-- dump date   	20170509_025348
-- class       	Genbank::CDS
-- table       	cds_translation
-- id	translation
SAPIO_CDS0002	MSTSRLLSSIHQDLVAALALIHPPKRPTHMDYDYPAILFHVSEL LASEDVFATVAAVIWYICGWDHNNLMLAAVTSTCIWYYYQHIQNDRVYCVEETRSLFA KIRPRVFYILTTLGLRVSKWIATAIFTTLGTANVLLFLSFCLLVVFFGPANYVQLVFH SIPASIPTLATWILESLSQLEIWCRYRLQCLPEAYWPGGIWKWYMRALGIDVDEKLKY SPINGDREIRLFQLYRWIPFAGVRGSLIHVKVDNAPEYHAISYHWGDSKESRRISVNG ASFDVSFATHGALDSYSSLWRQRLVWIDALCIDQKDDAEKGKQVAFMKDIYSKAHRVI VHLADQPLAPHLHWLLHEFGTMVAQPYFRAENVFTRYEREGLSPRWLAMISFLNSGWF SRVWIVQEVAVASDIRVRYAGWTYDWDFLVTTLEEGLRAPEMAGLLQTIQRGRHAVHQ LGNLASLRYMRTMMALVEAYRTSRQSNKLLTKFLRAVGDDEAAGKVQDQTSPTPLIYL LEMFIDFKSTDSRDKVYALLGLMGDDPPASLAPNYDPSVTPTHVFTTAVQHILSETKI EHRLSVLAKAGTGYPGRMDGLPSWVPDWTQSPPGAPLSSFLYQYQPFRYKASGKTRPE IHDIDPYSGTLSLGCIHADEIAFLSEPYVAIEDDKLVADRYGQIFHPGELVAHHKWHT DTFAMAASVLRDPYPFKQGQSVKEAFWRALLGDVHKDSRPAAGYLGELYDDWVAMQKE LLPYGRDAGHTLSFPQDDAFWDRMRRTGQWNNAMATCAVGRRLAADRPKPVGHKELSS TIPATLGSRRFSSQSGLRRQSNS
SAPIO_CDS0003	MVLSAVPLTDTAATGTLSVATLVEEALEQCKAEMAQPSDKFDIL SCTAQQRWLVSKAVEAFQTLKWRKSPASLREWSWRNLAGIHRTSDGDLPWFSADIIAL NEYGTHNKRRFELRTTDDLVPFSTELQHMRHFLGFRVADLCAFMAAILPAPGEQLTET RLISAPPTISVWGSWRTNSKVWSSVVAFSDEVAASTYADQLHTLLADRYAATIGKLGH HWVEGCYYAYLLSLNDARMHLL
SAPIO_CDS0005	MADHGKEVMPPSAGAETALGRVSLIISRVVTVEAGQSAEQFRTD DAVKIGSSRRRAIIVLILLANLLQFVSMFSTVIGGFDFSSKLGQDIGPGQANWMAAAY SLTQSTFVLISGRLGSVYGHQRLLLLGVVIIAIFSLVNAFCTTYTSFVAMRAITGIGG GILMPNAVATLTLMIPPGKARNFTLAVFAASPPLGAMTGALLAGAFLQYSAWKYFFVL VACLGAVTVAGLVFVLPREEPQDRGGKIDYVGIFLGLAGLLLFNISWNQSTSLGWQSA SVIAMLVVSFVLLVAFFFWESRWAAEPIMPPSLFKTSNLKALTVVVLSIYMSVGILLW YMVAWQQLVRKWDTLHVALGWIPYGVGASIAVLLAAALIPIMEAKFLLAMGCVALIIA TVLMATMPAQQIYRAQVFPATFISSFCADFVYVAAQVIVSNSVSKRDQGPAGSLIGTL NLYGNSLGLGFAGTIEVQVVKHTLNEVTGFRAALWFGFGLAVLSLVLSLTFVKIPRDR REGWDEDVETI
SAPIO_CDS0006	MATEKANEGTFGKHFGLWSAVGLGWITLNVFGGMSLILAFALSA GGLPAILYGFIGSSFAVFCIILTFAQCASRYSTAGGAYHYACFLLPDQYRRSVSYVLG WLNYLGWIFTHAACCSIVATCTMALVNLCDPSFDTSIRWRLFLVYEAMVLLCWGVNVW GLRGIPTLELLGSTIFGFIAYTILLLVKAPKAEPSFVFVDTTNDTGYSSMSTAIFLGL FTSFATLMALDGPAHLAEEIPQPKKLLPRIMLIVIGSQFVVGVIWIIVLGFSITDLDA ILATPTGIPTLELIRQATGGNAASIAFCVILMMNNGTSALGSAVTMTRQGFAFARDGG LFWNSKLVEVTPRVHTPFWSITLPSIFVALVGVIYLFSSAAFNAIIGSQVVCMIISFG FPALILLLTSGRTLPAKKEWNFGVWSKPIYIVSVLYCALVFIVAFIPQSHPITSLNMN YTILVMGVFMIFMTVSWFLEGHKLFKLPVCEDDAVYESDLIDGVVVDAEAGQEVTSVE VIGDKRLLAV
SAPIO_CDS0007	MSTAQKKRSRSGCWTCRKRHQKCDETKPSCANCRIRGVQCGGYD VRLADFTARKGGFDGQMVSRIRRLGRHDESRDRKTPRKEETKSELPAETDPKQYEPEE PSSDRGGTSPTFSVLSLVGVSRDADMCPGGPSVTAKGPSPRVYMADKGDAGQQTCDAG SSAFGFEMHGVAEHDSLGKDADGSPSEHLQEASITSDISPQASYDTVLFDSESLAFHI DVSSFFSLEGALPTETADGDACLDSTALDASDPAGSDVSEFLATDSPAVDEEYQPLFD ILSKSSLPQTPSDPFEQYLFAHYLGTLSYRLYPVAKAQNPYHAVYARLAMRSDPVRDA ILFASALHLSKLGRLPVFAVQPYRETMRESFRKAIVADDDDESLAATIILTIVFDSIG TGLESWGTNLVGCRRLLQRVLSSSKAFRPELECMIMLYNWAAIMSQTIVKDIQSPDVL EQLVCINDVTVASPDPCGALQTVEGANGQSQWWHNLPDYQMYVLLREATELSRTLDRL RSEPNQSTKEVFQLMPCIFDLVERVKSWRPANSALGEAHTSSIGTSTTSGDRGCSVTS TTSSVK
SAPIO_CDS0008	MPNPPHQGHNPEVVEMDASAPIQDIVDVIKRDGGIIIKNFLTPE TIDQIHAEAEPFWKTLGQYQGKLFDATDPPLCSFAAKSRTFAHKAINHPTYREVAKAL LKEESTVYRDGKRYNVVSNPILAVSEAFNRGSPSTAQQLHRDDMAQHFDHLAGSGESS LLGLLVAGVDTTFENGATQVIVGSHKWAEGAITGPADRSLCSTCEMSKGDAVFIIGSI WHGAGENKTNPPTRRIIYSCHMTRGTMRSDENQWIAVDQDIVDKYEPEVQALLGYSVS HPALGHVDMKDPIEILGSKPDPFSYGSFMGREVETKA
SAPIO_CDS0009	MYPVKRFSPNADPLLIQQYLKADGVVIIEGLAMRQVLDDVLSEL PAEAKTQPETFGLASKSKTFATELMMQPVYLDLVRRILIDACIIYYEQDRTVSVAEPQ LSQAWSIAAQPGSSGWGLRRQDECHHVKHPAKREADFGILYAATDITKENGAIRVAVG SNNWLDTRDPKPEEETLIELRKGDALPCTGSTFYGQAPNTTDTPSILLGAFSTPGYLR QQENQYLAVPWEVAEKYPLEVQKFLGYSISRPYGGSIEHMEPYEYLKVKRDPTKYVCG DII
SAPIO_CDS0010	MSFPRCFLRERRITPATLVTAAVVGAVGFYSFRRVFTSDAHAES PRKICPLQQQGEQKSMAGPFGRLGFTTLRLQSVQVVNHNTKRLLFELPESSSLARLPL TSFILTFHKPAGSIFPVIRPYTPINRQDDTGHIEFLVKKYPNGRASGHMHSLKPGDSL SVAGPMRGYSWRVNECDQVNLIAGGAGITPIYQLLQGILNNEEEKTKVKLIFGVNTDQ DVLLKDELDAFERRFPDRFKVVYTISKPSGNSPFRQGRVTKELLKEELVENGKVFVCG PPAMEASLMGTRGRPPDILSAILSHRVFYGAFKQHQKSIISDLVKNMIPPSLLAYALL LLYSSKIKPHDADGVTAFLGQYRKGMSSTEVTCRLSNLSHSDVSIIIKNWAAAQALCK LYATEALRELEDRTGIKHSGTLSTNEEKRIYRAIFRYQILWNLCDSQTPHEAAFEEPI DAFFATHSPWVNKQLSHFFYFIEVKTHDAFMEMATYDINWAGCFDWIPGPAGVNDFPD LKRGTDLSFLVKLCEAKSYSEWRTLIQPGSSPAATTMKRGRCMTFMSSAPPLSYYASH QSPTDDQTTAENEEPLLEDFSGVEFPKDDFDDRVGSRAFDIWHRGRLHVNPGTGIIRH VYDHKYQGFGYVMWDYEGLETSAVHEKIITAKPPLVPHFTSSFQRRLQLVHDTLQERR DILRLGGRGYWKDRDFSRVDGLSEEDISFLEEVWEDRKRQSLD
SAPIO_CDS0011	MFYSTLATVSLAITGAIASPAASPFDLMRRQGITPNSVGTHDGY YYSWWSDGASPVTYTNLAGGSYSVQWQSGGNLVGGKGWKPGSAKNITYSGTWTPVNNG NSYLTVYGWTRNPLVEYYIIENFGEYNPGSSATPKGTVQTSEGTYNLFQSTRVQQPSI EGTSTFNQYWAIRTEKRTGGTVDTGIFFDAWEKAGMPLGTHDYMVVATEAYRSAGSSH ITVESPP
SAPIO_CDS0012	MSQQGYYPPPQGGYPPPQGGYPPQGYPPPQPMQYQQAPPPQEKS HGCLYTCPLHNLDPPPMTPTLFSTPPDPDTNPEGQVEEKGTT
SAPIO_CDS0013	MSYYPGQGHGGHGGYPGQGYGGGYPPPGQQYYPPQGQQGYYPPP QQPQGNYYPPPQGPPPSHLDRYGMPNHQGGGHYGGGTRSAGAPPPPPSGAQQFGHGAP EGYSFQYSDCTGKRKALLIGINYFGQEGELRGCINDVKNVSAFLTEQFGYRREDMVIL TDDQQDPRNQPTKENILRAMQWLVSNAQPNDSLFLHYSGHGGQTEDHDGDEEDGHDEV IYPVDFKEHGHIVDDEIHHVVVKPLQPGVRLTAIFDSCHSGSVMDLPYIYSTKGVLKE PNLAKEAAEGLFSAVSAYSRGDVMGAASSIFSLAKTAISGDGAYNKTKETRTSPADVI MWSGSKDSQTSADATIGSQATGAMSWAFISSMKKNPEQSYVQLLNNIRDLLEENYSQL PQLSASHPIDTDLRFIM
SAPIO_CDS0014	MSFFKKLTKEFDSLTASLSDKKDEGQSQSQDQSGGGTRDYPQPG YHQPYQGGGSPYPPQQGYPPPQDPSYQQNPYQGYGAPPPGPPQSHGYDSASPPGPSGP PPMPGHLPPLPEGWVAQWDSQSGRYYYAEQATGHTQWERPLPSGYSAGPPAGYDSNTR GHDAHYSGGQYGGAPGGYYPPQGYPSQGGHYDGGYSGDGHEKEKKSGKGGMLLGAAGG LAAGAIGGALIANALHDSSDEEQHVVHHHHYSAPPPEEDTRSVSSSDQEELDEAREDY EQALEDAASSSASSSDREELEEAREEYEEAYEEAYDD
SAPIO_CDS0015	MKLSSSLLVALLATEAMGTWASNAAYNKWDATELDRWLADHNIP HPTPADRKDLERLVAENWNQVVSPYHDWDAEKLQTFLESKGQEVGQDTKASKDTLIDR VKAAWYETEDNAQQAWTNVKEWIFDTWSDSQLKAFCDKHDIPVPQPRPRDVTLEKIRS HYDTVARKAGETAAYPGDWLYETWSASDLKKWLDEHGISRDELIAAVRRNSRLVYLKL RDAASTASEAAKSAFSDLSDSILDTWSESQLKEFLDENGIAVPQGTKTNELRALIRKH RAQVLGEDAAGKLGAATSSAGNEFAKATDSAALKLQDAFNKATELWSHSRLKAFLDAR GIGIPQGSNTDEVRDLVRKHAHSAIGGWTFDDWSLENLKKYLLSSGDAKAQAAAEKAT ATRNDLLTAAQSAYSSASSAGGDKWSSATSYLAQATADAKHRTFETWSESELKSYLDS YGVSVPQGSKPDDLRAEARKQFTYFKYGTSSPGGTILEQLSENFWSAWDWATKQFEKA IEGAEKRVQGLRQEL
SAPIO_CDS0016	MDFAPYQSSPPETGRTSFSPPNEQRSPRTSTDFIRRSLSPFQRP SPPPLQHPQPQRSWQPIIPGSYPAGDAYREGVSEFDTSLGVRLDYEAAAAYLAFPPLG AIFLLITERNSDYVRFHAWQSSLIFTAILVFHLVFSWSTFLSWLFFLADLALIGFLAL RAYQDADTLDRYEVPFFGQLATRFLDDE
SAPIO_CDS0017	MPNTAPQSPPPSQNHSSCPEEPTTVATWSPTSPPWRGSQSHAST AVRNESSRGENAVDRHQPSSTAAVSSLTDSATAPPSGVATDRNDFPSPATSRSATLSP GPQPVQETSVSTPDTAQTSGTDNAMDSVKYADVGADTTMLGGNSEFDTRHHTRLEQGR SSLVTEPDRSLDFRELAARADEYSATSMGPDYSNLRAITTSPSSLLRPGTRFHGTQQS ERQVYDVSVEIKHVDLRESYLCGYLRIQDLTEDHPTLTTYFEGEIIGSKYTFITNHES WGATDSEDLNHWAKFSAFRPYQRQARKGLVFIRDLAQQENIFMRWKEQFLVPDHRVTT IRGASFEGFYYICFNQVKGEISGIYFHSKSER
SAPIO_CDS0018	MDFIVRFTQSHETFRVPEIEALASPFCIVTLPSEDAAKRLIHRS ILVQAIYEHWASSTTLPALQDQIKLTKNHLRPEYQTCSFKFTFDSFQGTRTHAAKVAI INSFAFLAFQGPIVLRNPDEEFVVLEEWKSKSTVLGITEPERLYFGRLVGGGARDLAG VYTLKKRGYISTTSMDSELALVTANIALAAPGKMFYDPFVGTGSFPIACSHFGALSFG SDIDGRAIRGQGKAKSVRGNFKQYGIEYLLGGMFVADLTNTPIRVSPDRRIFDGIVCD PPYGVREGLKVLGCRDPEKMPWSVEAGKERSHLPDYIPPKKPYSFLAMLDDILAFSAA TLVDNGRLAFWMPTANDEAEEIKIPSHPCFEVVAVCSQEFNKWSRKLIAYRRIPDSDV SKDALEAWTNRQIQKEEGTTANELNPFRRMYFTRFESQ
SAPIO_CDS0019	MFSFPTPSVYQSSKCSVTYGQMSHISPTNTTTAASATTEDESPR RPEKGKPWTAILAQDFIHSIDLVLPEESYEILQSDVLGNLVPPPSFRVTMPLAKIFDH AFYLDYIKSGKLTMYLDRETYERAGLVGEPHGVKGKREGKPRWKMKIDFLKASSATKV AIQPSSSPTLITSSPSFEGLLQELASCDKLEFEETAADLYEWFSLVRLDSPRVEFGDE IDPYLSRYEVPRSSDGAQTCKLRRVTWKGFMSATWARTALAEIVASLPFKSWLVFSAT SFSKGTTARDNAECTFFRPPSSGGQYILWEVRRDV
SAPIO_CDS0021	MAAATTTTAAKAPFIPQDVSEVATMVKGLEAGRKSGGDKKHFKV KKNTFDVVGSSDGIQVDSWKFSDWDYKRRDLPTYARGLFTTRNRRNEPQIAVRGYDKF FNISEVHETEWKNIIEQTRGPYELTLKENGCIIFISGLEDGTLLVCSKHSTGERGDVD VSHAKAGEQHIEKQLAAIGKTKADLAAELYRRNVTAVAELCDDSFEEHILAYGEDKAG LYLHGVNLNLPSFATYPSQLVQEFADAWAFRKVGLIVMDDINEVKEFLEKAAETGAHD GRDVEGFVIRCQRSYNPDSVPYQDFFFKFKFEEPYLMYRQWRECTKALISGKPPKFKK HQKITEEYLLYARKRLAADPKLAKQYMKNHGIITLRDDFLEFKHIKGADAANIENLAG EALPSTEVDKDVILVPIATIGCGKTTIAQALAHLFDWGHIQNDNLTGKGKKPPRFTRM CLEQLTIHPAVIADRNNAAKHERRQIITDVKLQHSGAKLVALHFVHDPEQLDNLREVT RARVLERGDNHQTIHAATEPTKYLHIMEGFIDRFEPCNPFSPPDDGFDHVIDLDPVAG SRVNLETVVTQLHQWYPNLVRELPSAEKLDEAIEFAMGYKPEIKHTIPDRGGKNKRGQ QQQQQQEKKKPLEYMAVHVSARDVNDILEKAFADKDRDTTRFYMQLKQTRRVQPKFHV TLMHRASSKENPELWKKYNDLYEEAAKAGNAEGKLGDCDVMLERIVFDDRIMALVVRL VNNEWKCINKVAHITVGTRDSTVKPKESNDLLEKWLENGVGDKVHDVVLEDKPTLKGF VGGVANFFKR
SAPIO_CDS0023	MSTLEELDDLDRRDQQEDKDKKDGNDGKGNGEKKDSAADRDGDA EMKDAEPDDVLDEEILNLSTQDILTRKRLLENDSRIMRSELQRLTHEKATMNEKIKDN LDKIANNRQLPYLVGNVVELLDLDPTAESSEEGANIDLDATRVGKSAVIKTSTRQTIF LPLIGLVDPDNLKPGDLIGVNKDSYLILDTLPAEYDSRVKAMEVDEKPKEQYTDVGGL SKQIDELVEAIVWPMKEAERFKAIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFL KLAGPQLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQ RTMLELLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQI LKIHSRKMRIDESINWGELARSTDEFGGAMLKAVCVEAGMIALRMGKDKVSHEHYVDA IAEVQSKKKETVNFYA
SAPIO_CDS0024	MTTFLENAYSLVHQDNTADIPSVSDLRMQLEKGTDETKVETMKR ILTIMLNGDPMPSLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDSSGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREPELIEPLLSSARACLEHRHAYVRKNAVFAVS SIFQHSPSLIPDASDLISTFLEGESDATCKRNAFAALASIDHDRALAYLSQVFDGIPN AEELLQLVEIEFIRKDAVQNAQNKARYLRLIFDLLEAGASTVVYEAASSLTALTNNPV AVKAAAAKFIELSIKEPDNNVKLIVLDRVDQLRRKNEGVLDDLVMDILRALSSPDIDV RRKALTLALEMVSSKNVEEVVLLLKKELSKTVDQEYEKNSEYRQLLIHSIHQCAIKFS EVAASVVDLLMDFIADFNNASAVDVISFVKEVVEKFPNLRASILPRLAGTLGEVRAGK VYRGILWIIGEYSAEEKDIRDAWKRIRASLGEIPILASEQRLLDNVDAEEKKDDDQVN GHSKPAAPSGSRRVLADGTYATETALTSQSSAAAKLEAVKAAQKPPLRQLILDGDYYL ATVLSSTLVKLVMRHSEISSEPARTNALRAEAMLIMISIVRVGQSQFVKAPIDEDSVD RIMSCVRSLSEFTTHKELETVFLDDTRKAFRAMVQVEEKKRAAKDAAERAKTAVQVDD VFQIRQLAKKNADAGLELMDVDLERATGGESVTEDLSSKLSRVVQLTGFSDPVYAEAY VKVHQFDIVLDVLLVNQTTETLQNLAVEFATLGDLKVVERPTTQNLGPHDFHNVQCTI KVSSTDTGVIFGNVVYEGAHSTDTNVVILNDLHVDIMDYIQPATCTETQFRTMWNEFE WENKVNIKSKCKSLREFLEQLMACTNMNCLTPEASMKGDCQFLSANLYARSVFGEDAL ANLSIEQEGEDGLITGFVRIRSRSQGLALSLGSLKGLNKIGSAA
SAPIO_CDS0025	MASNEGFYLRYYSGHSGRFGHEFLEFDFRVVGDGRSAVARYANN SNYRNDSLIRKEMCVSSTVIDEIKRIVKSSEILKEDDKKWPQKNKDGRQELEIRLGGE HVSFETAKIGSLVDVSESADPEGLRVFYYLVQDLKALVFSLISLHFKIKPI
SAPIO_CDS0026	MGCCASRPSGDDSPYVDGAASTSSHAINTQPPTQEQPSTDETIQ TTTTGPGSSTRRHRRNQQPLDQHINKPLRRHVWASKNRRWTRASLDRERSEFFDTRVT GRPEVWATLHAVLEILWNPESEGLSDDGAEGLATAQSILDAAEITLPTGNLAQGAYDS LGNYYAFHEWIISDPTNIVEGHDDDVPERIEDDDTKRIPPFEESDDPDDEEAARRREE KGKAVVDVRDLITVYARLSENARDVKVAVGKSDSVRVVARKILEDSGLPHDRTIRIAY LGKILRDNASLQDQGWKAGHVINALVFPR
SAPIO_CDS0027	MASQEANLPFIRNLASSDRKLRTTSLESLRAFLSAQHTLTPTDA LKLWKGLFYAMWMCDRPIPQQNLAAELANLLAPSTSSSSSSTETGVVHEECASAWMRG FWEIISTQWTTGIDVLRMEKFLLLVRRFVCASFAWVRVGGYKDGRVRALLEVLGEWPL EKEGELDRVPLGLRLHVIDVWVDEVERSRVLAEVEEKEGARKVVDGVGDLVRALTKGF PSKPLRKKAGDSLEDERLPWYVPPKEDDEGDDDDGWAGFQD
SAPIO_CDS0031	MVRIGSWFRGAEIPEPAEKTTPSTEGPSKEELLASEMANIEDAF AAAELILNDDMDGAEARLKDGDSSYHDLARTVISFIRSILGFEKEVMLLASTQLANCE ARALVDQKRAQKEFAECTSCLYPPGTEYALVHAEATLMSAVVGVLHESLTEGVKSFYK LRKAYFALDAIMQLDIRAVKRNAPAQRRRSLAEAFADDRMPGTFGDDEFCDDEDAEIG KDAECAAHSSLRNPDTLPPSKSSPGNTSSDSSSEVSSLSTTSGAGSSATGTGTKTSFD SEVFTNPRDAFIHSGATMCFGVLLFLFTLVPPALSKLLSIVGFRGDRERGIRMLWEST AFPNVHGAIASLVLFTYYNGLLGLSDILPPADLYDDAAEIVGLPVEKCDALLARMRAR YPQSGLLHLEQSRMYSNSRRLADAIDCLKHMPKSNMKQVDALASFETAISGLFIMDWN LMRDSFLKCIELNEWSRTLYYYLAGCAELEFYRDAFHNRGGGAEETKRRKKTAEELFR KAPPASGKKRFLAKQLPFEIFVLRKIQKWEARAKEHKIDLADAIGVSPAQEMVYLWNG GKRVDMEQAEKALGYLAWERCTANKEAVEKFRGVVEERAVKALCEAALLRVLGRVKEA RTGLEEDVLKHDKSCFKGGTKDDYILPCTHYEMGVLAWVEARSSPSFEEASKECKEEA SSKDKTAAAENQSEDDKATALDDVRKAKIEECQACLDKAANWEAFVLDARCGMRVQTG METLRWYRKRMGWD
SAPIO_CDS0032	MASADTKPTTAKPTEETKAEEPQKPAAIVGEDDEFEDFPVDDWA TEDAEAAEGAETKHLWEESWDDDDTSDDFSAQLKEEIRKVEASKKR
SAPIO_CDS0033	MSLSNKLSIADVDVKGKRVLIRVDFNVPLDENKNITNNQRIVGA IPTIKYALDHGAKAVILMSHLGRPNGTPNPKFSLQPVVPELERLLGKSVQFAPDSVGP EVEKLVNEAQDGAVILLENLRFHAEEEGSFKDKDGNKVKADKAKVEEFRKGLTALGDI YVNDAFGTAHRAHSSMVGVDLPQKAAGFLVKKELDYFAQALENPKRPFLAILGGAKVS DKIQLIDNLLDKVNTLIICGGMAFTFKKALNNMAIGNSLYDAAGAETVPKLVEKAKAN NVKLVLPVDYITADKFSKDANVGKASDETGIPDGWMGLDAGEESIKLFKQAIDESNTI LWNGPTGVFEFDKFAHGTKATLDAAVAGAQAGKIVIIGGGDTATVAAKYGVEDKLSHV STGGGASLELLEGKELPGIVALSSK
SAPIO_CDS0034	MAKPRVKKRTHVGANQPKSAAAAPAHATVRDPKSMVIRIGAGEV GSSISQLAMDVRRVMEPGTASRLKERKGNRLRDYVTMTGPLGVTHLLLFSRSERGNVN LRVALAPKGPTLNFRVEKYSLAKDIQKTQRRPRGVGKEFMTPPLLVMNNFSSSQNADS PSKVPKHLESLITTVFQSLFPPINPQATPLKTIRRVLLLNREPDKDGEEGSFILNFRH YAITTKTTGLSRPLRRLHAAEKLLSARSGKKGGVPNLGKLEDIADFMIGGENGEGYMT DYTSGSEVDTDAEVEVVEDAPRKISRRAAAAAAAGEGGAEPEEEEKVEKRAVKLVELG PRMKLRLTKVEDGIAAGKVMWHEYIQKTKEEAQELEKRWEKRRQEKEARKREQKANLD KKKKALKAKTDKGEDGDEEMDDYGSELDADDFYSSGDDEYRGGDDDDDAMDEEED
SAPIO_CDS0035	MNPSKRRKTSHPPHPSGKAVPNGRKEREPVDSVDQSESDSDAQS STGEEPTAAQNAQAENGTGNPKAKTFKELGVVDSLCEACESLGYKEPTPIQAKAIPPA LQGRDIIGLAETGSGKTAAFALPVLQALLDKPQPYFCVVLAPTRELAAQIGQSFEALG ALISLRCAVIVGGLDMVQQAIALGRKPHVIVATPGRLVDHLEKTKGFSLRTLKYLVLD EADRLLDMDFGPSIDKILKFIPRERRTFLFSATMSSKVEHLQRASLKDPMRISVSNNK YQTVATLLQHYIFIPSLHKDTYLVSLVNEFAGRSIIIFTHTVRDAQRLAIMLRSLGFG AIPLHGQLSQSARMGALNKFKDRSRDILVATDVAARGLDIPKVDIVLNYDLPQDSKTY IHRVGRTARAGKSGRAINIVTQYTIEILVRIEAALGKKLEEYPTVKEEVMVFKSRVED ADREAKQELRAIEDKRQRSGRTGRTGRPARGAKRNVDDMDKDE
SAPIO_CDS0036	MESTQTQKSSSSLFQVFLRLRPPHNGSGASSSERFLAVEPSESD TPPTHITLNPPNDRRRAIERFAFTQVFEEDASQLDIFQCTRVAPLIEGVVAPHGGYGT DALVATLGVTGSGKSHTILGSRTQRGLTQLSLDVIFRSLGNNLIDRNTSTSLESTLQA SDASEASIMCASSYLETVYSDPLTQSRCGGGSRAATPMIVGTPPALNNRRFDPEGNTV PDSPKSVRMVERTPLRSFRYRPRSPSWGPYNRLEPETPKRVTDNRHYMTLTASTRVKK VVKVTKTEEKGEYAAPPMTPRRLIHAPSTLPQIPDVSGVNVSSDPSAEYAVVMSMYEV YNDRIFDLLTPPTKSNATKEYRRRPLMFKSTEASPDRKVVAGLRKVVCSSLHEALMVL EAGLHERRVAGTGSNSVSSRSHGFICIEVKKRQRSTRRQHPWGGNTLTVVDLAGSERA RDAKTQGTTLAEAGKINESLMYLGQCLQMQSDSGHSHKPNIVPFRQCKLTELLFSNSF PSASSQAQGHRRNPQKAVMIVTADPLGDFNATSQILRYSALAREVTVPRIPSIFSNPP PSVPDSRRPLSPTLVTHQPPSHHRPPFFGGPGAGSGSHRNISPASSHTDGDRATMELA ALEIARLTEEIDILRGEVARESEGRVTAEAHLLSMEDRMLELEQVIREDCVAEFEKRL ALEMARWKASLQLEHERGEEHWDRKVELLERGLVGTEADEEDKENVLVEDLEQENERL RREVAVLKRELNGRSPSKRVPLQERDDVPQMSEGHEGGVERLGARMERLRVSNESTRS SRSVSPTKKVRRLIAKKWEDATEEF
SAPIO_CDS0037	MPSNYRQKTTSITLKVINRRAPPTRPPTPDLSGDEGYSALEDLS DSDDEDEENVNAAEEEHLLDTANPRHAICSPRPVSSDEEDEAEEENDDDDDEDVEVDE DDGYDQDDEDDENAIEDDDDDNDLLLGGEDNVEDGDVDESASWNGITTDETDTEGDLN PPFAAIHRHIAQAERHVRFANVPDSDSDSTDTDEDHADMFPDIFVDQSALDPAIRREI DRDPDESSGSGGSFWDFHGTYDYSTADSDGEMYLARAADEDIAPMATPVAAMPIAPEV ALASDVVTPTRAVDLPVDLDGYETDGDTTEEDIPEPIIRRKSRRPDPVDEESDSDCTP VKSKKGKPRVGRFNLDKSDKKPIAVLNPITKKMMIFTPHKHRQLDLSPEQFNFSFFSH NEMSSPGVTNSSTLMLNAMFSSNTFGDFVNGQGMNDVDALLQSALMSEANTIDSSLDS DLGLEGDEGEKALDINDFITFAAGGVSDSELDEGWHGDVGSTPGGPGTTSDVEMLSHL SSATVGAFRQNQITQQLILSNKASQDSLAFSGPFNDTALRGLKSDRLATGAIPLTPVR RQRKNSTYEPPTSPLETISQKRKASGEHEVGHKRHRSISDVALLQV
SAPIO_CDS0039	MAVLSSTFTYLTALSSLLSLPAVLAQTSIFTPEPEPFSCPLPET SLHGDLTCDLSEIAPRPSHPDIFRPWTHEPHCIHPAEKPLLGFCTFTNADFMGGRGIS IVTLPELAASMANRTQMASTAADASAADAYAFDKVFPRKKYEEKLTADRGRGLFVREG ESFKAGETILVDYPTLFMVRDSMEVFLPEERIRMNWLGLLQLPDAGRAETRDMVSNGR YKDELDNLITMNSLGVQYANFRHLGTFPEAAKMNHDCVPNTYYRFSDTTLGVEVFALR DIKPGEELTYSYVDGLQEIPYKDRHKLIGSQFNFECTCRICRDTAARRESNRRRDNIR KAKKVLSESAEDPRAIVAQCKKLLRLYGDEGMVLPRPMTAEIAAYASNQLGEAEEAVK YAKIAREYWSIISGPASSEVKRLDELIAAPTEHGSYKPKAGEGEGKTGAGAKTGNAGG DEDEDVGEEEEEEAVVDAVRSAMLRARRIARESGVGEKEEEELVEQAVKAALKRAMGK DEL
SAPIO_CDS0041	MPMFHPHQLLTGWMVLTPDQLAEQMGQSAVNQSSQADSSTSQQQ GWMAQPIPYNRRVRMTEEHYLELKWQSYLATHNIAHHWTPFETLERDKYAPILSQELQ RRRAVDLEQFKNSLNVAILALLSNTHVDAGNARVIDGLGELTEEVRQKLVLPAVGEAA TPFTPEAQNAFQSAVQSNTQAYGALLPQGLEYGPVKYSRAMKFNMAPDHMMPVNLQVA TRLADEPAYFGHRGGDYIFKYTSMHRTDKRDKHRFQYECQVPRTQGEYLARLQSIMPR VLKMLNSFIMFHPYSATQPIDKVRWLRTMSDEDVSHIRSTYMSMFPSQDVPSKEFHKF MRERLMATEVVSEFAWLVEETNDNLRDQRARKLADFLVEITGQKDLKTLAGYFTRRQR AIAERILFQDNEYNDRVGVYKCPKTFVEHTRTQHPDVVTDPLANLYSGLHNPALIFKD SLERVYETVRGSIADRANSAPDANGPKNVVSQPEVASCSGFLPVGSNSQPAASVSQPA DSSTTTAQLSSVDPSQLDDYLNAFLTVSGLKNPPDLVEETGSSSSSSDSEPNVVQSFN PDNFLSLGTGTTSDDPSVVDFDPLAFDFDTFLQSDSATNFDIKVSAVPTTNPDPPASI FDLTTDQHPLQPDLEALLRLPEIPATDFFQELIYTGGPNQNNTPQTTNQQESQEDPAA NIINYVAPVIGLNCDNLESRGTKRSLDEFLDQND
SAPIO_CDS0043	MAAASPNNAIRRKLVIIGDGACGKTSLLSVFTLGYFPTNYIPTV FENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFSIETPDSLDNVK HKWVEEATRLCPGVPIILVGLKKDLREDPVAIEEQRKKSLRFVSPQEGDQAAREIGAR RYLECSSLSGEGVDDVFEAATRAALLTFERGEGGGCCVVFRSLGPSTQAEDMNQCTRF HPAAPTHVYDPSSNRLRLSNPQIFLPGFYATPYA
SAPIO_CDS0044	MPANNRLPASQPTSSPTGKNVSKYTNKDGSKFIALPKAPLAAQS TQTTSPTSAIMPAPQPSVLGASTNGPPSGVNPKKQKRRQKIAAKAAAAAAAANGHSPS PTPSDFRVASTTHAPIPATTENDGNAALTPRPTQHPSQPSQSSVLIENGAHPEGDAEA AGAQTKGKKNKRNKKKKKNTPGNPAEAEHHHHNHAPARSYTDDRFWNTNSNEERERIK TFWINLSEEERKSLVKLEKDTILKKMKEQQKNTCSCAVCGRKRSAIEQELEGLYDSYY RELENYANRADGSLLGGPGNFALHTPRDNSLIYQGAVPSRATMVEHLDPDDDEREEDY MNDEDFDEEEEEGFSEDEDSEDDRRADDRVIADFLDFGNSLQVKGGILTVADDLLKND GRKFIEMMEQLAERRMAREESAGASAAQQPGGYDGYPRVREHRTNGGGYVLPEAHDDD DDEPDHEHDHDDDHGYDDDYDHDHVHSHAHSHAHHHPDGHHVHDHHDHHGHACPDDDQ EGEYDEEDDEEYDEDQEGDYDEDEDEVEEAMTDQQRMEEGRRMFQIFAARMFEQRVLS AYKKKLASERTEKLLQELDDEKRLEEEKKAQKASKAAKKRDRERQKKLANQEAKAKKE AERAAEEAAKKLEAEKRAEEQRKLHEEKRKAKEAQKKKEEDERQRKEAERLKRVQEQE RKAKEAREAKEREKRLKEEQRQKEKEAREAKERQERERKEREQRERQQKERLQKERQQ QKERDARERREKHEAQERERKAKEAQAKGAREAKDKAKGQSKSSSSKGPPASSAAPKT PLGKKQQPSAQAATPQSSQATTNSLTQHHAPPPTTYASPKVPVATPIIPPRTAHPIRS RTSSQQHDVSAHGGVTPQPGAPASLHGSPHAATPIHASPGTLGSQINHGPPPLSSLPH TGSPSMGSLRGVVNTPPPPLPPFGVGRGVAMPPPPPGFGQVPLPPGLHNRMTQDQMFP PSQAGFRYGHDLMQFPPGFTPSIIRPPAYGGPPPPPGFPQVAGDPLIGLNHAFSPLPD MGVVIPQQPRQLSGSLDPSPSPLSGQAIIRPAPIGRPSSVVHGQRSTDLSSHDVGDEE QEHLGSRALLDDDAEDPISLDQASLRRGTAAPGPNIRTQFPPSGFMDTAFGSPFHTQW GSSSAGAFSPQPTLGNSLWSTPGAQFGGAVPPVGQLRGRPPVNAVTLRHMLCQACQSL SDNGQANADGYVDIGAVKANILQNIGHDVPDAELLAISETEGNVQNGGGSFDSITDSG RRLIRWVVATGAPDKPSHHPLGAPGDIGSPIIGGGAAPGAGRGAEPIRNIWSEHESRG TQPSLALTSIASHVGLSKYHNPPLISSDEAFCDKQDNPWIGVKALWGSGKDKDAISKQ RRSSPYFGNDLSCRPPVDLLKLRQPIASPCYTFRPSPEVDAITRETTLSPRHPPSLLK SFYSETFQPGNIKRMEAFESEKRFRAHLSENPQDESIGRFRLPLRFRGLQKLGDKEKE TKEEKEEKEDIQQQGDKQDDDSQQGDGN
SAPIO_CDS0047	MPLVVPPETTNAPDKTEEWTRKLVGKTLSEDTTSETMFCKRDLP EVCRVIAPGQIVTKDYRPERLNVQVKEDGTVAVNQQQTNKPYLHLYALLKNQSLKANQ NPLFLSLSIASITPGTKSKLKSSVQRSLRQSLLTTFPLLTPHIDAILPKKSDLTAIKL PDRAVLYALDASTPLFFQPEGNHGPIVPHLRLVHRYPDAFPSVRIDRGAVRFVLSGAT LMAPGLTSPGGRLPEERDTGEEGEGEVDGRWSRELEKGEVVVVKAEGKVEACAVGVLV VGTREVKEKKKGPVIEDAHFLGDGLWCMHLD
SAPIO_CDS0048	MSSNGDKAAGGGGKKTTKVRFSLDTKPNADSSKDKAIDSDRMDL DDVASLHFSNGNIAPDLLDEATEAQRVLENYYASARQEGEAVKKPWDPKQKGKEPAPE GTPMNDSNRAATNPSTKPASDSSGPSSPGVNINLKVSYTPDGDAYLTIVPPKPGEPID DALRELLSRQAEQAVQNSHNKDRDWAGRPLQPPPGLPPRPSPASNLKHLRQSTARRAS LRPRMSSNVPFPDLSGLPWPGDEDVEREIHQMQQPKTLSSYWWMSREWRRAFEKETSL AHVIEMQRYALTVHPDIDNLVKCGQVNIGNTSFPVQINQLPQPLKKACESCNYKTDCH CVSCKSCSTCVTTGACKDCDCCQFDCCCRNPENMGEACAECNACGNCSCCLGCCCCGT TLGPSIAVRTSDTVGCGDCRGQRRKWEESGDWKLFAKKSAGGDQKAMSKAPRVYVGQP RLIQKAELQKIEEEHIKNMAKEAAAREVSAKEAAAKASLEVKSMPQVKAQSMLPLPKR SFEVKDPILEDDDQQGMPRFNRAARRHRAAVNRVAQGVVTIPEEGEKSPRKEESKEAA SGSGSSSKTATNRPRLTAASQDTKSDTAGSSNGQAGTSPDIHTKLAGLTFALDVLRDL ERDGGVFLSQQTRERLRMTDPSGRYGNQENIRPPRQATGRVRRPLPQLPVQQSVETGF RVASAVRGSSSSSVSGRGQMEHLLVTKKRVESKDAIASDAMGIDEAEENLERSELGDD KMEKLPVSPTIRHV
SAPIO_CDS0051	MVAPAIPELTEEVLHESIDARTEALVTLRELGPPDLVHLLKHAA RNPNKQLGVYHHVTGVDASSSASLAAYINTLTHTESHPHQQQTKIAEGLYCCYNAFSR LDMRVHVTIPGSVLAYCVDERGEQKPATDELWLETYLCSVLRAYSYADDGSGETIRKI MGVRRFNPVTNTESEHRFLNAAEQLFFKGWQLGSDSIVQVPSNVANHLTTGLLRYFET TGRYTSGINLFEKLRTQNIEVASLLAKVLFMGNEEVRGVRILHEALKETPMDYSMLDT QAEFLLKKALTAATPEQKEERLRLALGCADRSTIAAPSEFRTWARLAEVYVAMEDWEH ALAVLNSCPMFTYQDKDAPIMPEPKDVYLPTLAATRLDEIDSEPESRFSEQVDPSLLN LRAASYKGTFRLAYNILTEMTAKLGWDQLLKIRSSVFVMEDEYRNEKQDQPRRGSTTA VAPNLRNPSTDGLRGSPRSSAESDESDENSGAADKAEEAGEAPKTNGDSSPEKPSNTI DPGVVKADGEKSDSPDQPLSKLNNKRLCERWLDSLFMVLYEDLRVYTIWRTQMAQYRA QSMQYKKSAEEWEILGSLADRLQHVDEAVEAYRACLGQRFSPKALTGILHALERRGRS AWDPHHRDTTSAAGNTSSTHINTTRETVASVIRLVTWQYRWYSEFSPELLHTIRALIE DEGALKVRSIIQATSLPQNVLDLTHHYAALCATFRSSGTDG
SAPIO_CDS0052	MSAPAHKFKVADLSLAAFGRKEIELAENEMPGLISTRKRYAADQ PLKGARIAGCLHMTIQTAVLIETLVALGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETEEEYNWCLEQQLQAFKDGKTLNLILDDGGDLTSLVHNKYPEMLKGCFGVSEET TTGVHHLYRMFKSGKLLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKI AVVAGYGDVGKGCAASLAGMGARVIVTEIDPINALQAAMAGFQVTTMEKAAAVGQIFV TTTGCRDILTAEHFEQMPNDAIVCNIGHFDIEIDVAWLKANAASVQNIKPQVDRFLLK NGRHIILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQIMLYKSADEEWAKKYVEFAT EKKFEVGVYVLPKTLDEEVARLHLAHCNVELSKLTPVQAEYLGLTVEGPFKSEIYRY
SAPIO_CDS0053	MADASKDVPFKTVQVEALVLMKISKHCSSSFPTTATGSLVGMDN NGVLEVTNAFPFPIFEVPGSDSHQNDASSLAANAPRQKPNIAYQNDMIRYMKEINIDA NNVGWYTSAAMGNFVNLTFIENQYHYQKDNDRTVALVHDVSRSSQGALSLRAFKLSPS FMTAYKEGKFTTESLQKSKLNFKDIIVEFPVIVHNSHLLTSFLHQIPPAPIRDPSEPP ASLDDLSEDPVNPPLYPSLDSLDVSIDPFLEKTCDLLLDSIESHYTDLNNFQFYQRQL GREQAKITQWQAKRKAENAARVAAKQQPLPEDEWQRLFKLPQEPSRLEGMLNAKQVEQ YAKQVDGFTANVSAKMFAVRENLMPK
SAPIO_CDS0054	MRLLDTPQSSQDSTRQTTPENPTQFLVTSPYTTPEHLLNLSTLD NENALFAKALTHLRCVRDDYATAPYLDSFNWVEVLDKLRESAGDGFAETSFFIVAFRS QIPPTTVYEDLGILDEAAHAEAVACGGFLKYWFGHPDTDGRNLATCVWRSRDDAIRAG HTAGHRRAARATASMYSHWKIDRHRLIIGPGATEWKLIEWSDDYTGGQ
SAPIO_CDS0055	MGSSGRPKVLQLGLVEHAHETWSSIGEIADIIVPKATNRDELIA ECRSGAFDGVKVAYRTFYSVTGLVDTELIAALPSSLRFICHCGAGYDSIDIPACTDRS ILVSNTPTAVDDATADLNIWLMLGALRNLSSSMTALRAGTWRGNPPPAFGHDPQGKVL GILGMGGIGRNMAKKALAFGMRIRYYNRTRLAEDLERECEAEFVDFETLLRESDVLSL NLPLNPQTRHSISKPQFAIMKKGIVIVNTARGAVIDEAALVDALADGTVSSVGLDVYE NEPEIHPGLVTNPSVLLVPHMGTWTVETQTKMEEWAMGNVRVALAEGRLRSVVPEQKG MAEMWVGL
SAPIO_CDS0057	MSHPQQPLGPPRQPTGYPTQTPYPSAMAATPYGYAPTTQPGDVY RASPGLDPSQHLPSMRTLDVVPPQQHAIPPPPSTGPPAMGLGMGIGHHGMNPFYPSAL AMGGQYLHTDLMRYPLPPHDPRFQMGRGPKKEIKRRTKTGCMTCRKRRIKCDETHPTC NNCRKSKRECLGYDPIFKQQHGHPQRQQQQNQQQQQSQQTQHPPGQQTQQQQPLQVTQ QPQQPLQTATNSQNQLQQPLPQPHQAQQMQQPQSLSAAQVHQPQHHQNQPPQQHQHAD QSLQPPQQPLLQQPPSQHPVPQPQPGLNMGNHLTHPSAPSPASAPTSNPPPSSSSLSG GIKFEPHLKLEPHIKLDPQAPPAVPGPTAYAPQNANPGMHNSYSQPPNLPLPQAGIDP SLTHTQPTPPPQMKTEVADYGSGMGPSLQQLGHPSSLGHDMGSLGHGHSHQHPATQPH SYSHPHGHGHMGNSGVHLGGGVPTQ
SAPIO_CDS0059	MNARRDTPQLTPQLCFSSGTLRDFLRLSRSSLDDSISQQLNALV RPSRQGFDPQSTERLGPRSMTHTIEPQACASFKDKILFPTWQVRTEVLNYCAMVATSP DPDDPDTALREAESEKDRQRIVDERLDPYSGRFFPKEPRTEMLASLVRQERTVENIVR MRTWGIVQERCGESPSSWDAAFEAWSKNKSRPSS
SAPIO_CDS0060	MPQPLNAKEASLFRQVIRNYEDKQYKRGIKTADLILKKVPKHGD TMAMKALIMNAQGKTDEAFALAKEALTVDMKSHICWHVYGLLYRSVKNFEEAIKAYKF ALKLDPDNNQIQRDLAILQIQMRDYQGHIQSRYTMLQARPKFRQVWTALAVAHHLAGD LAEAERVLTTYEETLKTAPSRLDFENSEAVMYKNSLIAEQGDYKRALEHLETGAKHNL DRLAVLERRAEYLAKLEQNEEAARAYRVLIERNPEHPEYYEGLLSVSGVAKDDAAGRK AIFDEYAAKFPRSDAAKRLPLDFLQGDDFVDAARTYLAFMLDKGIPSTFANLKHLYSD TFKKDTLLKLVSEYTESRSKTDTDSKEKSDESKGETAGLYYLAQHYNYHLSRDLAKAQ EYIDRAIERIPDSVDFHMTKARIHKHTGDLAKAAEVMDKARSLDTKDRYINTKAAKYQ LRNDENEKALKTMGLFTRADTPGGPINDLLDMQCLWFLTEDGEAYARRGNIGMALKRF HQIFNIFEVWHDDQFDFHSFYLRKGQVRAYVDMVRWEDRLYEHPFYTRAALDAVDVYL KMHDKPSANGVNGADGANGDDDQERKKAARKARKEKQRLEREVAEQAAKRDPNKPKPA AGGEAKKVDEDPLGLTLAATKDPLKEAVKFLTPLLQYTPKRLDAQLAGFDVYIRRKKY LLALQCLNSAIALDSTNPKVHERIIEFRHVVEPAGASLPVKVVSVIKSEFPDIADSAD LDEINDEFLAANKDSARHVLAAVRAQKLLGGVDKSALEKKLLDVVGLKDADPEVAQEV LETLKAWRSGEVGAFKKAAGERWPRVTRLA
SAPIO_CDS0061	MASLAPAMTSSSHDALHADVKSTPGLASGGAAAGDDILVVDGDS TLSLGPDSLLLTRSTPTKPKRTCGFGPTKPTSNAKSIPLYNVLWAELTSPTTLTIDYA DQTAPKKLRVANLTLSVQDDHADAARRWTDNLLERAYGPAKLRKRAKVLVNPHAGPGG ADKLWDHEAKPIFEAARMALDVVRTKYSGEAIEIAQGVDIDAFDTIVACSGDGLPHEI FNGLGKRPDARRALGKLAVSHIPCGSGNAMSCNLYGTHRASFAALAIVKGVSAPLDLV SITQGDNRILSFLSQALGTVAESDLATEHLRWMGGARFTYGFLVRLFKKKVYPCDIAV KVEIEDKDGVKEHYKRVRSYHSLHALANGNGNGNASGNGHGNGSSNSLKPKVEEADSG SSVAATSSASNDEGLPPLEYGTVKDKLPEGWELVSYDNMGNFYCGNMAYMAPDANFFS AACANDGLIDLICIDGDVSPATSINLLLSVESGKFFDNPHVSYRKIKAFRITPRNQED GYISIDGERVPFEPFQAEVHRGLGTVISKSGGFQAPGPRDWDSVTMADRLLA
SAPIO_CDS0062	MENQESGGDLRVLYSPAADCPRPIDIIAVHGLNGDSMNTWTHFP GRGKPSTMWLRDLLPEKLPSSRVMTFQYDASVVGNTSAHGVRGNASKLLQLLRDKRED NDDEGRPIVFVGHSLGGIIIKQALKLASSSRRFSDIAKSTRGIVFFGTPHRGADGAKW LGLLTGIVSTALNQPQSKFIKLLETHSAELLKVSEDFRPLATKYAIVSFYEEHVDRIL GKLVSSPPPPPPLANRLRHPQDMMVVFYAAM
SAPIO_CDS0063	MAKRLLLKVLYDPSKEVLVVPNIDVILVHGLDGDPTRTWTHKAS GVIWPQELLPLNLPHARVLSFGYNGDTHRNDSIAGIRGNARALVSQLRGRRRHAKPDR PIVFVAHCLGGLIVKQALCFANGEKEYQNIASATRTIMFFGTPHFGSDKKQWELIAKA FSPLDRSIGGNGKSNVSPLVKAMIRDSDDLQEIEEDFREIAPKYKIINFYETCVWPNT KKCIVDETSARMMIDGEEAVAVGADHVGMCQFENDEDPAFIEVCQRIEDAVGADSDAD GGLSDDDAEVSHQQVEVEEVNPRSMRGGSSVRVMMLEYTAPTPDMNDANFLLMRDNKG SEYRQAGDAVPAYRRVRPARDTTTTNSSGRSPLAEESGSFLSRLFGKSMSFSSGRA
SAPIO_CDS0064	MSDGDLALEYSRLEHLYPEDPTARVEVEGTTSEAGLRDHAGDLL NKIFNDREDDESATLRPIIFVGHSLGGILIKRMFFATPHWGIDRSSWRDFLRHVLQYD APVPDAEPTGKMLRDLKENSGTILGISSDFKPLHGDLAFVTFMEDRPMKGLKYVLLDE GRGAMRAPTERVQNLSGDHVEICKFGKDDDSKDAFQTVQDDIEWLIGQKPKAIARGDL QALLRATLHQALRLVPDLVTKYLLPRFKKAQEREVGDQEIWTADTLRDVWPDAMAEVT ARRDLVVVIDGFDELRRKCQEAFLDCLEKFEKISPTPPKLRLLVLSRESHGIESLPTR FVEAFETYTVKPEDTRKDILKTVKAGMGYIWNRVAGNTAYLDRGETCETIVERSKGRY LWATLVVEELNRTRVINEIQIQRIIQSAPQDITGLYGRILEKMCEKKQTRVSFIKQVL LWAVFQQERLQVAGFNIGKALGMAMEMYPRKKITSQEAEEFLDGNVETKVDLYCGQLV QFRHGRLELVHRSFKEYLLMDLPKKASKPFLGGLYLGWEHSHATLANICIAYLTLPYF EDSGTSLEAGRMDLWESKVRRRIWKHPFVRYASLYWYKHLSAAGKAWPGNGNRQVKEE QRQMLEDATTGYAKCWTEVWWFYTRGPTQVYPQERLARKIISMSKPSIALKEEPATAS PSETKKPTGAEKPPSLSRDKPSVVGNSPAESKTANAPVASPIPEARPEFMPRGKADRV DAVKRESSNTPKRQHQFSESPKSVPTSPAQSRRDPSLNEAPRKKQLQDTLPNNNEPPW DKESKTEPPKVRPPQETSPEAGKGKRPGWWARVKRAGTSFVYEALDFEP
SAPIO_CDS0065	MAIISLSLLSLFLHLQSAVAVKPTPPSPCAVSCQSALQEAGLWS QIHDLRQLNACDDTTVFEMAIYNKVDDPNTHLTLKACSVPKNSASVRRETAVAADISS STKGCTDEAEITQTKVDLQRVVRDASDQTISLDDVSKAADALSAHLGKETNCRTTALF AKSGSTIIGMYAGAAIQKGSAMALLDRFSSELQSDPRGSQASVQLCGDRRQSSLVTGI FVDNRGNVSAVQEAVRTWSDAGCITNYDEEHTMSEVEIGLLPILSIPLSRVRTEMDNG SRKELEARSLTARAECKAVEVVSGDSCWSITQSCGITQQELEKYNPIDRLCEPNVLQI GQTLCCSEGSLPDYSPKPDADGNCLAHTIVKDDTCAKLAAQYKTTVDKIIEANKQSWG WVNCESLGETQVICLGPVPPPMPSINPDAQCGPQKPGTVRPPDGTNLEELNPCPLNAC CDAWGMCGITEEFCTPSPADSGAPGATKPGTNGCFSNCGTDIVNNDQPPKDPFINLAY FEAWNMERPCANLDVLNIPDRYTHVHFAFVDVTPDFAIDTSKVQEQWDRFLKLEHAKR IVSFGGWAFSTENATYKIFRQAVTKDYREQFSTNLVNFIESNNLDGVDFDWEYPGAPD LPLPKSKTVAIAAPASFWYLKAYPMGEIAKIVDYVVYMTYDLHGQWDYKNWWASPGCS PQSEGLGNCLRSHINWTETESALSMITKAGVPSTKVLVGITSYGRSFKMAEAGCTGPQ CRFVGTGEKFESAALPGECTGTAGYLSEYEIRQIIRMAEYPGETWPDWDIADDEESTE DLYVSEPTFETWYDEDSQSDILVYGETEWVAWMDDVTKRERVERYKAMNFAGVSDWAV DLSVGYDFTKENENDGQEEGVDPCDLNRSFNDLQDLEQNGADLSNHCAGIHALKILRS MLTEAVGKYDNLDQNYDSRFKYYEEAMRGMAKSNLGKCMAWSSNGPCNKYFTCSWSEN NQEKAKGTCPLSGYNANDLATFTLTYQLNDEDGFYKTLQEEFGVSKDWVKIEDVTIKD KCIGTAPPPGANPRPVSEEPISRRQCEKFQKEYKGYPVIRDDAEIPNPKEVVKAAKEN LDEMNLELTVSSIELLLGLWEGEVNELVEVMAMPVLLVVQSIESMEKVKEIGEEIEEQ KREQKMKDIIFGVLMAAFFLIPVAGEAALALGWTTLTIARIAAIIGLAGDAALSAYSA VEDPESALLEALLMLIPGRGLTRNKDNVSNIFKKKKAGGGEALRAMGDLWKKHDTSIQ NIVSVCRRK
SAPIO_CDS0068	MTSTIKISAKPNTDIWRKPPNINAFNAPFTPLARRPLPTFTSAQ LTLTFLPTTQYDQAGLLLTFHPPSSSSQEPTALPWIKTGVELYNGSPRLSTVACPQWA DWSVAPLLDPLNEGKEGVVKTTIRVERMIDHHGPSLWVYAVNKDGGKESLREVCWVFA LGKEDEGKGDWEVEVSAMAARPAGDEALGELEAEFTGIEVNWD
SAPIO_CDS0069	MDPPTTHPPPIPRQSRHQSRQRALQNHPSSSNTYSRPLESRQGQ VPPASPEVISSLITSLSVISKPASNHFEGPAANFSAPGSPREGSFGVDYGAYSQPSQD EDHAHGLSLDELAASPPVIRTAKPPSGFSPLTAPKSPSHEPSAFKSFLRTASRPSSKG SLGSRDDTQSIGNLSIERGVSPQQPELQRKRSHDSWGKKQGRSQKGLMYMSSKERLRE RELEKKRASIGAVGGALNGFSPAASSRPDPFLAETPITEEQSVHESPRRDPSPEKTKT TTLTVTMPASVNVDLPSPRPIPARDSSLRKTGSGSKRSSARHSRSKRDGDLPTDTIHE TDEHTRSRDTPTSTSPLPPPVPSKSPEVTTLRHPAAQNVTTTTITREFPSSSKSQPSH YRSPSNSRLEGMNIHPHSADDLDNEDFAPFPSVAQGRRRDASYDRGRRRSGQITPDPL DSVRIKRSSSKLKRGSGPLSPRAAEDKNGGNRSRLSSPEPAVPHGYERPRSADSIDDA VESYLCSPRLSQKIRHPQTGRVISFSEVGDSEGSAVFCCVGMGLTRYITAFYDELALT LKLRLITPDRPGVGDSEPYADGTATPLSWPDDVYAICQALKINKFSILAHSAGAIYAL ATALRMPQHIRGRIHLLAPWIPPSQMNVFGTSQNLPPTNAIPTSQRILRALPTPILKA ANSSFMSATSSSITSSLPKTQRRGKRKSNNNRASDAPTLGDQPTRGVASNDKENIGHD AQKNGQANGDGEQLSNGAGVPTATENMDLVRPSGGQGAHLKNSERAVIAAAATAMADK ERQMTYDNRLTHAIWELATTGANPAVDLLVCLERRHTIGFRYVDITRPVVIHHGSRDT RVPVENVRWLGKTMRRCEVRVLEGEGHGLMASATVMGSVLMEISKEWEDWMRVTGTSR TRSGDRGRRMGTAVR
SAPIO_CDS0070	MSDTSKGLAIWPYFILLILGSFLCIGNAVTTDATLSANITLSPY GVNTPALAAGSLLNSRHDTVSPLPSAYDLAYMDFDFNGGNLMNREVSSGPTASDCPCC ISQTGSTLPPFSTASRDPRPDVAPGSFTGSVSDWTSTVTIPGQPIANNRIMQCAACEG SVCVEWYGSEAPVSETSLSRRDEPDLLQPFLTNPDGWKAGSFDWWRKAYDNVRLFGAS FGPGIKNREKLDPVVRKWSHGSHAKFIPFDFTTSTGERIYREKGFIGGVTPIWGCTAI LIATDRGVYTAHLWEVPTFKPPGTLTRPRREAELEWDWRVTQFFKTGNEGIIEPKSPA LAELAAEGREFSDVTRRWIRVHLWTPYNTYNIGTPRFPDLVEKIDEELIHHLKIEKSQ IHRHLYMRKPPKDAFGNDINPHEFYSAQPGTSLVMYQYAPKEKNGGQGEVIQSGEDDS EDEESDHEWDLDYWSSDEDANIGKGKQPARKPEPKPKPDEKPGDKTGEEPSKPGPELR ALRIWFDRKQVETKLWCPHGANCEEFCEREIGLLQQTSPTSTQALSSFWRRAKGIISP RTPRTHGGLFGDKLTHPDAWPSRRAWWERVYHFVTSYGAVADPMGVESGDDYSPNNAV YTPLGDYGIGGGTGPLFGCTALLIATEKGVYVAHIWQRPTFVGASHDRHVRSPHLYEP EALWKRRITDLFELGTQPKAGSSTRPGPSLVELSQGELGPAGPGGFRVVFLIVPDRTR DIRANLSGKPRYKEGTKRLKREVADILGISRKDIHVRTYFKREPGSTFGFLHQENPAY YRQNAGNNLLFWQYGPAHSPPQQLTGQDTVKAIRIWWDKRVIANIEWCPENAECHPVP SCGATDDESSGEAGPSLPLLPRSPAAGLLAGYDGANDTVSPHNVPGFDLVRRGEAAPS QTSQAPPESATSEPASLAPPDDYNGLWQDGIFQPQLTHPDHWELGPNDWWRQMKNNVD MHGASTGKGYPSPDDFYSDHATFRKFTENPIGTGVTPMWGCTGMVVVSNVGVYVAHFW QIPLFEHNDPRSTNRWSYEPEDIWQRRVPRLLYHGYPPKPEWKRQLPAVPALADLTKP GQAFDPDFRDWIKVTIITPDAETGRRTKTELYADKIERLRQEVAEVLDIDPDGPDMMT RTYRIDQYRQFGQDSDDMDALFDNLPGVHLIGLQYAPDSSSYSKPEEKARSLRLWWSR KILWRIDWCPPDEESDSDSDESIISGKGKDKVCGSRSGSPNAPPKPGEDKGTSMDGSP TGSANSGSPTNGTGGQLPSSNATLTGSGVVGTGISAPTNGSTVLPSWTNSTITSTSLG VSGTAGTEVSITGLPTNGTSVETPSFNATTTLMPTGTSNTTGTEVSITGFPTNGTLVE TLSTNTTTTLMSTGVSNTTTVLSTDTGLLITGSQTGGSMGQPTMTGTLNSTTVLSGSE SEAGTGTSMIVSSTTTTKAPRPTPSGNTCGIQIARKVFPYQDMYTEMTGIMGKFEVFP NNTLGIFYVTYAEIFNITLGDMVQLYKRDTEQPFDMRIYSGQSWQDHLWNKGYRCECD GPNGACDIYSRKCCSAGNCDDVDPYICNCENPDTNDVLCWPDHEDVASCCVTEEGCGW VVRDPDLSRPPLDQNLPRFKYAGWHWHMHAPRPRHGLPYPPEQPWCEPLPDQMNDFGG GEVGDPAFGHVYNYICYFNCNGEDGR
SAPIO_CDS0073	MVSALTNPRHLASQLLTFGLILSSAFMMWKGLSIATDSPSPIVV VLSGSMEPAFQRGDLLFLWNRNIFAETEVGEVVVYNVKDKDIPIVHRVVRKFGTGPNA KLLTKGDNNVGDDTELYAKGQDYLERHDIIGSVVGYFPFVGYVTILLSEYPWLKTAML GIMGLVVILQRE
SAPIO_CDS0074	MAWETTRRRLVRKVNSKYIYGRIPLLHTVVLFIEVTLLARLTTK FSVYYEDRPVMTMMITNAILGGMADTVAQLITAINARKPLKPGGLSKHDPLSIELHEL DRKDGLLGKDFEKRGMAFPFDFERLVRFMAYGFAIAPAQFKWFRWLEELFPITKESAL VPALKRVVCDQLLWAPLSVLAFFVFMTVTEGGGRRAITAKLKDMYFRTLMTSYLIWPA VQIVNFRLMPIQLQLPFVSCVSIAWTAYLSLANASDVTDSAFGEHWAG
SAPIO_CDS0075	MATMTAEERFALIKENLEEILNPEIIEGILAEGRNPRVYWGTAT TGRPHCGYFVPAIKIAQLLHAGCEVTILLADIHGFLDNLKAPLELVEQRALFYKRGVT AILEAVGVSTDKLKFVFGSSYQKNADYVMDVYRMCSLISENDAKRAGAEIVKQSDNAP LSGLLYPILQVLDEQYLDVDAQFGGLDQRKLFIAAKDWLPKLGYKERAHLMNPMVPGL QGGKMSASDPDSKIDLLDPPELVAKKIKKAEAAPGVTEGNGILAFAEFVLLPAAGLKG KRELVVTRERDGLEPLVYTKISQMHEDYKNDILTPQLLKPAITKALQDLLAPIQAAYQ ASPEWQEITLKAYPPEEKKKKEKKVKNKGTRYPGGGAGPAGGESLPVRPAEGAAGTPD GQPAA
SAPIO_CDS0076	MASTSESKADATPTTERPTVIVCVGMAGSGKTTFMRRINSHLHS KGSPPYVINLDPATLEVPFDCNIDIRQSIKYKDVMKHYNLGPNGAIMTSLNLFATKVD QMVGLLEKRAKPDPEHPERKPVKHIIVDTPGQIEVFVWSASGQILLESLASSFPTVLA YIIDTPRTTSTSTFMSNMLYACSILYKTKLPMILVFNKTDVKDASFAKEWMTDYEALR EALADDELRAETEGAESGSGYSSSLLSSMSLVLEEFYSHLSVVGVSSRVGTGVAEFFE AVKEKAEEFERDYQPELDRLRTAKEEEKVKKRERELEKMMKGMSVQGGESDLVSKIGS VDVVEDSGDEEYEDEEGGSSALQDRYKAALGGGGDSVEAEASYAKYLHSQRQ
SAPIO_CDS0077	MSREEYQIPTSTADHAPKPYGGVDAVSSKNPNLVYICGDCGVKS SDHQTVPFLRCKFCGCRILYKDRTKRMVQFEAR
SAPIO_CDS0080	MAPVDQTKRTFKLNTGAEIPAIGLGTWQSPPNQVYDAVLSALKN GYRHIDTAFAYGNETEVGAAIRDSGVPRSEIWVTTKLDNPWHKRVPEAIDASLKNLGL DYVDLYLVHWPSSTDPADLKKHLEGWDFVDTWREMQKLVGTGKARNIGVSNFGIRNLE RLLNDESCKIVPAVNQIELHPCNPSPKLVAYNTSKGIHSTGYSCLGSTNSPLYTNKTL LDIAAAKGRTPQQVLLMWGLQKGWSVIPKSVSEDRIKANFEVDGWELTEEEIKRLDAI PERFKVCGDAWLPVKVFFGDDE
SAPIO_CDS0081	MDSSSIYFERRDKLDDFAEQSPGVSPILDRFIPRAAMEDSAYFT TPNGAYSTLQGDAYTLAPRGSVDHRDPTTSFGLDFLPTADAMPRAYPAGTLDPAVTTN TTSPGDPTFCDIDPSRALYNDRDSSEECLGGGMHGQTAIAFDSSYSFLPSPPDAEEGA EGTTSTTPAISPRQITEPTFADVESGARSNSAANANKQRVERKKRRRHSTPVLPTKDK QAPGGPGDEKRRSTTQLRTASRAPKRYSQSTARKPAETPEEVKARAAHNQVEQQYRKR LNAQFERLLAVLPQPDYDEEGMDEEGGEGGGSRMGMEKRISKAEVLDLARRRIKLLER ERATLERQKDELLGSVGRMQEEWTRRLGGPMPTAVKVER
SAPIO_CDS0082	MSSRKRKQEEEELVSLPSDEESEPEFEPDEEDIEDEEEDTGDDE EYNDEPEGDEDEEEAEAEDKGPPVKKRKTTNGVANGDEEVEVEVEEEEEEEPEEEVEE LEEEDVEEIEEEEPLDEEGNEDEEVEVEEIDAINGEEAEQPVKNGIAAKAGEASEPAR SGLEDTASAEDKLKAAAVAVGGEAEAEA
SAPIO_CDS0084	MSAKRIQKELGECMQSPPAGMSVALGADADIHRWHVTITGPSTS PYAGGTFGMLLDLPTDYPFKPPVAKFTTRIYHPNITNDSLGNICLAILKPDAWKPSTR LSAVLEAVRNLLAEPQPDDPLEARIADEYRKGRGMMGGIGMDLEMGVEMEMELNPPAP PYVPNPYGSGQNQFPPRATMQPGDHRPVIAPYSPICNPPSWNAQISGVGPLASGPVVD PRVQPLHHVGPGPPVPNPPTVSHDLPPCAFRPHAPAYGSGSGPVPYPQAWSHGGGGPS FPNLPTVSHGWPPTSNAHPLGVNPVRHGRPGPVPSMPSQAPNFTGPNPPISNPVMLSN IPLRPQPASIPPQVPPPLPTNNPQPMGYPYTIQPTPAGLAFDGARSRSDPSLQNLSNN FSAPQPFDERPDVPRSPNLPKLEMIPIRYLQQLGLLPEPWPANGDGDAAKELAKALIL AHEHEVREAEIAAYLVRKKAETALSSMRIEPEKRTGATDIGMLPEPSYDAMDLDWGGD GTGGRESAGRKRKRTQEMDEICQESQEDGRKRRRGRG
SAPIO_CDS0085	MAEDDEEQEDLSPWTALANFKPAFQAINIEVEENVEDELDTLKE LQVDDALKVFQNALKLHAQGPSAFDDAEKAYKDLFQSEIFKYPEAATEFDRVERQPEQ LPLVAAAAPTAAPLAPELTVSAADIDGTGTSLPQTLYLAHKNRGQLSIDRLRHEARKN RSNMDEYFIREDVRDHARLALTDFSSALDRDPSDAELWRRTARVASFLNSARTSRYCL EAAIELDDDPAVLEVQPPSLVESLAGQQLKNQLELLSDEIGLSHPVVAPWLDREVPEF VKRWLDPLPFLPDPTKNLEEARVDRDKLRRDKVVVKVSSASWKDVGLALVSFATHEGM TGDAMNLEVPDLPEEEEKEDEEVEDVDMVVIPTPKDDDHNMESGGDSPSAQLQAEVAT PEEITPKSPISNGQPVTEDPTKTTETRNKDRAVSQTRKRSQSTAGVGENGGEDENGVE KRSKRTRRRDTAAVEEVIDPSALYASKIAEYQAVDKHLFQLAKDILENLGVTDQATID AIGQVLESCDSEDRLSKLSSLAANDLRVALTSFNEANAKILSGKKDQPSLSLTSFLEH AKGASKKTSPTPAFDESRGLTAFVNKVNSGWLTIHDVAFEWIKCIAQSYLKSTWSEEM KVAVVQLISHVDADIYRRVMDEISMAQDDSDSEALDSLVQMLFELHLDVYDRITNPNS IVKYSVRVETRGRLERWQDMASSAIRRSARLTTDPICLRFIWASIFFTAVLEADVPRD HILQCYRSFHDLMISNDCPQIDLPNNAVIPLISPTAAEREVSKLTTMDFFLTLFQEDM NDPVMVIENLEPVLNPSSVFVEIYGLHLPNEDVSAGREEPILIPIQECASQGLLDLWK FLESSDTELRLFLWSRLSEAYGAIKYTTKQFSCRLRSIEMIIEDLASETYLKTPDETR GALLMKTLKSLDELLIIALTLALNETTAFEIIDEDHLRSTSSALARISALLHTAAICE DEMRIASTLPSPTSTSALQLFLNKLREMQVRAWCLQYTVLRAGIKHHPDIFCNPDNDR AAFLAAVHQVLGIRKFCKASNKIFLKMMRVELLKIETIDNWEDYLGQVLFDLHGLKLG VGMWEVEDHQCPPEKLEKRTTIQLVERMTILASRMPIKELLKSDLKTTIERMQQTMGQ TKSTPQMIHNLRNFTEFLKKPIHPLRLYEATKGNVTLDAVGVNTQESTLAKYGWFFLL GMIALTKFKGVDLNRRQTPGATDDLRIGATFMRLQLQFTPGKWDAWFRLAECFDYELD EAVLWTADKMNKDRGELIKLQRSAIHCYTLALSNSRHIDPEGEEADALYELHHGFGMR MYASSREPFAMEAFHHSDQVRFFIANNSGTYLRSVHDEMGEYQVWKFAAFLFRKAMAM RPKDWKNPYMYSKCLWKMYQRGDKEKDSPIVSLDRLIDALKEAARTAAAMPKSRNSDP ILEPHYKMLSILHKLVLKKDTSGSEAAEILSEQPFGIEHGEDVNMDDNDNWEEYVIKS LRHLRDKDKSNWQHRMIMRHAHILFDDEEDESTNPEYLQVQAKAAFNVLRESMFTKTM VMNVWKCDAERAGRHHVYTEQYVRFMVKLLLVLEDRTNLEALLRRIRKKGADFYHFND LWQSCCMAYLHLLRRTYKVAPTIDDAFKSVALDEFEGNTEHILEWAREESEAPVLGCL KDAIELKKLNSNLMKATAIDDLISDCYTMIYLEARPHMEVSTTETVEGSAVQSPDAMA IDEEAKETGIKEEPEGGVAEKKHGSLRSILHAPAGADIIDDASSMAIDEEVAEAGGDE KPAEAAGAATVALASAETTTKPRKTGIRRPDILRKAEQVVLRLLEPNKVEPVGPSGAN KSRAVSISSPKNTEAATTNGDHGGADAGSDVEMEDGEPAAKEDADEAGADETAEPGET GGDDVAGEDADDEGGHEGELLSSPLGSIHDSADESDLTDVPPDSDDEGGQGNDEEDQE EEEDDEEQDEEEDDEEEEEEEEEEEEEKPHKPVAALLFPNLRRSSEATRASKNDEKTE EEDTAD
SAPIO_CDS0087	MQHAAVFDYPTPPASPAAFEHPRCPIQQQHHQSPVVAQPFQGRC LPPSPEARIGMLLDGKLQLTKVLGTGAYGVVYGAVDINTGVQYAVKCLSKFNADGTPL DRRQYAFQKREIRLHYEASDHSNVVSMLRIVDQPDCIYVVLEYCPEGDLFYNITERGQ YVGKDELSRRVFLQILDAVEHCHNRGIYHRDLKPENILVTDNGETVKLADFGLATSAP RSDDYGCGSTFYMSPECLDPSARRPYYLCAPNDVWSLGVILVNLTCGRNPWKQASVED STYRAFSRNPDFLKTILPLTDDLNDILRRIFSQNPDQRITLPELRDRILACARFTMPA VPTDVQTPPPSPEPTIEYVDYDEDAIIDDAEYDAPLSPASTDSLSSDEGSLTSSVESV EDLEDAFMQEQHMPQHTDNQQCQPATAYEPEEPTMIMFPNQEYVAHTYTGPVPVSVPI QQPVPMHAPVAPVPIAVPVQAPSCQPKSHFTFWWWDMLKYAQQVPVLQPHVPFHQQFP LFPVPGY
SAPIO_CDS0088	MAPPTSSEEGEIIESVVEDLKATSLPSNERNGVDRQDRPRRRYS PSKSPDRDRSSGDRRSNSPRGYKRSRDDRDYREHNGGRFGNDSSRRFRSQYDDNRGGD YRRPRRTYHDLDRPSSRSSNSTPYSGRDRDRDRDRSRERYADRDRDGYPDKRARKRSR SPHRRERAERFRDDRPRREERRFERSGDYLRTVKYDDNIDRKSRAGSTVSRRSDESEI PPTSKNDAKPGQGHADERKSPRDHEVPSYTTQTIREQDSVEEPEPEPEPEPFDEDAEI ERRRKRREALLAKSTPATPLLVHALHGADKSSVTSPSRSPQSTAGLHERAASPQSGKG IFINKAISANSPDVGSPASHEYADDAELDAMGITNDQDLMNVHAKGEANDEDGPSAAD YDPTADMKEDGLKDEKRHGNVGLHGERGGPLPSLVASTTVPPEASKAKDESDEDDDFN MFAEDFDVDKYAATKSSKAAAEQGADADGAGGLLEDVDKDGYYKMRIGEVLNGRYQIQ TTLGKGMFSGVVRAVDITNKKLAAIKIMRNNDALRKGGFTEIAILQKLNEADPDNRKH IVRFWRHFDHKGHLCMVFENLSLNLREVLKKFGNNVGINLNATRAYAHQIFVGLAHLR KCGIIHADLKPDNILVNESRNMLKICDLGTAIDRLDAATAHNEITPYLVSRFYRAPEI MLGMPYDYAIDMWSIGCTLYELYTGKILFTGDSNNQMLKSIMEIRGRIAPKSYRRGEL AAMHFDEKGNFISVERDKVLGKTTVKTLALIKPTRDLRTRLMAASSGMDDAETGDLSH FIDLLEHCLALNPDKRIKPSEALRHPFFTSRTGVVRR
SAPIO_CDS0089	MGGADRQGGKVKPLKAPKKAQKDLDDDDRAFLEKKKAEEKARKE MAAKAGGKGPLNTGSQGIKKSGKK
SAPIO_CDS0090	MAPADWEVKAERCRKILTDSMNPEWLLSPQDLPPPEQLDVSTFI DSCKLLTPRELEITRTDATDLVAQMGAGTLTAVETVTAFLKRAHVGHQLTNFATEFLV KESLDAAQKLDEHFKSTGKLVGPLHGVPISVKEHVGFKGRVCHTGYVAWTDKIAEEDA LILRILGKAGAVFHVRTNEPQSLMHMDCSNPIYGATCNPYNRKLTSGGSSGGEGAALG LRCSAIGIGTDIGGSVRIPAAFCGVYGLRTTALRNPYKGVILAGAGNESIRCIIAPLA NSLADINLFQQALLDAEPWEEETSLVPLEWKPTHIQPHEVTIGIIWDDEIMRPYPPVL RALKYAEAKLKGAGVKVVDWAPLNHQEGFDILSALYFPDAAKTQKDILAEGGEPIDFL TTWAFGLAKPEPLSLAENWEMNVRRENYREAYHKAMTDRGVDFILCPAYMGPASTLGG GQYFHYTSIWNALDQPAAVFPTGLKSDPKIDVADTEYKPRSAAEQREYSKYVPETFAG APLSLQIVGKHFRDERVVAATDMVSKIVQG
SAPIO_CDS0091	MAPATPSSDFVPGTVHLVDLEGTMRSRHAEGGRRDVVLIPAPSS DPDDPLNWSPRRKNLFLFCICIYCLAVGIASAAIYSVLVPISTATGLTIETLVSGTGY MFLTLGWGCLIWQPLAQKFGKRPVYLLSLLGTMAIMIWAPHATTNGQWIANKVIQGVF GAPIESLCEISIADVHWCAIFNGGGFLILFFLMEETNFNRKAILAVDVDEDTESRLTP VDQPSRNQSDSEKAAAQEHSISNNIDTAEGQFRPRTNLTFLQKMKIIRRQDLQNDVPL MGMLTRPFVFFSLPIVVFSGFMYGAIVCYFNVLNGTASLILSSPPYNFSASIVGLSYV SCLIGVFFGVAYSGPFGDKFIIWKARRNNGIMEPEYRLWLYSLLLITIPGAMLLWGVG AAHKVHWFGLVFAMGVLAGSVAIGCQLPISYCIDCYKDLGADAIVTVIIIRNTMGFAV SYGITPWVMNMGYQDAFLLAAFTALAQTAVFLVFIKWGRQIRKASVDRYLNQGNASSP NGEESSYELAVRYKHAFFTMAFTAGSAAPFSEIEFRLFNYFLDSDGLSPRLVLPTWNK SSFQEYQCEIASMMLHCECVKYAVMAACAATQFIRFQQKRHQAVALTCYSRAVEEVNQ ELSRVQSGQTVPGISLLTAVIYLYIYDLWSLDNVVDPRKHVAGAMKLLNVVFSNESHQ PFTSRATGRLTVESVLYQASLLSMRRPFTAYFPVEGQWLDQVESMLDSTRTNTATSRT DSSPVLGMPLSLYRLILDVLNFRNWASQQSADSLARLRTEMDFWEAFVFMDSNTASLS PEDGGSSSETTILYVLAASLLLDWITEASTSGLWAANAPPPDEVIFGSRRPGKGPWQL CQGLEILRRPNASSAWCHGFLGVWPILIFGYAVSSEGEMMLLRNLLRDILQRLTYGEV QRTLDELEDVWSVRKAQVEEWGERGDVDTQELFSPRMSVQEMC
SAPIO_CDS0092	MDPKRAEEEEDNPSLRRRRRRSSVASISSVASIASIASVADSLI AKAKHLEAEVERAFLLFWDDLPAWRRDNSYILRGYRPSTNSFADCLASLGYLHNETVN IYSHLLGAVAFSSGATFLYNVIAPRYEAATSSDVLVFACFFAGAVCCLGMSAAFHTIS SHSEVVARVGNKLDYSGIIFLIVGSYVPALYYGFYCEPKLITLYLGIMCILGLGCGIV SWLDRFRTPTWRPWRTAMFIALGTSGIVPVIHGLQKYSFQELEDRMSLSLVLLHGLMY IFGAVLYAVRWPERSFPGKFDIWGSSHQLFHFFVLLAAVTHLYGMSKAFDYHQRVMGR QC
SAPIO_CDS0093	MPPLRRSTRLRRPVSAGADPESEPEEEVTVLALMPKSRKPRRNA SAARAAVEGSENDAERGDSEVARAPGDEDAGSEAASGEDEEVGEESEIEGGEYQQEGD EEDGDEDEVESASSEDQDEEEESSAESDASAEIRVRKAEEKTGKADVDPNEIEPYPFS KKTTRAYEGPFKRQRKTPHIFQYMYGPDEAHTMLAYNMLNRWYGLDTLPERPTDDDST IPIRTPWLPPGFEASQQQAWSEWIERYRKDENIRERQKCVKLSKAGMAPYLVKPEGNL VVLLGPYGEQTEATFPGGANRAISLNELDLPIDGNTSRGGAKHPKGWMFDVGGLVLSL AWAPRVDKTDQVLALCVVPHSDQHHPNTAEKDAYKGSRKHGIIQFWKFSAKKGKFDIM VPKADPAILLRTLMFDWGRARRIKWCPVAPADESLLGLLGVLTGDGKVRVLEVENVKP KERSTFSKVETSMFTLSIPDEDGVEATAFAWVNTNRIVVGYTDGTLALWSLYPLVLLS RHPIHTTYIVDIATGYPSRPYLVASSPVSGFPALIDFTCPAYETTGFTRTTITTQPNL LQWHDHLQGFLSCYPSGSVTETSVGFMHHRYFPHVRRVADSPSLLTCLAAGYTHPFLL TGLRDGSVWACNGSKKIFASRQDRPLKLKVFEHEFRPAERFDGPGSEEAAAEEKFKGV RGAARILQGWEEEANVWRIDRRRSIRNTGQKKATRTPKKKMKKDEEGDQEGENEEEED GGPMEVDDEEQNSAAEVSGDGDDEPVSKDTRALINHEPFTRIMVMEWNPNLEHGFWAA IATASGLVRVMDLAKGET
SAPIO_CDS0094	MQEQQDTTVRDFSFLLRPEIYHPLTPLNVPLAFRNSPKQPSPDT SLEELLAKGFYRAAAIAAVQELTSAAPGSPRIDPTDHKKIFNLLYVRLSCLTLIDAMP QAAQEVKAFEDMNNPMLYIDELTGEHLVPWDLRVLNVRLQALGFGDPRRAVMSFHDLA REARDNIARAKAAHDNSARELWKDRLHTLGIKIAGALIEMDDLSGAAYQLSTLKDRED GKVALSRALLWLHIGNADEARHVISRSGSSTKVGEKVVLALADMADGEFEAALDKWRA INEDEEQGDEMVGMNMAVCLLYMGKMSEARVLLEDLVQQGFSSHTLLQNLSTIYELCT ERNKKGLKLRLAEKVASMEESERGWERLNVDFKL
SAPIO_CDS0095	MKYQNQVVAALLGLASANPVIHKREVPQEQSHLLYLQIVKEFLD LNNPLGIVDPVFGLLGNAAAADGVTADINLDCLKQFTADQAFTNAKEIGDVRGMAAAL VYQALERNTGGVGVKSVICPEDAVNPEIAAFDQHQDPASDGATALNTGITLELAKQLA LIGVDPLVALESGTFAPGDVNDPTGAGNTCDTAGDLPGCIFTERLLVLDASPDEVLAA VADITPTFTGTGVISATNIDFVGLALATGGVFEGSDAVATQTAADTAADTAADTAAAT ETATATANPCTAGVPAATGGAKTGKNRGNGRNRGNGRTRLRVGATRGRGRTGATKNKG NKNAGAAQTAAATAAETAAAASQVCSVVTRTIPNCAAKSTAAAATSAAATEAATAAGV NIQSFTGTLGGAPPPVISSAGDRPFSVNGNTFTGQQAALSRSCDIQHNACANAANSGQ LAGGTAQCEQQLQECKAQNSLRKRQALDFGSCTDPTIKFGVGFDGRREASFAPSNNAD FNHGSAQRIGIISSFICQRLGSSCKASAATVAACEDASAQALAATQDQTAADLFNSLL TGAAAVGGGAAEEDVAATATATATQAATATAASNFVVVTVTQCS
SAPIO_CDS0096	MKQLQAQKRPPLGSIRNDRREGPNDEPNPRQALNRPGNGPGTPG VLSNDHDVQMSDADWSELTAKLICGFNEMRNELRELRQEFRGSRKAAGGENSAKVAVS LGDAVGGPARHEQEPRRAAESEKATRFPIRWPFANTSSSTQGVAPPEYSPMYTQEELN IEIAKVESTHSQRVDGLNHKLEKEKNEKDELSDRLQKEKTANEGLKRELQIKERKMQT ISKALTRAGRSDNPMIDEEIKRRFGELKSDLQSLVRRHFSLTLPQDAYPQAASPDMPE LLLRQMIADQLHTHFFSPNAMLFGLDDEMLAQSPFKRFERSLQDAGCDDAILREWRIA SVKACHALNPTDDMTEFVSDRAHCIWMNREDRGKHSFALRDYCIHRDKQNHEQAFADL EEVCGKAASLALLFRSSSFEYEWEQPYTCLKQLHVIVEAATEEAARKVAVTIARNPLA KTVLYGKDANWEHILCATGYSPISEPG
SAPIO_CDS0097	MDESDTESSGCSDALEGDKLVIGLDFGTTFSGIAYAFSNDPEKI YSITNWPGGEDRIVPKAPTALRYTKDSTSEFQWGYELEGSLEDKITGLKLLLDPEQRR PYFIPTNIPAELAKLPKSTLDVATDYLRALYDHAMAEVKGEYLDREFLENYQQQFVLT VPAVWSDKAKDMTLRAARAAGISPVEMITEPEAAALYTILSVKNKGLKVRDAIVICDA GGGTVDLVSYEIMSLNPFELKALTAPSGGVCGSLMLNKLFEDEVHKVVGDDAYVTLKK TEAYRSALRDFDSTIKLAFRGKTDTDKYVSFPLAKLQDNKPMGLERDSLTLSGGTLFR IFDPIIREIDKLVTEQVTSVRMERLQLGNTAGASVKAIFLVGGFGSSAYLKDVIEKSN PGVLVVQPKEAWSAIVKGPVMSKLGIGPTVTSTRAAKHYGTSCHSTWRPIRDRGFAKI HDDLDDEDKCIIMSWFISKNDDLSREKKIQLSFYRKWKGKNPTGAKMKVTDELFECSD PVAPVHPSDDVNINCRLKTDLSQVPKSAFIFQKRPRDGLEYFELHYELQIENNPSGLM KFSLLVNDEEYSAVEATY
SAPIO_CDS0098	MVSLIPRRRTVRRLTFYTIILFLIYQLAKLTLPADNIIFVSLHS QKVYLQSLFHSKAATDSWIRSSTGPYAVDFSSRVGLLIKTGYGTRERLPAQLEALGLD KWDPDRAVVVGDFEQDANATAGAPVIQDAVGSIMRILAPKGLNRMHRFKRYGALKKAI DDKDEKRADLLASNVGWELDALKTIWGLGALFRTLPPKDWYIILDDDSFLVTESLTRL LSHLDPTKPYYLGNTLGSTLSRFAHGGSAIVLSRATLEKLFRAHPDAAAEAQVNSLSE TWGDKLVAATLRKLNIYIDERFSHFFNGEVPLDTQIASDRFCSPIVSFHDLKKAEEMR ELGRKFRERGRRLTVWADVWDLFGGANTRGFNDRPMRQDHDYVGKKVDVRKVKMSKNV PTAERCMRLCMRQRKKCLAWRWEMKSRECYTTAYSGCSRESLLISLGDSSMNFPGQRQ E
SAPIO_CDS0099	MSRSATPNPVASSSSWSAFLKSIASFNGDLSSLTAPPFILSATS LTEFSSYWCEHPPFFAAPAAESDPEKRALLVLKWFLSTLKQQYASRSEKYGNEKKPLN PFLGELFLGKWEDEAGVTELISEQVSHHPPATAYSITNIPTGTHLEGYNAQKATFSRT INVKQIGHAILTVPFPDKTLPAEKYLITLPSLHIEGLIYGAPFVELNSSSFITSSSGY TSKISYSGKGWLSGEKNSVTAVLYHEDNERDVLYNAVGVWTKSFSFYKGPAKNNSSST LVETYDAASSPGTKLTVAPLEEQHPLESRRAWSKVAAAVAKGDMDAVGLEKGKIEQAQ RDMRAKERSEDRVWERIYFTAQDGPDEILTELAPRVGLGVDGDADKTGGLWRFDWEKE AKVKKAGKPSEEEVLAIERDLLGQ
SAPIO_CDS0102	MRTTALRIAAVLATLLSVVSGRPHELRGAKILQRADEVDEEYDY IIVGAGTAGLTVADRLTADGKTTVLVIEYCHVISNEVTRAGGGRNFNDPGIRYNITSV KQPDMHNRTQAVRIGCCVGGSSAINGMVAVRGTRSEYDGWAELGGPDSTWNWEGVIPY FRKAMHFTPPEEELAKTFNITWDPDAWGMEEDTHIYASFPTYQNPSIIPMYNAMTKMP NMDVPLDGANGNNGLFWFPSSLDPKTYWRSYARTGHYDGIIDERDNLAVITRHKVRRI LFDDDLAATGVEFFPRDSDAAEPEEGEEEGEQEEKRPVSKTVKARKEVILSAGTVHTP QILQLSGIGPKDLLEEAGIEVLVDIPGVGQNFQDHAYLSVGYRWANGAPPAPNVTVNG DPRTNSSPNLGAWVGLPTVTEDFEEIAARYEAQDPAEFLPPNSHPWVVDGYADFQKLH AKLLRSKNTNILWYPLSGGAGGIVMSMHVVSHGTINIDPADPENEPIVDYRALSNPVD LDIMVENIRFLRRYMASEDFAEYEPEETMPGIDVEGEELREWIREVLIPTNFHPIATA AKKPKEKGGVVDEELFVHDVKRLRVVDGSIMPLLPGANTQQPVYMLAEKAVDLIKARN GGDAETEEPEAGEEGQEPVEEAPEAPEEEGTEEEPVEEEAPVEEAPGDDEGSEHDE
SAPIO_CDS0103	MNSLVSRTGQSSQALFSGATSRLAIAHGRSSAYRRISQLSITTR AGAQEQSHIQSYHLARLRSPFTPESLYVSTRVAIQSRQFHATSIRGNKEKPAEEKPSE TKTEEEPAKDKESESKTEEGENQEQKKQQKTKKEDLPPPPPHGNKTPWEVFKETFQSE MEQSKEWNESTKAIASSAHQFTESESVRRAREAYEKTSSAVSSTTSKVVKTTAGAIGK GATWTWETPVVKGVRSAANVTGEALDKATKPIRETEAYKSVKDVIDDGASSRYGGWVE KEERRRRRELKDKNGGAAPEVFQEDPNAGTNVTLHKDAAWKEAWRDFRDSNKVIQGLF NAKNVYQESENPLISTARSITDRIGSFFAENETAMVIKKFREMDPQFKVEPFLQELRE YILPEVLDAYVKGDVETLKLWLSAAQFSVYEALTKQYLQMGMKSDGRILDIRNVDILK AKMLDPGDIPVFIITCRTQEVHVYRNAKSNELAAGMEDKVQLVTYAIGMTRVPEDVNN PETRGWRLIEMQKSGRDWY
SAPIO_CDS0105	MPSRNSPQSSRRSHRSTLSLQKTEVKINVYDLLPPGKLSSILWT MGTALLHSGVVINGKEYAYGGHDRPGLSGVYWTKPGQVPPGAIFKCEIIHGFTFAAPA EIEATIKDVSAEFMGTSYNLLTRNCNHFTSYLVERLTGRRGPGWLNRAANIGVAFPCV VPKEWVEPPAADTADGELVEEEDNADESSRMLRPMRPQVESSQPRKD
SAPIO_CDS0106	MPPKKQVQEEKILLGRPGNNLKSGIVGLANVGKSTLFQAITKSN LGNPANFPYATIDPEEARVIVPDERFDWLCEKFKPKSKVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVNPTRDLEIIADELRLKDIEFVE KALENQKRKTRVGGQSLEVKKAREEEATIEKILALLNEGKDVRKGDWSPKEVEVINSL LLLTAKPVVYLVNLSERDFIRKKNKHLPKVAEWIATHAKGDPVIPISVSYEERLTQFE TEEESLEEQKRVGANSCIPKLIVTMRKVLNLGSFFTVGSDEVRQWTIRNGTKAPQAAG VIHTDFEKTFIQAIVYNFTVLKELGDEAEVKAKGKVMTKGKDYVVEDGDILLIKAGAA KG
SAPIO_CDS0108	MPPLNPFLAAFFKSPLPAQCAQTQHYVLLVPTTEILLTHREADT NATAQEILLSEEFIASHVLRIPSGSAANGAAAPEPLPNLRDMRGKAKQYNTVNGRNVV IKDGFVYSNKGFRAPNEAQLLFDTLWYSDALEPRQWLIYYISKPLIGTWVEDVIVPAV LVEGVGKKKIEEQNLTSPAESSDRPTIGKKKDIKFFHDLLNHFPIIARHMQPGLERLF RDFTAAFEHPLPPPPSAQTIPDPEPEAEPEQDGSLAAAIRNARENGIGPALATSLVQA DHVSERSLPVTENFYAEDDEDVMRATLESAITAGIDIFQSVDKQQLALIGATTDLTGP IVERLIERYVAENVHHLLFPKLCALKRPYDLELEVKIRQMEFIDVSQLGVVIEGGTKG KHELIIQLGLAIEEFKKMGGAMCPQEMLSLIVSTVKTATKAAEGRGEETQPGSDAASE KPVMTVTADTLVSLLLFVVIRAQVKNLQARLTYIRHFIFIDDIDTGEKGYALSTFEAV LAYLTLDSAGLRKASRRNKALWDAAAKGNLGELRKMMEPSDEALEDGESSDSDSDVNV TSARSSRHASCRSSRRSSLTVSERFSLGSGLGHVFPFEAMEEGEAAAGGPYLSQQQQQ QQQIQVPVKRLKKVSMDTRSLSSNSEISYRSRATSFGTINSALEADLSVERLSQTHDS FGESVVMQAVQNERVEALKYLLSLSQYYPLSVLLEDINNEDTTLVSAAVQIGNHEIID TLLSLILSTATTEQVTKYFAKQDIWGRSLGHYLFHAPYLIAKIGPLVPWRQRDKNGQT PLFALCRSYDQEKYAAMVAAGLDAARRAQRDGERLHLDDHIDNKGNTLLHIVTDALMV HRILERCDVDVNATNDRKFTPLMLASKYGRYDLVRMLVADPRVDVAARELRGLTAVEL AKDDDVRNKFDDLTLFSMPAGADWRITGVVRAFFVEDGTVRLVLKSAAPTADGHSFTV TTCRRSLTDFEALADLLALENPSSWIPSVAVARSPFQIPVKPSRSMLREIQTMTDWFM KIMLAHPTFAAHETLWEFFLVPEIRLDMMTKRSKLKAETLLEKIRDEMEPVRDVREVE QFVDHAREMVRSVNHSTRSVTRRTNRMALVNTDLYDATKLLRRAVSTLEFLPQPYKLA FDIYTRSLEPTQSNPYDTLHTTLQAQQSTITALLSALSRPPSLIAQIRTAQKALERSA MSNGSNSRSTSSSSSSGSKLGWTAGFGAFLDDPRKQQRASEEREEKLRRARGEVDDLG RELRYAQQVVAGELAGWQDMRAGMGRRAIREFARGMVVRERVRLEALGRALRKVREAK EGVVVGGGRERGTGTAASARTVSQSQGFGRRNGNRQSGSGV
SAPIO_CDS0109	MARLSTLGLGLLSSSTTVVASLSNILTYGDLETAEVTSGHGLCG WAPPDPLNRAKPTCSTSLLQGFGTKPNQWFPWTHRPYCADTPYCVFTNAHFHGNQGVS IITTPELAASTLNKLEETFTAPFQQPKSSGPLYEVVDMPGKGKGAVAKRKIRRGEKFM VDYAGLIADTAFPGATKLEAGKKLLDTAVDQLPRGQAIRSLAKSTNTTERVVEDLLRT NSFGMTLEKRNIMALFPEISRMNHACSPNSFIRFSEKTLATAAIAFRDIEPGEELTIS YADFGMLRDERQDFLHVRWGFTCTCALCSLSEEDAAVSDERREKIREVRDAVLKHVKK SEFNQAIKKHKIMIDLIVEEGMLVPLGEYYDILARLYDSVGDRRNVEKWARMAIDDLE LFGGEEIYEQVPELRDLLDGI
SAPIO_CDS0110	MGSLFATESINGQVIVYSRYCPFKPIKLAVIGAGNVGASTAYAL MLSGLAAEIVIIDVNQSKAEGEAMDISHAVPLSSQTRVYAGGYRDLYDAAVVIVTAGV NQKPGQTRLELLQANANIFQKIIPEVAYHAPKTTLLIATNPVDVLTYVAYKLSGFPSH RVIGSGTVLDSARLQTEVGAMFDVNPRSVNAFVIGEHGDSEVVPWSLATIQGMRLRDF CNGADIPYEEKSVRACATRTKTAAYEIIKRKGVTDFAIASALTTIVRAIVRNEDSLLT VSRVGTYAGVEDVCLSVPARVNSKGARTLSRLILEPEEEEALRSSALQIKEAINSLMD PMQTS
SAPIO_CDS0111	MSFRQRLSSPRSTRLSERREEIGERSVNRQTHDIEHNEKRFVFT PSGRLTIPRLLLSITIPQHLNCYRRADFHQRQLPERFPSARTKMTSKPFHPQTYNIQT PRLTLRTAVESDTPALFALYQNPSNWPHSAPEQDLTPEKLTARIADWSTTASSGQNAF LVFTLDDEIIGLGGYNTFEDLEAAQLFPDAPGGRMTDFGVVLDHRYWRRGFGSEIVCA LVEYARRELGCVLFRTETGLENDEWRALMAALGLGRFEERDRASYDGDLECFVWRFDE TSWEEVKKGLVADAKWPL
SAPIO_CDS0113	MKILVLGLPRTGTQSLADALAILGISSVYHMRDVARNKHQDLWV EAIEAKFEGKGDPWCREDFDKILADYEGVADYPAAIFSEELIAAYPEASIILTTRTVD SWHASMLSTLWHHHSRQPADDPSPMAVLRRKYHSHSWNDDLPAHGKRFFEEHNERTRK AAEAAVAESRGAGGDRKFLELPVGAGWSSLCEFLSVPVPEVAYPRSDDWVEYKAAVAK EAAAGLV
SAPIO_CDS0114	MHHRLSTILLLAGLATERASAAIPNLTQCQSRCFDLTAQMVGCG KEDYACQCPIFNEQFIPQMQSCMIEGCAFDSEPLNTTQLQSTVCALAGGQSQAPTPTS GSPSGSEPQPSETPSATSPGSSESPGTDTLPSAPSTSTTSSPESTSDSHGGGSGSTNS PEPSGEAGAASAFRVLDAGVVTGVLAVLAGAYFF
SAPIO_CDS0117	MGGGSSSPQPPQRVAQREKVSLAGVLEPVLSTTRTMDLPQAITE TAREGMRLCAGLRRHYLFSTGNDVDDTMTATTLEMLTGLIAKAKEACNIRDYVTLLIE DTVLRLSMDLSPPTKALRDVARFINPFLNSEGPQSSTATAIEPFRATDAALITDTEKD LLVLLYYCHENNIKGSPDEAIGHLCKLAGKYGDVKDLDVRKEPFTETEVYLFWALVFL TTNISTTVPLPSLTPDSRTGLTAELGLQLSRLLTVTEMLLSDARLDQACFCLMFLRRL TAEEKRGWWKSRAKKIAKELTRRRPVRQRWHEEFAARTVKDVVKGQLIAEGGSEGWAS RGSLSFSREDLTFDPDPMKGL
SAPIO_CDS0118	MRRLTIVGGLLAVLTPTAFALSNYISLEACLKASSVPFDKPNSC AWNLDVAPFNERLPYTPVAIAVPRTIAHIEGAVKCASEFGVKVSAKCGGHSYASLGLG GEDGHLVVEMDRMHEVRLSEDGETAVIQGGARLGHVATELWEKGRRAISHGTCPGVGI SGHALHGGFGMSSYTHGLALDWIISATVVLANGTTVRASEDENPDLFWALRGAGGSMG IVAEYEFATFEPSEEYTHFEVTLDWPDAESIIGGWLELQAWGEEEMPREMNMRVSVDT RGVRLDGLYHGGQADMEAVVLPLLERLGGGEIALNVTYDWVGQLEQYAFAEDLNLTHP YNQHETFYAKSLFTHALPPEAIASFMSYTLTNATAALRQTPSPALDSDSDSPSPVPLR YWWILIDIHGGANSYIAREVAHNSTSYAHRDKLLLFQFYDRSFGEYPSGEEPFGLLDG FVDSITGHLGEGQWGMYVNYADPRVEDVAEEVYWGKNVERLREVKRAVDPGDLFFNPL GIRSAA
SAPIO_CDS0119	MEYTQQRMVQEAAKHAETSTSLITPLNTVLLGLVVYVGYQLFKS SPPPTLPKQEPPTVFRTFTPQTLLENNGRDGKPILLGIRGRVFDVSRKPHFYGPGGPY ENFAGRDATQGLAKGSFDKEMLTEDLTAPLYDPEALNAEEREALMGWEESFSGNYPVV GRLISQAEFDALKKE
SAPIO_CDS0120	MHLKTILSLGWAVGAALGYTIRGFGRQCGTAPPSADHYKVAKQL AEKEGAMRAAAVISVKPVTVNVYAHVVAGTNSSAMGYLTNATIVDQINVLNTDYHNTG FRFHLQGADWTINPDWAVNVNPDDMKRALRKGTYKDLNIYFLTRIDGNLGYSFYPSDA TQGSNDWYRDGCVILASTTPGGREPNFNLGRTTTHEVGHWFGLFHTFDGGCEETDGGD FVDDTPAQESATDGCPIGRDSCPNKEGLDPIHNYMDYSYDRCMEEFTFGQIQRMRSYW ERFRANK
SAPIO_CDS0124	MLRGRHAVTALRATAQPAASRSFISSSAVAAVSPPRKPVSGTRR HTTATSNASRARPTPSPSFNIESKDRSHVQPLVNPRPTEMDESFIGKTGGEIFHEMML RHGVKHIFGYPGGAILPVFDAIYNSKHFDFILPKHEQGAGHMAEGYARASGKPGVVLV TSGPGATNVITPMQDAYSDGTPMVVFCGQVVTTAIGSDAFQEADVVGISRACTKWNVM VKSVAELPRRINEAFEIATSGRPGPVLVDLPKDVTAGILRKAIPTDVVIPSLSAASKA ALELSRQQLRSSIQRVAELVNKAKRPVIYAGQGVILSEGGPEILKELADKASLPVTTT LHGLGAFDELDEKSLHMLGMHGAAYANMAVQEADLIIALGARFDDRVTLNVSKFAPGA KAAAAEGRGGIVHFEIMPKNINKVVQATEAVEGDVATNLRQLLPLVNPKTKEDRKEWF DKINAWKKKWPLSDYERAERAGLIKPQTLIEELSNLTADRKDTTFISTGVGQHQMWTA QHFRWRYPRTMITSGGLGTMGYGLPAAIGAKVARPDDLVIDIDGDASFNMTLTELSTA AQFNIGVKVIVLNNEEQGMVTQWQNLFYEDRYAHTHQKNPDFMKLADAMGVQHRCVSK PDDVVDALKWLINTDGPALLEVITDKKVPVLPMVPAGKGLHEFLTWDSEKDKARRELM RQRTCGLHG
SAPIO_CDS0126	MFSSQNPWQDASRAYQMAPEDLQAVVQLAAKYGPVNLMFFLRSL GEPSRMSTMSQVTLSSNASGVPSLSYSEPSLCQSETASIISQNTQAHWSESADMTPNY LQADVQSLSGDWSSASAPRTSRDIKTSDSPMTQPNLSVTSIVTTSTPRKAIECTMCFV EGIVVGFSRKSDFKKHLQNFHHTNTIWVCQYAGCPLTFDFEKAYVAHVKSCHTDIHLP PSKARVELCPQLVFACGFRGCKDRVFEASSEDEAKTLRDKYFDHVAKHFDMGFCVAEW EYYTQMQNLLRQEAVKDMWKQCVWQKSIRNALRWQPRSSADLKRLLECRHLYELPRLL HWAWTLGGEPYRSANLEAPEPPPGIRRPLKKDCPLAASRHEMLMKPGLLKLAFPISQP PSFQFNIQQPKPPPQNMFLPDPRGGTSLPGKTNPNPVNRPLITDQDGWSHPHPGTPYH VPDVNQWQEGYNFAPPPQETMPHSQHTSGPDGTDHPMNGNPTPVPSEQMQAHTSITRQ PQQQHANSTHHQQTYQTPQHSHPQHQYSQHPHPHHPGAPQQQWPTSTAPLEVLTSMET PLTYDHSGKPKTPKRPLSVARKSIDSLRLKRQHQPNIETAGIPALPSMGQPTDYNMSN RHSQPGASQGPFMHEVTMTG
SAPIO_CDS0127	MAADPVPTAKTLSNIYNPEDLPTQSKRWSTLLSTFQSRYGHTPD FITRSPGRVNIIGEHIDYSLYPVLPMAISADVLMAFSSAETDATSPTYQIEIANVEDE RFPAKVFEVPVDGDVDIDARVHDWTNYFKCGLRGALDPLRKRKRDAWRPVSMKVLMHG TVPVGGGLSSSAAFTTASALAVMIANGEASVDKTELTELAIVSERAVGVNSGGMDQTA SVFSTKGSALHVQFLPTLQATPIIFPTTVPQLTFLIAQSYVTSEKHITGPIHYNLRVA ECSLAAAYLHAAVSKNSNHPPILPADVSPLGISLASFGASYANNDLNALIHLAEETLT NEQGYTREEIAQTLNISVADLDARFTSKFPVRATHFMLRQRALHVFSEARRVRRFVDL LRDAVPDDDGTTTQLNEKLGALLNETQDSCRDLYECSCPEIDLICEVARRAGAYGSRL TGAGWGGCTVHLVPADKVEDVKEALMREYYRKRDGGEVGDDAMVVSKPGGGSCFVRLS DFEAL
SAPIO_CDS0128	MAEPATTDQISSVSRCFICLGDAGEPGDISDWVHPCPCSLEAHH ACLLQWIDECERENKPLKCPVCQAPIRVEGARDLAVDLSDWFRNFINGLSPVLVGSMF GGAVMAGQALYGFHAIRIFAGEEAVMKIFGTGRLRDHIPALLGVPMIAPGLILHQLFP TFTAAINTPLSILLSAVFVRNPETLTWPPSPQLAFASLPCITMAYIVVRGEIFAGAER RWDRIIQGLPEAPDRENGAPPALGGVRGGLRDIINNLADNLQGGPENAGANERVEIWF DDGGDRNEAELIIDLIEEMDTDEEDHDEGEHEEWETEEEEEEEEEENAHGAPRENEPA NNQEEDRPEQARPQPQPEAEAQPRPAADRARPPQQAPAAPPQEQRRNQPMAIERAVRY SLRDLTSTILGALLLPSISWAAGEMLRFALPKAWTTMKVPDKPFLFAAPFRYGPTGLL QEKWGRSLVGGCMFLVLKDMFYLYVKYRRATIRPLRRVPNVEPRSRNGRPRA
SAPIO_CDS0129	MSTTPKQRLALAICDFLTKSLTDGTLPADDKDSIDVAINCIADS FKIDASASSSADSESLLQIYTAYETTRGASAPEPSDEQKKEAEGLKSRGNAAMAAKDY ARAIDLYTQALELHPRNAVFLSNRAAAYSAAKDHESARGDAETAVAIDPKYTKAWSRL GLARFALGDPRGSMEAYQKGIEYEGNGGSDAMKKGYETAKRRVEEVEGEGNLAARSRG SPASPGAGAGGMPDFSNLANMFGGGAGAGGPGAGGMDFSSILSNPMFQNMAQSLMNNP DMMASLMSNPRLREMANQIGSGGGMPDISAMMSDPTIAEMARSMMGGGPPGGAGGAGN PGSGNTN
SAPIO_CDS0130	MATESTIRLLESLHYIYPALVFTYFMVSTVIAVCTLETLKAAAN PKDKKIPKTAIVKVMLFIVLTYFSQLTAVVVRSVLERTWLGRDDVVVGWLSCFMVFGI QTASLAKTEHPVWYPLHGSWLLSVGFEFALAVQYFTYPHKELSGFPKFVYGGFSSFRA VLLVILAVTYYIRRDGFQSLPDTSDEERQALLGEDAQEQEQEASSNGHAPNGHAPNGH KPNGQARTQGYGSTEANGSAAKKRPVELPFERRMREGRERLEKRLQEDGNWFTYIKKF AILWPYIWPVGNRGLQFRAVLVGITLLTKNALNLLIPRQMGIIMDILGGTREGNPWIQ VILFAALKFLASDSGIDYVRQRIYFPLEYFSRDALITAAYRHILNLSADFHDSQSTSD TILAIRGGETISSMVDKLAFSAFPRVVDLVIAIIYLSLKFGPYEGFITISTAICYLHV ASILVDGMKEARRDQVASYYDKNSLLQTGVEGWHTVTAFNQTGYEDNRHANAVRVYTE KTKVLYFKWFSIHALQSLVLLAGLLSGAFLAVARIQSGQATPGDFTMLLMYWGQLTGP LMFFSSLGKQVSNDLIHAERLLDMMYRKPTVTNRKGARPLQYTEGKVEFDNVCFTYDD KKNIIKDLTFTVPGGQCVAFVGATGAGKSTILKLLSRLYDVSSGSIKVDGQDIRDIDL ASLRGRIGSVPQSPVLFNDTILNNIRYARITASDEEIHEACKAAWIHDKIESFTDGYD TRVGERGIKLSGGELQRVAIARAMLKDPDLVVLDEATSAVDTDTEHKIQQSLARLCDG RTTFVVAHRLSTIMNADRIIVIGDGTILESGDHETLIRAGGKYADLWSKQVFLKPKKN DKGASESSTPSTLINDLEPETAEAELSKIREAVERAANRRGSVSSDSSQKDEGTETSG ETKCERLNPVAPEFTPRSSMTSQAAPHTPSIIAFPTHLGMPVHFGTGSHMRQNGPFRI AEQLNGEEDSHRLPRFTPTEVFRIEQPGCSSPSTTRRQHFPKQSSRHFDMPRLIKSSQ STTPASSLADRVRSKGSSSRLRRAQGESTPASNQKKEVKFDDDERKNNSASLGIAAFH RPEYSRRGQSRSEPGLNTTDSDEDLDGLPACGIRV
SAPIO_CDS0131	MYMSLSNMLGLALSSCALVSASASSTDDTMIRRQVNANSVSTAD FKSRFEQSGIVPEVIAALDPAVSFYASYKTSTGQDALLVPGSTLTVAEAAFPFEFSVE NLGNATNITTSTRYLIYLLDADAPSRNDPSARNLRHYLAGNYTLSNTPSSVLPTAQRL FLPSAASFPFTPYQPPQPAANTGVHRFIYALYTQPPQFNLANFDSVGMSPETSNWSLP EWRMQLGLGPAIGATFFTIDTGANNGEGTSGQVDTVAQGGVTAAASGLSSPMYPAALT ALTLAARLMV
SAPIO_CDS0132	MASPSVPEDQARLLEDALVAVRQQTALMRKCLDTPGKLMDALKC CSTLVSELRTSSLGPKQYYELYMAVFDALRYLSVHLRENHPVNHLADLYELVQYAGNI VPRMYLMITVGTAYMSIPDAPVKELMKDMMDMSRGVQHPIRGLFLRYFLSGQARDYLP TGDGDGPEGNLQDSINFILTNFVEMNKLWVRLQHQGHSREREQRSRERKELQLLVGSN IVRLSQLVDLETYKNSILGPLLEQVVQCRDVLAQEYLLEVITQVFPDEFHLHTLDQFL GAVSRLNPHVNVKAIVIGLMDRLSDYAEREGKNEPNEDRAKIEADALASLLETVKLRK ESLAPSAANPTATATAAAAAVEAENADHPPRDGDTNGNTVAEDTGKSGDEAPKETPEA TEGESSAAQPEAGAENTTADANGSEKDGARPGIPTNVHLYEIFFTQVQHLVGAQHLPI QDTIALLVSLTNLALNIYPDRLDYVDQIFDYALLKVREHANNADLHSAPAQNSLLALL RAPLTRYVSIFTALSLPTFVPLCQAQGYPTRRALAGEVVRTLLKNRTPISTIPELDSV LEVLKVIIKEGSQSSGGYPVAGQRRAETDETLEEQGWLARVVHLLQSKDNDTQFRLLQ ITRKSFSEGNERIRTTTPPLLTACMKLAHRFKAKEHYVDNWETQSNALYKFMHSAIST LYTRVNGAGAAELALRLFCAAGQTADKTGFEEVAYEFFAQAFTVYEEAISDSKAQFQA VCVIASALHQTRNFGRENYDTLITKCAQHGSKLLRKPDQCRAVYLASHLWWATPQHGQ TDSEKEEPELYRDGKRVLECLQRALRVADSCMETATSIELFVEILDRYVYYFDQGNES VTTKYLNGLIELIHSNLAGNQQDSASVEHSKKHFHQTLDNIRSRQFEGVVLYPS
SAPIO_CDS0133	MNGHRDRALDADPPIPTYDEAVAAGTLWSHADERSGGDNTESQS LLPSHSNAGPSRHHPAGYRPPTVETDDEDSEWTSEEEDDDETIQVRREIHEMEIEEAE ERTRSSIWGKRMPFSLSLPKWRWSWRFRIPRPQIRLPSRPEASGDGDVESGEGEGTRR RWNIPKVEPSVVLLFIARILALFVVIGFFYIIFVSDLLTGVANRVGAGIRPSIEDLRI FLHNHLDEAHMRTSVKHFTNYAHVAGTEGDYALAEDVREMFIRAELEDVTMDRYHVYL NYPKPGGRAVEVLGDDGNPVFAAKLEEEDIAGVSAGRQTFAFHAHSKSGDVKGPLIYA NYGSREDFDMLKQAGISTEGAIALVRYSGTQKDLALKVKAAELAGFIGCIVYNDPADN GFRKGDVAPHGRFMPADAVSRGSVSSSRWVVGDVLTPGRSSTKDAERVSKSDAAALPG IPSLPLASRDAGPLLKAIKGFGQPTWHAWVGGVPDIGDWWTGNLSSPIVHLKNEQDEI ERQAVWNVYGRIQGIEQNEKSIIIGNHRDSLAIGATNPHSGTSVMIELARVFGELRSR GWIPLRTIEFMSWDGAEYNLIGSTEYVELNEEDLRENAYAYINLDRAVVGSEFHASGS PVFNKLLFRILDRLWDPAFNTTLRDVWNNRNGVLENLDCESDHVAFQDIAGTSSVDLS FQGPGFPEFTSYDNFDWVEAIGDPGFTYHRMMAEIVGLMVLELSDRYILPFDMEHYAN KLGTYVKELNVWAKGVASNAPGDKKPSLDLAPLIEAVKLVKASAHDFAMWEMEWDSTV LGGGGWESTFMNGRRKDYNNRMARFESELLDPAGIPGRTQFKHVVFGPSRWDDTTKSQ FPAIRSLVEDGKWNEANVLVGQTAAILIKAAEQLKLG
SAPIO_CDS0134	MQRAMHYIVYFGIPLLISLLYELGTKSIPNRLDPAQAPKSRFGL VPYVNWVTDFLSDDLPPAIRSDVRLFFYNYDSYWERAAVYTRLQTLGNDLLEHILNSR VSEAERGRGLIFVGYSYGGLVIKQALVHRKVNQRLSHIAENTKAILFLGTPHRGSSFG WWGWLKAKILVPKGSNPLILANLGYDSLPLLDLHRDFEGAVPDSLRVINFFEQRPVCV TEQSATYGALPRVENLGLPVNHYQLNKFASRNEHYHRLLSKIVDIATASVKPVKRHYI VPVDPVHSYIQRDGLWKELETKLQIRHEAASIPYAVAIHRLGGAGKSQLAMKYAESNK DRYNVILWIDATDERTVRSSFRRCLTELELPVVQNERQGSALRDDEAIQSVLRWLRSR THPTDGWLVIFDNADDLSWGIREIIPKGSQGRVIITSQDERSRMLIPGGCEQIHVGVM SPQEGTALLLRHLGLDVESAPAKVQVRCGEVVQKLGHLALAIDLAGAYIGNDPVPEAA LVQYLADYNLHRDELLKMDAFQGLLATEKTVWTVWDTTLEKLTRSHPNLQPGFLLTFL AHFKGTVIQDEIFRLAALSIPRLDSPLTNEIPTQLGRYLSLVGEEWDSFEYRQGRDLL IRYSLLQRVEGDWPGVTMHKLVQWRAIRSETSHPWQWWHMVFISAAGHQVMEEDHRPE FRRHLVAQLVHACTDCREEDTEQGQFYLALLGRIYHAEGRWEEAEKLLTRAVESYKVS LGPYNHNTLVSMSYLASTYENQGRWGEAEKLQLHVVETYKATLGAGHTSTIAGMAQLA LIYKSQARWQEAEKLEVQVIQAYKVKLGADHPSTLTGIANLALTLWYQGRWQEAEGLE VQVLESQKATLGPHHPDTLQSMNNLASILTDLRRCQEAEELLIHAIEGYTMKLGPDHR DTLASINNLASVYLQQGRWDEAEGPLRQVAEAYKVKYWSNHHDTITSLNNLALTYQAL GRLDEAEELQVQVLEACKITLRPDHPHTLHTMSSVAHVWKLQGRHGDALALLKECFYK RQGVLGPDHPHTLATSSMLEKWAAFQY
SAPIO_CDS0137	MTNSRILRACGKIEQYSTSRHSLGIYRCVCNTCRYEASEPLDQQ VWKAWISEAIVSIIDSQPFLRVGIAGEDTNSPNFVHVTSIDLDNHVSWRTDTSKEGQD TTLLSAVEERHNSLWPDISNRPPWSVTILGGEGNPQYVDVIFSWHHAIGDGLSGQMVQ KALLQALRETKIPAATEPARSSILRYPERPVLPPPQEEAVNLSIGWWYMLKTLWAEFR PAFLAPTPVTPWGGELINLERPYKTNVRLVTIDNETRNGLITLCRMHGTTLTGLIQVL ILASFARQLPSEKSFTAQTPMSLRPYASTEGFDLSKTMLVLVTSLHHRFTPVIVSELQ SLLSSSPSEGDTSLEDKIWELAASIKGELKRKADELPANDIMGLLQWVSNWHERLKKA DGTPRDTTWEVSNVGIIGDEGVAGKDVVRISRQVFSQSAIMTGPAMCASVAGCQSSGS VSISLSWQEGVLDHNLVEGIKEDLEAWMRNLGTSGKLR
SAPIO_CDS0138	MPSLVSLAGKGLIGLLSTVAASLTALAKSVANFPKTLRWHHWGM LLLPFAFFLLALQYAKEVSYNDPTSPYFSPARGYAKIYSSVRQREATEFIQKHNSTDA APFRRKSTHVPPFICVGMNTIVRPSGDIYARYALGSMLAGLTQKERNQLYIAPMIGHV NATTHPIYTEPWLEKVSDKVMTYQTMDVVSEERLKHIEELELTRQRTGEPDREKHLGD YTLLLKQCDATGAPYVLMLEDDILFLDGWFHRLRQGIMDVEEQSKRDEGGWYNLRLFY LEYGFYWHLSEVITTGVAIVAFCGVSMGLIWLSIRGTRWYRRTTRQTRRVLFLTGVLS AIACIVLYLTVGHLTVAHMLRTPSVARRGGCCFQGILWPHDKVEPITEFYTKERIGFV DSLADKFINGGGADKPMGHQWALVPTAVQHIGGKSSKGDNWGSDKPGKMSEAQRLWNF SFEWNKADKLAEEHRQAAEAFEQEKVTGDD
SAPIO_CDS0139	MESIFNLLIRRDEDAGQELLKLLQDPFAGQLQSTSIWVALGTSL GITAAIAILFSLLRPYNETVYAPRLKHADEKHAPPPIGKKVWSWVTPLWKTSEADMVG YVGLDATVFLRFTRMCRNIFIILSVLGCAVLIPIHVSFTDTKAIHPSWLSLITPANVR AGPSQWAQVVFAYVSNLTVCGFLWWNYSKVLGLRRKYFDSEEYQNSLHARTLMLTDLP KERCSDEGIARIIDEVVPNSSFARTAVSRNVKDLPQLIAEHERTVRKLEKVLAKYMKN PQQLPAARPLCYPSKKDPSYSTYPSGQKVDAIEYLTKRIRDLELHISDVRASVDRRST MSYGFASYSDIAEAHSIAYAFRKKKPHGVTVRLAPRPNDIIWDNITLTPQARSWKRTM NNLWVLLLTFLWIAPNAMIAIFLVNLGNLGRVWPAFRDNLARHPTGWGIVQGIGPPAL MSLFYLVLPIVFRRLFIASGDQTKTGRERHVLAKLYFFFVFNNLIVFSFFSTIWSTVA GIINQTQHGVDAWQAIIKSQPEIALFLALCSVSPFWVTWLLQRHLGVAIDLSQLWPLI YGFFMRHFSSPTPRELIELTAPPAFDYASYYNYFLFYATVALSYSGIQPLVLPAAAIY FTIDTVLRKYLLLYVFITKTESGGQFWRVLFNRFIFGTLLADLIFFLTTWVRGEFTHI QAFAVIPLPFLLLVFKFYCASVFDDKMRYYATRNISHQSEAHLGGKESRLRSERLASR FGHPALYKPLITPMVHQKAQNMLHVVYKGRLSDGREAGSGDLMSVSGYSDTYALDPMH HAKPGKSAAVPGFEFVSENHLDFEYFKSRPEFANEHGAGDIFGTPTDSMGRPGTPGSM FSDPAGSRPGTPGAGNNHYNHNHNGGGFRSYTPPGSSSSPYTSTTNPQAAFLQQPLSG DIAPSRTRSPLYSQPNDSLTGLVNAASGVPMSRPDSPGSRNNSLVSPYPYPAGPTVGA LGGGPRGYSGLAQSEEPPSSSDPMQYDYFRGSRNHKQPGAGW
SAPIO_CDS0140	MSDQKVQESAVPASQEPGKSVESTTEEKFAEAQQTVATASTSGP DTEEKKDATLADAKAGNDANAPTGESEDAAPAEPEKAEEETGEVAAEKAEAAVNGEAA QPAEKAIDTKEAEVGGKGEAPTEAPATGGDTAKKAEETPATAPPTEEAVEPTEPKPAT GNGEAAPVSETEKAPIPEAEASEPKAGEKRQAEAAEVANGGEAGEPAGKKVKTSDDTP TTNGGAAKKKTSKGKKEKFAAPVGRTARRTRSQGLADA
SAPIO_CDS0141	MAKNNKYSVILPTYNERRNLPIVAWLLNRTFTEKYCAYNLDWEL VIVDDGSPDGTQEVAKQLVKAYSPHVVLKTRSGKLGLGTAYVHGLQFVTGNFVIIMDA DFSHHPKFIPQMIARQKEADYDIVTGTRYAGDGGVYGWDLKRKFVSRGANLFADTVLR PGVSDLTGSFRLYKKSVLEKVISSTESKGYSFQMEMMVRAKAMGCTVAEVPISFVDRV YGESKLGGDEIVEYLKGVLTLWLKV
SAPIO_CDS0142	MPARGGRTSSNPWGRLKAPDQDPLESMGLPSKGDTRLLDFKTQE RYYTKIVERYMSFCSDSGQRDELLRRFASLNLDDAPLSGATAPAGRSPAPTTSNTKAL SDVMMALRKLREGIVASSRADDFAVQSYLFCIRLSVLVKHPESYHPAILHLLRNIHPM HSLTSLEFQEVVGYLVLDAACRRGDLAEAFNLWQQHGLRDSKVYALLKALTHDNYVAF GRIKGAVDAHKASLMELAERDLRVHTLKCFGRSYLSVDRAFLEKATASGWERLTKEDG VGWHLDGDKVIIRKIKAR
SAPIO_CDS0143	MPSYTLEGLDDLEMSAETATPEDQKVALAFVVGILEDIPIGYGV MGGMNFHLRGSGRTTTDIDIAIDNSPRMRDLLRVFDSEKYHESIYWPASPIQYASGVA RIFVQVDNGEDGQLAQLDFKPKGAEGHQIPDDIPASVDRVTINTRNGAFECNLLSIGP LVRSKIKAHHNREVEKDYNDLVFVCCHQDYAPLVRQAAVSYEETWKEFLLEKVMENNP ESESQVRWALDLEAEGEAS
SAPIO_CDS0144	MGSALSMTKEGAAADVDKQEPSKITGSAPVSARDVLPGLRINTG RPAKGVDEARGVIQLLSTAGIPACVVGVHALRYYGAARVSWEWDICVPHHQLKDAEHL FAENDQYEPAEPPHLVWDTLRHLYPIFKVKSVGFFFILTPSSQYFIDPRPENCEVSMK GILYPKMSCFARALLVSQNRADVADFIDGMDLDEAWGEENIDFGDLQVKGLEFSAALN VELRARNMIPLNMNIDYRSEWNRIVGNKNKRIEPMKQGRYKTRWRRIKNDIDPRERGI F
SAPIO_CDS0145	MEAGRHVRVGGTNGAARAGEPEPTDGTQLVKFRSEKVDVNIEDL DDTLPTMTARLRLGARISKVEKALRQYLADDIEETDTILLYRDGEPLCDDDLSLPPSL IQFRVYPSYETPGDLTPRLTFDSPNKKCAMMFEKMRIPLLDEISTGMTVDGLRNKISN KLGLPDPNVVVISASGGMRPGPLEGGHWEIRRVQKWLCRDITIEIAPERRYVVLRGCA KQYLYHPSSSSSNKEGTTVGKVKQWLKDILLTGVHVKCSSKVDIDISDISIMDWYLTL EDNSSLVPWASTIDFSVPARVAETFAEEQSWLAPIIYKCAICMEQKRDDEMANQVTKL CTHKPRVCIKCLEHWIPSCLQMNGWERVNCPTCAEPMEFGDIKTHAKKDIFESCKGRQ CILHDVKWHEGLTCREYEERNSSKVKQDKASEATVKEISKQCPRCKRNIIKFVGCNHM TCHCGHQWCYHCREPWQFDNVGILACEHKPNCPEHHTNPFFFDELENDEPPALPPAAF PPVIRPRVEPPPLPAPPLPGPHLHNLLMEEFEPAPFRSAAMDRLLEMRGDAASPMRRP LAQQPLPPPSVPLQLSQMARTPPGSAMAPIESWFEDDDVYAPTPMPSRFRAREQREQQ AIEQRPRGFRAPQVMERAPRATRNQNAVDHRIRGTVEFNLPEPRQEMSLTRDRERERH VHLPDQAPRRPQVWTQRFVPPPRPTNRQWM
SAPIO_CDS0146	MSSRDNNGSSRRRSGLLTHWVPLAITVAVATAGVAAWAWSQRQT DDDEDEHDGLDYGGVDNNQGRGGRPPSGPDPSYGGGGASSRDMKTGVVDESADPPTWS SRIGGALRRTPSPQQMFGSASKTVVAGVAAAGAAVGTALSAIREEDKTAYADHETWSE EADAKQERAATAGAAGKEGGKKRRTVAVVVSADSHVEDLGDDDFEHATILSHLPKHID FSRTKLYILIYAPGLKDGGNLDTTGNNQAPSLSSSFSNIGHEQAQPTEGSKSPALNPA SGNPAFNSVYSQALSLVEKETMVLPFTTRNGHVHILRHLQPEIIYLQESLSGENGSVV TQVQTWLRYDLVLVVGAESGHGGLADSESEAETKEKEERWWQREDRVGRGRGVVVVDS MRVSDDWAKRVQGYEDQRSGLLRSSAESSPRSHRRSLVHLDFICFKSEKMPSSFLEHK SRDTPSFSGHPKADARIVQKQMTPCKKAEATGHRSSDMAPVITAVQPPMPTGKVKRPI PPGIQTNGSGPSRPSPSPSPSMSAKKPPNSAKQNANSATNAANAGAQQTARPQSRARR EPSAQLTGRSSRNSAGLRSASLAADVMFPPIAEPPPYIVTDEYILKKYAGSPPSLIVH MHATHFKFDQQDGVFPYKSPMKLFLEHLRARTVPHEILLQLTQAGVSFYEGCLIVQVH DHKSVAQTNDAPKPTTAGANTTPVSSIHNYNPYLTPSTTTPYPKNEPKTETDAEPTID GEKKEKKAAEDKDRQSMPAPALPTEAKSQPPPKPKTFTVVLHPTAQSLQTDLLIKAST PRSSSDTKDGAPPSTPLTAAPPASAAAASASMPPPAKKQKRERMELDGNNIYAVEGEY LVNTMAPLMLEPARNAEEVMALLEAKAHPMHSEPPPQPKARKRTVAEMAADQALIADQ ERYMLLLDERLASNANGTQGAPGGGDGDIHGGAAAFEPRFERFQVIADIKREHAEKKE QERIKQAENARRLELQRQQQQQLHDQQVAAQRQAAEMERQRREREALLQQQQQQQQQQ QEARRKAMAAQAQAKVAAAQKQQQAKNLAAQQAQQQAQQQAQQQQQQQQQQQQQQNAA AKSAAAAKAAAKAATPVANKPTPVNAAAANAAANVPHPNGMQAAQATPRFHQQVAQQQ PQVSSPVIRQGTPHSMSSPMPGAVAMQQQNSGMAAASPPRPPSVVQNHTPMAVPMSRG MSARGSQQSHAAGTPRMPNSTPNMPHTTPINRPAVIQTPRMSQASPPPAMMAQGSQMG TPMMINQGMPQQIHQQNAIAAQLAAQQKRLLQAQQQQMAQAMQNGGMANPLTPQQQQV LQQQRLQQLLLQQQRNGMMNTQQQLAQQYAQSMNQMQGQLSPQMQAQLQAQMARMNQM NQMNQMNQMNQMNAQMNAQMGQMGQMNQVNQMGAQMGRQQMMPHQNMMNGANAVNMQA AMIQMQQQQQQAAQQNQNNQLNEQIRARGQHLYRTNIANLAAKFGGTVESIPGDTLEN FKKTCMQQARNQVVQQVNQQRVQQAMMQQAQVQAQAHAQAQQQMAMQQGMMGGHQGM
SAPIO_CDS0147	MQIPRFARAQTALLLSLLLAPVTATSTALLHCENIRVDGFSFNL EKLGGPHSVVTTLREPPSYTNTTYTVDICKPLKKSGDAPKDEQCPNGTRVCAIQRTYN ESDDGGQKAVVRKVVPIAGALQDHGAFAFDYEAARLKTSDSNSDSRQEGLRLILKGGA YPLDVPHKEQTRQRAVIEFICDPEKEGNEGEWESDDKYDEEGGQDEEGKTRRKRDDGE KQLMKDGAALKFISYGKETDSDWETLRLEWRTSHACESGYDQGSASWGLFTWLVVIVF MGIAAYLIFGSWLNYNRYGARGWDLVPHGDAIRDIPYLMKDWTRSVLNTVQGSGSRGG YSAV
SAPIO_CDS0148	MEEDRAQVDLGIAADIEAAQFPESTIPAPAPAQAAESSTPPLKQ PKKRFIGRRAADAAAKSDQNASGAASERTDVQKAGPRRMPRLLNQVPKDILEDPALNS AISLLPSNYSFEIHKTIHRIRTLNAKRVALQMPEGLLLFATTISDILTQFCPGVETLI MGDVTYGACCIDDYTARALGCDLLVHYAHSCLIPIDVTQIKTLYVFVDITIDTAHLVA SLERNFSSGKTIAIVGTIQFNATIHGVKKTLEKAGFNVLVPQIAPLSKGEILGCTSPK LSDEDNVDMILYLGDGRFHLESIMIHNPTIPAYRYDPYSRKLTREEYGHEEMQDLRRD AISTAKKARKWGLILGSLGRQGNPHTMGLIEKSLRDKGIPWVNLLMSEIFPGKLAMMS DVECWVQVACPRLSIDWGYAFPRPLLTPYEALVALGEKEDWGKGVYPMDYYGKEGLGR TKPIVAAGS
SAPIO_CDS0150	MKLNISYPANGSQKLLDIEDARKLAIFLDKRMGAEVPADSLGDE WKGYILRITGGNDKQGFPMKQGVMHPSRVRLLLSDGHSCYRVRRTGERRRKSVRGCIV ANDLSVLALRIVKQGEQDIPGLTDVVHPKRLGPKRATKIRRFFSLSKDDDVRKYVIRR EIQPKDESKKPYTKAPKIQRLVTPQRLQHKRHRIALKRRQAEKVKDEANEYAQVLAKR VAEAKAQKADLRKRRASSMRK
SAPIO_CDS0151	MLGHDDDDDSDEPTHKEPKLEPDSPDRPNPNPINPDRPTLSKAA AELAKVTQEQTVTTLKRLRDWYKDKSGAGYVVAITNEAGGVLVQKVANRKVRQTLSSR IAE
SAPIO_CDS0152	MAAALTLKLTNRSPKQPIRKLPATIEVPADATVEDVKKIVARQA GISDFNRIGIFNPTTIKTIKDRRAKIGDDADVVKAKELLVKDLGPQIAWKTVFLLEYL GPILFHVAVVLARPYLYKGITPATPLSDTQWLTFTMFIAHFVKREFETLFVHKFSAST MPARNIFKNCAFYWIFSGLLCAYAVYSPTSLAATANEPIVDLVGTIIFVLAELANASV HLHLSSLRSRGGTERKIPTGLGFSLVTCPNYLFEVIAWVGVIIASRSWAVALFITIGA AQMVVWAKGKERAYRKEFGDKYKKKRYVMLPGLV
SAPIO_CDS0154	MDRPSGPLGAATQSTGRGLLENPTQPVTIRRPVGAPPNSMSSSS LNGSVPPVPPLPKIRKVPSNSALSGPVVTTAPTPAAKVIALAREAMQNALQENEAQAQ RAEGGAANTELKPGVTINLSHKGIRELPEEVVDVIKNELERLALSHNQLTSFPARFSE CNSLRYLNVRNNQIKDFPLPLCDLKSLEILDLGRNKLRILPPELIKLTSLKVLSVQRN RIQELPLFLADMASLHILKLDGNDITFPPPEVFQVPIASPPNEGVLRESEMAELAVTA QIKKYLRQRTLNGRAESDVGGDESSEGTETPRLPIKRVASGRFPVKVNGSEMPDLRSP ATARPPPIPTRSHYRGLSQQSTTMRRTNVMPLTIGSVNERVRSNSETGVQQPRQERPE SRSRRMGGVLSKKGSDLGTLDEMQVNNRFSHYRGLSHGSAMQGPGVNMADKSPASPAE PYMQRPIYVRRLSILPERRRESKIYDPMIETAKGILYSVFQIHPMIQMLMSLTNDGSA KRSSLELVFYNTNSHVEELEQAIQKHDPLNGEDESSVHHDNENVHRACQTLVSAYTHV CTLLAGNIDTFVDNGDPRYIRTLLLLLYNSIMELRVTMSALTGENGGFYGQLPNAQSG MGGDTIRPHSREPSTNPMMADRPGPPGPRPRNGGFVPNPSNLRVATDVAMPYTNGAAR PTAPLESATPRSGESFASGSSASAGRNMEDFTDEDRLFEKIFLSLQRSSELVMRSLPG LIAQLTGGLRNAITQRERNGISDEVIRAWKLMVAKATTAVHQTETLKTRMSLIKLKEP GIRTQTAFWNVCSNFLRSWSDFGAAMKYAVGQYNLPIGSDARARLRPIHQAIKETTEA IRRSPWAYILRESTVGPLAMNPLSPQAEPGQHNNMQMQMQMPMTPQSAALGPAVQATV PSTPQSASFSSAFSGNVFERADALISYGGLSIPSRAGTITSNSSFGGTTLGSNSMHFH DGSMTPATIVSPGSSVSSSRLNGGRVAF
SAPIO_CDS0157	MPPARLTRTGSSGQIIDLDFTLRRQFNKKSFRPPQREIIEATLQ GKDVYVQAATSFGKSLCFQLPAVIDSGITIVVSPLLSLMMNQVEALKKAGINARTLNS NTPLSERDAIHSDLGTGHPRTRLLYVTPELCSTDRFRQRLQLVYEQRELARIAIDEAH CISEWGHDFRKDFKRLSWFRQTFPDVPIMCLTATANEQIRNDVLTTMGLDRSSPSLKL FTMTAHRPNLHLEVRYTQDQDDNRLSNFLSWLNRVYERRRAPDRKPELDAVGERVESV PGIIYTMSRDECESLSAQLREAGIGAKPFHARLPPDVKETTLRRWIANEEGYDIIVAT TAFGMGIDKDNVRFVVHWRLPKSFEGYYQEVGRAGRDGNASFCFLYYSREDLERVQRM LRSDSKDSNSANFEARMRSLQALALYAENTSECRHVQICKYFGESTVPYCDFACDWHK DPKGLRVRLEKGLATEEWVSTQAEYLGTEGYYDD
SAPIO_CDS0158	MAPAVGIDLGTTYSCVGIFRDDRCDIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPKNTVFDAKRLIGRKFADPEVQADMKHFSFTVKDDKSGKPVV EVEFKGETKQFSPEEISAMILTKMRETAESYLGTTVTNAVITVPAYFNDSQRQATKDA GLIAGLNVLRIINEPTAAAIAYGLDKKHEGERNVLIFDLGGGTFDVSLLTIEDGIFEV KATAGDTHLGGEDMDNRLVQHFINEFKRKHKKDLSSNARALRRLRTACERAKRTLSSS AQTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTLQPVDRVLADAKIDKSQVHDIV LVGGSTRIPRVQKLISDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSNKTTNEIL LLDVAPLSLGIETAGGMMTKLIPRNTTIPTKKSEIFSTFSDNQPGVLIQVYEGERQRT KDNNLLGKFELTGIPPAPRGVPQIEVTFDMDASGIMNVSAVEKGTGKSNKIVITNDKG RLSKEEIERMLAEAEKYKEEDEAEARRVAAKNGLESYAYSLRNTLSDEKVDEKLDASD KEKLKAEIDKIVAWLDDNQQATREEYEERQKELEGVANPIMMKFYGAGGAGGMPGGMP GGPGGFPGAGGPAGGAGHDDGPTVEEVD
SAPIO_CDS0160	MGGWRGVDGRPEGAQFKCEDLGWIIGRDMLHENDLIDIQTDIEI GTREGSGHSSAATDKEEGTIPQEKDRGHIAKSKAESLAFFDNLFPLKLSYVLRGPWRN DTQFIRSFESARFGLNDPVNTIQRATADLPLKITEIVPRVKDGGAFVKFAYNEDVPLS EIEAKLSQVLEEKALKPWFNPFARVTAGIVRGIPWLEDLNRFPKNRIRVEFVPREPGE NAVELYQETLYSLFRQYGKIAEITSQPADSKVVPRFAYVDFILVRDAIMARNCMHGFV VGEALGGGKEGTRLRISYEKKTKPHSIWNWITSHPKLVIPLIAAMLAALSVVIFDPIR KFFVKSYVKHKTSVTANKFIGWIKSKTDRLWSSSRKKDKKLSLSAFWNQRQGLIEDIS SGLADPSGTFIVVQGSKGSGRYELVEQALKERKYVLNVDCKAISEANGETGVIRKLAA AVGYRPIFSWANSISSMIDLAVQSTTGVKAGFSETFEAQLAKIFHTTASALKEVSLSS RPDKDTETEEAFLQSHPQDRTVVVVDNFLYTSEENKSRSNVIYDKVAEFAATLVQNNI AHVIFLTSDVSFAKVLSRVLPDRTFRHVVLGDLPPEVARKYILTRLEEEEEREGAKRP ENGKDDAAKKIPKPDPVELAASLEVIGGRLDDLELFATRLKSGQNPNQAVDEIVNLSA SEIVKNYITRDTPSSVDDQNPWTVEQAWWLIKTIAERKSITYDEALLSAPFSASSTSP RKSLDGLVATDLVSLKSRRGFPVSIEAGKATYRAAFKLLARDAALRARMDRSVLSTLA KAEKAKIEAAEKELAVLAGLPPKVAAEAGERVRYLVGNLAESQRRIVEFEGEMGRLKR VGVSGGEESKQKSWWRKWF
SAPIO_CDS0161	MAPRGASKVPGRIYELGEQGRQKDVNGIDAFDDRKTGVTLPDTG TLDEHGMQPLDGLFSSPRKVAATPSGSDQTGEQDMDLDTSGPGPSTILAAQKSTRLPI PRGKSPAKTNLQSPALKNPHLGPVSSPSRGSIVRSNDTNDSDDHTVTRRLDLRRGQVN GTTRAKKTNGTRRTSGRLSSDNRDEDDAILQGSTADDSLQLVHDSPLGNSIHDDTVAQ PAEEESAEEEVRPKPGRRGRPKTAKASKPVPIAEEDPPAPPTDDPGNDDNDDDDDDDE DVVVSRPGRKPGRPPKKVAPNTSKRRTRASPDGDEEGEEAEEDEGPRDRSKKRQKTSS TAQPKPAAAPAIAPTKRRGRKPKSQAQARNPPEDTSILEVQRGPPLPKARGLVSIRRD ANSIVQTRSGRHSYKPLEFWKGEHVTYDNEEVQDDTYRNRRMVLPSIKEVIRVEDDEE DTRRARKKHTRGRKPTGKRRAAAQEEGQEEDEQEEPEEWERDPGVISGDVVLWEPQHE LYPPSNDAHVEVVEDQLAVSSDAILTRDIHDASFRFAKTLNMSFMGSGIVDLPPGAEK KPKNSRKMQMVFFVFYGKVLVTVNETEFRISAGGQWFVPRGNYYSIKNDYDRPARIFF AQACEVFTPPPEEDQ
SAPIO_CDS0163	MNGHLSAIGEGPSAEKYEHGIQVIDEDKTFNSNLISYLRQTNVA DAGFNYHLISVFGSQSTGKSTLLNNLFGTQFSVMSESERRQTTKGIWLAKNKRETGGT GDAKVKMADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQ GANMGLLKTVFEVNLQLFLKDNKQSNPRSLLFFVIRDHIGTTPLANLRNTLIQDLTKI WSSISKPQGLETSRIEDYFDFAFAALPHKVLQAEKFDSEVNNLGARFTAGTRSAKQGS HGDHELEGGVFLPEYHRRIPADGFSKYAEGVWDLIVDNKDLDLPTQQELLAQFRCDEI SREVLVAFDDTITPLEEQQADGVRAGKPVVLDDLGETGRSARRRCVENFEVQAGRYHK GVYTRKRAELEAKIDTRLKALYLGQLTSCHKSGVASFTESVSNKVKAGQKAGGSYDFA DIVSQEKERVLEIFRDEAKGLAIEGLSWTNFKPQYLIFERELDEVSSKLRKEELRRLA TRVERWVKSRLGDSIGLEFSKLGSGRSGSSVIPEEASTPIEKPTAEKDLWDRIWSVFT DIVSEAETRFTDRARSFEASVDEVEVGLWRLRRKSWVALREKIDDEVMEGNILLKLRE NFEDKFRYDDAGVPRIWRPTDDIEGVYTKARESTLALVPLLARFRLAETYAPPDLPRW IGPQPRGVEAGDEEDLAPIGGVDEDEGKSLEEEMTILSESKRQDLVIRFKKTADGVYV EAKRGAIGGVAQVPWYFYVLLLALGWNEIFMVLRNPFLFLLIIIIAGGTYIAYTLNLL GPMLQMANAAANQGVELGKQRLREFLVNSDTARQALAMPAREDSADGISLDTLDSRGK RRTRTDDDDI
SAPIO_CDS0164	MLFTETHVDVTTVANGKETSMRIFVFTPTVPQYPNAKFPGVLLF SEIYQVSGPVARFARLIAGYGYIVAAPSSYHDFTGPDPLPYDGPGTDQGNEWKITKTL ESYDEDVKKTVDYLLTHPNCNGRIGATGMCLGGHLAVRAALDPRISAVVSFFGTDIHC RSLGPHSARNASPATIPSSNHTLDRLPELRSAEFALIFGVQDTHVPPEGRDLIRAKFR EAGLVTSFYEFAWAQHAFIRDELSKGRYDPQITKVCFEVLLELFGRVLKTEVGDRDAT PKELEHDDEVDGVS
SAPIO_CDS0165	MPDDIPLSTVKSRASSTGARKATQRSASLSDSGELEKKRSILKG GRRKAPEGPSRQGTGGSDEMSLNAMGRLYAKIINFAPPLRYLVYIVPVGLALAVPLIV LPLTDNKDSVPVGNKTVTNAAGEETTTQGPPLFKVFLWIEISWLTLWAGKLVAFLLPA LFMFFCGVVSSGTRKYATVLRNLVLPFSFFFWALASFVTFRSLFQQANNDDIVWVRNF GRVLGASFVSSAVYLAEKAIVQLIGISYHQRSFANRIKDSKHEVRLLGILYDASRSLF PMYCPEFAEEDYIINDSIDLILRQKKGSTAPMRIIGDVGRLGDKVTSVFGNIASEITG KQVFNPNSAHSIVVEALEKVKSSEALGRRIWMSFAVEGQESLLLDDIIEVLGPEHRDQ AEDAFAVVDVDENGDISLEEMVRKVVDIGKERKAISEGMKDIGQALQVFDQVLLFIVL LIVIFIFLAFFQSSFITTLATAGTALLSLSFVFAVTTQEFLGSCIFLFVKHPYDVGDR VDIQGAGEKLTLQVERISLLYTVFVRIDKMQVVQTPNIQLNNMWIENVTRSKAMKECI DVNISYDTTFEDIELLRAEMEKFVRHPDNSRDFQPDFGIGVGGVGDLDKLNLKVVIKH KSNWHNDGVRATRRSKFICALAKALKKIPIYGPGGGNEVLGSVGNPSYSVTVSDEQAA NNRKEAEDKKEAKRMVPSVTPAAEDASTDAAASKQQHPPAGSHDDWFNREDSKTLHSH DNHNTRTSFEHGGDSHATGVDHVKRGESHSSNRGLRKAGEGLSSGSVSSRAGGPMMSI NTNLQPGSGSAMARTSSRRTYDEEAQLGSRSPASPLNPGGFTQSPVTGGANTGYSVYP MGTVQEAQPYQAQTAGQQQQQQQQGRPLAGGYPQQGQPQQGQGQGQMRPPFPGPPR
SAPIO_CDS0166	MMPAPGDGLLPQPPSSAPPVEAPSRPARPLPPPIITTTSQMGQS RRVLPDKNVTEATIEQAYVDFIFYCNPGVPADADDEPLRDAFRSPPRSGGKSFNIFVL YQLIGALESKELKSWTELALKLGVEPPDQEKGGSSQKIQQYARWMHSMHVDAFFEYLM GRPRHPYWSELPTDPDPVIEAGRDGVAPKDDMALRALLPHIRPKRGRKRETDEGFSPS QRPRLNSPLGQGNSPNHHETYGPWSAHPDGRPLPIPAPGDPLRSGGSMSGWSGPEAAQ TPLTAYPQSAITPSSRGGFWGDDALFFKQKKNKRNGPKVVSSAWRSSAIASGKPRGRP PINRTPIDGQSPFPRDSVAFTVNPITPSPNNSPRHLPLPTPSPLMSQPPLPPPSPSTS TAPTYPPSSGLRMATPNHFPNFPLQQQSASASRPARPSISLHVPERSGGSVRLATPPP LHMSHLQAPCVSIPPIPPVEQSTPAIDSAGIPAQTSTARNPPPPQPIDTNKVNIETLN YGKASLSMPPDPTAPCDGPNLAATSASGGPRTNFFDSLSDRTNVDALYSHFVVEVMMA DWVDVDGNPAEPACADEAAAIITTVIESLFKASASNESFLINVAALAGGKMLMTTTRM RLARLERLERGTLYTCSWEYRLGPLRGTFSMTQEVSYDLFRPGQDGKKGEEEEEEEGM GGENEGARYWQKKYKDLSEVVRMKDEQITDLKRSVVGTLRASKGPKGP
SAPIO_CDS0167	MTDQASPTAKAPATASKSPSTSPKGSDAGSPKEGSPRAAATIAG ILPAQHWVDTAEEQEVDSTDAESIGSIGTSTESLTASILEYRKIHGRTYHSEIGNASY CDFADDHPNIQVIGTDISPIQPAWAPPNLKFEIEDCTRPWTYEPESFDYIHMRWLLGS IGNWEAAFTEAYKALKPGGWLESFEASNICESDDDTVAETSALGQWGKIFLNFGESIG RPFSIVPDETQKKAMQVAGFVDIDEANYKTPVGTWPKDPALKEIGQYAQLVLENDTEG YILYPATAIGWTPQEVSVFAAHVRKAIRSPQIHSYYRQKVVWGRKPGGA
SAPIO_CDS0169	MATAEPTTAGVSNLPTPSTRRAEPQAPSKRDKKRQLLNDRIAAL TEKFDRNRDVSYVDQLHKIQVDTSLVQRIDPFAANAASIIAELRQDQRNANGPNVVSQ NARSLLDMAGPNFQDWAQEISDLHERKDVQLTSQHLEYERKIQEYKNTYNYRIETAKR EHQALASTLRDRLINSLATKKNRLNKEKEALELSDSSALLFHPNQFSITNPASPGGTH GKRATRLRKDAEDLGLGDNKKRKRGDDDGSPAPGRRGLDSTGTTPLWQSEKLRVAAKQ NGPVYSVDKLFTDKELTLTYNTAAVAAHVHILRHRVNGPTTALTDGHESSNDDEHDHD ADTATTAPMMERAPSHATRSTRGNVNHNVIDHKILGFEAISDLELPGNLEKLQGLEPP KLPPVAPSQYIKPPTRSQDQNTPSLLNNDTINEDLQIMSYFKQFDQHSKPGSALMVNG SLKRVLEAAATPKAKSRYVAFIGGGPRKDPEEIRRELGLPSDKSGDNTSPEKTSSGLI AAATASAAAAMSRQSSHQGGVAMSRQGTNGSGRGKGRKN
SAPIO_CDS0170	MGKPEVKAKAKAASDFEKIIHEGRERKRNEQLAASIFSKSRRKS APSAQQKMGAGPSLASRVGVKKRVASTSSRIPPGNVNGEWTHDLHTTVNPPNALASRI SNPSTKRTAKLASALQRVDASQANVLLNAPKGPSGKKRQPQQQQQKKSGGSPAPEISI RGLAGPFSVLAQNFAPGTSAADIESAMTPVGGEMLVCKVLKTQPIMLVEMVFHSREAG EKVIEKFNDQLADGRIIKVYANPRGYASETSDSPRHGATSGSVHVVDGKMGFPESGNG SSSSRPDLILNNGNGGGKLYSDSMVGSSRRGRGRR
SAPIO_CDS0171	MDPQWQSYHDPTSSQQHQQSYEDGANVNPPLGHMPPATSTSTNN GQHYPSETLSQEQFAHALAQAQAQAEAQAYAQAQAQTQAQIQAAQQYRQREYQQQQQQ QQQQQQVHAQAYGPHQQQHVVPVLAANPNPGANTTTSVGASTNAYSYGTGQAQSHTQP AQVQYNNYTTATASSLQQHPASSLTSSSSIITTTPQSRDVSGDVAMQDVNDSHAGIKY AMRPHHQPQLSGSGPGPGTGSVSGRPANLHSPQEPSSAAQRYSPMVEALSPTSPYAPK GAIQNQYAQPIQRQSPTRQPDYHSQSPYHPSRQHQQPQQVPVPGMMQYSPHDAYPQPS SSSVQVHDATFSHDPKSPRHAVPHSLAVADASKRVVPQFRSVRSPADLRPVVNQQPAF RRAAPEGGFISPLQALTVHLPATYRICNPGFKYESSRNPRRVLTKPSKGVKNDGYDNE DSDYILYVNDILGSEEAGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNRT AYFNQSMMEVSVLDLLNTKLDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQ NQFRGLSTTLVRVFAQQLLNGLVLLNKARLIHCDLKPENILLKNLESPIIKIIDFGSA CDERQTVYTYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQ VSRIVEMLGNPPNWMIEMGKQGGDFFEKRQDEFGRRTYHLKSMEQYSREHGTKEQPSK KYFQASTLPEIIKSYPLPRKNMKPSEVEREMNNRTAFIDFVRGLLNLNPLERWSPQQA KLHPFITQQKFTGPFVPPMNLKSSSLNRSPAPGTQQQQQAEALSKQRAQAAQAQANTA AQQTQYGPPMAGQYHPQGHVQSPQMYGGVYPPAGAVPNQAPAYGGAGSQPAYGPMPMN QAPQQQIPSQQYGNAHPPMGGGMYQQQPTSRTGRQRASTMEQQQSGIPAAIQRVASHL DPTQPIRLQPSPAYYPPPPEGMAGMDSAPAGRAGRRGSRVQAGRSNRDFIRTLEERTL EEGYMGNPAQNPWH
SAPIO_CDS0172	MADSGPNADASQLPPPPQGGAPGYENGQGNQSNPSHMPPPPLHI PQNTNPIPTAITSPMSGPGKALSPGGAGFGRRAAPEPNKRALYVGGLDQRVTEEVLRQ IFETTGHVQSVKIIPDKNAKGYNYGFVEYDDPGAAERAMQTLNGRRVHQSEIRVNWAY QSNTSSKEDTSSHFHIFVGDLSNEVNDEILTQAFSAFGSVSEARVMWDMKTGRSRGYG FVAFRDRADAEKALSSMDGEWLGSRAIRCNWANQKGQPSIAQQQAMQAMGMTPTTPFG HHQFPANGMASYDMVLAQTPSWQTTCYVGNLTPYTTPNDVVPLFQNFGYVVESRFQAD RGFAFIKMETHEAAAMAICQMNGYLVHGRPLKCSWGKDKTPNQGGFDPAQQGFSPQSA QGPGFPQTPTGYFPQYGTGQYGGQPGNYGGPGGQSPANYGGQNVGYGGPQSGGGYGRG GQPPNAQWPQGPNPGQNYNNGFSGYQG
SAPIO_CDS0173	MTSIIHLRAETKPFERRSPLSPETAKALIDAGYVVRVEESPDRI YRDDEFKAVGADIVPAGSWVNAPVDNVILGLKELPADGTPLPHTYIHFAHCFKKQDGW ATELSRFAKAGGLLYDLEFLVNDRGTRVAAFGFSAGFSGTALALLSWAHQLLHPGVPQ GAVPAFDSASALVELVRSSVAEALPLNGGKYPRLMVIGALGRCGKGATECCLAVGIPE ESVIKWDLPETSRGGPFPEIADADILLNCVYLGAHKVPPFTTLESLSKPERRLRVICD VSCDPNSENNPIPVYSGYSSFDNPTVSPSGPLDGPELRIIAIDHLPTMIAREASDEYS GLLLPSLLTLRDREKEGVWTRAEQTYRERVAELP
SAPIO_CDS0174	MATQKQDPLDVLQQTINDILVQTGKALKAAQSQGPRNIEQVKGI LSARMTESVESYHRALQDIDVDIIQAKSVLQRDLEKVRASKAAAAAQQTSPVVTKIEP PKPAFQSPVPAPVIPKAASKAPTPKMQQKQLQQQQKRNAAAQNAAAAANNRPVAPFPD MGIDMPAPPQPVKSPVVTKKEAKSSSVTPKTKASTLPGKGEAKRSPAIKSSTPIPVPV IPTAPTAARVAPPPPPPAPTPPMSTVPPPAPVPVMDPTPTAANQFSLPPNMIPAGTNF TDMEFMVAQGSDPANQTAGQNMSLDMGGNIDTIDLDSFFDPPAPMGNQQGVAPTAPMA IDSGPSASNQKSQPPMLGHDDIYDLGSATTDSMDLDFGLGQGGGSSYIDDLFFGSGDG SLGDLGDSNSVFNS
SAPIO_CDS0177	MAQNIPGAEKALTDVTAQLAGTPYEVLSLRALSGGTANFIFHGV LKTPLPDGTKEVVVKHGEGFVASNPDFAISTARCEIEVGILEHLGALPPSQSGDWTVR TPKAIHFDPVLYNQVQEYKPAAMSLKDYALKHFGSCSPALETSCVGLGVALGKWLRTF HDWVNDEKQNSLRQLAEKNKELQGIKQYINYGGLSGRIESFPSILEESRALLEEVGQV TGQEIADSSKLSIVHGDFWTGNVLLSEAPTTTEAIQKEVFIVDWEMLQLGVIPVDIGQ MVAELYELKLYKDIDAGLWIIRGFCSGYGSVEKDFGFRALVHVGAHLLCFGTTIAGWG TPEQVEEVAREGRDILTAAWKKDEAFFKDHNLSCLLGS
SAPIO_CDS0179	MPKPKRLKGSAAASAKKDKAVTIEASTPSTGNDASATTTTTRVP GEAHQDNPWAPLARKHWLKPSKSGKVKVKNDVVKTELWDVLEGEGFRYSSVLALENVQ ALEGYLWPGFGEEASNYHVLLMVLLVNAKKREQLETWSIFEDRPDDFSALFRRVLSLL LDQTLSSKIRTSLLCFLIFAFQSLDSLIVRKECVPLVSISIWHNLSTEELRDAKLSLH NPLKKAWRASVKRYDAADDATKAHDVLYCERFTEFLADLQSQLPTRRYVNALLQDLHI VPAVRLSAMYNDEDNSLLRDLFSLLSHYTFFPIDDHTFVQYNSTEAYERHCAALRRLQ RISMKHFESKLKLLALSNFAAIDKRDELAPLLEPLTDDELVELAKKLQLRVDYPGPRI FEVDRAFLMEVLLSAYERRKSFQEATRDMSVLPTEQTLFDNSQIRSDDYDGSRPLALP KMKLQYLSVGDFLWRSLVLYRGESFHGVRESILAALRRLKPEVGKDGGVWFGGQSKMA LPTSKPTILEVAPPKIGEVDPSIVRAEVTLEFRRLPGHVRRDWDALRPDDVVFLLNIA PPPGKSLMNGSTTELTPAEKHGIVTVRAAEVIQITDDKGKLIRDAGGFDGRRRIQLKL DPRTFSKDAARLAAGKGDVYEGINVVLRRHSRENNFKAILESIRALTLSEVPLPSWLH EVFLGYGDPAAATYRQLPNRIKSIDYRDTFLDWQHLIESLPGKIIEPDDDVSGSFPPP YVLESVDRPQEPAEKPSKKRRRGVEPELIADVETLKVSTYKPPNLGPYPTDAPKLNTV RFTPAQTEAILSGTQPGLTIIVGPPGTGKTDVATQIINNIYHNFPEQKTLLIAHSNQA LNQLFAKIVALDIDERHLLRLGHGEEELQTEGSFSKLGRVESIMENRDKYLLEVSKLA ASMGAPGAHGNSAETAGYFNSVYVEPAWKRFSEVLKKKKEDGQENEEDGTSSAEDIAK AFPFHDYFLDAPQPLFPEDADRETVVEIAEGCYRHITKIFAELADIRPFEVLRRDRDK SNYLLTSKARIIAMTSTHAAMRRGEIASLGFQYDNVVMEEAAQITEIENFIPLAMANK PKNNNSKLQGKASSRRLQRVVLCGDHLQNSPVIQNLAFRNYANMEQSLFSRLVRLGAP TINLDLQGRARPSIASLYSWRYSKLGNLPHVEADEEFRTANAGFRYDYQFVDVGDYHG KGESEPTPHFIQNLGEAEYAVAIYQYMRLLGYPAEKITILTTYAGQRALVMDVLGHRC RRHPVFGMPGAVATVDKYQGEQNDYIILSLTRTKKVGYLRDVRRMTVALSRARLGLYV LGRKEIFESCYELRPAFEQLLRRPDKLMLVTGELWPSKRVLADEEAAAAEEGGTGGGV EGEVAMEGVEHLGQYVFEMTGTKMKELGEERGAEVVEGLEEEVEGFVEEDEEGVDGGV GENGEDEE
SAPIO_CDS0180	MTSTATPQNSTQATNTAAPSYASAASASKKPAAGPNTLVASGSH PAPVVVGSSATSNAKPASASPANGRPTIPPAVPVVHGSSAVNGGAADHARKPSVTISA NGPPSYGANGGPVGGPKNIQFGFRDSPVVSHSTPQLGASSPIPIPGGGNPRVASPAQS PSPIPQPAASGGRPPAGLQSGDVKPSFGSFNNDPERHMRASIPPNNPALHNQPLHTRQ GSNISMASTGDMGNQGMPGPNRGGYHHGGRGRGFNPNNPPYNPQAGYPPPNSYRNGHG QGRNSMPPAFQPQPPPRGYANSPQPTRGSPALMPSNPNTPNMAPAMPVQTPPQFYAPP MGGQPVHNPPPPISYASSSISFVSSSSSTHSQTRGARKYAKSFDWDKRPETESSWRGS PPGSPPHAKRFQRSSKRGGNSYSRSAVYPDLYRPPPVAYRRNNGVDSRYVSEQLPQQK QQQKQQYQYQRQQPHPAQVPRGQQILPHPLDIDLSPETENFEKIQQVLTQRKQNMPGP YVYTQPGAVNQYPGPMAHMGYGNYAMPYPGQTGSPAFGPPYGAPPFHPAGPAAMSRTP STSEKPNLGVGPGNQPVVVSSPQISHAQGKPPVVSGSGNLARQSKRSAALVIKRPDGE VVDVANIKSSSPAPTSRTPPVVSSTATPPTKPSTPAHARTESAAPPKTKEEVQEELRT KIAEATAQASRTSDAKAEEAAAKAEAEAKAKAEAEAKAKKEAEEKAKAEAEKKAKEEA EKKAKEEAEAKAKKEAEEKAKAEAEAEAEKKKKEAEAAAETEEDEMERIIREMEEADR LREAEEEEHRKKAEAAKAEAKKKADADRASQAAENDRKLREAEREMERLEEEKERRAK QGAGSGKSVAELLSQARSGNLPAEESPKLDAVTDKLASMSLGAASSDSKPPTPTSATG KGPDKQQRKPAALNLSLNTKPVEAPQPSAALQSLKTSRFLTIKEAHDPSIYAGSSIAS PNPAVNAAVGKRGQAFKYDAAFLLQFQNVFTEQPSLEFQSQVKSLIGDSDGAKSTTSR APAGSRSSTRGGAGGGGGGGFPTPVPIGSFRTSGPGGPGIPSQGRASMPGMPGGFRGS FPGSLMAGGRQPSNPSMGPNSPRQGSTRRQGSHRQQGFSQKEAQNAKTMPLTQGQELK PITVSASGWKPTSIGKGAQASATGHLEPELVQRKVKAALNKMTPENFDRISDQILTIA NQSKNENDGRTLRQVIQLTFEKATDEPHFSTTYAKFCKRMLDQMSPEIKDDTIKDKHG NVVSGGNLFRKYLLNRCQEEYEKGWSVPEKAGESKVHGAELLSDEYYEAAAVKRRGLG LVRFIGELFKLGMLTERIIHGCVHGLVDYKDEPKEAEIESLCFLLRTVGAQLDASEKG KPMMDAYFKRIQGMIDLPDLENRLKFMLMDVRDLREEGWKSAEANKGPKTLEEVRADA EKLAAAKAAEAARSQRGGGGPRPPMGRGDARSFSSGYGQTTNVVGMDDLKRLKSNSRL PSSGVTLGPHSMLSSRSNSGRRPGGPGGVLGRSGDNSGMSSRTGTPPTRESSNTFGLL ATMDSENPVSPPSTSASPALSKVVPDKKP
SAPIO_CDS0181	MPSATVDGSYGIPAVASCATFLNDVLARADTIKNEPTIEPPLTK AELGDVSAQLTALFDEALGSSEEDATERPLRQFAIFETAARDLFSRLIATTPIESPEF VKVWNFLDLLAILSDEERCEPALLFWLVEELLDSQTISGCRKIFDFLESRRERITAKH FKQKQLVILRSCNELLRRLSRAEDTAFCGRVFIFLFQSFPLGDKSSVNLRGEYHVENV TTYDATTPPTAEQDEVMEVDEGGPKENGEQKQSLKAVTFDPKKTPSGKPPLNTDVFYP VFWSLQDSFSQPKKLFDPAYFARFRGAMETTMTAFRSIQVENGSRSSKTTTPAVDDGK RSLKRKRDDGETDLANAFNPKYLTSRDLFELEISDLSFRRHVLVQALIIMDFLLSLSA KAKEKLSTARAHNKAVEYLDQKLSDDDTKWATDMKRSIADYLRQGFEGPYFFRMVETV LSRDKNWVRWKIENCPPMGLPPVTAELFAEAKKTVQRNTTNKRLRPTPMGSLNLDFLM RSNEGEGEEDAGLERLKHRDRYALPELDSFKRKIADDDFEIEMPTNAQTKAAAVEGKA SKSWRALRIAGRIKLAAFDRIDDPDKIDVVFEDDAAQGGGGDDDDEAEEDAARVSPED MPDDKTLVVVAGLAGSGKSTLVEGLLAKRPGVFKRVVRHAARVAGEGEVDGREYKFVS AETFNVMLNNDQFIEFGQDGDGVEYGTSRRIVEGILEKGRVPIVEVPVDSATQIKENA FPHRSILLSPAPDSFAERLRAADEGKTYPEEKIAEILEKAKAFSPEQTEGFDLVVGDE EGKDGVVERAWGFVYGKKE
SAPIO_CDS0182	MAKLDKRAKLAELKALRKAGKKVFDTYKVEEAPSLYEEVDEDGY KKIVRERLDQDDFVVDDNGEGYADDGREEWDRAPQYYSESEDEMMTRGRPSKAAKKQK EDEKAKRDANDRDITEFFTKGAAKAQPKVKVAKTKEDDDFLAGLLGEVDSNIPAPIPR SGKRNRSPAHRKVRVLSPEPATKKKKVIDNRLPSPDLDDDDFIPPVDDPLPSDIPMSD PAPSSPTVKVAERKAQIKEEPVSDDEDDEEMMEVAHVGSVATTSVNIAGSKPVKKDLK HPAYPSPASSSPPEAAQAAAATVDSSAWNELNDKLNVVTSSPHPATRIVGKIDPNDAV EEDGSLNMFWTDYTEVSGSLCLFGKVLNKKTSTYVSCFAKVDNIYRKLYFLPRKFRLE NGVETDEEVEMKDVFEEVDEMMTNMKVPIHKIKECKRKYAFELRDVPREAEYLKLFYP YTSSQIDSSRVGRTYSHVFGSNTALFEQFVLWKNIMGPCWLKIQDPDFSTLKNASHCK LEVQIDHPKMIAPLSEALNVEPPPLTLMSIAMRTTFNARENRQEILALSARIYEDVSL NDTTPADQLPCRTFTLIRPNGSAFPMGFEALAKRRNRGLIKTMRQENEMLSFFLAQID VVDPDVILGHQLEGVDYSILLNRLHEKKTHQWSRLGRLRRTQWPSSIGKVGGNVFAER QVIAGRLLCDLGNDAGKSIMFKCQSWSLTEMCSLYLPGNNRRRDVDNEVALKTWATTR EGLMDYVTHMEADTYFIAALALQVQMLPLTRVLTNLAGNSWARTLTGTRAERNEYILL HEFHRNKYICPDKQIFKGRMKMEEENQEGDGGESKKKDKYKGGLVFDPEKGLYDKFVL VMDFNSLYPSIIQEFNICFTTVDRSALPEDNPDAVPEVPKEQELGVLPRLISTLVTRR RQVKSLMKDKNATPEQLATWDIKQLALKLTANSMYGCLGYSKSRFYARPLAILTTSKG REILRNTKELAEGQSLQVIYGDTDSVMINANVDNVADALKVGREFKKMVNDRYRLLEI DIDNIFQRILLQAKKKYAAINLVEKDGKYVQKMEVKGLDMKRREYCALSKEVSTRVLN EILSGDETEVAVGRIHEYLREISGKMREKAIPVGKYIIFTQLGKAPQEYPNADSMPQV QVALRDIARGKTVRKGDVISYIITGDSGSSEPAPKRAYAPGDVTKADSGLSPDVEWYI GKQIFPPVERLCANIAGTSTAQLAENLGLDIKRYATNNAVNQSSFNDNEIHPLESQIP DHVRFADCERLSLRCRACKESMVFEGLVGSPDLVTPKGVACGKCKATIPVLSIVAQVE HAVRQHTSRYYEGWLVCDDASCSARTRQISVDGSRCLGPRGLARDCVGKMRYEYSEKA IYNQLLYFASLWDVDKARYIAEDKSAEMPTGADRDEVLARAEQNRKRFGTVKGVADGY LKKCGRQWVAMDRLFAKLGAISGTSKEAEVAV
SAPIO_CDS0185	MFFKQPLKFDLAYAVDIGIGTPPKRFRMKVDISSPDTYVDDVAQ SEKTTCAGHSFYDGQDSSTFHTNGTHLEVEIEPRLNVSGIAAKDVFHLGPFRISD
SAPIO_CDS0186	MIMEVPKHSDCCEGNSKARAFFDAAVNGDLETLKTLLDPTIDLD ARHGNFPNDKTALHAATEHGHIETMRFLLAHGADPEVDIPLDGLTPLHVAAWMANYEA VRLLLDSGADISRTFNIEGDEGPATFLVLRNAHLPRSNIEQKHFDIVNLLLDRGIDIN TPKSHYGDGNLIQYAVRADSPDMVKMLIDRGAETNNELLRDAVSWNRSVRTLQYLLDE VGLEDEGHEALQHLAFFSKEEPCEDMARILLSRETDLNVQGIYTALCDAALQANLRII ECFLEQGININGVGASGETALLMACSSTRPGALSAVKLLLDRGADMRCRAIDSQPSVG KIAGDSVLHQIGAFRFPELMRLLLDAGADIEARNVWGETPLITFSRHLEFVSSPEPGP VTPRMEVLQLLLDSGCDVNASDRKGMTALHHLPHRRSHMATVRAAASLMIERGIDRTA LDHDGREASELFRELCGMTLEDLLQDKTEAWTREITQGVDAGRSPAQPGLHTETPRQH PPTHIGMAEETPV
SAPIO_CDS0189	MTTISTTASMMKMTRIKGIWVLLVLLMCGLLWAQLATCQLQRPE TCHSCVPSSDREELAIGFHLGQSYGVSVNLLDNGTAVSLAKVPGSRNYAELMERLMVT SRPPGGRPPYGLGAILIWAVKYALGLSPPPRDMKILAELIEELKSTSEETLGKSLDGY EVSITAPYQDFWRDQSSWDSDINDALLRSGLKPWFPYTMDPVYLGEARTALAACGRWL CQPFECFRLEEYDGTPERAYYIRSLYTTFTQEPCFYKQPLERAGVIDNHLGLDRLQDM PPEKFWAALRERLYTRAKDLQHFGWPSGAKSLVVVAGEAANHPEFLSIVKAMSEGLPK VMFNRLSQRSPLGTGAELVIPGDPVLAPATGAALWSRLKVEAGSYRCEATEAACCQPS ESLYPITWEVPDEKDEL
SAPIO_CDS0190	MADPLGAAASVITLGEFAYKVSKIRAEAKAAPAEWQRYRDGLLT VAGIQACLKSIIDKSPQVRKLTIEVNGKRHALVNYVNDCLSGIRDDASILLDRFEQLN DEEPKPSPKKRLTRRVLSYRFVFKKPDISRLIQTVESAQSYLQSSIVLAHLYSSDAWQ QSVGEVLDSIKKDLASQGEGLRKLHEESNLRHASIETRLEFFAQLPDLKPKLPKLSIM SRKHHKKDSPRAGRHKGKNPVTAVHASLSTAGPLPSEAGIYNGTEPTTLGSSLLVDSI QQEISDNDMDDACDDSSSEDEPVSQPTVNLGAGQERAGGSRECSYDHVIRDGKLFQVP SDTEGNRPERHVADVVESMSDKEWNNVRDAEPEELEHYITSAPCTACPSDVEVVDYEG PIGIETYLQHTLVENPHAPVRSASEINLSCSFVEDEGDLSASGAETERPEVDAENASV GNSDNEEEAEEAEEAEEAEEAEEAEEAEEAEEAGEAGEAGEAGEAEEGEEGEEGEEGE FINGPGFRIKRAPSDDPQVFALLKAVMQGDSSEVPRTVDWDTLLEYLHLVEKHKQELG DQPLGQAELWTRALLSQVPEEFNEDAIEWLWVFWKLRMGPEFKKLSAMVQQQARQSIG QMQLGSRANPLPTEIIDDRRMLALYQVKNRILRETEWQRKQYLKTFDESLIQPMFAPL SALRSSLSFGYLTLESNRWFNYRPADGDVAEFEGVSFSDVCSEVQSMIDLGSWMIRSK PTAEYMPKPVAMLSALIPVVGVRLHTDGFYGEFPSAFHEELLALIATMKRADWGIDLS AVE
SAPIO_CDS0191	MASYGYQAPHAASGRPAQHQHAAYAAPMHMGPAAPPGTFSPGTK IQVGSHRVVIQKYLSEGGFAHVYLVKLPHPIDGTDLAVLKRVAVPDKDTLRGMRTEVE TMKRLKGHRPIVTYIDSHASEMRGGGYEVFLLMEYCNGGGLIDFMNTRLQHRLTEPEI LNIFTDVAEGVACMHYLKPPLLHRDLKVENVLITKSGNSKRFKVCDFGSAAPPKPAPT TVVECRLIEEDIQKHTTLQYRSPEMVDVYKNQPIDEKSDIWALGVLLYKLCYYTTPFE DQGPLAIMNASFRFPSYPVFSERLKKLIASMLRENQQSRPNIYQVLQEACAMQGRKVP IKDIYAESAKSATQSTSHSGSNGSRPQSTPVVGATFSPPVQQQQVIPDVVPMRRGRPP AATPAPHLQQQSQKKPDRSPQRVNGDPFAALDSNKGTGKADELSSRFPTLDQFDLFHT KGMKFDFDSPPSPAPGSEDLRDRVSEKLADEAFALPRGSPPKVADVPKPAASTPPVAQ SQPRPPSMVINSKKSSSGPPKPAEMSRAQAIITSNPKLQAISTKSNYVSTGTMTTPPR EESAHRSTPPIYRFPPADQKPPVNVSGILEPSSTPQGWGRLDDRSSSATRPATVQPSG HARHPSSSRPSLEGSRPPADLLDLSEVPHRSTLGDRPRPSSTHLESNLDFLREREAAK SQSSLHQRLPSLSKTTASPAPDLKTDANNATIESNVDFLRSMENDEKKKDRSLKHGKH RSITSISGKNIIAGKFGDAFKRFEGSNPPQQQQQQPSQPPQQLPPARTPSPLRSPERT ALTPIAGSEATDDLTDDGRIRDDLQEENMTPEMRREVERMRLEEEERRVEAAAAEYRQ RVTNKEGGQPAPPLLPKSIGGVSRAVSIQNRVQSLLNEEQKSTTNIPRTAQGYGHYSD AAVTQSQINKQLPELPRKPVGSKPTRVVQAASSSSTGPGALAGGGVSIRAGKPALGPK PVAPRKPTHLNNIPTGGRPPSPPKPAIYHQSQVPTGGFAPDMTQGQKEDYIRDFSKRF PSLGALEMVERDLSAEARRGK
SAPIO_CDS0195	MTDHDHGLGLSGLRRIRQQPTIRLPGLPSNASSRSPSVGPSRST SLSLPPQAGQITPRSPVAQSPTFTEDLSKFPSESLHSFSYAHLSEEYLHNRQNVIKRS LEYMKDHMNWTSATSTAGIASAQARADGDVEAQSMLELLAKAQLVGAGNLPHPGLSPM AGPLTGPPSVSGENVFEKEFTAEPESYARLHSPTDLAQLPEPDTGARKELALEKGDGD ESGRSRQPSQTSQPPTLPPAPETSRAESTKDGAAPSRTELDTKPALLKRTFTDTLPVS LQEKLIDTLAQPFLVGSGEPVLSPLAAHSVSAAGFPSALGSVVHGHSSRWVPATQAIF TTEAQPPWTILAANDLACLVFGVTKGEVRKMGILEVVQEERRSWLEKKLLQNTGDDNT ASGTTRDQPASTPASSAASALLGGRTGITAQLLSKPNSRSQPRKSLNNRRSRTVHSGM PTPASGAQGSPRHRNSQSRGVLICGDVIPIQKRNGSTGSASLWVKEKRVGLIWVLEEI HEDVAHITLKEEGVVQEVTGASEAIWGADAIEPGADIGKLIPRIPRQGIDPRTGEVDF AQAAKRRYFTCPHAETVSIPCTVEQVRGQRQLRVSSFPHIAGIIVVSPEDLAIKSSNA AFCGALFGYERPDGKSINALIPDFDKILGMLTEEDGIEMVDGIVVPEHNFRKASTYVA IREGRTDAAAAFLRPEGVPAKHRDGASLRIDVQMRVVQSERQPSRNGSAIVREGGDGS SEGDEEDESAIAETELVYALWVTYSRHLHSTKGPVVSGTSTPLHQPTPGQIAAPIPKE IGSGGVSQESRRAEELSTSPAMTALAATTAAPTATTTGTSPMYAGTTASNITRQLREV ARSAAAKLTGTSRPSTPKPEKTPASRAVEHQRKKTIDDFIILEEMGQGAYGQVKLARY KSTGQKVVLKYVTKRRILVDTWTRDRRLGTVPLEIHVLDYLRRPELRHDNIVEMEAFF EDDTNYYIEMVPHGLPGMDLFDYIELRANMDEAQCRSIFVQVVRAVHHLHTKAHVVHR DIKDENVILDGEGRIKLIDFGSAAYIKSGPFQVFVGTIDYAAPEVLAGKPYGGKPQDV WALGILLYTIIYKENPFYSIDEIMDRDLRVPFTISEESIDLVRCMLDRDVEKRYTIEQ VLNHPWFMGMGEE
SAPIO_CDS0196	MDRPEPGLVKWSPNPDHESFIHINLQHRVVQLYKPTGHARHGRF DYERLSKHDDFPTLTTFDWSPTTPGLLAVGTGTGIVNLLRIDDNSNAYIELGLKMTRT CQAVAFNTQGLLAVGLDRVRMDQCLHIWDVNRLSSLPQTFSGFPQDFSPFAESVTRLE PSVSVSSIRFFEDSPQTLVVGIKAQGLRIHDLRDPTSVVNFQTRCNNNLCIDYADQNY FTSSALDHPGVMIWDRRATSRAVASPSYLQAIDEDEIPWGSALRLSQVIEMEAQPSLS DSKHSFIRSLRYCRDQRGLLAVLTRTGQLKVLNTKKELATPDSEFGSSPELLSLNKSH ELDVLNSSSARKSDRIVSCDWITVGSPVLRPRLLVLRANGSFDILEQPSYTSDYVYKL TPWQAPHRGLQDGGPYMDLMHFEPSQAPDILGPLLVDQALSDVPIFGDDRVDIASVVE ESTNYSQLPGLVEDLNAQKASLPDSLTKASTTAEKLRALRAYIKETAPKPEPKKSTDR LADATADLSLSVTGPPTNRELHDELLSTLIEAKGLPSEARAVVDHVMLLRAKEKYLFN TTINRAVVDDDLWVRSLWLWISDAEAAAEDGGMVASPLDLSYLGVATVWMNDLGQKPS LRLLDGAKPPDGGGWENCIGAVCQRRRVPEFEGVKTKRPFHRQLCLDICSWGMYEDDE NGNLMEPAPEYPTTVHTMATAKALFRGNTQQAIRILKKASGTHPELLFVSLALQLVGK GGDRELAKEHLDFDEAVASKTDPYLRAISSLIATGDWAAIANQKSLPLADRAYVAVRN LPDDELTEWLAEQVDTAVEGGDVEAIVLTGITERLVDVFAKFIEKFNDFQTATLVMSI CAPRYIDDYRCLAWRNAYRAYLQRHKAFLERTKFEVESTKRSKRHGRPTVKPPSRQIA LRCVYCDAETSLARLDAPHQHLQLQQQSSTTSSTEQQQQVVQQQLRNPLMATSVNAGV SCPNCGRHLPRCVVCLEVVGVPRSDRPEMNPDSEVRLAARFPTFCLRANPELNYR
SAPIO_CDS0197	MASKRSFSEMEKPEALDNSGTTSSRPTKRKRFGGKPKSKSKEGS VNALKKRVRTIQRRLQRDDDIAADIKIELERELVAHQATIEEKAYRKKRSEMIKRYHM VRFFERQKAERLVKQLKRKVEATSSDDEDTEELKKKLHVAEVDLAYTKYFPFLEPYIS IYPKKGPKADETTPTAKAALDAERPPMWKEVETALEEGDRALEKLRDRNPDGGAIAST TDLDSSRSFTSSSKSKKVETASKKKKKSKYDEMEVEESEGESGSDGFFEQ
SAPIO_CDS0198	MKLNTVSWNIIKHWIHKKEVYEKENGEPAPLTLMERRALNILVP GVCPMPPQAESTISDQRDPSAGQQRFVAQEAQRRTSSQRRRSKSPLRSEAKLSARTSR DEASEQGTPKAILVSDDGIATHVKVGRAVDPDYEKADWVSTLLNFTTVKNLARPDFVF FTVDDLGPKIQTKWGCFCDLPDKRKRFPCKESGLIDGQVPCFSSKKDAKQYASKSALN SLSPNAKPLKFQDQEVLHPANAIALIAATAAPAPAPSTSTAAPTTAPAAPVAPMAPET TAEVPTTAPAAAEVEIEAETEDAGAKLDADVLMSTDAVSIPDAKPPTPIPTDVTDEPH TKSPSRSSSRSNRKRNYEFDDEPDAIDQVLKACDRLKLRYPHFEVTPSDNMPNFYDVR VDFGKDSDLLPEGLGNVDSILTRGAAKEAAASRVLEWLLQHERDRRKDDDSTMFCA
SAPIO_CDS0199	MADTTKLEEGAYAKAERGEGRSGRGRGRGRGGGGGGMKREVQVS KALSRLLRHQAENAGVKLDESGYAELDKVMAWQPLRSLQVTFEDISTVVQQNDKQRFS MLPNETTNPSLDSTSTDPSHWLIRANQGHSIALSSSALMQPITLESQNVPEVVVHGTY FAFWPLIVESGGLKPMGRTHVHFATGLPGPGAEVVSGMRRDAELLVYVDVERSLRDGV LKWWVSENGVVLTEGGDDGLVPLRYFREVVGRRTDVGVLVKDGEVLGGLPEGVKGVVP PGKGRGRRGGGGGGRGGSRA
SAPIO_CDS0201	MSVGPEPVSSGIFPVPGQTHIPQVARRSSTTLGSRTSSSATVAP SLATSSSSLSSATSSSSTCLHTAHRQSHNQSKLPAFRFNDLNAGHGKLPVSVGLPLPS LLQQQHFPPSAASPNNSPNPQNPQEAPNPTNPVTVPALGPRQGPDTGTIPIQPGTQIQ GGKGHSGQGPAPDNITPSASDPLHIPQNIRSHTVPHPPSSTSSGRPTSGSQLAQKSVL KQKKPEIPAAAASESLIKSPRARASTFQQSRFSVIASSSVSASTASPSVSRIPGTAGG TAKSTSAATTASTARRPASFPASPSKKHVCVDNTTPNVSALKESAAQPELQPEAPLVP AQVSQVSAVPAPAPDLAPPPGPGHTSSSTTPTPTTTAATPTATPKSSNPITTTSTKPQ PTRRKPASLDSTPIILVADLSSPPLIPPQRRHTDTTQVLHTSKPQRTSSSNDPGAQGQ RELLLPKTLQQQQHHHHSTPSDEKRVSTYLTRRKPPVSYKAPLSICTTTKSPASAPSS ATTPVRVPPIRGFRSSGSRRSLILDMGSRPTRPYDNSSGDESQSYDSREHTLRALEGR NDLAQITPPHSMDHDGAEQEDTTDMFLNIAREESVRPSIEDGHEDQSALDVGDSSAYG RRRTSISDAITPRTTPYKQSNLSYGQYRSSPVTYSPAGYNSSSLAPRSDHTLRAEPQQ QQQQGMEYGQGVEGTESTASTTGPSTVWDELDDLKSRISRLELTGKLPPTSGAAMSRV SDDRPYTANTTVTNVSGSPKRITPGAASVVGGQGDASSTVSATQKESHPTLHGALAKS KTLLSGEVYKALESATTDALALLAMMGTAGQPGPISSGASTIGGAGGVTDRQLRRKAD SICRSLTELCLALNEENSRPRTSHGLNAAQTESPATPTVNGFGALNTQRRPSGVGEQT MARLNTSPRAMSRLEERRSNLLNGTALPSPRLLSSTLATPTEVGQGRRSSLLFSRRRA TTEEPDEGRQTSLLRTRRAGTEEPEETRKPSLLLRPRRGTIGEGDDDDSRIRAPSRAT TEVTPSPRMVSRDYSAPGAEPNSMTSSALPRRRLGPSTLNSRLAVPSSPATGTVRWVG DVNGSSLADKLVEERGPGPRPFSLGQTAMLNRTTSISRRNRDSMIMNGPGTPQTGSYR 
SAPIO_CDS0202	MARTKQATPLRREVSDEYFSRREGATPRKRSANGAAVVPEAKAK EARVEKREAGALELAIAVGGIYASFLTWAYLQEKLTTTPYGPADKPEVFQFPVFLNTI QSAFAATTGLIYLIASTAKGASIPPPIPNLRILGPLALVAITSSLASPFGYASLSHID YITFLLAKSCKLLPVMFLHITVFRRRYPLYKYLVVAAVTAGVAVFTLHSGKKKNRHAS YVDEDRNVVWGLLLLGINLLFDGLTNSTQDHIFSTFQPYTGPQMMVANNVMSTLVTGG YLLLSPWLVRTGLGEYLGMDVAGGGGELRAALGFMARYPAVWRDVLGFAACGAIGQVF IFYTLATFSSVLLVTVTVTRKMFTMILSVIAFGHRLTNMQWLGVGLVFGGIGAEASIA RREKMAKEAAKRAKKA
SAPIO_CDS0203	MASASDSIPPNGLEMDLDTKMHDEPLLGPDGEPAPKTDEEYAQA QLTLRAIVSSKEAGVIIGKGGKNVADLRDETGVKAGVSKVVQGVHDRVLTITGGCDAI ARAYAIVARALVEGAPSVGMGGVIQNNGTHPIKLLISHNQMGTIIGRQGLKIKHIQDV SGVRMVAQKEMLPQSTERIVEVQGTPDGIKQAVWEICKCLVDDWQRGTGTVLYNPAVR TQSGGTTSGFGGSSYGSGGVGGGRNDYGGSSRVTRTGNGTDFSNGGPRSYNRRSDSDA ANRGPPTHDENGEEIQTQNISIPADMVGCIIGRAGSKISEIRKASGARISIAKAPHDE TGERMFTIMGTAKANESALFLLYENLEAEKMRRSQPQPTE
SAPIO_CDS0205	MPSAAEALPRWQATINSCSSLAALQRAVRYNGKESPAVMGCRSL CWKTFLLFNGVSPTVWIHSLAEARESYSATRDHLLKYIKHPEALANLTIDPLADDPNS PWITIRQDETIREEIAQDVRRLPDEAFYHEEHIQAMIIDILFIYCRLNPSIGGYRQGM HELLAPIVYVVNHDAVDRSEDDASLGDSDRLMFEVLDSAFIEHDAFALFSRIMDRAGS FYEINDSPANSIPSGLAESAGTSVIVEKSKFIHEVCLKRVDPQLAQHLKSIEILPQIF LIWELLEADYSVCLQLLLKYPMPNAPHGPHTFIEDAIYLKDHLGPSGATSLLMKYTGR MPSVTRSPSLNPRSSPSGFATLSGLRSRALSPRSPLPAASRLLQQQGGVEALFQGAAK NVLERSEKLGINQAVRDAMGEIRRNMQNLQDNNRQHLSRSSRRDSAFSSGLASPAVGD SAVKEMARRNKQLAGMLEETLSELRAITTEVLEDRAKSLEMIEMAVAKAQVVKICLED SSVDIPGLPTPENTPAVKGGPSGTKGEEADVIMDATPEAQTQPPSPPATVTTDPIPKI STLSLAEQAPNSSSPSSATPSSLDPLSNIPIEVPSPKKPERPSSLLPARSSIAQSSFA WMLEPDTSIPAPLPSATVRPAGPASSSSSLSTTTSTFVNNGSSSVRMHRKRPSGNNSS SRERNAFLFGEVVPSADVGAGGAAEVEVRGVTADEIFGLEPIRKGR
SAPIO_CDS0206	MTVTSPRTANAANETTPLLNEENATNGCVETQAPEEPEAVPEGM PDVAARMHLLFPAIGIGIYLCAMDQMLTVASYAKIGSDLNALNNTSWVATAYFLTLTS FQPLYGKLSDIFGRKECLLFAYTIFALGCLGCGLSRNMLELCASRAVAGIGGGGMNSV VSILISDIVPLRDRGVWQGYLNIIFAAGTSTGAPLGGLLADSIGWRWSFLGQVPMCAV AFVTVYLTLHLPKKDHSHWLEKVTRVDFLGAALLVSAVTALLTGLDAGSNNGWSNTRT LASLIAAPLLFTLFLLVEMRVASHPFAPGHIIFDRSLFACYIANFFGTTGQTALLFFA PLFFQAVQGLSTTESGALLVPCMICAVAASLGGGYVIRRTGRYYWITVAGFALLFVSA PPLMASVYARSTLGVVAGLAFTALGAGSGITTTLVALLSNAAQEDIAVVVACSYLFRS LGSSIGISISSAVLQNVLRSELLRRLGDGDAAREVEERVRKDMRAIGDLPADVAADVR DSYRLGVGAAMGPTVVALAFAVLATFFIREKRMGK
SAPIO_CDS0207	MSKPLRNRQSCVGLHVEELPTPVLLISKPIVERNIAQLHQDVES AGLTFRPHVKTLKVLYGLPINKTALAELSQIREHVDVVLLIDHDQHIDLIEGFGYKNP WRVFIKIDVGSKRAGVPPSSARLPALVKRALASPSVSLFGFYCHAGHSYACKTTREAE DVLRNEVEGVLYAASLVPPTHSITVSIGSTPTAHVVKSIKAAAPPNVAIELHAGNFPT NDLQQVSTGLVAEDQQAIRIQVEVCSIYPERNEALVNAGTIALSKETSAFPGFGNVVE KPAWYVGRMSQEHGILVTEPGNGRFAEEEFRVGDKLRLYCAHSCITAAAFPEYYVVDE HDRVSEVWIPWKWW
SAPIO_CDS0208	MATTICQVPEGYPAEIIGYAEPWIVSPGDQVHIKVSTTASEYSH RTVRLIQGLDAPHAPEVRVEEITQIARGTHKGRFQQSHAGSYALVEDLGFTSKDEGVK LSFFFQPYLLSAGHDQTLVSLLGSNGVDGVVVLLGDDGGLKVRVGNGSELEEVPFTYA LVEKQWLHIDLNIHKDVLAIAATPMAFLGHCNGEYHQQSFKLSKPITLTTSSILTVGA TRPTLDTRPTDYYNGRIEAVSLNASCAGTLRSVLKLDFYLKMSTDSVVDVSGNGKHGV LVNAPTRAVTGHDWDGSETDWTKATYGYGAIHFHEDDLDDARWQTDFTIQIPAGARSG AYAVEVKNADDPTVLDNITFFVRPPPTSVAKVALVLSTFTYTAYANEHMYDESRSSSL VLTGGLMPRKDENFKRMERRGDLGLSLYDVHRDGSGSVFSTVKRPVLNVRPGFYHWGF DRPREFSADLLMVGFLEQVGIPYDVVTDHDLHARGVNAIKQYSTVITGSHPEYPTLES LNAYSEYSACGGNIMYLGGNGFYWMSVLDPANPHRIEVRRCDQGVRTFGMPGGEWHHS LNGQRGGLWRSRGRSANHLVGIGCCGEGFGPGVAYKPTEAAKDDRFAWVFEGIGSDEL VGENGFGGGASGDEIDRWDPVHGSPETAVVLASSLTHSELFGLFPEDFSFQDYWDGLL GPNTNKIRSDIVFMENKAGGKVFSVGSMNWYCSLGWDGYKNNVAKMTENVLRHFAAM
SAPIO_CDS0213	MSTSPQDQAGQGSSGSSSSQSNTPAPSTGTSGTSQSSSSQQATP AQDDNLICRWNACNQKFQTAEALYDHLCERHVGRKSTNNLNLTCQWNQCRTTTVKRDH ITSHIRVHVPLKPHKCEFCGKSFKRPQDLKKHVKTHADDSTLVRSPPDQSNGLNVYRN QGSKAPSSYYDHNGQMRGNPAAFHHQPTHPSYYGAPQPSTNYGLYFNQQPMSGPRHDY LGHNAAGGFDNRKRQMEMVDNFLGSAKRRQVEPNSYAQINQSLLPLHGMLSVSNGPLA PTGDYMPGPGPGMQGPVGGGGGGGPAPSGPGPLTQNYYLPPMPNARTQKDLIQLDQIL EQMQTTVYEHANQATHGIHVVGNNTVDLRNSPSPPMTHQRGGQAAAAGTAAEGYNTVV PISTAHMASPITAVSSTGTPAVTPPSSALSYTSSQSPSPSTSSMSPRSSVTYPSLPAL SSAFPGQSTTATLGPTFDMDERRYHSGGLLQRANHPPLRSVPEILRGPPPPLPLPPKS APSPTSPGLRATDARSPSAESDNSETRERELNYDRWLENMRTIEEIRKWIGARLKNGD YERESPKSPSPMDVDGHDSRPKFKAEPGLYPSLRMPGN
SAPIO_CDS0216	MALPTLQTIKECADFHKTVEPFLPQLYDLPQKLLDNYSNRDALV QIYLETNPLISGAAFSIFLGVIFLVTAEVNRNFSQVDRAWSILPAVYNVHFAVWARLA VEPHSRTDLVALFSIVWSIRLTYNYWRKGGYQIGSEDYRWAHVQKWMHPVLFSLFDLL FIAFTQSFLIFLFSSAPAYIILLTNKFEPTLSSGDFGYFAVELLLVVSEYFSDGQQWH YQTAKHQYQKDAKLPRGFAQADLDRGFITSGLWAYSRHPNCAAEQLIWFFLYHWSCFS STALYSWAAVGSFSLIMLFQGSTFLTESISGGKYPEYRHYQDTVGMFLPSSFRPYRAP EVEGPKVIRTSELLKKQKSKQKLGKRE
SAPIO_CDS0217	MSKSKYLSGDSTAINEFLDRFDAFLFDCDGVLWSGDIVFEGVPE TLNLLRAKVLTNTTSLPGKLCKYKGKKVIFVTNNSTKSRQDYLQKLTNLGIPASLDEV FGSSYSSAVYIARILNLPPTKRKVFVLGEAGIETELRAENVPFIGGTDPALRRDITPD DYRGLADGSLLDPEVGAVLVGLDFHYNYLKMATAFQYLRRGALFLATNVDSTLPNSNG FFPGAGAISIPLVHMMGGVQPTSFGKPSQAMMDAIEGKFKLDRARTCMVGDRLNTDIQ FGIQGRLGGTLAVLTGVNKKEDWEVEGAPIVPQYYVDKLSDLRQSE
SAPIO_CDS0218	MSGLGIFSTGPYHIITYGTLFGTTFWHSFVGGIVMFRTLARPQF AAVQSHLFPIYFSLQTAAPAILALTYPGNKNSGLGLSGILDAANRWTVLAPLATIFAT SLLNLLVLLPATGKVMEKRRLQEKKDGKKSWEPAPHSQEMVALNKEFGKLHGISSLLN LTTFIASVVYGFHLGNLLQ
SAPIO_CDS0219	MASAVAIGAGVAVAAFLGRAGLVAWRRSRGGVGALGKAFYKGGF EPKMNKREATLILALNERAVTKDKIRKAHRTMMLLNHPDRGGSPYLATKINEAKEFLE KNS
SAPIO_CDS0220	MSSSESLEIDDTSPPSLEEVAERLRGLAEQYPAGRFDETLRLAE EMLREERAEKEKPRTTQSGHASPSRDASKASGSGAGDSKKKSAKKAHKGKDADGSADV VDKNLPEIEGALSTLTINLGYGDKADASMVFCPWRMVFHYPEWFIGKANGPRAKPFFE KFYEYQAWDFFYMFSSDPGLSTPVLFVPTEQFENFLSIINAKLDIQLTIPPGENAAKF SYSFTQDSIRPAYLGRVHDAKTREMIRTANLPEFTNEFFGDASADDIKQLSWLLHEIL SVKFKRDKKNRSDEKQLKAREHRREEMFKFQVLLGFKPNDSTALHQNFEFDADKAAKF PLDLGLVLISLDVEAHEGIGSPTEVGIAILDTEKTKGIAPGNLGENWLSFIEYKHLRV QEFASHVNCKYVHGCPDKFNFGTSEFVSHEDLLSTIKAILTKYTTSNIPEGSAATKRS VVLIGHDFQQEEKYLKKIGYNLYEETGNVALCADSKDLHQHLTSDSNGRSLTHVLLDL GIDYSNLHNAGNDAAYTLRAVIACAIKDMQQNPPTDPPGVEVAKKVVVKRAGGGFVPG APWLVEEDDDKTPV
SAPIO_CDS0221	MADKEAIVFIVDLGSTMADCNSGRTESDLDWSMRFVWDKISHIV SLSRKGLCVGVVGLRTEETNNSMRDDEGYEHIAVLQGLGPMSMGHLRDLQKKIKNSNE AMGDALSAVAIATFMITEFTKKLKYNRQIYLITDGLGPIDMDDNDLRDISAEINDNGI SLTVLGVDFDDADYGVKQEDKPKAKARNEKLLRRFVEMCDKGNFGTMAEAIDELDIPV VKVPRPYKSYEGTLSLGDPESGQAAAVISVERYFKTHVATAMPASTVVFKTEAAEPES MEGMEFGAVKQARTYKVNDPSAPGGKKDVEFESLAKGYEYGRTAVHISESEHNITKLE TEKDFSIVGFLEQDKYAPMLNLGDCNVTYARKFDTEAQVALSSLVQAMHKAQAYAVAR LVAKDGKEPLLVLLAPDIENNCLYDVPLPFAEDVRGYQFPPLDRVVTVSGATLTEHRF LPGKELEQAMSDYVDAMDLSTYAEDEDNNPVEYMAIDEPFNPLIHRIKHAVKERAIYP DGPVPPIPKILTKFSVPPEDVVESAQPQINALIEAAEVKKVPPKAKGRRQREVVKPLS GLDIDALLGPAEGKDSGERKPISASNAIPEFRRVLDSADQMRQIEDAAKQMGGIIRDL ISSDFGGSKDDRVLEHLGAMREGLTNLEEPDLYNTFVKDLKKQMLSGALEGDRREMWW KIRKAKLGLIDNKMLDVSEVTEEEAKEFYTSR
SAPIO_CDS0222	MRIETCYFCSRPAYPSKGITFVRNDAKVFRFCRSKCHKNFKMKR NPRKLKWTKAFRKAAGKEMLVDSTLQFAARRNVPVRYNRDLMTKTLSAMKRISEIRAR RERVFYKRRMAGNKQREIEAARELVKTHGHLLPKMRGSERRRLEMEAEGEMMSEDMVD VNKNVLKQKQKVRWTVDGEQEVEEEDDNPWEDMGDEDDAMEGIEA
SAPIO_CDS0223	MSDVFRRVGRGGAGNYISPNAANITQDPAQDLEAQKLPPVPVAS PTLQPQPYARVGRGGAGNFHDAADAPEETQEQVAARTDTAMAPAASGSRVGHTGRGGM GNWSDAQSQQEVEAEKKRQAVLEDKVARDVEAGLKAPARTYNPNTPKTA
SAPIO_CDS0224	MASSKEMQQSSRPLTHRPSLSSTPTGSGLPTRQSHARTGSQSLL LGPGPLHSNNRVTRRKSMNNGTTNVAAITAAIKDVGDMSSSLPSSGFTRRHTVSKGPI GRTSQTSLLTSRLMADRNGDIPEHAIDDDPADTSAEQATSPGASSAQKSRTRRASEGQ PLGKEGRRSNRVELRCEKCGKGYKHSSCLTKHLWEHTPEWSYTSKLLISKHQQVQLLE AASVLVAMNNAPKEESAPTIVNVSTPPDSARGFPSDNESASPAASGYSELNDRQSSAD TTPPPQQEIFGRNIPGYRHLSKRYSNGGFSRSYQSTPISGIAGSAPNGPFGGFGHVRQ QSQDRRPPSSGRNATGQEDRELAAAVELLSCSFGSNTGRTVTLPLDAPPVPPLPAQYL DQAASLSSAGFLNSFPSRQPESFTRGELRSVDVKMEDSADSDMADDDEDMQLRSRSDE DDDGVFGRMVI
SAPIO_CDS0225	MVELRPRTAKGAVARAEKPENIRQRTDDNEGGDDSTHADTAAHA TKLPTPLQFPIVAVLSLVLSSLGYAFLGAVTGGDLAAVARSTDDWRDVVVLTGWRILV LGIGWFGKLDSFDLAALNILSHGPALYLLVTFYNVSAASALSALTIDALSTAIPFQLL RPVSSAHTAAEDAPNQEIVADPAIQVYTASLSAVVYGATLLSSLKTFLPRVLAVHFDS IKTLIPAYDATWLTVAPAALVAGIAARVFIFTPFAATPKKTEDARLGDFDPAAATLSE TAAYNLWGYTAKAKVGILRVAVAVVVTYVDTYLQCAKVVDGVEPEGARVYAAVWASAA LFSGLGLGLVGRT
SAPIO_CDS0226	MEAHYYPSAMSYPAGGSSSGYGPSGNPSVRKSRSVRSEGDVSLQ GPLDVAGSVKSGRSITLSGDFTIDDKMEAYGSIDIHGNVTCGGKIKAAGNIVVTGYLV AQIKSYGKLKVRGTLDATDLEVYGDLTIDGYLRCRKLTLYGSLTLVGEDSTYVVEETE QIWGSKIIRSSSRDW
SAPIO_CDS0227	MTNEATAVIIADEAISPRDKSIMDQTIGKTLGALNRTIDSMEIR ADPDAQATVTDFLDFTEHLPSDVIRSLALIGKLDEVYVDASAKANELTTTWGQLPTLP PDARPSPVELRADISKTLSRAVTSRMYSHAEALRMADNVNRHYNRAKTILSKLQDMLE NFPTAEEQQKSPVMSAKSPHMSRTPKAAVRTTEDGQRVRRQRVPRIIVPGEVLAPYEL DFNAYSDDSDSSSSGEEPESPSKRPSSRGTPGIPARIKLINKTQKTAPATPKVPKLPP IARPQKPVTVNLPGGAAPGAATAAGAAATAAGPIVLQPPPENAPPGSTEAPWLQLTPY ELARLRKRMKKNAVWNPSDTMIARELKTLGRGPEAYREAKKKAEEQGIPFESALPVPI TGESGSKVLPEGAISVDALEEDLPVSNKGMKLNEAKKLKREAMAKLAVEEAEEAERKF AEAARRLIAGGGPGLNTPNAEASVEAAAAAGNGRGSRVKTRTSYKRKRDNGADAEAEK PDEAAGSETPAPAKRLKTETPVPPPQLTPHMGAQARSLTPIPPPVILTQSTTPVPIPI PRQSSDASATKMSTSPPAFAAVPAVGHGTATVVTTVPTKPPAETPVHPPALERRKSAT PILPPVRELPRRETRQDTAKKQSQQQVQLAQQQQQQQQQPPSQQQEHQLQEEHPQPKR QSSRQPSVPARASVSRGPTPAPDGRRPTSRGKGASQEPPPSLAADRPRRSSTARTTPA PDHQHHQQQQRQLGKRARRPAPGVVTTTNSGGNSAVGKRKAAPRKKARATTAVKKEKG QQQVETEMEEVDDEGNPIDPDEPRYCRCNGVSFGTMIQCDNTDNCKGEWFHLECVDLA EIPARTTKWYCPDCRVRLNIGEKGEVSARGVKK
SAPIO_CDS0228	MPGPKKGKHVRDGGVAAAVAKQLASNKSMNGPASSKSSSSNNSS PLVQSPAVKPTVVPTSNNASLITTAPSPSLDALSTTSVSASTAPSTDWMRGAFSGSPG NLVGLMGESPPTQPSSYEDSTRLHSNWASPRAYMSPQPAPSPSPSVRSGSRRPLSMQF DGPADMRGHPTAPSYRRSSVSSQFSHARVPSLPPLPHQAQPHFYGVPDLDLDIASNSG LKAGERGYHFAFDTLPHRYPDPTPGSDSVIVAGYEGGLEVYAVSKRGLDPVASLKGLR GGVYNAKILPWISSADTDADEPDVYPLVAVVVHGPVLPTASAEQDAQSAPMAGIGGAR SSTPGSPRVDAAVVRGPNQLIEYYQTSVEVYSLRTSRRVDVLLQASQVPIESSVAITS HMFSPPPPTGNFHIRADANTIVVSSGLTGECWLYRQLPPRPGASIGFGCAAKFWTSIQ RGLKSDGKEENDRSRLSPAPPRQTMPNTPILALNGRWLAYCPPTQSSQISLRAHVPVP LIGKAPGVANITAPPIPTPNLATDLPISEGIMNKLMRETTQDIIQGAKWVGQQGKQAW NAYWNKSPNQPQIAARSPPAPLPPWSTPYPPRQDTTQFPPTHGTTSLPATKDPGVVSV ADIETLGGSSSIHPVATFAAPFGCSFLSFSPTGMSLFTVSSKGDVQTVWDLSRVQCTK SSLLQQQVLAAPSGGGPRVMQIAQFSRMTVARIVDVAWTKPNGERIAMVTERGTIHLL NMPSSAFIWPPPRRRRDLPESAAASSETPSSAVSIASSALGAALGAARPLMSRPRRSS ANSAGFTGSNIVDSASLGGRAIAATISNSLGKTGNAINQLRQTGENRVTLPPSHVLPA LGCVAWITRRKHGGTLFASAAGVVRMFPSKSRKNSSRPSRGGMYRDFNVPGIPENVLA ETVRHFINGDDEMYLSDRDMDAGNTVTLDARPRIVEPGVGTESAIPQAEIESSAPYQP FHTDRRVSLYAYGERNGPTAMDINLLADLSLDDSASLPQKKRKEKKKGKISFAAGGNG LGALNSDPWAFGQSITATKLDLGLPDNIDESLVLTDDHRALPASAMERVLQVGANEEQ IVVTTRRRRGARHAEHEDDGFFEDDCEVLDFADQRV
SAPIO_CDS0229	MLDKLVGLAMLVAASVIFLYYTLWVLVMPFVDDDHVLQTLFPPR VWAIRIPVILILLGSAVVGSFIGMVMIRSNRKKAAKAKAAAKKKA
SAPIO_CDS0231	MAGKRDDGAPPKKAKLVGRAFYESIGSPKYILAPMVDQSEFAWR MLSRSYIPPSQKPPLLAYTPMLHARLFAETEKYRRTNFQATNPASPTSPDSPFLDGNP SIDRPLIVQFCANDPNWLLQAARLAAPHCDAVDLNLGCPQGIARKGHYGSFLQEDQDL IFRLIRTLRDELDVPVTAKIRILETREATLAYARNVLEAGASILTVHGRRREQKGHLT GLADWEVIRFLRENLPPETVLFANGNVLRHEDLDRCIEATGADGVMSAEGNLSDPGIF AVPPADGEGGREYWRGRDGKGGWRVDGVMRRYLDILHKYVAGVEPPARRPLFVPGDDE GWLVEREENGEEEGPARKKRKKEKKDYVQNGNYSAMQPHMFHLLRHLVTVHTDVRDAL ARSKKGDLEAYEQVLLMVERKVALGLLEYEKGKDDGFENGEGENGEDTLSEIDEELKA ESSEAAIKRCKRPWWITQPIIRPLPMEALAKGSITLSKKNMAAMGVEVDVVEKTNGAG DEAAEEKPAERNQEAPTQKEEVVA
SAPIO_CDS0232	MIVSDDARLRSQALRLVNESGIMKEFEGFKVGQMSLAAEFENFR QLSPTRKPAAEPGQIPDFNRLSSPHDQPQVAEEETFHQSTLNEGRPCPHETSLKLKNP FSADITAASQIEVFSDPSGPISGRRLYAKLPGATEMGTQSATLGGVLSYQGQYYILTV GHFLESLTSPEKIAVQSLPQPSEDIPTCEISGLSDFEDDGDDDDNDDDDDDDDLIRAT SRGSITPEETEAEPSRSSSVSDLDRASCSSSASIMDPGEQAQLLDSINKAFTPDKHTE APTTLNEPPVKIGEIVMHSKAMDVSLVRITGDPATSLRVSSSELQDASIPLEDYECHI ESAAKDTTIKCPERTWNGHVKKLLN
SAPIO_CDS0233	MSAKTHNHLLTIADIVLQDIQKAAAFEELKKWEPHSNAVESCLN KSVHLCAALLLMMEVGDQRFGFSGSYPLKWHSSQSLREAVYQHFENARGRIIQPDNQR TGKLFTAHNLKHIGGMEISLQNSLLPSGLAEETLRTLMLLFPRGDSSRPRRWVSKQIR KHNLDPTLGHLGNLRVHDRRFERFDYWHDRLVILKQAFDESNPRTLRHWWNDRRNSVQ WYTFWVAILIFATTIFFGIVQSLEGGMQVWLSWKALERDGGKAG
SAPIO_CDS0234	MLQTRPSSASNLQSSSTPQFSMAQPHRNSVHGGPGGMTPYRNSG PIKPYAFTSTPSLNVTGQWQQSGAARPSGSNLSTLRVSEPSTGAAKPNPPASSNTTTS VGLAGSRDDLSIPQPRLITPAPRPQSAYMASTSTQVSFAQASPVRPSPERYRRPAANQ PSRTYNSAPPSGSGMSAVSHLYTPPALPPVASRNSLPPGIRPSTTSGSPADDGQLYRE RPKEDKRLRRRSMHTLDSADYPNPLTPQLFKRPGEMGRVDPSTTAAGDSSKTLRLVPP ANDALKIQNNSSESLVSSRSSHSRPPSQPTNRSTPSPTGPPSSSHSSADDTARQDTLK RPSPDGGKRIHTSSPLSQPASMASDETNNEGQASVSKQPAPAAPSSTSNGESPAAKRL AAINMKSRSKTSRLRRAFSFGSAAEFKSITGIESIAEDEKLEPSKLHKEPTPGELYDA EQARIAKKQEEGGLGTSIYGTRLFSGSTDNLSISSTASSASIMIRKMGRGMKKGTRSL VGLFRPKSIVGAPAVDNLVPPEAGQTTVSMVTVEAERERVNINVDPHAQPGGGTGFPR LERNSLDAAKAPSMVSERAGSSGTDGSAPRKSIVGGDRERAEVLAAVRKGILKKRNTS PTGRPGERDGLGDLPGVPPITDSPNSSAPSTPNDEAMHGHRRSESIAIGSEDYFVSAL RLRQDSKSGSGTPSSTTKRSATFSPRIVFYDTWPSQEYDRRGEIATCNRLTPMLAQQI KEELNSFKMEMEVHENSKIYTHFF
SAPIO_CDS0235	MKSLAIISSLAPLASALCYRDPSLHARSEFTPPSFGYTGLQGPL NWHGLSADNIACAEGTHQSPISIDTSAGNIPVVKGERLSLELDSYFFGTEVENLGTTV EVPANGTLNRDGKAYNLVQFHFHTSSEHRIDDETYAMEAHFVFRAEDQSLSVVGILID VSSHGPTSRFFTNALSNVHDIPHAGDIGETGPLFSGEIEDLVSGSDVFQYNGSLTTPP CSEGVAWNVVRDPVYVSAKTYRAAKSVLRFNSRYTQNAPGEINLLQNAVETIGAANDE 
SAPIO_CDS0236	MASKRKRELLDFDPNKSDSDDENFEPETETRRGKKRSRHQARGR RNSGTSRRRPNRNYSGTDIEDDEEVLSDSQDEASFDMGSDDDKEAEDLPVNAAGRRTR KAATKQISYAESSDQEDDDAAEDDGVDELQKTPRGKAKSKIIRLKVPKSEASNLNLRA ARRTTRARTEEFQEELVELSNSGHHAVPARGSRSVSPETAARATRSSRAMKGLKKAPE TIEEATQESSSRETQAAQEEQDQPLESVEEKDEEVEEAEASTAEEKPDVAPDAEETRE DEEEEEEEEDDDDGPVTRTRSTRRKNSTTVADEPEDKAEDKEDNTGTRRLRRRSSRRK SAQEPSSDFDPGEESDGEPSGAESAANGDGDDDAEYDSPTPRGRRSSRNKRRSTRAAR REDSGDEVELDRDELAEELQELRETSRPRRRRRSPSIIYQEPKRRRATAKPVSYYLPP LTAAAIEEEEPEDPAPTPARRRGARGGAGLAWERPLNTAYGPFGGGGGVGSLLGGPWG TGAAGGVDSDSSDDEMVTRSNAVGNVGMTPTSAAPPGMLGGIGGAINADGLGGVGATP NVGKIKNQKAFADADPLGVNMNVNFSQVGGLQGHIDKLKEMVQLPLLYPELFLKFHVT PPRGVLFHGPPGTGKTLLARALANSVSSEGKKISFYMRKGADALSKWVGEAERQLRLL FEEARRTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGAT NRPDNIDPALRRPGRFDREFYFPLPDKEGRRAIIDIHTKDWGLQDQFKDSLAEHTKGY GGADLRALCTEAALNSIQRAYPQIYSSQEKLIVDPDNIVVHATDFMLSIKQMIPSSER STTSGAAPLPLGIEPLLRDQFAAIRDALDETLPRKKKITALEEAMFEQVTDGDHGFGR EKLHQEFERCRVFRPRFLIHGASGMGQGYLSSAVLHHLEGVHVQTFDLPSLLADGRPM EQVIVGKFTEIKRHKPSVIFIPNIETWYASLRDSLAMITFQSMLRAIPPTDPVLLLAS AECDLEHLPAELLKDFFGYSRKNRIEIQRPDQPNRREYFSAIINYIRMNPAEFPDPAN RKLRVLEELEVAPPPPPKVPSKAEMKALEKRDRQLLNVLKVQLQPIMDQINRKYKKFR QPAIPFTQLTYLYAEADPNYVRPDLAESEVRPFEIVKDKHGNDVLKETATGNIFYNLE TTTIEERLSNGFYCRPKDFLFDIKTLAKDSRNIGDKERTLKANELVSNVEVDVANVEH STSNVDWEALYQRQVHRAKRAAERERKRLAIQSIVDVVQSDVVGNDSDSQAQGPVTVG EPLPGSRTTTARFQVMSPLSNGHGSASDANHPGNGTLVRRGSDVQMSGVDGDTQEFPN VPRMQPPPPSARRPGAETASGGMAATQVSQRSAVTSVPPGVSPSAILNDASTTKTSDP SNKSSNFSTQLTNGYHDHSGSGSGEAENSQMPDTLPVPASQGQSSGESWMHSQAHALA SGRLRIGESGLTENSPTSSESRQPFGSRMTSVRKSNHVSSNSHVNETSGEEGSQSVRN SGLSSQPTIVIHEGQVHDFLTDLTERTSGCTIEQLEQINRELMEVVWRTRNESNRMMV LNNLTEVFNDTIRDIESTQGVLQLSQ
SAPIO_CDS0237	MFRPTAARLVRFVGPLDAVDSPFASTIRSAGHELETRFPIIVEG RVQGSRPHPSTKDPTDQKDVVTVSFHTNAGTLGVEISTAQVFANP
SAPIO_CDS0238	MGHPNAQSASFSTAPSASAAFEELPKDALVQEEDVPGYRADNYY PVTLGEVLNDRYRVVAKLGYGVGSTVWLCRDLKKGDANADDFFTVKVCTAQTASELNA QADKEIAIAEYVATVEGEHPGRNYIRLVQDHFNITSGYGTTHRCLVYKPMGMNLTDLR NLFPERSLGMPLFKQTVKIILIGLDFLHQAGVVHTDISPNNILLGIHDPSPLPEIEQA EIIDPAPRKTLPGRTIYYSRRMPLTHGSPVISDFGMACIGDSHRGDIMPDIYRAPEVI LGMEWSYPVDIWAVGLMLWDLFEGTSLFSARKKGILNDEQHLAEMVSLMGPPPPAFLT RSEACKKYWDEQGNWIASTPIPDQSIWTREGKLNGEEKEMFIKFAQRLLRWLPEERAS ATDLLWDDFLRKP
SAPIO_CDS0239	MGGKIDFYYDIASWFSYVGFADLFANLETLAAHGVEVEFHPVAL GAIMKAAGNAPPMMVPRKGEHLSIDGARTAARVGKSNMRFPPNFFSRSKTIIPQRALH YIKRHYPAETYVTALHYLLDSFFTPPHPNVSKADELATVLAECPRGFAGSGRDYSREP RLFSAEDVRKIMEGIETEEIKDALKKTTEVALEKRAFGAPWLVVRNGDGVEEVFFGSD RFGFVYKHLGLPYRDVELLPPGSKEGSKL
SAPIO_CDS0240	MSADHLIDDHEQYASSEDSDFAPDGLDAADRESIDSDSDAEGDR AAAKKRKRDAAENVAEDVDLDNSGDETVIKKGEKRRKKKKEGGKAENVEDGDEGIVIK TRSMRAAEKAERKFAASTGPVTIDVDALWEQMKSGSSIAPAPPQAESTYKDGAATQSV PGPDTAGDASANLTTDASLDPPDMIRIKRKYNFAGRVHTEEKLVPRDSAEAKLYLESQ AGAPLPDDDPSAPTRKVPRKAFRSAFEPVLEPLQRRGDLNLGVSARLEARDARAKKLN TVEKSRMDWAGFVDKEGIKDELELAGRSKGSYAERQDFLARSEARREEDARRARVTAR 
SAPIO_CDS0243	MASVQPTPAVQHPGAAMPAAFGKQEIEEMYRKFRHMKDTGVPNT DPEFIKTQQFLMNIQQAHQRRQQQHQQQQNLMRQQQQQQQQPQSAPNGAVNGTPSARP QATAGQSTPNANASAAAAAAAPNSASTSSPSNQNGNAMSLFSQQQLTMLRQQISAFKL LSRNAGLPQNIRQTLFSQRQKRQASLSETAPQAAPTTAQPTQDPSKKVMNGVDSAAED TSSLKEPGPKSFKSPYGMLKNTISYYDHGQRKNRWIIPSIFPTGVDVDQLRLEQERSL FNRMSHRYQQLKALPANLAHWDSTKEAVEADERLRIKAIIEMKSLEQYARQRKLREKV GQMMMTYDNLAMTTNRSNYRRMKKQSVREARITEKLEKQQRDARENREKKKHVDFLRA ICNHRAEIIGAAEAQKNKSHKLSRVMYNQHYNIEKEEQKRLERTAKQRLQALKANDEE AYLALLDQAKDTRITHLLRQTDGFLKQLASSVKVQQRQAAERYGDDGGAFNEEEESEI ESEEEDEESARKIDYYAVAHRIKEEVTEQANILVGGTLKEYQIKGLQWMLSLYNNNLN GILADEMGLGKTIQTISLITYLIERKQQYGPYLVIVPLSTLTNWNLEFEKWAPSVSRI VYKGPPNARKQQQEKIRQGRFQVLLTTYEYIIKDRPILSKIKWFHMIIDEGHRMKNTQ SKLTATIQGYYHTRFRLILTGTPLQNNLGELWAMLNFVLPNIFKSAKTFDEWFNTPFA NTGGQDKMDLTEEEQILVIRRLHKVLRPFLLRRLKKDVEKDLPDKTEKVIKCKFSALQ AKLYKQMVTHNRIIVSDGKGGKTGARGLSNMIMQLRKLCNHPFVFDEVENVLNPLSVS NDLLWRTAGKFELLDRILPKYQATGHRVLMFFQMTAIMDIMEDYLRYRKIEFLRLDGM TKSEDRSELLRAFNEPNSKYFMFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQ DRAHRIGQKNEVRILRLISSNSVEEKILERARFKLDMDGKVIQAGRFDNKSSETDRDA MLRTLLEAADMAEAGEQDEMDDEELNMILARSDNELTVFQKIDEERAKDPVYGSAPGC KSKPRLMTEEELPDIYLGEGNPVQEEEEVVLGRGARERTKVKYDDGLTEEQWLMAVDD DEDSPEAAAARKQARRERREAKKLKQLTALNSPAASRASTEEVETPKKRGRKPGPKSE KRKAEDGDEEPPPKKRRGPQGRPKAIAAVNGDSRVNPQQREVLQRSLRTLYDGLMNLE SDELIDGVEEDESEPPKRLIIGPFIKLPHKRDYADYYLIIQSPICMKMMETRIKKGEY SSLSDFRKDFDLMIRNCRTYNEDGSILWRDTGIMEDYFNKAFDSELENHPELKELEES PDKDGSAPPSGAGDTPQPASSGTRIRLVSSSAKEAANGDNAEE
SAPIO_CDS0245	MTVTSRKRKIQHTYADDDAARSIFQKHFESHFAPLPEPVRVTPN TAAEAHKSGETSDDDEEWSGISDEEEEAVEVVDYASKPDFTSQTMRKREHRAFMSSRP PTHLDSQTSKSATSSRAESSTPTLPEDSRTLLAQDLALHRLITESHLLDPSSKLHSKP FSEGRIRMHTTDLRLTSLAPTGTASILSQAKMPMAMRKGINAAKKGREDKRRKEAWEN GIVLERKGGQGDKLGRKRKRPDARVDLPSVGKMRGSELRLSERDLRSMGGRDHPPARR RR
SAPIO_CDS0246	MAAPQKPETFMLSTEAQQALPHDAQVALQQVDNLKYFLLSAPVD WQPDQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPVKNPKKFEEG IFSDLRNLKSGTDASLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHDRLFLDALE RDLKREKMGQEATTVAVSEPALSFQYDSSQSLYEQLTKAQQANSSSFNAQQVNFSQAQ ATSPVMRAMDSMPPPQMIPQPVAPLVDGMDAMAAYGTMAMGPVMNQQVIKREPDMNRV QYNQNGVPVAQAHQRHASMPAYGLEYSPAPSFVSSHYEDYSNRGISFEPMTPPQQALA ISAEPAYIANEETGLYTAIPDHLAGVNGLGGMIQLPPSNLAGSQFPRAYGTNNVYSVI EGSPTYKQRRRRSSIPPSMSAMAAATGMPTAVAPPNHRPSDLRRSVSASVGPVPEGEE SADNSPPGLTYSTMSISSQQHNLELSRHGTPLSTVEGSPALNPMSLQQDFSQLAGEEL PGDVSVKAMHAHAAPSGVVRRARSATVMELGPYPQKSHSCPIPTCGRLFKRLEHLKRH KRTHDRPEGTAEGSAYNLSGEEEEEYSGEDQLGSVEEASPTSENAYVPASLNAVANGA TSGSNGVAPAASMPPTSAYNSLQTLSMPMTMSTPQSINAGGMM
SAPIO_CDS0247	MTQVNGDVPTHPLNSLFVEHLLTYPVVKDGVSTFQNNQLGQKSI QLGDTAYRRLAEPVLPYLSKPYQYVSPYLEKVDQLGDQTLSKVDERFPVIKKPTEELY TDAKNLVLLPYNKSLEGKDHVLGVYSAECKRLGGENLVTYSRALVGTVFTVGGETIDW VGSFLGSKRAEVKERINEKGNN
SAPIO_CDS0248	MKNLPFIIPAIIIAALSIIFPAVKVLDLLSYTFEPIIKSINSVL STPPLGTLFEDPTSTAQLRTNDDTTNEPLSVLENKVDTDGALPVDNMSVSRAIRKVFL ASQQAEGAGAKVRRSIGTPQLRNFSPFLMLDHFSVNPGAGFPDHPHRGQETITYLLEG GIDHEDFAGNSGSLNPGDLQFMTAGRGIVHAEMPRQNADGSANVGLQLWVDLPKNLKS CEPRYRDLRASEIPQVTVDDDKVTIKVISGQSHGVDSVKDLAYTPVWILDVTMKPGGK ITQPLPEGWNAFAYTLEGDALFGEGDAKRKVEQYHNVVFEPEGQVVHVEVEPTAAKEA RFVIVAGTPLDQPVVQYGPFVLTTKDEVYKAIMDYQGFTNGFERARDWESEIGKSMKH 
SAPIO_CDS0249	MKLTTALILAAGAVGIHAQDVSSLPPCGQVCIQNMIGKAGEFGC QSTDVACLCRSRDFVYGIRDCSVESCSSSAEQVIQYGVDFCRSQGIEVSTDGEGGATN ASPTDTAATNTAGTDTAGTTTETPATETTTPSPATETATETATTPTTTGEATETATAT EGTTTEGGGATATQGPGNTGGAQQSSAPAGLLVAAGILALLV
SAPIO_CDS0250	MFVRKRDGRQERVQFDKITARVSRLCYGLDTDHIDPVAITQKVI SGVYGGVTTVQLDDLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQWSSVVSDLY HYVNPKNGRPSPMIAKETYECVMRHKEELDSAIVYDRDFNYQYFGFKTLERSYLLKLD GKIVERPQHMIMRVAVGIWGDDVERVIETYNLMSSKFFTHASPTLFNAGTPQAQLSSC FLVDMKDDSIEGIYDTLKTCAMISKMAGGIGLNIHRIRATGSYIAGTNGTSNGIIPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFLALWI PDLFMKRVEKNGDWTLMCPNECPGLADCYGEEFEALYEKYEKEGRGRKTLKAQKLWYA ILEAQTETGNPFMLYKDHCNRKSNQKNLGTIRSSNLCTEIIEYSAPDEVAVCNLASLA LPSFVDYNKGEYDFEKLHEVTKVVVRNLNKIIDVNYYPVQEARNSNMRHRPIGVGVQG LADAFLALRMPFESPEARQLNIQIFETIYHAALEASMEIAKEQGPYSTYEGSPVSQGI LQYDMWNVKPSDLWNWDALKEQIKQHGVRNSLLLAPMPTASTSQILGNNECFEPYTSN IYQRRVLAGEFQVVNPWLLRDLVDLGLWSDSMKNRIIAEGGSIQNIPSIPEDIKALYK TVWEISQRTVVQMAADRGAFIDQSQSLNIHMREPSMGKITSMHFTGWKLGLKTGMYYL RTQAAAAPIQFTVDQEALRVADVNTAKDRSQLKKRAPPPSGYSSSASATPRSALGRKT ELAGGLNGNSNGLPTPDTTPPSTAQRSVASSSRPAMIKADVAEGGSPKTLATEPSGGF QAEELPSPSLGKKNDPQDEDQDEDSKEREMDIYSEAVLACSIENPEACVMCSG
SAPIO_CDS0251	MTSGDAPSTEAKAGHTVSSPQPLSRAHEVGCQKLIVTGSDLHCS SNALKLTHAYPGAVYSTAGIHPCSSQIFSVNHTGTEDGLNHSCDPDPAKPLSEDHEPC PTKTDEIISQLGEFLQKARSDRSADHTGLIAFGEFGLDYDRLHYCSKKIQLHSFAAQL KLAASLRPQLPLFLHSRAAHQDFVSLLKATFGEKLERLERGAVVHSFTGTPEEMTELA DLGLYIGVNGCSLKTAANCEVVRAIPLDRIMLETDGPWCEVRPSHEGWKYLKASPQHQ GGSTGPPSNTMVMERYKTVKKERWQRGSMVKGRNEPCTIEKVANIVAAIKGVDVSVVS EAAWANTVAVFGL
SAPIO_CDS0253	MSTYGGRRGPNVSQYLRHLNTIPTESPTDDAFIDDDLSLFTNTR FFDIESGQTTDFQAPPVKPESEQVSAPTENLNSASPVGDLTGIDFISDFNFPDFSSYQ ASTLNPGFADALPMQPLQPSAQVFSTPVPQQQQQQQQQPTTFVQTQSRIGDKRKSESL DNSGQLSFEEASRLAAEEDKRRRNTAASARFRIKKKQREQALERTAKEMSEKVTVLEG RIQQLETENKWLKNMILEKNGGSEQIASLLEKGLSAKDKTETSKAAEPVKAEL
SAPIO_CDS0255	MSGDLSLSRALGGLRIANPDDAPPPSSPDHASASQYTKPAERHD NGRPVSVGNEPRMIFEIPRELSNVHPDQLDPPPPPSSDRREHPPETRSHHANPLSRSA NDTHPDTQPTPHQPQIFSHSSRDIPAAWGSQTPARAESSAAGQAGYQHRPAANALARY PSQSDSSHGLNHRGHVSSPGGPLPPRRSSRGMGGGYLSGTQPSHVPQSQSSEAHLPIS SQEWQERGAAVGVRREIDANGQTVLRHVKKGVRDFTFGRILGEGSYSTVYLATDRQTL KEYAIKVLEKKHIIKEKKIKYVNIEKNTLNRLTEHPGIVRLYYTFQDETCLYYALDFC SGGELLGALKRTGTFDVECTRFYGAQILDAIEYMHSRGVLHRDLKPENVLLDDHMHIK ITDFGTAKMLPDPRGPRPQDGRDRGIPEGTQAGKDDDNRAPSFVGTAEYVSPELLTNK NSCKASDLWAFGCIIYQLLAGRPPFKAASEYLTFQKIVGLDYEFPPGFPPAARDLVER LLVLDPAHRLTIEHIKNHEFFDGQQFGKGLWRSKAPRLRPYVPPAQEPHIIQLNGLSS TPKPTSGARQLPQGHQGPSSTPNGATRPTLPIAELPPPTQLDFEWSSVLTRTNERILK LGDLMVISTPLPNNSQGKNGEHSDGHKKLARFFGGSTTKKRQRLVMITSSGRIILAPA GGEEKRAKQDISLLAPDCVWRSQIDAKGQPVWCVDTGGIHYTFEEPRSSSSSSNLAST TAVDEWIDSLQRAKELALSQNGMGSSGSDNGFGDMSSKMSSPASTLGGRNTYSAAEGF AVNDKAGRSHLSKTPTTDESTPRRNRFKCRVNTVNTPRHPRDRVKPLDNGEEALAQIA QQQQAQAQANELAKRRSRKPTDKAMPEGVEDSTIDPELVALYSNLRAYERRLDATLAR KRLDIIDNASRYLKHDRTLRIWISNSVEDQPWQNNDLNVDSFDFSNNLEPTYRVRMEG RLLDDEFEAMKQGDAGAGGPAPAAGPVYKLSHFFKSISVDFHIPGRRPDQNVEWKKPD RNPPASSQQAAEFDEFCFKRNGDENVNITINLVRHEEPERFLLTPELAAVVDMEEATR QETMMGIWEYIRYHGLQEDEEKRNFRCDDLLKRVINRGDVGHIPHLGEYIAPHLRPLP PVSLSYTIRVDEEFHKNPEPTIYDIQVTVENPLRAKMIAFITDPGYAGMLKEVAGLDE QLARLIQAVSMSKSKHTFFSSLSEDPVNFFKNWLSSQKRDLEVLDGEAPRGGGEHAGG DEWRKGGNGSVWATENARETVNVILSRPRPQVQHRPA
SAPIO_CDS0256	MSFLFGRARSRPGVDLAKQAKEFLRNGTTKTDDLAKVLSQMKLV LQGDPDTSPDQIYHLVTTVIEEDLLYQLAVHLHKLPFESRKDTQVIFSYIFRFRPAGA SPKSDPVALSYVVNQRPQVLVELCRGYDYKESATAAGAVLREVLKVEAAAAVILYDDG EKEGSSTYGLAGIERDKPQSGNGVFWKFFEWIDKSSFEVAADAFTTFRELLTKHKELV PRYLTVNFEMFFSKYNTTLVQSNSYVTKRQSIKLLGEILLDRSNYSVMTAYVDSGEHL KICMNLLRDDRKMVQYEGFHVFKVFVANPHKSVAVQKILIMNRDKLLTFLSNFLSDRT DDEQFIDEREFLIKQIKNLPPQPIPPQR
SAPIO_CDS0257	MHFHLACDPYSKASHAVFAALSSAGPCSANLALFSSTSRGQRLT DTSSLLDISVIHEIVVAAQEHLDGLPEQDRVPTNSLFQAYDAVLPAHGIDPENDQHLS RLVFRIGGERGEGTLLEKFGSVLSRMGIELEFDTSSIPAEPELSEVSQTEITSPRFRP TLVSRAKFAEKDLAGGAALPSADSETESPSSSKSAGTTATETAHTTRLISHGHPAHIP CAGSIPQHNLPAQPPRRKEPARHTPVDLPMALRASGTNTLNPVSPTASEDKQALLDDL EDASADERTSQLAELELAFLGQRLFNSWLNLTTPPENTEEYRRILAETVYERNVTEAL LTQWKDLAYWKRSAAQEAACLESYALSALKTERTAVARRHILRLRHFDSWKEVALGDY RAIRTFVHRVYLPRWNEQLEVLKQKEETAHQARRQTLLSNTFTEWQRLTFESTVQSRR SRRLKESAFHLWLQASQLRVRATADSDKHHKAIVVVKTLRDWLSRSKHLGNHISSSAH HFQGRMLSTLFSRWLALPRGDAKATNLYAKDTLSKYFHLWNLDTKVKIFKVDQDLRLA SQYFLLWVTLQKIETFRLERDDRFRAGIFKLFLRRSGALESMAQTNHMLPAAVHVSQP VITSLFELWHQLAEDSASLNAMVLDRSHAATKVNNLNDWFEATTHDTEMERWAQRGAF YLSVHGRFGDWKTWAKRERERKLRVTYTMAKNEINERLVLGCWEKWRAACVSTVALNR TWTHVVRTHDQELLASVMKSWMRGVRHALVLDQHSQHLLKHSLLDNWRAMAEACIYGD AEASQLWVERILDSCWTRWNIAFQWAEGQSYNAANTIERRRREALIKNFLLWGGVNSG NEPEEDVGQGSVNAVNAVLSQSDAAWREVPKRHRFTPGNMQLWSRSNFLDPRRKSTRL RLDNDDGDLDSLVGTINTPTRRTGPARSLAGLPSTTPAGPLPTPYERELRERYMTRTK AKGEYEGES
SAPIO_CDS0258	MTAVLPGRPNCRRQALATGCWKQQHFTAYITGNAFTILSGPQNL VQTIYENGDHPLESIALDETSGLIAVSTRSSVQLYRPCARTSNGSHKWIPSSTITLSG ALPCPISPSLSWGASSDLLVGTHELVLYSVRGEPSRVWVASLPGPVSVASLSYDSTYI ASTGYGDCIVQIWRRLAFGSEETHFDLSYLIHPTEVTAAQWRKPFHPEQTIENVLYTF CADGIIRVWAGADSQASSQLHLCGKIDIASSIQESPKSSRVIRWAGIIDGRDFSLAVE KAVQLQGEDGEKQSQPIDHIISIATKNPEICLIFNGSGIMSAWALEYLTPGVQKHPST AIFNIAYVNSREFSFPNLSLGASSSDSHVQMHSYCDRSTGQLVLLFQSLDGRIEVYNA SVVDLFSPSTNQQRLSLSAVWSGHSAPITKMVRNYSGSAVVSRTAGGESFVWKQDAGR AGPHHFSLQGTIPGEGHIHRISVLRKGRFVIFLRHKTISLWDCRQQTAELLDSQEYTI SGKPLCLLILPRQRVEENLVAHIATVTSEQKGLVWEVQLPQYSSSPRSDSQAARVPGD ERDGRAQSPLGSVREFCRFDLHGADGLAYVLPVDPAGSTPVVTGFLDVFARDVAISYT NSGRVDFWTARVDIKNNSVGWLSTSSTETGIPNPARVSGSTLKKAALVNETRSQFTIW DIGGYRLEYSQDFKTENVIKDLDWTSTPDSQSILAVGYQSRVVLISQMRFDYLNKGPA WAPIREISIQDLTPHPIGDSTWLGDGHLVVGAGNQIFTFSRRYDMTTSLIPKLKLPQG KDGTWDMFETVQRLNGPLPVFHPQFLSQCILSGKFTLVQDILASLHNAMKYLVEGEFL DSYLDLDLAKFYGAAQDEESQKQGGFGSYLGSGRPMQDSEESFSEETSQSLKDQLTRV NVAQLSGQEQIQLADIVECLGMVEKHRRSLDENGARFLLFFRQAMLRRGRVNDMAISW RDINWAFHSNSQDILVDFVSRQYQGALHWEHARESGMFSWLSDANAMRTQFERIARSE YSKGDAKNPVNCSLFYLALRQKGVLRTLWRIATWHPEQRATYKLLSNDFEDPKWKMVA LKNAYALMSRRRFEYAAAFFLLGDSLQDAVNVCVEQLDDIQLAIAIARLYEGDDGLIF RRLLEEEVLTHAAREGDRWLASWAFWILGRRDMAVRALITPVYHLLDTPRTPDIKSQI FLTDDPALVVLYAQLRQQTLQTLRGASKVTPEAEWQFVLHSAKIYDRMGCDLLGLDLV RNWEFPRHPVGGLPEVHGDIANPLALLKRRSSVVIADLPFRRLHGEKGPPEGENGGTR ATSLVQPTQRQEPDMNSFFDSFGL
SAPIO_CDS0259	MYFGLQTGWVSPMTLPASLLGFGLFRTLSKHLDFPFSPVENVLV QTVAGSMALMPLGCGFLPAMNYLLSPDEMGPIQMSTWKLIVWSLGLCYFGVVFAVPLR RQVIIRERLRFPSGFSTAVLIGVLHGQTPDPSETSKDHASSGAFASLVPKVSQHSSSD RIGDDSGSVLDDDEPKVVRPWGTNIRLLLVCFIISGVFTLAMHFYPVLRNLPIFGRVA AESWLWTFNPSLAYVGQGVIMGPETTLHMALGAFVGWAILSPLAHYREWAPGPVGDWD TGSKGWIVWVSLSIMLSDAIINLAHVGSQILLGPAFFELLKSRFKSLVGKGSIQTQYT QLRNTDDENTLLVPESTEQGLYQSGSGSIWEHHTRPRLEMARGEELDEDAPPEQQVGN RTISVGLAASILLCIVSIHVVFRDLVPLYATVLAVAMALVLSVMGVRALGETDLNPVS GISKLAQLFFALVVPASNKAAILINLISGAVGALQAGELMQDLKTGHLLGAAPNAQFW GQVIGATVGAIVSAFIYQLYTTVYPIPGDLFQVPTAYVWIFTARLVTGKGLPPMAKEW AIGAGVLFSATTITRITSAGKRWRALIPGGVAVAVGMYNVPSFTLARAVGGLFGWYWQ AVLKKSSTPLIVIASGFILGEGFLSIVNLILESLRTSRS
SAPIO_CDS0260	MFSLSSAPAKQSVSETITVLSSRLSSATLLEDRRGAILGLRSFA KDYPASVASGALRSLIGCLTKDGEDVDTVKVVLETLLMLFRPNEDSPEASEEIALWLA DEFTQRQENIALLLDLLQANDFYSRLYILQLLGSILSARIERTEECILSAPLGISTLV GLLDDRREAVRNEAISLLIYLTPSSTEIQKLVAFEGAFERLFTIVTLEGSLLEGGQTV EDCLILLANLLRQNQSNQSLFRESKCVSQLAALLESAYKTSLQEELASWAQAQRSRNI YALLAIIRLLLSPGSVNLAQNQGAFWQTGLLYHALQLAFCQSAEGQIRAEALIACADM VRGNADLQGKFAQLTVPNPLSDEGDATQEGREDKTNMVYVIDGLLDLTLGVQPCPEFN LRMAACECIKSYFFKHSDIRRHFLSRAIEGHLADRDETGNILTILLNPSPRLATSDPY GIWFAAIIMFHLVYNDPTAKASAMAITEGDVSSGEEVVTSIQAITSHLISGLSRGDNP RVLVAYVILLLGWLFEDPDGVNDFLGEGSHVQGLIQAVTQPAEGVDEIVRGLCAMLLG VVYDFSTKDSPIPRATLQPILLARMGRDKYLDRLANLRAHPFIRDFEVTPQKLDGSSS GKLADVYFDDTFVEFLKDNYSRIARAIDRDPDLETPVMANGVEKGVSRELVDSLRAQL EEKESAIQDMQRALDSLRHELLQEQENSRAARGAASRDIAKLKDANDTLRREYEDGIR KLEAQQRARDEEHRSGLDMLRRNRDEEIRQLRATQQRLEDDHKRQVEQIRHAADVESE RYRRRSEAEVADLRATISRLEVDLMKTNKSKAQEVHSIREDYTKQLAEKASTLENATA RTRELEKRVLSETEGRKKAEALAADVAYAKATLQSELDDLLMVLGDTEEKLDRYKTRL SRLGEEVSDGGEEDDSDEDDEDEDAASLFHENQLHNQFYFSMDPKGDTVSLGSSASSS DGEWQDIEPDQEQISVLSFFDDVTFPNANEMLDYSREKYGFDFLATQRRLGLDFHGAV KLCQTLPAVISAQDIEDDELLRPVLDDDGLIIELSELIDEGNQSGQSTNLSNENDLVS RNQLLENELSELQEQFKNYRLAVEETLDRRWGSDPSAPLTGDAEGRAERPRRSPDTEY WESYANKCSSLIRGILSMFCAKAGARKVLAVDNSSIIQKARQNVIDNGLTDIITCISG RIEDVTLPVEKVDVIISEWMGYCLLYEAMLPSVLWARDRYLKPDGLLVPSVATIFAAP VSDDEYISDNVSFWDDVYGFSMKSMQEGIFDEAEVNTLPATALCGEPFLLTQLQLHDI KPEDLTFTAQWSSKLNRDIDRVDGFLVWFDIYFTASRHEQVSPPSQSAQLWKERSTTR IAFTTGPFGTETHWKQGLLLAPPVKEETSNLSAGLEISGETAFSSLESDSRALSIKTS WKVPGRKDRSRIWKLR
SAPIO_CDS0261	MGIPAAFRWLSKKYPKIISPVIEDLPKKLDDGSVIPVDTTQPNP NGEEFDNLYLDMNGIVHPCSHPEDRPAPKDEEEMMLEIFKYTDRVVNMVRPRKVLVIA VDGVAPRAKMNQQRSRRFRSAQEAKEKEVAKEELRALIKRQGGDLAPSSPEEALKKAF DSNSITPGTPFMDILAASLRYWCAYKLNTDPAWANLKIIISDATVPGEGEHKVMDFVR SQRASPDYDPNTRHVIYGLDADLIMLALATHEPHFRVLREDVFFQEARTRLCKLCGQK GHDAYHCKGQEKEKEGEFDEKDNAAPLKPFIWLHISVLREYLAVELYVPNLPFQFDLE RAIDDWIFLCCFVGNDFLPHLPALEIRENGIQTLTAIWRDNLPYMGGYVTRDGHIDLS RAQLIMDGLAKQEDAIFRRRKQQEDRREANSKRRRLQDSAASGNGSQEPKWKRHNVDT AASGLSTLQPISDFSKARPVTHDSVITRKIASDANLANRSAANVLKNRMSSESDLTRD GSLASPAVSGSNLELPDSGASSSALGKRKASTLEDSTPGASTSGMSTPSAADDGPVDT VRLWEEGYADRYYEQKFHADPKDIKFRNQVAKAYVEGLAWVLLYYFQGCPSWDWYYPY HYAPFAKDFVGLSEMKIIFEKGRISRPFEQLMSVLPAASRHALPDVFHDLMTNEDSEI IDFYPEEFEIDLNGKKMAWQGVALLPFIDMARLLQAVEKKYPLLSPEDADRNEIGKVV LLLSDANSDLYSDITNRFYTKKQSIPSYSLDPRISGGLAGEVEKIQEYLPHGPLDYPL QRKAMPCLEYDRSLIVRYEIPTAAHSHKSMLLRGVRLPKPALDRGDIETLKRMLESAG RSYGGVPLGRNSYDARGRRDHINFAPRGSPHYSNNEVNRGPAYARNGSGDSQHWDRRQ QQQPRTGFGGPSYRSQASRVPPPGHQSFGMGMPAPAPPPPLQYDSRWWNNGGAPPSSR GPGPGSGPERSHAPPRQSWQPPPPGVARPYSNAPGHPGGNSWRGGFDGTRRY
SAPIO_CDS0262	MSASGDAGLITSLIDRLAARLPHRTGTNGQDLVQDDIVSISRST IVRISTGNLPLILESLLGHLEDLTASYNSINPPPDGFTLPTRMILEDAMPRDLNSKTA SMAFAAQGPKKFDQLATESARLETDIRVIVEYLTASSWPCAFEYFRKALYMLRPTASQ SQPSQAQTLAVSDEDALALVFLRLLSFFWVNGQKLGLVLQEVCSNFLHFRKPFQNNVS IVTSLLITRWIDRFPQEFVELHNRRKRLDGGADTIFDMTQAAIDNGRRKAALYPLQIA LLFLIPDVFEVASNLRQVKSSSMSKKVGFLDALRKSLRNRNEHACYCLVSLLRVARHF HAESDAALASYAMDVQDEVRDAVFRRFPAGVDAPVFEQDMMTAAFVSIAQLNLDSSVD SLIQTCLAPSAPLSFKKAVVQGCYYFAKQDDLTRYEQVFAAAGPFMRRQLEAVAALEN SSGGQDRSSSAQGISEPTSSAVISYDILRLLDASPSLLFDLVCGEDDGSADGDIFEWF LLCMTSPDDEILRRACNVARRLFSGPRALDELRAHERLKSLSLKEEFWRRSSLILSAM AEQMGTMPNNSDLMHIKDYLEARVLLLTSIQELAHLDREVSDLPAALSKLEMALLVSL CSSDLETCELVTTCIGHFLQECTILEAAPTSTKPATTLLRNSPVFQELASRDFRLTGL VAFQKRVRSLLRRLQYPTLGILAAWEQAFEKWVHLSKEISTATADSLEHRTLAEWRNF SGFLASLGGICTATQATLLDEPTVSGLRWIDRLSSENHEEPLLTRYLRLSIRLLACSD VRVREATREILTSEVSPPLYQPLFRALESELEILFARSWAPSESGRDVEVIFAEQTSS LLKGLIERLDNPTDLGAAGSVHLGALTLDFAKFLDAMGDSANVQRVKIKVCHLCEAVT KRKEYLNLRDDIRIRNQLLESIFGWITDPRSNRNDNGVQSRHDESIRIQRDLDKACLR SLADLTLRLPLQPAEGQGDAGTSELKSQMFLSYFTRFLSLLNHEPQELARNDLALSSL ATRDEGSSVSELAITILSNLLSANIDVGLKHSLNIGYHENVDIRTAFVKVLYNILVQG TEFNNLSDAVVSEKYEELLDLLTRDVPLAAAMGAVCPSSEVDELTISLLTIFEHRGLS FQLLEALIKQEVDNTELDPARVGSPEELQKNALQLRIVAKVFIDDICSSTSNIPVPFR RICSIISTAVLPRFQEAKYTAVGAFIFLRFFCPAIVAPEVEGLVTTVPSKEMRRGLLL IAKVIQNLANNVLFGAKEPYMFSLNDFLTQNIYKVTTFLREISVAPSDPPEPTGSESF DFGSCVSIHRFLYDHWDHVQQRLISLERREYVRSPGELSRGRSPVLEPLRNLITNLGP PPLAVTWNRPQISANTLPAYSRFQNFMLRSAFRGTESFLTARAVYDGGESKIASRLWH RPFGLLIDATCYGGNVEPQDDLFKKLELLAPTELSRALSRVYIYNMNNTFRLSKTKGK IEVVIKVGSQFVQVTTANKTEIFPGFRLYTTVNDIFRLGDIDEAQTSIQTEDDSAFGL RAENGKIIMFFTSPQKADIIQTIRGAKAKYGKDSRAHKTPDRLIRPQDVPGTLLNLAF TNISSPDSMLRLSSYNLLGALCRAFEFESASRLMCAKDLAIPPDPSAFIIAMSGHLAR SEPQLTYDFLTEFFVGWDSFPDDQKPLSLGYMAPWLVGLRTFVLVAETDADKGRDKIA VIFRKLVEVVLADHSQAYSIGQTVWSVISGDEVLLEILLDEIIKIGLGFRLHDDNLDV LCSIVSAIGSITLRGKVISRLRKALNRILDVLSDSKGDLFPSRAVFGREGNSISSDQE SSQNLAATESLAILLFDICAISAPSVDLSNSWRSRWMSLVASTAFQNNPAIQPRAFAV MGCLAREEVDDDLLYQVLVALRSSVARFGEDGTSEMLVSILTSLSRMLSKLPTASRYG VQLFWLAISLLRLVPPSLFNCTAQFVEAVLANISTIPELKGEKMVLTLMQGRLPLEEA ASSLDELYGVHFSTENFHFAVCASLARGLTDTVTRPVAMRVLSAFLGMSGTSSGSLGR QITDISNSPYLALILARASGLEELRDYLWFAGINPSSLGVVSNIRDLQQPANIKDKDL LLNTTIELVDFQYLEDAVQIGTLRWLNSLSSSRPGVVLHLREPIVAILDELLLQCQNS AALESAYDLLKALTSSPRFSGHLEQSGTLMNILEDMGFGGLWRMCSLNNSWEANTQCF ALTEKLIELIIASVVGPGNSRNELDTPVMVDGQPCPDGF
SAPIO_CDS0264	MNEFTLFANTIDGQSSTGCRVTHGVDPSTKTKLWDVPVASAQDV DEAVSAARAAFAGWSGTTWSHRQELILAARQVLQGTKAKMATLLTKESGKPEPLEDRV IQDDDELLLTLHQRPVGVVVAICPWNYPLVLAMGKIAAALITGNCVIVKPSPFTPYSI LKFVELTRDIFPPGVLQALNGDDTLGPALCSHRGIDKISFTGSIATGKKIAETAAKTL TPVTLELGGNSASIICPDVDPAVVAPQVAVGSFLNSGQLCVASKRVYVHEDIYDEFLK AMVESVKQWKVGPTSGLEAGIMLGPIQNEMQYNIVQRFFHDASQNGYTFALGSHQQEG SANSFLIKPAIIDNPPDHALVVTGEAFGPIVPLMKWKDEDDVIRRANDTITGLGGAVW SNDIDQAKRLADRIEAGTIWINSAEKPLPQAHFAGHKESGLGGEWGREGLFQYCRPKV VHCYKAKPVAGGK
SAPIO_CDS0265	MGQAGGGTQNIRVHHLTKKACEIVQGLRDSAFERPQQQNSKWTE ALENACARFFSPPNLDKFLSAFWLFWYPNWPVFHRPTFAASKRSPKLIAAMTLMGACL TSEKSDGEQAITWLERVEEWVFSGEEFREHHPFPYTADSYGREGFVSLKFRYNAWNLF AIKEECTRSVLFVFLLDCAFIIFNNTTPRMVVRELQYDIVCPDACFHAVNPDEWLKCM DEWAEKRSLGTLSIFDLIEVSLKEELSPEEWHTLEQANLLNLFAIASAFHNLIFHHHN GPESHTKSMRVSRGLRNWVRVWGDRDPIPSENDNSQPDLTRVGFMRYAREYWSLAVIF HNQNEYKVKLDLRRHEGTMRQSNEDTGLLPVMDSSDMGPIHELVFRLQDVDLNEALR
SAPIO_CDS0266	MDNTERSTQKAAIVVRSSTGFDFDIRSVPIPELKPWEVLVKLSV TGVCGTDISLAAGHVGLTCDILGHEGVGRVVKLGSGVDPDTVKVGDRVGIAWVRDVCG RCPCCREPGGETRCLEQLNSGRKLDGTFAEYCVAPSRYLLIIPNDAKLPDEYVAPVLC GGVTAYKALKTCGATPGEWVAIVGASGGVGGLAVQYAKAMGYRVAAVDVGSAGKEFCL RSGADEYFDALDGGTITALKSLTLNRAGVKASIVTAGSGKAYQNGLDMLSVFGTLVCV GIPPPPEVLSFHPLVVIDRGIRIIGTLVGTRTETIEALEFVRRGVVKPITNTIHLEDL RDLSNRLATTTGKFVIRL
SAPIO_CDS0267	MASKTTSNMESGISITIQPGKVANGTPSLSPLVLPPGVNSVTFG KFVDAANQICGTENVTVITKSDQLDQQHYLDPSKAHDMFHIVGKEYFVSSVIIAPRNV PEVQAIVRLCNEYEIPLWPFSIGRNVGYGGAAPRVPGSVGLDMGRHMNKVIKVDVDGA YALVEPGVTYKDLHQHLVDNNLRDKLWIDVPDLGGGSVLGNTLERGVGYTPYGDHFMM HCGMEVILPDGSLVRTGMGALPNPDADPNTPPHEQEPNTAWQLFNYGFGPYNDGIFTQ SSLGIVVKMGVWLMVNPGGYQSYLVTVPRDEDLHQAIEIIRPLRTSMVLQNVPTVRHI LLDAAVMGNRIKYTSSPNPLTDAELDEISKKLNLGRWNFYGALYGPEPIRQAMWTVVK QAFSAIPGAKFYFPEDMPDNLVLQTRHLTLQGIPTTAELEWVNWLPNGAHLFFSPIAK VTGDDAVAQYKLTRQRCEEAGFDFIGTFCVGMREMHHIVCLVFDRNDPDSCRRAHWVI TTLIDDAAKRGWGEYRTHLALMDQIANTYNFNNNAQMKLNETIKNALDPKGILAPGKN SIWPRKYEAKDFEIARPQLL
SAPIO_CDS0269	MALAASCVPETFAPTLFGGLVLSLEASVVDLSTVANSASTQPSA SSEDVSFCNVTVTYTHPGQNDSIIVETWLPVDNWNERFLAVGGGGWNAGRTAGTSAAM AAAIADGYATVTTDAGLGSYSDPSPWVNPSPGNVNMQYLQNFATSSLNDEAIIGKSLV RSFYGSDPAYSYWSGCSTGGRQGLTIAQRYPDIYDGIVSGAPVIYWTRMGMANTWPQQ FMNMHGYYPYGCELEAIAAAAVEVCDGLDGVVDGLISDPDACLDSFNPQELVGTTISN CSQTGGEITISESAAKVASAFWDGPRTVKGDRVWYGPSIGAGLTLLAATNCSTGVCVA TENLGWPLFRYFVAKDASWVSNNLSHEAWADMGHSAFQQWQSIMDFDDPDISRLQRAG GKLLTYHGLADPMIPAKGTEHYYELVAREFPDVHDFYRHFPVPGLGHCSGGAGGPPLG LLTQIRAWVEEGTAPDHAKMNFTHPDGTTQTRILCPYPQKAFYNGRCGNAAKLDCWSC VNRNAPARTSSSTSRNQWSF
SAPIO_CDS0272	MPGPTPKRRIGEKFAREGKRLITPSTRGRLTAGSSTVAQFSGTQ MTLFEGADSTAKNDFPLTARSQWVSHIGFTDINKLFPHVDVNINDIKVLKDLTYSAIR YSQEIIFLGARPGPPHLQQYGAWIGEQLASSPERIRLDGPELAESIQSIADSLESTSL SHVAKAFISICSDIDLILTGKRNAYDVLVTDGVLEKVFEFLSEYDGTKFFRDLGHFKP NLRVLELGAGSGAATTKILQYLRHDKGQNLFSRYVLADASTGLLNAAKDRFKGVENLE FACLNIERDLADQDFGDEEFDLIIAAGFLHTTNNVEKSLSNIRKLLSPAGRLLIQEPA SGQIWTKFILGTLPCWWADLDGRHPDEPFMGREWWTERLDSAGLGRHDFVEHLGQIGQ SNVIIAQPDHQLLSPRKVTLLFEQASPETENVYRELQARGYHINLCTLSEIPITGQDI IALIDLDEPFLWDLSAAGLESFQQFVKNLQGSGVLWVTQLSQAGVKDPSKTGQLGSLC WSARRPTTPQGDEIEVEVHATGLNFMDILAAMGILALPQTDFGYEAVGIMRRIGPKAT KLPESMSLLDGAASKDQFLDLGFRPKPVIPTDMVKANRLLADILGDLDEDLSEDGTIR RKVDAINDFVSYARKIQPKGADGGSLQNQTAVHRSTVKVLKPTTITEPRRSARVKARN PRRSARIVARRHAQATTEKGRV
SAPIO_CDS0273	MAVGGAFDELKPKSIEIAIDRGGTFTDVWAKVPGRSDIVIKLLS VNPESYDDAPAEGIRRVLELATGQTILRSELLPKELIRSIPLLERKGTRHALVVTEGF RDVLEIGYQTRPKLFALGIRKPELLYDHVCEISERIAFEGFTEDSRFGREDTPGTLVR GVTGDLLRILKPLDETEVRNKLALIREKGIDTLAICLAHSYLYPNHELRVAEIAAELG FQYISISSSVAGGMVKMIPRGSSAVADAYLTPKIKEYVTGFSRRFQGGNLDGVNCQFM QSDGGLTSYDKFNGLRGILSGPAGGVVGFARTSYDGKTPLIGFDMGGTSTDVSRFGGN YEHVFETTTAGVSLQSPQLDINTVAAGGGSILSWSNGLFKVGPESAGAHPGPAAYRKG GPLTVTDANLYLGRIIPSFFPSIFGPDENLPLDTEIVAQKFEELTRAINAETGRSMTP QEVAYGFLDVANEGMCRPIRAITEARGFETSQHQLAVFGGAGGQHACEIASRLGIRRV ILHKYSSILSAYGMALAEVVQEAQEPSSEILSDKTLPKVQERIDALKETVRKSLVSQG IPLKAIVFESFLNLRYHGTNTNFMIQQPADGDWRALLEATHLRELSFSFSQDHKVIID DIRVRGIGKGDNTTADSDALAKELAESAFSCTKSVHTKLSSVFFSGKLVDAKVYKLDE IPTGSVVHGPAIVIDNTQTIVVVPGAEAKILSSHVVIEMPSAHLKDCNDDEVNVVDPI KLSVFSHRFMSIAEQMGLTLQKTSISLNIKERLDFSCAVFGPEGDLVANAPHVPVHLG SMSYAVKYQHERHKGNLRPGDVLVSNHPEAGGTHLPDITVITPVFESDGKTICFYTAS RGHHTDIGGLHGTSMPSNSTYLWQEGALIESFFLVRDGHFDEEGIVNILTEPGKYPGV TPSRKIHENLSDLKAQIAANAKGAQLIDSLMEEYGRNMVHFNMTEIQKNAELAVRNFL KQIRAQRGAVTLRATEGMDNGAIMKVAISIDEDGTATFDFTGTSNEMHSNMNAPVAIT YSAIIYILRLLIGSDIPLNQGCLAPTKVIIPKGCFLNPTVGSAVSCGNTHTSQRLAEL LIKAFDAAAASQGCMNCFAFFDKSGNDIDGTPLPGYGYKYGETICGGEGAGPTWHGAS AVQIHMTNTRTTDIELLERRLPILIREFSIRRSSGGRGKFNGGDGIVRVWECRVPLTF SIITERRVRPPFGMHGGEDGQAGVNFWAKKQEDGSHKIIFLGPRGLVEMETGDQCIVW TPGGGGWGTPIEGANGVANGVDSAGSGKTEVDGKRVDDDIEQYPRASGSYHKFMSEQL AAS
SAPIO_CDS0275	MQSPTTQRKGSSDQIQTAPEHDNPSVIDEKLEKVVQIGEIRVLG LDAEDEEFYVNFGEERRKKLVHRIDIRLAPMLAILYLISNLDRTNIGNANIEGLSEDL GLTGVQYNTALSILFVTYVGFEVPSNMILKKFTRPSQYIGIIVTCWGIVMTLTGVVQN YAGLVACRLLLGLFEAGFYPAAIYISSTWYRAQELSTRIAYLYLTSALASAFSGLLAA AIAGMDGVGGYAGWRWIFLLEGIGTVVLGVMCFFLLLDSPRLSKWLTPEEVRYLEIQQ FIQDGGEFGKRSNQATWKVLRATLLDWKLYGTSLLLFVNITAGYGVKLTMPAIIKGMG FKNRNAQLLTAPPYLVGALSTIVSSKISDRFFKRMPFVVIPWMIFIIGYASAVLFSFL YQFVDHDTAIACRRRKQKCDLTYPQCSNCEAAKVVCMSYNSVKRQEVPRNYFENLEAQ VERLKKELHELRSRTPGGQHTADTDTPGAVPVPDSSSQDQHDLVKSLALVVLEPADQP RFLDRADILASVQAAYLASGDNSATLSRAARKDLFVSFMVFAISLCGLQSGSAGRESE GCYHSAIQYLDSAISYSESDTETLRNILLLAQLESEIKHVLYRHQKWTSLAFPRPDYA LWIEDIKPRLEEWRASIPPLQNAHPESIYAFASWWDAIYNNTLMLLHRPTPVMPEPSI ESLRVSFGAAVKLISCIRSLQRERRLDMAWLWAQRLFVAGLTLIYTIWNSDELRNAHA PEEIVNSAQTCATTLAVLTERFPAAAGCRDALETLVSATVKAFFAPRNPVFTGSNESQ PSHSAIPGSVFQQPDLGISLDEMYSMFLGDTFRMGQGVSSTTGWFGCVDDIAFDFRDP STEWEVSLGNN
SAPIO_CDS0276	MSDSKSPKSAGTASPKSPAAASSPGAAEPGNIAVPIEVDTADAE STYASSAPNDERQQEAEDLSHEMYKIVLDNKLTLAPVADNVQRVLDLGCGTGAWAIEF ADEHPSAEVIGVDLSPIQPPFVPPNCKFEVDDITKDFTHLKPGVWVEHGEYSSIARSE DGTIKPDAALVQWVEVFKQVGAQTGKTFGICETMPDVFRDAGYVNIRERRIKVPIGTW PKDPTLKSWGAWNRQFLLQGLEGFSIRGLTELLGWGYEDAQLYIMNVRKELTDPKIHS YVELAFMAAQKPLEDAEAET
SAPIO_CDS0277	MSSTDSPTFFLNLYTNDLGAATAFYKAIGFVHLPVWSDEKSVSF TLPAPNDKVALMIHTVPRFKEFIRPSSDVADPKKATQALYSYSVKTKEEVDVALEKAV GAGGEKDPFVLEGHGEETPSLPVTRSSQETMELDENHSPATGPGDDANAARHGPYKLP PELVFMVRDFLPTTSRVAFALTSKDFLATLYPGGELPKLSEEETAEFLTFLERDAPGR FYCHLCQKLGRFDPSLEADWKNQEHGRCQLEHDYVESVAQSTQGLAEFSVSLTDYISD GASFDTQIRHLYQWKVGEAKRGGDWHRSLFDDDFCLSYPLAHLVMNRHLYGEAYGLPP HVLERDQTRTVSLGWKDPILDNARVGECFILSEGGEAAAEAMENLTLWPSIFSDDLDY DSATEGHEWGFRRQFKVKILDNNLYLSAIYIVWGPPVTKAKLRSVLAQSQIHICPHMD LPELNARLFPDTDLLDFVGSRAVPPGARRARVRQPQTTRIIDMWNYVRSFFAQPQTQR PPPSLVTITGIRFPADRSKPHLLSLTTTTDGVEDGPDCFWGHIPDFRDFWKTPQAWQW RDVETFRLEKQPLDSCNGLYVLFYSFDLESLPENRNFPVAIYGRERAFAGDAFVVKLK GNEIGSDLGEDGYALWDDVPSDILKLPVMKI
SAPIO_CDS0278	MKGLIRQVHSDDSKLFGALREELKKDSYDLAAAEDLDLSKDAAT SAAVFTARIDKLVLGVSTSMDYIHQGFSALEKFNGFKGCIASAKRAIDRIPDVKGGFR YMKGLTVLVTTIKAPTPGEPEDLSGKEVSAAEVLDEKLEEKISSPEGSEELGSINEEP SHNAEIIDRGLEDLGPGERIPSLNEPPWEPPEDIPPSGRASWKQSTTRESVIKGLNVT LGMCFTISMSLDLKNNWNNYARVGKALNVLQIAIQGLTVLADASLLVGDFVASGGLAA DCAIMVALPVLGAVLAVVRIVVLALLTFLHLTKPSVPEDTPVEKFLKNTAHPFIDGLT PQPKPSLTYLAPAQVTADAISAQVPITGTNHTGADVALTCSTITLEVGAGNAALFSGP TTAWTVAGKHDPGKPSTLSVDGTIGVAGESAETVATGYLAPQDRGNNLVSYDLSVQAS PTTNEANGLLIVKAGQSIEIA
SAPIO_CDS0279	MTETLGVVASGVAIAQLAAFAGGAVVKLKQLWDDVRDVPENIDL LMQQLDCLDPALWEFEQTVGQRDLPPEIWNDMAARRSAEFCRKALGKLEDLAVDLSTQ INSSRRSHRKLACAKAILKKDQLKRLEKQLETAVGMLQLAQQGYIISQRTKSNLRWKG SSTTGSFGFERNSRSFWGGFRPPWWLRGVLYALELRVGMSYGGWKFSLRGYSMRPSDS PVFRAAVSGDAETLQAMFQAGEASPYDCDEFGTSLLMVQVERPF
SAPIO_CDS0280	MVGVPRSTGCSLCIKRRVRCDEDRPACQKCIKYGAECPGYNRPF KFVTERPAKRSGKGRHTPATGTSPATSSASANSPTSQSPSQSSSSDVTRPLDALRFEL NPGRMQVLVPLAQGIWDMRRDDEFRHFWPWLEGLPDRLGAKATLDSAACALVLHIKGR QASNEGIVAQARTSYLQALPALQHALNHPTEWRTSETLCSAILLSEDCFLAKKEWRDA WYNRGPEPYAALIDEFCMLIIQDILEEWAGLAFEETSEDLLAQILKSVEFTSKGFMGL YRIGFSVRIALEFANQEQRRWIRKLLRDRSESFAATTPTPSPKMLHQTTRNRSTSSQS SIVPMMAASHIYEGTDVPTGPFHDLASEHHLVSEQTTASSSSPAPSKIFNTYRRAAFG RKHIFSETTGLARYWIFNPVPQKHSSQWRPIFWRGDNPKYCPESTRIGKARRTGFWNS FRIHLGDGMEQELKNKERRKAKKGYARRQKWRKWFCMGPSPPKKPLEEEEEVTGLVMP VRMFRPKGLGRTLKWEINGNEYMWKGTRTFLPNGVKKMKGISHDFKLVNSDNVVIATF EKDRWASFKPSEKVGAPPNKSRTLLGTLKIFPLPNSDASGGAEKATKKEDILTENMNK VSDKMLAKKSKEDRILNLDGTHSGDLLEEAIVFTCWIAIEGEHRLRWKIVDILEEVGE SFGG
SAPIO_CDS0281	MPIDHTGIRVAESDMKTVVAWYEKALKPLGYVKMADFGVAVGFG EEGGHPDWWIGSREQGNNGSHTAFVAKDRSVVDEFHKAALAAGGEDLGAPGLRPQYHA TYYGSFVKDPVGNNIEAVCHKPE
SAPIO_CDS0282	MTSRGWRLVLRKFPSQVFLIPQGTGILSVVLHQLDYQFTGLKTI AIILWFIAFITLFIFFITYLLKAALYPRDFCHAISSSTAEAACLASISISFTSVIQML SMVVVPSWGGPDWAKATYILWWINTTMALAACFLLPPFFVRTLHAEGGLHHAFTPVTQ LPIIAALTSAAGAGTLSEYAMLSSQQKLPMIIVAYLEIGVGIPIALALDTLFWARKYL PWEAPVDGFSVQTPRHQVFVEMIMCGPWGQGSFAMQGLGSALIKGAFDLGGFIRMRRF NWGS
SAPIO_CDS0283	MSSPKSPKSPKSPKSPESKSPTPGAASPQDLGGDANAPIEVDLD DVESVYAQSTVTDTTSLRSSILDYKWENGRRYHAYQDGAYYQPNDEKQQEAEDLMHEM FRIILDDKLYETPIGEDTQRVLDLGCGTGAWAIDFADENPASEVIGVDLSPIQPSFVP PNCKFEVDDITKDWTFPESHFDFIHIRYLTGCIPEWVSFYKKAMRHVKPGGWVEHVEL STIPRSDDDTLRSGGAMERWGGIFEQLGTATGKTFGICETGRELFREAGFANINERTL KIPIGTWPKDPLLKKWGLWNRQFVLQGLEGFALRGLTDLLGWSFEEAQMFLLQARQEL TDPRVHSYIEM
SAPIO_CDS0284	MSAAVLSHATPAALRPGPPGQPDITYAPDFEKYQTRVATRLSKG GLASTLPVGFPEELRGDLVWEGETLPETYDWTFVLNEEQLGEIEKALTHFKSLNLPLG YISADTFPLPTLHPELRRLSKELHSGHGFFVIRGVEVDKHTREENLIIYAGISSHIAP VRGRQDSSYEGKPASVVLAHIKNLNTPENEGFIGSPAYTRDKQVFHTDAGDIVSLFAL ETAEEGGASKLASTWRVYNELAKTRPDLVRTLSENWNAEIFGNTGQRFTQRPLLFYQP ATDSTPERVALQYARRQFVGFGALPRSPEIPPITEAQAEALDALHFLGERFSLSTGFE KGDIQYVNNLAVFHARDGYRDSDTKQRHLVRLWLRDPENAWGTPPQLADRWALVYGGA DPETHVFPLEPYIRSESGKRVKT
SAPIO_CDS0285	MVFSQLKSRFGGAGNTPQQPAYPAESAGAEASDSEASVLRDGDF AYVRAKGGNNSLPSYQEAVGAPVEGHSPLGYHVGWATVVFLNVNQMIGTGIFSTPGTI LKSTGSIGLALIYWVIGFIMAVAGFATYLEFASYFPNRSGSEVVYLEQAYPRPKHFFP IAFAVQSVILSFSSSNAIVLSRYLWRIAGKTPSDWQMKGVAIAAYTLAVICVIAHNKF SLWAVNGFGVIKLITLVFISITGLVVLGKNVDHIPDPNVNFRDAFAGTTDNGNDLSSA LVNIIFSYSGYANAFNVVNEIQRPIPVIKRYGLLSVLLVAILYILCNIAYFSSVPKEE FAKSKEIAAAVFFRAVFRTKGAETALNVLVLLSAFGNLLAVLIGQSRLIREIGRQGVL PYPKFWVSTKPFGTPLGPYILKWVMTFVMIVAPPAGDAFQFVVSLKVYPEAIFHILLS VGLYIVRYRHKRVGHKRTDFRAWDVLVIFFILVQVFVAVMPWWPPKGGPYAGDVSFWY ATYCVVGIAVLILCGIYYVSWIYLIPHWKGYAIRAEVLDVDNNGATTHRLVKVPNAEL QRWDAEHDDAGNLRQRSVSGESDKTEESGEKTV
SAPIO_CDS0288	MQLLSTLLFAGAASATVPLLAGQRQALFALRSIVERQGDICVPV PAPATCEKSCGPGNIPCIGFPNCYNPSKGETCCSNGKYCPAGTRCTNAGCCPNELSLE DCGATATLSVIPPPATDTPEPPTSAPESSTPAEPTDSATEAPTDVPTDTPEEPTSTVT DCPEEPTSVPTVPSTSRSGNGTIPTSPPPIPTAGAGQNAQLGVMAIVGGIGAFLVGL
SAPIO_CDS0289	MSTRYLLAGLLAGAAASPFANIESRTLPFGSLIYSCTVPNTVAL TFDDGPFDYTEHVLDLFDQYDAKATFFLNGHNWGYMTDRPDTVVRMINEGHQVGSHTW SHPDLALLTPEQVTDQMIELEHAFIEVIGKIPTYMRPPFFSYNSETLRVLGDLGYHVI HANIDTLDWSFQDVCKINTSIDLFVEGITNGGSIVLAHDVHALTAYTLVPAMLEAVKL RGLRAVTVGECLGDPQANWYRDSRDGTTPPGTGEPQPPTGGVHPDGICGGPNKYVCGV EGYPCCSAYGYCGFSIDHCGAGCNPEFGTCGFS
SAPIO_CDS0291	MASRIVATAILVAISAFGYFSILGLTARNGWGDKFNAYTAREIA VLPDTDIPARTHFTGVAGLDYVLAQLVKFFYPCVTGQLPALPLFSIYFAGQAIALHSI LMLEGLRKGNKGTIFFYPVIWGTLYQLIPFGITIPIYCALYIWLSPLAKQPAGQNKVD LLSMDSIKLYATLPAIVLGLVVPTILPALPAPRIISIDQQQIFLALWQIFPAMVGVWH QVFTVVVRKLGLAKPASSPAASLGDAKEIYSQTIYLAQVIHISVIAFAFVPEAVKTIF KVADVSSINWQTVFVPMSVVSPRQVGSMAEGALTLLQYDFYSGCAGLFFLVTYLSGSA YGVSAAATTVFKVLLKSVLVGPGAALLWEFWDRDKVALAEVGEEKKTQ
SAPIO_CDS0292	MAAIGGLWTVQSLDQWLQGHCHADYTTTDENRLQYTSQSVISLD SVPHCPSITPELDDTAYGATAQTPQRLNLSQPHTPHASHFSLQGTPSMQQFDQHQRFH GGPGQYPSWLSTVVAPGLGNHWSGIPTRQGSSSTDFSSAHGQEHPSPPLLGCFTQPGG RGRGNELPKVHRTIIPNAPVNPRDAAVFATDVDILMCCIQAKKETDNIIRVAEDRITR EEKQQLWSFQAPVYHQNTDFHDCDTYTGSETDYFSNPKRAHTGDKPYSCKAPGCGQRF SQLGNLRTHERRHTGERPYECSVCGKKFAQRGNLQAHQVVHQKTKPFVCKLDNCYKQF TQRGNLKAHQNKYHPETLKALVQMFASITDTSAVPEEDKELWEYFATTYKNSNKGIKG RGKRRNPNHSQPLRLLS
SAPIO_CDS0295	MAMALQISTLIQEWLESRPAIKAGAEKNPNAVRFGIISTAAINP IAIIRPVETHPDAVIKAIASRNLAKAQAVAKKYNIKKAYGSYDELLADPDIDAVYISL PNGMHGEWAKKAFAAGKHALIEKPISANGDEAISVFESAKEHNCIAMEAFHWRFHPAA HVVKSIVQSGRYGRVLTTYARMTTPAGTIPKSDIRWNWDLGGGSLMDMTYVVSATRFF LGVGAPAKVEHAKARPMKEDARVDEAMEASLVFETDTGPVRSVIKTDMNQAYAAHILP KVWELPSIRLELEHATIYFYNFVMPHVYHYIQITDKRTGQKSTQKHYSFGPLWGPRSE PWWSTYRYQLEAFVDKVAGLHPVHWIEPADSISQMRTLDAIYDESGLMKRSNTSGKKI 
SAPIO_CDS0296	MKLLAIILGSTIVAAAMVKNSYDYVIVGGGTAGLALATRLSLGL PSAEILIIEAGSSALDDIRINAPGMRGSALGSGYDWNFTTTPQENLGGRQIEVNRGHV LGGSSAINYLCYDRSAVAEYNAWEELGNKGWNWDVMIEAMVKSENFTGSDVDLHGDSG PIRTTFNRKLPSCVESWKPTMNAVGVETNERSLSGNPIGVSYQPTNIDTRRYTRSYVA NSYLPLAGDNLEVLTNTQVAKVDFAKKKCKGHLQAVGVTLDDGTKIKAKKEVILSAGS VQSPGLLENSGIGQPEVLKAAGIETLVDLPGVGENYQDHIRTSNTYRLKEGFDSFDPM IYDSGSDFVAEQVDLWLAGQPGWLDYTTSTYAFLNWGQILNKTDEAALIAAAKTAAGK KPTTIDKKKIELLSDPTVPQIEIILEANYIGVKPYPGGSFITLFSSVMHPMARGSVHI NPADPHGKPIIDPRYLSNEHDVQAIIVAAKFSRKIANTAPMVDTWDKEFEPGEDVQSE EEWRAFARDATLSFYHPVGTCAMLPRKDGGVVSERLVVHGTANLRVVDCSIIPTVMSA HIQTAAYGIAEIAAEMIIKDAK
SAPIO_CDS0298	MGSYAESWLKVEESLGGARPVLKGNAEEMRAQFGGLVSALAPQY PPHSGTTESNDGVIGDVKYRIYTSKAADSDAPRPLGIYYHPGGFVIGVSEADDFLCRT IAERTGAIIVCSQYRLSPEHKAPAHLQDAVRAFEYFYQNADKVGGDANKMFTVGISAG AGLSLAVARQVVLGKTSADKSAIKGVVSFCPYTLHPDNVSESYKATYTSYTENKENVP IIEAATMHRFLEYAALEPSDDDYFVALNQHDHKSFPPTYIATCEKDPLRDDGRVMVES LKASGVPVRTDHYAGLPHCFWVFPSLPESQVFLENAIDAVKWVISQV
SAPIO_CDS0299	MPPKRVRDVERQRCARACGNCKRRKERCNGVQPCRRCIERGVQR DCKITPPLYSRDTVPRPAAAAFPRGSSTGPIEDVRRNVRVRDDGFRNTTIRSHSFSSS SSRLLHERGLVYHPINAFSDSGSVAGSSLTSSSSASASEAVRDARGRHIVVGVAAANN MGLLQKICNIARAGLGPCSLVDALDSPQANNLVENSRAGLSLVQTAMNPPPKPSPESA RYLLEWYRLSTNCIFDIFERAELDEGLALWLECPPEEHDTRNAAYYLILAIGAQSCTD NKDELAETYFNYGRCVTLALTEDPSISTIQCHLLITMYLLSRTRTNSAFMHLGLVVRA AYALGIHSREISSLYPTSEYKTRERLWKAIRVLDLFLSITLGRPASTSETRDTTSQEN YSASNDLCLIFEKILTWIYGQSMICPTSCKRISDLHRGWASRFVNGLETDSISPGDHI ETRVGKMPNIGLIHLKEAYYWTIMLLTQPFLVKLVSSHTSQTTTSQTGKPGSNSSSSA LEGDLYPSPPQPSVVFAEACVDSAIRTVELLQIFLTKGNIPRRLPFVINSVFVSALTL SLALFGDLDRYFPVGRSLEKAKEILRCFSHHDTTTEKLLLIVDRLQNACNTYIEARDF RQMEGRLYIMRDLFGSSVFDSFNRLGSFGGNQSDIQGLLTTAYQLSTHPRAALEEPVL EEIVEDIANNEDNEDSYDINDALQAGQRELSSDPNWDRSLSLDVDDSLLRMFWHGHDL ESLMQAEGLCSEDLNMLGF
SAPIO_CDS0300	MTVPEGLNGTQPSNGTQASSGTQATNGTSNGTQASNGTQPSNGT HVSANWVPVTDEPLFTKRKLRVVCVGAGFSGLMLAYKWRHELEMSDYVDLTIYEKNAD VGGTWLENRYPGVACDVPAHIYTFSFEPNPDWSSFYASGPEIWDYIKRTTKKYNLDER VQLKSKVVSTIWDNAKGKWNIKVETDSGVKDDVADILINGAGILNKWQWPEIKGLRSF KGKLLHSASWDETTDWTGKRVAVIGNGSSAIQMVPKMQPKAASITNYIRSATWISANY AAEFTPEGKNFQYTEEQKQRFKDHPEELFKLRKDIEHGFNQFFYALLNDSPQQLAVGV AFKKSMEERLNYDPELCAKLIPDWKVGCRRLSPGEGYLESLQAKNAVIEFSEIEEITE TGIKTAKSHEDFDIIVCATGFDVSFSPFWELVGKDGVRLADQWRETPEAYFGICAPHI PNYFIFNGPNCPVGHGSLLAVMEWTADWVLRWCTKIASEDIKSVIVDSGAADDYNVYS QEFLKKTVWTSGCRSWYKNNKKDGRVTAMYAGSILHYKEILESFRTEDFHFEYNSRNR FRLMGNGLTQREKEGGDLSFYVTK
SAPIO_CDS0301	MAAPATDSVASVDANSPSEIDSLIAQLVALVETEQTPAAEARDV ELEARAGFTCAFLGGNAGCQVKCFLLKGTGGYCNSKNICTCY
SAPIO_CDS0303	MVYVNPILALCASLLLPGAAVAQEEVDPSAALERYESGQVHREL LARKQKSWENQRAAGRLESSQYPELGYTACKDGIAEAIAGDKNNTFRCHNADLYHFLP HSALGGSEGEGSSSWGWTSDDGREFVAIGQVDGTAFVEISSEGKLIYLGRLPQYSVPS IWREIRVYKHYAIVGSEAEGHGIQIFDLKKLLDIDPANPVLFDKFNDLTGFYADLPIG RTHNVVINEERNYAVSVGAQPRTDACRAGLIFIDLSDPANPTSPGCAAGDGYVHDAQC LVYHGPDDRYEGREICYGYNEDTLTIYDVEDKNGTHIISRTSYEGASYTHQGWVLDVN NQEYLVLDDELDEADKAGPGADGYPVTFIWDIRDLENPKQTGFYKAKTKSIDHNHYVI DGIVYQSHYGAGLRVLDARSIPEDPTGSSVCEVAHFDIYPEDDEEEGGGIVEFVGSWS SYAYFKSGFIFINTIERGAWVVKLTDLSCTA
SAPIO_CDS0304	MNRTLLFVTALLIVIPTLYLDTMLAEVTASLPTLRNKRIGLVIA HPDDEAMFFAPTVMAVARPGTGNHVRILCLSSGNAEGLGETRKKELVKSGLVLGLRSE DDVLIVDDPVNFPDSMNASWSAEKIAAVLHDTFTSSSDSQDPTIDTLITFDSQGISSH PNHISLYHGAKAFVSSLTPNDPNTDDPKERVIPVDLYTLTTVSFLRKYSGPFDVLVKL VVNRLAVLGGDNSLESRSEKEILGDHPARLTFVHGLGTGGWATAKEAMTAAHVSQMKW FRYGWIILSRYMYVNDLELDGL
SAPIO_CDS0305	MQSLIPVEEMDERFQIAKKIGARFQGDDDPPQLTALELSIIMVM PLAHLRENGRWITKHLLEGVEQLIEKFLQKSRAEGVGNAAPSNPGVKSAPSRKSSNNH ENESGRTSRKCKADLDTPYKPAEKFSVSQREDQKRQCKARDKFTCIFLGTADPDICHI VPFAFNSNRDNLNDALNLVSHYSVLMGTGLTYTSLISERLGCSDQTWNMISMNKQMHD WWSNARFGLKCLGIYPDGEGIWVVRLQFHWMKRQDMRDPYREITLTAEEVKKFIDGLT TYYDDADKHDDESEAAVSAADARPNWALRSGRIFEIRMGPGEEPEMTAQRMAEKMKAM LDFQWNCIRISVMSGAAGSPEFLEDDNNDDATDDRIRAWLKATNSLCTSRHDGISECC EKS
SAPIO_CDS0306	MKSLASLVLAAAPLAKFALAQDSTPYTDEETGIAFTTWSVPDIT YGLALPADALEKDATEFIGLLTCTSAEGAGTGWCGVSFGGGMVNNLLLLAYPHNDQVL TSFRWANEYGPPELYTGEATLTQISSTINDTAFSLIFRCEGCLQWKQGDIEGSAATTS GLAVTGWAHAKANVRNPDCADTAGVGRHDTQGILPARFDAEAASSDYEEWVELATETV SGTCGGGDAPAPPPSEPAQPPAGGSGCAETYTVPAGSYCYLIATDHGLTLEQFLELNS GLNCEGLQPGQEVCVKASRRCKKRKL
SAPIO_CDS0307	MRWLIGSIGDWNAAFAEAYKVLKPGGWLESYESGSMIDSDDGTV TEDSALEDLQRKAMQAAGFVDIDERNLKTPLGAWPKDPGLKEVGQYAQLALEADIEGY CLYPATALGWKPEEVSVYAAHVRNEIRSLKIHSYYRQRVIWARKALETQEE
SAPIO_CDS0308	MLTEAETPTTRDLLTVIVTTSVTPSVPSTELLSSVLKSFSRHCP CLLDCRVIVTFDGYEQITPVARLKKGRVTPDQAKAYSLYKDSVKNLILESYYDLYPSE DKVPFAQTNAEAEYGSPMDPKNVVHYTISQTPSKKVTFIEPSRRLGFGLSVRSALRVA ETPYVWIQQHDWALVSDFPLKPLLSVMRESESGGEPEAPINPASQPDIKIPLTPVFFW HDKPHICSTTHYLKLVFPSRLAVLRGDFIEDKIGQRARAQMKEGHWAKWATWLYYPDE GKQLCLKHLRGRTWRGAKSEVEEAAKWRQYNWEMQNALQDDSRDSTEDSGADTMTDS
SAPIO_CDS0309	MKANYLAVVAASLAGSGVNAEPMSVLRQLKLNTWAELSETGAFD LHRYEALTASISCQNGRAGEYRCNNVDLVSFLRHQDLGSSTRQGNDVWGWTSDTGREF GVVGQTDGTAFVEILSDGSLIYLGRLPTQTTSSSWRDMKVIGNHAYIGSEAARHGLQV FDLTKLLNIDPSSPKVFSTSSDLTAHFAGFGNSHNIVAHEDTNMIYVVGTGSDAGCRG GLFMVNVSNPANPTSPGCLSAGGYVHDAQCVIYSGPDTRYTGREICFNFNEDTLDITD VTSKGKPTTISSTGYTGASYTHQGWIADPEQRFLLLDDELDEQRRRGPAANQHTTTYI VDISNLKSPRFTGTYQSPAISIDHNQYVVDGLSYQANYGSGLRIIDITSLGSDPTGRG IHEVGFFDVHPEDDDVNGRAEFVGTWSVYPFFKSGHILLNSIERGAYVLKYTG
SAPIO_CDS0310	MSCPDCFSGHVHEGQPRGKTIKLHNLDAYVTEPTNNGPVRGIIV IVPDAFGWEFVNNRILADHYADKGNYKVTQRHYGYSTPCIISSTEVSSQSRKEQASGP AAPYATGLGRFFHEELTDKKKMTNQSVHVFWALYGFIPFIARNRIGRSFPVVENFFSE LRKAEGANLPVGAAGFCWGGKHTFLLARKAGDQALIDAGFVGHPSFVDFPGDIEKLKL PLSIAVGDKDNQIPPKMAAEIKAMFEKESERGAREIRVYDNCGHGFCVRADATLKDSE PVQQAAEAEDQCIEWFNKHLAPRS
SAPIO_CDS0311	MDGFGSQTSTLTKYTLLLRTGAIDALNSRVLTSSAEVKNGRVLR RELDGRVVTHADASSYIGGYLNDMVVDAKGRAYIGNFGFDLMGGKPIETASLIRVDPD GTVSVVAEDLWFPNGIVITSTGILLVNETFGNRITAFDISDDGSLTNRRAWASFGELP DRDIQKAVGELSVMPDGCSLDAEGALWVADAGGQRLVRVKEGGEIVDQIDPGSGVFAC ALGGQDGRTLFACIAPDFHEEARKSCREGSVVATRVSVPHAGRP
SAPIO_CDS0312	MPSMFSLKGALLSTLLFQGSFGHEAAPNRRQLNLGNLLNSGNLG DLLNGGNLGDLLGGGLGDLLNGTALGDVLNGGNLGDLLGGAGGNTNDLLGSILSQLGQ LGQGGLADLLNGNNANQAGNQNNNQDGATGNRGNNAGNNANNGNNGNGNNGNGNGNNG NGNGNGNGRNRNNGNNGNGNGNGNNNADLTVEQCSALSEAAVAASSILSSISSTAAET ATATDAATNTEAATNEVTPTPDITTLIPDATATDAGTETATDSAAATETAADSSADGE AATDSEEASGNGRTSNGKSRQRRSLRRRQQGRGQGDRAGARAARTRNRGGNRTGRTQR AAERALAQAAAASEQCAALGVTLPVGDAATATDTATATATATDAATDTATDAATDTAA DTAADTATATDAATDSATDSAATATETASETATDESADATATADGTD
SAPIO_CDS0313	MDPAPERIEVDSNPGYVFIDAIGADTFTLRSSAFKYRWENGRRY HGEHVDSYWGPNDEQQQEAEDISLGSDSYIISPTIPQRVLDIGCGTGAWAIDFADENP SAEVIGIDISPIQPSLVPPNCRFEVDDINKPWTFPSDYFDFIHVRNMLGTVRDWMEFH KTALMHIAPGGWIEQVEISAITRSDDGTIPSGSALERWSGVWSDVSEKLGITFHTAEI SFEAIQAAGFTNIHQRIVKIPFGTWPKDRKLKAWGELYQYFLLQGIEGFALRSLIDWS YDEAQIFLAEIRKELRDPSIHGYSELRIVFGQKPLK
SAPIO_CDS0314	MATNTRFSVVRCIASIAAVFFALFSQVLSETVERNFTVGWVTAN PDGAFDRPTIGVNGEWPIPLIRATVGDTLILNVFNDLGNASTSLHFHGFFQNGTNSMD GPAGVTQCAIPPGESFTYNIKFTQPGTYWYHAHNDGLYPEGLRGPVVVHDPEGPYEGQ YDDEIVLSFSDWYHRSVPSLVADFISFKNPTGAEPVPDSALMNDTQNLKIKVEPGKTY LIRMVNIGAFAAHYVWFEDHEMRIVEVDGVWTEAAAANMIYITPAQRYSVLLTTKNDT SKNYPVVGAMDQDLFDVIPDGLNPNVTGWLVYDENKELSKPVELDELNSFDDFDLVPY DKEELYDNVDYSFSLDVTMDNLGDGANYAFFNDITYVGAKVPTLYTALTVGSNNSLDP RVYGTHTNPHVLKRHQVVELVLNNADPGKHPFHLHGHHFQVVHRSEEEAGTYVYDDSI SMPKTPMRRDTLWVQPNGNFVIRFRADNPGVWLFHCHIEWHMVQGLVATIIEAPDALE GMEIPEDHLRVCKAANIPVAGNAAANTQDVFDLTGENAPVGPLPSGFTAKGYVAMVFS CIAAVLGMAVISWYGALDLKKERKD
SAPIO_CDS0315	MAPQVFSVPVFLIVLRETIEAGIVVSVLLAFLKQTLCGPNGDRA VYKSMVKQVWIGALLGFLVCLIIGGAVIGTFYRLGKNVWQSAENIYGGVFYLIAGVII TVMGVALLRIGKMQEKWRVKLAKALEGPVNTTTGVNRFKRFTAKYSLFILSFITVPLG IPGFSDEFQRGGSLTSLQIFLIGSTCLLYLVAAGLMARAVWYFEAQHWNTLIGGGDAA ELGAGPGSYDLHRSVWHVNCCNPEFNGGGGWGIFNGILGWTNSATISTILTYNLYWLA VIVTFLLLGYKDIRGHWPFMKSKESIEGDSEQDRDSNNLEVGEAGLSMTGAYKTVPIE APKEVEEK
SAPIO_CDS0316	MQFSQIISTLAIAALAVAAPGKGTSKDITIEEAQNVCGNDLTLS CCNNEQAFGGLGLLSGLVSGLGIFNGCSSLSASGLIGVGDILNSHCKQNVVCCHGGET NQAGLVNVALPCVALGSLL
SAPIO_CDS0317	MRYILWNESIVLAAIVAQAKAAGILRDFSELEASYDYIVAGGGL SGLVVASRLSEDPDITVLVVEYGDFDDSLNAALPYYAKNMQFSNLFYLTSVPQVGLAN RTGGLAVGATVGGGSTVNGMAWDRGSKADYDAWEELGNPGWGWEGLLHYFRKSSTLTL PSEELISEYHYGLSGDGFGDGPVQVSLPSWQWPATYTYIDAFQEAGIQIRTDGGTNGV NVGFHWNPSDIDPVNGTRSSSRKAYWDPASDRPNLSLIVNTFASTVSFDGKTADGLNI VSRESDETVLVLASSEVILAAGAAHTPQILQLSGVGPRDLLEDLGIDEVVDLPGVGSN LQDHLSISMAWRFTNDMGLNPSALNDPEFLDEAFDEYWANKTGPITHTGKHCRVMLSL QNLTEEVDDIAAELTSEDPALYLGDTYLQREELLAGYAAQHAILATIVGKDEVSVFEH TFGGGADMSFHPQKPFSRGTIRISSTNPHPANSPPRLDFRAVTHPLDMKIAILGLKFG RRIMAGNAMSTLGTREIAPGSNITSDEELEELFRTRHINPSNAHPVGTTAMMPRDLGG VVDPRLRVYGVERLRIVDAGVFPLLPTCHTQATTYAVAEKAADLIREDRLDSQRQF
SAPIO_CDS0319	MAAPTATARASAAYTESQLTNHDLKPVEPARRQWGPWNFVGFWI ADSFNINTWMISSAMIVNGLSWWQSWLCVWIGYAIAAIFICLTGRIGAVYHIGFPVVS RSSFGIWGSLWPVFNRAAMACVWYGVQSYIGGHCVYIMIRSIWKSWDREKIPNTFSES SGTTTADWVSFFIFWFCSLPAIWFPVHKVRHLFTVKSYFVPVAGIAFLIWTVVRAGGI GPIVRQKHTIHGSDLAWEFVKGVMSSIANFATLIVNDPDFTRFAAKPRDAFWSQLFAI PCGFAVTSFIGIVVSSASTLIYPAEGGPIWDPLTLLERYIDDGGSGQRFGVFVIATAF SLAQLGTNIAANSVSAGTDMTALLPRYLNIRRGGYICAAIGLAMCPYNLLTSANQFTL YLSSYSVFLSSIAGVMISDYYFVRQGYLDIKGLYDARKTGPYYFTFGIHWRGYAAYIA GILINVVGFAGAIGRDVPVGATYLFNVNFFGGFIVSSGVYWGLCQAFPIPATSDKWLE VGEAIDEVYVAYDYRSRGTDEEGAKGLSEISEQ
SAPIO_CDS0320	MGKVLLVLYDGGQHAEDVPELLGTTENELGLRKWLEDQGHTLVT TSDKEGEDSTFDRELVDAEVIITTPFHPGYLTAERLAKAKNLKIAITAGVGSDHVDLN FANKTNGGITVVEVTGSNVVSVAEHVIMTILVLVRNFVPAHEIIERGDWNVAEAAKNE FDLEGKVVGTVGAGRIGERVLRRLKPFDCKELLYYDYQGLSAETEKEIGCRRVASVEE LVSQCDVVTINCPLHESTLGLFNKDLIAKMKPGSWLVNTARGAIVVKEDVAAALKSGH LRGYGGDVWFPQPAPKDHPLRYAKNPFGGGNAMVPHVSGTSIDAQQRYAAGVKSILDS YFSGRHDYRPQDLIVHQGDYATRAYGERNKK
SAPIO_CDS0321	MAGSLFSVPIFLVVFRETLETAIIVSVLLAFLKQTLDRPGQDGK IYNAFRRQVWLGTAVGFSICMTIAAGIIGIFYGFGKDAWQDQEYYYEGIFSLISSVVI TVMGVALLRVGRMQEKWRSKLATAIEAPLSANVGQPLIKRVFEKYSMFLLPFITILRE GIEGIVFVAGVSFSAPVSAIPLAVLAGFLLGAAIGYAVYK
SAPIO_CDS0322	MASLRGVLGFSVFCTVVQQAWAAVVEHNFDIGWVHANPDNACVR PTIGINGQWPLPRIDANVGDTIIVNVNNHLGNQSTSLHFHGMFMQGTPHMDGPEQVTQ CPIHPGGSFTYKFKAEQHGTYWYHSHTHSQYPDGLRGPLIIHDPEAPFKSKYDEELVL TLSDWYHDQMSELIPQFLAKNNPTGAEPVPQAALVNETQNLAVAIHPGKTYLFRVINL GAFATQYLWFEGHSMTIVEVDGVYTKPVKTNMISLSAGQRCSFLLSTKDKARENFAFV GSMDTSLFDVLPPELNWNVTGWLVYNEDRELPQPATVDEFDPIDDVTLVPYDEEPLLP EPDRVIELDVIMDNLRDGANYAFFNNITYKAPKVPSLYTVLSAGDLVTNSRIYGEYTN SFVLDKDEIVQIVVNNLDDARHPFHLHGHQFQAIHRSAEDGGKFDESAISEVGWPKSP IRRDTLVVWPNGNIVLRFKADNPGVWLFHCHIEWHVVSGLVATFVEAPRDLQDMITIP KDHLKACAAGNIPVSGNAAGNTHNLLDLTGQNAPPPRLPDGFTASGLFAFIFSCIMGI AGVGVVGWYGITAQEGEPEPPESPTLAPLIGRHTDGAEDEPHHQFNSRHDSERDSGR
SAPIO_CDS0323	MSSQIKVEPLVVPKSSDVNFGATVTNVDVENLSDADFDILRDAL FTHQVLVLRNQSHVSPKAQYEVTQRFDPEAQGSYGHGKTLDAKRSVLHPDLKTIPHQP QVQVIGNGFVPSYEGLENIQLRHPHHRTFHETAIPDEDDLDYTRFYRWHIDAALYGLA PPVATTLLAVKVPSGRTQTLRYDDGSGDEMQVPLGTTAFVNGETMYDILSEEDKELAR TTKVEYAPHPYIWMSSAKSRPTGLGLVSEGKELDESELPLIDPAAIQILPLCWKNPAT GRLALQVHPSAVRKLHLGDGTVIDDLEKVRDFVYRLQRPGIAPNLVYAHDWEEGDFVI FHNRGVLHSVVGAFAEDEVRLFRQCNIAGSEMPVGA
SAPIO_CDS0328	MSPLALEAEDSNPANSNPTSPPPVVPAPTAIAADLDNGPAPAVQ YPAGIFPNGGRAPVVDGVLAGGNANGTAAANGTSSTNGTNGAHIANGAGSGSSSLPGQ SSGTPKYEPVAIVGMACRLPGNVSDPEEFYELCCRARSGWCEIPPERWSKPGYYHPNP DKLGAFNPVGGCFLTEDVALFDAPFFNITEREAISMDPQHRLILECTFEALENAGIPK HKLIGKNVGVYAGGSFTDYELNNLRDLDTAPMYQSTGNAPSLMSNRISYFFDFRGPSH TIETACSSSLTALHIAMQSLQNGDSSVVVLASSHLNLLPDHFVSMSSQSLLSGDGRSY AFDSRANGFGRGEGAGVIILKPLADALKDNDNIRAVVVATGVNQDGRTNGITMPNGDA QLDLMRRVYAEAGLDPTLCGYVEAHGTGTKVGDPIEMKALHRMFHEGRTKQRPLYVGS VKTNVGHLEGASGIASLIKSTMMLERGYILPNYDFQKGNPEIPFDEWGIKVPSRLIPW PKQKKYISINSFGFGGGNAHAVLAAAPKRPNVQVPWKGSDVEPRRLYVLSANSKESLA QQMENLTIYLERRPSAFQRDLLANLAYTLGQRRSYLPYKVAVSAVDAKTLSVAIATAS PNPSRSTREPTIGYVFTGQGAQWHAMGRELISEYPVFKESLERFDACLTALGAKFSLM EELSRDPKTSRLSDADLSQPGCTAIQVALTDLLVSWGVRPNAVVGHSSGEIGAAYAAG VLSLNDCAVIAYSRGQAVLQLKADNPALEGGMLAVGASPDDVWPMIKTLKSGQATIAC FNSPGSITASGDSEAITELQQKIEEKQLFNRRVRVDTAYHSHHMELVSGWYGKAVGQL TPAATSDVAFYSSLRGHLVDCSELDTSYWVNNLTQPVKFSQALTDMCTPTAGRDEVDI LVEIGPHSALEGPVKQILKAITCTTKKPIYFPSLVRNKDAVETTLNLAGSLFTRGAII DFGGVNFPVPTAKPLRPLKDMPRYAWNHTTRYWWESRISKAHLHRPFPRNDVVGTLAD YSNALEPTWRTIIRTDEVPWVRGHVMQDMIIYPMAGYLSMAVEAVAQRAKLSNQTFDR FLFRDVTISRPLVIQEGSDSEINITLRPFAEGTKQSSKIWDEFRVFSWNRDRNWIEHC RGLIRVEHGTDTNPVRDSTQEDHASLAERTARIAAGCQEQVDQKALYDELEGVSAKYN AQFKSMENCTGSDTNCVADIVVPDTARVMPKGFEPQLYIHPAFLDQFTHAAWVILGAG RGRLPALYMPRFFKSLTISADVCREPGEKLRVYGEGNPNFENPGSTKITMFATTMDGA SERIHMEGLVIDPIFEASGVSDKASARELCYRVTWEPLAAPEVPESNGDEVAASPATL KLTDKVSIICSANQDKSLPLSLQQLLASSSQAEADITTFEEAKTDGRIYVVLMELDSP LLSTLNAERFSRLQKLITSAKGLLWVVRGAYSDCTDPQSGMILGLARTIRSESALKFA TLDLDGINKLSTAEAAKQIHEVAQHVFRKGGAPSPDMEFQERGGSLSVCRVVDDFDMD TFVEQNTNPSTTPFLQPFSQPGRPLKIHVGTKGALDTLHFIDDIQASTPLAPDEVSIE VKVTSMNFKDIMVSMGEVPSPYLGVECAGIINAVGSKVTDLKVGDRVCASSEGAYSTY TRCKATSAAKVPDDMSLEAASTIPVVFCTAYYGLFDIGRLQRGESVLIHAAAGGVGQA AIMLCQMMGAEIYATVSSIAKKTFLMETYGISEDRIFFSRDTSFAKGIQRATNGRGVD VVLNSLAGDSLRATWECMAHFGRFIEIGKRDILANSGLQMAVFEHNATFASVDLTVVA AERPLVMKRLLDDVFRLLSYGSIRPISPITTFPISSLETAFRTLQAGKTHGKILVTAN ADDMVKVTYSEKTFNNLLRGDATYIIIGGSGGIGRSMIKWMVRKGAKNVVIVSRSDRI SPQVAEVIEDAKTLGSKVVLHRCDVSNADDVQRMVGEVKSSLPPVRGVVHSAMVLDDV LFENMKFGQWEEVVKSKVAGCWNIHTALADVTLDFFIALSSVAAIIGNRGQAAYSAAN AYLDSFVQYRRRLGLAATVLNLAAVSDTGYLAENAERQKEVMEQIGGEAISEKEILAL VAAAITGKAEETCTSQVVTGLKLPSSFSSVFWASDAKFTALCERTLLENASGDGGAVA ISPGAALKRAKSYEEAHSIVISALLDKTAEVLMLPREELDGTKDTVFYGLDSLVAIEI RNWITREFGAALQILDMLSTPSFIVLADTVLRKSEMVSFEKPT
SAPIO_CDS0330	MSFDEKFQAGEAYGDSKGSSPDLGDPVKLATAILKDYSGVRSRI SVTELVSVIKTMLFDKGKPLDDKNGTAELLIDILTCLPSESKVRTQLTNKFIDTLWDV LQHPPLSYVGGNVQYDVLNSDGSYSGAKAKDYNTIEFKVPGTNVTLRERVPQAPDGMH QYRMPDGSFNNILEPNLGRAGTPYAKSVSSSKALHGVKPDASLLFDLLMARDDKNFKE NPAGISAMLFYHASIIIHDIFRTNRTDMSKSDTSSYLDLAPLYGSSLKDQLEIRAMKE GRLKPDTFHEKRLLGQPAGVNVMLVLYSRFHNYVCDILLKINEGGRFTLACGPDASEE DRARAIAKQDHDLFNTARLIVGGLYINICLHDYLRAITNTHSTNSDWTLDPRVEIGKQ FDGQGTPRGIGNQVSVEFNLLYRFHSCISKKDERWINNFFLKLFPDRKAEDLAQVGMA ELGIALQEFERSIPKDPSERTFDGLKRQADGKFRDEDLVRILKEAMDDPAGVFGSRTI PKALKIVEVLAINQARRWQVASLNEFREFFGLKRHEKFTDVNSNPEIADLLEKLYTDP DMVELYPGLMIEDFKPVRAAGSGICPTYSLGRAVLSDAITLVRSDRFNTIDFNVANLT AWGFNEVKQDKKTLGGSMLYKLIQRGVPGWFPFNSAAVMQPMYTKKANAEIAKKLGTF DQFTLDDPKPPAKPVVIASSAAIKKALADNKAFVVPWLQPFNFLFPGKKDYSWYMLAG DKPENYAHRTTLVKALQKIPNLQTTVQEFIERVGSQLIDKEGFKLAEGLSQVDIIRDV AIPLNTQLLADLFYLDLRTDENPDGKLSTAELYKHLLNVRIWGANNTDPAEAWGRRRR AQEGAKILTDTTRKLVNEVSASRGLGLGVVSALSNVVGPKSYLKKNSLRSCGLKLVET LLAQGESADRITDTMWLNAFGAVGVAVTTFYEILAYFLKEENAAIWAQVQTLAQKGDM PAIQAYVLEAQRLSSSQRIMRVATQPAELEGKSIKPGDSVVMLLGEAGRNPTEIANAA KFDPHRKAEPITAFGYGQHRCFGKDIALAFITGLIKLVADLKNLRPAQGQMGLVKTIQ VGTEKVYLNDSWSYLTYYASTWKLHYSGRGKGNFEGDRAPNNPIDMQQYYYLLQKRKT GGFLG
SAPIO_CDS0331	MPSVVNDSSGSTAPPAAEVQAPEPKKLVLCFDGTGNEFSGSNAD TNVVKLLHKLDRNDPNQYHYYQTGIGTYDVNEKSVNKSWFGEMQSSVSKTVDQMVGTT FDAHVMAGYRFLMRYYDPGDKIYMFGFSRGAFTAKFLARMIHTVGLLCKGNEEMVPFA FRLYQRYLAGEIEDFMGERDKKDDGAGDEPQGENDQASTTALALESLELDAEGNPKEP KLKRRGRKFRKARDEITAFSNTFCRKEKTMHCGKAVEANIKVYFLGMWDCVNSVAVFE KKTPVPVPVKGTAHFVRHAVAVDERRVKFKPALLAQDIRSKSHDHEDIKEVWFPGCHG DVGGGWPAVENNSLDSNKTENMTIWERIKNFWVTRKPKEASHDVAKDPFQMSDLALAW MIRELELVGKQDPSAAVKWCNRVNGFKRRFQKRKKQALNGLIHDSLQFSSGTGFFTVL LWKFMEWYPFITRWELEKNEWVNVRFPLNGGACRDIPRDAVLHESVLWRLQNDPNYHP KNNHGGKLDPCLKHNNCVAKVYPVAEDHGEPDPDHQTYILASTD
SAPIO_CDS0332	MSILAAALRPWTTLFGRGSEEELEHARQETLLETPEPSPSLEPD DEVYPVHYFDDTLINRSMIMCWTMKFDEVLDPAALHTALARVLEIGDWRKLGGRLKLN SRRKLEIHVPEKFTEERPAVRYSHAKFEINIGEHPLASQFPRATDTPSLNPGPEHFTS LAKDPASANSIYDYLRNDEPQLALHIVSFQDATIVSLSWPHTLTDAMGRYALVQAWSQ VLAGKESEVVPLLGARENVLDPLYEDAGPDVEEEPYALADQMLGPWQMLMFVLYFFID VLWTSRVLRTIVLPQPAVATLVQEARDDVSKIHVESGPAFVSTGDVLTAWATRLAASE LPMDSARPVMIGTVFELRSRLKSLFQSGGAYVQNLVLSATAILPAKELLGNSLGYVAL RIRQTITEQTSEAQIRAQARLIRECYEKKRHGPGFGKANNFIVVFSNWLKGDFFNIVD FSPAVISPTKEKSADGSSGKPVYYHCQTLQKMPTSSNIFNILGRDRSGSVWMTGYLPL SVWTTVQKELDRLA
SAPIO_CDS0333	MVLIWNGLAAGDREYCAILVAINSILQMVLFAPLAVFSIGVISG EEGHLEVSYETVATSVGVFLGIPLGAAILTRFSLRALAESAWYDRVFLRFAAPWSLIG LLYTIIVLFTSQGRQVVHQIVSVVRVAAPLVVYFVAIFFFTLCIAKKFGFSYPLCVTQ SFTAASNNFELAIAVAVATYGPESDQALASTVGPLIEVPVLLGLVYIVRLARGRWAWK E
SAPIO_CDS0335	MAVSGNLPGESNAFMVQIPCIVFFALTPIFVGIRLFYIAQIFYK LTINLTKASIVLLFLRIFVQRWFCITCYTLLSIIIAYMVATTASSIFQCTPIPRAWDR SIAGTCISLTINWNANAGYSIATDIIIILLPQYPIWKSKLPVNQKRALMAVFALGGFV TVTSILRSTTLNFSTTSPDTTYDITSTLWTMIEMHVAIICACLPMCKLPLTYIFPKLF PTIGGSSAHGSSGASHHQYSNTTRDGGRNDWSGYPSRREQLHKAGISLATVSARTNSG DDTSEEYMLDTVAGKSGHTHDHEAGGIFTGEEPTHRNQIRMTKGYHNIDEARRVDVVV HELVEEFLINLIDAVDYVHTTWVAIA
SAPIO_CDS0336	MTDKASQVADDNKLSDTKIAHKPLADGVHDNIVDVAAEYSDQYY RKLLWKIDLFLLPLMWICYGTQQTDKTSLSVQAVFGIREDTGLVGEQFNWLSTIFYLS YMVCEAPGNWLMQKCHTGKFLSIVMVLWGVIVLCIAFAKNFAHLMILRAFQGALECTI SPTYMLITGAWYTSQEHTLRSLIWGTSNAGMNILAGLSMYGIGLHAEKHPGGLAAWKG ISFLLGALTITCGILVWFILGTPREVRWLSEDEKRAAIARIVTNQTGSDREKRSEFKW DQVWTTFKALWLADPQTYFFFFVTIVNALPNGANTTFSKLIWKSFGFTPLETLIKGST PYYCVSICWFLIVGFVTLKKPNLRFLMMMFSLVPAFSGMMALAFLPQNTMKWTRWGMY ILQVFGSLPGLNIWENRRRAKLIREQGISEEESERIGTANAEADMTDRENIHFKYKY
SAPIO_CDS0337	MGNTISYDQKPFDLSLGDKGTIRGLQFDEKSRRYAGIPYALPPT GEYRWRKPRRLPPNYTYGDGGSNPFDATVFKAVCPQNAFHVGENEDPSGVYSEDCLFV NVWTPVGNENGKPWPVMLWLHGGWFQMGNPSQESDMDPTELISTGGLNAVVVAIGYRL NVFGFLAGQDLVEESRGDSGGNFGLWDQRMAAEWVKENISWFGGDPNNITLAGRSAGA YSVEAQMCYDFRKPGPKTSLFRRVFMDSNAIPAQPKSLRDAQVQFDELCKHFKIEPSW SAEKKFEFLRKLSVEDLMEAIPRLEHHTFRPVTDDLFIYPGMMEYLQTKIFADEFKAR GYKILIGEVANEETLYSTYNSPTEPTLEALKIQVMNYYAPEVTERAIDCYPLPASKDL ALWKNLFGNIISDGQVRAPSRALVKHLEANGANIRDIWRYQIAYRLSFIDEAVAPMSF GVAHAMDKPFWNFSIQLGPTPEEKTLMKDWIQILVAFVNNDESYDYGTNTVQEIKVAT PQGRIEIQLDERWDELTKIGEILSGDKQ
SAPIO_CDS0338	MGSLNLKLEPVDLSHHINVKSKSRHPSPLKDIIKFMAYDGMISL AGGSGAGNRQLIQLAKELTEQVHAPLCEYECLLHPGNTNAWSKVVGLLCEDDDFVIVE EFTYPSAQALWIPLGIKAAPVSADAEGILATSLRELLENWDENATGGRRPRVLYLVPV GSNPTGISISAHRRKEIYAVATEFDLVIVEDDPYYFLQYPGYSPQGVDQPFKLKSTEE FLNSLTPSFLSIDTQGRVIRLESFSKTLFPGLRLGYFVANPLFTERLLRATEVETQDP AGLSQAFTLALFQQWGDDGYLTWLQKLQFQYKTRRDWLISAFYDQFTVVPAAESPVPT AQGYVACLEDKRSAKLLAIFSFTDPEAGMFVWSKFYFDDVPRFIELEGQALEDPEQAF AQELWEAMISERVLLTPGSYYHAWQGPDKMSTKARGADPRSAYFRFSFATPTREQIYE GVKRVSKVTSRFWKETI
SAPIO_CDS0339	MESGPSLHLMAYGVGTLWFKDSEGDIDDRTVEAIQAAIGAGFRH FDGAQMYNTEAEVGLAIERSGVPRSEITLTTKVVHLDDVEARLEDSLVRLRTDYVDNY LIHSPFSANSSTSALQNAWLGMERCVQRGLARHIGLANFAIQHLEPILEIATIRPALN QIEMHPYLQQPDLLAYLSRNDIRAQGFASLTPLTKASPGPVDDVCKHLSEKYGVSQSA ILLRWVIDQGASVVTTSGNKNRLQGYLDESIN
SAPIO_CDS0340	MANQTLSPVVDRTGRTRLRDSLEKAKRGEGPSIGQWLEFPGYSL AKTVARLGADWVLIDTEHGNITDNEMALQIGAVSSSGVSPVEQAEGIVRCCKYLSASW PQGLRPVGAMFAPPAFNQTDREYLLSANDNVMVCVQIESRKAVENIEQIASVEGIDML FIGPNDLAASMGYVAADHASIPEVQEAIAHVLKTGLAAGKYVGHFALSAEEAAERVRQ GFHFVNCGADIVALTAWMTSEMGKLKHLVAGDMKRFKKESLNGADT
SAPIO_CDS0341	MIGIAPLHGYSGRLTYDLSDAINLGIQYDRLDGLCGRMEQMITR LSTAVEGLEEMPKATDQASPRRPASRVRTSSLSDREGSIADGDSDGDHTTINPMESED NVPDISLAEISNLIPDASNTYRYIGGASNTLAVNIFQNYRACQTASVTTPTFPDLLAS SLFGANEATPLRSYEEYLGRSLTNQEPSPFRSVLFAVYACASNMLAVPRQNQEDTNGR DYFEVSLLDHYRNLGRGGLEEIQCLAILATCAASWNRLTEGWRLAGQAVRATQDLGLH INFAGSEQDQSRSRVWWCVYTLNSCLSTCLGRPTGILDSEYDCRLPEIAEDTPTTPST RSPLGEGLARSESPPIAGFVALAQGCRILDTISYSTKDRLRDAQGHDLEHYWIGDNQA AMLETQLSEWVREKIPAWVKFAANEPEKHGGVDLAMCTLTFMLHAIAVINLNLPLIEV WDIDATMFETEDVGAGGSVCKVITAARGIVRGSELISSNIPPSHYLAFCVHVLYLSGF VLFPGIT
SAPIO_CDS0343	MHVSAIIAVAFLGTALGIPVQESPATDSKEKRGFTPEACTGLCA GPCAANALFCFTCTTICNGLTEDGGEVDPETIVDALNLGTSGVEV
SAPIO_CDS0344	MVNIGSVGGAFLAFLVCDRIGRLWATRQLCLLWILGIAIFMGNN GSLVAVNAGRFIAGLGVGQTTVAAPVYLTEIAPASVRGLATCFFTGAVYMGIVLAYFA NSGCALNLSDGYARWNGEFGRGSLPEHALPGPEGKWTIPGDIRGPLKIAYDD
SAPIO_CDS0345	MATNSDKLVPHTQHEDDPESLELKASKVQARAPVIQCPPHTTER KLVAKIDLHVIPFLSIMYLLAFLDRVNISNANVFGLSGELHLDGTRYNNALVIFFVPY ILFEIPSNILLK
SAPIO_CDS0346	MQGVRGYSGWRWIFIIEGALTVVFGFGFYFFLPDFPEDTKWLTE EERIYASARLHIEQGRSNAERPVIFKDITKTLRDHRVFLGGLMYFALVVPIYGYAYFA PAIIQTYGYGSIQTQLHSVPPWAVSFGVSMIVAFLSDKTQHRAGFAIGSICICVTGFT ILLAGSNRDLKYGALFLAVSGAYTTAPILACWFNMNLGGHQRRSVGSAWQVAFGNIGS IIAVYTFRKEDAPSLYGMACLLENKKRDRSSQGETLTEEEKAELGDMSPEYRYLL
SAPIO_CDS0347	MFKFSKSSKSSTPKVSGRSVKASTASNYDSESIMTVDSVAVAKE QAKEQTKAKAQYVHKENMYSRYLANSPRQWG
SAPIO_CDS0348	MRLRHILAPAALLLGWVPVTLSQGLPSSLPSSLDSLPDCAIRCL KTSVTNSACSIDDFACLCTNSDLQNALTDCALASCTMKESLFAKNVTQSSCNAPIRNR SRGIKDVTTILSIITGVLVAGRLVFKQFIAKMGLSMDDWFILITTIVGAVTKVIIVYG TLANGVGQDVWTLPFDMITRFGMYFYIMEVFYFAEVTLLKVSILFFYLRIFPEPRIRR VLWATQILNVLVGVAFVITSIFQCTPISHFWTSWTGETKGKCVNVNALAWANAIISIV LDILMLAIPLSQLPKIKLHWKRKVGIALMFFVGTFVTVVSILRLHSLVTFATSQNQTW DNAPVTKWSIIEINVGVICACMPTLRLALARAFRIFQESTVRTGYGAGYGAGYQNQSS KITNSRAVATFTGQPSQEAQPPDHAILCKKTFDVQYSDESSLVHMRDLSARPTTSDAS SGLDPEDRR
SAPIO_CDS0349	MYNTVLAVVGAAVAISYLTLSYPKLRQEWQLRRHRSELPPGPKT LKVGIRKPWLWFHELTKEYGDVVYLQMGPTPTIILGSAQAAWDLLEKRGAIYSSRPRF VMGGELLSNGLRGLMAPYGPFWRRWRKLLHSGFMQRRSETYRPLQSLESKVLMNDLLD TPADYRRHLERYAASVIVTVTYGRRVEDVTSDIVVRRNAESMERLVQSNIPGKFAVER YPALKHVPGYLAPWKAEVLAQRQKDIQLYTELMNEVKDKVSRGVAPECFATHLLEQQE SLGMSDLEIAYTAGSPFGAGVETSAGSLASFLLACVKFGPRFIPKAQEELDRVVGSDR LPTFDDLHDLEYIRAIAAETLRWRPVAVLGGTPHASTADDYYRGMFIPKGSTIIAPLW SLHLNEADFPEPHEFKPERFMEKREYPGPWGHSAFGWGRRVCPGMHLGSASVTINIAR ILWAFNVQAAKNQKGEDIDVDIFAYTDGFNSVPLPFSCDIIPRSEKHAEVIRKECVEA LEQLQTYTAVTSNIS
SAPIO_CDS0350	MKGVGWLTKPFLTSLLLGIGGFLYGYDSGIITPSLALKSFLGYF GNPDPPLRGAIVSVYQAGAWLGSASVGYTSDKFGRRKAIAFGCIFGVIGGALMAGAAH VAMLIIGRLLIGYAVGTITGVAPVFGAEIAKTEERGRITAVNQMMVAWGFFVALWTGV GEGKWHNSNQWRLGFAIQGIPALILGVSVLFIGESPRWLLLKGRHEEAEKAFRRYHYN GQNDEWCAAEFSLIQANIAEEIQAQGRLGWADLLKTPPFRKRLFVGSFVWAAAMLSGI SFVQYYQTAIYATLQYGQDKQLLISGLYGSVAPVACFISLFFVDKIGRKKILVTSSGL LCISYTIITILAAVFPARPGFPTNEAAQRGLIACIFAVSANYSALLGPMTWIVPPEVF TTELRAKANAVVQVIHYSISLVITQCSPIALAAVGWKYYILFILTNFLCTIVFAFAYP ETKGKSLEEIADIFGDVQVIHEADVQGEKAAVVTLERRSTKASN
SAPIO_CDS0352	MRPPVQWLGYAALASLVLSAPADFETTQLTDQDIGDFTALSFGN RNQRWRPRSSCKAFPGTDDWPSEREWGRLNRTLEGALLRPNPPAIVCYDGPEYNEAKC KDLILHAGDSHFYIDDPLTVLSQWLQGSTCLPGLSPKGNCTRGGYPEYVVNVTRVKHV QAAVNFARNNNVRLVIKNTGHDFGGRSVGAGSLSVWTHHLKSFEFLPEYRMGRYKGMA VRYGAGLEAWELYNYMAEHNISIPAAGGRTVGANGGWFASGGHGNLASFYGLGCDQAL EIHAVTADGDYVVANPYENEDLFYALRGGGGSTYAIVTSVVMKAYPPTTLIRASLTVS SKTGANASTSYRVDDPEVFWEAMKVYFRFSAVIVDAGGVDWSYLTPMGNETYTFTVGM TFPNRTTEEVEEILAPLYVDLRNVGIDLDVPPNLAPTPYASHSEAPAAPLADTRYRSR LFPRKNWDDDDLFAKTFDAIRKSIEAGYTFHALAISATKEVAGWPGRHGAVNPAWRNG VLHAILIGPQPPNLTAQQARDEEEKIQQYMQTWRDVTPGAGAYMNEGDPGEPDWKQAF YGSNYPRLLEIKRDWDPWGVFWAQTTVGSDAWEVRTEDGYPRSQNGRLCRVGRRGEEE NDDD
SAPIO_CDS0353	MSDLERPPFPIEAEKADLEKVDLETSSGDGKADSSGIINIERAR LLANLPDPDAGKSEEERREIDKKLMWKVDLWLIPWLSFLYLLSFLDRTNIGNARLAGL EDNLNMKSGDYNNSLTIFFVSYALVEPITNALLKKLTPRIFFIIVIIAWGTIMTLMSL VHNNSGLLTARFFLGVAEAGLFPGVNYYLSCWYKSSEIGVRSSIFFSAAALAGSFGGL LAAAIAKMDGISGKPGWAWIFILEGLATVVAGDRIRVQRRIIMDRQGLTADDFDKRHI YAALKDWKTYGYMVIYMGCLTPLYAFSLFLPTILCGMGHQGTKAQLLSVPPYAVAAAL TIAVGFYADRSRHRGYSVFLGAAGIYPTIPNTLSWVNNNTEGSLKRAFVLGMVVGWGN LNGVTSSNIYLTRENPRFWTGHGVILGYQVFFLLSGSVFMHFALRKMNKDRRAGKMDE VWSTLTDDQKWIQGDVRPDFRYTL
SAPIO_CDS0354	MQTLKSLFLLLSIHSLGTTAAVTSRDDVSPYLAERGIEPAQSGS GTIASNKKTYASIYDKNNNPDGLVNLGVAENYLMHDDLAAYIENNFTIANPTDLTYGP SIGSARLQKALASYLNSQFQPLEPVCADSIAIGPGVTGLIDTITWNICNEGDGIIIPR PLYNSFPRDIEFRSKGKLIPASFVWDNETYSMDSAFDAAANRAALERAYNNATRAGIK VRGVIITNPHNPLGRCYSKAALKEIASFCGERKIHLFSDEIYAKSVYTNDQFPNATTF TSILSLPLNHLIDPKLVHLLYGMSKDFAASGYRLGVLQSRNQDLIDAVTGIGSLTRPP FIAQNSWARLLEDETYLDQYFRTYSERMTASYYIIRDYLEQRGASYFRGTNAGLFIWA RLIDWPEDGSAEEYATLKAKLLETCLRNGVNIADGETYKPDNLEKGWFRLTFTVGEDR LRVGLERLTKSLTQIQSAKFR
SAPIO_CDS0355	MAFLRTVILLLSTLGPIVAASSPGRNKKSWSPIKHIGDGGSLGS VLSGAVATKDLLFIGGTTPSVNGTVPEGIEAQATAVINNIAAILEEAGTSWENVLKTT VYLASMDDYAAMNAIYGSMLPNPKPARSTIEVGLPLNFSIEIEAVAALPPY
SAPIO_CDS0356	MAPFSFSLLSALFSGLALAELRCRPNGPIVPRPTNLASSETINS ALEELGSTLQKAIDGEIKAGWDTQNGSFSIAVVSLDQPDPAVPVWEFHHLSAANEKGT KEIDRDSQYLIGSISKAISDLILLKSGVDPDTPVRKYLPALDGGPINWGDITLRDLGN HQAGIPPNYGFSEYYYLKDVLELLGFPRLEDSDYPKCGVIALNGGCDAEATQEGLVDS YPVAKVSTTPVYSNMAFTLIALALKEATGNNYTQLLDELISQPLGLKSTRESPGDDDK AVIPPGESTWGSDYGINAPGGGLVSTLSDLSVFAHGILSRSSKILPSEAAINAWLKPN SATGSLNSLVGLPWEIFRTANLTPKHPHVIDIYAKGGGAYNYRSQLSLIDEYGVGIII LTAGASGALTPIYDAVLTTIVPAIDEVARQQAQQYAGEFGAISNGVVVNATLELDDDS IIIQSLNRDGHDILEGFQVIFSQAFGSILGLGVAGPRLFPTGVKTPGEVKLCNGTRAV VREDWRLNWSEFVNFEESELPGVGVTAQDCLSWTLADWMHYGKEPLDRYIFVTDAESG EVLGFEVPFLRSGLLQKAG
SAPIO_CDS0357	MDHDSEKSAVAQHIPDLEPQQTGKSFDDVADLDISPEEGRAIIR RVDVRLVITVGVLYCMSLMDRTNLSAAAIAGMTKELVLVGNRYSIVTLVFFVTYIVFQ PPSTVIIRKIGPRIHLALITLLWGAIMIGMGFVKVWNQLAALRIILGILEAGFFPSSI YLLSTWYTRYEVGKRYSVFYIIGCVASAFAGILAYGLMQMNGLADLTGWRWIFIIEGV LTCVIAIASYWLLVDFPDSKRASWKFLTDREKSYIIARVNADRGDAKIAPFSLAKFLR AGLDPKIWGYAMLFFNSTTVTYSIAYFLPIILHSNMGFDVGQSQCLVAPPYAFAGFIM FGTGYVGDRYKVRGPIIVFNMILCIIGLSIMGWHENNAVRYFGVFFMTAGANSNVPAV MSYQANNIRGQWKRAFCSATLVGFGGIGGIAGSLVFRSQDAPAYKPGLYACLACSILN VVLVGVLDIAFYFQNKKADRGEISIEAHEDDSQSNFRYTY
SAPIO_CDS0358	MKFLSILAALAATAQAHYVFDKLIVNGQTTNQWQYVRATDNRQT TGPVTDVTSPTIRCYTSDTSVGSRTGTVNVAAGSTVGFTSSPAIFHPGPLSFYMAKAP TGTSASNFQGDGKVWFKIAETGPTITSSSLQWPSDGKSTLSVTIPRCIPDGDYLLRIE HIALHSASAVGGAQFYLSCAQIRVTGGGNTLPGSSSMTSFPGAYTATDPGVMLNIYWP VPQSYRVPGPSPWTC
SAPIO_CDS0359	MPPRDGLDNYDNNGYKTDTRRAVEIEHSSDGFRILRFTVDPHRD VNPSPVEITPGGDGIRRPSPGNTDNGNLQGVDRIRQQLDLCFHQSWQPRGCPHPSRPR SICLRFDSPDDRAGRVIYSSSHLDNPPAPPDVFLPRSGKE
SAPIO_CDS0360	MWSILSDPVSGVDPATAAAAAAVPVAVYLVCRILFPNIDPREPP VLPPTIPFLGHILSLMREQNNMFHRLYQERKLPICTLPMLNGKMYVINEPRLVAAAMR NQNLSFEPFAVEFSTPTLGMDKKHVEIYSQPGTMDEFSHTIQQSMLGENLAVMNSAAL KEIAKTLNDISSREGLALESGFEWLRELMALATLRSMFGSQNPLGKDSLNDLWEFDKG LGLLALGFAPNLLAPKALKARDRLQERLEPYYLKGLDQGDDVSPLMKLRGAKERLIGF QDHELTKPESSMLFVATSNTIPTLFWLFSHVMSDPALLSQVRAEVETITTVETDANGR RIAATMNLAVYEKECPMLHAVYREILRLYSDLVGTRRVMNDTTIRDPVTGQEYLLRKG INIQWASKVAHHVPDVWGDDSHEFKPERFLHATAVDEKKRRGAMIPFGGGKHLCPGRN FAKTENIGLVSALALGYDVVGVAVPPAGNSYLGTAVKRPIFEQKDPVRITRRKGWEDV TWTFKC
SAPIO_CDS0361	MGPILGPACGGWMSERASWRWTCWVPAICAAVLEIVAFFFLRET YIPTLLKWKLTRLKRNDRNNKRYTVLDLAKTSPEGHILSDLASATARPVMYLALDPAL LLLSVYFSCIFGVLYLVVVTFSFVFGKGYGHSAGIVGIDLLAEGVGAMIGMFATTKLL NAIYSRQMKKEGKPYKAESRLVAGFPGALLVAIGLFIYGFTALKTHFIVVGITSVAA
SAPIO_CDS0362	MTNAEKHHARARPISACLRCRKGKVRCDRTKPQCERCSRVGVQC LYSPPDEPQDIQHDGRDGHDDSSSMRDIGNQKAPNQEHGEENTAPAVGERPLDHLDAP LSRNRPIISCVRCRKHKVRCDRNVPCSRCARLKKGSECIYLHGNGASSSSTLADSTKD IAVTYEDKQWNQRFRTRAHWGTLHREIQEYLVTGGRRPFLHDFHPGESRPCISLSINY PFCNGPGNSKSTESILRGLPPESMQRELVSLYMETVEEGFHFLNRTLFEAELKEFWSE EKTPGDDWLAQFLLVLSLGCLCRNSITEEGNGDDNTGGLYNTLPSRLVNDAQICLRKT PFMLRPTLATIRTLCLTVIVKQIYGMSCHESDTCWPLMGTIVRLSIGRGLHLSADADG KRLWATVLYLDMRQSLMCGMPLLLPPGDISTLSHGKNMETSISDDGEVMGIGTSAIDR IILGSTGSILLRALELATFADESVSYQQVMEVESRLRNYLQRPIANFHTSHFHPVETT GASPHHDLESCTVDIFLRQTLLALTSRFALLTNSSILYPACHISSLESALAILSHQRT LHEVDRTAAAWFAGLFRFDFFTAAMTLCCQLVRDDSALDVAIGGESAPRGMVVEALRS CRELWRGEGAFSVCNARAFGIIDEILGLLEEAPSGNTRKVG
SAPIO_CDS0363	MKSILLASLAAWGAYAQSGAWGQCGGINWSGPTSCISGYTCVYQ NDWYSQCLPASQVPTTTTKAPSTTLTTSTRPPTSTSNPGNGSKKMKWVGVNLSVAEFG QGTYPGTWGKEFYFPDNNAVSTLISQGYNTFRVAFAMERMAVGSLTSNFDQGYLTNLT ATVNHITQNGAWAVLDPHNFGRYNGNVITDTNAFKAFWVKLATHFKNNDKVIFDTNNE YNTMESSLVFDLNQAAINGIRETGATQYIFVEGNSWSGAWTWTQINTNLVSLQDPQNK IVYEMHQYLDSDGSGTSTACVSNTIGVERVTAATKWLKDNGKVGFLGEFAGGANANCK EAVTGMLNHLQDNSDVWLGALWWAAGPWWGNYIYSFEPPSGTGYTYYNSLLKQAYPWT SKPLIVLAPMRPVSGPHLAVAVSRAGGLGFINPNGTHQGIIDDFEDVKKLLEAQDTSS DALSKFASGGLLPVGIGFIVWRDDKQVALSTVEKYRPVAVWLFAPRTGQGELDEWISE LRNVSPQTQIWIQVGTLGEATAAANSSSPPDVLVIQGTEAGGHGRTSDGLSIVTLFPE VANAIRGSGIPLIATGGIADGRGVAAALSLGAAGVALGTRFIAATEARVKKGYQRAVL DAKDGAKSTVRTTIYNQLRGTNWPEQYSPRGVTNRTWDDFQAKVPYEELKKAFDESTK AGDEGWGIEGRQPTYAGAGVGLVDTVADAETIVNNLRKDAISIAKAVAESFE
SAPIO_CDS0364	MNSFSTEAWTFLALGIVTILIRTYARWRLVRWKGLWIDDYLMLL VIIPYAIETTLAHIVATSAHGLANSGMTDEERAGLSPDSDEYRWRVLGSKIQVAGWSM YVTVLWMIKAAWCAFYLRLTGGLFGYHTRLMIGFVAIVVTYLAVLLSILFGCTKMSKN WQIYPDPGNLCQPALSKLDIFMTITLNISTDAYLVLTPIPILWCAKLPVIKKIGLIVL FSGAIFVMIAGVLRCILILMVGRYRNPDSTPAIHAKLRINDRPV
SAPIO_CDS0365	MPSLSKALLLALPLANLVAAQTIVVDGEEVAADADTVAPAVEQI ANIEEEATAFQLTDDVLANLTSLELSNITLFAFGDEDATESAVTKRSNYKRTFDRCKT FPGDLLWPSKLTWKVFDLLTGGALIETIPIGAVCYENNPHYDAAACTELLAHWTESAT HAKDPTSVMSPLYQGQTCLPQNAATGTCTIGGFPSYVVKVKNVGQIQLAINFARNLNL RLVVHNTGHDFLGKSTGAGALSIWTHHLKDIKFIESYKTPSYSGPAFKLGAGVQVGEL YAAANKYGVTAVGGECKGVGVTGGYIAGGGHSPMSGVYGLGSDQVLSIDVVLPSGRFV TADEHNNKDLFWAIRGGGGGTFGVVTGMTVKAHPKTNFPGLTFSITAGPGSNITTEAF WAAVEAYWRKFPQYAELGNYGYSSIFSMGPGAGFMWSMNPWMIPGYTLAQFKAVVTPL LDEWKALGFDPSPTFFEHDNLYDAWTQHFPTETVGNTNLHTASRLFPKSNWATEAKLN ETIATIRGVVEEGSALIQYNMNPKAPAGTPDSAANPAWREAIMFGIVGSGWAPDTPKA DVAAINNKITHDWMERLRQITPGSGGYGNEGDVMEPNFQQAFFGSNYDRLLQIKRSVD PWDLLYAPTAVGSEDWYVTGQEDWLTTQTGRLCRR
SAPIO_CDS0366	MAAILEARRPPHPDVTTIPTPPLQRTALFINFFFPALAVVAVGL RVFSRVKSRQWGLDDWLIVAALIFSLLMTGPFYMDIKLSYIGWRMEDVPEFDPAPGKW WFYLAQLFYNPVLALVKASVLVFLLRLGSHQRWVRWFIYGLNTFNALQAIAVFLVALL QCIPISANWDAAAMATAKCVDVSFHVTISCLTIFTDILVLIIPFWIFLGLKMPKAAKV ALMGVFTLGIAVLIIAIVRLVQLYNLFYAPPDPDADPYHNIGITLNTVEVNLAIICAC GPALRPLFRAWLPTLFGGSTNKYGLSGKKNTHNLYGDGRSHLSGTHRQNITSTGPSIA LKGMRGSRSQHTECRSVSPSGSEEEIMTYNGILRTTQVKLHYDNDTQSDLKAPGPA
SAPIO_CDS0368	MSPHSTRDISTENANGAEGSQTNGTQAQGSIPAVEQGDGASTVV ETDFLVVGCGPAGASLACFLASHGLTGIMIGAAPGTANTPRAHITNMAAVECLRDIGL ERDVKQVASTGHCMIHTRWCHSMAGAEYARLYSWGNDPMRKGDYELASPCEPADIPQT LLEPILIRYATLHGFKVLFNTVLNSFTQDPKTSLITATIRDKISNLEYKIRTKYLFGA DGARSQVVKQIDLPLDRKPGQGLAINVLVKADLSHLVDSRRGNLHWVMQPDREHPEFG WMAIVRMVKPWDEWMFILLPSRDADVSMNPTNEEYLQRVKELIGDDTPVEILNVAKWY INEIVAEKYSVGRNIFCLGDAVHRHPPMNGLGSNTCIQDAFNLAWKIAYVHKGLASPS LLDTYTVERQPVGRSIITRANQGFRDHFHVWDAIGALPTNVSERQAIQRELESATPEG QKRRRALREAIEGTAKEFHGLGVEMNQHYSGLGIYDADEAQPFAWTGRTAENPVLYHE ANTYPGSRLPHVWLNKTIPETPTSTIDLAGNGKFVIFTGIGGDAWRDAAAKVSTELGV PVDVHSVGLRQDWEDFYYAWEKVRGVEESGAVLVRPDRFVAWRAQEVLHSMEECSTKL LDVMKSILGKKDDEVAVTGEKTVEV
SAPIO_CDS0369	MATNGTNGTKFRRINLVRIAHVYYTHKNIDAAKTFLDDFGFQET LTSGTDTYYRGTGTDPFVYCATAGSEDVFGGAAFVVESREDLDYAAETLPGATPVHEM KEQPGGGFRVTFKDPVDGFPFHLVYGQTPVEESDAGLLQRRFNFPTLKTRPGNEFQRF QKGPAPVHKLGHFGLCVTDFAKAYSFYTTRFNFAPSDLVHDGTGRDITSFLHLDRGEE LVDHHCFFIFEGPKYHVHHSSYETYDFDTQLLGHDWLKNKGYKNCWGVGRHIMGSQIF DYWFDPSKFILEHYVDGDLVNSSYKMNRSLAAPGNLHVWGPDVPPGFLE
SAPIO_CDS0372	MTSELPTVRWGIVATGLISSWFAADIIRDRANKQANHIIQAVGS SSLDKGKTFVEKHIPGTSPTVYGSYEEVYADPNVDVVYIGTPHAFHKKNCLDAIAHGK NVLCEKAFTLNAVEAREVFAAAKEKGFCYGSHVDPNIASLPADSRLRNPSLGASTLLD IGIYSLTWGLVTLDNGVGETAEKPKVSAQQHLLDGVDAATSILLLYPGRKQGILTCSS FIRGGQEFCRIEGSKGMIIVQGGAASCPESFTVNINGQEPRKYNFDKPGFGFYFEADA VAADVAAKREENSTMPWAETIRVLELLDEARRQGGGIFPQEENIEGKGPGI
SAPIO_CDS0376	MTLQPSFEDRQDFVDAERGFIAKLEPGVIKNAAGDVVWDIDQFK FLHGECPSTANPNLWRQGQLNSKQGLFEICPGIYQVRAFDLSNISIVEGKEGIIIIDP LVSCECAAAALEIYEKHRGKRKVTGMIYSHSHGDHYMGAAGVIPQDAGDSIPIIAPEG FIEAVMSESIMAGPSMRRRGAYMYGRELPRSPEGHIGVGLGMGSSTGVTSLILPNTLI QKTGDELMVDGVRIVFQMVPGSEAPAEINFHFPEFKALCIAETATNCMHNIVTLRGAQ VRDAKAWSGYLDEAIVMFGGETDVLFGSHNWPTWGREDLTRRLEEQRDMYGYMHDQTL RMMNLGMTGVEIAERITLPPAIQKAWHCRGFYGSLSHNIKGIYQMYMTWFDGNPSHLW LYPRVEEGKRYVQCMGGTDNVCTMAKNFIESGDSRFAATLLDHAYATEPANGTVKDLL ATAYEKLGYGAENATWRNFYLVAAQELRTGRSAGMIGGGRTPLGPTLTVGQWLDIMSI QLDGERATGDSFSVDFDVLDVKEKWRLILSNGTLHKRNLSSSKTAPIASADLTLRMNK AELLEALRGKGVATDQVEGDLKVLDKLRDFISVSKSSGRGPSQL
SAPIO_CDS0377	MAAIEPRDSKAALPGPEDVQYDEKPFNVPQDVDDDEEYTVAEQR KIIHKVDRRLLIILGAMQAVSFLDRANMSNAAIAGMTKDLALGVSNRYSITLLVFFGP YVALQFPAGAFVRKLGPRMFLSSIVTAWGIIMMCFGFVQHWHSLIALRMLLGALEAGC FAGQYYLISSWYSRYHLHKRVSVFYLLGVAGSALGGLLALLFSQMKGIANYNGWRWIF IMEGLITIIVGILGFIFMVDFPENAHKAWGFLTEKETAFIVRRINRDRDDGEPEKFAW RKYLKPAKDLKVWAFAMLFFCSTLQAYSVGFYLPIIIQGNMGFSVTISQVLSTPPYVL AMILMYIQGWLSDKHRVRAPVLIMNAILSITGLVLMKWAPVAGAQYLGSLLVTAGASA NIASVMVYQANNIRGPWKRAFCSGSMISFGGTGGIAGSLIFRAQDKPSYLPGMVGCIT ANGIILVIVAALSIHFYVSNRKADKGEKVIEDLPSFRYTI
SAPIO_CDS0378	MDSSESLTLDRAIGHIEELERQNSELIMNIRRLQRDYNVITDTE IEDEYVKLQVSVDNWIRTLVREVRDQTGMSLSQVFRESIQSFHPTTIEMLFNVLASAT SPDELYKMRANWQAHQAGWVAWFAKYTSSVHMILSLEIWKFLHEKIFLVKLPVGTRKH FGRLVTEMALADDVSSSDGKYLPRWRTETLRMFVESETFQVDREEAIKLRLDSLTRTF EQWPFMTDIKKDVLAPHLSSFEETVFRPAVDLHMEVRRSPTLYSFRKPAIFLGDSWDT VNSGYWRFKEISQWVDLVEGESAEDIFDCLVPGIYRVDNSGRTLQVSRPVMLVYDSRP SGSISPLWDHPPASVHRTYSYHSSAGTPWQNRDEEYGIDEVQISTASTQGEGRELASQ AKSETGEHMDSFQMAQKLVATSKLNHAEIAETRTPEVIAKTIYFS
SAPIO_CDS0379	MTSNSLHSTIFIAVDFGTTHTSVAYFKPDAQVEVEVMKDWEQWS AERIPSILRVKGDGTSSTYGRDAMNGSHSDDKYEWFKLGLDPDRESRRAATSKLYTIL PDNPAHLATRLSLAKCRNLVITFLKHIRRAIDNYFRTSVHALHPGYANARRLYTITVP AMWTPRAKDLTRECAALAFEVNKDKIQVVAEPEAAGIYALGHRMLTPEQGQSFVILDA GGGTVDLSTYRIAKFNTGPRGPEMSLDSLCISGGGLCGSTFLDGLFERYIESRLRETA RRSAQRGWPVDQFQRTLKRCVRAMVAQFEASVKEGFTGTEKDDYQFWFPADGMVGYGI DEGQVPITAKEIREKVFNPVLDEIEELVKDHLEASKAREGNIKAVLLAGGFGQNMYLK RRLEGVVRKFDRGIGLLKVPDGKLSIAKGAVLYAYSASGAGAFRPAEPSPGSGLTPTI TGPMLIRMRQIVATASYGVEAWEVYDEERHKDAKSRPCKDSSGGWIVKVIDWYIKKGD KFREGVSKSYPDFIIQQSVRDGGEFKTDVIIHTCEEDINDLPLYPDTKDHPEPRLKNA PIVLEMVIDANSAKHLKRGEVNGKLMFIVEFELHTQMNSGENRWWLQLGKRKFRPKDV YFKANRS
SAPIO_CDS0382	MYDEKNVIEKADGDQASVHAPKVDADRLSILNENLEGEIRNPLK GIPRETLFENVTRFQQEKGLPEDILPLLKKGALVAQEPAAFQSLEDLDDDEKRALKEE VTHRWKHPWPLYYTIFLNSVAAAIQGWDQTGSNGANLSFPVALGIPDSGEICNGNQKL CDDNSWLIGFVNAMPYITIWIFAGWISDPLNEWLGRRGVIFLGAIFSLIAPFGMAVSQ TWGQLAATRMLLGIGMGLKEVTVPVFSAENSPPLIRGGLVMSWQIWTAFGIFLGTCAN LAVGKTGDIAWRLQFASAFIPAVPLVLGTWFCPESPRWYLKKGKYDKAWKSLLRLRNT PLQAARDLYYIHALLEQEVVMVKEAGFEVTNNMFTRFIELFTVPRIRRATWASGIVMI AQQMCGINIIAFYSSTIFKESGISDYTALFASFGFGLINFLFAWPAVWTIDTFGRRAL LLFTFPNMFWTLLAAGLCYLIEPGVEDSKARIGAVATFVYLFAAFYSPGEGPVPFMYS AEVFPLSHREIGMSWAVATNNFWASILSLTFPRMLIAMTATGAFCFYAGLNLVALALI FLFVPETKQKTLEELDYVFAVPDRKHAKYQLSTVLPWWYRKWILQKKGEKCPELYHED NKPLTQNQA
SAPIO_CDS0384	MVNVAIAGGSRSIGSAIVAALHADGSHKCVILSRTESDDPRVIT VDYSDIRNLAETLDVHEIHTVISALSLQSDASGRAQMNLIEAAIRSQHTKRFMPSEFG ANYTQKFIKGLPLYAWKLKAADLLEESNLEYTRISNGIFLDYWFAPHIKSAFAVNVPT WVDVENNYAVIPGDGNAPLVVTHSRDIARFVVAVLGLPRWEKQYFLVGDRLTINDFVR LAEEVKGVEFEKHTNDAENLAQGGCTFVPAARGLLQALEVEDQLSMGRMLAFIGTLIV DGDMDLPAEPNLNKAFPDLKTLTVREALEISFGKGG
SAPIO_CDS0385	MINMDIDDSKYLLQLARAGGVRLPPGPGDWSVHVKPPTSNRDTP ENGEQFMYFTQHSQLAQPPTQSAVHETGPPQQQPTPPWTSPSSYPANAARGPPTPQHY SGPAPPSPAHHLPPQAGGSPHTRVNHQHAHHATHSYSRPGNRPHHSDDVPPNSQAMAA RQEEARRRRAAGGMPVSPSSMNGSPREPMGYSPNSRAATARPPPNERTEEPSRRPVRA TRQPESWEWYYYGEVPLNSR
SAPIO_CDS0388	MDFWSRLLSHTSLSSSTSRRDAARDPAKRLHRFEREYGQLLQIW RNSSNLSRDYEAAEKLEIRLHELTTILSDESRRPLPHPCIQFASIKQIYVPIAKVATT SYNEWIIKEAVNFFATLIESEEEAFVENANFSSSLTNLLVRITGQNSVRLGHDTEAKV VELAFNITTKIRLQPRILDVWFKTQQLSQHDNRRLDDRERFAGRTQKQDFPLFYILMD YIQHEGRVGDFARTGLLYIIESASNSVALEQWIVESDLSTLMATGLGALYSQLSRKLV IDHLPHELPPVLALSDYQHPTSNYEIVASCSPDFQAHLETFLSHLLFWQDVLNHCKSI EVKSTLLEHFQVIFLQQLLYPSLLESSDIDGGSSVAVITYLRRILESLDHPDMINLIL HYLLALPHPGSGSASSRVQPTNNTTVSDARKRKSMDLATMMAEKSDLSSVTPLLFNLV DLTLACLRSHSQQTIHVTLQLVSAILKRHHRYAVLTLLRTESLHDESSHRTTGAHDQE VEYLMTLAGSIGGQDNFDEVYDNVLKDAMTRLESHPCSLKLVAPKVSTNNHELPAIPD SLPGAPPDVKAHTLQPDDPLLTTLLDILEKFFINPVDTNLSVTETLTDLAICGYMGIE GWMLRHPKYYSYDEDEEVEEASGFVPGGSRDTDSPEYEAAHRAASRRLCRKRPRWEST GLPRLLVILKRLCDQVAGYRESVPRFDDLLQQRREAFQTADSAPVLPTPQPKKGGSQA PASHHTPDRASMDDPRSDSPARPSALEGFAQRLLSELGTPSRSGSPRGRKEPSKTTPS QGPSGLSGGYGIGTPTMTPTKGVPVPPKEFPLNYDAPSRSGGVGRSYSPGSTTSGRDD YTGKDPTVASQMAAFAAIDQSILARRVGIPGRTPAVPLDLGKTLDSETSAPVEAQAQA PEGDAVAPSEGSSGGDEAIAGTAEPVEEEITVSVSHVLTNALILQSFLMELAGLIQVR AGLFNEVRFV
SAPIO_CDS0389	MRTSVFASLVAFVGLTAAEDILDCGGAPYYPSQYVCHNGNFLCP IIAGEPLSYCNGACYSTFMYSCNNGALNQLPRHEGAFTLTVSNPKIAAHGWPVTACQQ HLWIGGQTCSYCPADTIGQENCPPGDKTALFAPNGLAVSVPGGQLYYLDPSWYVGYTQ AHSALIPGGSVVGGFAAFEGGGFVNINEGALGWVACYPTASGGGGGKWTLYSRNTTNP DIREGCFAVNLAIAAADSPAAWQRYALILYFSRNDMPDLSDMVSRATVGLEPEQVERL VVHLRESARVDHQRRTDLSSLEPEHHQAFVHALKNVLSTELALFTFAQIIDGLPTADV GWDRRGHGLWGDHPLESHEELCPGAMKKARELGHSWDPETLRFNQKLVSGFRKAKKGS KAFNLRLIELVAVAVHQLAATVFKMNLRLHKGDVDSVVRWVPHREEEATGHVLVAPPT IFYHPGYLADDIYPEKVADIVGYWAEDRIFGGVVIFDRKAEAEASANGHDADMPNVYL HACRRRVTTRITQLRDDQQDSLLSFFSSRSPSSHSCPLPILLDKQNSVRVEPYYATIH HRIFRDVWERRPLSRREISILVRRPRAEIDYPEGRELLEYINTLPLSSGKLPNSPPDE YSLAFDIIEADIIEAVRRSAPETAPETGETRTGLLPSTSTGPDGAPGDNTSEEPDSKR RRVD
SAPIO_CDS0390	MPSPPPRMSPLPASAEPLPSVRILNQVEEDPRLLSVFPRNTPEC RIVTFAVHMGLSILETGASRRTLVDTGMRVLDRWGARGMRSRAVGAVSRTPNRQAMMN LVDDFLSKCRADSPNVILSNRVVGDASTERYTWYEPQNGHDFDPKRAALVFVNSTIVR NAIQAADRLDREELRKFLFLLGISVAHELVHMFVGYLSGDNEDDTPPTIGRYPPGTSG IGEAGMHWENVLFNGFVEAMYDSSNPLGASQAGIYYLTTPSARGRLLNRDWMLRMLRF GFDFPASTTGPEVNMRRLRPMEQLRPRSDYQMLSQVVTNHDRMMAYINNQPSICFRSS DIERLMEMVDNPRRVRRD
SAPIO_CDS0392	MVRLANPLAVLGLSSTLALAGVIPRYGYDYGTIVTTTATITVIE FPGHCASISTFSSHATLTLGIHLPSSRASETESEGAGSSYTPPVLSTKSSGPIQPSSS SEAITTLTTTSEITEVVTVPTLSSKASSGLPPSGHWYSGSTVSTWSPGIESSSPEEPT GPDGLPYEPSTTVPHSTVPEITSAGPIQPSSTESHVVGSETPTYASFSSKSHAGPGLS TGLTRSHHSTRLVSSKNTHTYAPTSPTGATSDSPHQPSSTGGILSSKSTSRVASNPHY ASTSVSDSCGEEGTSTQWTSVVGSTRPTEHTSIRGRSTLRTVTGASPVPTSKSSAGPE ESTSYGHTNTETCVSTGVESAGPTAPESLGYVTLPSTWGSTLVPTGGESTLTPVPTSE TGVSSGPTPSAGTSRKFTRSRRWSTERHPTIPPPHFTQRPSKTTIQGPLPTREYGTDI RHPVPSTEDSHPITQSSVASSYHPTYRPSSHSPNPSSPKVDEPASTIDSSSPEEPTIP PSYTQTNVASASAPTVPAEPAESYPAVSETSTEDLGPIEGTKTAQPTTFATTTTRGYN PGYGYEYRGNWDGNKGRKGHW
SAPIO_CDS0393	MSAAVKRACDACHRRKVKCDGINPCRNCATAQLSCTYNAIPQKK GPKGSRAKVISELRETQRQTSLAARVQNRVAGLTAGPSQNPTTAGPSPGMVTGELVKE CLEFFFSHMYSNMPILVRQAIEQQSMFMEQNRDVYCLVTSLCAFVMLQPGMTMPSSDP YNLDIMPGSNLIASTLLLEEAMRVRNGYEYLDTPTLNSIATSYFIFACYYGVESHGKA WYYLREASTMIHMLEMHKEDTYRQWDPVESARRRRLYWLIFTTERAYALQRQRPVTLQ ASINLPTLADDPTDPLAQDLPPFLALVNAFRLFDDSLISTWNKTAANFTSAYTTNLQK QLNDIMPSYLCQDSQFGDMSTNQQWLKTTHWQLSSQGEDNGMGFQFPAEVAREMLASW ASQFPGQGVQLMGTGLIEKLFEIANDAATALSHKPMSRDRFTVGPRERLSQMLNIVAV LRNGDQRFIPLLFNKITEALPRLVTPMLQNAPECVTANLANIDIFDGFGNAGMAQPPS GQMGLSLDTEDLGKFDVSDYDRKFSLASMGGASPDSNLGSNGSGPMSMPPGTSPDMSQ SFTASPPIMSPGIDFSQNMNDFSFPDMMMPRLGGQNTQPQMNHNHHQQQSLPHQHCGI PTLQSQNMNPQQMHTHMGPNTMGQPPQQSIGVLSSQGQPMGNPPPRMMNQKPGMQGQM SMMHGMSPTGRKRLGYREQLETFMHYNGHSPSNE
SAPIO_CDS0394	MTLPDRPPPPPPPPPPPSSQQQEPSQDPSKPTTGRKRPYRPKVK TGCTSCSTGRTCDGYEDLFRLVQWAPNQTPTSSQPPKDNAPKPAFAVTSAAAAATSSA PVAGTPASGSQKRKRQASQQPQKQQQRQQQQQQQQPPLVIASSARHLNRRIYPIIGRL FFSFSHSLKCIMARVRHCALAESAKRLLADLGISPSSGLQPLSLANTAALSPIAP
SAPIO_CDS0396	MVSFRKLCVRGALTLWSLSGAAVCQDEEERALPSLRDASIDDLS QGLEQGLFTSVDLVRAYVARIEEVNPELRAVTEINPDALEIAAALDAEREAGKIQGPL HGLPILIKNNIATADRMNNTAGSLALKGAKVPRDSFVAKKLREAGAIILGKANLSQWA NFRSDNGTNGWSADGGQVLGAYLEAQDPSGSSSGCGVASDLGLAAACIGTETDGSIIS PSQRNNIVGFKPTIGLTSRDLVIPISEHQDTIGPMARTVKDAAYILQAIAGLDKNDNY TSSIPDDGAIPDYLSFLDKGALSGTRLGMPSNILEIFGIANPDHPEGKAFYVAFDVLR IAGATIVSSNFSAFEDPETFDFDLESRVLNADFVTNLAAYLSQLTENPADVHSLADIR EFTRSTPAEEYPDRNTAIWDVALDEMGYNNTDPRFQEDLELLRSYGGEGGLLGVIEEH ELDAVILPTSVASLFAALAAAPAVSVPMGFYPEDAEVVKNERGMTTQGPGLPIGLSFL GSRFSEAKLFGLAYAYEQRTNIRSTRDPLILPKTELEHCIGRDACEKPRRSRNCATSK RIVKNDVSASQLGIFAKEL
SAPIO_CDS0397	MNPDHSIFLPAARPPHKPWAHALVYFVPGNPGLIEYYNSFLKFL RVLLDRSQREVAYDIYGRSLYGFHDDDHVPFTNSCPPYSLEEQILKAYANVAERRIED SAKPGENGKPYDFVVIAGHSVGAYITLEIFHRHHHNPLLARHLKLYHGILLFPTVTHI AKSPSGKRLNALNEYSFLGRNVHHLAKALLLPFPNALLRFVCRKLMGFTPEAARITVE FLRSRDGIWQGLHMGKDEMATISDDRWDEELWEVFHDAEEHRHQLPKFMFFFAKEDHW VANDVRDEFIQKLETHANREGPEHKKGRTEIVVDQEGNVPHAFCTREMGNLVVADRVV TWLNQIQESFASYDPDKERETRILDEAKVLAGTIIR
SAPIO_CDS0398	MALDAGKPTYSTGDKTSFAWVTARERWPVIITSAIDDVYRSVHA ATDPEAVQEGKKILESLASLKYEIQHDRQLTPIEDDGYLDVSLYNDELAKLGPPTWLN VSWLYSECYLFRRISTYFTKTTHWKTYDLFARQKIKTFRSSRPAVLELAARYRELATQ LQSGDHIPDEAEKLMFTEMCEVCLWGNATDLSLLTTLTYEDIQKLQGAKARKESEKNI LVNDTATAFELLKKAKAEGKAERRVDIVLDNAGFELYVDLILAGYLLSSGLATHIVLH PKSIPWFVSDVLPSDFAHLLSSLANPKPFYETPSDDENLQGKTPAPLADADAENLAFL FQQWSRFHAEGQVILRPNRFWTHAGSFWRMPSEAKDLFEDLKLSELVIFKGDLNYRKL TADTDWDPTTPFTTALGPLGPGSGINVLSLRTCKADVVVGLEPGVDEKLRAVEGGGGD SGARKWAWNGKWAVISFSPRE
SAPIO_CDS0400	MFGAQAGRGQPLNGQSGRNIQQLLYNFQQPHATHQHAGPGQHHQ SVQPDPNAHNGGNPNLSHPSNYSALTTANPYTTNTLQNGHTATPSAGQGQAINEHWAK QLRLYKDAERAHIAMTDQHQPHYYARLKASENKGIGLPAISSTGTGQGANGETEDMRR PMAMEKVAKRQDWHNLDLSGQGLRNLSPSLFKYEFLRELYIASNKLTRLPPAVGRLRQ LTHLDASYNEISELPPELGMCTYLRSLLLFNNRLRTLPFELGSLHLLEMLGIEGNMQL APELRQEIMEKGTKSLITYLREQAPVPEPPPMREMITIQEDVSTNLEQFKVLTWNVLC DKYATTQTYGYTPTVALNWDYRKECIFQELRFRDADFLCLQEISTEAFKEDFSPELAK SDYKGVQWPKTRAKTMSEKDALSVDGCAVFWKGSKYVLLDKQVIEFASIAINRPDMKN QHDVFNRVMPKDNIAVICFFESRLTGARIILVNVHLTWDSALADVKTIQTGILMEHVT KLAEKYARWPAVKDKKLFTFRSTGEDGQDAAPPAPEPAPSQEYRNNTDIPLLVCGDFN STEDSSVYELMNLGRVPPDHLEISNYQYGSFTRDGIEHPFSLRDAYAHVKGTPDQLPF TNYTPNFADVIDYLWYSTNTLEVVELLGPPDADYLKRVPSFPNYHFPADHIQIMADFV IKARKDKKVLPEPDFGSGSGERNR
SAPIO_CDS0402	MTSSLPLLLPSLLNPQPASKPHLHRCSSSTPRPTRNAASIHKPR PPPPSYRNLQRFFLLPTSVHVPRQPRADERIELLFLGRDYPLGLDYFRRRLHKAFIVN AGVRDEGDIEKCIARAEFVKKEIEALYTLKRYRTLRHRYDPFD
SAPIO_CDS0403	MTSLTARYTQYTTLLSRIGRCLCFGCTPEQTRTAAAIASRLTRA WPHAFVEAEGYVRLPLDELRSEQNAERVSVEGGKGKTVNEREVVDASRRAAELARGRL LDVLFGVGKGRESGPVGFVVDERVVPLKDAKIPSRDSFTAFSRFGTGPSSGPTILNRI PTSWKPNGAGDSVVIQSAVFSEASMRPVATVESLLKLRNGQGQDLDDWVRGNSEVLSR LLRELEDGERRGRTEVEDVERAVDALERETWNREDAVEDMGSAKS
SAPIO_CDS0405	MVSATPMRNDLHDLLNSSHLIWGRFGTKAAIPFDGDMAGLTVRS AALKVLPQWCLLPFHLSLWVPSPLPETVDLTDAESDSEEAVLSRRPSPDTLPRPPPPP LLPPLLVPYNEGTANKVDKQQLAKGFVYMVKVFKRINGTLAELQKDPAKVKLNCEKYQ RELDMPQAEESAARRKIASLAKQNKELGEEVANKEARENCGGGATGLERGPFSTEEFS TKIVRPILRLIRRRRTMETPLSLPDGNIVFPDICQQQRKRLGLGPMADQSLTKNPGHD VQPAAFDGSAPPRINLATYLHEALVSLGGRNVDVFDPTNSRNAAILEDVKSATAEHAH AVKTDADGGLTYIFGIAYKEYLCLPPSRRAEMLYFTLGKSPVLLRTVELCREWVPARD TGHYPPQSNSSTDSSAPQPLAFLSLLPHPTIKGFEAAPCILSCELVCGGDEWLSIQRV FHLGLWSSSQKPSYMAYSPPGERD
SAPIO_CDS0406	MSFQRPLSRAVRCAARTSRPAASCLPLPIATRRQYQSSARPSGI IPVPYITEVTERIICLYGSIDDGTAASIVSQLLWLEAENPEKSITLYINSPGGMISSG LAIYDTMTYIRPPVSTVCVGAASSMAALLLVGGEAGQRFALPHSSIMIHQPLGGTQGQ ATDILIYANQIQRVRDQVNEIYRRHVNKALGGDKFDRKMVSDLMERDKYLTPEEAKEL GIIDEILSKRPVPPVEGQEGSGGSDGKQS
SAPIO_CDS0407	MYDADLCQLQVNMDDSFHLPVDSRSRLCQFREENLRLVIHVQVP PVKEEPSGISTSLSVGLTPIPTPSTGRRCGFYALIGSMQAQHHGFPQSTLEDLDQAFR SEEVAAANSEFGLDNTDNLQANQLAAVIRHWASRNAINIQLGVDMDGGDSYLIPIPEG IQSAPITLRIHNNNAEELDGASMSHHSALAPLPPATVDPVNLDCDDAEDVDTEELFRQ QETGALKRLVDQTGIRKYLSEYYGLSAEEVGQLLINRDLLGGARYDKARPCNGAFVGH VGQAIGNVRCSKHDNVPMMSHNASIVLSASTAEEWTREIDEYTSHVTFASFSKNLDKA RRLVRCRKENVDPEKYDKMIPRHLLRDPKISAAETEADVEENRKKGREKYARMDPEKK AARLQRQIERLSSYIDPPIHRQIRHREAVSTVLATWFPYPHVRGRTFRIYPREYGRSN PGKHGLRVEVLGDLKQHPSAQLKDSFVNTTDSFQGRENQLVILVLCVTAATGPCFTAS HQRICVGLTRQIGGLFVVGDIDTLAPSARVNKPKPERARREKLENRVATVNADPYHTA DNKDYGWSKGEDDGGGWGNNCGDDDGQDKPAAR
SAPIO_CDS0409	MAELALAILPLVVEGCKLGVKCRHKLSSFRHYMESVEEIRVLFS VQVRNFLHECEQVLKHVLEKHQRVQASQLLDDFDHYLWTSSDLEESFHDYLGMSQEPF KNLVKLISKKVASLESDLSRFDEVDESGGEGGSVTHRISNRNKVVLDEAVFEKDIEKL RRWISDLRGLRERASPERLAGPTRAQIAQISALQHHNTLWWTEDWTLDSLAFYQTRMG ELSDDLQTLHLTADLPNRTDTSQTAQTLSEAASAVEVRRAMNRRGIRNLTLWCVGVAF LQIGLWRPIRWDDDEEVRESLPSLERLSKSYFDMTDKLINCDFGKGARLQNLDLQNEV YKTIFGCIPTSLYRKLERGYSSIILWSYDYGVRHGKLDLALAHSREIREATISFLVRM IDILSARLIPLTSLAQDANVLEHREIVAVTRSEVLASLSTWDGQDEPATSDDTRLRRD DSLAKVASNLKTLAQALMDMGPLFDEPLPDESFEETAAHIDISTEVSNLVALIIYVYP KCDKSVASGIANSLLRTLRERRTSRDMAEISTASEDQHPLPKLVASAKAMTIADSNFV DSALGTSIGTSSYAETIRSYKGGSDLPVKIELPKLPVRGQAFRCEACDKIVRVADEKA WKPRRHLMADAKPYMCIEPACVLKFKPFGFKFHWITHLSQHYSAAKEPVVCPICQVSY NISNGGVRLIAQHLSYHLEPLAAEVIIPGVTFAGPNADDATLSEDDDVSTATEALGSD GPGTSPRTRIAPEKEKMAFYDRPPRLLCHLCDDHPQGFRGSDELSQHMKSKHPNVLTK WQIRDPRTVGIYSSVEPITPLSKCAPCRSGRKYNAYYNAAGHLRRAHFRRKTSSEPSL HAPAEPFPSMSELKNWMVKTEIEEKPPEPNFDFMSISDTNIENDTSGDDVLDIKFIVD RDPVFHVRARTAVDTPLRIGRHDTHNASVPAAPSTGTTSQQQPQQQPPEQRSSAGVVR PAVRADETKVSREKREGVLPLTAGSSAYSEVSPSEACDWCRDRRVRCERAKDGPCQQC MKAGRICVVRPKETDWLGKSLGKRLNAVKTRLWPSLERPERRRQQAQIPGGVGSTSGS SHGVGRGEAHVSDDPPPDT
SAPIO_CDS0410	MVARNLFLAAAALGSAALAVPLEARQACQTVWGQCGGIGWSGAT CCASGNTCVKQNDWYFQCLPDSQAPQPTTTSRTTSSQGPIQTSSTRVTSAPGTTTTQP PVGSGTATWEGNPLSGVQMWANAYYSSEVHNLAIPSLTGTLVAKASAIAKVPSFMWMD TQAKVPQMESALADIRAANNAGANPPNAGIFVVYDLPDRDCAAAASNGEFSIADGGVA KYKAYIDSIRANVIKYSDIRIILVIEPDSLANMVTNMNVQKCANAASTYKELTIYALK QLSLPNTALYLDAGHAGWLGWPANIGPAAELFGQIYRDAGKPKSVRGLVTNVSNYNAW SLSSAPSYTSPNPNYDEKHFIEAFSPLLEAAGFPARFLMDTSRNGKQPTSQNEGSGAG VRPTTNTGHNLLDAFVWVKPPGESDGTSDTSAVRYDYHCGAASAHKPAPEAGQWFQAF FVNILQNANPAF
SAPIO_CDS0411	MKQCTFIQNLPRPRLLARRYDRTHAYSDEAPRRGRMPTLEAADR ARRQDESEGVIKVDAENKTVETAAGTLPLSPVMNPNWIATAQRFTKPKESPKPQPTGR WRRLFAKNIYAQALAQPIRACALTSARLPQYFLQQFRPVLNPATQTAWWMPSELTRKT RTTSSDILVQNNSTLNVVEGRRGPASYVLAHRRVMCRGQIKPLRRSWISGRLMSPRSR DIQKLPGKRPPVWKEGMDRAVLGMMRDEVVADLLELAKAVEEEGKLFIVPVKEWADAE PVKMKGCILWWAGRQAAENGEGAETTDEDSMSPRPYTTLDVKGVYGSKLPVHNLHSIL GAPHLARLRRGSAIFRDNTMAVVARVKTIPVQKKLWKIHGYVAGR
SAPIO_CDS0413	MKITKKFDRAFHWAGEKMGSEAKTSHSDEFKMLETEMALRIDGA ERLHKSTTAYVKWAGKRNEVFEDKEKGLPGSYLGRTMVSHGEDFEHDSEYGNSLIAVG RTNERLASIQEQYTAEITSTWLESLNRSLAMMKDYQAARKKLESRRLAYDTSISKAQR SKREDFRLEEEVRSSKAKFEEANEDVLRRMQDIKEAETDSLRELGTFLDAQLDFHERC TEELRRAREELASASRRGSSGGRVTVSPPRSRPSLLNYSYSSYTSENSVGREQQHVYE EEYEEPEQHPAQRMGSVRVSTRRPPPEVPSRAQTFGRSNSIVGRSPPTPSPSFEHRVS THQMIPIPTGNMRAQLRPVSRINTDVTSSSSGSSYSRGHNNVFTDGYDDETPDSASLS PEWGPSDRCASPATSHSSWSRSTTGSSFGQRKAPPPPPPCRSKKPPPPIPPKREFY
SAPIO_CDS0414	MRPVAPSLDVMAATANANAESNDQASRSSSTISSPLGPDNEESD FFMNNDDSETSLTVPNFQAMNVRDSSPEEDAIQAPIHRLPNEILISIFAKLEKPGEIL QCMLTCKRWARNAVDLLWHRPLCSSWDNFEIICRAMRNEKYQNPYFAYHTFIKRLNLA ALADSLNDGSVMPLGICNRVERLTLTNCEGLHDTGVMALVENNTSLHALDISGLAQIT EQTILAVAAHCKRLQGLNISGCHKVSNESMIELAKNCRYIKRLKLNGCHQLRDDAIQA FADHCPNILEIDLHECLNITNEPITALFLQGRALRELRLANCELINDGAFLSLPSDRK YENLRILDLTACARITDAAVQKIMEVAPRLRNVVLAKCRNITDASVYAISRLGKNLHY IHLGHCGQITDEAVKRLVHSCNRIRYIDLGCCTNLTDESIMRLATLPKLKRIGLVKCN QITDESVIALARRHTRARRDAHGNFIGNDYYVSSLERVHLSYCLNLTLKSIIQLLNAC PRLTHLSLTGVQAFLRDEFQRFGREAPREFTEHQRQVFCVFSGNCVNQLREYLNSASE YQNLRGEGSRFRITQPAAGSVQWIPLIGPDVGEEQDAEGPNMLDGSEVEANGVGVPPP PPPPPPVPATLPGPPGQAVSILATQAPSPEGTPESGL
SAPIO_CDS0416	MEQATAKAQQLIDQGNVVVFSKSYCPYCKATKRTLEQLGADFTV HELDQLPDGSAIQDALETITSQRTVPNTFINKKHIGGNSDLQDLVRRGELEKLLRDAN AVKL
SAPIO_CDS0418	MLARLSLVLSALTIGSLATSTLDKVLTRSEVDLGALAPVTVDDG VSLQWSGSIFPGEEPTFLYGDIEEIYKAILSVNPDYQAAEASPESWETFGVHTGTSLE KRQNGYSCAVMATGSFDLNVRFRLASPSFSAPRSKQCRLLTPYPMGD
SAPIO_CDS0419	MLAQTAVVLYALLTKSFVSASAFSLQGRSRTETALAALAELTVD DGISMKWTRSIYPGEEPVDLYGDAKDIYEAIRVVNPILGQKLCPQSGRLTLVWTPRLV YSNGRVQLVAWSWPLAVKLTQAMLRNISVPSRAIVVLRTENAAV
SAPIO_CDS0420	MKWRWKFACAGISLCLFLGLLGGFDLFYREDPALGQYKLIIEEH LSRLGIGLGLRTAEKNPEVLHQENLKKAPKYVTAILDPSDHHFKRLSCPRLNKRRYEY LIPSQSTSGPTYFFALNLINIAHLLPRLMGSIVETLRFLGPENCVISIVEGHSEDGTM EILEALRPEIRKLGAEYHFLRSEITPNDGRRIEHLAELRNMALEPLLNNKTHFVEDPT VVFLNDVAICSEDILELIHQRRHIGADMTCAMDWSHVRRYPTFYDVWVARSITGNSFF YINPEDVNWDQAHLLFFDDPAALKRFDDHRPVQVFACWNGAVAFDARPLLKDGIRFRD SREGECHMGEPTYFCKDLWGKGYGKIAVVPTVNLEYDDKHGAWIKNKKGYVGDLVKGD DGAGDMVEWKGPPEKVLCMPGWKDQSWVPWDEHLR
SAPIO_CDS0422	MMPPPTYKLPNLTAIPITPETLSAEIKSTSILRVRLEQLSESVE RELADEAKEELESYEAWIRSVSDGGSVNTESQEGTSIKDEQRAGVLDEINEIKFRRKY ITNQLRLLSLQKSQEYVLPILPHPNSLLLFSLLFFYHDSWEEAKSSSTCLHRRKSQGA ESRISKLQKYNEIKDIAQELMGLVADNRNVTVKTLYESGEFGVDADD
SAPIO_CDS0423	MERPDPHTRRKGTHSILASILFLLSSTVDATCYRRDGNPVVPNK DIATWRECNPGAGVTTCCSEIDLCLDNGLCLGFGGPGVFYIQGCTDSSWPKSSCPAYC DGPDDGYGNIRVWRCTDDGNYCCGFNDCCGDKTIARFSVPNPNSGAHPPIPGSTSPSS PSSASEQTQTRSSVDVSAADETNSSAPESVSAGSDDAALRVGLGVGISLGVLLLALVG WIAWDWRRGAGGDIFAGGGHVQNNYQTWPPPQEVSAHGGHGQNYQGWPPQEMHSTTPA RELYGS
SAPIO_CDS0424	MSSFEQVVVIDGKGHLLGRLASIVAKQLLSGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKMTRYNPTRGGPFHFRAPSRIFYKTVRGMIPHKTARGAAAME RLKVFEGVPPPYDKMKKMVVPQALRVLRLQPGRKYCTVGRLSHEVGWKYQDVVARLED RRKAKAAAYYERKKLAQRQLSEAKKGAKVDAKTVKTLESFGY
SAPIO_CDS0427	MSWAGFKKNVNRATTTVMMKTGHVEKTNDRDYEVEERRFKTMEA ASLRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGAKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPITRFCSYFTDVNECIKKRGHKLLDYDALRAKVKKLVEKPDKDV TKLPRAEKEMEMARAAYEQLNEQLCTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDKDTRDQYAEGQLDAKVEQVLQEIRELSISGTV
SAPIO_CDS0429	MSLEFNGTEGVFDSGRSAGVYDMNLFYDSGNLAWITVCSALVLL MIPGVGFFYAGLARRKSALSLIFMCMMSIAVIGFQWFFWGYSLTFSHTGSKFLGDLSN FGLKNVVAHPSVGTDALPDILFCLYQGMFASITPALAMGAIADRGRVLPAMVFIFIWG TVVYDPIAYWSWNGNGWLFQLGSLDFAGGTPVHISSGVAALAYALMLGRRNGYDKVRG LPYRPHNVTHVVLGTVFLWVGWFGFNGGSALASNIRAVMACYVTNLAACIGGIAWTLL DFRLEGKWSTIGFCSGAISGLVAITPAAGFVTPWGAFVIGLCGGIFCNFATKLKFLIG VDEALDVFAVHGIGGIVGNLLTGIFAAPYIANLDGSDPIEGGWINRHFIQLGYQLAGT TAGFAWSFVVTCIILFLMNLVPGLSLRVKVDEEELGLDDCQLGEFAYDYVELRRHPGD ALSGNTTSHASTEKIV
SAPIO_CDS0432	MHCSRSNRTRLWGGLVCLLAHVSVSTAHLVARAQPAVSLPTDAP SPIQAADKASTTTFEEPRRLQLLKRAEIKDIDSYTHLGCWEDGSNHILASTYGFDNGM TPELCRNICSLQKCNMFGVENGYHCYCGNSIEPFANSATDDACTRTCWGAKDVICGGA GRMNVYSATVDFPAGKQFGTNGSGTSGATSTRRSSSSTSSPTQDADSDADAEESEDSS SGSSSRSRKGSSSSSKKGLSTGAIVGIVVAAVVVLCVVAALLYFRRRKRLAASGHPGP APVAAATAAAGPEKTFANSAAQAPYFQPQPSPAPPYSTHAPVSTYPSHGPTGTQELQS SGVHEMDGSRR
SAPIO_CDS0433	MGEDAGHTVPQGGGGGPKAPTPAPKPPTTLKLDDVTPKHSAEHA KAVEGDILSPTMPMTPNPFLSRSNTLDIDDYFVGPRDISKHSKWPLFLRMHGSILPKM IIPLLWIGAWATTITCISKFAADLGINSVLLTITGFVVGMGLSFRSSTAYERYAEGRR YWAQLTLASQNLGRIFWVHAQEHDPDTEKRKAHLLQAMTCTNLIVAFAISLKHKLRFE PYTAYDDLAHLVAHLETFSGTATEKDPSKAELPRKNAFKEVGEYLGISFAASNPRKML KKADLPLGNLPLEILNYIGRVIDKFIAEGKLPVPMQQTLAYNNLLALNDVLTGTERVL NTPLPIAYTIAISQITWVYVMLLPFQLYPVLKWVTIPATIAASYIILGILFIGREIEN PFGQDVNDLPLELFCDQIATELDVIAAQALELDNLMEHVESGKNRVLFPVSSAPYKSW KLRSEERLREAIKKKPLATFEARFHHVGETADARKNEAVVGETKV
SAPIO_CDS0434	MAIPSRELVRKGSNSLAQLCIARGLFDAATEIIYSDVEDFAYGA KTSLSALPRVAEINRWLERSPLSIMDEPNIFHLMVAVNPGGGKGLSAVGWTPAESAAA DARLSSILRGRQRNLNDSILRRGEVPTIYSNADRVLARIKASNSL
SAPIO_CDS0436	MDAIEDIRYRQSTQFRIWSYSRSKLAELRAKTNALATTHITNRL AAAQDGQPLPEFLTPQEEEKLLKFFTVELLRAAAFCELPTEIRATAAVHLRRFYVTNS VMTYSPTELLKTCLFAEKFPNTTAEEVVAGEFLLCQGIRFCFDVRHPFRALEGVILEL RRLYPDERSRIDRVHAQARHILKFSPLITDAYFHYTPSQIMFAALLMADPELAERFIQ RSFDFTASQASANGKTESPVKPDTEALEALQRKIVQTIKSCRELLETEPPERMDNYWG TAECNKEIRPLLRKLKKCRDPDRADLVALQRARREQAVRKDHAIKPQDDGSVFGGGLS DGAQAREAKRRKVGGALEDPFGPAL
SAPIO_CDS0438	MGADFNGPAKGKGVVGKRVAGLISFLTRQLDRVVSPDTRQTAYS AVYDFAQERPLLASFLFTQLLFSFIPVLLFLTFILSTAAFAFGVALVFTLFWAGVALL VLVPTIFLTASVAVIAYVWALSSYLLARQVYSWVPRGDGQAGGDSHQSVLAGQGGVQV GNGGVAKYESGVGNPGYGTVKIGNEL
SAPIO_CDS0439	MVKSAYLAGLLAACTPAAAQLHNLAVSAGLKYFGSCLDNGHRSD AGYMNIINNKAEVGQLVPENGQKWAYVEPSRGTFTYTDADVVPNIAAANGQVLRCHTL TWHSQLPSWVENGSWTKAQLQSVIETHIANVMGHYKGKCYAWDVVNEAADDNGNWRDS VFYRVMGTDFLPISFNAAKKADANTKLYYNDYNLEYNGAKTNRVYEAVTIVQNAGAPI DGVGFQGHLIVGSTPSRANLATVLRRFTALGLEVAYTELDIRHSSLPASSSALTTQAN DYANVVGSCLDVAGCVGVTVWGMSDKYSWIPQTFSGQGDALLYDSNLNKKAAWTSVSS VLAAAATGNPNPGTTLTTSTRTTTTAPPATTTTAAPGGGAAHWGQCGGIGWTGPTTCQ SPWTCTKLNDWYYQCLIEMPVYTVPHTDRQPAFNAALNHSRGSSTNSKGDLNKLDLHP KEMKKCLGKHIKGRDLKPATDRLVALGEKGKTSLEAEKPSGSGQKDEASGTWSSPDME SGSDNRQPRGSLALAEFIKPDSSATKC
SAPIO_CDS0440	MHSLKGYLVGLTLSLMLVSETTCHPADVGSSLLKRGRDFGGGDG HGPGFYGGGDSPSWNGDKPDYGDGKAGRGDWPGYGGPHGPSPEVNAPEVPKKPAPEKP APEKPAPEKPEPEKPKPEKPKPEKPEPEKPKPEKPEPEKPEPEKPAPEKPEPEKPKPE KPKPEKPAPEKPVPEKPVPEKPVPEKPVPEKPVPEKPVPEKPVPEKPVPEKPIPEKPV PEKPVPEKPVVPVVPTTEAPKPVVPTPEKPSTAAPVVPTTEVPAPKPTTEKPTEKPAP TKPAPSPEKPSPEKPETSTAEAKPTNVPVSAATIGAIANLGLLAGALVMAVLA
SAPIO_CDS0441	MARLASTDLDEAFEAARAEFVGSLKRRSLPAAQALSKATTIQHV LDAIAEVEAQQQRSGKLRALGRLKPLVNGLKEYAGVVEVFIQAKQDILSLIWGPLKFI LEATSRVITLFEKMIEVFSEIGRILPQFQKYREILEDEQIQPVLTLFYKEILALYSTL LDFLKHPGRNTFLESIWPNVRSKIGVILDNIKDHERVLLSHVTLEHVLQSHRERKQAL KAEEEAEMARNRQYWKEMEKEVVPKLYDVRLYEILSDSSFDSGQWLEKNTRFRIWRDG MGKNRCLWLSGIPGAGKTYIAGNSLRPEILRSYSANERKFASSPEWIRDLLSRVLHDK PVFIFLDGLDELDEKRRGETKCREEILQDIFHVVDSCPLVRLLVSSRVESDLKRQLSA KRTLQLRVHENNSDDIKKYVDLECGRLVNRLREFGANEEICSQVQDASAIVVERAGGM ILYASLIFQIAMDLGNVEDIRAEFAGLPQNLDEAYGRVLSRIRSSRVPNVREAARKVL SWVACQKRTLREEELLQILAIVPGTQDFTKGRKDHRDILQACGPIIEIVDGFIHFVHF SAKEYLLGEQSNHFLVLRDAHVEAATICATYLSFSLLDSVFECNANPVQHEIHDGGYV FLNYAVLSWIHHVKEISVPGAHENNIDTPALGTLVACLKRLLEKRGQPFQRTASAKVL LPSFNVFRNHRDLQSSLCGIAYFMGRAEHDLLGEGSIDGDGSQPNQDPTTLLTGLKMF RSKLAANFCEDAVHVSECRCENLVRLYGESIYYCNQYHCPKFRDGYKTAEMRLHHMRV HGRLIRVPEIGSGASVDKIPFPVAESPQSETNIDIVNLSGDDLDGMLLDAVCSNQIEL ASKLWPSSGKLPGNADELVQFAAWKCSPEMVRLLCSAVASAMSNAQAFKDVLNQALAV AIETENLTNIRTLISLGAEVANRSSMSALLAEALFGEISSKRYGKPITHKLGLPD
SAPIO_CDS0442	MNGANWFNYEDTSNQSPFCLHTTDFDAGITVGQEASPESIGLRD SNLAPSLAFDANNVEQIVPDPEPSETPGLSVERVSAEEVEYDTCFGTIEDHFVTATPI KDANQTKVVVITGGGTGVIRVAETNVYRGLISGKLFEALKELKERCSVMLSAVVKAPK ILSIVVYGLSSKSETVGDILLEHGFYLQLPDSFDQSVPYENPQSFSLPGFLSNIPAGM PIRESEKSSVTKVPVLNTVEKSKLSALLDSATGPEKFREVQASEKLITELNHFVTISR VAASSGGLNFALEAYWQITAELEGPNFKTL
SAPIO_CDS0443	MTLSKTLVSALLASTVAALEPIVIKGSKFFYENGTQFYIKGIAY QQNTGAAGAGVQDAKFADPLANPAACRRDVPLLKELGTNTIRTYAINPSADHSVCMRL LEEAGIYVISDLGEPNLSIETDAPLWDLDLFGRYKSVVDELSKYDNVIGFFAGNEVTT NKTNTPASAYVKAAVRDTKAYIKEKGVNLYVGYAANDDPDVRRDIAHYFNCGDDQSQA IDFWGYNIYQWCGESTLEKSGYSKQIDFFKNYSVPVFFAEYGCNTGKDGAESRIFQET TALYGDEMTSVFSGGIVYMYFQEANDFGLVELNGNTATRMKNFKALADRISKVDPTTI DSDQYKPSNVPQTCPPVSDVWEVSGDNLPITPDQKVCDCMFAGLSCKASPTLDEKNYG DIFNFVCGTKGRPCDAINGNATSGKYGVFSMCNAQQKLGYVLDRYYKNQNNAADACDF SGQATLVTPTKTDAECEQKLTEASQAASAANGDSGNNKKEDDSSAVRFAAAGLYVAVA FVFGTMMVL
SAPIO_CDS0445	MFADAASLNLNTNSLPVKIKAFIDGPGEHSQERAEHRLVRSHGE TLGQTWAALAVFSNTNSRGGGKRKPVPIPRPNFADSSTIGDDSSPVKQKDESPSPFKF PKSAGDRVDQDAHPKDNSPPETRTLRLVSCAFRHILYYCPPQAGNNPICVVGFRDVRN LYKALLSKDMEFVAEDDGGLSVNFGDGLRIIRVSPVIIEAKKRFECIEDGIPIISDAC FAQVAAEALAKRLSQDTAGSLPEALRESSSTRPSSVYSSAEVSSTGDKTVLVGKGKDG QVEDIFKPFPPQDGIPHEENPLTIRAVTVGILLGSLVNASNVYLGLKTGFTFGASLFG AIFGFGIIKSLTKVFPTGAVFGPQENSIIQASAAGAGGMTGLFVAALPAMYQLDLLSK SPVDDFGRILTLTLVSTATAVTIRSMHAVGSGATDAMKKIKALGIAFAASLTHRIGSY YAPGILYDWHIFTWFYIWSGHTNGALAVENWGWMLEWTPAFIGSGMLVGLNVGISFFA GSVLAWGVIGPILVRTGECVGIATPVEGDPRWDDLVTFYSMSGIGEEGWVPSPRYWLL WPGVLILVAYSMTEFLVHIKVVWLGLKFAYKNGCAQLNSVLEKKRGRPSAFLEKQSAR TAGDDAELVEDFAGPEDQVPGWIWVTGSAAMVVVAAVICKVQFDMGVELAVLACILGV VFAFLSIHGGAVTDIAPITASSKASQLVFGGVTSGQGLTIDGAQRANLIAGGIASGAA DVSTTLVSDFRVGFLLRTPPVKQFYAQAVGTLVAMFLAPGIFVLFMAAYPCVIHPSDF PSSFICPFSAPSVGAWRAVAEAVTNPNVPIPLSSAIFACVLGGICVLQVLLKHFLLTG RREKYRRWLPNWMSIGIAFVIPSTVYATATLMGAVTSHFWRKRWEGNFAMYCFAVAAG MLAGEGLGGVVGAALELGGVSGSVLGTMVGCPGERC
SAPIO_CDS0446	MEECDLKDTSLSSIIDLVKCYPHEHLYVHPFQWTDRHLVILDAD RVEEGCRSAAYFVATPDNTWKPTPIFELLKDDESGPLQCYANYEPVCFYFARKRIFTF WCPTSSPCSDIRPYARAKCSLGRCTESIPYLAFAEWTTMRKLREYFFLWERIDSKGYD NPPVERLQETKLRLLQPKEIANDPFIVALLIALAQLQESEYEYYPDQWAWYNNSEHPP SNLLFEPRLLMTDFMDEDYIHFYSARTNADFLDRFKFPGRKPKKNCSFEVEHVKIPLK PHDTLKDRLWAVVIPGWQDDMPNEKRKRSADDDSAEGSPTSKQRTQSSPDSSSESPSL GNVTLTCRSRPISDQ
SAPIO_CDS0447	MATSTVTATKSGRKKDANLPPESERFLRCCADIANALIEDHEAS KDSSRPAKDINLNSLRTRFARKHKLHNIPPLTAIIAAIPEHYKKYILPKLIAKPIRTS SGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARY DPFEQARGRVDQLKSLGHSVDKVEYIIMGGTFMSLPESYREDFIAQLHNALSGYQTSS VDEAVTAGEMSNIKCVGITIETRPDYCLQPHLSSMLRYGCTRLEVGVQSLYEDVARDT NRGHTVAAVAETFCLSKDAGFKVVSHMMPDLPNVGMERDLDQFREYFENPAFRTDGLK IYPTLVIRGTGLYELWRTGRYQNYTPNGLIDLVARILALVPPWTRIYRVQRDIPMPLV TSGVENGNLRELALARMKDFGTTCRDVRTREVGINEVKHKIRPNQIELVRRDYVANGG WETFLSYEDPKQDILVALLRLRKCSEKYTYREELIGQPTSLVRELHVYGTAVPVHARD PRKFQHQGFGTLLMEEAERIAREEHGSEKISVISGVGVRSYYARLGYWLDGPYMRERK TGDEVIGETDEVNWAMSRLLIIDTLRAASL
SAPIO_CDS0448	MQVFSRARPAGRALLGQARRYTTQSPYAATVDNLRINSNTKVLY QGFTGKQGTFHAQQAIDYGTQVVGGTNPRKAGETHLGLPVFGTVSDAVKETGATASCI FVPPPLAAASIEEAIEAEIPLVVAITEGIPQHDMVRITSILKSQSKTRLVGPNCPGII APGQCKIGIMPGNIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFSGT NFIDCLHVFLKDEETDGIIMIGEIGGSAEEDAAEFLKTYNTTNGGKPVVSFIAGISAP PGRRMGHAGAIVSGGKGGADSKIKALENAGVVVERSPAGLGKAMYDEFVRRDLL
SAPIO_CDS0449	MATSRERRIAKELSDIRADRDKSGVFAEPIDAADLTRLKGTFPA PPDTVYAGGTYQVDIQIPDSYPFKPPIIRFDTKIFHPNISSQTGAICLDILGTGWSPV QTIKSALISLRMLLEFPNPKDPQDAEVAKMSLEYPEQFARTAHEWAVKYAGAPRKEID YSKFKKDESKPKQPAVDYKGYNKDLVDRFVNMGFPVNDVVDAFVYVGIDRNGGEDYEL EEAYMGDITARLLGES
SAPIO_CDS0450	MGQSQSGMGGGDGKDDKDKKKKPKYEPPPRPTTRVGRKKRKAGG TSAAAKLPAVYPTSRCKLRLLRMQRIHDHLLLEEEYVENQERLRKAKAAKEGAGSDAD DRLADERSRVDDMRGSPMGVGTLEEMIDDDHAIVSSTTGPEYYVSIMSFVDKDLLEPG ASVLLHHKSVSIVGVLTDDADPLVSVMKLDKAPTESYADIGGLETQIQEVRESVELPL LHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDG PRLVRQLFQVAAENAPSIVFIDEIDAIGTKRYESTSGGEREVQRTMLELLNQLDGFDD RGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDQNTKRKIFALHTSKMSLNDDVD LEEFIGQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRAARERVLRTKQEGEPEG LYL
SAPIO_CDS0451	MGFFSSKKRKKEEPPGSSSAPPHQLPPRPSTAPHAALAHRPHPQ PQQQKVLTSQSFPLQPSQQAHAGTQTWLCDQQNIPPVPAVIPAASGSGWTGGPTQSQS PPTYPPPPYNGYQRPYPPIVVNQHYYLNSQPASGPYGKKPGTSLDKFSNSVINLTKDV AHDVMPQLYDESVTAWQAYGPQLVNSTVAMVDQIASGFDRIMTLIDVDKIDRNERDLF AFHPQQPLDSSRRGPDQGKSKSRRPDREYHPKTQASGVASAVVSGGYFAKVDLYANSR LPFDLPPLRLADWRTGTRAMVLKSVPMDDKNVIVFAIRGTANFMDWAVNLNMAPASPA GFLDDVGNLCHAGFLTSARKMVKPVAARLRQLLQENPGRSDYSLLITGHSAGGAVAAL LYMHMLSTSRAAESELNILTGCFKRIHCITFGTPPVSLIPLVKPDIPQLKKSVFMSFI NEGDPVARADKSYVKTLIELLACPEPTAVKSSRDNHREKKSSGKSSGKTPHNKDGSKA VVSKKTHSPSRWSSRSPVWRVPNCTLSNAGRIVVLRSKEPKLKVTGRKTIDERLRDGV VAHTASDKELRGVIWGDPLCHMMAFYSRRIEALAVDAITAKGF
SAPIO_CDS0452	MKFVLALTTLAAVASAQQVKACDGKAQACLDTATPAASDCKQGD WYCGCQPDNMSKIQGAATNCVIEACGGAAGALAVITEVQGICEEALKNPPKTDEPAPT SNTPEPTESSKAPEQPTESSKAPEEPEPTASGTASAEPSATGNGTSTDKPKPTGENPP QSSFPTAGAGSIAPVAALVLGLFAFAL
SAPIO_CDS0453	MLVTPTGLQPGQSDPAPTRKLPWSLTDDDMFLLRSTPLTFFTAV IDEIRKSLRILGVATLPRVRDLCTHKIIGGSKLLSSFSCSIVKLLGTRPSRDMTVSLL LHYYVGVANVIRDTLSRLMKGLLERVDPILEMALTIPRVSRALTRGWLGLGKKNQDHQ IWLIVRLLTTFSLLVLYVLPDIFQAWFKKRFKSVSVSMPWSIPPALLVLWSVVWMFYD RPPTEDFQLDDFDFFHGSYYDDLPGVNFDDASLSLPETILAPATSPVAFLAAPDVHHM TQDPLPQLVAVQNNIVPLIETYGNGQRSPDNPPSQYIPRRTNTEHTPTPQRNSPKGAG AFTCASCKTSFTRKDNLDRHVNKKTCRPRAESQVVAQSPATEPGTPPFSESRGNSRGV KRAREGTPVEEEGGSVDKELKKLRKMLDEERAGRRRAEEQVDKLLDIIKDLSKERK
SAPIO_CDS0454	MVNVANPNIKSKLRQSNRAAKLRKIAQKRSAAAKHGADRVSKAD TKRGARPGLLPTSGPRAPISAKKRRKLERKLGYAAKRRMEAEGEVDMKGEGEKEEEME VEGQIE
SAPIO_CDS0455	MFAWGILFPIGMVFGLSKSRWHVPTQVLATALTILGYFLGHMHR GRQFNASNVHAIFATPLFFILAAQVTIGIYLKLHLEKGINGRIRRLVRPAHSILGKAF PVISWVQMIFGGITTLGFCQGDHLGQCVAHFIMGGAFIAYGILLTILLVVGQLWIRRT GRSQEFYDSSVIAAWGCVNTFTEHRWGTDWVKNDWQHTTMGVIWWCAGLAGIWLSRDR DGNPKRNFVPGFVLLITGWGMSAHPQELHTSAAVHTTFGYTLMAAGLSRIIEIAFVLR DKMSVAEDGRQANSFQYIPVFLLYASGFLFMGATEEQMALVETSSMDHVSYILILYSL AFLVFLFANICIYIWDRITSTNALAKPLYTNGHAVGGESRVNGRTPEDQRIRDAEEFE LDGLVSDEEDDSAQLLKRGETRERASGEPGVSI
SAPIO_CDS0456	MFRLAIASLAISATAALALDKRAAVSDCLAEKEVPVSEVGTEDW DWDAAPFNVRTPFTPVAIAVPSTEKHIQDAIQCGVENGVKVTPKCGGHSYANYGFGGE DGHLMLELDRMNKVELDEETGIATVEGGSRLGHVASVLYELGKRDFSHGTCPGVGSGG HVLHGGYGISSHTKGLALDWLLSARVVLANSTVLTASVDENPDLFWAIRGAGSSFGVV SQFKFKTFEVPETVTRFNSILRWNANNSVAGLKALQEWAAEDMPAEMNARVFLTPQIP NLEGLFYGTKEELTEVLSPVLEKVNGMLQDATETDWPGQLSHFGGGLDLDQTHPYNKQ DNIYSTSIYTDALTDEQLESLVDYWYTKAKKVRRGWYHHIDFHGGKTSAISAVSNKAT SYVHRDKLLMHNFYDHVDIKDTYPEDGFDLFNGFIDAIVGDGDKLDYGAYFNYPDPNL DQDTAQKRYWGEALPKLQEIKAAVDPDEVFYLPQSVRPAKKAGSEEEAPKEEAPEEEA PEEPPVEEEPEKKKKKKC
SAPIO_CDS0457	MPSLLSLLLLPTSAVASTLLVSHFEGTLYTLTLSDTSSLSVTGQ VSTGGGMPSWLTLDSEAKKLYVTDEAWFGTTKLSTYAVAADGSLTKEAETPTPGGELH SCLYGGDDGKGFIAAAQYNGGAVINYKLPLSPAASTPIQHLKFTMSSPGPRPDRQDAP HIHSTFTDPTSRFLLSGDLGADVIRIFSIDPASGKLTECPAAATARGDGPRHGAFWGP ADSADSDVTVLFTVNELGNSVTAWDVKYPADGGCLTLAKKKTIGTLPSGKTPPSGSKA AEVRVRDNFVYATNRFDKSFGQNEDSIALYEIDPATGDFEFVEITSAFASFPRTFEIN GDGTLVAVGGQTSSNVAIIERNVTTGRLGGRLANVAVGRPGAEMNEDGLSAVIWNE
SAPIO_CDS0458	METELKHRNVTKTNGSAVPATPAPAPEKEAVAYQPKPEPEAHPA GEIKHGRSVQIFRGVTLGIYFGLSCLAVVLTQLIGAPLYFFDRNLFYSYMAVTKQSFA LLSMSVTQWWGPTVMRISGDASVAGQIHQTEDGRVEFSFPERIVLIANHQIYTDWLYL WWAGYANNPQMHGYIYIILKESLKYIPVLGQGMLFYGFIFMSRKMAVDQPRLAYRLQR LKEEHIAPDGSKYLNPMWLLLFPEGTTVTKNGRAKSVKWSQKMGYRDPEHVLLPRSTG TLFCLRELKGTVEYVYDCTVAYEGIPRGHYGEDFFGLSSTYLQGRPPKSVNFYWRRFR VDDIPLEDDSEFDAWLRERWYEKDALIEDYLNTGRFPRATDDIGTNGYVETEVRTKYA GEFTRIYVVLGAVYLIWRILMRIWARFAG
SAPIO_CDS0460	MAPLNVGIIGYGLSAKVFHIPFIEASPLLTLHTIVQRNPPANPE ATGLSAPADHPSITHHRTIPPLLSDPSIDLIIITTPPDTHFALTTEALRAGKHVLVEK PFVPTSAEARTLAQTARDSGKFLCVFQNRRWDVDFLTVRDLVEKKTLGDRIVEFETHF DRYRPVAPAKGSSWKAGLGAEQGGGPLYDLGTHLIDQVYFLFGLPERVFGKLTTQREG GDDEPDTVTAILTYPRGGPSVHVRISVMSAQTPQPRFRIRGSKGTFVKSGLDPQEPHL RSGGSVTDEGFGKDLYPGTIYLANEDGSLEPGRTWAGVEPTGYGALLEGFAEAITSGD ASKVPVKADEAADVLRIVEAIQESSRTGAEVKL
SAPIO_CDS0461	MTGHSVEVDEAVLDGDSVIDEIALSTSSLNSSVLNYPIEHGRRY HKLKSGSYVLPNDQQEIDRLDLSHFVMVKGIGDKLFLAPIDWEKSQRVLDIGTGTGIW AFEIADTYPNAEVLGNDLSAIQPSWVPPNLKFEVDDVESEWVHSSPFTYIFSRYMTCC ILDWPKFAKTVYSNLASGGWAEFQDFDLTYYAEDGSLTEAHSTHKWITTLLDAARAIG REPNPGPKLEGWVKDAGFQHVQSRRFKLPIGTWPKDPVLKEVGRLNIAQVLNGLEAFS LRLFCDVLGWSEEQVLVLLAKVRQELQSNTVHAIMDFYVCFGQKPEEQEG
SAPIO_CDS0463	MEFVNEYDTNHSPGDLEPLPAYLRHGLAAMGTFGVLSFITSTTL AIIVAYKIIIWQMPSKDSKKDTNERPESPEVFDHNGFLVPTRPLSPLKEEDVPPEKET FWTKLKNDPPNQFLILILNLFLADAQQSVAFLISFDWLAKDAIHVGTSACWAQGWFIS NGDLASSVFITGIAIHTYLGVVKGYRLPSWVFYSSIAAMWTFVYLLNFLAIVITKDGE GVGGLFVRAGAWCWWNPAFQDMRLYFHYLWIFTSLTVTAVVYTIIFFHLRGLKKTGGR PKVRTSTLGRSNSRAKHYPNPDPNWQARPPGSPTSLATSFASSLYKELPPIPDSARQQ TFLLYPLIYIVCTLPLAAGRLASMTGNEVSLAYFCFAGSAIACNGWLDVALYTYTRRA ILFADGPPTQDTGIDTFAFMRTPPTRKFGNVVIVSGGQVNQKDKRRFKAQEKWNEKVA NGGMLGKLMGGKNQSQDSLKGFGMGKGAVMGMAIQCETTTTVSIEYDTSEDKFGAGSD ARTRKLA
SAPIO_CDS0464	MRQAALFSTLALASLVACEDVLYSSRLKKRGIDAAGNFNMSFFH VNDVHAHLDEFSSSGTDCTKPEKGCYGGYARIKHTVDTLRPQYEDSLWLNAGDEFQGT LFYSFYKGEKIAETLNQLGFDAMTLGNHEWDGGDEELGQFLLNLTFPIISANVVSEYE SLNKTIKPYVIFEKQQIAVIGCTTPTAESISSVGEGTKFLDVVSTVQQTVDHIKATTD IKRIVALTHIGYDEDQKLAEETTGISLIMGGHSHTPLGNMSNSAGPYPTIVKNKDGDE VFIVTAYRWGEYVGYIDVTFDDEGKALSYHGGPIHLDNTTAMDQGLQDQIKKWRGPFE EFAAQVVGETKVELDQTTCQQKECLLGDFMADAMVQYRLKNAAEGEKPDFALINAGGI RATIDAGEVTRGEVLTSFPFGNSIVELTYSGEDLWKILEGAVSKWNQFNDKAITTWFQ VSKEIKVEYNPENTNGTKLVRVTIGGQPLDKAKKYRVVTLDFLAGGGDNIFETVKDYI SLDTQDEVLVWYIQEKSPIDIELDGRISIVNGTAGDSDSGSDGGSGDGDDQKGSANRF SSPWTSGIVALSVATLFLTAL
SAPIO_CDS0465	MDPMLMYLIFKLFFVYAYAGGAPMMSNATTTDPTNNDEPQKGSM VPFFVGMALGFSFCMFCLYLAGAWFLQGIGGFIGTLLLGIVRFLPRVIFTVPGKLIVG FGRLVVRVGAWVYRLPDAGRNVNRRGRGAAYLWPGFAHVETYIPAIYTQSLLRKLRNL GAVHTRMVVLSEGPFAAHYAHVRSDEALYRESLNSRRDYVLYELGGLHRAFVSIGDEQ NAALVDQLLSGVQTAFGQAEAEAHRAFRRRGHGGARGGAHGVNQG
SAPIO_CDS0467	MSTTLIFGGSGKVARHLTKLLSAEPGATVYSVIRNPAQIPELEE LGANPIVQSIEDTFVTEITATIEHTAPDAVVWSAGAGGGNPQRTRAVDHEGAIKVFDA YAAAATGKPKRFIMVSAFDVRDREGKPVPDWYKPEDVKRSDAVWNAIGIYMKAKFEAD KDLVLNNKRRGLEYTIVRPGSLSNELGTGKIRAGKIGMSGDIPREDVAGVILACLRNK DTIGLAFDVLGPGEGDLSISEAVAKVAKNKEDTFKDANKAENPRKSIAVPTPVKSFPP LRTSPPIPGNVGAGQESSTPKTLLLETYIGMARASRISVVGLVQVALHLASVLLAIAA LGTLIWNAMRVSNAITLVLAFIAACWVTIFGIVEAVMLLGRKDPRNRLRELRPACFIF FHVFTILLIVGGCVLMAFTDWERTGLVGVSTDASTTESAVESTTFAIPMILLGIVGRP RTVERLLQVLASFHTLQYENGHDAAHEQIPPEMKTTRRGEARRALVPMSHPLPKLVQL ACNSFRRPGERRNRQDRARKSMHALMIRWLTGSKRGNPREFSDWNLEKFAKYADTYFF MGTIFGRHWRRRYTALGNWERRMWIIGLGDGKRSILSHTFKNSRGHVYMCVNVRAFRN QPLDMRPLFPLITTILHELCHVYLMSYGCYCTDECTKEIPRWHGFSHHGMCWVTLFGM VVREIREWDPRLAEFGHGVNTYYVSAGDVQKETEEREVMFDNEDQRPNYLDVEMMDHE EYTYGTYEENRRIRVFERRPIPIQPNQENQPDPPDDSDDPDEPRPAPRRKRRREVDIL LEEAERFLGKEFLEKRRRMG
SAPIO_CDS0468	MRHLPTKPPSIAGTPIRGITTNISTSYSSLDSITPLPPPSSHSN KSRPGDLSYDADGVKHDGLENPPHILRRPSRAESHPHVEEEVGCLSRVHSSLPYAIAH FLGHRRTPPARVHPILDLFWAFVGIVCSISLIAVLTQHIPMFVENGAPVIVASFGAAA VLEFQAIQSPFAQPRNIICSQIIASILGVSMSKLFGMLPNHHSVRFIGGALSCAVATV LMGITGTVHPPAGATALIAVVDENCIKLGWYFVPLVLLSCILMFTVALLINNIQKQYP VYWWSPKKQAEAILPKNRDSNFFNASAIEFGEMSQVPKASHR
SAPIO_CDS0469	MFKSLTLLALGATGALAGVVTKTWSIDWVNASPDGFARPVIGVN GQWPCPPLEVDLGDKVVIHVTNNLGNETTSIHWHGLYHEGTTNMDGAAHVGQCPIPPG KSFTYEFFVNQPGTYWWHAHVGAQYSDGLRAPLIVHDPKDPYIGEYDEEHTVTLSDWY HDESPPLLVQLFDISNVNARPPLPSSGLINDGQGATYKFVPGKKYKFRIINVSALAGA IFFIDSHSFKIVEVDGVYTQAAEAEQIYITAGQRYSIIVEAKEGAESNYVINAIFDVN PDFHHPLPQVGFNLNATGAIQYDAAFGAPTPSVVSAFNIYDDFSLVPYDNQPTLGEPD QQFVLNFRIGLDGEGVPRGFVNNISYVHQNVPTVYTLLTVGQDAKDPKVYGQVNPFVI EKGQIIEVILNNLTPGHHPFHLHGHHFQVLNRSPSGAGAYKAGDAVPASPVKRDTVEV ESGSSARIRFRADNPGVWLIHCHVEWHVPMGLTGTFIEAPLALQKIIGNIPKAQLEIC DEQCFGTSGNAAGNRADHFDLTGAADPHHVPKSGAIWEKGNCGSNPTPSGPDGSNPTP GGDGSDGADGANGADGKNGENGADGGKGGDGGSGGDGGSGGNGGNGGNGGDGGNGGSG GNGGDGGNGGNGGNGGNGGNGGNGGSGSGSGSGSGSGSGSGSGGSGNGGDGNGSGNGG NGGDSGNGGDGGGAGDGGDGGSGSEEPTEEECEDVIVDSE
SAPIO_CDS0470	MFSHPIIQGPLVWDGSIEASPELFTLTLEKEDQVEIDTALEVFK SYGLDGGDVSADNFPLPGLKKRLEKVKEELHNGVGFYAIRGIDQSKYSVEDSVVIYLG LARHIGDRFGLQDRDGNILCHVTDSKAWSVPAELRHGIHSNKSLPFHNDMGCDILGLQ VRQSASNGGSTYLASAATIFNDLVKTKPDVVETLLSQTWPIQVSGQKANYILAPLMKI FEGKLLTSVDPGRLGPYPSADSKPSEVPSLTESQQAALDALMESAKKHEVRVSLNAGD LFFINNWAVLHRRDSYHDDDDTSRHLVRLWLRNSQMGWAVPEEMQMPWKAAYGRKEED GVYAILPMPEYKVPRYTAGSAAFMIEDSDDE
SAPIO_CDS0471	MAHPTNKATDDLDVGGHTSTQNSHYPGSDDYHPILKRSRASLSS DGRPVKRSSLVTAHTPAAPTAAWSAPAELAGWVKNAPPTVHKPKLDVATRDTYDDMLI DPSRPVPDPYLRGSGSQPGRLSPQPKHQNHEETWNIQTPLTSTERPYCSGGLPDPLLA PSEIGNIRYDSDVWPHPQNTPLGGRSSDRQAPSLSLYPHPAYQFREFERLPQSHRPPN VNVRQPIMEGDNAAPNPFSSNQGIEMHQPRLASYPTIPQYAISNAQRNVGLKHEFPER STPLDAQSDRSVASQSKSFQLSSPTESDPRETLAYPSHHHVMQGLGVQMHNPQPHGNM PSPSYNLDPSAPHGPTHPSNSNRPVDPPMMDTMAPQGSNDSSPVHQYFVPQAERHQVI ETNGPAFDSELNRHEYGPGLSVQSSDVAGPHPLGFMHADMALPRTNQYEQQRQDSSQI DIYGQEAMPFEHPGLQVKSSITTHSDRSRTVGSDNALSQESLSVGEPEQLGSDDLELG HEPDERDSPSLKVAKKTRAAFSEDARRETGETRKTGACIRCRFQRSRCKPDPNDPNRE CQTCRDVQKESKKVIHRLPCLRWKIADITLSRSDAGSQGCLNLTQRWQGFTMRDVDDW SGEGIRTIAITLGISPVPLVLQVKRFKPAAGDITYRCWRDGNVVKRTELEPYALANIR QASQALKEYINANVMNALVAVTEDENNDELIRETFRWVILQYQNLRVKGLSDHEQPEE WKFLADVLRLWLAIKHTVGSSHICGEDKLGMQPELDDQSYPLYGRVSTPRMVVAQNDS INATDIISPLRRRFLKQLENRFKANKPRSWFTLYLAIFIFLHSASVVSADRRRHGREN GAQKGYTLPRFVEELHFGANVLLAYWHYYRTDEDPLEVTCLDRHRSRLADLTAEQFTF IKKSCMMMRKKRETFKYVVNWDDQLYWVCRMFDQKWEIGESFTA
SAPIO_CDS0473	MCHGQPRIHPCSHTSVTWHYCPSASQDPDTGYETPCSSTTFAPS QQTTANCPLQNCQFKDMEGSWTCCACKQGPNTQGWCTMPTARLKRNPETFEVEAFETT CDHGCCKNCTHFSMSNPPTPDMAYGGVRKGGSSRATGHDSLASAHPESSSGASSDLSS RFKIDLDYTTVGKDASAGEKSQKSGRH
SAPIO_CDS0474	MPNSLDSSRPIMAPSARTSVRYSTYSFTPTIQTTDSAQNEIRDI TNGLERMENKALSQQRVTLTEEKSAYLAKLALGAKLERALDRRMSSQDAVMRPRGKSV ALNEKAQ
SAPIO_CDS0475	MLSGILIFNQKGENLIFRSFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFLYRLIQLGRGYFGKFDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVKSERAVEDSAKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGSILRADVTGQIVMRAYLSGTPECKFGLNDRL LLDNDGLLSLPSGNRMGTKATKAAAGSVTLEDCQFHQCVKLGKFDSDRIISFIPPDGE FELMRYRATENVNLPFKIHAIVNEIGRTKVEYSIGVKANFGSKLFATNVVIRIPTPLN TAKITERCTQGKAKYEPSENNIVWKISRFTGQSEYVLSAEAILTSMTNQRAWSRPPLS MSFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRF
SAPIO_CDS0476	MPPISSSKEKRLAKKAALGKDKKSKSSKTSSAAASKAASVNGDE PALDVHGNPIVDDAPATSDDKLDEVKRLAAQMDKHGLSDRVTTGVLASTQQSKDVKIA SASLVFHGRVLINDSTLELTYGRRYGLLGENGCGKSTLLKAISMREYPIPEHVDIYLL NEGAPPSELGALEWVVTEAKNELDRLDKLAEKLLEEEGPESPVLMDLYDHMDKMDPST FETRASLILTGLGFNKHTIHKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDL EACVWLEEYLKKWDRTLVLVSHSQDFLNGVCTMMIDMRAQKLVYYGGNYDSYIKTRSE QETNQMKAYHKQQDEIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPD KVFSFRFADVEKLPPPVLSFDNVSFSYSGEAKDDLYKNLDLGFDMDSRTALVGPNGVG KSTLLRLMTGKLSPREGSVTRHTHLKLGLYSQHSAEQLDLTKSALDFVRDKYPTISQD YQYWRQQLGRYGLTGEAQTALMGTLSEGQKSRIVFALLAIDGPNMLLLDEPTNGLDIP TIDSLAEAINAFSGGVVVVSHDFRLLDKIAKEILVCDNKTIKPWDGTISEYKNYLRKK MISSGAV
SAPIO_CDS0477	MESNFRSTVLQRPPGKDDERDRRHPRDILNPAGSVVAPPRPPQG PPRHSAFSLRSPTQTEFPPYPSQSGTSANHHHSPPRPVLNSFMSASVGSGQSLPPPPP LHSSSNSAGGHLHAAPPSPMRGSPAYYPPPQKEQHREKGSFYDSITDTMTNERRVSET GSWQGASTPKTREASAYSSSSIDQQHPYNNGTYTSPQTSTFQSRSPRSRPHGLSATAS PSGRHQVAGSPRPVHTTSPIMRPMSNGGSASVSAGLPSLLRSQSPPSAPVPSKPVSST PSRAADPMSFSNILSEPAPRLRPTTPVRPEVRETLREPSPRRGVGFLREPSPERPLRR PEETSLNSLRAPEPEKELELRSETEREDETLPDVTATKTEPDTEPEPEPELERPVKDK KKMPPKAKEKKEKPSRKSKGRVSDIRDAESTPKSSNRRASTSSQRDPSTPRVPAKRQS NGQPKSLAISAAKEKRILLEMELLDAEAAEEEEDYDLFAEEADAFQERSAKRLRTAKD TEDSRNRARRKDLVEGGLDHLLAYSEIGKRRYDELYYEEALHEVREREVFAEKERKKD MQRKRRREKSMAATIEQKEAALAKARAAEDESERQKHLREAERANKKAQQTKLVLQKG LKGPVRSVDLNLEGGTMSSFPASDAETPAATTKGKSKGRGSRPKKSKEQKQAEKASAE AAQAALDAGEELPPPKEEGRIRIKLSKKSKQQKEKEKETEETDKDKENKENKAGKEGK EKSKEKEEAPPDAKFQSKGYNQIYDQIWRDMARKDVNKANKLATDSYATKSSNLKKTA ILASKEAKRWQLKTNKGTKDQQARAKRVMRDMMSFWKRNEREERDLRKAAERQELENQ RKEEADREAARQKRKLNFLISQTELYSHFIGKKIKTNEVERSTDHPDVAVDDKDKIPE NNLDIEMPTGPVGTKVSNFENLDFDNEDESQLKAAAIANAQNAIAEAQKKAREFNNED MDEDGEMNFQNPTGLGDVEIEQPKLINAQLKEYQLKGLNWLVNLYEQGINGILADEMG LGKTVQSISVMAYLAEKYDIWGPFLVVAPASTLHNWEQEIRKFVPEFKILPYWGSAAD RKVLRKFWDRKHSTYKKEASFHVCVTSYQLVVSDVAYFQKMKWQYMILDEAQAIKSSQ SSRWKCLLSFHCRNRLLLTGTPIQNNMQELWALLHFIMPSLFDSHDEFSEWFSKDIES HAQSNTKLNEDQLKRLHMILKPFMLRRVKKHVQKELGDKIELDVFCDLTYRQRAYYTN LRNQISIMDLIEKATLGDDNDSGTLMNLVMQFRKVCNHPDLFERADTTSPLAFSHFGE TASFVREGNDVTVKYSTRNLIDYSLPVRVWQGDGRLNKASADNVKAGWRNKALNHMMN IFTPDNIREGMEGSDTFSWLRFADASPGDVYKATHSGIVARAADAVARKDRLGRLAVA YSEPEDKDYTPGHALFLINERQNRQPLAEITGEGILRNLMNVARVKYNHLGLGRLEQA ARPPASAPPIGVVCNDPSTSFEREQAFFNVGVRRILYGPNPVEQKALIKQNIRPAFMP PAKMLPAPDNEKKRFNNITVPSMRRFVTDSGKLAKLDELLFKLKNEGHRVLLYFQMTR MIDLMEEYLTYRNYKYCRLDGSTKLEDRRDTVHDFQTRPEIFIFLLSTRAGGLGINLT TADTVIFYDSDWNPTIDSQAMDRAHRLGQTKQVTVYRLITRGTIEERIRKRALQKEEV QRVVIQGGGASVDFSGRRAPENRNRDIAMWLADDEQAEMIERREKELLESGEYDKVQK KRGGKRKRAVDNAGANGGVSLDEMYHEGEGHFDDNKASGSATPVAAEPDTKAAKKRRT GSKKAKTTKQRLAMIDGEIDA
SAPIO_CDS0478	MPPRPLRIIIATVFLIFITLILFKSDWVSINDLPPPTPERQHDP APSKPETTETETSGCHFHEASLFCFGPDGDEVQLVLASDAPATGSEEHPDERHCHFHA GVEHCVGPEPSTPGESDEDRCGRVQRDYNVPLRLVLLVIILVTSAIGVFGPIFLSVYL PPKFNIVLALLKQFGTGVVISTAFVHLYTHASLMFSNPCIGDVGYEGVASAILMAGLF VSFFIEYAAHRIADLYMPAKDATEGNAALSRQMINISVMEAGILFHSLLIGLTLVVTG DAFFITLSIVIIFHQAFEGIALGSCIATLGHASNLQLSVHSHHHHFPPAEESGLEDDD SLATASPVPLRKKLLLASAFALVTPAGMAIGICVLNSFNGNDPATIWAIGSLDAFSAG ILVWVGIVEMWAGDWMLGGSMAHSKPVVALLGLASLVSGMALMSFLGKWA
SAPIO_CDS0480	MLKQDFNRQEPKRRIVGDRKQNVADAAFKDASYPHRLNFYTHAP TADITLEEFEKWAIDRLRVLSELEACSFRNKSPDETAAHMKPLLEKYLPLSPNSSSST KLSEQRRKDHYSHFILRLAFSSTDDLRRRFARVETMLFRLRFDADTERSAFVSSLDID WFEPVSDEEQAELRDDLQAIAGFDKRTGAVEETWYKVDWMRVPDLVESRKVLLRGGKA YVPSREQASMIITEFTSRLEKQLVLTSQAIPDLDEDDRLVPILDHLSKNFVTPDSSYM SGSTVPEGAEISARNIDNLSQHFPACMSHLHRSLRRDAHLKHYGRLQYTLFLKGIGLN LEECLIFWRSGFSKITDDTFNKEYRYNVRHCYGDVGGDSNRRGGGYSPYSCQKILTEH APGPGEAHGCPYRHFDMDNLTTLLQGMGVTDRSVIQGVKEDRDGRRYHMACNRVFEHL HKPEIKKAKDEFVMTAAQLETIVHPNEYFKRSYLLKNLGKHRGGDADVKMEE
SAPIO_CDS0481	MEDLFLEPQPGPSVTDLPEGQAPSLLPTPPRLMPHFAYLEKFEG GSVYTGISAGRGKFVPVQEIVPSPHIAFVVEKDRIRKTLGINIQSDGLMVTIFNALAT YDTNPQYLPSDFDTLMKYYDALHQHLEVLETQLPGQPATLEFRLLVEDLMLDRAIFDG IGIEQFRQRGVLCQLHLQNIHERSIDAGLDEIDDCFALGLMPAYITEDYLCALNEKYT ELALNGDFVGLYNLCEPVARSGDIELQHNPSLLLKILDQGRFDIYWYLLDLVTRTSDR RPFIPGTDLPDVTFEPLHVAIRFGHLKTVQDIISEDITFRGHISDETAIGIGHHVFTP LSAAVYWRQPDVTRYILGFGRYERSELETAITIALANDDYDQMNILLESGALAMLSAS SPYDFSSPATSFVDSGLASPSIDIPGTGSFDRSMPDATYAPEKASLPPPPNWNRDLTI TPATLGRVPGAPISTTERASQTATTDRTRRKEQERSSFKLAATHKRRGAHMQRQMLGK NLITYLDSLCRRVRETLAGSEDGSLEANVALHFSSFAKVWDGGLAVFKQIINNHPPRG GIIEVMDCLVVASALCTSIGNDDSAMYLQFVNDLGRWKTILEPDEQTLFTKIAFSLWA YTDLSSPLHEHNDQVNLVRFQELIQDLITFERTYQRTPSRSAPYATRLSTIQQQYMAK EGGWASGQAPSMSIPIPGGNMAQKRRLAESVAFTPESESVDDGFSIDEFFDVDRFLAE SMDSEPVPKRFPNLELYDILATTVLLVASVAFSIVLTLMTMLQLGFDSKAVQQVWRQG PGYSRSCNLLSLYFESIGGYSKSAQHSRLGRPFSLDRRIENLSLSAVSISSTGYTGSA ASSTSHPSIAVPTRVNSSTNLR
SAPIO_CDS0482	MTDLPPRDSKSDGHALQRPNGISSSISSPSPSPKPLHVDTSSFG HAPRHATPLRTSFEASPISSPERITDAHVEPSNTYHPSNPQFRSSTTAPSPPAPVDLS SGTTSGHYSSRTHQPTTPTGSTSSFSRFHGAVDIPQPTRSGSGDSRRRLSVGRSLQHK TSNSSLAPVSRTPSIKRPLSSSLGSASGASSRVASPIIAALGDVTPLPSPLLSQHSPG PWRKLGSSPPSRNSMPSSDSRLTASPGYVCDDDALPNNRNDGASENRPPNLAMTDVAM PTGGPGLGGSDGTLKKPHHTRGRSISLYVPDPLLIPKRHGTVSGSHMTPDEIESAEPH LRREVHLAEARGITPTVAKPPTPPPSESSKDAETSEGIAIVRKRREEPEIFKARDRVD GKTRRWKAVRFLGQGTFSRVILATSQMGTDDDTYYLDGATMEDSGAPTPTVDPDRKTL VAVKVCEHGPRGGASEDRIEMSLKRELEIMKSISHPSLVHLKAWSIEPTRALLVLSYC PGGDLFDVATGHRELLVPSLMRRMFAELIGAVSYLHKQLIVHRDIKLENVLVNMPAAE LADPTVDWTKYPHSVITLTDLGLSRRIAEDEKLETRCGSDDYAAPEVIMGQPYDGRAT DAWSLGVLLYALLEARLPFDPTPNMSDAHRMRSRTSHRIARVEWRWVEYGGDDGEHDA DFAKFKKKNLLGAMEITEGLLKRARSRWTIDKVASSEWVTGAIDLPDGLEFREEEKAE EVS
SAPIO_CDS0483	MFNHSPGKLRGGLGSRHGADPSPGGGGLDLGIENPNQQQQKQQQ QQDQQQQHFLRHLHQFQNQPPQNRPQQQTHSSHHQPVFSDGLGFASPPAQDASSMHRF STNMGPDQVHDPADGQDVTMGDAHEPEANVTNLIAHFENGKKKPPKPNKPSHIAAFNR SNNPLRVTSPTGEQFTSSSLRVRSGSIGRPPPVRFGSMGYQGHSGMRVSSPIASQSVE AYGNRTAGRSTTSRATAAATPTSPYTTIASSMDMPVPLRRQASTIGAMQVDGVTSLSS DNFLSPDAISPGPGSMGGGGAHSPFGFVDNGNRMVRSVQGHMLDTFGGTMGSPIIGTP TSPFGNMDYSAPRVVSPIQSPSVDPYGDIGVLAGIGVSNAAASPFDAMSAVDRVTSPM ETRGVDAFDFRMDTKPPPQFVHTPTDAFQSLDPFQSLNPAVNHHQPAPPQVSFSGMAS PSSTDPFGDIGLLIKQEPNVDQVEFGGLSPAPRSATTVHAPIDFSNPGYFQAQQPLQQ SHSHQQHPQQPLHHTHQQHHPQQHQPQPPPPPAKKLFLSRSQQDMSGNQSTPGFNIWR PPVPSTPKPVLTHSQQASSGSISSSAAPNKSAAFLAQSRAELRIQTDHPNVMSHHQHQ QQQQQPFSSTLSITSPTSAILPSSSTENPVQSPLAASPNPPPLPVRPTQQGSRPSREQ VPAEAWESMKSTIRQLYLEERKPLKEVILVMAERHGFQATPKMYKTRFSQWGFVKNNT EEEVKKLLSMKFQRDAEGKVSEFVRNGRVINLGTYLKRKGVTEYDLVDFETPADLPSY VRCRTPTPPPAPGYLRSPELLRAQETVVGNLRKAFLHCRQSELDTKTTVGWTTVMVWG AGSTELLYEANKRVERGGAGQGVDLMEAFQQLEVDLQKLSPQSIKEVLMGMVHRDPGM MTALSKYLAAYSTTNYERLHPLRQIFTTLYEVQQKHGPMTLSDLVWDCIPTLADELES IYGRRHPYVARTWIDLALFYRHTNGERLQKLISEYQPHRRAVESKEGAHSPEAFAFRY TLLQLLHAVNPQSPALKAETLALWEELKDSGRTFLTRSSDANTYCVHDAVKVTPWTKR CKETYATIAELLQRYASVKVRFYFEENMHTDEYEHVPDAMSAWGFVMGQSVFSGNRVI 
SAPIO_CDS0485	MLGSRKDTRGRLKRSESTRYSAINPLAKKDFKNTSFFVRFYGIV VLLLVSAGLLGLTIWLHKRLPDGAKSQFKLPFTKRDLDSSLDFSKRPRGTDGLATGVQ QREIRPTATVHRYVNIHVRQDVPSPSASGNSSSPANPWVQPALTFLVNQTLPTFNLND TWLATTTTTAQPGSGGLGEIFIPPLIAAPPPTLTAAPAVPDCPTPVCVPFVPGLTLDP NFPWFNIPPGADTFISDTEPETSINIRKRDQKNQRRQGQACYCPTSTTSSAKLPIYTF PSGLINPFPADALCLDGEIFEYDYIYDVNVYHTFHHTGNIYNTDHIHYSHDLHNSNNI FLYANHDDTVLLHHSNGIESTSESASTSESTSDPPSSSTEVSSSMAESSSESPSVSTS ASESLTSSDSSSSTTTTSESTSEPSSESTTESSSQTVSSLVSPSSNGSTSASQSSVVS SPSSSASASVSTSTSVSQSASTSNSPSASISDSQTVSASQSASASDSQSLSSQPSNSV PTQTPQSQTQATSQSDSQPTVTPTSDSQPVTLLLQSDSDANSASASASFSATVSDISA SDSATPTPSASPEQSTVASQTPTTAPPSSSSNSASNSATSATESDTSTDTSEEATATA TESSTGAGGGGGGGPKPSGDAEPVIVVSWPVDRSVLANHAPLIVARVFTSFVAAAYRD FGSFEPVRQLFSDDGASGPSLAGAGVTIIPIVLSGFVAEVGSGFAAEAVFLDTHYCDE PMFGDSLNPCWPPRVSSNGWALTVLTTSLAVVVVSLVYVVALWLRTPQSVTMKATSSI AAVASVMGHPEVERDFSNVPSELSNKGLIARLKDKKYTMGNFSVEELGTRFGIMPLIL NLQDNKKKRRSVVERVGDNWTKLISSGKEEQTHSDWMKKREYLDYGFAAIFFALLGLS IAAVAYVDDPRRIFRYTTREWTIGIRITFALLAIAVAKYWSTAFTDVQNLAHYARLHE TPSDARDTINKKSYALPIVAFFPLVRMGYIVPAAVALTALMSEFLVISLAGLPYRPGQ LRTEYLVCGVISIAMLLVMLVMIFFLHRWRRTLPHLPRRPDSVAAVMTYVAQTNMSRD FVGIEERKRKERERAIEGLGKKYGYGIRVEEDGRKRWVVDEVEVEYDAERRRMVDEAR YRREEGTI
SAPIO_CDS0487	MPTKTDIHLYTTGTPNGVKVSILLEELGLEYKTTPITLSKNTQK EPWFLAINPNGRIPAITDTFTDGKPIRIFESGSILQYLVERYDKDHKVSYPYGSREYW EVNNWLHWQMGGLGPMQGQANHFKRYAPEHIQYGVDRYTNETRRLYRVLDTHLEKSTS GFLVGDRLTIADIAIVGWVNAHDWAGVSLDEFPNVAAWLAKLKAREAVQRGFDIPTPQ NKKPLSEEELEKIAAQTRSWVQSGMAEDAKKA
SAPIO_CDS0488	MSGKDKRGSNSAPKGANAKLPSGDGATFSATLKKIVDDFNALCK ERDGLQEYGSLSDQLAACKQELERKDEELKGKQEEFEELVREKDAIIKNLRQDLDERE IGGQELLRRFENRFSEWNTQQEQHVKDLAELAELRNQNAKLKQTVGENNLRLKELDSV KRQVRELEKKNKELGFDIRQKNLDLEAMANKLKNSTYALNQANAAIGFLPWDTKDEKK AEQAFDSLSSRLHQVVREHFYNKVANPAFPKLPGDIPKVQSNSEAAKYMRCAAAEAVI SEELARRIFRDFYVAENLGTLEGPIIDAVNLLNTSNKSEGSVIRCQFAKASQGPNVVK VVSQKATRNVCKFLGCWLEDRAQKAKLENDLQAIFTEAIEMWLPLQRIREGAWSYTAT EAEHWFNRHRQEYDAVKLEEEHLKKQQPPSRLTKPLAVLFPKIVIGNVCIFHGFALLP TQSAVIAAELEVEANGQQMSSSGSPSLRRMSVPTQGVHLRDTDWDLANGSEVHATHGS SIAGSDMSYSGRIARSQSMRERGESTPTGSVLGQSSRPSP
SAPIO_CDS0489	MSWRNQGITGSNNIPLGKRRFGGDDLDGAPVEDGDLKRGRSPER RSETDGPKRRKKRNRWGDASENKAAGLMGLPTAILANMTSEQLEAYTLHLRIEEISQK LRIDDVVPADGDRSPSPAPQYDNHGRRINTREYRYRKRLEDERHKLIERAMKTIPNYH PPQDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMEQDSGAKIAIRGKGSV KEGKGRSDAAHSSNQEEDLHCLIMADTEEKINKAKKLIHNVIETAASIPEGQNELKRN QLRELAALNGTLRDDENQACQNCGRIGHRKYDCPDKPNYTASIICRVCGNAGHMARDC PDRQKGASWRNDGGYGRGGGGRGGDAVDREYEQLMQELGGGSGPPARIEAGPSSSNGP SDGAKPWQQRGPPAGGPSGGPAPWRMRREQNDSGEGDGSGPGSGSAAPWARDRDRDRD RDRDRDRDRPRRDDYHNGGYGGGGDRGDRYSSSGNGYGRPPAPPGAAPWQQAPGTSQS AYGGYPGYGGYGAPPGMSGAPPGLPPPPPPGGVPGAPPGLPTGINALIQQYSGGAPPP PPPPPSGDAPPPPPSDQPPPPPPPA
SAPIO_CDS0490	MKWYNPFAFRPAQVTFWTTLVYVALVIPIIYIQETVPPTPNTST PYPGVDLDDAWADLTSITKAFHPFSSKFNEDVREYLLGRIQDILNVNDVRWTSEMSWH GISPLNKNEAEANARLEKSPLATIFNDLVSNVTVTLTKSSSVGTADPSCGLGTYFEGS NLIVYIRGTDDDEGEWWKKDPSEWSENHHGVMVNAHFDSVSTGYGATDDGIGVVTILQ MISYFTTPGNQPKHGIIALLNNGEEDYMWGSRTFGVNPVMPFVHTFLNLEGAGAGGRA VLFRSTDLEVTKAYSSAPNPFGTVVGSDGFALGLIKSQTDYVIFNQVYGARGLDVAFY EPRSMYHTMQDDTRHTSRASLWHMLSASIKTMEALSGEAGDAFVGPRPDHDESKVQNG RGSDGVWFDLVGKGFAVFGLRTLFGWSVSLLIVSPLVLLFVSWILAKSDKYYFFSSKV SSHDSVGGSNAVAINGWRGFFRFPLALIFSSGLVTGSALLLRKLNPLIVYSSSYAIWA MMVSLFYFSLWVIMRGANAMRPSALHRGYVFIWLFIISWAILVAVTVLEDRFHIAAGY PFVILHAATSLCLLISLCELFALPAKKDFSRWSQGPAPSAASITSRESLRSRDHLSDD PRPSTSKAPPPDEEPESADERTPLIISRSTTRTENLPRTTFSTTYRRSIASITDEPQN QVLRNNREPFHLEQAWSAELPSWTWFLQLLILGPVTVWLFGQLGLFLTAATSATGADG TALLLPYAAIGAFAILSLLPLAPFIHRIPHQTPLVLFAVFAGTLFYSLVAFPFSSNST YKILFQQTLDVDTGASNITIDGLEEFVRPIIAELPSAAKKEVKCMPSGRNALRTCYYD GSAVLPNLQPEALAALGDEEEEDPRKRFANLISVNITRSPGPASKTAIFDVDAVNTKA CFLIFRNKRVTSFHVEGAAPWDSRFGEFHEHGLCQVRLWRRDWDKVWRVAVKWGDDEG YDVAKETTPVGGGAALPLGHNGELRVREQERGKLEGEVACMWADANVPGVIPAFEEVV RFSPVWVTVTKAAEGLVVGTKKFSV
SAPIO_CDS0491	MASNQSPNSFQPFTISERIQQLNEIDKKIAEIMTHTSTALTALT PSPSTPLSTQQQTFKSSMDAFITTLHTIDVHMKRQIFGLEEAGIIDLSNDRADAADQR QKKKAATLSPTAVGTVGNLDVGWLNSRRNRVERDMEAELWRTGREVLEGGKGEGTGAV KGEPMEE
SAPIO_CDS0492	MSTGQGPPAATTPGVTPGQPAPGPPLSNQNLNQIVTEFLLKRGY TRTELVFRDESKHINEEGRPILNDADVYGPRKYIKAFKLLKDWVENSLQIYKFELAKV LWPFFVYSWIELIEVGQRDVAKQLLETVTPTFEKHHIDDLRTFSTISLPQHLKENRIT SLYKNNKYRIPLNPHVAGDLFHFLEREDSQGGACVRYVLQTHCEIDSTARGPITPFSF EAISRRTEGRDLEDVDAQEGIPGVNIGVSNKDVLDSVGALKLGPLPMEEGLREDVRAE LQDEDQRKPPKPGQPSLVEEFDQKIKREETDDAPSRADLPLPPSRARDVVMEMQKVRE NRDRFKIEGRTGGIGPGVSCCMFTWHNTLGSVSCLDFSKDQKLAAVGTMDSYIRVWTL DGKPLKSKHASEKDLKVNNRKLIGHSGPVYSVSFSDAIDSKMTSLFGSSDKPLPDTGP KFLLSASGDGHIRLWSLELWQCLCIYKGHSGPVFRVSWGPHGHYFLSGGWDKTARVWM QDHASAQRLLVGHDTSISAIAWHPNGTYVFSASDETDKSIRMWSVITGQCVRVFTGHT DYISALECAPNGKTLASADNAGNIFFWDLAKGTRIKRSRGHGKGGIWSLSFSAESTVL VSAGQDCTVRIWDVELPAEGHKAAAAQQPLIPQVGQEPADGAGAAAAQPGGSAAATAP TQAPSGGGAATGTTGGKKKGKEVMITPDQISAFATKKTPVMKVQMTRMNLVVGGGCFD PDR
SAPIO_CDS0493	MDLEETPWADSTAQPASQTSDIPASQESTSTTKTKPSLTLSNSG SSTRAPRTTPRRVVAQPTRLEAVDDPLGPLGGSNTPSDSGNVAAAIIGEGTPPVPPLK EQQQQMRTTMQPQQIAVRSGPRDPHRIDDDDDEDELLGHRRGLGSRAPPPVQAASPTV ASSNAPSVSVEQAAKPSFYITVGDPHKVGDLTSSHIVYSVRTKTTSKGYKEPEFEVKR RYRDFLWLYNTLHGNCPGVVVPPPPEKQAVGRFESNFVESRRQALERMLNKISSHPML QHDPDLKLFLESESFNVDIKHKERREPLPTESKGVFGSLGISVGSSSKFVEQDDWFHD RKIYLDALENQLKALLKSLETMVAQRKTMAEAAGDFSSALHALSTVELSPSLSGPLDA LSELQLTIRDVYDRQAQQDVLTFGIVLEEYIRLIGSVKQAFTQRQKAFYAWHSAESDL QKRRSAHDKLLRQGKSQQDRLNQVGAEVAEAERKVHHARMLFEDMGKLMRSELDRFER EKVEDFKSGVETFLESAVEAQKELIEKWETFLMQLDAEDDESVFYRPPVIQTSNKPAG DTAIDRARARMDDDSD
SAPIO_CDS0495	MRGFKVLGVAAKATPGRTGLVTSWAIDANSSRICCIGDRIPFQS NRTIATAARAQQPPSTPSNTPPTPSPTSHHATATADQFRALMRLLPHSVVVCTSLDPH PHTPTPSSSSLSSTTTTNQKPSYPRAMTMSSLTSLSISPTPLVSFNIKKPSRTLDALR SSGRFNVHVLRDDVRGSRIAEWFSRGDAGKDPFEGVEGCGCVVERGDGGVELRGEGVR RVLRCRVEELLSVRDHVIVVGEVEEIRGDGGEEGDAEGLGLAYADRRYRRAGEVIQKH VE
SAPIO_CDS0496	MDPETQDFRTSRLGSRLPAPRAIPRPAGSAVAGLSELSDSQHNA RSQIAMPPPLNKPLKRDLPQPGDVVGGSFFRSESDRSFCFLVSSSLLRLFETLGVRDS TGIVQFNIKCLLAQPSGSIIIIPISNSLARFDFMIYPKPIMKDVAHCLGQRWPSPKQA DSLIDRYPTILSTAESSDFFDRVRPSEFPPQLRTSTTDSLALLPSASVRRSVENPFQS WRLLKDLFLKQPSRYGSTRQAPPHNRQNSMTNFAASVGPDSRAPYARPKTSHSNHHRS VSSKSKGYDARPQTSYGHRAEEDSGPSENSFSGLTLDPPKKDPATLHERVDDQVNPNP PRGTRSPTPLAPPLLHMPNVTIKRAGKSPPKTPKAPRRETSSRVGLCETFCTPSKGGP ASLLDASEAFHDMLKSEVKRTLSPMKSPSPFLTKYSNLKNFSAWDVDGRLGNIEQQFD QLKSIIGDAASDREALGNAVEMYKSRVSELQNERDDLLRRVGEVTSDTNRYRDEVIDL RRKIDEAEWRHKNEVEALQRANEHARDDFQRSIDTEVDRLKKAHQEELEALKRHYNAE LEDERREKSKQMDEIRRQMGNQQQDMHGDLQRKNGEISDLRSQVESLKGDLDREATLK STLQTSLAEMSAANVTMEAKMNSLRSQIEFLESDSKAQSDSFADMEARLQEAHRIADE AKQKLIKEETERRVLFNKYQELKGNIRVMCRVRPVLDPNEGSEAKILFPDEKTSAKIG VLGPEERSSLGNVTRKEYPFEFDRVFDPSIRNEEVFGEISQLVQSALDGYNVCIFCYG QTGSGKTFTMSSGDGMIPRATHMIYETITQLKEKSWTYTMEGSFIEVYNEELHDLLTP VNSREPGKKLDIRHDEARKQTTVVNCKTVRLDSADKVEAMLGEAQNNRTVAATRANER SSRSHSVFILKLVGENSATGERCEGTLNLVDLAGSERLKHSQVEGDRMKETQNINKSL SCLGDVIDALGRGTAHVPYRNSKLTHLLQYSLGGNSKTLMFVMVSPLEAHLKETLTSL RFAAKVHNTHIGTAKATKKIKDRSDY
SAPIO_CDS0497	MKTLSLRRLSSHGTRLLKRALSPEPRNYDDDDSKNLSLFGRLDP SAEVPVEVTSELKVLHDPVLGSAPTVDIIAVHGLGDGFETWESAQDGSCWLTSLVHRD IPTARILTFRHSPDLLTPNISSVRDSVHERADRLLDSLRKLYAGGNSDGDDGAQRNLT PPPIIFISHSLGGLIVQRALIIAEESRDADARQVFLATRGLVYFGVPAPAQLRRVLGD VSRLLQARSGAGRRGRGRDEKSWGTDAALLEEGLKGLDAVVDRLHPVSVCFCEALPTA GLKGQLVVDIGSTTPAAERVLLQRSHVDLMRFNGKQDEGYTALKEWVDLILSGVVSLP SWLAPEYIAGIADRKTNASAASSLLTLTEPLQVYDQASFLRTDFDIRPEYPSPSPYHV RHHLLEDLTTQFTKLSACHSVACVALTGYRDVGKTFLARHFARITSKASDRPVFWLNA SSRETLSVSYLELGRTIFEYYWAKYHSSDTLLQPGDGGPSDDTPRARLRATLGFSDID SLLEGRDFNQLDQVAVNSSIKAVINWLLREGNDQWLLVLDNVSDVADLVEFLPLTLNG WILLVSQKKDFDIGVLGMGRLEVPIWSEEEAYELLLAEAGRTHHPCEEQAGLDIVHSL NFRPSLICHTAASIREKSLSFHNYYTTTDALATSALVDLEEHPRRDQLADVLGVASLL SDTPIPLDLLISIENAIGARLSTDVTSAWWVSKSSVSPFGIPPSFLAKLPQICPTKTK LSPAHKAPQARRPRLTETLKQLNLLTQLSSPPHHFVLPPTIRAHFLQTPRPRHAWLAL SALASAIQRQTLFDSPTLSEIHASSRLLLPHARALYPLALQLFPTTSTTPPSSPSPFK PEKRDPQRIDWHLIGHLAASQGDASLAINWHEFTLRRNTAIRSSSSSSLDPVQDLETI ISLSQLCKQSGDIPRYNELIQSIHLTNDILATNPDLFFRTRLARATHLAENNLLGHAA LELDDLSRIYPADDAPSSASKLTRSRHILALHSLSVILKLAGRTDEASAIYARLHPLY AAHLPSPSHPTVLDTLEEHAHALQEGSALHILDAQKILQRTLRVKTTSLGPSHPSVLL AQTHLAGLYESILDYASSEDLYERALPRMEDVLGEHHVAYLAAKENLALSYWSRAQGD EKKSGEKEEGEMKEWAVGLLRDVLRGREEIGMVGEAEGTRERLGDMLGGGE
SAPIO_CDS0500	MARRIVRTAVQLLIATILFSIALLFLDRNYRVLPPSLHAYMPQH NQGHVVTDITITQCSSVNPFSSCDLDPKVWQRIDKDLYLGKAWVSTAYLHVKRKKEED LADDDKVVMDISVGRLDPGAASGGQLEADEKWESRPCGLWIKRSTSRFASDSNKAVTA VDVLFGDDAVEAREGWGIVGTRLLLDSASGIPGTYVTVRRGRPKKIAKPVPRIKDNGK FKIIQISDLHLSTGPGACREAIPDSYQGGKCEADPRTLDFVSKVIDEEKPDLIVFSGD QVNGDTSPDAQTAIFKYAQIAIKRKIPYVTIFGNHDDEQTLSRQAQMSIIESLPYSLS AAGPEDVDGVGNYFIEILARGSSDHSALTLYMLDTHAYSSNEKKYPGYDWLRPNQIEW FKRTASGLKPAHKEYTHIHMDLAFIHIPLPEYVGVQRFWKGAWREYVTAPAYNSGFRD ALVEQGVVMVSCGHDHVNDYCMLSTEGEEEKRPALWMCYAGGSGFGGYAGYGGYNRRV RVFEVDANVSRITTWKRIEHGEPEELAKRVDEQIIVDGGRPVGPTMEEEQAERDGPPV 
SAPIO_CDS0501	MEYTSMKVPELRKLLQERSLPVTGNKADLVARLQENDKKTAPEA QSAEDEIDYSDDDVAITTKKNEATTEAEKPDVAETEAAPAEVAKEGEAADAVTDSPAT VTGAAANGEASADAPAAAEDEAAAEKFALNLPPTSAEDEAKKREERAKRFGLVEDDDE RKKADRAKRFGIDSSSLATSLDSALPDRPLKRGRAREDDGGDNKKRQSNNRRDNRRHG RGRGGRFNNRRAGGGRSEGGSRGSILSDPAEKAKAEARAKRFGSG
SAPIO_CDS0504	MDLLATLSSPSTWSPTLWVLLLTATAYTTTTWLSRPPFPLKAPP LFDAYPIVGALRFFSDRKAFLEEVRDKSKSGQASFYYGKFRIVGLSGEDGRRTFFDSK DMDMEGGYSTLFNATPQVNSPEQQNLGAKIRKALLKFVRKENLVSLTPQLVADARALT DRLASRCLGNGLAMVNPFDDLYRLVYQLTMRTVGCAEIAGDEKLLAETLGIFESIDGA SAGSKLLVPSWMTTPSHLRRLWAGMRMYFLFKGIIDTRAKEGIRREDALQVLIDLGFD VADIISIVIAALFAGQINSGYNAAWLLCYLGQNPRWRRKVREEVDAVIDRHRVSADQT PADVLATLSFEEWEVEFPVIDVALRETIRLTIVGCGFRQNRSGGDVLIGESGEVVPDD AFAVYLFDDTQMNPEIYTDPETWDPDRFLEGREEDKKLSAHGYIGWGSGRHPCLGIRF AKLEMAVMISMFVAHFDYHLVDGDGNPTVATPDLLDRSQHQVHRPTRPVFIKYELRK
SAPIO_CDS0505	MAPVICPDEPRHTDECSNTLSKNKAQSKPLDQFHYFPRLPPELR IIIWGMALRPCGIRGVHYFSLFNSSKEHPLWDLSIANRWLSKSGKVYGELLGNLLNTE HRIPAPRVVAHGDPSQPQPQHSWFKGNPSLYAWDAGLFNACRESRRILATESLKRSRL NWDGWVIEGRHDEGGKIMPLRGHSYHDLHCFQFDRGLVEASKYLRWSVLLSQLPFNHL SLCPSNIAFEFDPTWLVSLPDGGDLVNYLRNEPSPRGLVARLLDAWHHNEVPSYIQIW LIDRSIRVSEEIEARMRYHPRTAFSDLRETIMSQDYKGYNRLPWDAPVQPSDTTQPAG PPESEGRERPKWHQEMAAPLQVDWDSEEEQETEEGARPGEETAAEPPTPTAEQLSHRP PANSPKTLRVYPFRMLLVTRRIRAAQSSNIDKQPKQRRPMVKYQNPLDPLRNSFRGGA DVGSVDQWKTILGATQ
SAPIO_CDS0506	MSETFEFQAEISQLLSLIINTVYSNKEIFLRELISNCSDALDKL RYQSLSDPSVLDTGKDLRIDIIPDKENKTLTIQDTGIGMTKADLVNNLGTIARSGTKQ FMEALTAGADVSMIGQFGVGFYSAYLVADKVTVVSKSNDDEQYIWESSAGGTFTIRPD TEGKQLGRGTKMILHLKDEQTDYLNESRIKDVIKKHSEFISYPIYLHVQKEVEKEVPD EEAEETEVTEEDDKKPKIEEVDDEEEEKEKKKKTKKIKETTIEEEELNKQKPIWTRNP QDISQEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKN NIKLYVRRVFITDDATDLVPEWLSFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIV KKSLELFNEIAEDKEQFDKFYSAFSKNIKLGIHEDSQNRGTLAKLLRFNSTKSGDDQT SFADYITRMPEHQKNIYYITGESLKAVARSPFLDSLKEKGFEVLFLVDPIDEYAMTQL KEFEGKKLVDITKDFELEETDEEKKKREEEEKEYESLAKSLKNILGDKVEKVVVSHKL GLSPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKAKV EADGENDRTVKSIVQLLYETSLLVSGFTIEEPASFAERIHKLVQVGLNIEDEVKTDDE TPEAGATAAETGDSAMEEVD
SAPIO_CDS0507	MERFSQFRDKGSGIAPFIPIQTPHSTLSTLIHASLFAFRLPFFL AYAILYFTILQHLPLPAALRKLLLWAFMSIPGLWWVDLQMDGVRRGTLSDQPPDRIPR PASVIAANFTSPVDPLYLAAVFDPVFTISYPRLRKVRHVSLLQAILHALAPVKLAPPP AARLTDLETLLAKYPNRVVAVFPECSTTNGKAILPPSPSLLSAPRDTHIFPVSIRYSP ADVTTPVPGRWLHFLWTLLSQSTICIRVRIAERTSNTAAPEPAATSTGVDGVSSASAA SGEKISAEERRVLDKIGEALARLGRSKRVGLTLEDKAAFVKAWNAKR
SAPIO_CDS0508	MFRQASSCLRLRAATAPLRISQNARLLSTTPRLAADEQLNKVSA TITQPKSQGASQAMLYATGLTDADLKKAQIGISSVWYEGNPCNMHLLGLSDIVKQSVA DAGLVPYRFNTIGVSDGISMGTVGMRYSLQSREIIADSIETVMNGQWYDGNISLPGCD KNMPGVAIAMARVNRPSIMVYGGTIKPGCTVKGEPIDIVSAFQAYGQYISGQITEEER YDIIRHACPGGGACGGMYTANTMATAIETLGLTVPGSSSTPAEDAGKLAECANIGNVM RNLLKEDIRPKDILTRQAFENAMVVVTITGGSTNAVLHLIAIADAAGIKLTIDDFQAV SDRTPVLSDLKPSGKWVMEDLHKIGGTPALLKFLLREGLIDGSGMTVTGKTMKENVES YPDFTEGQTIVQTFNNPIKATGHLQILRGTLAPGGSVGKITGKEGLRFVGKAKCYDAE DDFISALERGEIKKGEKTVVIIRYEGPKGGPGMPEMLKPSSAIMGAGLGKDVALITDG RFSGGSHGFLIGHVVPEAMEGGPIALVRDGDEVTIDAEKNVMDLDVSAEELERRRKEW KAPEARYTRGTLKKYAQLVSDASHGCITDGNY
SAPIO_CDS0509	MAAISATRTHTTATTTSTTTSTLTPPSSSQEDRPWGEHPSPQRT EVLVQDKKQPLVANDTANGGHALPSHHAPSDDHTTHMTNGDSHPRKPTANGSVHAAKT NHRPQDLGNRTSPPYGSGSEPVKMNGTAHHDHHTEHTTSRRNVSSSALDKRIPAEGDG SDEDSKWIHRDKLALIESQELQAAGIILPRQRMPSRVRRDHRPHEPAAGPGRASDASL TDRPVVTRSRANSSADHHPKPADIEIPSWDLRLPEEIVAEANKGFATPTGLSKGGTRI PVARVSPAPIPLDYLERESPSSRKPAADGPVKDGGDSISYPKPRSRSVSASASVKLAA DTTPPSTAQGKRSATDGSPKKAANPGGATRRPSARGPSASGRPKTRSSSNKDSTSSAG TRPSTRSGDLSVASSKQPEGDPPWLVSAYQPDPRLPPDQQLLPTVARRLQQEKWEKEG KFGNVYDKEFRPLTEDGFLQPPEKREPDPKPEAPEPEPESQRSNEWPLRGEAGRSQTP KLISSYSTMPKIIDVPPQLSPLPSPRTPGMPPQHQPLSPKDITQAPEQQKQHQEKEKE SKEGCGCCVVM
SAPIO_CDS0510	MKLAYGPSKLSMTSKSPAQRFIPEPRHRPPFRKLPPEAMRRLLW LKHLNAYYPMLGPIEGESHMPNAADSAGRTLGRHKRSDDTEIPVVFEWIPSVPEGLII SLTQSRTELATNRICQRPDEVRTLRLLGYFLTDGPRAALIYDLNYVPTNLRDVIQQIT KPCANDRRKLAKLVVNQVRSLHVHFRNKHLALRTSSFVFLRSRVTNPAERPIHGLDLD KPLLLDWGRADLPGIYQHPKFSAAAKL
SAPIO_CDS0511	MLIIMSEIINWEVNEYPGELNKDQWMELKMAKKAELGDPILNGM ALSELYRWAFEFLDQKSRILDDIPFCGIKRFFDVLCHRLDDPNLVGSYDELSKAVAWL EKADFECKIVIAGNHEITLDEPYYNNIKASYPPSLIESSPKCLSLLTSSPSITYLSHT SATIKLTSPTGPLTTFTVFGSPSSPKRGPDIIDFSAFTYPPSEDPATPTLWDAIPANI DIVVTHTPPRHHLDTVPNNGNPVPFGCESLRRALWRVRPRLAVCGHVHFGRGAEYVSW GHEEGEELSTEKWIDPAPEGKKNSLVDLVKGLGSEQRGRGREGTCVVNAAIMTESYFQ RKGHRKMSKPIVVDLELPVWT
SAPIO_CDS0513	MASASTRSAVANTIVTARDDTTLNSRHRKVRRHKGDRGPGNGNT TPSVSSNLRNSPEIPSPGETPSGTSTPLPENAPPSDQYLFTARKLARAEQRRLRRRIF PTVDYTSRVSHFDPNSDYKDFHGFYTLFWIGLCILAITTMLRNIKDTGYPMRVQIWAL FTVKLQELALADFLMVATTAAALPLQCLWRKFPAESLGTWKNSGMAVQSLYQVTWLAF WVAVPFLFDWTWTAQVFFLLHTMTMLMKMHSYAFYNGHLSETEKRLRALDNPSTASKD PVYMYPSPENPEGTLSSPKRPSFSHREKHHVVHHLNGNGNGKSNAKTNANGNGKSRID EAACCSDEELENLREDLAGELTSPMGNVTYPRNLTWSNYLDYLLCPTLCYELEYPRTP KIDWAALSSKFVATFVSIFLLTIVSEEFILPVLTDASDRLNPSSTTTAAPSVSETLLI LAETISWLLFPFMVTFLLVFLVIFEYVLGAFAEITRFADRHFYSDWWNSTDWMEFSRE WNVPVHSFLRRHVYSASRARMGKSMATVMTFLISAVGHEIVLACITKKLRGYGFACQM LQLPIVVLQRTKWVKNRHTLNNAMFWCSMILGLSMICSLYVLM
SAPIO_CDS0514	MASGKKVLMLGAGFVTRPTLDILSDAGVHVTVACRTLESAKKLS EGVKNATPTSVDVSNEQALDAEVAKHDLVISLIPYTHHATVIKSAIRNKKHVVTTSYV SPAMQELDQQCKDAGITVMNEIGLDPGIDHLYAVKTIEEVHAEGGKIISFLSFCGGLP APEDSDNPLGYKFSWSSRGVLLALRNAAKYYQDGKVVEVASKDLMGTAKPYFIYPGYA FVAYPNRDSTPYKERYNIPEAETIIRGTLRYQGFPQFIRVLVEIGFLDDTAQATLDQP IAWKDATKTIVGAASSSEADLEAAIASKATFDSPEEKARILSGLRWIGIFSDEQIIPR GNPLDTLCATLEKKMQFEEGERDFVMLQHKFVIEHADGRRETRTSTLCEYGDPKGYSA MAKTVGVPCGVAVKQVLDGTLSERGVLAPMSSKINDPIMKELKEKYGITMIEKTIS
SAPIO_CDS0515	MAAEKEQVYATLLLSDTYLPGALVLAHSLIDNGTTRKLAVLVTE DTVSPEAISQLQTVYNYVIPVPRIRNAHPNLHLMNRADLHSAFTKIALWKQTQFSKIV YVDADVVAYRAPDELFDIDAPFSAAPDIGWPDIFNSGVMVLEPSEAEYERLAELASRG ASFDGADQGLLNQHFGRDYNRISFTYNVTPSAHYQYIPAFKHFQDSISMVHFIGSQKP WFQGRPAFSTSGGGGGDLSPHDGMIAKWWSVYDRHYGKDTERTPEEEKKSELVKYFVK GEYWPPGSELQEGQPQAPQQPRPSINEAFFRGFPPPERVTEGETGEGYDGGQHYVEHH EWPSEQYEESRGGYPERREHHVHHEYHEERHHEHHEEKPYEQHHEEKHYERHEEQHRE HHEEHRGEEHHEQHHEPPHEEHREHHEHHEHHEHYDHHRQEHHHEEYQPQPPPMVSTW DAQWQPPPRGGRPEAESFPDTKYEMSRDITPFVPPERYPSPPRDMWYKVPEEPPAPPT EKPRPIFPWETHQPPPTRVFADYTAPEYTEEPQIASPEPPRFGALPGGPTSPASDTGR SVGFGEEKEVIQGTLEEPPALVETPSAVEHYGEPHTPPPESAPGLAPPLPLKCEEEPK FQASDFWASPSATNAWDEVPGIRRYIERVIDQTGLGGPRRYSGASRRQRQLQAAVEAS GGRRRRFFTATDFLSSDDRQNLSLTPVPLRTGDRGEEVEPVGFPIPPSGDEGEEEEER GEEEQTGKAGTTTATTKPTVKPRLAEAKGVPTQSDWVCVHGRRWGPADCLCDLTNVLR VHKNPVEQLEKLARLHRLDPQSVLRRLSAEDPDARSRGVPARSLPPSSEGVLLHAEQQ QQQQQQQQQWWRRHSHQEGTYLGHTVDEEERQREREYYAWSKSAAVKSRGGGKVVVDT GTIPEGAPILAPVPVKAGLPASKAATTTSRLIPGQIPPLLEPSQVEEEEVEEEERREE GKEGQTRRINGHPRSRYEQVFPSSSPRGKDKAKGKGKGKGKGKGIPEPSYTGPGAAWE PGAYIPTNVSGALPPEDEMDVLDT
SAPIO_CDS0516	MRLAWYAGASTALAVSVVLSAFHQRANFYSAMVYLSQSNFCLLA LINFIYILYGAFMFGLQRLCFGRLRAVEVDQLSDRAWVAITETCLAMTIFREEIGAWF LVMFTGLVTGKVWSWIGDGRLEILEQQPPANPRLFHTRLTVSLLMSTFYDVWMLRYCV NTVIQQARPNMMVMFLFEFAVLTASSLRTNARYILALVDARIVAKQTKTRLAERRKEL QEQRDEMLRQRREAEAEGREQTISEHDIPNPDDIDEMDIEVPGWESKGQWILSLELFT DFVKLAIYMAFFTVLLMFYGLPVHIMRDVYLTASAFFKRLSALLKYRRAIQSMNKYPD ATAEDLNREDTCIICREEMRPWEPIPGAVERTRPKKLPCGHILHFGCLRSWLERQQVC PTCRSPVVDGQPTNQNRRPRVAPAAQPQQQQQQNQGQQPNDQQGQVPAPFQRQPIPGG DGGVQDNNQADGGAQNEPQPAAPRHRVFSFGPFRLEIIRQELRNRQDLDAFLDGNIPG GGRPAATEDGAPTPTPTTNVQPSSPTNTILSSSFDNLVNRELASLQTLQNIQHELQTA QLLLAELIRLRHLRASTENLPVPNPTISTPHYTQPNTPSSQVPPPIQHSRTPPPPPAI PPHTFPQYHPPSFPHLASYPYRASSSMSRYAAPPNTTAIPAGSTELPEGVVLPPGWSL LPLQRMENLPAIPHTATPPPQQQHQQQTEATAGSSSQTYASGSSSAVPSHPGINGSAA PHHQQNDVPRAGVAYPRPQPPAATAAAALAAAAAAAASTVPVSPQPHAEEDDEHQTPV LAPNPVMPNWGGSSQLFANQARLSSNSTPDIPEAEEEEEEAEEEEEEEEEEEKEEREE REQEHKESPTTSVPNGDEDLAGSSTNGTADRNGNGKGKSVMVEDGSEEE
SAPIO_CDS0517	MATKLAPIITPALLSTIRRYPNLPRNTWYFVAATTLSIINRPDE IPHIYKHALDEPTDSGPGLEEQLAITRRIREALIKSAAAINALLALKTVTPPDLLDEP MAFSPTLRPVDIYDTPSSQILNRGQTFFDKVYGKVSKRVMGQMDRSGTEDLGLTARLM YGYVLSNTNVLSSAETSFVLISALIPQDVNPQLKGHLKGALNGGASVAEVTAVRDVVI KICEASGMKRLSDDAPGGWGWRSEVASLPLLREQESSRQSLARLSSRIDALCPDVARI AYGPTNASLDRIDGKLSTSQTSLRGINDELSTIKNSLREINDQLSNSQASLQEALNRN LEAFAGVAALLADIRDSNQAIVSHLTSNNDTPSTS
SAPIO_CDS0518	MISIEQSFNMFNRHMGGGNDDNDYTRYPDPPQALFSSGAPVDMG LTPDAYAPFTATTAQEMFPTTMAYDPSSLYAETPSYLYNGRSSPGHFDDGDMRAGSSN LSTTSAPSAASSAVGSPQSNHGQLAPIPEWAPQTLSVSPNIVGHNDYFPGGSEYSTFP TGMEEYTSPFDFSNGTKPPGFVDPSLIHPDISRPIQMTFEGPFQTPTTAYPTSPALSH GASSPQPMLRNGSQSPFLHGGFQQPFSPYQTPMDTTNSRRPSHPFVSPNYSETTPFSS DESKEKSRCPHPDCGKAFKDLKAHMLTHQNERPEKCPIQTCDYHIKGFSRKYDRNRHT LTHYKGTMVCGFCPGSGSAAEKSFNRADVFKRHLTAVHGVEQTPPNSRKKAPSTVSAG PKRLSGYPPDATGKCSTCSQTFGNAQDFYEHLDDCVLRIVQQEDPAEAVNAKRLAEVE NDREVMQTLEKNNLPLSMSPAAGVEDGEEDEDDEMHHDDDEEDEDGSARRNGTSRRRS GGNPANGVQKSRGMTHSRGGVLLNTRRGRKNRRDYPSSWGFDKGQMTMKKRVMAVFEG PRRLAKDDMMLSTEHEVRVRLSDGDSYVTDLDVQTLKRAEGFLHATDEEKGPWISDDP TEAELKQMREMLEINRTAPTTAPA
SAPIO_CDS0519	MTSTNLTNRLTPETPDSTPEDFLSSSLAVIFPDAVANQHGDAHT ALLYTSPLLPKPLRIQLADPPAEDERQLFGHYLWNASLLAAEFIEAGTVGVSSSSTEQ RGSALTRALEDGIFNVKDKRVVELGAGTALPSMMAALLGAKSVTVTDYPSDALLATLR GNVERNVSRENGPKGGEAGFCEDVVVSGHAWGETADEFAVGRKHAFDVVIAADCLWMP WQHANLQTSISHFLKPASLNPDARAWVIAGFHTGRAKMRGFFDDAALAEKGLEVERLW EVDCLGVEREWVWEREGEDAGNRRRWLAVGILKSVAGS
SAPIO_CDS0520	MQSSLQTSSTSTGPFALRTLLDNVPLTTEGVPEDVKITCVDYLD RNLYVGTSAAELLHFVQIPFEPTDGSGRPTFILASRLRPAFSETHSAPPGSRPGVQQI LLLPKVGKACILCNWTVTFYSLPELSPVFGTTQVRNCNWIGGVDLNLPQRSNGNNPRE QRGQRVTVLLSLNRRIQVVRIGEEAGAVKNIDFAGSTISCRRGNIACVADSRHYALLD VEHQLKIPLMTISSLDNSQPPGELGHTQSIAATNESGLLRSNSSAHTRSHSGAGQGHH SHARSTSLGAFISGGILGPRQGEEPVARLPTPPSKPTSPKPPETANNKPLPSTPGQQG PSSTSSTPIPSAASVAPKPPPPFLKPHIVSPSPEEFLLVTGTSATDPAIGMFVNLDGD PKGPTLGFDRYPREVVVDVGFSESVSSSKSPRPSMNNEDEVDKYVLASMTKDAEDGTH HGLEIQRFEGDAEPDKFWLEAPITEGQGEKTTPLSPLGIRSLTGNDETVCQELIDRLC QRRFNPFPNGDSDVAPFSPRSIDSRTATSLAQMSTERELFERDLDAEDEDLPEGWEAS RNREEEEFVKRLAKARAGIAVWTGNHIWWAVRNPLLLQLEAKVGLCPGDVVPSETRVD RDGVFGFLKSINDRDAKNELEFLTLSYLRQQAGLLLLVDFLNAEKDSVFTDSETEALA KRLVDSSLDPRVVLSLIPGLRNEIAESRKGIWIFGGVRESAARYLQNEKVCASPIPVG ALDGRVLQFLRRFLAGWRVKKGFGSIADEHDVFRTVDASLLLVLLEIDQHSPKGVTSR KEGSVRAELYDLVDKGVDCFDRAVDLLESYRRLFVLSRLYQSRKMAAEVLETWRRIIE GEPDDGGEFRDGEQRLRDYLTKISNKSLVRTYGVWLANRDPKLGAQVFAEDKGRAPRF EPAHAVAILRDEAPAAVKYYLEHLVFAKGNDEYVDELISYYLDVAVEALRSSPERREM FAATYEAYRALQPPPKPPYGQFLADNTAEDDEVSLSRLRLLQLLSDVRTYDVAATRRR IAAVPDELLVPEIIILDGREGKHENALRLLVHKLGDYDAAIRYCLWGGSSLFGEQQQR QTRTNDISNQQQEPPQKSPLPLQEQRRLFKALLLEFLQIDHLSDRVEQTSSLLSRFGP WFDVVDVLEVVPDGWSVRLLAEFLEGALKRLARERNLTGVVRGLSAVENLRVGGEYFQ KVGEMGATVEGGEEEEGG
SAPIO_CDS0521	MADTATSPTGSPGDLENGPGNKFQTAISAWRNVDLTTLVTQLDN TASDIAAHQRDSTVQRKELAQKTKDFRKLDDASKLTEIKGLLKSYQTFIDLLTNHSKS VNSVFLQLYTALSDAPDPYPLLEASVDSMLVSEDTLPKLTEENSHLQKNVTKLTSQLE ETESRLQTERSARKELEDKLESKVKEVEESWTAVLEEKKDNWASKEKALEEKVESQER LISEIKASYEVNQRLGRTTAEEDGQASNVTAAEVEMLHSDLERTSTRLAEVEARNEQL RLDLAQAKSQATTQQTSLEDDPGYMRMRSENRSLLHKLDASRVEKEAVKRDLDTKVKA LERESGRLKEERDALKAKVQKWSDYDNVKQELEVLKSIEFSTGDDDELPGHADDVESA GGKAKSGGDTLEKLLLARNKKLGDELTILRVSHQDLQTRLQDLQEELSRTNAELEKAQ QLNEKLENDLATLQAEAPNAFPSGASVAGTYVSRFPSSGVAGRKGRISPTSSIISGLD PRSSSSMMGEGVGSSGNTILPMLTAQRDRFKKRNAQLEQELSESHRTVSQLRQEIAAL QRDNLNLYEKTRYISTYSRSGGASGTSSSAYSTTNASPNPNPSTIAIGGTGNPGIALD RYRKAYESNISPFAAFRGRESARAYKRMSLPERVVFSVTRMVLASRTSRNLFAAYCVA LHVLVFMSLFWMGAEDVDKHATGLGKSAAAAAAAAGGAGAGGVKGGGEWHEAGF
SAPIO_CDS0522	MKLLNLLTSTLLLPSLTLAAPTHASLSSSDRNAPAAVDHLSDYF NLLALKVQRYKTLGSAPTCDISRAVLPITPDSLPAPSAGLKPKHIALGRGTQNYTCSS PTDAPTAAGAVATLYDASCLSTIHPDLFTTLTRLAISFAPIPKPLSSSTEQPRLGPQA MRVSGVHYFNSLGQPFFDIDAADKGHVTVKLNNSIPAPFDSATGVQGEKAVGWLKLVA RDEDGSATKGLREVYRLDTVGGSAPATCEGMPETFEVQYVAQYWFMGSDDEE
SAPIO_CDS0523	MSIQTESDEPSTPARKPAASPADDEPKDVTSTPDPSGATGAAAP GTPKSAPAAGARQRTYTKTDGPPPPTLLVDFLRGRPSPARIAAQRQRRMTMDAVKAEI KQEMRQSSVRKLQQPGGVRDRVKAWQKANAAAMAAGDPMATPSEPTEIAFAGDDKSVT EEDRIRIKMRKKKASTPKVVVQQEKREQEQPQQPAESASKLSTSEETKPESIGAAATP KKKTPPKKRVVSDDHWMNNKKEGKTRRSPPRGPRKPPESKPMPIPKDFLLRTARNPPA SQKVKEWAKTVENVPPADGPGGRKYHVDEMTEFSRSVDSLVPSELSAHSEKAPSTPRR SRTPAAKSERSLDDDGIRVTPMRESSKKKRKPPKQPEKESSVPAPTTTTKSQLDDDGI RVTPIEDSGKKKKKPPKEPENGSSISAPTTTTRSQLEDDGIRVRPIPDSVDVDAERKK SNRKSSRSAPSIAPSLSLRDKSPYDFIDHDKMGSEVSKVTAKTVDEPATPTRRKVSRS KPKLAQKKRNSAPTEITQTTQTSKSTEVTEATEATESTETELGPEMAGARSPGATSNG SSGELGEQPSIIESSAPTMLDIPVGKSAFSELDLPLGADARNSSRRQKPQRNPSLSVP KVFKRVVSEGKKIMNPEPPRPAGVNKPPSIETWLTNTVDPFVDAPANVPDVNPIKRRK SVEKKWAAENQARTREPDEMTPKTTPKKTSPRPAAPAPAPPPPEENEENVTPKAVHEE KKKPVETPTKTQTKTPPSTGLKRKGATRGTASPLKAGLKKHLRDALKDAFKGQSSNQI FTQTSYESREERVYGAIDSEVSFEEECRDPHCHCRRRSAGSGSQRRSLSPDSFDSRDL EDDRTTTTSSLPEPRRRPPTNGFHELSTIVSEETCSSRDNETMSTVSQTTVTQTTLTK ESELSRRSSQRPGLKRRLTKHSDLVSVLSAPDDASVPQGIRNARSRPSVRRTRSKRSA VTTNDLLREFADDENLYNRELKTLVDGVIPVLLNAVIGESADLTTVFGPSAPGRKVDA MSKAVVSMGISLEKLRNAHRRAPLSDVHRLVTWLEGVIPIYNGYLDAWRLGFENLIVN LAPASDIPDDLDSLLNALPRNAEGDIVNEDGERVDVAHLLKRPIFRIRMVTNFVRGIH DIIGSEQTGLLVASFESLQDKARKRHKEERARVTDEDAANTDTTRTRDLRTLAAMGYI KVDPSLQVSAKDVFNLSLIHSNGQRLNCQAELVHRDNPGALGHPGELMIRQTGEGQRT WLLFPPMPMSLLSARKLDSGRELLVMVRGTHNGKVWRELMRLTTDDERQVDDWVEMLG TLPLPPLELEPAPHTPSQEGGSPRQSAVGDVPLGAQTSLEYRAESPDRPSIISEPTTP TSPTTPTKAPMPSRYHTSRPPPPTYQLEVTAPDQPAAHDEPLIPREEEDDDEESVASS RHHQPNTKPFRADGAPPPPIHRSFASPKPKPMTLQPPSDARVKRRTSSPLKHEYLPSD ASSEESGDLTSESEEGSEEESMSDSSGDDDIDSVDIPDTEVGVSIKQGHDRRHDHDHD HGHDHDHDHDRSEMTESVLSYASLTPSNSASQAGLHQRRQPVEAVEAVEPIESNDASR FVCSVSHWHDKRGLWKDLSSHLCSILVSPGMMEAFSMKPNRNPLTDKPLIALDLTPVV LVRKSNALDLEVRTAVLGHSKITSIGGGTFRFRNATQEECLNLYAAVHAARLKNEKYI QLENEMRFRSFGERRPANGDEEGGLRTKRSWFGRKNSYRASARAPTRSIDEASTAASS SMSASSFLKRLAGGANLSFNIGRSSLEKQNGAMSLYTSGSSSAEGSMPRSPSVSIDGS GRGEPISNDNVPIRLHLLVSASRWEDYGNCRLQVRRPPEGWRQELRANHGLEKRVTVT TIPKKKDMMGGGNGGDAAVPRVVLDAVLGSGCFTMMGTRGIICSVWEERRDEYIPATG SPGGSVKKWCFQLGSVPQANWLLTLLHEEVVRA
SAPIO_CDS0524	MATEGTATPQPAAPVPEPAAGQDAAASREQDINPWSVEGGQDES GQVVAIDYINLKWNTQLIDDKILERFERLTGKKPHRWLRRGLFFSHRDFDKILDKYEK GEPFFLYTGRGPSSGSLHLGHTIPLQFTRWLQEVFDVPLVFMLTDDEKALFKDSLTFE ETYKYGLENAKDLIALGFDVKKTFIFSDLKYVSNHILMNAWEFSKLVTYNQVRGAFGF NESTNIGKIFFPSVQCVAAFATSYPELWTDEPLQERTKEIASIQCLIPMGIDQDPYFR LLRDNASRMKNPSPKPALIHSKFLTALQGPGGKMSSSNPNSAIFMTDTPKQIKTKINK YAFSGGQETLELHRELGGNPDVDVSYIYLTYFEDDDEKLKEVYDTYKKGELLTGELKK MAIELLQQYVAEFQEKRKDVTDEVLDEFMRPRKLESKGNTQLQAKLANLALRQQKKKK 
SAPIO_CDS0526	MYQSHLLHTSPGFPGAKATVDDGTALLAPAFEMSDLPPSPRPSP MYDGKMGDIASAALSASDNPWEISKAVIWQKVLATSNSPALNLMAPRPTGKDNIRSVQ LRQPRAINWARPNLVAVLINATGTASPQQCDDCVVGNGPFQMCMQNTYNHVEATTESH KRKGENATTNPAPQKKTARDQALSATTNPIPPATAPLPPLHPFAGMMPWPMNPATPVY QQYYPVQQPTAPPPPAPGGLLMPDLTDLSNQERRRFASILRALAQAIEDSGAENEQH
SAPIO_CDS0530	MGSSSSDTVGVIIVGLLSAFASAILIALAFVALYLYRYPSRSGW LDFLGRPGEFDDEQAFLREEAEALETMDDMQRTEYLRAKAFINANPPESAQTDISLSQ YLAIQEKGVSAWEFEPELEIANCFVEARTEIEFFDSECTVMCNLPVPKQNDVYYWEAK IYDKPDNTLLSIGMATKPYPLFRLPGYHKCSAAYLSSGVRRCNQPFNPTAYGPEIVQG DVVGVGYRPRTGTIFFTRNGKKLDDVAHGLKTQNFFPAVGANGPAIVHVNLGQSGFVF IEANVKKWGLAPVTGSLAPPPPYGSEQGSILLESGSKDGNYSPAPARYQRAHANTHSG VHPYRVTAGHVLGSQHARSRSGTFRVLPPTSPGPARSPTDISLAQLVPTEEAGEPSGN VTTHPATGTRAEDETVGLGLQDALNPPPDYTSPENSVSGSRRSSLDSENTPLIQIINR SRQSSLATSGAASSGRRASSVRGPQPPIPSYSDAMRDSPAPETPSVQRSSSPSSGEQS A
SAPIO_CDS0532	MAGPGGGPPRRSHTKSRKGCDTCKRRHIRCDESFPQCRNCTKHK IRCPYNDMPVPENRAGSPDKPDLMWTPQIEADIEQWQRTGIFPFTNLNIYPAPSPQTY TVEELRLIHHVASICSELDAIDANNFTIWTRQMPIILQIGATNRYVMHSLLAFSAMHI AFLTDCPLVGNMAYEHRGVALKGLQEAIGSFSRETSDAVLAASLVLSWQATDWRSWTQ LMQGTSSVIDAMEPWKHESQFGEFIAESSTFPTAPPSPTPDHKPNQPSKEDIDAYQRT LQQLQKVEAYLKQGKHDFKSIQQLITFLKGSRKVSPTLSVAQQFERLRPLRTWLFWLP VKYLKDSRGSANSLVTIAHYYTVALLMERLFPEIGAAYFGSLTLGPVEDIARRLLAIN NRGAKEAQTPLTLMEFPIETVNEFRSRMGWMHPARTPSFPQFNPPNFYVGDAPVPIPP VSGPYLAYGSPAFSYSTESLPMLTTEAAGATATSSAAVSPLMLSSSTFNNPQYLNIPS PSYATGGLSPASSTFEGSFTYSDTEDYGSTFDFGGMPPVYGGPSNSNLGVGFVTPIHQ AVWI
SAPIO_CDS0533	MTTWQPNPESLSQLCGYLRDSLSGYDKNAQKQAEMMLLQARNSP DINNYLAYITSNPELPSGVTMNADSWTMVRSSSAVMLKNNVRSNYKQIPPESLAFIKL AVINALQDKHAWIRNHIGIVATELIKRGGLLAWPELLPTLMGMWSNETGQFSDAAQEG AMSAMTKICEDNPKMLDRELNGQRPLSFILPKLIEATKSPLVKVRTQALIAINVFVPR KSQAMLDSVDDLLQHLFFLATDDNTDVRRQVCKAFVQLVDARPDKLEPHISGLVDYIL TQQKSDDPDLALEAAEFWLAVGEHDHLWRALDPYIHKIIPTLLECMAYSGEEIALLGG ESDDEDEEDRAEDIKPAHAKKSQARNYTKTGAGQGDSNGAEAGNVPWMDQDDLEEGEI DESDDDENPEERWTLRKSSAAALDVFARDFKNRVFECILPFLTNNLKHPDWPYREAAV LALGAVSEGCMDVVSPHLTELVPYLITLLSDPEVVVRQITCWTLSRYSSWAASLPDPA QKTQFFEPMIDGLLQRMLDRNKKVQEAAASAMANLQETSGKQVEPYAVPIIQQFVRCF GSYKDKNMYILYDCVQTLAEQIGPGLAKPELVNELMPALNARYQKVPDESREIFALLE CLSYVAVALRDAFAPYAEPIFQRCVNIIHMNLEQSMAATSNPELDQPDKDYLITSLDL LSAIIQALDGAGAAELVNSTNGSLFELLALCLEDLTDEVRQSAYALLGDCARYVFPQL QPYLQSVIPMILKQLDFESVLDEDIDGSFAVINNACWSAGEIALHHKKGMAPYVSELL QRFVELMTNPRVPRSLVENAGIALGRLGLDNAELLGPSLPTFSEEFLNAVEDVDPSDE KSTALKGFALVVGQNPQAMEKTLLQFFTTIARYGDSLGTLGSPLNRELHDVFQQVITT YKQMIPHFNDFLGQMQPEDRQALATRYTV
SAPIO_CDS0534	MTGHTSEVIELSFPLPRALDTRIYAHLTIREKSIVVFVTTSTTD DLSTPPSMGSFKFNPNQPISTPIYTQESNLEFATRIAKLLARKTQLPTYVGSSLNLSN MGMGGTPEEEMEAFKKVIDVILSKTQHILKPSDATSVANGPSS
SAPIO_CDS0535	MSPSAISTPRRQDNNNDGQHPTKTAALATAPPPLERSTDHLPKQ SHFSKHTQSSFNTNSNRQPRIASYFRIDMANGHEWDEVDNPLEDPEELRVLFTALDSY MQYEKVARFNVTHLRRQSFYALPDAQWKMLAAPPFNFLETLSKVDDAIGINADLAKAI AKHGLHSFNDPSQNPEADDVSMPQEWVGVAKHGDIDKARSTLRQFFRDWSAEGASERE KSYGPVMRALEKERARAPENSGLRVLVPGAGLGRLVFDLAKNGYHAEGNEISYHQLLA SSYILNFCERAGKHTIYPWIHAFSNHQTRENHLRGYSVPDIHPGTALMQSSNIGTMSM TAADFLCLYGDHEHREHYDAVAAVFFLDTAPNLIRYLGVIFSCLKPGGILINVGPLLW HFENHAPGNHGLDDDGDGEHDYKNSSGIADPGSFELADDEVMALVEKLGFVVESREMG VEAPYIHDADSMLKTVYRASTWVARKPL
SAPIO_CDS0536	MSDVDLHDFPSLFSLKGKVAVVTGGSRGLGLHAASALLQAGASK VFITSRKAKACEEAVAALNALPNKAPNAIAISVPADASKEAGIAHLVREVSKHTDHVD ILLANAGATWGAPFDSHPDEAFAKVMDLNVRGVFNTVKLFAPLLQKNATAEDPSRVVV TASVAGLGVGTLGKQGTYGYSASKAAVLHLARNLALELGPRHITVNSIAPGFFPSKMA NGLLALSGGADNIAKKNPLGRLGRPEDIAGVIVYLTSRAGAYINAEVIALDGGSLWDR GQLQVEPKL
SAPIO_CDS0537	MRKSSYMKGSVGWSAWGGWVWSKTYNRYYRQRQDSLGNIETEWG PVYDESSDDGDDVPRQQNEDEVNDVAEQLGNAGLESGEEDAESDDDQDPEYNISSSSH AQSSRTEKTKREKREKEKVRSKGKSREDERENPKDKHRKTKGKGTDKRSSKTKAKSPK EGEPEKDRKGKRRQHRDGSDDEPDEDDAGYGDYPDYGQRSTEGYPAFDHTEGGSFPQQ QDDHDDGNDDGNDDEDVGADFPEEEIRRAMNASRQPGRAGGPSTGEYPPSESIKTDHD HIAGGSELETVDPRYKVEPSHKFQPGEVFKVFWPEPTGESGHKAPSVSEKREVSDFYR GRVYAGYRRFVVIGNDFGHCTCVPIFTYGGQACRKRGVKPEKHGIALQLGHKPRLVPG EPRPGMPTVKVKMLAEGEGLAWQSRINYSKLITVEHNVKVFFIGRIVDEDYDYVYDSV NRCWEQKSFRRKRH
SAPIO_CDS0539	MATAIELRLPWVVIGGKNVTYYLAISAVLLLAWLLKQRTSQSKV EAPFYKASRLKWAFSAESLILDSYKKVSLTLQVAQASFGSLLGICGGAATAAPFRDQV YQIKATEGVQVLIPANLIGELKGLPEDVLSATEAVSEALQTKYTKFSPGHNGEMLATL VRKRLSQNLARLVPQLKEELEFITATEFPECKDWTPFKFQPFALRAVARMSGRAFVGP SINRQEKWMDTSINFAVHVFVAVVKLQLFPEWLRPLGQHLVSEIRAIRRDLDTARRLL EPIIRERLRDMEIPGYEKPPDDLIQWLLEALPENEKTDIAAQTQLQLILSAASIHTTN NLLTDCMYDLAAHPEVQDMLREEAYQVLEVEQGWARKDSMAKLKKMDSFMKEVQRLSG NITSFIRKVIKPIDLSDGTHLPAGTKLLAPQAGFSRDARHFPDPETFDALRFYNLRRQ SDEDANRWQFTSINDTNMNFGAGKHACPGRFFAGNEVKMALAYFLLNYDVKLREGQER PRPMMVVMSKAPDPNVELLFKRREVDG
SAPIO_CDS0541	MAATLLKLIKDRRTYYPLSKDLTIPASRIEEIVGQLITDVPSSF NSQSNRAVLLLGAEHDKLWDITSDALRAVVPEENWKPTGDKLALFKGGAGTVLFFVDE EPVKELQKNFALYADKFPVWADQSLGMLQFAVWTALEAEGLGANLQHYNPLPDAKIAE TWKIPASWKLNAQLVFGGRTGEAGPKESKPVSEVFKVHSS
SAPIO_CDS0542	MLQSFLRSLPRLGRTWKPVGFTNPSFVRIPVSQKIEEETMPDYV ASRYYPARIGEIFKDQYQIIGKLGFGASSTVWLARDMTYRRYVTLKIFIKSASMGQQL DDELQMYKRIERGSTSHPGRSAVRSLLDSFDVDGPEDKHRCLVHPPLWDSVLTFLYRN PVQRLPAPVLAFVLKRVFLALDYLHTECQVIHADIKADNIMFGIDDDSVFSSFMENEL ETPCPRKEVDGRTIYVSRELRMPQKWGAPVLCDFGSAIPGGVEHLEDIQPNIYRAPEV ILEVPWSYEVDIWNVGCMVWNIFEGESLFTGHDPEFESYRSRAHLAEMIKLLGPPPPG LLARGNLTHKFFSDDGAFYPENLLEDRKPLEQRETTLEGQEERASFLRLMEKMLQWDP AKRSSAKELQDDEWIRKTLEG
SAPIO_CDS0543	MSRAFSTAIPNLAKWLGYKRELLPPVFERAAVRYAENGAVKKVG KIESIEILHRNDGSSPVHQSSYNPDDKELILSVRITPADGSRARTHHIYADGTGTIRK GDKREYSTSARQAA
SAPIO_CDS0544	MRLSLRRRRVPEASRREYHQQSRQRPRNPQGSKIQWYSIPVSVG IGVVGFLHLYKSYKTTGKDLEPVRIEQQEQNERPEIQEERSTKRPKKRPRVRPDGPCL DEVAEPDLRSYKNLAAFFYRTLKPGVRPLDPRPDALLSPSDGRILQFGQIDGNDIEQV KGMTYTIDGLLGKHTPPPSITPWPSDPRDPNDMAKDEVLVNEHEEFARLNGISYTLPN LFTGQATNGRKGSLRDQAVRRDSESAVAAVEADLARGEPQWYDMLSPDKQTVLYYAVI YLAPGDYHRFHSPANWVVERRRHFAGELYSVSPYLQRTLPGLFTLNERVVLLGRWRWG FFSYVPVGATNVGSIKINFDRELRTNSLTTDTAADRAAEEAAAKGETYLGFSEATYAS ASPVLHGHCVRRGEEMGGFQLGSTIVLVFEAPVEKKEEDGTRSGWVWEVEKGQKIKMG QALGYTTRV
SAPIO_CDS0545	MEPEEAPQAAHDAPDSEAPVPAEQLTINLKIISPNLTQPLSLPD LPVTTTVVQLKERIRQQLHSRPADPQQRLIYRGRMLNRPEEKLLDLFGEEMIRTSDQQ SIHLILPEQLESPSLSSTTTPVRGQSPAPAQAPRAQDAINNAHQYFDSVFQQSARHMA RRLPTVTTTVTTPIGGPQQAPGHADQHAHHPNPHPGTYNAHPTAPQMYRSQHSPAYTQ NLQQFAQRLSREAAWHRAHMQYDRAAMGMGGLRYNNLPPQVPAVNTPEASGAQRRTSP VPNTDGHRVNATEQGLSDSEVQNILRGADTRQAIQTMTAAMQRSTSGASMGLPQSGRA TPNQTSRTPSGSTSSGLSGATEVYILNSPNGPRGLLINNHSETYYTPSLRPTATTTGS SYGLPLPQLRRNVTFTTDTHAPAAASQQQQHNQPREGQGRVRRRMRVQVHRAGRIPVG VRQNNAGMVALIARLWPHFWLAVRLGLFVWWFTSPTSSWSRWIAVIAIAISIFVLNTG ALDGFADQLWRIICRHMENLIPMAGPEAVQALRRDGNQNQNQNQNPNEAGHAAPTQQR GGGGNGENPDPATMAARLVEQRREANGNWLLNRVRRAERAGLLFLASIAPGVAERHIA NLEAEAGRQRREAETEAAAEEARRAAESEAAAEAGDEASGAEGSGAAEGEGSSEEENV PQVNVEGPPPPADAPAPQPELIAV
SAPIO_CDS0546	MSGGWNTIESDAGVFTYLLENLGVKDVQFEELLSLDPSYLSALH PVYGVIFLFKFPTDRPYMGASAPHDGTYDHAASEEIFFAHQTIQNACGTQALLSVVLN RPEIDVGTQLAEFKEFAGVLPPDIRGEALSNSELIREVHNSFAKSSPFVDETQKEGEP DDAFHFIAYLPINGKLYELDGLQPAPISHGDCAAAPEAFAAKVMDVLQRRIARYDASE IRFNLLAVVRDRRLAAREIGDVDTLEAEERKREAWMFENALRRHNFVGFAGEVLKGVV KAKVAEGKFDEWIKDATAKREAEWMAMQKITAARKQGGDVEMEG
SAPIO_CDS0547	MDNPIAANILGTAGAVCWSIQLIPQIIKNYRLHSTKGLHHTMYI SWAIAGIPLGVYNLAQDLNVALQVQPNILIFLSLVAWAQCKYYGDRWRLPRVLLATAL VGALIGGVEAGLYFALRLGKRRGVEWPLTLMAVLAALLLAVGVLRYYWEIYRARSVQG ISYLFVSIDAGGDLVSILAILFSPRIDVVGIVVYSVELVLWIGIGLLGVHYRLRVWIC KKLSKEEEGGENS
SAPIO_CDS0549	MFDVIWTDPDRQLVGEHRAKKETAREQQKARDKLEGKRSSPPTR GSTSSGEKSYGFFGSRSLKKAAGPRRAKRPSTPTSYADRTPTADDGQRRHARHQWNLN TAPSMPTLRPSSNHSSEAAFSQCDPFFENGDASYPSSSRDSVASKWTDQTGMSTLSAS ATSVAESIKSTKSSVVQTLGPSSFITPLSPTWASAFKPNNPEAWRPPEEWNYNPPDAE PTRPKRLKRTEPREVVHEEEAISLDLHGIGREIEMMAAADPIIILQRLCEAWGNSSDI GLYKEVEMEKKRWMLFALHNMDPTVDTSRPSTQQIPMERVQKVLVLHETQVPGGTLHI TLIDPMPVASSLGPRMRAWLEEHLLLNLERNFRCVNPGKLFPIWLADCGLRAEGSTIT TAKFSAVPRELSQEQRGLSGQPLPNDKDLKTELRGVVGRMLWKEVWGSYIEVDTWWWD EPDCVEECIKSGTHWEYSLIEAVKLT
SAPIO_CDS0550	MSLADTSPATPFGAQVMARSSTHEPYMLSKESIHIISILERVSS VPSLLASIFVIVTFLTSHAFRKPINRLVFYASFGNLMTNVATLMALSFTKSPNSAGCQ LQAFLIQMFMPADCLWTLAMAINVYLTFYQKFDARKLRKMEIPYLVFNYGVPLVPAFV FIFIKDKGGSRMYGDATLWCWVTPEWQTFRIATFYGPVWLVLFLTMLIYVRAGRTIYE KRKMLYNISVPDSDTDPYSTSKTTEVFVTTEVVDRGQGSNMPPSAVVAGRHRRDSSVT NSQQGQAPSGAAYSVTISADVENQAAAPVPPTSTTTMHVQSGPSTNTKNTLSALQRRK NYEANNAAWSYTKCAILFFAAILITWIPSSANRVYSVLNNGHSILGLEYAAAFVLPLQ GLWNCVIYITTSMTGCKTYFRELGLLPAPKSNENIALRSDFSRRTGTKKYESESMTEL QISRPNSNDLRSH
SAPIO_CDS0551	MAKSKKRQRKRSNRAKRGSPYPSNTQKSKPGQPSRNQKSSPPRK RQRGRDTSSEDTDGDTNMPSSSPNRSSTTSPVTRSPQPPSPTTSSSSSSSSSQHREDS APRDCPTCELMQSRAASLRDTLAALATAQAGAIDLWAGPSVVAADQPQAPVQEKKAAD SMDWQQDCALPVRIVTDVPELRCYPPKDLRRRLYSVKRHNPPIVTYNTVNDDEDITLS PPRQALQ
SAPIO_CDS0552	MAPGFRGGRAGARGGGGRGGGAPRGGRGGGGAGGFRGGRGGGGR GGPGGRGGPRGRGGARGGARGGKPGGTKGGQKAIIERHRHPGVFISRGKQDDLATRSF APGVSVYGEKKVEVNDTETNEDGSTTTTKIEYRLWNPFRSKIAAAILAGIDTLYIQPG SKVLYLGAASGTTVSHVSDIVGPTGRVYAVEFSRRSGRDLITMCQKRHNVNPIVEDAR KPASYRLFVDGMVDVIFCDVAQPDPARIIAENAGCFLKVGGKVLLSIKANCIDSTAPP AQVYAQVVEEMRALKFKPKEQISLEAYERGHAMVVFEYLRY
SAPIO_CDS0553	MVRYAAHEIQPAKSARARGSYLRVSFKNTRETAQAINGWKLQRA VAFLENVVEKKEAVPFRRFCGSIGRTAQGKQFGVTRARWPVKSAQFLLGLLKNAESNA DAKGLDSSNLVVKHIQVNQAPKQRRRTYRAHGRINPYMSSPCHIELILTEGDEAVEKS DAVVAHGERLNSRQRGVRVRKALTAA
SAPIO_CDS0554	MLDPPPPKTIKDTRYPQIERLEQMMGRTSVFTQLGLPTRSERPA PRPTPIQTLPLTSFWDRPPDSSISVRGALQAWGFPRFSLLDQPRSTIVPASLSNLQKE VLLEFGLVQEAFLRSLSSAIVKIRQRDGGGFRNLSILRLAKISSGHLRLLCDDSFWGS LPQLDTVHLGVVPEWRRMSLTEQAGVNEEQATPSTSADTVFELLSVISKQKRISVLFF EWLCGGESGPGSQRNRFILPAPFTRHAEQHLDPRITFTSGALIELPYIRYLSLKNCWS TPHTFLYVIQAMARQSLADLKLESVSLTGLPHWKPPDLPVAEREIGQHHRSSPFWNLG QPIQNFGFQEPVDQDPPRDEALVRPNLLTWSGIINVLSAGRRNPAWIPWPNPPSDEMD PSVKYLPYTEDLPTVQRMELVSCGYAALDNELVGILPGSETLLMTYWWSSSDYHLVHP HFTEVLSGEEHKYEDMQNNADDLLGYIFPVIHPREIRILKRGFGLQVDGEYTPSKARL AMLNGTSVPRYHRFGGVISKRHS
SAPIO_CDS0555	MATEVEGTFKIGDVSLYTKTFSPSGPIKAKLIFIHGFSDHVGRY EELFRHLSAHSIQTFAFDQRGWGKSVAKPSDKGLTGPTAQVLADIAAFISSKLPSEEP VFVFGSSMGGGEALALASSPEHEDVVAKVRGWLVEAPFLGFAAGEEPSKIKIVLGRAV GKIFPRFTMMHRIAPEKLAHDPAVQKSLAEDKLCHDTGTLEGLSGLLDRTLDLSSGKY NLLPVVKSVWLAHGTKDQTSAFESSQHWFDAQKAHVEDATFKVYDGCAHQLHADPCKF DFFKDVTDWITERAATSEPAEAEAEAPAAAAPAEPAAEHEQALEPASAPEGAEAAKPD SKL
SAPIO_CDS0557	MAYNDDAVLAKLSAVNESHDSISSSAQWILFHRRHATRTVELWF NRLKSSSSTKRLSLVYLANELAQQSKIRNKMDFIEAFSPVLPEAIAIAYKGAPSEVQG KIKRVIDVWRDRRVFEDAIQEAIDARIADLDKLKGANAFGGPSPFGSAASVAAVVPSE LSNLVTHYQGSVKHQSPAKTAFATANQDYLKLTDPSAPPPSAPVYAARLNGLLKVLAS AEGAVAESVKARKGLIEELEKLLDASKATLASEEKQLQELGGRKREIEQKKQEVETSI MRGLSTSGDSGISPAGDRPASHTPPEPDRPEVEALTPPSVKDEPELPEGASDFASPSA HQGPGFQHGVAPGIEMLSHLASQYQSLPLATNGSNKRRRLDGDDFPDLGGDEEIDADV AEMLRKDNAGP
SAPIO_CDS0558	MADRFPSLEEFDSGVQTDIRAAVEDPSADDFLAREKALLGDDAD LFTTTQDAAAVSGDVGGDLLGGEDNAQSTFESQFPDITTVQQVGPSVTYNSGFAAYAE EKDEDEPEVVKEWRERRNAAITKRAEQFAAQREETITEARQNIDDFYDHYNTKKEKGI AQTRREAEQFLANREDTVSGGTSWDRIAKLVDISGKGVKGGAAGSGKERFRELLVSLR KDEKAPGASGI
SAPIO_CDS0559	MSFVNRKNNVTELQRVYQTAYAQHNRIWKINPRSRWYMTPYLVL LWGTVGATMYAMGRKVAGYNTWFSNK
SAPIO_CDS0561	MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK
SAPIO_CDS0562	MASLKQFIRNVRAAKTIADERAVIQKESAAIRASFREESHDHNV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGHLATSLLLDENQEVLTLV TNSLKNDLSHSNQYIVGLALCTLGNIASVEMSRDLFPEIESLISTSNPYIRRKAALCA MRICRKVPDLQEHFLEKATHLLSDRNHGVLLCGLTLATSLCEADEEEGGEEGIVEKFR PFVPVLVKTLKGLATSGYAPEHDVTGITDPFLQVKILRLLRILAIGDSEVSEQINDIL AQVATNTDSSKNVGNSILYESVRTILDIEADSGLRVLGVNILGKFLSNRDNNIRYVAL NTLIKVVGIEPNAVQRHRNTILECLRDPDISIRRRALELSFTLINESNVRVLIRELLA FLEVADNEFKPTMTSQIGIAADKFAPNKRWHVDTMLRVLTLAGNYVKEPILSSFIRLI ATTPELQTYAVQKLYASLKKDITQESLTQAAAWCIGEFGDSLLRGGQYEDEELVQNVE EREIIDLFSTILNSSYATQVTTEYIVTALMKLTTRFSDAAQVERIRRILQSNQTSLDV EVQQRAVEYGNLFGYDDIRRGVLEKMPPPQIKEESRVLGAATTTKKSSKASNRKSKVV KPTEQDLLFDLMDQPPAAAAPSSQSNMDLLADILGGSTPAPTTSASPPPQQSNVASIM DLFSTPSPTQPQGYPPPAQAPAAANVGVRSSLSPTGTPAPQAVSSPPAPASHACYNSN GLEITLQLQRNSEGIIQAMARFRNTSPSSPLSNVGLQAAVPKSQKLQLLGISSPDIAP GTEATQLMRVAGCKGVSHPLSLLSLFPHPKPPAV
SAPIO_CDS0563	MTAATLSPTKVVLLAAHLATRADIDSLSYLVSRHGNVLQKDLVL RILLTYFPETIPSHTYVPFLQELASGDFAGYDPIDIDSTAVDALTENEASKQTEVREN LHLVGRDMRGMVGPWLQDPTKWTTLPPDGTELDGLPPFPSLEAVLEWLTQQASKNWRL AVEVIRQWQGPIDSDFGDYDESSWSETQQQYLQRRYLRAALAAAYLINEPTVDAISGV HNIACRIASILGVKEPNPLETSAIVALDFTDVDSRDPAATKFVSHMRNNSLEESNPIT NPNLASVRRLSALTSSAFLLSSAGLSCSVRRAGDLVFLRDAREQKSEAVKFINAVARR ASQNNDDRYWLVARDELFWLWSWSAAETGPAPPQAGLGVFGAVGWQFLETEFLKALLV ATRYGLAQTIYEKPGHSLLDPAVLRDTVVTAAMNAFDNASNPNRTRGGLKRCDDIIRA FPKTLGKASPAFKQIDSLLKATHALSHYRLVLKQGEPFSPVVLRVHSDPISIIAKVLE QNPKSYTKIQDFLEVGANMVRAGLYASKTRKSDTDDQQSTLLFMSEKRVTSMCIEAAL REDDFETAYSYVASRLATTAQLPTTSDLAGKSPAPLDDWSWRAALQAGQYIRTAQTIQ PTHLGTASGNLDIRHLEQRVECLATALRIAPSSQLHEILKTFRRCEEQLDSAIKEEAA KEAAWDAAGDLHSPGIPGAFTPGTTESRAVPPSASTSREADDVPMSLFDLSRATARVA QRNLSVLSSLGSSSGGQEPEPEPTHKDDHRTRKRDQLRDAAMGTLVSGVGWLINAPAN RTDG
SAPIO_CDS0564	MASGYGYSGGPGRCFPFWQEVLACYVVNSTPEDRSGAQKCGLAL EDYYECLHHKKEAARVRALQDAYRKAEAASPRDNAPTAGEIRSLGLIGRDEVSKKVLE S
SAPIO_CDS0565	MATTAMDYEANGGYDEEAPRYELDRRSPSPRRDDNDGGNRRSAS PAANNDSFVKPPSLGTRWTMINISRRASKEERGPKDADDGAVNPGSNLFVTGIHPKLT ELEVTRLFEKYGEVEKCQIMRDPHTKESRGFGFVKMATSEQADAAKEGLQGEPFEGRN LSIEKARRARPRTPTPGKYFGPPKRDPRGGRFHDRYDDRRRGGYGSYGRDDPYRYRGY DRRQDDRGYDRGYREDRGGYDRGHERGHDRAQERGYRDERTYDRRERDDGYGRVDRYG GRDDRDRYGPRGGGGDDRRAAGGYDRERYDRPSEREGARPRDAPSGAAGYGDSAPRGD GRENF
SAPIO_CDS0567	MSPRDSPSDRRQSRSSIEPSTPLRSSFNQPDSLDIGVFASGGVG GESGLGNLADELADAFSDSGDEGDYYDDGEAGITFSVHEHEEDVHPEDIRDSGVDVVD SMPDKASMNLGLPLPYRRGHRRAGSEYDGSEYGSESDLDSPGMPTSLVSKISAVEALA RRGTETTGGPSDGVFQRVTDGLRDLGPQTGVESSATRLITAHSALTTHLTHQTRQLQT LAFPLLSPFVAPPDPETIDSLLPLLISLSEDMPRPSTAAFNSLTALHSLTSDLINTLN YLSDTLHMSRQTTTTATRRLKSAKELVAEMRREDELREEGEQWIQRGNWSQRLENREC ATVCGEVVGGFEEVCNGWRARLLAQAESAQA
SAPIO_CDS0570	MGKLIRLELFNFKSYKGHHTLLFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSAHLKDLIYRGRVLKTSKINDDGSADAPNGNGHVNGHGDNEDGD SRRASRNDPKTAWVMAVYEDDAGDEQKWKRSITSQGSSEYRINDRVVTAQQYNDALEA ENILIKARNFLVFQGDVEAIAAQSPQDLTRLIEQISGSLEYKAEYERLERESEAAAEN SAFHLHRRRGINSEIKQYQEQKREAENFQKKTDERDAAIVTKTLWKLYHFQRNMDDSS SLIHEHQENLKEFRRNVEVFEKKLETARKEQAVLAREVSKVERNIKTKERDIEDTRNG LVPINEKIEQSMRESSQLRERIDTLTKEENEQAELIKKVKSDLVKVEKAQEHDEKEYR DRMKKQGKELNDADRKQYNLLRAQVMAKTSANQTNLDNLLRQQKSDEVTVNTFKGRLD RVTATLEKFEQELQATTSRKSAAQASAQQLAKEIEAKKKEFNQIQSERVRTNQRRTEL EERLEDVARKLREADDGRRQNDRETRLRDMVTSLKRIYPGVKGRVGDLCKPKQKRFDE AVIVALGRDFDSVIVDTEKTGVACVQYLKDQRFPPMTFIPLDNIKVSAVNTAIKGISG ARLTIDTIDFDPHLERAMSYACGSSVVCDSLDIAKRICYERRIPVKAVTLEGFVIHKA GLMTGGRGPEHKGGKRRFEEHDVQHLQRLAAQCKDDLEKLPKADRRGNTEESLQIELS GLEQRLAYAKSELAALDKNLESTKKLRDNESKKRREIEPEFTQKREALERIVQTVNEF QEAISQVEDKIFGPFCKKLGYSNIREYEAQQGSMEQEMAEQRNVYEVQKQRLKSRLSW EESRYSESQARIERMQDQLRRLQKDVGSYRQEKKRIERELSSEEDELAALHDVLEEHK VKHADKSEHVGQARAEVQKRSKEIETRQKEISALETVVQQNSNGKFNLLRRCKLEQIQ VPLLGGSLDDLPNEDNLLHQDPDAMDIDEEEDEEAEDREVIQAAMDDYGIELDFDKLD EELKDSDDANIEEILDEKIVSLTTELEKLNPNMRAIDRLGDVESRLKDTERDFEKARL AQKRARDAFNEIKTRRFELFNKAFSHIQEQISHVYKDLTRSEAYPLGGQAYLDIEEDT DTPYLSGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAA LDNANVEKIKKYIREHSGPGMQFVVISLKTGLFQDSESLIGVYRDQDVNSSRTLTLDL RKYA
SAPIO_CDS0571	MDLAQTLLKMVMRAFYDTRQIIAVDAVITHSCLRDDELAYLASL NTKDLHKLVAKLKEERLVHQFNRPEMREGQVRPVTRIYYYIDYRQTIDAIKWRVYNIT KDIQGTTVPASEKKEYFCSRCGSEWTQMEVLDNFGPEGFICHRCGNPLTHDLDRNSAG HEQSTRLNNQFKFVTDLLQQIDQVHIPDNTFEVALGNARPVIRDSNHPGIKSIPVDAA GNRPTAVKGLANTGPKSMAVSISAADGLTEAEKAAEIERREKIAQQNALPAWMSNSTI TGESFSGAPTPTLLAKREDADVKTALPTVPAYERDHKDIDDVFARLKAEQEQKELEEE EYGSDDEDEEDEDEDEDFEDVMGTGANSAAGTPSVIGIGASHVVQVPGGGGGGGDLDA ERPAKKVKVESPAQANGEEEESDEDLEFEDV
SAPIO_CDS0572	MPTELQFPAIFVLPVHLQPDRLHELEERIPTITYNINEADVILG NISKPERALFELRRRKVSFEPTQSHLDSTGPDPKRRKVSEAQSERPSNLLQIARLEWL SDSLEQKRVLPLDDYLILEVTKKTPVPGSRRTPVVSNSTIPGSAAERTPGSSPRKRPL LLQKSTSDDSSLPPVPDFLHTAYSCQRPTPLEPPNSSFIDLLKKIRKQRILNGDQVGV RAYSTSIASLAAYPYPLQSPHEVTRLPGCGRKIAELFQQFKDTGMIDEANEIDTDPRL SVLIGVKFYDELKIKIGRPEVEFIANTILTYARRVDPAFEMMVVGGYRRGQKGSGDVD VVLSHPDEALTRHFIGQLVVMLEKGGFISHTLSLSTRNSDRGQEPLQWKGRAARGSPG FDTLDKAMLVWKTPKQGLPKGRDGGSHAQEEPLHRRVDIIISPWKTVGCAILGWSGGT TFERDLRRYCKMEKSLKFDSSGIRSRIDGSWVDLEGGERGRAPNMETAEKRVFEGLGL TWIRPEQRCTG
SAPIO_CDS0575	MPFLRRHAPLASETDIRNAAVGMSSPAQSSMDALADADSGIEPD PVTNRRKESYASGSLSIPARAVDNSSQGSSTSQPRDHTSSRPSSPPQEQTHRHRRFSV LRFRNASDSQLSMRAKHEAENPPPLPTPPPEIITTAPTVDFEALQKRPSRMNLANRFR RSAAPTKSRDKDIAKSQQGKRSRKSTSDDPRRLDDPHFIPPDESGDHGAAAEPPAYDH DDGTIAALPITRISESSRSDTGSGDPAVYASTTTTTTHTVHTITTTLFRLPRRRNKAG ESLFPLGHLPQKGNAPAGHMSQSTISASSLAIPRSSFGTSESGAPFSEPGTPRASTSH SGTTPNVSSPEARTKHATSPATALWRPEPAHSGRSSPTRGPISLRGRSSTMSSIGGHS NDECLAPPTIRTSSSTGRKSFSDLFGLSRLRQNSDLALNRHGSMTPVTPGSATSKNNS LQLVREEQVVLPERRDDDTPAKYLARLEEVVSRSVIPSALSRGSDQFSTAVMRSYMRS FRFFGDPIDMALRKLLMEAELPRETQQIDRCLQAFANRYDECNPGVYSNPDQAYFIAF SLLILHTDAFNKNNKRKMQKHDYLKNAGGEGIFDEILECFYDNITYTPFIHVEDDLDI NGERIVAHKARRKPIFAGGATEVVKTSTKEPLDPYTLIIDGKLDVLRPSLKDVMHLED QYSYLGTAKSLDLKELQKTFFRTGVLQIVSARSRPDAFMTEKTATNPEEAHPGIVDIK ITKVGLLWRKDAKKKRTRSKWQEWGAILTGAQLYFFRNTTWVKSLMHQYESHVKQGND GVPVIFQPPLEQFKPDVLFSTDGAVALVDSTYKRHKNAFVYVRHGGIEEVLLADDENE MNDWLAKLNYAAAFRTSGTPTGDVSIARGRIDQKMAEDILAARRDIMVQKVADAADKL ASVEKQLEAQLRNARHLQILAPIQTKTREQVLLAAARMSAQLKWTRMEIWKLRCHRDI LLQDLEEERNGMEYMASTAVNTPPMEAPPQPVSASPGLSTDSTVRLGNEASRPSGSVV HDDSECPERPNNPTTDAPLQTPPKTSSRPRRRSDTASLRAGSISSSIAFPSPQPPVIP SGKPPNGNGELPSGQPRGGSIHDDIDDGERDLLEQAGLIKTRSRRVSDSYGSPFTAGP MGEAEEWRDNSSPAEKVERNKLRRSLQRTLRDSAGHLSHQRIKKGKEILASGSLEDDN VDSKLTRSTGSFVVHGKKASVINFGTELRAISPDAKIRAKQQVWRDDQSQQQQQGQRS LPRTSGEHDFYSVTEDGREWSERRGSAASASTATARSFRELHRKYSRSASAGRLTAPS DEDSEVALSFSEGRRTPLPPIERDVSECEDDPVTNAAQHQSQHETPSLGPTTPTSENS GVDH
SAPIO_CDS0577	MDWDVEMEDTPQMGRDHSNYHDEIRPTSAPTQEQVASTGLQQQE HAEIDDNVLVPTKVHIRGLDVLTTEDIKSYLSEHFGPADRIEWINDTSANLVFNSTST AQDALASLSAIEIADPTQLPILECVPAKSYTAKPEVELQVRFALSSDKKKAGASSRSR FYLLHPEYDPEERFRREHTNRAKYRDRDGASGRRRRDMERRRLSQEDIIFDESFYDDK EPEETTRPSHGRSQTKELFPGRRRGDRLRSRSASPSIADDRSNRNRARALQVKQQLIT REDRRELFPSKISTSSTKLAQLDQLEAATKATSLDNTAADHSLHIRGIASQGGPSAGF TIKGTSTVKVKELFPNKFDGGVGNDLFADKLEGRNRRRQKAEDLFH
SAPIO_CDS0579	MAASLYSLNRQAEAEKYWLAVIRIRPQCLEAVEHLVGLLYKRRS KEAVDIIQYIQQALVIPRPASSNGLSVMDGHFEFPDVPNTEFSGWTSEALLSPGICCH ESGGFGSSGYSIPGSDNGRMLALIHAKGTMLYSLKDVGGAAEAFEEAVLISTDRRISC INHLVRKIQYVLTPDALKSGELTTGTLDGTPLLLPPHRARHTAQLVFPASGELPGLRY VPEGSPRKAAIQTTSNSLLSLAKIFQDAMSTGTSSIGRIQHVFGVGDILALYYLSLSL QESPSTANNVGILLASVQQASSPYPREVFEAHHHPHIPGIVPGSGLSLALAYYNYGLG IDPKHVHLHTNLGSLLKDIGQLDLAIQMYEQAVACDGTFDIALTNLANAVKDRGRIGD AIMYYKRAVGANPDFAEAVCGLASALNSVCDWRGRGGVLLVGGKYDRWHVDEEGMLHD ALDQGQGTGLTNRVVSIVRRQLDDSASWGCGVIQDDIISMIETQMNVLTRDAEGLTFE VRSELRKWSRNPWEGTRMQSVFGLHNRSRVKAFCYASTPSDNSIHRQKIEREAPVFRD VNGWSADKLVQQIVQDGVHILVNLNGYTRGARNEIFAARPAPIQMSFMGFAGTLGAEW CDYILADETAIPLETLRPWRSNRDPVDIFRDHNEDEGGNWVYSENIIFCKDTFFCCDH AQSSDTHSRAMTWEDEQRRRFPELGESHLRRTATAWAGAHVASRIVFTDVAPKDQHIS RARVCDLFLDTPECNAHTTAADILWSSTPLLTLPRYPYKMCSRMAASILRGALPKTDD GAQIARELIADSDEDYEKKAITLARGLTYRMSTDGHGIGHGRLAEIRQVLYQHRWTCP LFNTRRWVVQLERAYEEAWRRWVSGEAGDIYL
SAPIO_CDS0580	MEASRLVVPIGALYTPLKEKPDTPLLQFEPVTCKQPCRAILNPF CQVDVRARLWICPFCLSRNPLPPHYKDITANAIPPELHNSNTTIEYRLSRPAPAPPIF LYVVDTCQEEDSLVALKESLVMSLSLLPENALVGLITFGTMTQVHEIGYTECAKSYVF RGSKDYPAKQVQEMLGLLSPTLRPGIPQQQQQGRPLMPTGPASRFLLPVQQCEFQLTK ALEQLQKDPWPVAGDRRSLRCTGVALSVAVGLLESSFQNAGGRIMLFAGGPATEGPGM VVGPELREPIRSHHDIDRDNVKYYKKALKFYDNLAKRTAHNGHIIDIFAGCLDQVGLL EMKGLCNSTGGHMILTDSFTSSMFKQSFVRVFEKDGDENLLMGFNAVLEVLTTKELKV TGLIGHAVSLNKKSSSVGETECGIGNTCAWKMCGIDPSSSYGVYFEVANQGPAGHQQA QQAAMIQFLTYYQHSSGQFHLRVTTISRKLSGPAGDPAIAHSFDQEAAAVLMSRIAVF KAEVDDGPDVLRWVDRMLIRLCSRFADYRKDDPTSFRLEKNFTLYPQFMFHLRRSQFL QVFNNSPDETAFYRHVLNHEDVNNSLVMIQPTLDSYTFEQDGGRPVLLDSTSIQPTHI LLLDTFFHILIFHGETIAEWRKAGYQDQEGYENFAALLEQPKEDARDLITDRFPLPRF IVCDAGGSQARFLLSKLNPSTTHTSGAYGGVGAQNAQTIFTDDVSLQTFMEHLMNYLL ATGGEVGASSALAPKIGPLGLSPKKIGEDIAKATGDWKGLRVTVKLTIQNRQAQVSVV PTASSLIIRALKEPPRDRKKEKNIKHNKSVSLDEIIEIARTMRHKSFSKELKGTVKEI LGTAFSVGCQVDGKSPKAVSDAIEAGEIDIPDE
SAPIO_CDS0581	MVDENGKQTITNDGATVMKMLDIVHPAARILIDIARSQDAEVGD GTTSVVVLAGEILKEMKEHVEQNVSSRLITKAIRRTSALAVNKIKEIAVPTSGANKKE TLAKLAATAMTMVVEAILSLDQDDLNEKLIGIKKIPGGSLTDSQFVNGVAFKKTFSYA GFEQQPKSFKKPKIVCLNVELELKAEKDNAEVRVEQISEYQAIVDAEWQIIFKKLEAL YKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVASEDMERIVEATGATVQSTCSDILP EHLGTCGFFEERQIGGERFNFFEDCPEAKTCTLVLRGGAEQFIAEVERSLHDAIMIVK RAIQNKYIVAGGGAVEMEISAYLHQFADNKILDKQQPIIKSFAKALEVIPRQLCDNAG FDATDILNKLRVEHARGNIWAGVDFDNEGVADMMTKFVWEPALVKINAIQAATEAACL ILSVDETIRNEESQAPQGPPKALPPGAAQRALRSRGRGMPRRSNNNNNNNYQMPSRGP SARLAIANALDRVFAAGDAVADAKEARARAREALAKAEEGVWRAVFRQNAERVALEAL QRNLGGWGGSN
SAPIO_CDS0584	MSSTPEYKITDLTPSDFAEWNALFRAYIDFYESSLPDEQYTKTF NRLVNKTNGLGALVMREVSDDKTRIVGFAHFLPMESTWIEKKILFLDDLYVDPSVRRK GLGRKLIQAVGDVAKNNDFARVHWVTKHTNARARALYDTVAKTIFTEYRMELE
SAPIO_CDS0586	MAPAILVCGATGKQGGALINQLIRENADFEILALTRDEKSPSAQ RLVQKSPNIKLIQGDLADPTKIFDAAEAVAGGPVWGVFSVQVVMGFTSGADAEYTQGR DLVDAALKAGVKHFVYTSVDRHGDASIDNPTNVPHFISKHKIEKHLIDSTKNGEMTWT ILRPVAFMKNFTDNFFGRFFVTTWKMAVKEKPLQLISVDDIGAVAAQAFLRPDQWKNR AISLAGDELTIDQAGEIFKKHTGEDLPWTYQFICSLLTWAVKDMGYMFSWFYDIGYAA NIEEVRKIHPGLKDFETWLVTDSQFAKKVTK
SAPIO_CDS0587	MAIAELLVSPWAPAGLVAAVLAYYIIPYFATFGDLRDIPAPFPA QFTNLWLLSVVRRGNRYEVVDKMHKKFGKVVRIAPNHVSIADVSAIHSIYGHGNGFLK SDFYDTFVSIRRGLFNTRNRAEHTRKRKLVSHTFAPKSVLEFEPYIHNNLELFVKQWD RIAATPAADGAGKLDCLSWFNYLAFDVIADLAFGKPFGMLASGADIAEVKASPNSPAI YAPAVEIMNRRGEVSATLGCLPQLKPYAKWLPDPFFSKGLQAVENLAGIAIARVSERL ERGAPPDRKDLLARLMQGRDEKGEPLGRDELTAEALTQLIAGSDTTSNSSCALLYNVV RTPGVLERLQKEVDEAIPDGVAVPTYESVKNLPYLGMVINETLRFHSPSGIGLPREIP PNSRGVTILGRHYGPGTVLSVPTYTIHHDHDIWGPDADEYRPERWESVTEAQKAAFIP FSYGPRACVGRNLAEMQMRMFAATWVKRYGVFLRQPVMETREGFLRKPMGLSVGITRR 
SAPIO_CDS0588	MASTQDPKNATYSQFACIGAGVSGIALGATLQRWYGITDIRLFE RHHDVGGTWLANEYPGCACDIPSALYSFSFEPKADWTRVLPSNEELWLYIKGVAEKYD LLTKTSFCSTVERCEWIQDTNRWRLHVRHEAGQLFNHECQFLFSGSGILVHPRKLDVP GAETFKGPIFHSSQWRKDVDLTDKNVVLFGNGCTGAQIVPAIVKSTKHLTQIVRSKHW IFPPIDGLNSRLITLVNKLIPGSMLLQRFAVFLVAENSLRGFAMTKAGTRFRKKKQAK AEKYMRSTAPGKYHDILIPDFEVGCKRRIFDSGYLESLHEPNLLLTDEPVLEIVPEGV RTKDRVIKADVIVLANGFDTNNPLAGVEIVGRDGVEIRQHWDSFGGSEAYQCSVLNEF PNFFLILGPNSATGHTSAIMAAENSINYALRVIKPALEGKCSTAEVKMEAEKAWVSRV HSALRETVWFSGCHSWYIKDDEKTGERWNAMSYPYSQAYFWYESLFPKYRDWTYTGPS STSSIRRKTHWKKLALLLAIGALIGLVFHSPTIIMNGDDLDV
SAPIO_CDS0589	MASDGQAFLREVWALQATAYVIVSLRYYQRISTLGWHKLAWDDA FMVLATLVYTAESVAAHFVIAYWKGFANNAMTEEQRAALDPNSEEWRLRVNGSKTHVI GLLLYTTLLWILKACWLVYYFRLTEGIRKMRKLVRYGVIIMPLTYISCLLVAFLKCIP FDHQWQIYPIPPNRCMPAISFIQTIYVMVMNTVTDFYLMAIPIPIVWGSQLPWKKKVR LVIMFSGAFLEMTFGILRCVSILTVGDKDPAQSGYWSVRESFVSFTLTNLPMIYPIIK KYIDKGTTKGSSNMNSHPLGQYPRSAMISSGPRSRPNDTAWDSEEHIVVSSDQKMASS EDGSAKGVEISSDRRTSGARQGGQSGRHIVVTTEVTVQESAAKHDDRMRNIDHF
SAPIO_CDS0590	MAAPETPESNVDVLIIGAGPAGLMAACWMAHCGVKARIIDKRNA KIFCGQADGLQCRSLEIFDSLGFGDRAWKEANHMIEICMWNPGPDGVIRRSDRIPDTI VGLSRFQQIVLHQGRIERFFLDNIRKFSKDTIKVERGILPESLEIDVSKVEDNSAYPV TVKLRHLSEEEATPRQEVSGSKVGKAGDGIFRSNLIYEDDEDDLIRKSRAREGTAETV HAKYVIGCDGARSWTRRALGFELQGEATDFIWGVMDIIPITDFPDIRMRCAIHSAESG SLMVIPRENKLVRLYIQLVEVTPDASGRADRSKITPDFIFGAAQKILSPYKIDYEYCD WWTAYQIGQRVGTSFDSHSRVFLAGDAVHTHSPKAGQGMNVSMQDCYNLGWKVALVAK GIAKRSILTTYQSERRRVAQDLINFDHRFSRLFSGRPAQDVMDTEGVSMEVFKDAFLK GNLFASGLSVNYGPSTLVAKSGDAAEQGDGSTPATSDLVKDLTPEVFEKKQALATGLP VGMRFNSFKVLNQACARPWHFQERLKADGRFRVVLFAGDILDPEQKARVQKFCDALDA PESFLHAATPADAPIDSVIEVLTIHSSPRTETELLRDFPDILHPFDKHAGWDYNKVFV DGESYHEGYGEAYKNYGVDRKRGCVVAVRPDQYVGWVGELEDFDQLQKYFDGCLILRD TANNELNGQNLTIR
SAPIO_CDS0591	MAPTFDATSTAPQLVAALANEIRGKTILTTGVSPGGIGAAFVEA IAIAQPSTLILAGRNAAKVQATADAITKANSGVKIRTLQLDLRSLGAVRAAAAEVLSW NDVSVIDVLVNNAGIMAVDFALTVDGFESQLASNHLGPFLSTNLIMDKISASKSPRVV NVSSDGHRLHPIRFADYNFDNGTLCNKWFAYGQSKTANILTALWLAEKLGPKYGLHAF SLHPGVIGTNLGNHLNWDVEMTGLRDADKTLGNREGWEDFKFKTLEQGAATTVYAAFD PALKAHNGAYLQDCHVADPWTDTVKPWATSSVESERLWKLSEKLVGQEFTY
SAPIO_CDS0594	MHSQRVWKLPGLILRLSGQATRRSISASAFLRKASPYEESTIQN LRIGKNTRVIYQGFTGKAATANAKDTIAYGTNIVGGVSPGKGGQIHLDRPVFNTVREA MERVKPHATSVFVPAPFAAKAILEAIEAEVPLVVSVAEHIPVHDMLRVQEALRTQTKT RLVGPNCPGIIAPEQCRIGIMPYGQYSKGRVGIVSKSGTLSYEAVGATTKAGLGQSIV VGMGGDMLPGTTLVEGLKLFFEHDDTEGIIVIGEIGGEAELKAAELINEYRRNTPNPK PIIAMVAGRTAPPGKTMGHAGALLSPRDVSADAKAKALEEAGALVVPHPGVMGVEMKR LLGA
SAPIO_CDS0595	MSSNNLPMTLLRRHFRATRLAQLQQLRRLSLYEYQSQEILRKAG IPVPRGRVAETPAQVRDIAKELGGSCVVKSQILKGGRGKGTFDSGLKGGIHIVNNPEE GEKIAAQMLGHKLNTNQTSGDGLKVNKLLVAEAIKYSDEWYLAMTIDREAYLPAIILS KNGGVDIETTAKQTPEQLHSFHFKLSDGITPELMEKVSSTLGTSQVETNNLKDILERL YKIFAEKDATLLEINPLVRSANGSFTGVDAKFSFDKAAERRQKELFALRDSAQEVPEE VEAEQYGLIYVRMDGNIGNVVNGAGLAMATNDAIAHHGGSSANFLDAGGQATKETMQK AFEIILRDPRVKTILVNIYGGIIRCDMIAESIIGAAKELGPFRVPVVVRLQGTNSVKG LRLLEEANLGLHTEAEFGEAARKAVELAGATEHST
SAPIO_CDS0596	MLDKSMGLTVDCAAYDVEDSVTPDLKQSARHGLRDFLNTTRPKG IINVKGIYYGTAALMPRLLKQGQGGVMLNVASVGATRPRPGLVWYNASKGAVWNVTKG LAAEFGPHKIRVNSVSPLLGATGLFEAFSGVPDTPPENRQKFLDNVPLRRLCEAEDVA NACVYLASDEASFITGINLEVDGGRAV
SAPIO_CDS0598	MADTDTSMRKCRGVGIEHLSIAQLQKHMTDGTFTSRDLTECYLE RIRRVNPVLKAVIEVNPDALSIADKYDGEQKEGNVRGPLQGIPFVAKDNICTKDKMQI LIGTRVADDALVIKKLRRGGAYSWSTRTFQNGHACDLHTLRKDTQATAGNAETLITSL NTSADPAAEAQSPHLYQFVKVDFYNDLKAYLASLAENPMNLKSLEDIIELNKKHAEEE GGLLGLHGAWPTGQDTFDHVAETLGLGDEIYQSALKYIRQKSRGEGIDAVLTSKGRAT RRNPLAENRNDVQFDLAIIQTSGREDLLVKYGSAMVDLMRGRSPARFLNLDANNYMYV GGPPED
SAPIO_CDS0599	MPQTSTTETAGEGKLGRFFDDASAILGEANISRDHQSGALKGPH GQYSYGDPYSPTEDHQPSGAVRPSSVKEVQNVVRLANKHRVALWTISRGKNLGYGGSA PVVKGTVVLDLQRMNKVVEINEEYGYAIVEPGVSFFDLYEEIQQLGLNLWPSVPAIGW GSVLGNTLDRGFGYTPNGEHSQFQCGMEVVLPNGELLRTGSGAMEDNKTFPLYKGGFG PSVDGLFYQSNLGIVTKLGIHITPAPQAYATIEIDVPREEDLVPLVGTLSDLMRRSII LNSPSIANIFRIALTSNLPEVQANLAKYMRPGSCVPYVVLEEIRVQNEWGFWRAYFSL YGSVELLPALLKTTQRAFNTIAGVQIRWKEHRGVEGQYIRASEIGQEEIPHNGIPTLA PLGIVNTRVSEDGGAHTCFSPILPPSGRELYEWYLGAKQRTLEAKFDFFADFHVFPRY IIGIELMIYKLPEEKAAMDLYEELLQDAARQGYMGYRIHVKFMDKVSGKLNFNQSALP RFLATLKNALDPNGIVSPGKSGIWSSSSSSKTSKEGIYAIKYY
SAPIO_CDS0600	MIGEHYPLDLLDWVDQCTPIFIRRAYADAMFNGMITGFEVLDEL EPEGSIVLDTSQSEEDGSSIHFHDSIWEGDSPDPVVGRTSFPTIGQRHSEFSQRESIH KELFACWGDSSDAPAVPTVAVEPSTCSHEATFSADAEQQVQDDSQRPHPDPAEHISAV RRIASNFKESFETAWNDLRSLRHYFVREYRKMRRIARKFTSPALSRVLSTLRGPKDLR NRGILTFKDVIRGVVTDDLTNIFALLTMSYAMSKVLVAKEKIKPADVLSGLNLWRDIV CDHEREDFSRLAESLWPEAKSQLQTQKSPDGPDFDITSGVGFGSVADIFRVDLGSITN AFAKSQILESTMGQAGVWAENFRFQNLSAENYSPPRATPIGGNLRSTQAFSTGPGYTV PPNLLKSVWYNGDETEHCIDPQLLSSIEPPMAAAKQNIHRPSIEALHETSIFRVVYAF AQGEIFDLLFILSGKGATVRYYRRGFSTDQDQRVFETKVTEGAFARLRTGDSREIPGL QALVSAAETFVRLACLRSLDHVREFVLEVAQIFAHDETYRVNKQLSFLVTPSYIGLF
SAPIO_CDS0601	MAQNQIAFVPEQVAVDVSSKHHHGLGFWPPPSSDPKDPLRWPRW VKILALLSMALFNFVANFAGAGLSVATVLLQAQFQKSEQQVNALLTFNFLLLGVGNMV WVPLSVKYGKRPVMIISMAIVFAVLVWTAKARTFTELLAARCISGFASAAGESIVPGV VSDIFFLHERGAMMSIYVILISSGSAVGPLIAGFMIENTPETWRDFVWLCAALAGFNL LTIFFLYPESSYNRPPLPTPRSPSQEGAPSINKDEGDATCEKLEDASQPIHITYNEDW VVKVSYPRVWRSFFQPNHRVDLLRAFAVPFVFLLSAPVLWTVFVYGCSLASQIIMIFA FPSVLLAPPYLLPPSSVGLMQIAAIIGFTIACYGGGYICDVITARLIIRNHGIFSPEK RLISLAPGCLIAPAGCILLAFACQNQLHWAALAVGFGMVSFGTVYAPNIAMTYLLDCY PAFAQEILVAVNVIKNLVAFMFLYVAVNWVNSQGWIQVYMIMFMVVALSMLLAVPLYF LGAKARKSFEGTLVRIISPLDDISS
SAPIO_CDS0602	MAGHFRGTLPPASKSFPSLPQFSGFMKPCRFEGEVHHLEVRGTI PPELDGTFYRVMPDPQFPPFVDNDPWFNGDGSISAFRFHNGNVNFQQKYVRTEKFIRE REAQRALGGKYRNKYTDAVEFKIRTTANTNIFYFNKVLLAIKEDAPPYALDPVTLETI GLCDFDGQLPSLTFTAHPKVDPITKELVAFGYEARGDGTPDVCYYTISPDGKFTEVVW IVAPVVGLIHDFAVTENWVLFPIIPQICDIDRLKQGGEHWQWDPKVPVYLGVLPRRGA KGSDVKWFRAPNAFPGHSTNAYETPDGKVIFDLPLTDKNVFFWWPDAEGNAPDPESIK AEYVRFTIDPKATDLELPTPEVILQSDMEFPRIDDRVAMTRHRHSFFDMMDPKLGTDF GVIAPVLGGGHPLYNSLGHLDHETGKLEVYFPGPTHMVQEPVFVPRSTDAPEGDGFLV VLVSNYATMSSELHIVDTQDFSSAKAIVLLNLRLRAGLHGNWVDAKEFAAE
SAPIO_CDS0603	MTHANGLGENGLYTNGHNNKAESIKTAKQLVEAIKATNMAPLWA QMQRLNPPAPNPQTVPYLWSYDDVRPYLLRAGDLITEKQAERRVLMLANPARAAPYTT DTLYAGLQLVQPHETAPAHRHTAFACRFIIEGQGGFTAVHGQRVAMRPRDVIVTPTWN WHDHGKKGADEEGGDDKPVIWLDGLDLPNFIHFPIHFVEHFASSRYPAEDVDDSPIVF PWAEMQGKLDAKNENWASEPYLKKDGREISRVIGASAERLSAGAHSPAVCETASSVYH VIEGSGSTSIGDTTLKWKQGDTFCIPAWHRYQHSANRNERVYLYRFDDKPMLKALGFY RVEGVDVEKYVSD
SAPIO_CDS0604	MDGSRMGLNGIGRFEPNGANFKANVPLRVLVVGAGLGGLATAVA LARRGHEVTVLEQAPILGEVGAGIQIPSNSARLLLSWGIGPYFDGRVVAPEGMTFRRW QNGEAIGYTKLVPDFEETYGASFLVIHRADFHSALCRLASQLGVEIITNSKVVSYDEA LPSVKTSDGREYSADIVVAADGVKSVARPMVLGGQDKPAQKTGFAAYRAVVNTDEMMN DPDTAWLLEKPQLNIWIGEDRHVMTYCIAGGKAFNMVLSHVDHSDPSTWKPENAIRDM KEYFNNWDPKLRKVIDLIKETIKWPLLSGTPLPTWISKSQKIVLLGDAAHAMVPYMSQ GAAMAVEDGAALAELLSLIQSKKQIPDAFRLFEKERMKRSGGMQSASLVNGKLWHFPD GPEQQARDRGMRAEVEGKHFLESTNQWSDPVTQMWAYGYDAEEAVRRLWYAEMGMKES RL
SAPIO_CDS0605	MKVVWERLIRFVATDGRTLYGEPVLPSPDFDIGSTTAETGLKAK VIQGDPYGEAPSTKVTDEIATVRKLLGPLAPGDVPILRCVGLNYATHIREAGRTQPPF PSIFFKPSTTVADHDTNVVIPKIAQDDQADYEGELVVVIGKDAKDVSESEALDYVAAY TAGNDISSRKWQRSPALAGGVPQWGFSKGFDTYAPMGPVLVSRSLIPDPAELHLQTIV DGELRQDAGLDDLVFSVPRLIAHLSSGTTLQKGSVIMTGTPGGIYSPISPS
SAPIO_CDS0606	MRGIQVSKYVKGPRELEISDLAEPKPNSDQYVIEIHAAATNFFD ILQIQGKYQHQPPFPWVAGAEFAGVVMATPSSSKSPKFPVGSRVFGASQGSYATKVVA KEEQLLPVPRGWSFRDAAGLFVTAPTSYAALVLRADVKAGDYVLVHAAAGGVGLAAVQ IAKAFGATVIATAGTERKRQVAQRYGADHVVDYRDDKWPEIVKKLTKGRGVDIVYDPV GLVDKSTKCIAWNGKILVVGFAAGTIEKVAMNKVLLKNISLVGIHWGQYANHEKETVP IVWEGIMRLIDEGKFKGTVFSDKSFVGLEAVPDALIALGGRETWGKVVVDIPQGKENK L
SAPIO_CDS0607	MELKNGLNEVYTPASDVKSIAIIIFVHGLFGHPQKTWTGKCRNR SKSSLRRESGTKPALNGPQGETLPIPTSRSRNNSEFVFWPKDLLPDALPNVRIYSWGY DADIWKLMSSVGLNTVHRHARNLLNDLAALRDELEDRKLPLIFVAHNLGGLVVKDALN QSAGEVGNKRLGDILPATFGVCFLGTPHRGSKAASILRKVCRVTEIFAGQRANTQLLR ALEKNSETLERLTKGFYDTLKKHEGLQIFSFLEEREVRKFGVISIVIVDPDSAQVGHG SEETGSIPANNWEMVRFTSTRDTGFVRIKNVLNRWVGEIQSAATRKF
SAPIO_CDS0608	MQITVAPASAQTLRAAVEKLLNDETRPTVIGIYRNLNKVPAEFK SHPNFKAVQADLSDAGSLDFTGSDAVLALTPPKYDGSDFIAETKKAATNVRNAIERSG TVRRLVYISSMGAQHAEGVGEVRTNHESEEIFRSAAPEVVFIRNGYFMENWASALETI RADPPFFYSTITPIDYKLPMISARDIGRTAATELLATGSPLKANPYIFNLHGPKSYST LDVQRAFEEVTGKKIEVKLIEKDQLTSFYTNILPPNIVGAFVEMTLSFLPGGIQEEEM NSSENMRRGQDTLVDAFKALLQKS
SAPIO_CDS0609	MAAPMLPPIRLLSWPLRDVISFCVQNFVPVPEHPLLSDYPRRPR PQMCGAWVEALPELAIGGDAEPLPSAIKALGVTLAAFSQTTRAPIPDALEAQCAAIGT LQSAIRDNTVSPSNELAATIMCLFVSEMLLPTSAMSSVIHERGIGDLIRVNQPSFYSF GVPHKLFVGFRPTLMLHAFLNRKSTFLADDDWKSEPFEPGPESFRVDV
SAPIO_CDS0611	MAEQLPCPSWIWSNNSNVHAAKDRSWFGADYTPINSTVGSLIGG PEIAVVGIGTVDLPVKRDPNATGPQSHGILRLHNVLHIPTIFCNVIGNPISKEYGILT GGPGTENTSGVIFDKQHRTVAYFDPQAVLFEVKLSDPPVGPAVGPSPFVRGAAYYINV RWADSEREKWEASRAANAAESTEVPPLSDEEKQWLKKHWDGEFKFLASHGLSIYKDED REEGRIILRAIMAHDRDGNHNDRD
SAPIO_CDS0613	MPEYPGWGLDLRHTARRGTVTFLGDFHSYSCCSAILSVREAAMM MVMDLLTDKPDWHVKVFDDDIAEKWRQEALGWSDHALSSRFAPSGSCPPGILDRESVD FVSSPQRVPSLSLHTGMPSRPAYQSSSSSLNCGIKPSATGRVARSLCAAAGGQLVSAG LASLDERNGAGPRPSVHVPAGLRPPALSPDEVVGVEDAVDKWAGKGEVIPRPAEGDEG RDAKKDPYWSTTYQWLPANLKFTADGGVHFTSYINNLHPTKYADIYKTVERLIGVALP MWDHCFWHGATGRTGPRFGHLGISDQDAYWEPPSAKEMLAREKAAAAAEKAANRAKDA AERTRASMHGSAKRREQDDLPELNPRMPPELTDRRRILDFIRNSKTILQNGRVRFKDK PYKVMSEWGRMVVLPPECVDELRSDPRMYFTTPVTDQPRLEREAKKRVFDDSIEWFEA EYCGRPHHITISQITLSVVAIRTPTDLLHQTMTDIALQPELFEPLREEVIRVLGSHGL KKTSFYNLKLMDSVLKESQRLKPSLLGSFRRQATADIRLTNGYTIKKGTRIVIDSSHM WGSEYYQNPEKYDGYRFLRMRETPGEDKNTRLVSTSSSHLGFGHGLHACPGRFFAAND IKIALCHLLLKYDWRLPDGFYPKPVNYGMTFLTDPGTTLFLRRRKEEIDFETSVSSPA IPSESARQMIGKDTIRDFANDRA
SAPIO_CDS0615	MQDSGAERPRPASACGEAWGCGSRSGLESGRGEGDDDDDGDDDG GVCPFDPSVPIDNDTPDSGGSVPIVGPPGSGCENGCSPDQFSTVTASPPTATSTSSQT PGPTPPADPDLPVVPPATAPYCFGEHNEDGRWRLFSQDQANDILDDICNVGDVLPPSN TFGYAFRGDGGILASVTWVDDQSGCQPKTDVPIRWDNWCLDTFRELLQTCDPFPGDTG ARGSAFIDNSQYGCVRWWIASDSLENLRLRLSRAAVRRAVTMVVGVEVEAVKAELDAI EPALPRWDRRPSVDGRN
SAPIO_CDS0616	MAIDLEQNTRSSGSVKIHVDETEHATEKMGAAAAQTSSEANEVT LRSFNHLDEKKILRKMDLHIIPMLTLLYLLSYLDRGSIGNAKIEGLAEDLNLTPNQYN WCLTVFFFTYSAFEVPSNLVLKKIRPSRWLPTIMVAWGVVMTLTGIVQNYHGLIISRI FLGVAEAGLYPGVAFYLTMWYCRHELQIRQALFFSAASAAGAFSGLLAFAIAKMDGVG GLAGWRWIFILEGIATVLVAILAFWTLFDFPETASFLTQDEREYVMSRLRYQGSMRDA LSEDVGPSVQEAQEFKWAYVRQAFKAFQAWAMVICYWAVVCPIFGITLSLPTIIKDMG YERSTSQLMTIPIYVAASFASIAVAYFSDRAKLRSPFIIGCLTLTVVGLAMCLGSASR RVVYSGLVLAVIGVYAATPGTITWLANNLSGSYKRSTGMALQISVGNLGGAMASNFYR AQDMPRYILGHSLAMGFVVAGIITASGLVTTYYLMNRKRGQALRNGRRADFTEEQLSF LGDRAITWRYMY
SAPIO_CDS0618	MPPPTPVLPDSFFAPVLAIGDRDLKIRWYMCVIINLSSLNYADV IPQVYSHLDSSLLSQLSHNDRFEAVHRIREGLTKSLGIAGAARTGNAIRIISHCTPED LRLKSSPRSLETEETAIQRGNEFFGRIYDGNPLFDVRDTERASPDYLFLVKDIVYGRL FSYDAIIDDTTSSYAMVSALYGMNSPGQMRNHMIGMLLNGASRDELIELQKLLLGLAE ILGVTFRFEPVPVPTLPVEKN
SAPIO_CDS0619	MFVLVPLCDVPSREKSDRLLELIARIAPFTARSEPECRAYAWFR NAEDTDAVPGHWVRGIEVYETQEANRETHRAGEAYREFRAAVAAEGLLNGYPDMRFWR ATGVGFLTRAPINMMADREATKNQYIIVQDLSPKQGAKSKVLDTLGSVVNSVESVSSV GSFWVLERENKEDGDDVIVFARFDCKNSYEAFMATDAGQTWKTVGDLCQAALTTTWTE AGIGFLGR
SAPIO_CDS0620	MAPPANPIPTIDKLPLREGDPAYSAWGLWGNGPDSALGSLNYLT DEVVLKTIKEEVKTGERVGLKTTQEQIHSAPRTDYNSLQPWTKRNLAGRGVLIDYASH AKRQGISYEAFSGHPITVADIEAIAKEQGVTFNAGDVLFIRTGYVAAYKAADEARRKL AAEGKWVGLSQGKETVEWLWAKQFAALAADCPGFEMLPPADHDWFLHPIVLSGWGTPL GELFDLEGLAALCEKNKRWSFFFTSSPLNYAGAVASPPNATAIM
SAPIO_CDS0622	MTATGRKPGQTGVGQKTTSAIKTCKTCASCRLKKVRCSGSQPKC AECIVDELECVYPQDARKEPRPSRARVRRLETTVAAMLDRMKAAGLAPMDTSTEEWIS SAVAGSGESLSPRGQAEHQLERPRSHDDEANSAPGITNTATATMPQQGVLIVSPPLPS RHVDSSTETTIDKCAMDCPDPGPTTSVDNNNSTSQHLTPSSTSTDIMAASQTQPLAEA AESDLNDRSREGKDRLSGLSPGEAHVAGVSHEHGCVSSVHGLASPMNNRSGRHKDITA VAGMWQEDEARTAASKARLISYAALQSQREAWVYNQPQITIDLDGCDVDLAKHLIELH FSMQHYVYLISYRPAIMDSLASGGGPWVNKLLLNAIYYSSSLYSNRPCLQQDPRDPQS IGSRFYARFCQLLGGEIAQPSIPSAVALLLMSASLVSHGRPSAGWNLSGLAYRMVIDL GCHLTPGLDCQAQAIMHSSARSALSQDLEQEMRKRLYWGAFATDATQSLYLGRPCMLA PVEARVPLCFLDTFEELAKWEPYVDAQTPQYCPPPYAPQPAHAVSTFGAVARLLQIST RITKMYGIDAIKGQAQDMQRELTDIEHNLQHWSASLPSHLRFDPEGPFIPPPHKITPH TTFHALNILLHRAFLEDGHLYHHSDSGVTTRSEEACLHSALMIEKLLRSFRDTFTLRG APFLLCYATYSAVSVVLRHERHHRGRFMEHISFFWTCLSDMQRGCNFGMKKPLAILKE MVREYNISIKQSGIATMASDDAGGGDKYVQAGLDESFFEFPLPAHPSVGDATSEVMHI PNDDGFILNHSGVGDSRMSVVGSDYYSYDTSMAGLMTYLDEQEQQIWQDTLYGLFTSS MQFG
SAPIO_CDS0623	MTAATLEQTAARAMATGQPEMIKAGGKVAYQNNHQNGVGPFGEV DDATVKAAGAHVEQFYKIIEKPLGQRRHIRIACLGAGYSGLMMAIVFSQRLQGKNAEF VIYERNEDLGGTWLENSFAPKPDWPNYYATSEQIHQYMHSVADQYDCNKYIKYNHSIK SAVWDESKAKWQVTIEKSPQRLTFVDEVDIFINAGGVLNNWKWPNIQGLETFEGKLVH SARWDTEYDFSGKRVAIIGVGSSGIQIAPQLATICDSLDLFIRSQTWISPGPGINEPT ANDPDMDSEYNFSEAALELFKDPQILRDYRAAMIDRRNVNYKRWIADSDEQAEAVELF RATMKQRLGDSEKGRKAAECLLPSFPVGCRRPTPGPGFLEALTRDNVDLRWDDISQIT RRGILTKSGEKKYDVIVCATGFDTSFKPSFPIIGRNKVSLTDKWTEEVPKAYFSLTVP DFPNYFCFIGPNSVINNGSLVLGIQMTAVYIYRWIEKIQTEMLRSVEVRSDANEDFNQ HIQAYLERTVWTRNCRSWYKNGTIDGPVTAVYGGTTFHFMEALKHPRWEDFHMTREPS AANRFAYLGNGFTLSEARGDSVGVTQTINFDDFMSLFVLPNTYS
SAPIO_CDS0624	MKVHYSFHAAESQYKRWFSKWNVRKRIIGDEKKDIVQALGRRKR EDTSTSNVLIHLSSWNLPYAALTKSISKAPDKPSPFGIAAATPGYLVVNSPSATTPGR QNAGPSPPMQLVREALLRDKSGLFCQGRHEELLQNCGKEDRIILTNYLHEFYMQSFVA AKHWGHGPKAWTGGMVSALTLGILNEEGPHTPASIVDGPAAHYPSAARDPRLKFQPPD PFCRWVIHVNRTSYEAIPEPSPEPQVEFDVTNTSSWSSWTDEEINASSFADSMRSSIM ESTFTSVAPEDLPISISAVSQSLEKDSTALEIDAWKFAIIAENVDLLQDMFNKNDEEV PDSLVDIYPLHLAANYLNGGKSCCGVFSTLCYMLGPGYRFRNPVDGLGHTVLDTLLIA VLRSHTAITPDQVSLQLGSSTRFSGEEKDICGRWDVDTPAVRELFQHGYARIPVGWKH PFCHTAVQAVCHATIGLFGSPIHPKVNATNGLFVRRCAHCGTELKLGPLHAIVVTSFY LAEKGMVGETLFGPLAVLVCLLRLRADANFSVSVSADDILGVADPSRCNHVSQTARQL MEAVPPHAVSRWTAECQTGWRCLSQTLLLAEKGSIDKSYTDRNPRDVIHEFITPKPRP EARSPVFHNEYMGVENVDDDDDEDDEDNDDDYECCQLDDDWQVHTTWVQIPGGNSDLG VLWAAIQAEILTYRRLKDNDPWISENFSMHALRRWLDGETDDFCTPLVKDGLMRPHTK CGWFMGEDFFCPIAEEVCKTHFMNMDTDKEVHGRARFNYRPDFCDDWGSIVQ
SAPIO_CDS0625	MHSLARALLASATLAFARPQGVIISAKGTLGTSVGMAVDINNPA DANFISQEETVRNVVNACGRTLLKGGISQSGETEKIIAAGTFTRVEKGGDLTVTISQR TAEGSGPFTCDLDEASNVLGVSGQTPLTVQQDSAEFGTGNLTLTVTMPANLTCIGGST GNFCTVRCRNEQNFGGCIAVQQTDVTPNVNVPDEIVSAEFEDDINAQIEQNKKDLAAA QAGLASAATTDEQGIEIAKAILGSAVNVGGAAATTTSATATPTGRRGRNRNRLGNGRS GRNRNNRFN
SAPIO_CDS0626	MKFVSVLSLALFSLVQGHSVILAAFGEAGSPSSVGFLVDSSIAR NCSGISPCQQDTTIIRDVEISQNITGLCGRTEIEGNIDVNSNIENAISNNQVAQVQAG TTLTVTIHQVNQDGAGPYTCELFSNSSTTTGQRLAVTNDVPGFNGLSQAKFKAFNVTV QMPTSFTCTDGCFAVEQTD
SAPIO_CDS0627	MAASYLSDPNYNYDFVVATTQASINANMMRFLDSIEQPLVEACY VMGKNNTYERVEMSSFLEMTGGVDPFVIPGQTNVDDPRIQALLKIRFVAGFKARPGLP PCDLASLPDIVTLGEDISSVTFRTMCSEFVLVAIQGGLYTPPTWTRTSQDPKKPWIFR SQVNLSLSVMEEPDIKNLPIDVQEKIAEIRNLSGSAFHIQQLLFDLASANLWDMPHIE GFDESSAAHVLLQTYFLTAYVNEMKKDGDLVLGCSAVVTEPSVSTLTLTGFNFNVNPY MGANGLPIPQQGKDESNCASLNYLCAANHNPLPPRRRFPWNWVNVSEMNQQDGVISIN RNSLANHLKVKIGPLVPEFCVRPWVNCDVSHKSGVVSFDSEFYKNQLPTSTTLPGSGA TILTFAYSSKDQDQAGVNGCLGKIEATSTYNLTVVVSGTCVTVTQDQVLHVYLKKLAT SKSGNVVKRTVVDIYSIGVDPNGALVLALADSKSADDPDKIATDKFQDFWTHFNSIAQ KFTNNAIQSFKELTDIPLSTLQNYVFPAGKTFVFRDARFSDHQDLIANIGYADPKAPR IRSTSSTTASSHRQYTPASGSTSSRGIDTPSADTVGPDDPIPSIEEGDNAPEPTPTPS PPSVVFTPPTVTSADDAVENSSTGESMANQMRNLRLSSPRSSAGVAQLGVALDQVVLS EPQRPESRSRRRRSRSATVNGDEPRHQVRDEEMPPDRFHEPAFQRGFADARSLVGQLA TVLSQSSSHRDPGSTAKKLYDAATKLAAFEPPSTRTIGFVGDSGVGKSSLLNSLLDFE NFARTSNSGAACTCVATEFHYHGTSDFKITVDWFSEDEVRDQLEDLVDSYQHFHLHED ELDSGDEIPAENAAKLAMDVFKVLFTGRLINPEYLLGRSQERIIDRMMSEIQTQGYLN AIEEATFNSSAQCSNALLGLTSESSSTDRTIRWPLIRKIKVYSNAIILSKGLVLVDLP GLPEYERCTREMDEFGRDELHDPETLQEYLELGREKDEYARRKREQEYQLIRFLINNR NEEVTGKLETQYRAQVPRATLRIFCVSNTIYWENRAKPRAEALPYLTLSGIIALRRHC ISIVGQSQRRLAARYVNDEIPAFLGDVGLWLESGAGTIRAERKRAIRQALDALDSRLT RALLEPTGLEELGGLAWFGVDSRYRLLRAETEDAYDDFESKLTTFQTDAFSGIRTSIF GELLEDSYRACQATYGTGSDRKRKNIIEGKMGDRRLFQQWETNFRSKFKELVETLEQE ITLIAASHLDVIDGTLDILRDENAESEADRDPALREQLSQEVAAARGDLRRIQQVVN
SAPIO_CDS0629	MSLTDTPAGSGRIERFKVDLSRNVPRMLDLVKNTKLPGKPVYSD LEASAGIDLDILKQLQKEWIDDFDWKAEEEDINRYNHFTTTIEDLKIHFIHERSGEPD AIPLLLLHGWPGSFLELLPVIKPLTQTAKTSTGRDVSFDVIVPSLPGHVFSQAPPANW TTDDTARIFHTLMTDVLGYKTFAVHGTDWGCVIGYALYEQYTAATRAAHFTLIPFFPM LPEQLAANDITLTPEEQFQEDRFIQWNTTGNGYFVIQATKPNTIGLALQDNPIGQLAW NAQQVIDCRVRSTSGNGFVRAN
SAPIO_CDS0630	MLDRYEVPAIEPGSSKSSASCGRARSIRRNTMSSLPDLPPEIIL SIATTRRTIARVARTNRRLYNVLNPALYELNLSQSSPTKSCILWGARKGCLGTVKLGH QYGGDLNLDIKDTNWPQSGAVKAWHGDRWEFVTECGTALQLALRYGHLEIVSYLLEHG AKIHAPSLACCHCHTLGRLSYPLHEVVCDVPSVLRAYRDPGRDKEAALLLIRHGAYLH APEQPVLPSLVENGRLDLIIPLLKQPGVDSGAAAFMGKTPLHVAAELGRDDIAKLLLD RPEVDIDALDASRRTAAERAAEQGHTGTLRLLLEGAKRKSSMEPNCCARALLAAAASG QLDVMKLLLGEYKVRALERDAHGDTALHVAVRGGHTATVKFLLGRPDVDVNVVGCSDM TAIHYAAGETQDVPLTPLIQALVDAGADVNASASSPNGTNTPLRYAIERKRTTAALAL LSHGADPSLERGPSLRTGLTLLHHCLAPEGSHLSKEELRLIKQLIEKGADTESTSISD AVRPPSRTDGPPLFFAAAYAKSDSCVQWLLEAGASADLSLINARAPAASNPQSLLMAL FYYWFPNDNYHRADGPISPRVSLGDVRRVQACIKMLLKHGARLDGVGSEQSALHYACE VAMEECFELLCVLLRGSTHRNVCLAHVEALKTEYGPGGSGNTTPRDHSLIEVRRFLEE KRAAGVPREPEFNFTVRAPLTGNDPHVSGSVSKAFAGYCSSNSVEMAFTRATEDFSAL GGGHNIPYAYWDYGGTPKGAKELLPTNHSPFFAPEIETTLTTGGDAIALAALVFPVE
SAPIO_CDS0632	MAPRARKTPDTPRGSGMLRRSHKKSRNGCIGCKQRHIKCDEKRP ECVNCTITERTCQYPEKLRSGSNASTPPAQPMAAAPVSIPELVPELLAATQTDAVQDG DREPPVNLVHMELLIRFDLGLPVPEMDDELRERGTRVALDASADAPYLLHEIMAFSAR HLAALRPEKSQEYLAQSVRLQNVAISHFNVGQVQVDESNCVAMILFSSILGRHLLIDA LAVRTPDFAHFLARYTQHTRIRQGLRAVAKGSWPLLLQTDLKAFLSWGSRLMQSPGEG PECDSLRHLISQSSLPVDAAEACGKAIDALQAGFDELRSSEDEGALLCQIVYMWSIMA PMEFLDLLEQHHPEALVILAYYAVLLHGARSTWQIGDSGAYIVNSISQFLGPHWSQWL SWPMSMITT
SAPIO_CDS0633	MAADDGGAIGFVFYRYHPSMVAAVIFIILFFLSAAWHVKQLVTS RTWYFIPFVVGCLFEAIGYVGRAMSSKEHPDFTKNPYIIQSLLLLLAPALFAASIYMI LGRLVVRLGADSYSIIKPKWLTKFFILGDVLSFFTQGGGGGIMATAKSSEDARRAENI ILGGLAIQILFFGFFIVVSFIFHLRISRHPTPQSHSLNTPWKGLLYVLYGSSILIMIR SVFRIIEYVMGSEGELLSKEVYLYIFDAVPMFIVVVMFNWFHPSRVISHEAKEPLASV TSLEVLGGDLESGHQKSAQWSRQTHEYSAGQSRSYS
SAPIO_CDS0634	MAGEKSSPFMKASSFLAKNPFAENANVRDDGRVDIVVDAALGRI ANRLMRDDPTINANRLSRTNPPTSSMPPPQYSIIDPLKSQVTELPRPQTSTQSSISLN IVIQVVGSRGDVQPFVALGAELRVSGHRVRLATHDVFKDFVKSAGLDFYPIGGDPSGL MAFMVKNPGLIPSMDSLLAGEVQGKRTMVRTMLDGCWRSCIDADPDSGEPFVADAIIA NPPSFAHVHCAQALAVPLHIMFTMPWTSTRQFSHPLANLTLGNGKIDPGTANYMSYWL GDVINEWRTTVDLEPVPTSEGPRLLETLGVPVTYCWSPALVPKPADWPSSIDICGFFF REPPDYTPPDSLASFLGKGSTPIYIGFGSIVLDDPEKLNSILVEAVRTVGVRAIISKG WSNLGGKTIGPTDDGNVFYLGDCPHEWLFKHVSAVMHHGGAGTTACGLLNGRPTTVVP FFGDQPFWGEMVAMAGAGPNPIPHKLLDVQNFIDAIRFCLTPEASAAAQRLATSIKAE TGIKQAVKSFHNHILSYNIRCDLLPTRPAAWVYKTKSGRSIKLSKLAGEILVHSSVIQ RKHLKRYEVNPVEIRNRRWDPMTGVASATLHTGKGMLVATSDIVIKPLKTYRSLSANH SPSPGSDDIERGPSMTTPPPTPGTSRSAARSSSHSLNHTQNRSCLADTGQVVAASVSG VGGFFKAQWKGFLLDIPLSAAEGLRAVPKLYGEHVPERDVITDFRSGAMAGGKQFVLG ISGGVADLFLQPYTGGSRDGARGVAKGLGKGVVGFTTKVLSAPVGLVAYSFEGIYQDI RNFTSKTGRIIQTQQHLEGTYLLQAELTKSRELEGGKSPKG
SAPIO_CDS0636	MPSVSAETLEQLERLCKLYKIEFIDNLPHSQWPTRLQGIQSAVA DLGTRKFDEYATRATEEWKLKAKAVAEHLVSEVRRQRQRNESTWRHACEPIVFARMKL EVACLEPVGLNNIFGRREDEEVRLEPAVAKILSKKFQKPDAVFGLRQTRNIENLLNDN EKPGLELHDEEALEGRQVHEILDLVTMVQPLNQRGDELLFPFLVLEAKSGSSDSDWNA IQMQTAFPIKTFLDAQNRLKVATGQQSKWESGPLVWFFANRGRDWRVSIAYIEYEQSK KRKRDGPNSIAYKVVDIWSGSIQTYDGALQLLLLVDYVFDWARDSYRPDILQELRIIA SGDNDAASSVYYSDPDVASTRLVEALPGDTSIDEGEEYHRYTTLQNAFTSFDKEEGAI RHAAFVEYRYCCLFVTKDNVKTLVQSTNQQHAQKLYRGILDQMDDCNLIEMSTLSALE KQWTGTSRFVPASPPSEQTFYTVISHTTYFSGQWNQVRELYVVAISPSAWDDVVGASE LQRRRKSKMRKMQPRTLGLTDHDSLVTVIENLRSGTPRSMLLDAIKRVSVKVRAGTSR ISDDKPTLPAHLSQNDGIFRHMIHYVYKWFKKGNIEPQEPFMRVSKRFVQQTEVQPEV ETACGESAEPTLSVSPDGYVLIRAEDYDRDRERSKSEICVYIVDGDPVMPEKQDLYKK IKRAIATANICHTTRDNGYSSLASGEGVQWNRNQDEVYPGYHAPFDFVSLLFQVDNPR RHMETRVAPGSPRWCKSPNSRLNVEKVCLPGPHRAIGVLTPLSIANLRPTAARHMFLI YKVVSDEINYWKGLAEATMAKGTFCCTVCADTISEDAGLGGLRCAACDWALRLKPEFP WVGRMLKGKAPFDGSARTGRWTGLWTGALKDYPKLDEPLTTLEQLHNQYVEFYEWYRG RGLNK
SAPIO_CDS0637	MASEIENRGPQLVAVNSTFLTASLIAILLRIYVRTFMVKAFGID DWLMVAAAIFFTLNATFSNVGVIYGTGRHHADLELTNISTAMMYWWYCYLWYCLAMIS SKLSIGWFLLRVIVSKVHKWIIYFAMGTTAFSCAMLFFVTLFQCSPISFFWTRQPPGK CISADVVVTIATVYSVTAVISDFIFALLPGVIIWKLQLNKKTKLLLIPLLAMGCVASS AVVARFPYLPKLKEPDFLWNTVDVAIWSNVEQGLAVTAGSLATLRPLIQLVAFKLGLT AGSVSLQPSDHRHSSRRQPRSNPDGYFSRGHGVYSLSSISRDGVANPAGDGNSTHSNS KLSPSGGRFQVEIVLLARASQEGGAIVSQTTKKGGQGSRGKNQEPNSKRDGANHQSRR RAKDQNIPPAISENAGNEEPDSEANARVPTVKEQAESEKARLEEAIQERRTAAESSSN GPSETQTTGGKHQKKKRLRRERKPPPTGSQSQHQQTQQP
SAPIO_CDS0638	MDFLGRLKERDKIKKVSIKNTGEDEHTKAATASASKERSGLFTL HSLPSEASNTTLDVIAVHGLGGNWQNTWTASNGKLWLRDFLPLQLQHIGLAARVLSFG YDSESFFSKSTSDIDDVARVFVDFLDAMRQSEDEKARPIIILAHSLGGVALNLCHERQ NLYGHLLPNIKAVMFFAVPHRGADIAYWGLFAQRLLHYGQLTIRGNDEFLKALQRNSE VFAKISQQFVERAQALRIVTFLESERLGGQLIVERNSAELLLPNEKVVTVPAANHRTI CKFSATSDQNYFLVSANILAVARDLVQEGMASDNLAAKTENAITSLPTINSDDESEKL LQLLFLTDPKDDVAAISRERGDRHPGTCEWLGDREEYKSWFNSADPSYLAITGLPGIG KTTLARFILEKLAAHVKGSPNHMLLYYFFDNKIEDRRTALTLVRSLVWQAVSQHDELW KIMGNDLRLKGDGILTFDTLWMHLQSMLQPASFSTVYILIDALDECDDASRSTVLWAL SRIAADAAKQAGLPIKIVVTARPEAEIEEALGQDWLRLRIDSALINIDLMNFINSKVK DMAAAKHIPETMAGEIKDALTKHASGTFLWASFVVQELSRTPLHKIRRALKELPRGLD EVYCRILRRIDKENREDVKVILTWITTTARPLTTTELALAFGTETKHWADPTSPTSEE VSECSAIYLCCQALVYKDSETDEIRLVHQSVKDFLTGPRLEVESDISDFRIFPESANN AVFRVCWTYLSWPEFKSYFDVSKRVDSKIEKIQGESIADSTQAPQNCLIRYAMENCLT HADAAYTAEGFDWSVLSMLPNLRDQWLLKAIQSDEGDLARSLLDNGADANARGPDGDG ALHYVAQTGNLAVARAIIQSGTNLDLKNDLGITPVYEAAHAKNSDVFFLFLAYGAKAE LYTAERVCTGVPNKARCFPTESDIYLEGEGGVPNTDFLKAHFYREGRLTGSQAIQLLS RARELLRTEPNLLHLEYPVVIAGMLHGQYYDLLKLLTVGGDPSDTQYLFLGNYVNRGY FSAETMFLLLALKIRYPTTFWLLRGQHECRLLTDYFTFKIECLNKYSEEVWEAFIETF SALPLAAIVGKQVFCASGGLSPHMIKAEEIDEIDRFQEIPQSGLFCDLMWSQYDEEYD SKQGDDDLLFVHNHVKGISYFYTYEVVSRFLQANNFLALVTSTFKQDSGYRLLRKSST GFPSIVDVCSVPNYLDVYGNKGAVIHLTDSTWDIRQFNAGPHPYILPNLMDAFTWSLP FVVEKISDMYLEILRSTSGWCDSGKLIGSPRKEEPTADPASKIAVEKKSRDTSSASCE NQPQTEPDPTVDEAYFLEQLQREFPGGLRLPPVWDLTHLLIALSAAEKDRA
SAPIO_CDS0639	MGFADLRTDAGATMLTTNPAKAPEKTGDNHEDVDLFDSDEEEDP EAARIREERLEAYRKKKEAKPKAAAKSFVTIDIKPWDDETDMAGLEAAVRAIEKDGLT WGASQLVPVGFGIRKLTINLVVEDDKISLIDLQDEIQELEDYVQSSDITVMQKL
SAPIO_CDS0640	MTTSRSSSHKSHLDDDGVLSDVRNFEDISLDDAAATAAPVHNNP SVSARRPLTRDNHLKVTIPKQPHASPVYQSDGHGAHAGQRNLSTTRTLTRTRDVSQKF LPQRDTSSGLANRARQDPPSPQSPGRLSNGRSVSAHGSLDPPPRSPSFRRLPIQPSLT APNLLPRQRSPSPNFLLGPKDPNQILRPRRSSWQSNRERKTILELEREFDDDEDDDDD IPDGLILDNVPISPRPTSERPKSQPQSPLLLPHGLSLSSKDRRSVGNGTPAVATAQGS LRSPTWKSDTALADCNGTEHNFPSQFNGQAKGRAKSWNIAMASLSPEMKALTEKLEEH SDELDEKTRSSHEKRPATWDVSRQAFDPVNWKPKLRKHSQLPELPPLRRNNVMIDPLP ISKEKEAVLSRTRPSWLPPKDPAEEKRHLRQYKQMMAQSAEADRRKEATRSAIKTHRD NAADNLMRIWEEEVIPRWGHATREPRTRELWWKGIPPRSRGVVWSKAIGNELGLSELS YTAALKRAQDAEERIKADKGDPEDTRRAAWFEAIRKDVAEKTWPDLRIFQEGGPLHES LTDVLSAYAMYRGDIGYVSGCNSIAALLLLNLPNATDTFIALANVLNRPIPLSFYAGD PGAKSSAYNLVVQTLKDKSPALHQHITSKIPDPEPDAYLSGIFTTLFTASLAVDEAAR LWDVYVFEGDAVLIRAAVALIVWEEGPLLGAASVEDVRAVLGGGGASTRQKKVLGEVG AEDRWMQAVREAGKA
SAPIO_CDS0641	MASFSPTQIFEEGTTQEKGENARLAAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEIMVTNDGATILKAIALDNAAAKVLVNISKVQDDEVGDGTTSVAVL AAELLREAEKLVDKKIHPQTIIEGYRIASKAALQALEQSAVDHSRNPEAFRNDLLSIA RTTLSSKVLAQDRAHFAELAVDAVLRLGAQTDLSHIQIIKKAGGKLSDSYLDEGFILD KKIGVNQPKRLENAKILVANTSMDTDKVKIFGARVKVSSTGKLAELERAEREKMKAKV DKIKAHGINCFINRQLIYNWPEQLFSDAGIMSIEHADFDGIERLALVTGGEIASTFDH PDQVKLGHCDLIEEVIIGEDTLIKFSGVAAGQACTIVLRGATDQLLDEAERSLHDALA VLSQTVKEPRTTLGGGCAEMLMAKAVEGAGTRVEGKKQLAVASFAVALRQLPTILADN AGLDSGDLVARLRKAIYDGLTTYGLDLMTPGGGIADMRDLGVVESFKLKKAVVSSASE AAELLLRVDDIIRAAPRRRERH
SAPIO_CDS0642	MAPSFDHLREADLDEEEFDEDEIDISDLRERYEVQLEQGYDTFV VIDGLPAVTEEQKPRLIKFLMKKFNAVGTAREDSIFMPFGDDGKSLRFAFVEFSTASE AAAAVRQLDQAPLDKKHTLRVNKLTDIERYGREGRIDDNFVPPEIEEFTPKEHLKSFL KDPSGRGRDQFVMYRGDNVGVFWNNEKDTPENVVDRNHWTETFVQWSPMGTYLTSVHQ QGVQLWGGPSWKSLQKLPHPFVNLVAFSPNENYIVTWSARPISVPDEGHPVLSLDDDG KNYVIWDVVTGKPLRSFANLDTAGGDDHGAAKQRKFPWPAFKWSADDKYVARLNQGSS ISVYELPRMNLLDRTTIKIDGVMDFDWAPSTPRRDGVKQYEQLFCYWTPEIGSNPAKV GLMSIPSKEIVRTLNLFNVSDVKLHWQSDATYLCVKVDRHSKSKKSQNTTLEIFRVKE KGVPVEVVDTIKDTVINFAWEPKGDRFVIITTTEPPGPTAVPPKTSVAFFCPEKNKGP TAGNFKHLRTLDKKNSNAIYWSPKGRFVVIATVHNQQSSDLDFFDLDFEGEKPEAEKD LTANLQLMNTADHYGVTDVEWDPSGRFVATWASAWKHAMENGYHIYDFKGEQLREEGL EKFKQFAWRPRPPTLLTKEEQKQIRKNLREYSRVFEQEDADRGASADLAVVEARRRLL DEWHAWREQIEAEVKEEREAYGLPQDPVAELLKAKAASLEGPQEETLVEEIVEEVLEE SEEIIS
SAPIO_CDS0643	MASTAVADSQSATRRGVSRLDSEYSSPSTAVASPLDSPRPSASS TSLSSLASEAVIESKDLGVLLDTYGNPFEPPDFTIKDIRDAIPAHCFERSALKGYLYI ARDLLCLATTFYVFHNYVTAENIPSMPARAGLWALYTVLQGFFGTGIWVLAHECGHQA FSTSRTINNVTGWFLHSALLVPYYSWQLSHSAHHKATGNLERDMVFVPRTREQQATRL GKMAHELGELTEETPIYSLIMLIAQQLFGWPNYLLTNVTGHNFHERQREGRGKGKSNG LFGGVNHFDPRSPIYDNKHAGRIIMSDIGILITSTILYYLGNRFGWTNMLVWYFIPYL WVNHWLVAITYLQHTDPSLPHYHSEEWNFVRGAAATIDREMGFIGRSLFHGIIETHVL HHYVSTIPFYNADEATEAIKKVMGKHYRSDTKDGAWGFLRAMYRSARSCQWVEPSVGA KGAGKKILFFRNRNGIGPRPLNAEEYRTMKQSK
SAPIO_CDS0645	MVQEVAAEAAVEDEGGTPGEGAPLQPVAEPAAKPPPADDAQSVA ATDSDAEVCFICANPVVHYAVAPCNHRTCHICALRMRALYKTKDCPHCRTQAPFVIFT DDPEKRYEDYTDADVTSTDDNIGIRYVNEDIVGDTVLLLRYNCPDPSCDFAALGWPDL HRHVRSTHHKKMCDLCTRNKKLFTHEHELFQDKELEKHMRRGDDRPGALDQTGFKGHP LCGFCGQRFYDDDKLYEHCRNKHERCFICDRHDSRQPHYFLDYDALEKHFKAAHFLCL DKECLEKKFVVFESELDLKAHQLSEHANTLSKDVRRDARVVDMSSFDFRPSYQWELSE RRGGRRGGAGRGRGQDPNAEPVPASSAQPMRRDELAYHRQLAIQSTQSVSNRSFGSQL STDARPAAAPAAAAAAAPSRPSAAASSSAAQAATTPVFSLGNQTDSVESIDMASLSVQ DRARLTRHRGVIERAQTFLGNDAAKMARFRQGVSSYKNGKLTAPQFVDALFTLFSDTS SNSLGILVRELAELFEDPGKEDALRKAWQDWRAINEDYPSLPGLGGMHGATTASSGWA AAAMASPASPAGTASQRHSNRVLRLKSSTRQSAEGPAVSSASSSWTAPPSMTARPPPA SAFPALPTVARPSASTSNVARSWAVSAGGQSGSSGGGSKAAGRPPHGEDNFPALPAAP KPVTSLYGYGRGSVRRDWGANRDTGFSWGGGSSQQAASGNNTEREEEDGGEGGGKKKG KKGKKVFVAWG
SAPIO_CDS0646	MSTPLTPEEETKYTSIIDAILATADLNTVTRKKIRLGLERALGG KDLSEQKEAIKRLIEARFDAISGASQDIVIPPPSSLPDANHQSPKRQANGRSVSADDT QGEIEVSTTVEPARKKQKRDPSLDADAKLAAELQAQENRLARARTTRGGGSATRVVKK KKKAPKKKSEKKVRADDDSDVEGSEDSGPPKRKAGGGFQKPFNLSHHLAELCGETQLS RPQVVKKLWEHIKANDLQDPKDKRQIICDEKMMAVFKQSKVDMFQMNKHIGNHLYPVE EE
SAPIO_CDS0648	MAAATEGFTVPESQAASEPNSPTTRNSVGGDGSETSGAVGGAVA EESESLAADEVDEHADEEVDEHADEKVEEHVDEKVEEHADEKVEEHADGAAEQPVEWT PLDKDLLDAAVNNPARIPWLVNRGANVAVRSSSGQPLLVILIKNGLRKDETTTVLKVL PGLLRRMTKEQINARNRKQMTALVSAVYGDPPQVHLAHRYEIIELLIKHHADLNAKDK DGCTALDWACRRGYTGIVRLLLDSGADADVKDNELWTPLETAARYGHDEILKMLLDKG VKVDEPDINGRPPLMVASCYGQDKIVKLLLEEDVEIDRTDESGLTALEEAVYGCYENI VQMLLDRGADPNKLDGDGCTLLYAASRVGYDGIVRLLLSRDCDVDEADEDGWTPLMAA CLNNHKQVVIRLLERDPDIDKADSNGWTSLGIAARHGYTEIVDLLLDRGAEPNITNGE GWTPLGVASRYGFDDIVRALLRKGARPNISDAGAWNPLISASRWGYEAIVGMLLDAKA DIHTTDESGLSALHSAAFFGHKEIVKLLLQRGADTTLHDRDGETPLHLASRQGYAVIV EELLKHQRRDGVNTRDKDSETALHVAARYTSERVSLLINDDAKEDTDRFCETRTGDDA PGRFQRVVELLLDNGADPTLLTEDGETALHSSARIDDGERTKLILNRTKEDRRALRNN DGETALYIAADRENPDTLRQLLFGLKAIDWGTDDIEEDTLLWAARSESTHDIVRLLLL YSKRPTTAQFPLDTNWDALTMAAYYGEYELVRTLLQSTGLHPGDNKRRKTAEAVVKKL IKLMESNDAGQDKRISGDRSTLLRPKDPDAGGSVTEKDDSESVKRGSMEVGRDGSDAK KSDDEGGEDAGSNTKSEARPSKPRVNVARSRECYDEILDILLDPPMVRMIVKTSAERV PYFKPELEVEFPEIDACIIDFYAKDGRSGFLRRFRDVKEVIYNAGPNEIMEQARNAMQ NGDEDEDDDETYSEEDLSFRWIHLPANNLQWMNDLVLRIFIDDDEPQEAHEELQAFLR ASWHEVPDASFESRFMKPSCSTWLGDKWKDKPQKPPRGEPSEDELPESRRRTGSAASI HTTGSRASGSKAGSVEHPVDRKSDSSSHLEKPEQNSPENRCHVALYMPYITFSEQRKD GTTSEEYQKLLKCYENTNVVMHGTRTLDEFYYHFERDHASEEERRRRNKDQIVTAELN AGGDVAECESWTILTVDQLWLWVINEKYIITSSTHRVDDADDIVPITVLNYLSNIPGN ERAQPQPDSAIAMSKFIVDFCVGFFGHLRADAGLMIKKPEGRRSTRQIFSDAINRASI KEAELFRNFTERGGNSASDENRLKSVRAAADLLRKVKDIRDELNILRVIVTHQQRVQE GLPVGIASNDRHARSILGDIEELDKVAKKIEGAVNATLGLEQSAIAIAQSEETVQQGR TLMAFTIMTILFLPISFLTSLFALNISSFQRREDGDLSYTPGWIYPKIFGPSLAVWVP ALLWAFFSKQTMNFFTNLKMPSISPTEYKSRMKKQKKMKPTPFKDSIETIEITTKWEE GKEKHGHASPLAFDKDRLRKRVPWVGTKKKAPVDEEAGAMGRFGDGCQWQVAEVLKDE SRNIHLRRKRVLV
SAPIO_CDS0649	MASNSEESSSSSDHPWSGDNKSKFQAKAISEYYDPCQEAASRSI KCLHRNGGDRTMCGDYFQAYRDCKKQWLEKRKAERKKNSLW
SAPIO_CDS0650	MASQQPQLRSGVDLQLQSAFSEGNWSAVVRLADKRARTLKDQYY EIVKIAAEAQLGAPVDKSSVVSYVQKLLKDGTTVKDVESLELLEWACHDIMPESFFLE AIGPLRVRLVKSQPRDKITAIDCLESCLLHWDLSSAQQIAALIDRTFPQEHRFFFWNI VTTYLFSRSDQCPADKRKLYRELSTKQIQKAAQVAEQAIEAKEHNLPVRGIQSEEEIL LLFDMLASRDDPTELKQALKGPLLNPIKELRLGRKEVLLRTLDFLKEKEEWETLYTIA KDALSEKEERDYQVLPSLLACDWRIWQHFMEAATHVMLSDIQVIEDVTSLFASHSKAT DQKPVYVRTLALVSVLISFEFGDIGQPAMTLKPADSRRVLKLVNFIEQQYSSPACFED IKRFVERLSTPELKYLAYERLPEIADEAEGYKSVALRVLTLKIRYLIQTIPQGDGFLQ DDLKNDGHGRNIDWATIAVDSLSLYRKLEDVDAGDEENERARQDFLPELAVLSAVSLL RKGAFEKRDALVGQASGQSTGFRDLLTAATILEHQLQKTPKHSGILFLLVRIYLGIGC ARRAGEVWSSLDVKRTIVDSLAPIFFDRISGIAPDVASGPLLASSVQSHYLNSLRLRM PRRLADAFDCESYSSILQIPENTGKLRTSCTMAMGYVEELRAQRAMGLRRGEGLNALL LGEITDETELSVRIDYGSFPNLGSSFQPPLHEVLSVGPRLSNNRSHLSLLAEQYFSLL TFKAPTAYKPSNPALASTADHTLIIESLSRLANSFNRFLRGPKTELLPHERIYYNLLS VLSSLTLDAVNSIRSRPVIESLPALVASVTDSLELLLDLAAAGQSDASASESILGTLT SLIPISYVRDAASAVRAATSYVQGYHDRQTARDRSGETGLRKEVLADIKKLDGSAKEA LKEAQGWIASSRRDVAKAGFVQQLARFVNEVPEEGSDEKLEEVVKKVIRREGDGEVNI WAKRVVDGWRNNLDGWMQVKWE
SAPIO_CDS0651	MALISARTIITSISLFHITLGFFFLTNPGTIADQAIVYVLGEAM GMPYARSFESQSPPLAFLAVILAIIGITDLVTLSLPEEISLFHHWATQAPIRLAIAFA LSFYSFIFSSSSPLYASPSQNPRGRMAHPSAHAHNPSYSASSWGGDGLKNRVFFTFAF VEMVSWFWIWVTLREERAQAMAKKARRKSLNY
SAPIO_CDS0652	MASANPPSDLDKPRHIRYWQRCHRSLLPIYYTPQDSIRLTLTFF IISALDLLSGPSSTSSSPSTNPPLLTPADRKSIRSFVLSLRHPAGGFVGSPNHLLPSP FYLGLKGGGLGQQFGGGSSTTPHRHHHHNRHHPHAHDANLAATSFALLLLALAAEDDE SARTAFAHVDRKATLRWLRRLQRDDGSFGEVVDSEGRVQGGRDMRCCYLAASIRWCLR GDVEEGDEEWVEDIDVEGLIRHVRQAQTYDGGMAESSQHESHAGYAYCGVAALSLLER PLAESSTRPRPALHAGIPNMPALVKFLACRQFAYIEAPSASSDDDEEDDPETGNYIDG RPGSVPCGDELCVGYNGRWNKNADTCYCWWVGGTLQILGHLDLVNKPASRRFITSKTQ HIIGGFSKYPGGPPDVYHAYLGLAALAVLDESPPEDRQLKEFDAELCASLDTVSKIEK ARAGLIRR
SAPIO_CDS0653	MQPARGRPEASGRKAKLAHSYQELLDEFSTKDLKSVGNYTLGRL IGKGSFGKVYLANHKLTNGSKVVLKSANKSDSNLAREIHHHRQFVHPHIARLYEVIVT ESLVWMVLEYCPGDELYNYLLKHGPLPVPKVQRIFAQLVGAVSYVHMQSCVHRDLKLE NILFDKNENVKLVDFGFTREYEGRANHLQTFCGTICYSAPEMLKGEKYAGEKVDVWSL GVILYALLCGELPFDDDDDNVTRTKILTEEPKYPDHLPPDALSLIKLLLSKRPLLRPS LSEVLNHPFLSEHAPNQQAILKLQQPAPFSTALEKEVLQRMRSAGVDIDSVIESVLAQ RCDVLAGWWTLLLEKEERKARRRERKRKEKEAELRSLRRLSAASSRLERMTPVLHDVR EEGTVGNYVRVGDPPRTRGRSERRSAHYSDYRVPDLPQLAEAGSDNKVGSQSEGEVPP PPIDKDSIRSASTSRTRRPIPPPKEGILRSARSRGSTLHLVTTSEHLHQMQGGSDAAR PPQKVRKRPSQAILATWKNWTHWIFENTRRSKSHIRKGSLSTPDLRKNGKAGNRKGGS ETSSPRPQTGKYPHSNASVSPNPATSVLPPGLVANGQLPKINPTLPISSAMVSPTSLG IPSPPPSARVPSSQSYKRQSLSPSPLTPRSAMRRSSTGLRGRKSTSSSVSSIRSIHHH HHSHSKASSTSSNGSISTTMSKSTLHHRGTSPHHSVKVLPATPTHNSIPSNIRLVRGS GSPAPLRIFNEGMPPSGGGMQTPGSPNPFGAGGVMFAKRKRNLFKGPMLNMSGGPVGG PPLSRGSGSGSHSRSASASGLGRRSGEITIQEVDEDDEEERDLEEEVEEVDVFSPVIR GPGEKIEEHIFDDGVPVPDMIRGGTLAPAPRITGLATPKE
SAPIO_CDS0655	MEQFSTSKVTVECFDPHDVYKLAAPGLIPRLPLRNLHWQSHAGH LRSINTLHVDLILAGEEVDFNKSDPALRRSASVSTNQDGFQTQTVGGGGGPATPSESS ESPSVVATQPQAKARRHQIPGLRRTPYLKVLFVRCDDNDSYKSQVRSEIREWIKKNTV PQGSSKKGTIEAHDAFDWLIVHVVLPNTVAATQPRTSGKGADASGSEAKSTSRWRGGS STLLEKLQADFNVTGKNSVDHVAQIRVGINDVPYDMLPRVVPAVPSGYVETEQDVEAA WQDTITKLKARILTSFDIRVTRYEEDIKEKDAQRILPGWNFCTFFILKEGLARGFESV GLVEDALVGYDELSVGLDMVIAKQAASGSPESHGGALLPYTNDLKEAVDKALASLNPE AGETTDEESVDLQAGGDDEFEDIPIIATRKPYRDMILANNVSLFDFKCYIFARQIALL LRLGNAWSTREELVAKLNEQREAALRGVDPRIPAETKHTDDSENLSRLSEICRRTLEF IPVASQVMQRDIIAAAQASEAAGSESAEPKKLPPSLSTVVDNMVASFAFSVAQQVLAQ TSTKALPIPPSTLTTPDGHEPKLSIPEPKTMMHPARTSSLNMPAGSRPPPSPNVFPGP GRSSKVSEKDATAHSHFLKAGLEELAARRAELYSLSRNILEKLGRTKGWNDGWNQVPI LPDSIDYSTMEDVNLDDDDSSQSSSESSSVDSEKFLSHSVLSNRLLSAAFASKEDFYR LYETLTDKALRHYTVANHTHSIKSCLADLAVFKYQAGEYPDAAGYFDRATPFFGENGW TLLELSMLIMYCRCLKKLDREKKLVQAVLKLLMGAAAAEAEYAKQSSLMRLRRGRPDL SAVKGFVEELLAASTALPEEIIIPLSNFFLDIDICGTVKYLDGSDSFSLDVELNSLLQ DDLPIQRATLRLACVDGGPTKEIRLESAGKQVVKPKRSRMTFIGKAVTTGRYKVDQLF LENGRLVLSYERDVNQISPEGDTIFRSPPILLFQQTHSFDIGLTAAKHTRLDQNNALE IEISTGWNSIKSCEIAIKPATGGLRTITAEAKVLTPDVSFAKPPEAGMLFFSDLEPES KVTVSFPFSVEQDVPNVTVRLEVSYATKDGVFRCTKLASVPIALALGVNVQDVFKHHA LYSRFTVSTASSSPLRLFKSELVDSEIFESHFGIPPAAPVLVFPKQPATLLYKITRKK AKPGPKTKTTMYLKLHYSELREEVDALLRSSLEEALGENDVGRFSRLLLPVIIRHARQ KITAYDLERTALTGAVHTGFLTGINWKQELRGVDQHGSSKTTGVSDIIAFFESWQKKN KTLSLERPTDGSSARSLLIPVDIPPVPVLVTADIKLNVDLPFLAQQEYDGVPTACINQ LLPSSLHLRWTRKWDMTVLAKSGTTDTGAREVSFEVTAPPETWLIGGRRRGHIRIPAN CEENSDPIEMPLMLSPLREGWLPYPSVDIREVKFEGTRGDNGEQTHVGTHCETDFKNL GEVVRVIADRARVTLSLDSSGPGGGPLVLESERVGRDMRVLA
SAPIO_CDS0656	MATLEAIQYSPGKLKVLDQLQLPHSFQYEVVATCEDAFDCIRSM RVRGAPAIAIVALLGLAVELHNGEYQASTVDDIIAYIDKRLDYLKESRPTAVDLGNSI TLLKKLIRGVRETEPESTVDDIVKAYIAGAEEYMNRDLHTNVSIGDNGTNWLRDVVGA SKDNTISVLTHCNTGSLATSGHGTALGIIRSLHKDGLLKHAFCTETRPYNQGSRLTAF ELVYEGIPSTLITDSMAGALFATQKAEKNIAAVIVGADRVVANGDTANKIGTYQLAVL ARYHGLKFIVAAPRTSIDLATAAGSDIHIEERKKEELTQVSGPVVKQDGTVDSNEVVR IATADQRIDVWNPAFDVTPHELIDAIVTEAGVVVKDSEGKFDLARIKSESDVAKA
SAPIO_CDS0657	MPALLSLALLALLGSAFATPNPNPQQLPLINADLLYGNAPPTKL RDDLLSLHRSLVEVESITYHETEVGHHLARYLRRRGYTTQLQYIPSKTDSPDENRFNV LAWPGDSARPNPRVIISSHIDVVPPYIPYYISDDEPTSDTVIGGRGSVDAKGSIAAQI IALQSLLDAGEVREEDVMLLFVVGEESPGDGMRHFSNSSERHALNFESVIFGEPTENK LACGHKGGLFCSIEASGISGHSGYPWLGKSANELLVKALYEMINTDLGSSDKFGNTTV NIGRIDGGVAANVIPDHAKVDLAIRVAIGPEDEGGEIVVDRIKKILYELDPESLKFAY THGYGVVECDCAVPGFETTVESYGTDIPNLKGVYKRYLYGPGSILVAHAPNERLTVGD LETSVEGYKRLILHALQN
SAPIO_CDS0659	MRYDDWDVLLFPWDCGIPMREFQVTCHLVQDPEFSVPNCAMGLP TMTCFIPSLEAGSPFHISIHSWVQNPEASAFTKAITKHPELVKFQARIYFDGCLIGSD VFDGSGNWPQVINNAKDHNTNGQADILRFPIFLSDVLQQSSWSAADDLGRIKVVISEA FSRGPPAMGLETVKNIVAFSFQHAPLGSPPRSRCHRLAQSLDVVGDADRAF
SAPIO_CDS0660	MMSRRLASASTRRVLGFGANPVPVVQKRFFFPPSINSREVINEK YPDYPKLTDAEDPGMNGGYINPPAIKRQFRDPYGDWWDTQERRNFGEPVHEDNDLLGI FSPYEYDWTTPGKGAVMFATATAVLLSAIGLVYLTYPDMKAVPKEYVDGLERELGGSG AVRARKAGDPDP
SAPIO_CDS0662	MASTSTDIETQHPANHSPANRDATTPTELSSSSDSSASNDADSL GIHAPVPQRPPLLSRKSSGPLVIPRDSEVGPVDIQFGPDDVRAMSPRRTSEDIEAMGR EAREELRRQAKALQDSLLAIFQRIEAVREEHDKLDSNNKFLQKYIGDLMSTSKITATD TRPKK
SAPIO_CDS0663	MSSSIDQPLPGEKPEKHAEDSINISDTSHDDPNDRKLMRKIDWM IIPWLCLLYLLAFLDRTNIGNAKIAGFTEAVNISTKQYNTCLTIFFVSYAVFEPLTNV LLKRYRPSRFIPTIVLLWGLTMTFTGFAIFFSAAAASGSFGGLLAAAIQKMDGIGGRP GWAWIFILEGIVTVAAALASYWMVLDFPQDAKRLTEEERARIVRRLKEDPLNNTEQHA FKSAYVWSAVKDWKTYLSMAIYMGCDVPLYAFSLFLPSIIKSLGWNTSIVKAQLLSAA PYLAAAVVTVALGIVGDKTGRRGLINIIPSSVGVIGFCLLIGSQDPAVKYAGTFLAAL GIYPCVSNSISWVANNTAGVYKRGIVLGMAIGWGNLSGVISSNIYFNGPKYIEGHAIV LAFLAVFLCGGSALMLVLLTVENKKRAQGLRNGILEGKTEEEIEELGDKNPTFVYML
SAPIO_CDS0664	MNLTKHQIQVIITLERVGASLSLGGIAAIIITFWVFKRLRTVPN LFILFASIANAGASIACLIGYDGLTAGTDSALCKTQAFLLEMMMQSDPWWSFAMAVNV FLVFFFGANPASFRQYVWVYCLVCFGVPFIPAIVCLLYKDSRGAVYGDATLWCWIGNE FTELRIYTYYVPIWICIFFSSIIYIAVGYHVFQQRNQLRNLTFSTPSKEEYTMKEASS TSELRDSADKNLPPVPPSNVYGTAVTEVKITTCIPRPWTPPATLQPSRSSGTLHNQAL YPGQDPEIPDAALIESASQPRFETTCTSTAAAGPSQTTLTTSERKRPSGFAKFRAKLK NLDPIKLAYLRTSFVFAISILVTWTPSSVNRIHNLVHPFAPNYGLNVASAVVLPLQGV WNAVIFFSTSWGMFREEMGKTRVVRRIRGSEVSRRMFGASQTDMVRIEGVVGDGEGES EGQRLGVMAAMEMGRLGVPPLGTVRAIRGSF
SAPIO_CDS0665	MNTGPTRQNGNGSDGSDYSMATFLLNSDKSPVSERLTAQAITGL NNTAVRLQDQSRNVGQLVQVIKPTESVESSTTQSE
SAPIO_CDS0666	MTPSHLSKRHSSPKKPFRSTERSSSAEQTIPRSLLLDGNKNMVT HISYRKSERGSFDNGVESGRWGIVAVKDARVMSSLLVEDIPRDIDRCRQPFFEGPWVL WGDLARYAGQSEEQVTKVNRVMTILRTELMLKKLLQTVKNVDPISIIHGETPKLVATI NANRLLPAPNHEEENGQTWSRYNSMGEDAQRLRQKDPIPETTEPVGLAPQTPISPDTA LGLRGQEQEPEQACDGDGGRPNTVSRSTVVDPTGRGSGKDKKAASRKRARTGDDGTND DTPSGNDGNGGGGGETRSTEQEKMRFACPYHKRDPVRFNQGACRGPGPGGFENFSRLK EHVWRKHRQMPSCPRCQEQFPDKDSLHKHQRQQAPAQRKKLPPGKLSKKSGVDKWRDM YRILFNIDDNAQLPSPHYEENEGFLSQASADLWENLLHNPDLDESMADVSRLRDDPIA QAAAMMHHRRVIFEQVQRTMRGVSQNMKAKKQDPTALPVPSVVISGSASGTLSLESQS EVGQTPISSPNTGTSSFAHSCSEATGPGAIGDMPHNASLTPPVGSRPGVMRSAEKRTQ DGGVVHRQPGLETLPGVGTVGIYGGGSSQEIGGNTLPDMGPEASSGIGDVFINAGGED WGAEHFDGELFSRLLRVPPDSQG
SAPIO_CDS0667	MARRQHLSLIVVLGFFACFTLFYLFSGPSDSTLSVPRVPGDVSP VTQHNAHAGPGNQKTTAEDASRIDVGSIPDSILSGGSIAPKLENATIKAELGRASWKL FHTMMARFPEKPTEDDSLALKTYIQLFARLYPCGDCAVHFRGLLAKYPPQTGSRNAAA GWACFMHNLVNERLHKPEFDCTKIGDFYDCGCGEEGHKEGEVEGEKKEEDEEPDKAEL Q
SAPIO_CDS0668	MEFTNTGMMNEDGIHLDMDRLKKGEVNLGTSIMAVTFKDGVILG ADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVQYYLGLYAAVNRQP PTTQTAASLFQEICYSNKDNLSAGLIIAGWDERHGGQVYSIPLGGSLHKQAYAIGGSG STYIYGYCDANWKEGMEEKEAVDFVKGALAEAIKWDGSSGGVIRMVVLTAKGADRHLY LPDTGYKVRQL
SAPIO_CDS0669	MAMRLLSFNKDRIYVALYFNKGENAYHWALLVSPKTESSPSKAT TRYHTANKLLLRGGALRSTWEYEKNAVESAPAGSEDAKPFVRILIGKLRGTKAQFEAS VEKVQILQDNPEWTCRNWVKDALAQLQKDGVIDSSAKDWDKIEKESISYADRKKDEGR LGSWTGTAPTYDLVLGREIVK
SAPIO_CDS0670	MIATTTVQHQHSREPSHYLSSRSRESNQSKLPIDPQRSTPGGAS RFPSPPDSSSPSTDYIPSQSSSQNVRPDPNMASLVREAAPVVGPATAVIPPAGHHSSS ASHRQQPSPSSDSGFAVNAAYKDVGMEDYIHHGHDSFKESPPTQTVHVRDLSHVQSLA RAELLPGATSPSLEEPAAQHVKYDISGMPISDIIEMVAALLTKITSTNDLQHDAIQRN MAHQQQASQNNESGSGSQMSPLSTSVLAFHGKNVPAITILSYLSRIHRYCPTTYDVFL SLLVYFDRMTERVNEMVMKNEQAKRRAALRTASLSGSRSHSESHHSDTAMRDDSSDSV ESDSDLADDDDDDDDDDDDEMEDRGHHGSAPKIISNPSTTELTSGPATYFVVDSFNIH RLIIAGVTCASKFFSDVFYTNSRYAKVGGLPLAELNHLELQFLLLNDFRLFVPVEDLE AYATMLVEFYAREIVAQRQSGDKAE
SAPIO_CDS0671	MATYTPSQIASYLTHISFPPPFSPTSLPEPTLANLNRLVDHHLA AVPFESISLHYSPTRKLSVDPDDLFRKIVRPGSGDDAADDGDHRGGYCMEVNTFLGGV LRGLGYDVLSVGGRVSFATTGKSGEGFSGWSHMANIVTLNSTHYHIDVGFGLNTPPFP VPFPPVPLSSPTPLTPHPTSTVLNRKLTQQPLLSTSHPLSPPVWIYHHRARESDPWIP GYTFSAIEFHEEDYRVMNLMTMTSPASFFVQSVICVRTFLSNEALPKTIGGEEAKSSA EGEEEPRRAGQIVLFNDEVKLRRLKPGFEDEYGEYVVIEKFESEEARVEALRKWFGIR LSEEERRAIVGTSTEIKAPA
SAPIO_CDS0672	MSFSAGQKDSEKRLGDATDIPPSLSDLHCFTETDGVITTTMFDI TGYRIVKVLGAVYGLTVRSRNWAASFGMVLKSVAGGELRWFTSMLYSCRNDAISRVVA ETKARGGNAVICLRFDAGDLGGFAQVCAYGTACLVEKIDESAAEVPQLAK
SAPIO_CDS0674	MAPRDSPPSSTSKLEDPYDSRSSEETLSSVSTTSLVFERIEQRL NEKLAYEAASRRPYDDDPLRDDPQDDDPDGDLETARFLAPGARIQTRGMDKRWCRAIG ALAAILTTAWFVALFAFLSAGRSKAAGAGVIEPDGAAIGLKPATGNPVTLEQVLGYEW APASHSISWVPGPDNEDGLLLQQGAAGKDYLVVEDIRTLKGGDDSVKDSTKAEDPSIA ASRTLIKSSSLKYGDDFIHPEKVWPSRNLKKVLIATRTKSVWRHSFSAVYYILDVETQ ELEPLDPLDVTSVVRFAIWSPQSDAIAFTKDNNLYLRTLDARGSNKKVRQITRDGGPN VFYGIPDWVFEEEIFGSNQGTWWSDDGKFIAVFRANETEVPEYPVDFFIEPPVDQKSE DDMLYPKTEWLKYPKAGAPNPVVDVQFYDVDASEVFTVNVDGGFDEADRLVTNVLWAG DKVIIKETNRISDVLRVVLIDPKARAGKTVRTVDVKDIDGGWFERSEPKYIPADPANG RPEAGYVDTVLAGFGDHLAYFTPLDNPEPKILTSGDWEVVNAPSAIDLANNLVYFVST KESSIQRHVYSVKLDGTDLTPVTNVTEEAYYGVSFSSGAGYALLNYQGPSVPWHKVVS TPSNNPDDPPYEHVLETNEKLRRMVKTYDVPALRYGTVEVDGVHLNYVERLPPNFNPA RKYPVLFFQYSGPGSQQVNKRFSVNYQSFVASSLGYVVVTVDGRGTGFIGRKARVLIR GNLGRWESHDQIAAGKHWAAKPYIDPSRLAIWGWSFGGFNTLKTLEVDAGETFSYGVA VAPVTDWRLYDSIYTERYMRTPQENPEGYAATAISNASALAGPTDGSGGIVRFMIMHG TADDNVHVQNTLKLLDELDLAGARNYDVHVYPDSNHGIYFHKANSALYHRLTDWLINA FNGEWVRLRNPKPKQEAKKRKIERDEVKN
SAPIO_CDS0675	MAPSLPISFTELVQLTSVGIDPSSIAFNSCTLESDSFVCVQEKK GAQPEVVIVDLKNGNNVMRRPIKADSAIMHWNKQIIALKAQSRTLQVFDLGAKTKLKA TTMNEDVEFWKWIDEKTLGLVTDSSVYHWDINDPTQASPVKVFARHACLTGCQVINYR SSADGKWSVTVGISQKQGRVRGDMQLYSRDRGISQAIEGHAAGFGTLRLEGASQDTKV FAFAVRNESGIAKLHIVEVDHPEANPVYQKKAVDIYFPAEATNDFPVALQISQKYGII YLVTKYGFIHLYDLESGTCIFMNRISSETIFTTCPDSASSGIVGINRKGQVLFVTIDE NNMIPYLLQNPANADMAVKLASRGGLPGADDLYARQFEQLFAAGQYMEAAKIAANSPR GFLRSPQTIDKFKRIPAQPGQMTWILQYFGLILDKGALNRFETLELAQPVLAQNRKNL LEKWLKEDKLDCSEQLGDMVRTHDLNMALAIYLKANVPHKVVAGFAETGQFDKILPYS AQTGYKPDFVQLLQHIVRVNPEKGAEFATSLANNEGGSLVDIERVVDIFQSQGMIQQA TAFLLDALKENKPEQGHLQTRLLEMNLLNAPQVADAILGNDMFSHFDKARIATLCEQA GLAQKALELYEDPAAIKRVIVGIAGLPNFNLDWLTGFFGRLSVEQSLDCLDAMIKHNI RQNLQAVVQIATKYSDLLGPVRLIDLFEKYKTAEGLFYYLGSIVNLSEDPDVHFKYIE AATKLGQFNEVERICRDSNFYNPEKVKNFLKEAKLPEQLPLIIVCDRFNFVHDLVLFL YQNKQFHAIESYVQRVNPARTPAVIGGLLDVDCEEDIIKKLLATVDPSLVPIDELVSE VETRNRLKILLPFLEATLAAGNQQQAVFNALAKIYIDSNNNPEKFLKENDQYDSLVVG KYCEKRDPNLAYIAYSKGQNDLELVNVTNENSMYRAQARYLLDRSDRELWMFVLSENN IHRRSVVDQVISTAVPESTDPAKVSEAVAAFLAADLPLELIELLEKIVLEPSPFSDNQ NLQNLLMFTAAKADKGRVMDYIHRLDGFSAPDIASACIDVGLYEEAFEIFKKTGDNSG AVKVLVDNVVSIDRAQAFAEEVDLAEVWSTVAKAQLDGLRVSDAIESYIKAEDPKNYE EVIEIAVRAGKDADLIKFLRMARKTLREPAIDTALAFCYARLDQLIELEDFLRGTNVA NIEESGDKAYAEGYFQAAKIFFSSISNWAKLATTLVHLDDYQAAVECARKANNIKVWK EVHEACVGKKEFRLAQICGLNLIVDAEQLQALVKQYEFNGYFDELINLLEQGLGLERA HMGMFTELGIALSKYHPEKLMEHLKLFWSRVNMPKLIRACEEANLWPELVFCYYHYDE FDNAALAVIEHPENSWEHQQFKEIVVKVANLEIYYRAIRFYLEQHPSLLTDLLQSLTA RIDVNRVVKMFQKNDDLPLIKPFLLNVQSQNKRTVNDAINDLLIEEEDYKTLRDSVEN YDNYDAVALASRLEKHDLIFFRQIAANIYRKNKRWEKSITLSKQDKLFKDAIETAAIS GKAEVVEELIRYFVDIGSRECYVGMLYACYDLLRPDLILELSWRNGLNDFTMPYMINM LSQQTKELSLLKADNEARKAKEKEQEKDESNAPILGTGRLMITAGPTGAMGQPSASPV PFQQTNGFAPQPTGFGY
SAPIO_CDS0677	MANDQPNGTSRDDDLRLQVSSEDLLLDIDPNVPLEFLKKAQALS GKLPPRKAPRDLLVETHNSHVQKQLGRPKATNLVKKPILALAYPASYKPMAELEKMRL SELAVETHHEGKILILRTITPPYQGAGTVVIVEDEHGDADKMGIYNQSDRSILSIIPE GSVIAVKEPYYKYNGQDDYMICVDHPSDIIYLKFDDPIIPEKFQLGEGETDTAADWKS AGDKAFLTKSYPISVLCYSRALETDDTGDAAFRTDLFAKRAGANLIMKRYDNAISDAK EAMTGKESDWKLYFTAGRAAYALGDFERSKECYELSLKAKPENAAVQQELEKCDERLK EQAEGVYDFRAMARSITAKNIHLDNASFLGNVRIGESPVHGRGLFATKDIKAGEMVFC EKALCVPNEFNLDHNSAALFANLVRTCHDNPSLHAKVLDLYGGSYVRSGHESDIVDGV PVVDVFLLESIRRKNCFSGPRISDAMWQRRWSARREGMCRGLWITAAYANHSCVPNTN RSFIGDFLISTATMDIPAGTEITHIYVAPRAIYSLRKQQFRNWGFNCHCKLCSAEEQS AAENQERRMKMLGELELILRKKKPTVFQPDAAIRPIEKLGRQLEALHEDDVYVDIPKL PLVWPSMWLLQAYYTRKNYNKTVRWAHQALRAFGHIPVVSEDGKVKSYREGTRGVTTF EVVKALKFASDAYEALGEEGLAEEYVEAARIGYKTLSGFDDHFPHVRANPSSWV
SAPIO_CDS0679	MTTPVTQIAPYDQWPQCAFYCGPVHDAEGGCVDPVLPGADEASA NACFCGNSALFGFKAGLHNVCDNICKGAGEDQTAALVTIQNWYADTCNLPEKFSAQNQ GSTSAATGNTADTNTGDTNTADTGTSIGTSSGAIPVQAGTGGTWLENHLRWIIMAIVI VVGIIVIWIGACLWRRHYLKKKERQKGLGKLPAHDSWGPGAAPPDHHGAPAPGLFLPP GTHRTSNRGSTHGSQTTTEKTTPPPPAASRSRSPKLRKWVSGDKV
SAPIO_CDS0680	MTPRTVTSNEVYLLNLNDDGSPAVPRQYVYIEPKKLEGLVLRFS IEGTSPICRHGSLWINIPAKDEPFRREEYREFKLEPDFSRAIELSIPIYQAGAYAYYI TYAELPSIHESVESNEPCPDGEIRKSDLYYIVIAPQLTLDGQRIPLEALSVFTVLSKS MGKYPHDWEPHMRSISERGYNMVHFPPLQTRGMSNSPYSLKDQLGWDKGAFPNGEKDA VAMIESLEKDYSMLALTDIVLNHTSPCTEWLREHPEAGYNLRTAPWLISANEVDLKIK ELSGTLKDIGVPNDIQSEDDLMKVMGAIKNEGIASIKMWEYYAMDVDRDATAAVESWV NGEYSFPPDEEIKGDIENLKHFPLKEQAELLLKYGLRGSEHLGERFRRSIDPKYGAGL LAAIFGKHNEGHGPERIVARDRLLPVLDEANMKFYREYDQDVSDMLHHLYNRIKWDRL EQYGPRLGPITAESPISESYFTRLPEDEKTKARGFTEADLTLVNNGWLWDANALVDNA GSASRAYLKREVFSWGDCVKLRYGKRREDNPWLWDHMATYAKTLAKYFHGFRIDNCHS TGITIAEYMLDQARSVRPNLYVVAELFTGSEEMDYLFVRRLGLDCLIREAMQCWGTGE LSRLVHRYAGRPIGSFEPDDAVTALNKPLPNGLNGEKTAATDEDSDGSSREVVRVVKE TIVAALFNDCTHDNEVPAQKRDARDTLPNAALVGMCACATGSVMGYDEIYPRRIDLVG EKRLYKPVKLGKDDGIGRVKKIINQIHTVMGKDGYHEAHIHHEDQYVTVHRVQPDSRK GYFLIAHTAFPGYGNGNGAVSPVNLPGTRARHLGSWMLEVDSSEEAVEKFYEDEKYLC GLPSRVVDVPGIKMEVKGDSTIITVRDKFPPGSIALFETWVPAAEHTAGLDSFVTNGA KEAFCELDLIDLNFVLYRSEAEELDVSDGADGVYEIPRHGKLVYAGLQGWWGVLRNVI LNNNLAHPLCENLRNGHWAMDYIVGRLEKMSKKPGHENLAGPATWLRERFDAIRPIPG FLIPRYFGLIVKTAYDAARDRAFTLMGPTIDDGQWFLRSLALVSVQQTGWTKSASLYP DRLTPSLAAGLPHFAVDWARCWGRDVFISLRGLFLGTGRFDEAREHIYAFASVLKHGM IPNLLGSGKNPRYNARDAVWFFLQCVQDYTKIAPNGLELLQDKVKRRFLPYDDTWFPY DDKQAYSKESTIEDIIQEALQRHAEGISFREHNAGPQLDMQMSDKGFDIDIKVDWENG FIFGGSQYNCGTWMDKMGESERAKSKGVPGTPRDGAAVEITGLLYSTLVWVARLHSEG KYKYSGVNKADGSEISYHDWADLIKTNFERCFFVPKTAKEDEDFDIDSKIINRRGIYK DLYRSGKPYEDYQLRPNFPIAMTVAPELFTPSRALHALSVADLVLRGPTGMATLDPKD LNYRPYYNNSEDSDDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLQRRQDEDEECRT EAFQQVTRRLHGCKKMIRENPWAGLAELTQKNGEECRDSCPTQAWSASCLIDLFMDAA EAQEKLARGESIFP
SAPIO_CDS0681	MAGNSDLLAPATATQYEKRHKVTVVGSGNWGSTISKIVAENTKA HPHLFELEVQMWVHEEEVVIGKDSPHYDPAIGDKPQKLSALINKYHENVKYLPNIRLP DNLVANPSLADAAAGSSILVFNLPHQFISNACNTLRGKILPFARGISCIKGVNVSDDG IALFSEWIGEGLGIYCGALSGANIASEIAAEKWCGTTIAYDPPPMDQSRAPSKAPTPR SGSPASNGIHENGTLNGVVWEHRDARGRKSKVTLTPVPPEYPPLDHETFKHLFHRPYF HVRMVSDVAGVSLGGALKNIVALAAGFVVGRGWGDNAKSEIIRIGLLEMIKFGKQFFG ATLVAETFTEESAGVADLVTSCSSGRNYRCAKLAVERGVPVQEIERTELNGQLLQGTS TAHEVNSFLKAKGLEKEYPLFTTVNGILEGRFTVDDIPNLVSP
SAPIO_CDS0683	MPSKKRPSSGTSKDVTARSSNKLAPVNAGDSANPTSNKDAGSTA EATDPFSDMMDLDFDAFIDGYDGAAASMASLSSPSTGLHSKTPFKTPGPSRMGTVPTE SPSTQQNGASQDDSASSHFVSMLNSINEAFKAEDSQLERVTQVISNTEKPRLEGGDST PADPPVAAQSPEKHAEPMKSDHVQDSPPPAKPSPTNQTGSITATKTPTNSVEQGEPEI NTSRKRTATRKPTPVPGKAARHAPKAPDGKPTVRRDLLSRMLSGLSDASGLDWADPLI GGKSSRDAPKNASPSSIDSPTSIESSASEAKGESTAETTTSPPEVVQAPPPVTVVGAV SKGEPTATGPTGEKSTSSKKAPKKVSPIIRFGPNGPIQPSSSSSRTKVQDSKTQVSKS RPSTNKRLRNVSEGMKRSSKRPAAAKDNRVSKRANAANIKRISVPDIEDELTSQLHET RCELALITKETRQAGGRTRVSETRSPLLIDLTREDTPKKTIEANKLSDGVGVVDIPDN SEPSPPYESTVAQPPVNLKPVFEAQRRDATVKVDTMKKKESDRSRKEDAISSQWSRSE ILSRLDRQKIRVNEPIRTGACSKSQDAFVLHTQRQHEPTPAPKAEVMLRQHILTKVPK PKADKQQSNADESSETGGSRASEMEDGQLPQQTDNIRHNLDGILHTITQRTLEFIKVK EDALSDLVKEYQSNAEGFIDKVSVLHKKEMLERARMVGDRRQAFVKAYSEASDYCDRF RDKFEAHLIPDVGDRAAKLAKTLEFGKAVHTELQNKLRDLEGNKDMGMAD
SAPIO_CDS0684	MSLLNPTTASAVFRITARPAVIRQTLSRNLPLCQSSPISTFRPF VAAVPSKPALAAVKCSSPALLLQQTRLASTAAASQVPTQQLDWNTFFQLRKTRRRIQV LFSIAGAATCGTAGSWVLAAGLAEPLLGLIPLDPFITMGLMAFSSATLGWLLGPSLGS GVFNLWHRRIKPQMASKEKEFFARIKKHRVDPSTSSVGNPVPDFYGEKISSVSGYRQW LKDQRAYNRKRTRFV
SAPIO_CDS0685	MDTITRLRNEIEVTEKELERLKAELKRAEAQAAKETSCVQNGHP EDDVPWKWPLTAGEYERYSRQLIIPQVGVPGQLRLKNASVLVVGAGGLGCPAATYLAG AGVAGSIISQYDLVLDCTDHPTSRYLISDACVLHGKPLVFASALQTNGQLMVMNCPPR PQGDREGGPCYRCVFPRPSPPEAVISCGEGGILGPVVGVMGILQALEAIKIIASGKHE SAASIDGAEVNGKQPAQHHMLLFSGHVATPFRSVRMAGRRKTCFACGADSPLTPESLR EGSVDYMHFCGIRPAVDHLRPEERVSPKEYLERIRDLGDGTPGGHFLLDVRESEHFQV ASLEGAVNVPYRKFGGKLDADARPEWLPAELPPSAPIFVVCRQGEDSQIVTRKLKEMG LDRAGERFIGDIKGGMRAWKADIDPTMPFT
SAPIO_CDS0686	MVAGQFHELRNISPAKTAPQSATEKRLARIRGEIPSTAPRKTYR EGAATTDAFIQSKRDRRTIRHSSFIHKIQKSAPRAATSAKRRRPSKKLVATLEDLVDA LPEVEELSAEAVGKVRHKSLKSRPGALKRKEKIVRGEMERFGESMARLVAGGGATGGL GGGEGEKKAANPTGNRWAALKGYISATMEQNPAFAAKGKE
SAPIO_CDS0687	MTLRSKITRRLGDPGDPTTTELKPLSPARPKRTDQADSSSAVTY EDIRALRNDWLTDNVHLQIPLPNPEPWELRIGSAHSQGPWLEREILPRYPQAHIVLLR PSMTFLLMKEPDVNCIRSALPDFSRCTHIFLPINDNRYVNQAEGGSHWSLLLVSKIDR VAFHYDSLGASNREEAKAATRALERVLRVSLRFHHLEDTPQQENGSDCGVFVCIIMRH LLIKRLLSANAREKVSMSMANKMVDSNGGRKEMLKIIESFRKEGTKFVAVYLEI
SAPIO_CDS0688	MATRRKPDPSEEELVVPHQPYPKETDPIPTYRIDLAVPPRERYA EVARDLSPQLRHTTPVFLELIQWFVPNRFIRAGIIGLMKLLLRRVYDDEETQEIKGIA AVSGIDLYLLVALNVLLDVLLGCTSGAARVKTDAGEENSRLLHFRTLDWSMDRLRELL VILEFVDSSSEEPRKVIARTVTYAGFVGVLTGVRQSLSISLNFRPSHNSRSFSLRWHQ LMVVLGLRPSISSVLRDLIFRPLPAHQSASAVATELASTRTSPAYLCLCDGDEASMIE KDLLTGAVHTESDFVVQTNHDVSRHESALPKPLGTSATAEALSAMTKKETANDESWIE ESAERMQCMIDFWRERTEAAVGRSSGKGAYLLQAELEGKVRGRPISREWTHFRCVMDP KNCAFTLVERGPPPAPVAQQNGAST
SAPIO_CDS0690	MPFTLFSKTKTPASCENHQQNQNGPETSESEQTLTPLDGDAPSD PDGFDIDVEKLGRQRPDILPSAIAEFAFCFSILWSMIMAEYFISGSHIILPTLATDLN IPATSHTWISSVLTLAAGASLFPLGRAADMYGGYIVLNAGMFWFSAWSLAAGFAPNYI SFIIFRAMQGLGASAFLPTGIMLLGKVYRPGPRKNFVFSMYGAIAPLGFFFGLLVGGV TGEKINWRWFFYLGSAMGFLASFVSLFAIPRDWKAAREMGIRMDWWGTATICPGLILV VFAITQSSGAPNGWATPYIYTTTILGVLFLAAGVYVERNVSEDPFLPAEIFKPKYMKA MLFCLFLAYGVFGIYLFYTNFYIELVLEVSPFMTAVWYTPWAVSGMLFAATSGLLLHL IPNRILLIISSLCSIAACLFFALMPPRDSPSFSYWAYVFPAMVAETAFIDILYTLSNV FITTRLPRRHLGLAGALINCTLYIGMCFFLGVAEVAVAATADRGLRGSYQVAFWIAVG FGCVTVLVFAFMDIGEAKSDLTEDEKRARREAEAGSESNEGGSNEGERKAES
SAPIO_CDS0691	MAPSANKPKSSLANYLNNPCHNCRRRRLRCDRSRPICNKCSSSG RECLGYDKLFIWTQCAGTRVPGQAQGGVRSAAASTTTTAASATTATTARRTGHRTTAS SPSIVFAPVPVFASFDGPSYSTPACVSDSLDSVSGDAQQPSTALTVTATPSSTADDCT DTAPAHAAVAVPACRPTSLTDPSFKDLDEPSRRYLAHYLAAHDGPGCNPFRELIPLAL KHRFLLHIITATSAVHMSNIAHPKITASALLERASTPSPALSPLDLSAYLQYLRSTDG VSRRAFLDSLVAKQKAIGDLRAVLQNPGSADGGVLLAAVLFFVNFELIDLGKSGWRTH LHGACRILNLLSPESDLAKVRSSAVLQDCIVSDFVIYHILGSTLTSAGLGANIAHHAL DLLPVMERVVGDSYLCCPPKILHIILSASQLSGEAMCPAIPASVTASGLELIKQAMAF DIHAWALGIQDKVKVPDLNSRKAVASAHRSAVCLYILRAIPSTRAHAPVTVDELVADI FGHLDLVDENDEHFKATSWPTVIAGAETEDRASRERVLKRLLKLWECCPWGYVFAAIE MLKKTWRMKDEEGIGIGDAGWLQELWARDMGFLIV
SAPIO_CDS0694	MLGKQVLRSFLALVASGLVPVVTCEIKVTPLKTTALGTDPEGSA RLNGMSFQQDALTTFNGWQYVAYYESTGTYNRQNVALGRRNLNGGSDPSDWEILKFTD YVQQTQDSHNTISLGISSDGKIHLSYDHHDVPLNYRVSNEGVAADPEAHAWSASLFGA TRHDLPGAGTGPWRPVTYPRFERAGEELLFEMRIGQSGSGDSYLYKYSSGSWSPIGKY IQGNNNNAYINGIDYANSTLHITWTWRETPDVVTNHDLGYAYSTDLGRTWNNNAGTSI GPTISPASSGIHAFSIPQNSGILNQEGQTVDPSGRVHVLNREKVGGVLTWLHYWRDEG TGEWTKNPIKHSLGALTQTGRRGKLAAHPVTGDLFAILAANDGVDVAVYAATKASGYE DWKEVWREGRFDAEPLFDRALWRGQGGVEGGKILSLFLNTQGGYPERKVTVVDLEVEV 
SAPIO_CDS0695	MSNLNAFISNGTCYSGRDDEADPLMLPCGNAGLGHKTCCQAGDM CLSSHACFNGKFGITYLSGCSDPEYKDESCPDKGAFDGQPWTGLVYCNGTSNQWVACS QSSRPETLTSADHCFCPSTSRTVAFTDASILENVVQLPTASGQSVIWQPGHVPSPTTD SSGPSTSATSGDAAPSQTDGADLGDNSSGGGGLSVGAKAGIGVGVSVGAIAVFAVLAL LFLRRRRKDDENKSKAELESSGDGSVAPGPFGAPGTPGSLAAPSELESRGARPWSMRS ELDGTASTVTPAAAKRESNLRHSDSATPDPQPYAAAEPRNGPIAELPG
SAPIO_CDS0696	MAVEYKLPYELTGNDLVTGLVSEIQLERDVINRGDDNLVFPAKA LAAAAITQLFPHLVRMGVQYGYICTGQAFVFLYIPDDPTSVRYHVCVPNLDIIEDNEN RLHRTAFVQVLAFTLRALRVPLPPQSWNDTASRLGRWVVEFKDMLARFSNAAARRPPS ASPQLAEDRGPDAGAMPLYRSEGYRSLFKFRLSAYGYTLLAKGVEAEAGFYGGKPFDL NTTSGQVLIRTLEEQRNHFAESELQSAVANLSELLGDEQAN
SAPIO_CDS0697	MGKKIEVEDTPPSVDSASVSSRNDVSGGYGSSDEHVFKDPNVAD YWRGIYEKARYENRHRFDPDFKWTAEEEKKLVRKLDKRIMVWAWVMFCSLDLHRKSIN RAISDNMLPELGMNTNDFNYGQTIFLLSFLAAELPSGLISKKIGADRWIPTIIISWSI VAGSQAFLKNRASFYAIKALLGLLMGGFIPDIVLWLTYYYKSNELPVRLAWFWTALST CNIVGSLIAAGVLKMRGINGWGGWRWLFLLEAIPTVIIGILSWGLMPPGPCQTKSWFR GKNGWFSEREEYIMVNRLLRDDPSKGDMNNRQGVDHILFWKTLKDWEQWPLYLIGLTA YIPPAPPNTYLSYILRQLGFSVFEANLLAIPSQFLFAVNLLIITWISEKFKERAIVSS SSNIWIFPWLLALVVLPASTSPWIRYALLTGLLSYPYCHAVLVGWNARNSNSVRTRAV SAAMYNIFVQSGNIVASNIYREEDKPLYRRGNKILLGITVFNIVLFYLVKAFYIWRNK VRDRKWNALTKEQQEDYVLNTTDEGMKRLDFRFAH
SAPIO_CDS0698	MAPAAVETLPVQLPVHSSYKSYGGEAPPGDYKEISTAKFSEEVE KKGTGDFAPASYPNYLPTWNPEQKYAPLEPFEHVEHGKDADPTYPELLGDGVTITHLT PKTGSEVRGIQLSKLSSAGKDQLARLVAERKVVAFRDQDLADLPIAEALKFGGYFGRH HIHPTSGSPEGHPEIHLVHRQAGDNAIDTFFANRTSSVAWHSDVSYEAQPPGTTFLYV LDLPSTGGDTLFANAAEAYNRLSPLFQERLHGLKARHSAIEQANGSVRRGGIKRREPV VNEHPIVRTHPVTGEKALFVNPQFTRNIVGLKKEESDAILNFLYDHLAWGADFQVRVK WEKGTVVVWDNRVTQHSAIVDWTTGERRHLARITPQAERPYETPFVAKS
SAPIO_CDS0701	MFELPDKFDMVEIVSILSTPSTILLLIGTTAVISLLRNKFRPGI WDIPGPALAGYTALWRWRDVCKGQAHRTAINLHRKYGSLVRIGPNHVSVSDPREIKKI YGLKSGYTKSAFYPIQSITWKGKPQLNLFGTRDEAYHREMKKPIANAFSLTTLLTNEP AVDACSLLLFDKLNRFADENEPVDLGEWIQFYTFDVVGEITFAKKLGFLDRGEDVDSM IESIEGILTYASQVGQVPIWHNFLLGNPLLPYLLPSMENWNQVLTFTLKAVNSVLGLD GSGASLKKGGELDVEALDQRGDMLSKWYAVKLSSPERMSTRDLVVHLSTNVFAGSDTT AIAIRAVLYHLLKNPDKMRKVVKEIDDAAAEGKLSQPVSFKESTNHLPYMQAVIKEGL RIHPSVGLLLERHVPRGGAVICGRHIPEGTIVGINAWVTQHDPEVFPSPDSFEPERWL NASEDELKMMDQSFFAFGAGTRTCIGRHIAAMEMAKMIPEFLRRFEVTLTDPQAEWKT KNIWFVQQEGLICNLKRRV
SAPIO_CDS0702	MPSLLLQVIDGPAPLVVLAVGLAVAACSVYVIYQRYFHPLAGYP GPFLASITDLWQVGQYLSLKQPYNLTDLHDIYGEFVRYGPDKLSITAEEAVALVYQKG GRRMPKTEFYDAFGGKTANVFGMRSVDLHSIRRRHMSHSFSLTSVKGMEQYLDANIRI LRNKIAGYAKEEKIFDLKKLLHHYTIDVLGELAFGRSFGVQVSDDVLVPRVVPHTLLG SVTGAWPAMTHTLRKWLPLIPHRGLQDLFKGRAECVQLASECVERRLDEVRKGKKDGA QRKDILTSLILAKHPDTGEHIAKIDLEAEAFGFIIAGTHTTSATATLLFYNLLHNPDI LQNCVQEIDDKLPPLEADKAAYSVTEAENSLPYLRACVRENFRLTPVFSMPLERRVTD PEGILVAGRHIKQGMSIAVCNHAFHHNPRVWGDEHNIFDPSRWNVRETADRARYLMHF GLGSRQCLGKTVAQTNIYKLTSTLLHEFDFQIADPAERDEAASGGFRGRLPEMISVGV SDLKGPLMVTAKVRKGDEK
SAPIO_CDS0703	MPYVTTFSLIRVGVSDVLLRHVKVFHGQDNAANEGRNNQRIAVE NSPNSLRGGQYPSNSSPGDESEIDVDDSEDDTSPAQETMPVHSLHLEDPPAAAGQMGT TDLDGLVAASMLQARKEGLNGSVNAALRPLLQVTHPNHPHTAPEPTATQDFVAPDLTT APRDITSSNTGFRPHEPLFTTGGNLVPASFIIGNSYPSNLHLCQDIFDSFDNNINSPN FINFSTESGLASSVISSQISSKERASCIPLERFARVAGLWPNKRGSTAGHEATNIWAE VVEYKGDNILADTSIARSSPVPSIGKENESKWGLDEDKRQELIHELGSDLLSLNDGNN FERIVLAGRHREMLSPVSHAVLRTRELCDAYLSPHICDATPDRLEDLPGSYEMLARML YNQTLSLAQLSGLFAMRSASPSIEDLLDRLRTQGEAQSQRQSDDALWKAWARTESLKR LIATMIATDAWWSYSLGEAPLIRTRTIQFEHPCSAELFQSSSARSWKRLVEDGSSIVS GSILIQMHEPSVRLSESQNVSPTGIIGLLSIIWIRILEVHHHAAKVDHRISNAPQIVF ALEESGNVLSRMLDDIYRAYARFLGLKNPNCITMWHFLNLNLFSNLQVFELAAGRNGA DSAHEALRNIAAWSHTWYARRACLHAAGIYTAMNRRRINDGTMFHSEVSIFAAALVLG LYVFMMGSSHDDDLSHQLGAGTDVEPYEFLNEADWPGLGGDGSASSSFSPSIAADDGQ ENAARRWVREGGVVSFSGVICEGGYNAAKMILLEFASLLEEVGKWDAKPLCRILRIMS DSLLDIEDQPDCI
SAPIO_CDS0704	MPPRLPIQTPAKKAAIAVLATTATLLSALYANNRYGISYDINQL LNEKAFRKRLTERIQALGDDFSLYHMLQLADPQAEALWFEGRRWTYAEAILESSKLAA ALQHRGVASGDVVAILATNSPEMVFAQAAVSKLGAVPALINTALQKETLQHCLDIANP KILVCTPDLAPVIAELSDVSQTPPTFSLSLSSYPPLRLSAEACAGSGISQLRYEDLAD ITADPVAPPTKRLLQDVGALIYTSGTSGKPKAVAVKNFLLVLVSTPSTIDVKHARTYL PLRTYSCLPLFHATGLFVGLYYSTGLSGTFCLARRFSASRFSTQLLESRATRMLYVGE LCRYLIAAPPSPNDRAHKCIVALGNGLHRDVWLNFTARFGIPEIREVYRSTEGIAKFD NYSRSMEGVGMVGFAGPIKLYAEDDVFLVKFDPATESIYRDPRTGFCVPAKADEPGEA IGRVRSMEFYNEYHNDPSATKLKLISDVFEKGDLFQRTGDLLVRHSTGWVRFLDRFGD TFRWRGENVSASEVREHIGKLPNVKDCSVYAVKLSGYDGQAGAAAITLVDPSQESKFV EKLYDRLRSRGLTLYQMPKLIRFRPVIETTATFKQSNTVLKSLPWDPAAENQKDSIYW LNGDRFDRIDSAAWSRIESGKAKL
SAPIO_CDS0705	MSSTLHSPCVDQGPPGERAECTCPRSCPRSVKDPRDLDATTPWH PPRQNDRTFTTTVPYYTYPFITVSNLHRLPPEDVNYLDLKQCLRVPSRVYLDEFLQQY FRYVHPFLPLIDEAFFWKMYHGAEEADDCLTPGFPLLVLQGMLLAACSFVGPRTLEKL GYVSARDARRAMYGRAKTLYNFETEHSRLHIAQAAILLSYWTPPFEEAASKPNAAWLR IAIENAKSVQAHQWNFGLAPKTNPTKILEQISLKRLWGCCIVRDCISAIALRRSCQIG GPELYTNVRFSLAFEDLEHEIHESRVYDSQTKRHLIITFLGFAEMCMYLTDVSKLLFP HQAGLSDEMKQYGLVERTTRILECKTTLDRWHSNTAQKLDNCQGAGTGGSKTDGTELI HPSVILFTTLQHIYYYSAKIALANRQMLLYYDPPIVTAQDVLVFHEEVQKATAAIGRC LLTLMELQLARFLPISVIPCIAFPLALQAVNYKMRKLSLNPSAYIFTKVMKIYDPLYD GVEGLMETIRVILRQNQLVQHIESNFPPTSIYMQTHTTCWMDITDFHAVCYMRLALSV DLSLSAGHLPGEQDFPRMLQGQLTDDDADGPRPGAATPEALAPLSVAGSSQANSCVHT DDDTGVGPDVGMQSDLAQIHIRAFEGGVAIDDFMALDKTLALEKGQEMKMGIRGFSGL PADTPDYQDWKNELCINPALLLFNGHES
SAPIO_CDS0706	MRASYTTSLPEEDVDAPAKPSLDAIEDIIDGESITLDKETNKRI VRKIDRKLMPVLCITYTMQYYDKAVISQAAIFGLRSDLDLETGLRYSWVMLIFFFGHI VGMYPCSLLAQRYRPKRVCSALSILWAIIVLTTPACKSYSGILANRFFLGVVESGVSP IFMLVVGLWYTHEEHSLRSSLWYSFSGGSLLISPLINYGLAHIEGGRLKSWQWIFIVA GLITLAWGVALIWVFPDTPQEAKGWSPEDKRVLLERIRRDNSGTENRQFKPSQVWEAL TDYQFWGLALIGLLSNTGAATLTTFSSIVFAGMGFDLRCCLLWRLPSSKRAGRIVGLY LISFFSSCWLQAISLGTSNVAGYSKKGAYAAGIWIGYCIGNIIGPLLFHARYAPRYDE SFTGLLVCFSLLFVISLCLRFLLSRRNKNRDEKYGMPEFRHGLEDITDKQNKSFRWMV 
SAPIO_CDS0707	MSVHSIILYGGATILLQALFLPLVAAQAGGTVFSGFPKPPIFPT GVDSNDKSTVTLTGTDTVSDFTEIRIISDVTGAKTVRTPGNPPVAKLTIPYRPFDLFW NDLMSVQAVLGSASEPVTSTSNFDFVITTQGFQDFPARIRDHQARNEAPVLSTSRVDA LSPLPSPPQQTPLQPTSSIQQSNRPGTTLTPTPGQDSTQFPRQSPTIAGPSDPGAAPS PTDVQDVRTASAAPPAVASSNLSTGAKVGIAVGVITANVIIIAALAFFMYTRQNRDAR LTPLPFPCCAGRKSDRVQFGTANQVKFHQKPVVEITVDQADAPLTELEAQRGPFELEG STGFYGKSLAAIKSARDIIRSAATSRTGHRTSFYTYADTDSDTTSSRSARTRLSFASS VPPLPTPSDYVGRDRRFTFGPRTNSIASSSQGSLKSSRSSSSINT
SAPIO_CDS0708	MQRNRLLEHVPSTWNYEFGVTAFNRDLDSKTISILAVVPTIHDH IYPHGYSIGGILESDPFSTKDLALALRAIGRGYSNQETDKVRAVFAEYVQDVGADTGT VIKITNEVFDEVGKEGVPNWVLQQLETFLGSDNGCGFDTMDRLPWRKQIHQIEPLAIR SNDASKPYLRIRSRTNGYFGPWAAQSMFWYSP
SAPIO_CDS0709	MTVRIVEVGPRDGLQNVKAAIPTSTKLQLIERLRQAGLQTIELT SVVSPKAISQLADCRDLLSSSPLLGQQRQQLQGRSPSKPIYRFPVLVPTIKGLKIAQE HGVAEVAVFVSATEGFSRANLNCSVDQGLAQAKEVVVEAISSGLSIFTINLAGRFSYV SCIFADPFDGSTPLSAVLHAVKELFNAGCYEVSLGDNLGVGTAPQVRGLIEYLNAHGI HSNKLAGRFHDTYGQGLTNAWQAYHCGIRTFDSSVGGLGGCPFAPGAKGNVATEDIVY LFQNAGIETGVDLDKLVGVGIWISKQLSKPNDSRAGVALWSKFQKSAATQSVAAEPSS DRKTSSLLKHEFEQFEKDPTISRILISGSGKFFCVGMDLAAAASAASKGGDASSTLLE GLTSLFEAIENSTKVTIAVINGTRGAISLLVDRPETLQDAANHFLDGLRNASPTGSKM IKQLVKLGWAHGGKAEQQEGISALFKQMLQPGTEGEHGMKQFQKRLSHQLHSARQRVI KNAEDMRDQVMLSLNTVDEISSASSGIRRIRQACTGCRLKKIKCSGERPVCVKCRRSK QKCLYEPYSATSVPGGVRRGSPSSGRLSTSHVNRDLLHRISAIESQLARLSDPRSDPG FRRLSEKSDGRDDCASQVSPLEDDIIVGSNTTPSGIAAERLADGNLPKCLLLAILASA LRFSDHEYFEGATREATETYAREAWLSVLSDHMTADNSPQLYVAQTTNILAIVDFTAG RTSSGWLKIGLAIRIAQDLQLMKEPDARLPAPEQEERRRCFWSVYLLDKLVSCGRDRH PALLDDRCSLLDWNEDLNALSGNFSLAILAGSILGRCTRITFHDREVDDLPPWDLGSE YASLNSALLLLESRLQISTQPIIKRCYQRDSLPRWYCQPPMKVLRDAEEAGGHLGASF YAYATCVAGGILLLNQHAELHNQAARYSELMSATQMAIDILEKLGSFWDHASQIYHRL LNFNAQAHAFASLLDSTIPPNINAEAEATLWSMVDYGHMCGDSDLALPNSCATVPAEP RTTGAPVSRLYPSSEAGFATDVDLATLANGEAFDVINFDSPATGYLLDLASGGG
SAPIO_CDS0710	MSQLEMKTRRSGEGSKAIAWRGCRDLFVVNLPVDSSGLPKIRKS LITNRGEIACRIIATCRKLNVVSVAIYTVEDAQSRHVSDADESICIGSLEHSKTNPFL YIELLVQAAVETGCDAVHPGYGYLSENARFADRVREAALIFVGLPGSAILTLGDKRSS KEVLRAKAPEVPMIPGFAGTSLEAEDLERAAAKIGFPVMLKASVGGGGKGMRVVSEAP QLMSELVRAQSEAQRSFGSADCILGKYIENKELSGVTTQDDLEES
SAPIO_CDS0711	MTITPSQRLQLDLDRYEKLDSLQAGHLRHIYNTSSQPDGQWNHM GGVESLQESFDSYRYQLATMAYATAVTHYHRIPAARSMFKPLFRQLIHKMLLPDVWAY WYTSSQSGPLLDASLTALREPCPDPVCKENIMYSGHLLLMTSLYAMLFDDDEFEKPGS ITFTWAPMFWGFGAQSFKYDNGSLQNVIFSQMEQNNWVGVCCEPNAVFIVCNQYPIIA MRYNDVRHGTNKVESVLENYKAAWDKKGMVTPEGLYISWLLLKQDHIVPPLGIGFTAW ANAFMNSWNSELVASLYEKQVLGYATVIGDEVRLQSSDVAQEFRRLVREEGANPHDPS TLTKSRSSPHPAPSDPGTGSPLPYVLLWLSELGRKTELDGLLRYLDRHQKPTWENGGL FYSRNDTETNEDGSLAQVDCLRGMWDNEAMVLILTVRTWENRRVQIHPTAQNLDAGLW AVYVDGELLKYDNVEAGGLMVADVEVGTDEVDILFKKV
SAPIO_CDS0712	MANLDFQSNFVHVIDGNSSPTEGHRHGINPATLKPLWDVPVATH GDVDRAAAAAKKAFKSWSRVPYEERRSKVLAYADAVDKHRTQFRDLLTTEQGKPIPQA DVETDCAIEWMRGMANIPLPEDTLEENKDRTVITRYTPIGVVGALVPWNFPLMLATAK IAPALLTGNVIVVKPSPFTPYCGLKLVELAQQFFPPGVVQSLSGGDDLGPWITEHPGF DKISFTGSTATGKAVMRSASKTLKRVTLELGGNDPAIVFPDVNVDKVAEQICLNLKRI YVHETIFDAFKEALVKHTKSYTLGDGSKPGVTHGPLQNKMQVDRVKTFFNDVKTEGWQ VAVGGEIEKNQGYFITPTIIDRPPEKSRIVVEEPFGPIVPILSWKDEEDVISRANDTT MGLGASVWTNDLDKAAEVAKQIQAGTVWINKHFELSPYAPFGGHKESGLGTEWGANGL KGFCNAQTLFFNKNIVS
SAPIO_CDS0713	MQDLMTTEAIVCHPPKDGERQWKLESIRLTPPGKEDVIVEMVSS GICHTDLGCGSLPDGSPGFPVPPYPRVLGHEGAGIVKAAGTNVTKFGAPGYCHKFAEI NFTGSKEAFIGSPTKGQPAIGGSFFGQSSFSKLARVQQTSIVNVSGVIRNLEELKLMA PLGCGIQTGAGTITELAEAGSRDKVAIIGLGGVGLSAIMAAKLRGCQTIIGIDRVPSR LEKATSLGAADVVDTTTISGTLVEEIHKLTGGTGTTVTVDATGVTPLIKAGLEFTANQ GKMILLGVAPMEAVLDIPIVPYTLSGKQLMGSMEGSVRPEDVKDFHQAIKDMEDGATI KPVIIW
SAPIO_CDS0715	MKLATILSAAGLASAHTIFSSLEVNGVNYGIGNGVRIPSYNGPI EDVTSNSLACNGPPNPTSPTNTVIDVQAGSNVTAVWRYMLSSTGSAPNDIMDSSHKGP IMAYLKKVGDAKTDSGVGNGWFKIQEYGLDSSGVWGTEKIINGQGRHSIRIPACIESG QYLLRAEMLALHGASNYPGAQFYMECAQINVVGGSGSKTPSTVSLPGAYSGNDPGVKI NIWYPPVTNYKVPGPSVFTC
SAPIO_CDS0716	MSRPTTTYPTVTTVMRDPDFTFYFNTSSYVVQPLTTVFTAPAAC EYPFPSSFSGPASCVPENWVSAAQAPLGYYSPGICPAGYTVGCDAWTSLRISYFEMSG VSTYLPSDGETAKLCIPSGFVCDSDIIYGMSWTSTATRWARALQIRWHESDLIYLETH PLSPGVVPAIPETAKEGPGPTGTETGTVLPESDGGLSRGAVAGISIGALLGALVIVGA AFLLWRRRKKPSLVGSPEAGSTASAPLMQGPHMYGQMPVPQQPYYQMMAPAPQPYQNV SPGQEHRARMSDYSSTPPSTYYQPQPQMAQMAYLPPGVLPPAMPPASTSPPNVIPRTD STAAEASAVAEPTELSGVPFPRGNQHNQIR
SAPIO_CDS0717	MVFRYAQPVLISTAIRSMSKPSSQSSETEYSVILMAVILSGAWY QHRLNLLRVMVRGAVVGLINHKSLSQQSGGYDDGRAVTLMSADADNVTEAAPMFHEAW AQILEVILGLTMLAREVGMSRYLAKNLQTKQKAWNVATQNRIAMTTSMLASVKSLKML GVTAYTESLIQNLRLQELEMASKVRWMMLAYNASANALGIFSPILTLVLFVIVASFSS TALDTETAFTTTALLGLVTHPANMIMTIIPRAVGSLAAFDRIQQYLLQPPQNDERITL KKVHDSSSDTSPAIRFEDVTIQTSPSMPPLLTNINLVINRGSIAICSGSVGSGKTMLA KSLMGEVSPARGEISVSSKRIGWCEQSPWLPSGTLKEAVCGFCPEEPGWYEQVIRLCC LDEDLLTLPKGDHTPIGSRGLNLSGGQRQRVALARAVYSRCEIVVLDDCFSALDGKTE SRIVGNLLGPTSLFRKMGITVFFATNSCKFKTFPGTWADFTQDPKHILKVHINDTQHS TAEEKPQMDKTVQSQSLKVADAISDLNRATGDFSLYDYYIRSVGVQNFLLLLACTSSY SFFITFPQYWLQKWTEAPISQTWFYVGGYLILSLLAWVSTNGSMWSTHIRIAPESGAE LHRRLLSTIIGAPLLYFSTTDIGVILNRQDMQLVEGVATIRAFGWEKQVEQANIRHLD KSQQPAYVLFCLQQWLRIVLDLMVAALATSLITLAILIRGTTTAGQIGMALNIVLVAN GTLLALVTSWTNVEISLGAISRLKSLEAETPKEDKPYEDFIPAETWSSTGVVEFDDVT VAYNHEAVALQNVTMKISAGQQLVICGRTGSGKSTLLLTLLRLLDVKSGTIKVDGLDL SLVPRSLIRQRCFITVTQDLFVLAQASLRFNLDPSASLPDEAIVAALQKTFLWSHFNA GNAVPREAHDILNSSIASLPQMSTGQSQLFAAARAILQLQSLNHARSSSGPQLDGGHI MPILLLDEATSSLDPEAESAIRNIIHQEFTEKGHTVITITHRLSGITEGLRPGRDIVA LLSKGRVERIGEVEEVLSIAAL
SAPIO_CDS0718	MIRSYGSVEETQISYYAGLMIAVFTFCEFLSGMLWAKLSDRIGR KPALLIGSFCGIVTALALGFSKSISLAVASRAFGGLFNPNVGLAQTCVVESVPEKDEQ AEGDYELVDNGQPELQALRRAYGAPSIHELEDISVDKEFGDHLSPPVGEGRAVAFTAQ VIFQIVSVSLLALHKVSSDAVMPTFLAISVASNGPESSPRRNLLQYSGGFGYGNYEIG LILLSQAVVAVVTQATVVPLFINRMGPLRAYRVILCVYPTIISNTISEKYYIARASSL DT
SAPIO_CDS0719	MQFTIVQTIALFCVGAIAMPGNAQVGGLEARNIEVRADCSHILP ACNGGKIVGQTNCRCKGQKETCDLWTCPGPAPNTMVCGQAGTGCVWI
SAPIO_CDS0720	MHSSLFIYNRSNVYEAFENRRRGFMPSVHILRHGYPNAAIWSYS DILPALPDGNSDPEEISKRAMPKTKAVIVTHMWGLPCRMAQVVKNTRRVNGGIKVLED CSHAAHGAVVDGKLVGTWATWWSLQAKKNLLYAAYFTSEVKEIPFLEMPEVHDSQKDR HSWYAFLMQFNPDEAPRGLTREKFVKQLEDRGLKEVDIPRSTGLLNDVPLFTQSHEAI PRFGDKRWTKPQPTSDFPRANEFWKRAIKLPMWATEHDKPIVEHYAHTFLAVAKDAIR NAIANGGMAGQGQERLRHSFTQA
SAPIO_CDS0722	MAAQHPQRLGNLKGYDPEQRAEYNIVSTAFPLVIATAGFMHTGA QITRRIKQRYLEAVLRQHLVTFDATGTGEILSRLNADSNSTQDALSSKPSLTISTMGT LVATIIAMVRYSAHSLTESSAVTSIVESLTSLNVGKYRQCIGLVNQDLTLYN
SAPIO_CDS0723	MSQKHTVGGAELVINGAENEVVQHEATAAANPNALSSKEIADRR AEYDTYVETWKLENPRLAASLSSDTVEAYWEQLLSHVSPIYSRTSGQPVASKLDYVQK RRSTSESEISNARQLVQIAMEETRQKSLEISKNPGRNHYSLKGSNGGKKGSKRDLVRP MITEEVRKAAALIAELEAQDLDREGRLYKEYNITIKDQATGRKEQKIQSYRSRSRRAG EYWLAQLGSQHPGRSPFQNDPGYKVFRNVRDYGARGDGKTDDTEAINRAISDGNRCGD NCGSSTVKPATVYFPPGTYLVTASLQNIHFKMKRDSSQVGLFMENGPAFLVDTQPVNS EEQVTVVLLNTAYTNTRTLVDSKSSGAYLNGGSNGYVESYFVGKTYSEGSSKNGFSNG ETGDATGIHYSIPQELRFAFEKTAGVYSRSRPQYLDENNWMVVEAAGDGSTDDSAALS LAFSLSAQQKRPLFVPHGVYIVTQTIAIPAGAVIVGECWPQIMASGPSFHDIDDPTIM ITVGNPGDEGGVEISDLLFTARGPTAGLVAMQWNIKADAAGRAAMWDSHFRIGGASGT DLRPPIAQNSLVKFGLNVLQGHYYFTSVVTRTSALDNQRMRRVVSICLQAGMSLLGLK GGGYYILKNTLSEEPCNDREAHSTARWLDGQCYALHSSGPGNTGYTVESSTIFSREGN DIIAGLFNGTIDQNPEPTAYKDELELLYGSSQRCQDLMGDYSSQRYGDVEEEEGHDPA EYAAGGLDLQQNCFFNLPVLSVDAWTEDDPVGSTPCVFLRRGLGDKVGENKLPDWMAE VFTPDFCSTKCRGQKCRDDLEPCNRRNGDPLCLAGNKTQDRTSK
SAPIO_CDS0724	MPARGTIRCLTALASIITLVPFSFAAIDCNAESHTISSQQDASD LDECAESGQKIRGEVVISPETATDIEIASFGNINGRIVATDNPYLESLKLGNATTATS ETSPSLSLINVTSLGSLVFDDSVWRLGDLDLRSLPKLATLDWGVGRPPIDPYIAQNLE LLEVGSVTLTGLPNLRKIGSSGQEIVLGLNVTMKDVALESVDAFTNFTESRHLAIEGI PNVNRITFSYVLSESVTIQGNGELDIAFTHPDYRGWRFRGGKGKLRFREPFPIKHNHA HSRNLYDGAKSKFANRWDGRLLAFSYRKRCLAVGGLLKSEGLVAEAGEYTPPYTRELG E
SAPIO_CDS0725	MRFSSLHILAAGLLSGPGLVSAARHVRRGVVCYFEMPAASGDTC DSLASSWGISVDLFKNINPGVTCPNLEAGKSYCVIGEYTPDATSTSTSSQPQSTTSKT STTTTKSSTTLSTTTSPSATPSIYPTMPGIAENCDRFYKIKSGDTCDAIAQSNSITLD QLRSWNTEINASCSNLWLDYYICTHVPGAVQPSTTSTAPTPSNSPTMPGIAENCDSFY KIKSGDTCDAIASSNGITVAQLRSWNTEINAGCSNLWLDYYICTHVPGAAQPSTTSSA PTPSNSPVLPGAVSNCNKWYKIVSGDTCEKIAAKNTITVDQFRSWNTQINTSCNNLWL DYYACVGVPGAATPMPDIVSNCSRFYLVVSGDSCDVIAQKNGITVANFKRWNPFINAA CTNLWANALVCTNAP
SAPIO_CDS0728	MIPPDFFEVNGARIPARGLGTFQPNPEQYGPKSVKQSVLTALKI GYRHIDTSLRYGDGQGEKEVGEAVRESGVPREEIFIVSKLENVYHAPEDVEVNIDISL KNLGLDYVDLFIMHFPYAYKRTENYGSVRDENGRPLIDVEPSRSFDITWRAMERLVDI GKTKYIGLSNFSSPKILRLLQSARIKPICNQIECHPHFPQKGLVKLCQENNIHVTAFG PLGCVPIPALVGRQGPGPLEDKTIAELAKKYSRTAAQIILCYLLCRGISVIPKSNNPK RLAENFDSRFDLAQDDFDVLDNLVGENGERGVRNFNSLEYLGFDNYNEEVEEP
SAPIO_CDS0730	MFFSTPHKGSPHARTLNQFLSLMVGTSRKVYIGELETSSTSIED INEQFRNICSQWKLISLYESLPTKLVSGMKKLLTLENGGTASEESNRPPSSNDDAALL REILGVQEEIQDDFRKHLDRAAHGSCQWLRQVESFRRWLDASDGPSEVLWLTGPPGSG KSTLAAKAIQHIQGGLQCQYHFFVDAQPAKRSTSYCLRAIAYQLALAYPRFAERLLEL HRQIKFSAALLKFQVIWDTIYENIVFQMDFGSTLHWVLDGLNEADTPKSLLQNLIQMR PQTSIKVLLLSRPKRELTNLAGSHPNSVLVEPISAAHTRADISTYTRSVVAEVLPRDE SLQRFIIERITEKAEGSFLWTKLVLDSLSDNWHTKADIDRALDLVPGDMQAMYMKMME DVKSQPPRLRDLGLRVLTWVACGFRWLTVEELEAALKPDFDGFVDLAETVVQVCGQFV RVDQGTVCLIHGTARQFLLDTLSPDAPINHDHIAVVCLRYLCNKKWRQVLSQVPETQY STCMSGDRLESVYDEFPFLKYAMNFWGGHVSLADPKAPDLLATLRLFCNRYILLWIQA AALSGNLRIIPRAAQYLKKWLQRSRRIGSSEPHQLAEDQDDMNVILAETAFLEQWTID LIRLVSKFGNILAQNPSAIHRHIPPFCPPTSAVSSRYFENTENPLITVNGLTTECWDD NLARLSVGHEEIASRVRCTGIYFLTLVSRNGTVIVWHIETCEELRRICHGEWVTVMET NKTGSLVATAGRYTIAVWSISTGTRLYSIPKPSGARIMNLNFGQASAELAVGYDDCSL IRYDLGTLQGTTILPTQGLGVLGNCPRFMVLSPSHSKLAIGFRGKPVVVQDMERESQS DSRTVIRLDDMNRSEDGEDAFNSPELARWQPDGSVLYILYQDTTILAWNVIDDTQVEF GNTEAREMVLNEEGTLLLTSNNRGSVSVWRLPKFNLIYRLQSSEFVRDLAFSPDSRRI YDVRGSRCNVWAPDVLVRVEELDVGERSSNLDDAAVSEVVGDAVFAEDQSQKGQVTAL VCDSEDEFFCCGRDDGSVSIHEISNGTRVRKVTRHSAMLSVIAIDWSSSRRFIASADD SGKVIAKRLRIKEDNKWAVYPLLDLRVEGAVAQLLFNLEETFLLISTDTTDHIWDLKT RCQVCKKRRETEQRCKWINNPSRMDELVRVESNQQLVFKWNSLDRVESGEAESRALTP SLVLSVESSPPLTQETVNTVVLAESGRYLIYDTLQGRGSKRSRGLRVYLVRVQGPGTS STWDAIPLSGMEDKVSYLLGSLGDRLLFLDHDNWVCISTIGREMGPIKRLYSLPRDWV NDETIHLTLVNKKGALLCPRNGEVGIVNWKGRF
SAPIO_CDS0731	MDQEHLVDDDGLPYSGEDILSCTSDDDPYGAEELDTLRSIKHSR EIELSITANYTTDWKPRETRSSNRRNTEIVYKVFKFGVEPKLCCGYIRFKARDGMGTI EITNRRATLQPCHLNLGGSSKVEDKTQAGEHGDGLKVALIVMTRSPQNYRIRCQSGGF SWNFNFTKKRNMVARLTRMTPKKIEDVTRHAAQQVSNSLLPLAADPKRDVQFVIGERS RRRNEKGKLRDCAQVSREDFDKWTMAALFLHDIPSGNLVVSKKDEIQIGHLVLDPRFR GNIYLKGLLLQESTPDQSASMSGKELKFGYNFVSGRTNRDRQVMSSAREESQAMLHIW QGIPNAHNLIEELNSMLNSPDIEYADVTAAKNYMNLETAGRLKAYLFSEKFNGKWYYC SEEKAQNPRFDDIIRKLGRESSELKKPYWSILRRHNLIWTAEEEEKRRFLEAKPAKIP QTSFARCVERLFRSCIQACPHLDGISVQFVQAGPLDLQLFYSKKPDHKFKVHERWLSQ EAAIQSLGLLAVIADYDVVLHTVKTLFSDGLDQVLSQELKRSPDLQRAWGKRHEICRN MEQRLLNFLRMDKIRVETTSPGSLEVLWPSVQGWPDDTPITVQVHSTDHHHCAELKEH LLADDGTSGTGSGIQPCRVATVPIGRVSHREDNLEGGQEYFIIINSASDPLSFNIVSE DCYIETPEPRNSRPSSSARPEDDGDGSAYLNGEELRPDASLGSKDATDIDMDMSVDEP TPPPAPFSDPPIPELVGRNTTDPPRAASQRTLWPWERQSQLDTDELFSVGAKLTSLNI LAMDQDRWFEATNGNQVKAVIGFPKEQPLPECQRKRRRTDNGDDVDL
SAPIO_CDS0732	MEEPVTPPRRTGSNALTVASSFIDSFNSEYGLAIRVPNLDLSPR QRREQLKTDADRLAEEIHRRARFLADKCPEQLPCVTAAFRAFRASGNVARVSQARDTL GGNGVSSSAGCRVRAPDAEKSYELQMCLLRILESLTPTISPLSLRQENSPPRYTACTT QRDSQLDNGLALPTGCKPPREAYLRTPEEENLERTLQSTPTRSMVIREESPVEFASPN GHSANPSTQRLSHRPNGRDHSLPSFNGVSGGGRIRSPVGSLTRFDANGQPDSHETASL SSQFGRGGLWDLFKLGNRSIHQSQALDGPLARVKFASHTQATSQEMQSSENSPCRPNE EELYALRSPPGPSLPPPQSPPEPGPSILRESSNRKSVIKPNLKRMLEMSWPKMPGFLA SAPFPIIWETTRAALHCGVPLDTLAMPYDAQRWATQAGFHSALKAHPDFGVKGPLPVM CNRRAWDAAFSTFQHDSYSVTLVMEARYARRPADGQLYTMALQPLKLERSHRLARRFG ADRFLEVVLPSPAADDRPAYLKSYDVLVRLFLESDHVMFGRVWRPFFTKAQPSVKKNG GPIKYEMRDRVYFFAVDGDDFRPCGPHLRYPRKEDATEISRRSKIEISDLLEWAIGIG ISENTEQPICKLFSRLALSLSRATATVVLKPHQLRVKEDDVRSPQGVPMNDGIGLMSR RLARKIAAHLGLDTVPSGFQGRLGGAKGFWIIDSSHFDDDDDEWIEIYRSQTKWVCRY DEDPDHCTFEVRGAPSPLKPADVNEQFLVILEDRAPDRYRLRDVVKALFERTAATELW AIARAARHPMTLLSLVHQTEISCAEKAADGYVPFLGGLPRQDGEMLKFLLNGGFDMSN VFVADLVKKMAKQVADSLRNNLKISVPRSTYAFMVVDFAEVLDEGEVHLGFSTQFVTD GEATGELHDQDVLVARAPAHFPSDIQKVRAVFRPELRHLKDVIVFSIKGAEPLAEYLS GGDYDGDRAWVCWDPDIVDNFEGVPVPEKKKIYEDELLKDCYIQQDNTTVDEALSRNS GGAGRSTSEFMTHGFLHAMRPNMLGRCTAYKEKVCYHDNSISSDRAIALSALLGRLVD QEKQGVIFTPEDLGRVKTRFVRMPRIAADMPAYKSGSRPDRCKHILDWLRFEVAEPVI EREMRGIMASLSKTQKIARTGGDKDLEFYSDELDILANSLDVYEKLRRALHRDLKGAL QFWKDTVPGPLVNDNFPSKLEAAYQKWLSIRPPEECLADRALRVQLFGAAAAATGVET PDSHSYWGKLKASVTFKLFQYSSVRFVFRVAGRQLQAIKAERCTARDSGDGGPVPMIP GMYALHRPHNKLINSLVALEKDEEYENVVESLQGLSFADEA
SAPIO_CDS0734	MEDMANAGFPAMLLNETHGEGSAVKVDSNRRDVVGQNACRRTLQ EADEVVHSGVVSALTMHRAASTIQQFRRVKFAVRLTTTPREYVLRQHI
SAPIO_CDS0735	MIKPDPNRTPKACEPCRRRKVKCDGQKPCRLCHDNPLACVYRLK ARSHIRRPASISPTADSNAAGQSRRPPTPSAAAAAPAAAAQDAAGSRRYNESVVAVHR APKSTDSSQLFYGSSSNFAFLQHIHRTIISYALPAHAHSGSEEKDAGEDDSGLDTFMQ RNVFFGVPLKVNPVSVARFDARSALTDLVQKPAAVSYLDNFKTASLHLLPFITASSLD HLLDRMYSPEIADAHMIPPRWAIMLMILAIGALSTTQTEVADTLFLAAKREAAIYEDS VTLPMIQLSLLMADYQLNMGRPSSGYLHIGNACRKGLAMGLNNVAANSVSHEVEERNS TLWCLYFFETWLSLTVGRKSIIRRKNIGCPFPTGQPVLVHLCHLAAIAEDMAGSLYSG MSDSLWQLHEIADQILQRLRQWSQTVGIGRSCRRQETSDKPMAKLTLHCVYFHLLHTT FRPFLIAESMLQASSGRNEFHDLWFRQACRNATDAAVDSIVFMNATFQNSDLIKARRY DSFFVEACCSVLLLDSLSHPSKHPNNVVYINQALECLQRMTADEPVTNVIASIQQILN AVQLSIAREATALSMGGAATQQLSSLPSDENSLAQVNAPGEVPPLDRTPSYATDEPIF LRESGMPSVDDASSRGFTDSVVSRNPSPAVTQDWPPFNFDVVTTDLFKFFPKDVGGLS EH
SAPIO_CDS0736	MVNVFAKTIADDPKYRGVLPADFLHGYASAAYQVEGGASEGGRG PCLWDVALQKYPDNGEEACMSYHLWEEDIKLLQRYGARSYRFSISWSRIKPLGGKDTP INERGVEYYNHLIDGLIRAGIEPTVTLFHYDTPQALQDRYRGFAAVDPAELIEDFVEY ARVCFQRFGDRVKRWLTINEPYIWAIHMFDHLENWTKADFMRSATVKGTQTDGWDAWG DLFLRFSDEELKLVQGSSDFFSINHYGTMYATGKPYTEADSIGWQTMDEVNKTWVKEG KLIGKRGENGHPHNVGWGFRKLLVHCWEEYVKKLDMPIYVYENGFPVEHEAEMPLEQI IDDKDRQQFYSDYIQGLCDAVLDHGVKIEGYHCWSLLDNLEWTCGYTPRFGVTYVDKE NGFKRIPKNSAKSVQAIWNHVVRKE
SAPIO_CDS0737	MGILDVFEGTTPTGVACMLFASAGALLFGIDNGWWSTLLGSETF LRDYGSCTMVDGSEKCNLSTSQQSAGSAVQSAGVMIACLFAVYLNDHLGRRRAMQVTA IISLIGVTIELTSAAGAKARFDQFVVGKTLAAVAMGLCANIVPIYLAETSTAKARGAA VGLYQNVLMLGVIIASGTVYGSASRKDPSSYLIPIGLQAFPPIVMLAASPFLPESPRW LVWKQRLEDARMASDRLFGTATNNFSAVQYVNAIEAAIENDRSQTSQVNGWSDLVQGP DLRRLLIAVGVQCLQQAQGSSYMSNYIVSFLQAASVTNVFPVIMGINVIYYVSILTGH YLPDKFGRRPLMMSTSLFCGLTMLAVAIMNTVLVPATDASSNAAIALIFIWNIGFGIE SPLIWIVTAESAPTRNRERVLAVAVFVGFGVSLLITSVSPFLQDEGYGNLQGKIGFIW SSFSFITAIWVFFFLPEMKGFSLEQLDYLYAKKTPTLKFSKIKFADEILADGQGGLKD GLKEAADSKHVEFGEKT
SAPIO_CDS0738	MPVRERVPVWLDCDPGHDDTFAIVLAAYHPGINVLGISTVYGNT KTAVNAASILTAINKHNDIPVFAGSAHGISRPPMQPPTAIHGESGIDGTTLLPQPLRA PDWTSDAIEAMATAILGQPAGTAYLVATGSFTNIALLIRRFPRVVTHIKGLSVMGGVF GDGFTDVVLGKNQHKGYIGNYTPWAEFNIVADPEAAAAIFSNTELAAKTIVIPLDLSH QVLATQDIRDLLLYGTKGSKTGAGKTTLRRMLVDLLFYFAQTYADVHGITAGPPLHDP LAVAAVLRDTEYEIPFYDFDPREEFRAGQNGRTPERFSVSVATEGSYEDALADTTRFW QILEECIERADAANKAVEVKVQAVAI
SAPIO_CDS0739	MARGWSRFAVAALALQASGAGASLSAAKSNDPSYFRWDDVEPSS QLEYHDCYGRFKCARLLVPLDWLDEDSPHKVALAIIKLPATVPDTDPSFGGPVLLNPG GPGGSGVGIALSWGPRLQKILDGEKHYEMIGFDPRGVAFTTPRANCYPDLLTRATELL QAHSIGNLRVENPRLAWRHGLSNAYGQRCEETLGEEGGILGYASTASVARDMVEIIDK IEELHDGEKAKATRHGSGQERLGDDEGKGKKEPARLQYLGFSYGTLLGNTFASMFPGR VGRMVIDGVADIDDYMAGTWLTNLMDTDELLDALYKSCYKLGYKKCALVDGTDKSWED IKAKVNKALARLARSPVPVKTSGGTILLGETDISALVFASLYKPNILFPLIASVLATV VRQDYQDLASVLEALFPAIQNYCPLCTTPDYVPIFSFDAQPAISCADGEDGSLLSLDD WTDYFAQLNNQSSISAPIWAEIRFRCSGWRNRPKYRFMGPFETPEADPTIVDGKPAAP ILFLTSRLDPVTPLRNAFAMSKRHPGSSVVIQESLGHCALGSGVSQCTEKIVREYFET GKLPKSGTVCEEDHVALSAEAMASAARDTAPVVPFGMPGFASEDLLEKMIELNAVWAQ SVEDVEVSDQETWEVGEL
SAPIO_CDS0740	MGSFSNVSAAIRVASVLLILGALLLTFHWLGDSGFREPPQHHLQ TPPGGAPGHRPPPVHGGPPPPIPQDKPQGDAQLGESDNAKDGATKSGKPSEKPSVVKP AVESPVEPPPELSEVPSSFADDVQPPSNTRTDSWHPLPGDCGSYSQRAKVAQKPLSKG RFQLGYQRPPPACRSFNSSLAEKTVTRMKDVISDPDLYRIFENTFPNTIDTAIKWKGV AANNSQEELCFVITGDIDAMWLRDSANQLQVYRDIMTSPKDDIASLFRGAINLQARYI VVHPYCNAFQAPPESGIPTGVGSGGSTVFPPIDTSVVSTCNFELDSFGAFLQLSHDYY TATDDAEFFGKFQWVYAVQSMLKAAKDMMAPTYDDDGKRLKPPYTFSSYTRTMTSTLN LVGTGNPVSRTGMVRSPFRPSDDTSVFEFLVPANMMFSRYLNDTAAIMDQISKAPSGL ADEMRDLATEIREAIQEHAIIKGPEGELMYAYEVDGFGGQNLMDDANVPSLLSAPFIG YLEMDDEVYRNTREFILSGKNPWYARGPVINAVGSPHIKPGDEQALAELVSSTDGLGL MHESINSLSAAEWTREWFSWANGLFGQMILDLEERKPHILKKSFQAYPVGGEEE
SAPIO_CDS0741	MPPQRNARPTPAEISLVHLKSCLVNLPASLESLLVNLNTPAQNV IVELNYRPSPVNGAPPPGTAAQGVSVFLGWTGMPSKRKVSAPIGRENGFRASPARKDL PLVEIDTVLANTLGLNDGQKVLTTVHIDPPLVHTINIEPSTTEDWEVIELHANFLELN MQSQIRAIPNPKYHPTGGSPVPPHLLTVHLSPTTTANIKVTAIEPALPADQPFAKVSP DAEMIVAPKTRAKAASQSRGDTRSVASTSKSKKSRGSSKKRREEPPPALYLRGMDRAV CSDWFDDEATVGEFSVWADQDVLAAGGFRGVDYVVVEIMKPSGIRQAKPEEAQAVSAT NKAVARLRFWEDSPNSQAIALSSALCSAFGSQDVLGGIVKIQPAPLPLQRSAVKSLKV YPFATGEDESEGLKFGGESKAEKEEAAKRFKTIYSRNGGLLGGGPITDGTVFGQFPGL HAADGWEGGLVKFEGDTHKDDSVNWVASLDSKISVQFQPPIPKPPSHVSSEDPWELNP IPDTVLSGVDSLMNNLFTQLRHMSSTLLAGAMGSGKTSLVKFLAQRLRNELLYHIVYV PCRSLINEENRTSNIKEILTRAFMGASWGARLGGKALVILDDLDKLCPVETELVVGND NERSRLLSETVVSIARQYCDRASGVVLLATAAGKESLHKVLIGGHVVREIIELKAPDK DARRQVLESIINETLKSSERADGDSSDDEGSRPPTADGNSSADAHGDWMESGSPPQRR GLKKAAAGFTLDADLDLLDIAGLTDGYMPSDLFTLVNRARNEAIFRVINGGELEERAG FRLCREDFDKALKGFTPASLRNVPLQRSSTTFASIGGLKETRQVLLETLEYPTKYAPL FAKCPLRLRSGLLLYGYPGCGKTLLASAVAGECGLNFISVKGPEILNKYIGASEKSVR DLFERASAAKPCVLFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGVYVLA ATSRPDLIDPALLRPGRLDKSLICDLPDIEDRLDILKAMSQKVRLDEDLLDSDDAFAE LARRTEGFSGADLQALVSNAQLEAIHDVLKGHDLTAASTNTHNGQSSGASKAGTPSFI QFPYGAPAPGSSSSRSATSRANELAENARILSKLEGIRLAKKKAKLVQKTRVAGGGAV LGVEENGGKAAPAAGHKEAVIGWSHFEAALEGMRPSISAEERARLGRIYKEFVGERSG EMRDGQGSYEVGGRTSLM
SAPIO_CDS0742	MALRQYPAPVDYEKQQTAFEQFLSEFKTTPEQSIAQAFGDISIE EDGLSDEYDFLDEDEEAQGRRRQERLERAQRRRGPHHKYKEILQDLADRKVQEVTIDL NELASFEETLDENLRLVPSIEMNTKHYTEVMSRAIDKLLPTPSVEINFKDDVLDVLMA RRRDRNRRMQEAAENDPTVLQDQFPPALTRRYTLVFKPRTFSGDADKKALAVRQVRGE HLGHLITIRAIATRVSDVKPVVQVSAYTCDRCGCEIFQPVTERSYGPLTVCPSEDCRK NQAKGQLNPSSRASKFQPFQEVKVQELAEQVPIGQIPRSLTVLCYGTCVRQINPGDVV DITGIFLPTPYTGFQAMKAGLLTDTYLEAHHIVQHKKAYSEMTVDPILVRRIDQYRQS GQVYELLAKSIAPEIFGHLDVKKALLLLLIGGVTKEMGDGMKIRGDINICLMGDPGVA KSQLLKYISKVAPRGVYTSGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCI DEFDKMDENDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYGRYNPRISPV ENINLPAALLSRFDVLFLLLDTPTRETDAQLAKHVTYVHMHSRHPEVGADAVVFTPHE VRSYVAQARTYRPVVPERVSEYMVKTYVRLRDQQRRAERRGQQFTHTTPRTLLGVVRL SQALARLRFSNEVIQDDVDEALRLIEASKQSLQAEDPKRNRGLNASSKIYNIVKGLAD SGACRADDAEDDELGVELSMRKVKERVIAKGFTEDHWLRALEEYTELNVWQTAGNGTR LIFIHANDDSDEDMSE
SAPIO_CDS0743	MASIALRCLAKARPTPTLPTTSRLVPVLTLLATTTVSSSSFSTS TRVNASDNRGKHVIKGRHKKNYKKKGTLTRAKTPAPGERKAFRKRIQLSNNNAITVTG LGDLAPESMASEESQGRVLGVPDAVVDQLRAVEAFRPTQSWGFFKRPHVLVRSETVEL GKMMESAKREKNVLKLIVNGGKATGKTTLLLQAMAHGFLNKWVVINIPEAFQLVNAHT DYAPVQDTDPMQFSQPSFALKLLQAISKANGDVLQTLPLTKDYSNVTYLAHLPSNPTL ADLAASCRELEFAWPTLSTFWTELTTVRGRPPVLFTLDGLGFVMRDSAYRDPSFNPVH AHDLTTIRLFTDALAGKTAFPNGAAIIGACGGNDNVKIPSLDLILSQLEAGAQGKEIP QPDPYERGYDDRVFDVLKDARLLSLEGVSKPEARSIMEYWAASGAFLEAVTEPAVSAK WTLGGHGVLGEMERATLRSMRG
SAPIO_CDS0744	MNPAIPPHVSFVRTRLAPLVKLKDDYVSPDYLDQYAIKCRGLDF YNGTPLDPRSNKPVSHAEKFTQLIPYERMNQSIQATQPWKDPDYGDSSHLGYWDPGLD NWWYANKIGERLNMYLFNHYKARTEHVMGVIGDTKWDGMAVPPEYELNQQDDVVKWAV THIWQRRTPEPPHVVCALADRVPLRNDRISVPELRTILTLGGVRVVDEGYSNCKRIPV TVVSAAGTQLRIVVGVVDSRNKTVEVRKGPIIDFAEGEVKKWKEWITVLSWIIGDPLG KTD
SAPIO_CDS0745	MDASLPDSNTAWQKQVASHGLGGKTIHQASFRDSASKINKKQFL LLRVLHRPLQDAGELFSKDYLKDFIAESYIKRAFLFIASESNTSFAQYHASIESQPTS SRPFSASDTAFPELGTFTLVRYFQLASMDLLTTDYEDTPKLEFAPPAPREAAVKAKAA VRDMLTTPTKSTGVMTHLLGRDTPDISKLKISDLSMTPQSPMNSVTGRQVKAIEDEQI VNTALISFLIAVTLHSPQIKADWSLSRMAFSVSDEAQGSKKIFEARVDGVLRMRKGRE VKAIAEVKPYLRHQKKYNDVRMQEAAQMAAWICTNPPANLDELRANKKKKATRLLISQ DRHEIYVNFATFGAAYVDYIRGSPSFDETEAFLTIQETGPYNVDNHKHMRNLATLMYA FTLQECRD
SAPIO_CDS0747	MGRKPAPQPLTLSDSAATPADASDVRQTIRQVHSPTAAAASTRS PRSPLSPFRLSPKRSPRDREKQSSDTAPRPRQLRKNSPSSALTKDKSQAQAATQTQPQ SHVRNRSQSPSPVRPQHDPTITSVEEATSPYLPLAATLHQASQEPATSMQRQPLPPVI NQPPEKDELRYQEPRAPKSSGFFFSFHKSSKSSSGQRSNSPTSQQYLYPHAQRPKQQQ QQQQQQQQQQQQPPGSSGSETMSRGPENSMMPAKASQYPDASYADHSLQKPNPSLPSK SDLSLASSTDYEPAPPQHQQAQAASKKGKSKPFGLLGRTKSLRDKDRDASPRSHSHSR DRSREPTLPPPVKLYDQNQVERSFSYGVQTPKTAPMQTQDRSFREIMTSASRNRSEDR AGYRDVSNKENQNHREKDQTRTQPSSVRENGGGSTFFSGLKSSSTRAADMIRGGLFGK AQRSALASEKEPVVDDEHYVVKVINLPLIEQTRLTRISKRLEDSRDKTEFWMPAFPWR AIDYLNYKGCDVEGLYRVPGSGPQIKKWQRKFDEEYDVDLFAQDDLYDINIVGSMLKA WLRELPDEIFPKEAQERISRECAGAETVPQMLIDELSELPPFNYYLLFAITCHLSLLL AHSDKNKMDFRNLCICFQPCMKIDVFCFKFLVCDWRDCWKGCKNESKYIEAEYMLFDQ PPPRGLAEPKKPVQDEQPVVEERAISSSDSSNKPPSSQDGPKLRSKKKNNLQLLETNG SNSSSTPSINLTVSNDREVPSATTNTDLRPLSPIKPLSPLNF
SAPIO_CDS0748	MAAVQESLPNELQLAVDAHVKYIQSLDTQKDDFNYWLTEHLRLN GVYWGLTALHLLGHPDALPRAETIDFVLSCQHDNGGFGAAPGHDAHMLSTVSAVQILV MIDALDDLEKRGKGIAKVGKFIADLQSRETGTFAGDEWGEEDTRFLYGALNALSLLGL LHLVDVDKAVQHVAACANFDGGYGVCPGAESHSGQIFTCVAALAIAGRLDLVEKDKLG RWLSERQLPSGGLNGRPEKDEDVCYSWWVLSSLEIIGRAHWIDRQKLVDFILRCQDVE LGGISDRPGDMVDVWHTLFGITGLSLLGYPGLEPVDPVYCLPKKTIQRVLGK
SAPIO_CDS0749	MENTDSSTLIQGGPPPPSSPEPRMAGESSSNSGAVDQNMPGDII LPQGEDNLAYDNQMLSQTGESYIPTATALNTGDNYGQLTTPGLLPQEVEGNEGDADMQ RTFTELMAEASMDLLDTEYQLDWNFFDTDSFLDQPSNTFFEPNAFVPTSTNLDPASFD PNTILSDPNYVHHNFGIAPAVPSEQLTEFQPVPLGHFQYQEPLIDANPSFDLPSSQEA ATGFISNQPQSPEASIQPPLDYQVDPQSDLHHQNLTEAEPSNQLEENESDTMAKSGRT PLPSSPRKPGKQTRGGKKGNSSDSSASKTGASKGRSDNKLSKIPLICYACPDEPKFSD PSHLLTHVGSKGHLGAHQKLLIKAMTDTDAKASIDKFNKWYAEHEIQSLLAARMAERE KKDKKKRKAQDDLNQQIKDKMPYIKDEDDAGDGPRAKKRVSLAIVPTDESSIVSEDID EDTVQTRSGPKLKGTVYPGMGVFDAATDEMKKNRNQRKSASLTAQLKANSDAVEPIEM VFDMNLNFMRIRSVFDRPSPPPSPSATGAEDSMVEDEENSSKDADPEDQGADGDDSSV GGNLSHCQ
SAPIO_CDS0750	MTTPASGKKKQASLTSFFSPKSTTPSSSQSQRPKHPPTSSPLRP SEPLPARKRPLEEDADKGNNGSGASKRQRPAKPAAPVIDEDVPAEDLENNKPRSSPRT DYYLFGSSQDGLDVSPEVVRRREDLHRKFVKKLGHPDSLVSLRRLVSDQDAIVAGEDG GDDDAEEEEAPPPPPKGRKTAKGGKANKLTPMEIQFLDIKRKHMDTVLIMEVGYKFRF LGEDARIAAKELSIVCIPGKFRYDEHPSEAHLDRFASASIPVHRLPVHAKRLVAAGYK VGVVRQVETAALKKAGDNRNTPFIRKLTNVYTKGTYVDEIGELDQSTTGAPSGGYLLC ITETPSKGGGTDEKVDVGILAVQPATGDIIYDSFEDGFMRSEIETRLLHISPCEFLIV GDLTRGTDKLIKHLSGSSTNVFGDRSRVERVGRSKTMPAESHSHVTQFYADKLKQGMG DDDRAGALLDKVLHLPEQVTICLSAMITHLTEYGLEHIFDLTKYFQSFSTRSHMLING TTLESLEVYRNATDYSEKSSLFWALDKTHTRFGQRLLKKWVGRPLLDKERLEERVEAV EELLENQSTANVDQLENLLAHTKTDLERSLIRIYYGKCTRPELLSVLQTLQRIATHYA QVKTPADTGFHSRLLGDAICSLPLILDTVISYLDKINPEGARKDDKINFFREEEQTEA IQDHLIGIASVEQELDNHKKVATEKLGWKIPVKYATVAGIEYLIEVSNSDIKRVPASW MKISGTKKLSRFHTPEVVRLMSERDRHKEALAAACDDAFKDLLSSISADYQPLRDAVS SLATLDCLMSLSKVASLPGYSKPTFLPSDSPPTISIKDGRHPIAEHTLPHGYIPFTST LSSPSPLAYLITGPNMGGKSSFVRAVALLVLLAQIGSFVPATSLSLTLCDAIHTRMGA RDNLFAGESTFMVEVSETSRILRSATPRSLVILDELGRGTSTHDGAAIAHAVLEHVAR ETKCLTLFITHYQNLARVADGLGGGLVKNVHMRFQATTARQDDNQLSSSSPAEAAAAQ DGDADPADEEITFLYEVTEGVAHRSYGLNVARLARIPRPIIDLAACKSREMEADVRAR RLRAASSLLQKLLGPGLSGEEGSSENMEQLVSCIEQL
SAPIO_CDS0751	MFGMLTPGPQAPGPTYVYKTYDDLFADLSARMQSDGYKVVKARS HRGKIGGADVPNNEMVRCDLVCDRGGRPYKCQATKHKTSTKKTDCPWKAKAVNRKMMG GWILTVICDQHNHEPGTPEPPTPGVSERDADGEPDGNEAAAAEGPTPDAETSAALAVA GVSQAVFRLTGDTFQQFKGEYRKMAKPQRVQMLAQLQMRIAAIYAIENEDVQRQVRME QQEKRHRQIDQTRRQSTTVAEKRARRTSAMMVEDEGDDEGRTLPSSSPARRRQGGVVQ QDAQGLQLDQGQMGDGQHLMEGQTQQDEVDSFIHAQFQVQVPQAGVGTPPVGQFHHFP APPPRRLRGRPQAQT
SAPIO_CDS0752	MPRSVCIIGAGPSGLVAAKTLANHRHPPTLEGSPVYTVTVYDAN AAIGGLWPLDATDDSRPIHPLMTTNLSRHTVQFSDLAWEGLEEGAEGRKMSAVPEFPR AWMVGRYLARYAKAYLEGSANVEMKLGTRVSGVWRKGNGWVVEADGEEREFDAVVVSS GYFGKERIPEWLGPDRAGGETERKVPVVHSTAYRDLKRLLTRTDGTTAAGGKILVVGG QMSGVEVAATIATQLSSAVNSPLESPIPNPDKYSVHHLADRPVWVLPLFTTPTPANAA PFFLPSDLNSFNIAGRPHPLKNTRGGIICEEAARTAHENLRRSLGTNQSEFHPLAVVE DTSSPAFVAISQLYLPLLRAKLLDLHRGQLTGLSGTTAETTSGPIEDVAAVVLATGFD PSPSLSFLSEDVLKAINHTPEYPDLTPALAFHSTHHPSVPGLGFVGFYRGPYWGVAEM QSRFLAELWTPENIAPQSEAMKKALEADRSIENVLAMRGNKQTAQFPMGDYPFLMQEF STALNLPISPSVAPQLFIPDSPLSLDPLTPARYTSPSANANTFSKSQTAKSLAQVHSS ANSALTSTKFIAASIFRNLLGTWHLEREINSKLPSHPSGTFTGTARFLVRQKTLDGFD GPSSESTNPAVISEDGGLEYLYIEEGTFNSTLGFSFSATRRYVYRYDEVTDTLSVWFV RVDDPRRADYLFHDVEFLPREEDDDAGDGAGAFDSAGVHVGAKARGVKAKAGHLCGGD YYSVEYEFGFKAVNLERWTTGYQVKGPKKDYTLHAVYTRD
SAPIO_CDS0754	MAPERVCLAYSGGLDTSTILRWLVLQGYEVVCFLANCGQEEDFE AVQSKAIKLGAERMIIQDVQQELIDELVWPAIQCNAIYEDRYDLLGTSLARPVIARAM VKVAKEYNCTILSHGCTGKGNDQVRFELAWKACDPTLKVLAPWRMPEFYNRFAGRADL LKFAAEQNIPVSSTPKAPWSMDDNIIHCSYEAGILEQTDMEPPKDMWKRTVDPMKAPD APTPFTVHFEEGVPVKLEVGGKVITGSLEIFKEANEIGRANGIGRVDIVESRFIGLKS RGCYDTPGLTILRQAHLDLEGLVMDSKVRSIRDRLSKDWSDAIYNGMYFSPEREFVQH AIKFSQRQVEGKVSLVAYKGCAYVVGRSSETSNLYSADESSMDTLDMNWTPQDASGFI SIQSIRLEKYGARKIKDGEPLARV
SAPIO_CDS0755	MRNPFFLLYLTLPLPLQAQHLISLALGAPSSPLLIEEEPLPLIS GRSAILSLRAEAPPTCSPRESVCDTGCIPRGANCCRFGNNAYCDEGEYCSSDNICCNP GDTCSNTGCAGVPNGGGSSSTRVPGSPTGGSPPAGPSPTSSRGSGGPPGGPPGGSSAS SRAPTGPPSGPAPTSSRGSGGGPPPSGPEPSSTRGAGGPPSGGPSPSSTRAPGGPPPS SGPSPTGSSRAPGGPAGPDEGPSSSRGGSVPVPTSSAGRGGPPGASSSGACEIRTVTV TVGAGGVGGAGGPGSGGASPTGGSGSGGPGSGGGSGGGAGSGGPGLGSPSAGAPSGSG GARQPPSSAASAIGGPSATRPVTVSGARRLERGNGALVGMVVAVVGGIVLL
SAPIO_CDS0756	MSDPTSSEPAPTSTQSLIPSECPTEEFLYPGSKDILLIVYVSLA LGISSFLCFCFWRPRWKALYTARKRRLHSDVDLPTLPDGFFSWIPSLYKITEEQVLAS AGLDAFVFLNFFKMSIRIIAIMAFFAAVVLAPINDHFLPRIEPNPGDGDGETSSFTTQ SSVYLDPWDELHAAMDKKGKKNKDISYLYAYLVFTYFFSTLVLYILNRETVRVLRVRQ EYLGTQSTVTDRTFRISGIPTDLRDEGKIKNLIEKLEIGQVEKVILCRQWHKLDALLE ERDRCLRELERTWSEYHRKLESTNSGISGRLDGSGEEGSTDTGGGDASPDPGLEEAGY GLEERERPKIRLWHGFLRLQSRKTDAIDYYEEKLRRLDEKIIEARKKTYEPADLAFVT MDSIASCQMAIQALIDPRPGRLLTKPAPSPTDVVWKNTYAARGVRRLKSWVVTLFITV LSLVWFVIVATLASLLSICTINKAFPKFGDYLVHHEWIRFLVQTGLPTGAVSLLNVMV PFLYDFLSHYQGMISRGQIELSVISKNFFFTFFNIFFVFAVSGSTTQFIPVLWGALDN THELPKLIARSIMNIGDFYISFIVLQGVGLIPFRLLEPGGVALYVWGRLTAKTPRDFH EMKAPPLFSYGYYLPTALLIFILCLVYSILQNGVLVLLAGLIYFTFSYFTYKYQLLYA MDQPQHATGQAWPMISYRIVLGLVFFQLTMGGVLGLHEAFKAVVLVIPLIAFTVWYGF YFKRRYVPLTKFISLRSIRAEIDPEDAAAVNEGMSPSRLTLGVLRRGSTLDEEREKGL EYVNPSLKIPLVQPWIYQDPPPVLDESTSGTRTPLDILGPETHSSTSSLSLGDTHIWR DEGA
SAPIO_CDS0757	MGKLSMWLGARAMGSYMWPLTYLCLLPAVVLPCLCLLASEERPK RIFEEFGCFFNTVLSIYLSLIPLSAESNQRPLIPALAVLALSTATALSAVVLSFFKEE AKVKASYGMQLILGPALNYVFATIISAYAPTLKNQPDAVNRSPDPMFAAYPAINDREP GAQPQTFKMSTILPPPSKKQKRETLERTKTQQDVSRPVSTEAGSFKARFVDGDGNQLA DVIEVPLADASEKNISLLLNTLLGRDREEFLPYRFRVHIPDSDIIVDQYPTDLLQLLR SHGISNPFETTITLGAEPQAVFRVQMVTRMASKIPGHGEAILAAQFSPASSSRLATGS GDKTARIWDTNTGTPKHTLAGHDGWVLCVSWSPDGKRLATGSMDKTVRLWDPETGKAI GAGAFRGHGKWVTSLAWEPYHLWRDGTPRLASASKDATIRVWVVNTGVTEHVLSGHKS SVSCVKWGGTDLIYSASHDKTVRVWDAIKGTLAHSLSSHAHWVNHLALSTDFALRTGY FDHTPVPDSEEGKRKKALERFEKAAKIQGKVSERLVSASDDFTMYLWDPSQGTKPVAR LHGHQKQVNHVTFSPDGTLIASAAWDNHTKIWSARDGRFINTLRGHVAPVYQCAFSAD SRLLVTASKDTTLKVWSMASFKLASDLPGHQDEVYAVDWSPDGQLVGSGGKDKAVRLW RH
SAPIO_CDS0758	MAATSYKTARGPHLRPVFTFDKVGPDSTFERYWCFGGNYFRNTP NRSHFYVCFSVSETLDRSSLRIVLRSANLIKWLKALLPTPVLASLERSPSSLTIYIED LLPLYPTIKSSFLRRKSHRDIDFDDYFEYKLLAHSFLLDYSIFLGFDCESLYKRGILT HDHWKDIKFSTVSTPFRALLEQWSVLLPPELRTSIGNGEGLLCYPERRDLRRAIGRHD SQAVASILENNRRWVDPITLCFAIRSYNPSVFSLLIKHCCVFDADWKGHISAEPLYRA AKEGNLDAVKAMFEAGAGIEGNSFRGSSSPLTGAASGGHVGVVQYLVENGASINSEKM KSPLSRAIKHGHIHIIKYLVEHGARIEWDGDGLSGAVNSSDLDVALYFVKHVHYVGCS NSELLLCKAAELGRSDIVKWLVECQRIDVNSMPGSKTALVLAMENGHCDVANYLVARG ARCRVQLAWSYRDDNPDLVAFGLTNPAISTNMTLPALANVPSGPPSAFYTHLGDYCFF FDLADLAVVSEPIVKSSGRDMATVFAVADFISMSHFLDFMLQSRYLSSPTIRETSDMI DVPKACPMETAIRNQERKRIYIKVLKKLARSLQGLEESSSSSDASVDLEAFLQQQGGA WSVFRRAVRVIRSIVDGQLPNTVLDIVDCVLATNAMRSAIPERKMKCPKKVFINDLDR WAALLSDEDQPLFWEISHFLWGKGPSTGQQATPLVASDVLPIFQEVVSNLVNVAGTYS DDDEEMVPGGGTPLHILREQRSHSSPQPHVQEYAEPPVEPPPPDPPDPPDLPERKLWQ EPCHKYALRGESEHLAKLAAITVLMAARTLSPNFNVFLSVTFQRNPSQRFAAILFVFT RQLA
SAPIO_CDS0760	MSSEQNTSSTTTGNRKQRKDGSGAMLEIFLANPPTLPERLMMLA SSASNQNTVAMREVKKGRPKPFLDTWQLAWGEMRKALKK
SAPIO_CDS0761	MDTIQADVTYVKNPLDLRNQRTFAVKPGTNGMLDVARQTYQEGS QDVLTLVESLQNSLQLDITLKFDSSRLFYLRLKPIDFVSRPVPEIFVNQIRRKGAIEC QTMDLKKLNQRISDSATEAILQSDKVIQELIDSLRVQVPHLFRVCESVALLDVIASFT QLAALKGYSRPDISGTLALKSARHPLLDANVSEVFVPNDYYATEQYRFQIVTGPNMSG KSTYIRSVALLQIMAQIGSFVPADYAAFGIVDKIFARVTTDDSIESNLSSFSLEMREM AFILRNVDSQSMAIIDELGRGTSTRDGLAIALAISEALLESNCMVWFATHFVDLAEGL ADRPGVMNLHLATQASLAGGKMPHMSMLYKVATGTVKERRYGIALARAIGLPEDLVND AERISKALSEKRQAKQQNSEYSKTLRRRKLVLNLQETLRHVVESDVDEKAFKSFLIRL RAEFITKMSAIDGGDVEMSHIETCEQGSEQQVPTDIEDGDIEVENDWDIYSS
SAPIO_CDS0762	MHAKAITPLFLLAAGAVLAAPAARQETSDEYDYIVVGSGPGGGP LAVNLAKAGHSVLLLEAGDTSAGGSSQYPPEITWDFFVKHFEDDEKNKANDYGVWKTV EGRYWVGKDNPPEGAEFLGIYYPRGATVGGSSMINAMATWLPTDSDWNYVVEVTGDES WKAENMREIFTRIEKNNYLPEGTPGHGFDGWFQTMMTSGFGPPGSGGDGPANPVTESM ASELGLDPADVPELVSSDPNALDPDRDTTQGIYGLPRHQRANGQRYSSRHYILESIEE GIPLTLSVNSLATKVLFETSESEEAKPKAIGVEYLEGKAIYGADPRRGPDSEGTKKTA TARREVIISGGTFNTPQILMLSGIGDPEQLEQFDIPVLVEATGVGTSLMDNQEMPVVG QASGTVGFGDPGFIMIQTDHATYDERDMFLMHNSGGVFRGFWPSNQTNELPSEVPRVY STSMVKQHPLNRAGYVRLQSADPLDTPDINFNLYVEGSETDLGGMRDSIAWVRRVYAN VAAPAGPIEPLEPPCPSGITEDGHCTDESEDDEWIIAHTFGHHPTSTVRIGADDDPTA VLDSKFRVRGVEGLRVVDASVFPRIPGVFPVVATFIISQKATDTILEELAAEME
SAPIO_CDS0763	MSSQGNIRVVGSLPLRREIRDLEANFPEQFSLFILAFKALQAKD KTDPTSYYQIAGIHGMPYKVWNNARGLSNFDFGGYCTHSSILFLTWHRPYLALFEQAL YAAAQGIAARYPENVRSRYVEAAKQLRLPFFDWAARVREPAPSFPDSIAKSRIAIVDI DGRRKTIENPLYSFNIGQVQPDRGDLTGRWATRLQTLRHPDSRNNSHNEVIEQELDNE SASLRQELSLLLLSFTDYDDFSNSTWRQGSRVRATTSLESVHDDIHGRTGGSGGHMGA LDVSAMDPVFWLHHSNVDRIWAMWQDLNQDEFMSPRPAPFSNFTVRRGSTEDAQSPLT PFWDETGTKFWTSDRVKSTTTFGYAYPETQRWQYASVEAYQRALRQTIARLYGSNPFL NFAQTIAPKDAAAERPTFESLAALPTTMLPVRSLAAGVKLAAAREPPQEQKVVEDDTK ENPAANPEPSGESLKPPENPIQEGDLSAPIPDSMKRLCPEGRYTDWVVNVRTLKHGLG QTFRVIVFLGDFSPSPADWAGNAEYNTVGRVTMLGRNSDTQCGKCQEDQENDLMIAGA VPLTSALLQDIAAGKLQSLEPKDVVPHLKKDLHWRVKLFDGTEYAVDQVPRLKVSVCS MPVTLGEDGVPVYSGVYTIHKEATAGKAAGLGEDEEW
SAPIO_CDS0764	MSRPEDTLAADIHYDDTEARKYTTSSRIQNIQASMTRRALELLD LKKPSFILDVGCGSGLSGEILSSVEPDEGGPHTWVGMDISPAMLDTALQRDVEGDLML ADIGQGIPFRPGTFDAAISISAIQWLCNAESSDTSPAGRLTRFFNGLYASIRRGGRAV CQFYPKNDTQRDMITQAAIRAGFGAGLLEDDPGTKNVKLYLVLTVGGTSDEGVGGDIT NVVQGMDGVDVMDVRRKAKSGKGEIKKGSRAWIVKKKEQMERKGKIVKHNSKYTGRKR RVAF
SAPIO_CDS0765	MMFIMLLVGYLGSFLGFCFLTLAIASGLYYLSEIVEEHTVLAKR FLLRVIYFVIGLLFLAWAVDRLPFGLTLLSIFSHVIYLGNMRRFPFVQLTDPLFIASC CLVMLNHYVWFRHFSALQKESYRNMTSYYDRPDVPSFTQIASFFGICVWLVPFSLFVS LSANDNVLPTMGSSEPRIGGDVKGRRQGLVKAVVDGVLGAIGQITGQGEGRRDV
SAPIO_CDS0766	MRQTLDSALNTTGLADEQRGLLDLVDKLQFAQLDNVKLPQIVVV GDQSAGKSSVLEALTGTPFPRDAGACTRFATEIRMRRAKDEMLSVSIIPDKNRPYNEQ QRLKQFGGTVNQNTPFDAMMRDAAELVAPRNIPGRFAARDILVVDKRGPDMPLLTLVD LPGLVRVANRDQSEADIQTIEALSDRYMKSSRTIILAVIGGNNDYVQAPILKKARQFD PSGSRTIGVLTKPDLTEKIGLEDKFIGLVTNKDKENHFKLGWYVLLNPGPPSPGEEWP TPDQRKMREDAFFSAGKWSTLPPNMCGVGPLREKLSIQLQRHIGRHVNTLRKQIQDAH ARCEAELKALGVGKDTVEEMRFQMGELFSACNNLVTASVNGNYKNPPGEKFFPKAATR KGTPGQKLRARVREESERFSKRFRDSGRKVGFSETEQPILPSGMMGNRSKADFTKREV EPLLRQIRGTELPMDSNPRAPYILFQDYSENWPVLAQEYRDNVGVICNEFLAEVIEYV WPMRMRDPLRAHFLEPNMSELVQFADKELARLIDDMELEVQPFDPEYEERLRAWRVKA AENGSTYTEAEEVLEKMLIYYDLTARIFIRNVITQVVERHLLLGMLRLFNPLQILKME NQVVEGIAAEDKLTRDRRLALKEQKKAIEEAKSLCASLAMRSELRGFDGNLEDEGQES EDEEDTRRYSNTSSAAVAAAAAAYQRAKTQESVPLQREPRGYALDSRQVNGNYSQQQQ QQPQAQQYQQQQQQQQRPPSQQSQQQQQPPIQTRGQNLSRADSQRMSSEWAQNYYSTS GDHNAAPQAPVSAPPPPPRPPKADDDDRYPDTPRSSYQGHSPSRHESKRESTRHRLNI LGR
SAPIO_CDS0767	MAAFPPPATTSIDWANVGFKIREVNGHIESHWSKKTGQWTPPKF VRDPFIRIHGMSPALNYGQQAYEGLKAFRTPGDAAIQIFRPNRNALRMQHSAAVASMP AVPEDLFVAACRAAVALNAEFVPPHETGAAMYVRPQIYGSSAQLGLNPPDEYMFLVYV VPTGVYHGVHPVKCLILDEFDRAAPNGTGNAKVGGNYAPVLRWTDKARDEGYNITLHL DSAKHDEVDEFSTSGFVGALVDGDKVTIVVPDSKAVIDSVTSDSVQQIARSLGWNVEK RAIKYAELPSFSEVMAAGTAAGLVPIRSITRNISASQPLSLASTVKSHERLSLGDNTE TVTYIPDSQEDAGPICLKLLGQLKGIQVGKVEDKFGWCFEVREADGNVEKE
SAPIO_CDS0769	MADATISSFVDITGSSANVARGFLEMTNNDLEQAIQLFFENPDL QHSINSASATTQTTTRNAPSGTHRQTVREDEHGVIHIDSDDDEDEDVDSVQAVARNAQ EEEDAAMAQRLQEELFSAPDDEIRSPIRSTTETLVAPHPAWGSGFDGDDDIQSDLLEQ LRQRQARARRAPNPFNQSIWDDPDARPGPATAGAPTTRAQRLTDLFRPPHDIISRFSW DEAREEGKDTKKWILVNIQDLSDFTCQALNRDIWKDKAIKELVAENFIFLQYSNEDVL ARDYITFYFPNHENPQNYPHVSIVDPRTGEQVKIWSGRPFPSALDFHSQLVEFLDRYS LNANTKNPVVKVKSKQSKAVDVDRMTEEEMLEMALKNSLAPNGGSSENQKSNIVDPDS LTKSPLLSGGERVTSPLREATAEPELSASQSAFAAISITNPHTEPEHNPATTTRIQFR HATGRIIRRFNTQDPVRRIYEWLKAEPMEGKEGVEFELKKMPQGHDLIENLDGTIEEV GLKQGTVMIEFLE
SAPIO_CDS0770	MAEREREYEEGNEALDPELLYTKEYCIGGGSFGKVYKGVDKRTG QAVAIKVIDIESAEDEVEDIIQEIAILSELQSPYVTKYYGSYAKGAELWIVMEFCSGG SCADLMKPGLIGEDYIAIILRELLLGLDYLHSDKKLHRDVKGTPLPTLRFFLQPTRIF EIDGLVLTPISLAANVLLSSNGQVKLADFGVSGQLSATMTKKNTFVGTPFWMAPEVIK QSGYDHKADIWSLGITALELANGEPPYADIHPMKVLFLIPKNPPPRLEGNFTKAFKDF IELCLQRDPKERPSAKELLRHPFIRKAKKTSYLTELIERHTRYMATHKGEEEDHMEGH EEIMERQPVNEDMWDFGTVRLVNDRGNVVHRPSLNPMDDSATNARASSRPYEPQDHHY GEQNAGPAKVRDFGLGPTVSDTLKAAGSGTSRQTSPQRKPLPTETPPSPIRVPLPASP ERRRDDTSRRVVKPMPPIPLDQSADYDHLLQQQLQRDMGVLSLAPVIQASSAGSSSSA YASLQNGPELRPSSSSSRVGPIDLPEIPPYRGGSAAYQQQNPSQHRLPNQAPQTQLRQ VSGQASALPLPPKHAQQHYQPVQVPTQPPGLLSKAQPPSVAESSAALLSSFPSPAPAN PDGDLDALNDVIFPALEEALKRRQIRLQTVCRTAPGAAPTPRQQRAEAAHERIRHLVY KLAHVCKEIDHYDKAEPVGMGRDVGMFLEGLLEEILVRVEPFDETEV
SAPIO_CDS0771	MAESTEQRELDLVDKVDFRILEVANNEEKLEQLLSRYLAPLMLK AGSPHASVRAKVIAVLKRVMTFVQPPGIVLPVAALVDQFKANSSPVVKQFDLVFIQHG LPRMDVVDRRDLFPKVTKEISHGDADAAGSSDAGLFDVFLRLLTDVRLPGRGTKDDLA LRETLGLTDSDARYLSRWLGKVILLKSAGSGATAEALRAANPPPTFTASELDFLVPTH RPVSAAFTALADLKLKVITFLASGAFKDSERFIPALYAASAADSRVASVGDELLKKSS VDLEDVTLTKSLFDSHSRLGPAYRTRILGILSKSTVSTTMTAEILEAAKLDITPAEAG NSEQGKRQGTLERTKLHRALFQYLRWAATVGPSKGDFDIGFKLLEKMQEYIQGQGWPV AEKTSADDVALRSLAYESIGILGKAAKMTGQQRFCLAGWMFRALAEDPNPEVVVNIDG ALSMLTALYPPSQEESNSKRFGVRTMLLRYMTVKVEPPVVRSTRHAAVKWANKCLPFD SAQARWIDILAVGARPDERSDVIEEGHKGLDPWAQYAHSSNDDVKLPDWKEMLVMYFA DNKPSPSPPRNDSDEMDVDTDPIPRFHFSDDTMLAYPVALNYCKQMMFLAALKDFKMD PDWARNLQNLVKTNLKTRNEIREYLRGLPDDGHNMYFLKRCLDGLHLNHEATVEESAR SFVDVASLSPRNSVAYLAHLAPQLVPFIKSNKKELRVLAAEAFGILAAHPANSDAKLS DLVAPILELTKGSENKFGSELNAAEGAILALAHFCSRLVFYGREVPAGITYPLDLLVK ERIPHSLKETLLEAFPQLWSAGLATPSPDTIPAKEVIEFLLGLAKKSNERAISALGKL AIALDEEEGKEWNDGLIGSILKGLFELYEIKQAEVHFAVGEAIAAVVGRWEASCVQLG LNVDSDPSGSFQKPHRPAHLTGVLNKLIQDCKGTKPSLLKASGIWLFCIVQYCPHLEE VKSRLREIQASFMRLLHTRDELTQETASRGLSLVYEKGDESIRGQLTKDLVSAFTGNG KTLKVDEDTELFDAGALPTGDGKSITSYKDIVNLASEVGDQTLVYKFMSLAANAAMWT TRSAFGRFGLSNILSESEVDPKLYPKLYRYRFDPNPNVQRSMDDIWKSIVKDSNAVLE KHFDAIMEDLLKSALGREWRMREASCGAIADLVQGRPFPKYEKYYTEIWEVALKLMDD VKGSVRAAALKLCIGLSNGLLRLLEESTGGSSVQAMLKEVIPFLLSGQGVDNSVEDVK LFSTLTLINIAKKGGRNLRPFMSEMIPQLLGLLSTIEPAQIGFYYQRVGEGGRELIDK RRAAMVSQSPISEAIENCLRFVDEETLVELAPTLEATIKSALGMPTKIGCSRMLITLF STHANSMRPLAARFLQLMEKQTLDANDEVSKAYARAAAYIIRSAPSAAKEKFCEKFID LYFNAEDERRRQKVADVVVALSKTSPDQFTSLASLLLPFAYFASNDVDEYTRTAFGVA WDQHAGGSRTVAWHLKEIIALVTRGLDAPRWSLQHTACFTISSAVEALAGSTEATGQI SEANLEVVWPVFDRALALKTFDGKQRLLKAFPPFVEKGEALWKDGSSAIAQKQRKIAI REAKRNNAGYKVHAYECLWKFAKARKDLDLLEDIIDVVQPALDEFEDEASADKMDVDR SKDDGDDDHAAELAEKAVEAIARGYNRPAMAEDAIPALSMILKTLKPYLAEHRFSLIR RKVWFTALVDVMNDAKKGKDGKDQSALAKGYLDTLSLDVVEIGTEAQRIERAKAVGAI TRAWKRGVFGGEGVGSIGGVVKGAVERIMGEERSLSVKEALKKVLEELK
SAPIO_CDS0772	MTTHPEFDIKTSGLHVAETYADQIKGKTILITGVSPNGIGAATA TAIASQSPALLILASRTPTNLSTVVSSLHESYPTVRIEPLTLDLASQASIRAVAAQVA ELTPKLDILINNAGLSVMKRFDTAEGIEGQFGTNHVGPFLLTNLLLPLLEKAAKEEGR RPGETRIVNLASGAHVISPIRWSDYNLEGKEVPEEEKWRKGLPEVYLQPVDGYLGIVA YGQSKTANILFTVSLQREVPKKGISAYCLHPGGVRTQLGREQDDDITAAIEKTASYWK DIDQGSSTTLVAALDPALDTQTGLYLDNCQFSKAMPHATDPVAADRLWALSEELVGEK FTL
SAPIO_CDS0773	MAKEKTGINVIVVGAGFGGLASAIECHRQGHTVTIYEAFPELKI LGDIISFGPNAGRIFYRWGDGAGSVVNRMRPLSIDTREHGFNIRKFDTGEIVVNQPAA QYPWETPSLNGHRGELHEVVFNHVRDELGIPVHLGRRIEEYFEDDEGVGIVLDTGERV PADVVIAADGVKSKARQAVLGYEDKPKSSGYAVWRAWFSNEDMVADPETAHFCSRDTF NGWIGPDKHFLFSTIKGGQDVCWVLTHRDIHDIDESWSFPGNLDEVRAALEGWDPLCT RIISKTPQDRLVDWKLVYRDPLPTWVSPKGRILLLGDSAHPFLPTSAQGAAQALEDAA TIAVCLRRGGKAGVSTALRTHEKIRYERVKKVQKTGESTRDMWHKTDWEAVRRDPKLI EFPRPEWIFGHDAEKHAEEVYDEVAATV
SAPIO_CDS0774	MLADHFQDVAVMADHLLRWSSKNLNSNKTLGILILQAALLALIL DYGRMLWLRFKMPPGPFPLPIIGNTWQLPENKPWLYFEKLSKHHNSPVITFWIGRNPT VWINDAWAASELLDKRAGLYSSRPRMVVFAELGSGQANLVNMYTGTQEQREKWRARRK LIHHGVGVQSVRKYRSFQNDESRVVALDMLAKPADYVKSFERYATSVVSIIGFGRRVE SWDDPIITEVIATMHLAADLNVPGKSFPMLMETFPWLARFPDALAPWKCGLGRKRGFH FFYALADEANQKKGHEDSFVKYLFSEREKYQLKEEDISSLTGNLFGAGSDTSSSTLIT FILACCAFPETLIPAWEELDRVVGPDRSPHFDDEPNLPYVRAFVKEVFRWRSVAIIGG QPHAPIEDDYYNGYLIPKGTWTQGNVWAIHHNERDFPDPDRFNPCRFFDGDENHRPFP TERGYMTFGWGRRVCSGQALAEQGTWITVARLLWGFKIAKKTRPDGSVVEVDINAYTN GLNMRPQPFECDIIPRSPEIAATIAREGEQALADLQQYKGETKYRMSTFYSRNDRAMD KYGDDKVDVKGQ
SAPIO_CDS0775	MFARGQSTASQLVRATTRQPFLARANSTFQVGAVNPVRTGGKYT VTLIPGDGIGAEVAESVKTVFKAENAPVQWEEIDITTLETPVERTESMFHECVNSLSR NKIGLKGILHTPISRSGHQSFNVAMRQHLDIYASVSMIKNIPGYKTRHDGVDMCIIRE NTEGEYSGLEHQSVPGVVESLKIITRKQSERIARFAFDFAKANQRKKVTCIHKANIMK LSDGLFRSAFHKVSEGYSGLEVNDMIVDNASMQCVSRPQQFDVLVTPNLYGSVLSNIG AALVGGPGVVPGCNMGDDIAVFEPGCRHVGLDIKGKDQANPTAMLLSGVLMLRHLGLH EHADPIEKAVYDVIAEGKFLTRDMGGQASTLEFTKAILDKLEQVHKE
SAPIO_CDS0776	MAAPASSNISNLEGRWLMNHTLSDSIEPGLSLQGISFLLRKTIT LASVTLEIKQFTAPPKAPNTSTDPVTHIDIQQIATAGLKGTREERCLDNTFRPHSDWI FGSVRGQSRWISLEEVEDEFLKKGWTIDDAEEAGPEGKKHVLSHVESVDNGWTATQIW GFQEVKGERRYVRNIVIKKGDKRVDMRLVYDYLGPLDA
SAPIO_CDS0777	MTTHTFTTAPQPDAASKLFSSNWSSRYRGATVQDLDPPAALSVI PSDSISHALISAFEREYTHLTVVSSTTRSLLGYVSIPHLQRLLDSGAVQPTDEISKAM TRFRRKGTKYTVITMQTPLEELEAFFRGEGSGEKQDFAVITDEKRRFVLGVATVEDLG EFVRRRPA
SAPIO_CDS0778	MSKNQPSQETLRGLFYSPLASRPADDATGQSAHSQEPALPRKRM GTSFDYYSIIDDAKKEAKKLGEEAAHELEKASAKAQSATGTIALYSPKYYAACTFGGT LACGLTHTAVTPLDLVKVRRQVDPGLYKSNIQGWSMIYRKEGLRGIFTGWGPTFFGYS AQGAFKYGWYEFFKKTYSDLAGPEAAYKHKTWLYLSASASAEFLADIALCPFEAVKVR MQGALQNPYRGTFHGISSVLAKEGSAGLYKGLYPLWGRQIPYTMMKFASFETIVEMIY DRLPGQKNDYGKAAQTGVSFTAGYLAGILCAIVSHPADVMVSKLNANREPGEAFGAAM GRIYKDIGFMGLWNGLPVRIVMIGTLTGLQWMIYDYFKIFMGLPTTGGPAKTAQVEEA KLK
SAPIO_CDS0780	MESRLEPWPNAAVTGAHRMRGRASKSGLINLLIKGLNRSHRDFE APIVGVGQNEQRPTSGDVHLHIDPTIIQSDHPKLYADCEGLNGGNSPPQSLAAAAKYS TKKMLQRLAKNVRLYWRGSEDAGHQISPYFGFAFDHFASENGLKAPFDFVKASFSLQP VCRDFGDNIVYLASVLIKKELNSDLDAAAIFNPMSRDQPMCLSCLMNVPEYVLPCNHI LCKDCAIFQGNLVDWSDDRLIKSRQCPLDPSDEGETHIRLQKPDMGVRVLSLNGGGIR GIVTLAILRELELRLGGIPIHSFFDLIVGTSVGGIIAAGLAKKGWTATECAEKFKELC GSAFVPTFVEKYVGQSLPFDRLTFLIGYFLPRFDTKGVVNALIEAFGPGSNLFGGGHD LATAFQPKVARVATESAGKAVLVADYNRAGSENTHCGFIHQTNLSARWKIWEAARATS AAPTWFERYSHASTGKSYVDGGLNHNNPVYVARQEHQLLWPQSPLDLLVSLGTGLVED IEDTTPAEEPPPNQGGWIRWKKWLGSLELIQTAINHISNSLNSQRTWAQFEKDNQSER ERIIRLNNKLNPPFAELHDVHRIQGLEEETRQYWQKDRECKEMLDSLARRLLASSFYF VVNSTASSEHHYSVQGEIRCRFSRSADTKEISKLGQLFESIQVQDPSYPCFEISQEGF MSQKTLAVTESVIKSMIDSNEFVFPEGQSVSIQLGDRNSKCEVVLCLGQDERYHISGS PRTMSRIC
SAPIO_CDS0781	MPYLGRPSSASRTGTSAPGGKESASKKKEEPPPELTPLEKMLQN AGPLRKDGTDKFFGLENALYYSEAFRNHVVNYPPVEDYTNGVRPKVNVTMRPPLNGSA TQPPNKKGSTSSDSTKRRQVSGAGGPNQPGTRPEDKPDSPEYKKKQAMMKGPILEVSH ENTTAYGMEECTFTGLKDIFLALLESQTRTGVLSPQRFLEIFKRDNEMFRNSMHQDAH EFYGLVLNDVISNVEANAKRTRERELAKQIGSGALAESTAVAVSSPTVVTNGNSKLAS TGWVHDIFEGVLTSETKCLTCETTSQRDETFLDLSIDLEEHTSVTSCLGKFSAEEMLC ERNKFHCDHCGGLQEAEKRMKIHKLPKVLAFHLKRFKYTEDYSRLQKLFHRVVYPYHL RLFNTTDDAEDPDRLYELYAVVVHIGGNAYHGHYVSVIKTKDRGWLLFDDEMVEPVDK HFVRNFFGDKPGMACAYVLFYQETTFEKVRAEQEAEGFDDLIISNDKAACDVPAQENG VANGANGAHPIKHEPQPASAELDGDTLPPLVHSYTEPIPSTITTSNPISVPAPPLTPA TPLTATATPTTTKLEVSKTKEELKREKKEAEAAEKAAEKARKHAAKLDEKQRKEAKER LKAEQENVRKTTELSRAEEKKGKEGMLSNSFKEGMLSNSFLNRSSRGSRSMMTKKSFM FLNKEKDAGESSSTLQGEPMTNGDSAPLTPTLAHEKHEKHDRHDKHDKHDRHDKLKDR FTFGIGRKKSSNVLS
SAPIO_CDS0782	MMHLEYLIPLTVLIHLLLAPSTKVEESFSIQAAHDILVYGTPTT DINTRLRESYDHLTFPGAVPRSFIGPLLLAGVSQTLVAVLGFHRAQFVVRAVLGLFNA GCLVVFARGVRRAFGGWAGCWFVMLLSSQFHVMFYASRTLPNMFAFGLTTLAFSFLLP PKEAKEAPRRQRLAITLLVFSAIIFRAELAILLGTTGLYLLLTGQTNLINLIQPFIIS SFVALAVSVPIDSYFWQKPLWPELWGFYFNAILGSSSDWGVSPWHYYFTSAIPRIILN PYALLVLIPLAVFNPATSRAARSLLVPSLLFVAIYSLQPHKETRFIFYVSPPLTATAS LGASTLSLRAAKSTIARLMTLALVLSVLATTLASSAMLLFSSLNYPGGDALSHLADVL ANDPRSDVSVHADVFTCMTGLTLFTTNPYGVPYGSKQPNGVTVRFDKTEDEETLKDPE FWNSFDYLLVETGTTPPSGSWETLAVVEGLSGLEILKPRDVDPHDAKVRGHLVGKGEE VAWLRHWVRLATGGWWVGPRMVPRIKVLGRRESSGAKPSGSFGV
SAPIO_CDS0783	MTRKDSKSSRFSSLTGSSTDSGRRSNSVDDQQVSPTSTSFNRRP SSASSVSLRDRRMSDLANYRQDLAVLEPAGSRSSRNNHGAPSAGHSNLGQVAPWATSS SSNNNNNVTSPNVPMSFYNDSSDSVSVSSHASPNFNPPPNYRTGTSSGPNLNVPDSPD AGYPDERRPSIASITTLSSQGSKNSLARGGLRKLQGFFGEEFPGSDTSLPPAVPPKDN RPRSYSHSRGHRDRNYSNATDHTRDASPSSSRPRTPVPAPEVVPFLYQEAEDIARYGE APVRDILTGPDRDRYMSEGGASSREPPKTASSSRSGHSIVHLSGHHHRHNKSNDDPRA LRPSITREDSLHAPRDRGGSAATYSGARSRAHSPTPPVKAGSFDGQTSPVHPPKRSLL GRLRRNREKDEDGNKLRDLPKSSRSLASKSSRPELKRTDSPASNYTIETFEPLELRPA APRGATFNNKFPFSSKRSKAPRTYDATDEAIGPTDQYKDGTVFYLDTDLGDMDGILNR PAAALTPMDETASTRHDSEQLEPGIVNGAWNAPDSWAVRRNTEDNSYHIPDVDELEAP AKGEGDMPYCIRIFKADGTFTTLSVPLASTVSDVIDSIGKKMGIGRDGLPNFHIILRK RELVRILSAMERPLILQKRLLQQFGYEDRDRLEEVGREDNSYLCRFMFLSAMESEFRP QDFGLGRMQKFNNVDLSGRNLFNIPLSLYPKSGEIVSLNLSRNLSLDVPRDFIQSCTN LRDIKFNNNEARKLPPSLSRASKLTYLDVSNNRLEQLDNAELDNLPGLLKLNLANNRL KRLPSYFGLHKSLRTLNISSNFLDVFPDFLCELESLVDLDLSFNSIASLPDDIGKLKN LERFVITNNRLSNALPDTFNELLSLRELDIKYNAITSIDIISQLPKLEILSADHNSVS RFIGSFETLRTLKLNSNPVTKFEIMQPVLTLKSLNLSNAQLASIDESFHHILNLERLI LDKNYFVSLPPHIGKLTRLEHFSIAHNTVGTLPPQIGCLTELRHLDIRGNNIRKLPME IWWANKLEVLNASSNVLDNFPKPASRAPRLPGEDGGPPGAGSKYGSVSGTVTTKPSME DLNDPSRRPSQASSTLLSVGPSPVPGGPDRKNSMVSVYGKGGRKTSVVSRSTSAGTVS TGMPSSARKDSSLSTRLANTFAGSLRALHLADNQLDDDVFDQIVLLPELRVLNLSYNE IGDMPQRLIKSWPQLVELYLSGNELTTLPADDLEETSLLQVLHINANKFTNLPADISR AKKLAVFDCGSNYLKYNISNVPYDWNWNLNPELRFLNLSGNKRLEIKQTVNQRDHYSM NGEQYADFNRLQNLRVLGLMDVTLTQPRIPDQSEDRRVRTSGSMAGHLPYGMADTLGK NEHLSTVDLVVPRFNSSDSEMLLGLFDGQALSNGGSKIAKFLHENFGRILTTELKLLR TWIGETPVDALRRAFLSLNKDLATIATQHIDERSRSFKTMRSMSQPAPLVLSTEDLNS GGVATILYLQGMELYVANVGDAQAMLITEGTHKILTRKHDPAEPSERQRIREAGGWVS RHGLLNDQLEVSRAFGYVNLMPAVQAAPHVSHITIREQDDIILLATRELWEYLSPGLV VDVTRAERRDLMRAAQKLRDLAIAYGATGKIMVMMISVADLKRRVERSRGRPSMLWPS GLPEDMQMQATKRSKKKGDVLDSTLQRLEAEIPAPIGNVSIVFTDIKNSTTLWEMYPS AMRSAIKLHNEVMRRQLRHIGGYEVKTEGDAFMVSFPTATSALLWCFAVQMQLLEVNW PAEVVNSLSGQAMFDKDGSLIFKGLSVRMGIHFGETVSETDPVTRRMDYFGPMVNKAS RISAVADGGQITVSSDFISEIQRCLENYQDTDRNGSASTEEDFEDETFAASIRKDLRA LSSQGFEVKEMGEKKLKGLENPEVVYSLYPHALVGRIEYHQMHERQESAGAYEKQLTA SVMGSAGPFAVDPEMVWELWRVSLRLEMLCSSLEGGASPKPPVVDVLEKMKLRGGDVT EGFLIHFFEHQVSRIETCVNTIAMRHLAAGGGRINRLQDLQGSFPSVLDSLKEQLLEL QEYRAKFGPLKRQNRRPDSIEVEEVDGEDEDEDEGSDTESG
SAPIO_CDS0784	MNSKRWRKSDVPRYVSIKISALDTDPGRETENSKIICNANPSHE GIDFTRIPGDEFQLPGQGGMHFCLVYEPTRETLFKLQHRLRTKRLAPALFKFFIYCLL QAVDYLHTECKLIHTDIKADNIMVTIENDAVLEDFIRFQQRVPQPRHIRPEDGRVTYL SQGDFGPLRGSRLLPKLADFNLAFPGLEKGFAHLAPIQSHCFRAPEVLLGCPWSYSVD IWNLGLLQMWNLLEDTTLFDRPAGEDGEYDAHVHLAQMVALLGDPPEEVVTRERLFRE HLVDKPWISPRGEACRNMNKLWGGPFFDDDGQILRKDLVHPEKSLADTVTELEGNEKE AFLDFASCMLHWLPEKRKTAKELLKHPFLDSFYKDREKD
SAPIO_CDS0785	MSAYTWNQRRVGMSKNARPPQLLKSLNRPETTSRENLHSKPAIR EPDDIEAPPISSDEEEAEYAEKDKASKAAPGKRKGANHSWSSDPEEEDSPPRGDIRPT TFSKPAKERTFSKQPARYGGVRSFREGLERKKENSSTKSSAKATSGADVSFQSGVLET SLLRDSEKTYKGANKRWISTPPKPRDQKRPKKVKAVGKELELPKLKLPDDLFDSFDAG TPKARVTSLQIPNRTADSPSPTEATASTRTLRSLSASPPKPTAPVKLDTKYLDSPSKD SKAKNSKKKLTKPASPDEKPRLRNVGDSVNSGARLDGLSDSDDSPLSDIDLSFDEIEE NAAAVQCPYCKKPVDPDLLQTFSKGKRMPIRMQRLFCTEHKKVEARDLWRSRGYPDID WKALDTRISDHYNYLERILKGGRSHFGDLLARDIKEGINRNLMKADFNCSPGYYGTRG FRVMQESIFGRFSSLLRKRAVEDSLVSSRGYSLYVQAVLVPELAVRLVMEDMDVEAKE ARQILEESAWIGELLCEDVGDVVDEDDDNLF
SAPIO_CDS0786	MALNRKYAALPDLDSAPDIYETPELTDDNSTVPGTTLRSPSDVA SDEDTADEDGGISRAKLRIDEARSRFESTRYNSAGVDFSDRVDSKRRSYRSRHHRVLD DGTEELGDLSDEDDETDEASESLERKIARLKREVEEAKEEYARRRATTGGETKSGEEG GQEGLESLGRVLEEISRPAGVARPVRLSKPLVQGGDGTSGHNAAVSEATYTVTYAPSY EQSHALAKAAEFDQRLLALEKSLGIGTTTKGLEIGVNGLPRAVLPTVDMLNKQISALA QASTANLDSISRRVRTLVTDVQNLNKAKGSAASAGEQQHIERYVEDRIKENTGKESGE GEEDEQRSKINALYGTLATIEALTPLLQPLLDRLRSLRAIHTDAATASETLDRIEKQQ AETAAELKQWKSGLERISEAMQEGGSVMEGNMKVMESWIKDLEARVEKL
SAPIO_CDS0787	MDASKAPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDPTRSIIRN VKGPGTIYPSSPGPSREFFEFYNRSLLLVKTADQSNLNGSNPTLIPRPFPTMTSVVPT PPADHFTVLYFAAVSSYTSKESESLEAPLPLGKLFDVLEDKYPGIKAKLLGSCLVTVN LEYVDISGEEEGNDTMVIGEGDEVAIIPPVSSG
SAPIO_CDS0788	MGYSGLQREVLSLYRQCLRACRAKPADARPGFKAFARESFEKNL GMSKRDFGLIEYQLRKGKRQLELYSRPEIRKIGVQ
SAPIO_CDS0791	MSRRYSTASPKARLRASRILARSTTPIDFNAPQVLAGYEWQCQE QSCRIVFFLTPVAPHPNSRKLDRSNVLQWDASRLLRYESGKLVVNAEPLFGAEAGTWG AATAILDRTGTPGDRLFVDSYDSKGLLKWLWDLDDRRYRAGLPNIFDILSPFKGKKPS ENLPEEGADLSQ
SAPIO_CDS0793	MPLSRSPSPALGGGGWSSPGLHTPSGRSSPASGILAAPESWKSV SASTLTPPVSTLPYYFRRSEKFGGGKKFVIVLGANVGGGVMDWKGAREWAIERDSIRN KKKYVARWGYELEIVDMRKRKKYAHEWREGWQKIDYLRAAMRKYPDAEWFWWLDLNTY IMEPTYTLQDHIFKNLEKNVYRDINEYNPLNISHPFTEKYLSESDRSPVGDGNPDSIN LLLAQDCSGFNLGSFMVRRSEWTTQLLDVWWDPVSYEQRHMSWDHAEQDALEELYTNQ PWVRKHTAFLPQRKINSFPPGACADNGPNPRWHYDQKDRDFLVNMAGCEWGRDCWGEI YQYRELSYYLNRNPWERFKEDLVAVIWFKITGQKVKL
SAPIO_CDS0794	MSRTRFQPHLSQHQDTNGGTMPPTTTNQYAIHETPLFSPRPLRI ITIGAGASGINMIRTLRQTLGTLPYSHVLYEKNSDVGGTWFENRYPGCRCDVPSHNYQ FSWRKNPEWTNFFASAGEIHGYLRRICEEEEMWGEIRTGCRVKGVRWHEERAKWEVRV EKGDGGEVVDLGDFLIDASGILNNWVWPDIPGREEFEGQMVHTAAWPEDFTYDGKTLA LIGNGASGVQVLPQIVPHAKKLYHPIRTPTWILPPRVQTMKMGAAAPIVNQIEMDTSE NFTPTQIERFKTDPTFYDTFTKALDTDSNLKFALSLMSTSPQQEWAAQKCREYMAAML GGDERLCEVLIPKFPIGCRRLTPAPGYLEAMRDPKVEVVTEGIKRFTRKGIELENGEV LNVDAVICATGFDHSFVPPFPVVGRKGNLQDIWKKETPTSYMSLGIAGMPNYFKFLGP HAPISHGDVFTLSEHIATYIARAIRKCQTECILSMTPSDAAVADYAEHVAAFMPRTAW AGSCRSWYKAGGDGAVTALHPGSRLHFIEMLGEFRGEDWEYVYEGRGRSNRFGYLGNG FTRLEMDAMKGMKM
SAPIO_CDS0795	MSFSFSFSGDDIEGQDDGVQQVEVPKGPGSVAPIAAPSSTQRQQ ATSAFPVEGKPQLQPTRQNMDSMLSLLPSKIAYDLLSVTLVDGRIIGLPRRELWDVRV QLMAEDDGSGSTTEGLGSHDVKTGVYEGGFKSWESSVDLVKVLASLDYGRHDGSTQPL HVIELGCGTALPSLALFRQVMSERKSAAEPGAAPPPALLMTVADYNPSVLQLVTLPNF ILTWALLFKDTEPALKDAFELEDELELTDELKQAFSHSLAAANVTLSWISGGWSHEFV EILYAAAEERGLPTSLTTLVLGAETIYSPFALRAFYETLVEILQREHRERPGSDAQVL VGAKRHYFGVGGSLDDFVEMARGGGFVVEQLAEETDGVRRGVVQCRLAAP
SAPIO_CDS0796	MKSFATILLAALAAVANAVQFTNSAFDVEPGKPFELTWSGATGS VTILLKNGPQDNLQTVDTLVSGATGGSTEVIIDPKKYPSGTYAFEIIDSGNPGAPNYS TQFNIVGSGTLSATATATGASSTPTSSTPASTTTSASASPSASSSESESATRTSSSRA SQSSAADEKPTSVPDTGAGGRLTSSFALVLVTVAAMFYLN
SAPIO_CDS0798	MAALRSTTSRVLGAQLSGAAFRPAASMFARTMATVSEPPKAEKP KKMRTFQVYRWDPNNATEKARLQNYELDLNETGEMVLDALIKIKDEQDSSLVFRRSCR EGICGSCAMNINGQNHLACLCRIPDDGKDVKIYPLPHTYVIRDLVPDLTHFYAQLKSI KPTLLRTTPSPDGKEYRQSIEERARLDGLDECVLCACCSTSCPSYWWNSEEYLGPAIL LQSYRWLADSRDEKTAERRQNLNDNMALYRCHTILNCTRACPKGLNPGLAIAKIKKEL SL
SAPIO_CDS0799	MAEKPSVLIIGGLGYVGRFLALYIHNNDLASEVRIVDKVLPQLA WLPPEFKEACSPDKFMQADASREQALEKIFDRGDGKSWDYVFNCGGETRYSQEDEVYR IRSLGLSVALGKEAAKRNVKAFIELSTGMVYKSDSTPSKEGDKLKPWSKIAVFKLKAE EELAKIEGLNLAIVRLAHVYGPYASQWVATGLCIARVYQYLESEMKWLWTKDLRTNTV HIHDVTRAIWAVAKWYADGKANWDSKTMGPTPIFNVVDNGITTQGTMAEIVGEIFKIE TGFQGQLVSTFARLNMDSVVDDINDELLGPWGTLLEEAKITRPGPLTPFMEKELLKDT DLSMDGSRLEAIVGFKYEKPTITKELLEEVIESYKKMNWWP
SAPIO_CDS0800	MAQDPFDSALDLLRRLDPKHTTNHLNAILTLAPDLTEDLLSSVD QPLTIKRCRQTGRDYLLCDYNRDGDSYRSPWSNRFDPPLDESGPGGVGLGGNEGAGEG AVPSERVRAMEVKANDAFDIYRELYYEGGVSSVYFWNLDDGFAGVVLLKKASPSGGSS QGVWDSIHVFEAIERGRTTHYKLTSTVILHLSTNPSSASGGGDMDLSGNMTRQIEQEL PVESDDSHIANVGRLVEDMELKMRNLLQEVYFGKAKDVVADLRSLGSLSEGARDREAQ KEIIGSMRR
SAPIO_CDS0801	MPASARKRPRRDVEENRADCPFTVTLETDVNKKSNKRRKRGDQP EPPKKVYQQLSPFAPVGKFKTHETLDLGYSVEPSAKWQEMTRYNSFVLNGAKYFSEGF IYVANDASIERQQDPTGKSNPKRRTEDEWVARILEIRASDEHHVYARVYWMYWPDELP AGTTDGRKYVQGRQPYHGAHELIASNHMDIINVVSVTAMAHVNHWVEENDDEIQNALY WRQAFDFRNLELSSVEPVCKCGQPEHPDKTLVGCSNESCGKWLHDDCLLHDALMRAYE RLGKDTPYIPSGEAAKKVEEDSDGTKNPPLSPTETGVNETQQTIDVKADVQEKPDVTA LPEEKVESSPTATAAEVAAKGDTPGKKKRNKVANGFTAREKAAKPYLGLFTGSLKMDS SPPEVEIVDLREDVKGGVKTWTEPLKCLICNTPIN
SAPIO_CDS0802	MTDDRLLGQDADEDLRLSEHPPPRYAGEDTRPTSTKELAGWYMY AFAAETYVICGISSFIPILLETLARENGVLLSDPSKPCPSSTSKEPGQDSQCVVHVLG MTINTASFAMYTFSVSVLIQALLVVSISCAADHGNYRKKLLLTFAWTGSLAVACYIFV TSSTYLLGGLLAIVSNTSFGASFVLLNSFLPLLVRHHPDLPLRARRALGGDSSPDDRN HHLDDIAGDDDGEITLVDSTTNLLADSEGNPPTLALRREHTEQEINSIEVQLSSQISA KGIGIGYIAGLFVQCIGIVILLFMHGTTFAQRLVLLIIGLWWTFFTIPAAMWLRPRPG PPLPDYLVNGRKGSSAWLSYLKYSWTSLFKTIHLARRLVDIVLFLVSWFLLSDAIATT SSTAILFAKVELKMETWALGMINVISTLAGVFGAFSWAYISRKWNMRPHQIILICLAL FELIPLYGLLGYLPFIEHLGFLGLQQPWEMYPLAAVYGLVLGGLSSYCRSLYGELIPP GSEAAFYALYAITDKGSSFFGPAIVAAIIGKAGHIRPAFWFLGALVGLPAPLIWLINI DRGKKEAESLAEVIEGFKMGNANGAAHEDADER
SAPIO_CDS0803	MSLKEEFATRNFSIYGQWLGILAMILCLAVGISNIFTLDAIIII FCAFALASAFVILFIEVPLLLRICPTSATFDATLRKISTNYTRAAAYGIMSAIQFISI SRRATSLIAAAVVLALAAFSYLLAAIKGQAFVGSKTLGGQGVAQMIV
SAPIO_CDS0804	MSDNTDLRMSSAELNEIVHNTATRGASNFLGTPSEEVGFEGQEQ YVARIASPRIGGASPNHTSLAAANEQPEEGLAAEEDIIHIDEPRRSISPEDVKAAVGG RKPYTAPILADDEVAKSPPHFELHAAVEPSLDVPAGPEEPVTEPIRRPSINTEVLPSD FPRPEARKAPVPIIEYEALFKDGEEEAIEDEEKPAKKDATVHRFPSKDVWEDAPSSVH YTAEVSTPDIPADEGRLKPVEAPERHIPTPVQAFAQYQEELAEKEAERTKNFVPRGEN LSQSWLPVQELPKEPLKRPPIQKRFPSRDIWEDAPDSLLHETTVSSDQQPETKPDQPE IPPRPTKSAEKPVVPERPARSAERPAVPERPARRSSERPVIPERPRPKKELSGEGKPV VSDKPKPQIPPRPVKASSASASPVEASEQPALPKSRPTIPARPNRAPAAVATEAKEAP AVPKAKPAIPARPMGSKIAALQAGFMSDLNRRLQLGPQAPKKEDPAPEVAAEEEKEPV QKQPLADARKGRARGPQRRAPAKSPAPPAVAAAASTETKPRTLSFSFSKPQTVWSIDP EESDLVVGSAEPVEAAEVPKAEPLKPEPSTSESEKESSPPAVSEPELEPEAEPTEAEP KSDESPESEPEEVAAAIPEVSKSDLAENKAPADEPKVGSVSVDEIGDKVEVPEVDAGA VTIGKVGDMDKPVGEESSAAGAEKPLDAENIRA
SAPIO_CDS0806	MPLPTNPAVGKVKRSPWQRSYSTPVSNRVDRTPTTISEATRKKL EKLKFRAKKDSPAEAKTADPSNKENTRPGLNNAETAEDGTNQPTSTPVNDVSSNTKTN ELLDSIFDDDGHTSPNDRVMWAAAMQDDRDMYTSVRDVVRRRKGRKRARSSSPTSSPI SKANSPAINIKQLAQALRSPHPDPTLELWDRYTRRCFIGDGSPQDRCGNGLADFLVSS SPRPPNDGNGITPQKESALRRAVASNRLHFNKRRKIETEDDSPTYMTTGGGQSKYSLV TSLLDSVTSSMQDIPQNQDQSPLHMREGTRQSHPRPPAESPSPAKRTRAALVPTPQPI QFNSNQTPPAPALPAPAVSDYGDDDFDDDILMAIDAQVSSTAVAAPPVTPGATNQAPR RSPRKSPREQANPLAQDQTILDDTSDYGLDDIDDDVFVLAEQAVVRDPVPAVTIDLVS QEKPAVEEEDLLDDDLGDDDDWNAVELAATQAVASQAAHQHIPKPSNVSESIPRSQKP RAIQRYLVTSVIPSEYVDKHGRELPEKMLLLEVDGTNKMQLASLRGEWYDTEVEESSY VHVIGDFDSTGRCVIDDDQNILILHPDQLISATVVADSFGCMRRAVLQDRVKATSEPT PPLVYGTLLHEIFQEALTARCWEPRFLTDVINRITEKHVEDLYTIKVTIPTAREHLQS KMPELRNWAATFVSPYPKPDAFVQDRNQKKVNMCISKLLDVEEHVWSPMYGLKGNIDA TVQATFKDGLQSQTLTVPLEVKTGKHASAHHTAQTALYNLLLSDRYDIDITSGLLYYM ESSTTMRVPTIRREILHMIMQRNRLAAYIRQRSVQLPPMMKKQNMCGKCYAQTSCFIY HKLADEGDGETSGMGTKFNEVVKHLTPIHRDFFLKWENLLTWEEKESQKLKRELWTMI SSAREKVGRCFSDVVIDQVLGQSDSSKINRFQYSFVKHSPASSFSFLESQITVGEPVV VSDEQGHFALALGYVTGVRKNRVTVAVDRRLHNARIRQPGFDEVDNQVFAGIMDTNTK KGDQASLDEANALPMTRYRIDKDEFSNGMSVVRNNLVQIMADGVFGAQQIRRLVVDLD SPRFKTAPTQYHIPGHDSLNVDQKRAVEKVMSAQDYALVLGMPGTGKTTTIAHIIRAL VGQGKSVLLTSYTHTAVDNILLKLMRDKINILRLGAPVKVHPEVQDFVTLAGNPMTSF EEIKEAWHGTPVVATTCLGISHPIFNERTFDYCIVDEASQITLPVCLGPIRMARTFVL VGDHNQLPPLVQNEKAREGGLDVSLFKLLSDTHPDSVVNLEHQYRMNEDIMTLSNTLI YNGRLRCGTEELKTRNLEVPNMAGLKQRHYDATALANPTIAAMAPTSVCKGGASQCWL QKALRPETRVCFINTDTLVPRIREEAKGSRIVNPSEAQIVTQLVESLITIGVPASEIG VMTHYRSQLSLLKHSLRHTGVEMHTADRFQGRDKDVVVLSLVRCNDANNIGDLLRDWR RINVAFTRAKTKLLVVGSRETLGGAGTEMVARFVRLMEEKAWVLDLPGDALHSHFFEE GLTQSLRTPLKGRGSPSKRSPLKGRMKSPAKVLGAGRKLFGAGVGKENMAGAGPKRVG MSDKVLGSRFVVGRDILNELTDGQAGRQL
SAPIO_CDS0807	MPTLPAADEPGESSATTGAYPFGADSDWAPPSLRKHAILMGAMR RRATVSGPRPHRDSWDEARRDGTLTPPPERSSVSRASSAVNLALIEELLEDTMSETDT YDIHESRDGFFDAFFLQPPKIDYAELMEGVESTLPENMRPQNVFSVYRYLRRQWTGIQ DAFTDAFETRAGLLTCKSFIAFYIAYFLCLSPPIRFWLGRYSYMMVVSTIINHPGRTI GSQIDGAVLTILGTAAGLGWGAVGLQLSTFTPWVYGVMLAVFLAIFMAFIAYLRSYFI RLYQLVLCAGMAICYTCLADVDGGKVKWAKIYEYAIPWGLGQAIAFVVCLCISPDAGA LPMAEVFHKAFLAMDEGFIVPRSRDYGMRHRLSQAFFELSTAYRDMKLDITVARFSMD NLAELRNLMQGVIKGILYMKIDSDLFLDMLCGRPLTSRSSMLSERNFGTSPVQEGRPP NSRRVSSVFSNTSTSALQSGITALREPTQALLDGMREGLRTCDAVFVDIAGYREYLGP PKDVSSDLKHAEEVLHEAMRAYDGVESALADLQELRDYNPEIVKMLSLARSVRMAANP VVSLMRKLEHMQEESNDVKFHPPAYAFWKSLTRTNAQVRHDRGAVTPSSYNQTFVQTL DLIEKIQGQEHLPVIQEELEEGESIAEKAVEPSQNPQTVHMVAKYIYYEESEPATATD LRESEILESHLREGFIRIRQLLFLTRHELRLRGPFDGLPFAALINACEGYFNDLSIVR QAALFYAGEFVRGGEEAKMVLEFRRDAVASILTNLYVLAGALHAGSKVPRYLPSAAVA RKRLIDAMSKLDSEHAYPLGPDEMSREKLALIHRYTYNESMTRCVAYLESIEKYSKLI LGERGFDDEFRDESDNESDNE
SAPIO_CDS0808	MVLFRANTAIRAARNVRSRTLAATPSTVALRPFTASSRRLGGDA HHHESPYEPPTGYLFGVKPGEKYQKEGWENLMFYVFVPSCLLLVVALAFKPDTSLDTW ALEEARRRLEAEGILEDPSPEHVLPVDEYTSTREGKHPPV
SAPIO_CDS0809	MRYLATRWRSAIPRSALLAKRSPALAWQASRLYSAAAASPPLPV DVSKLTIQKTEKPSELKKPEELVFGRTFTDHMLTIEWTKEDGWNAPKITPYQNLSLDP ATCVFHYAFECFEGMKAYRDSKGQFRLFRPDKNAARLNKSASRIALPTIDPEALTKLI AEFVKLESRFIPNQRGYSLYLRPTLIGTQKTLGVGPPGSALLFVIASPVGPYYPTGFK AVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQLAAASRGFQQNLWLFGEEEYVTEV GTMNMFVALKDKVTGQKELVTAPLDGTILEGVTRDSVLSLAREKLIPEGWKISERKYT MKELAEASKEGRLLEAFGSGTAAVVSPVRAISWKGELVGCGLKDNEETGPVALKMKEW IEARQYGDEDHEWSYVAN
SAPIO_CDS0810	MRINNLLLAGLAAFSSPEHVKVGGLDSCKFNSMSTLYDAPAKIK YVCGRVGGMAPQCVELDLTKCVGNKDGQLSIMTGDRWTPYREFPNTCKLCEYDQKRAK LSCICTDLQGKTFDRSTVNLGDHIKNNDGILSGPFPACRSEFSSCP
SAPIO_CDS0811	MSMFSSAFKSISATNITGNYSISPDPTSTAGPWRIHDAKKKSTG KAYSVFIFDRKSLDSHGNSLGRSGASSFKRAADEVVERLRKEASSLAKLRHPNILELV EPVEDTRGGGLQFVSEAVTASLSSLLAEKDDSERSGGPGGRSSRFVVEDADGVRRRRE LEIDELEIQKGLLQISKALEFLHDNAGLVHGNLTPDAVLVNAKSDWKISGLSFCSPAE GSNKPTSIQGISLSEVLNIDPRLPRYVQLNLDYSSPDFILDNNLNTSADMFSLGLLCI ALYNSPHRSPLEAHGSVSTYKRLFSSSSTIPSISNKFLASGNLPRDLSTHVLPRLITR RPAQRMTAKEFQESEYFDNILVSTIRFLDAFPAKTSAEKSQFLRGLVKVLPSFPKSVM EKKLLPSLLDEMKDKELISLILQNVFKIIELLPSAKRAFGDKVRPALRETFVVPPPSS KSAPPQTHEKDPAKDAGLMVVLENMPSICKNSSGKEFKDDILPVVVTAIESPTHSLVD AALRGLTVILPVLDFSTIKNELFPVIATVFSRTNSLQIKIRGLRAFVILCGGSPDSPG DDGLDGLSGNKKSSSSSSALDKYTMQEKIVPLIKAIKTKEPAVMMAAHEVLKVVGQTA DIEFIALDILPILWNMSLGPLLSLKQFQAFMDLIKSLSRKVEDEHSKKLQELTGPSNG ATAAPAEDFMAFGGLTGTAFDPANGSDENDFEALVKGRSSTSKDTSHGGTFPSWDDNP PSASASAVSRSAGSPQPPTFSWSTPTATPKPGQLGAIKTQQQGSGFRTVTPDLSGFGA LTPTSTQFSKPLQPAQPMQSAFPPPPLSQSHTGTSTSSINWPSGGAPAATSLSNPWAS TTSSTMGQQQASSSAFGNLGSSMNSLALNAQRPMGLQPSSSSTSSFSLPPPPTTNTGG SSSFSLPPPQASAPAGGNVWATQTSKGGMGMGMGMASMAKPAMSNLQNTGMGMGMGAG APVGNMMGMGTGMGAANNQAKPKSGLDKYESLL
SAPIO_CDS0817	MWSPQKLIPLLTLCLASLTSARISITGATTGINSASGEAPPRLN INTLHKQGGPAWTLYVRALAAMQEAKSSDASSYFQIAGIHGRPLIPWDGDGKSVGPNG YCPHAQVLVQHALTLAEEYPANVRAKYRSAAQTLRIPYWDWADDATIPPSVGQAQIQV DTPKGKKTIRNPLYSYNFPKEAVDGKYGSITGNRDATKTVRCSAAEANARMAGVNFKG LVYDAFTRSDTFAKVASVGSDGVVMSFEQPHNSIHVRAACGNNFAYTSDSAFDPLFML HHANVDRLWAMWEELYPNQKALSPPYKSGGTFAIPRGTTISNTSPMLPFYGPGNKVHT SAHVQDISTFGYTYPEIPKGVKSTTSRRNAMKATVNKLYGPAAPKPKTRRALEYVDDI VDEVVDTVENAVDDEVDLVNDLLDVEFFANIRVNGSHIPTPCEINVYIGGKVAGSFDI LTAPHGGGIVEGEIPLEGLVQAIGLGAIAKTGIQAVSILGENVHVEILHPNGTAIPYE QYDSEFEIDLVDIDVTLDSSPDVFPQYGESRHTPVRAKPAPLRKKCGRRNRYADIPGV KLRV
SAPIO_CDS0818	MSNSPAIPRTAPIAIAPKPAAPVTAAAAVSTSAPLSGSAGRRQK HQLDGNNKFDVAKSSMSSLDASAPHSLASSPPIPCDSCRRLRLKCTTASDEDDGCIPC QRSGSECSLVVSPRPRKRKLTKGAVGPNGLTQRRSSPLVQDSRRRRQQTASALSSPST SASLIEEMANVGGPTLLKRTLGMQNDRYSQYIGPTTDFEPSLINLSPFNPDDESLLSR GTLRKVGENDTFLMLPDHSTPGYEHMLQDVDEIEKIVAPNGRKLIDLYFRVVHPAFPI IQKGVFLEKYERSYREFSPPLLAAVYLLAVNWWHHSVELAPFPRPDIRSLERLMRSTL ADAMYRPKLSTVQAGLLLSQRPEGDQWAPTAQLVAISQELGLHLDCSTWKIPPWEKGL RKRLAWALYMQDKWGALVHGRPSHIFPSNWAVQQLTEHDFPDVDWDEDDIEDRREVEA GRLIFLGMVRLSQILAEILDTFFTIQAMQTVADAGSQGTHMVLSLAKPVQLKLKEWFS ALPPVLRMDSSYSAKGVPSGRLSSVGYLHLAYFATEITLHRRIIRSLSAGESGGTVDP YLQHICRNAAKARLISAMDFVNRLSPNHLRAFWYFASKTNFALIGTFGSLLWATSPGQ EEAEWYRRRLGEYRWTLSVSSKPGGSRGLTEFAMTMLDISTGLLKKLPEKPTLSRSGS VSDIAGLNSIAPLSTSGPSRPRDWFSPEGFSGLPSTEASEAVSPRSESESSDDDMYDN TAG
SAPIO_CDS0820	MPPHQPAAEPQWPPRSPHEALISTPGGREKLRRMAERTSPSPSP LRKSRAMNSTRTLSNALSLGNEIDDEGDEDEETLQLKLQEIQARLKLKKLQRDKSQAR SSASGSTATTSSLGSAPPLAKGDAPGTKAGPKPGDTDQRPSVPTVIPASPVRRVQPPS AQTSPSRVLLGIDKGLRAKDVSLKRAPSLRRPTENQAAGNKYLRTSKTNHHDSSQSSV EAPRPMSFNERLAAARGEEEERRERQERIRNIRTNAFGISKDEMDKYKSAAVSIPDEE LRPPEFSRTDILGAQSNLAGGLRRSNTTSGVSSQREQQLQSGVANENMAGNKPEEPKE SESASFEVYSSFHLSKRIVPHPTLARHLKGKAMFGIKDLLKQVKSPDYELPDLEEDIV VFAIVASKSEPRSHKAPENGGTKNPERTKYMVVTVVDLQWELELFLFNSGFTRFWKIP EGTVIAILNPTIMPPPASRAHSGKFSLVINSDADTIIEIGTARDLGFCKSMKKDGKLC NSWVNKKRTEFCEFHSNEIVRKARSTRIELNQMGFGSEYRKKPTARKNFDPMAMSNGR GAYDRETHSHWYATKSMSAADLIDGAADRKEREEALKRRLIAREKEQQIMKALSKTGS GTGQEYMRTAGRKAGAISSSSAATSACSTQQSAQETKPPDARELGLLAPKGAETSIRL SPIKRKRTDSSLSSRSGGGTVSALGWGTSLKDKLARMKEGEKLVREEPQSPVKKKTRF ITEKGIREAGRESLGEELMSRQVVLDDDDDDELVILR
SAPIO_CDS0822	MADPADDSLTEDIWASPTTTKPTQSQPLERPKTPKTPTRPASPT YDHEAALRKELEGVRGVNHAIEGLIGTLERAKGNMNTVSGTVSNASALLNTWTRILSQ TEHNQRLILDPNWKGSSQDLLDIEAEAIQKQQAAERRAAEEERRREEARRRAEEEERK RLAGATGAPRATRGHARRGQQ
SAPIO_CDS0823	MPRAMMPSTPSPPPSIRTPPTPKHGFSDPWEPYSPRKSARISAQ RAANRTPPPPSSLANSDPFGPTPTRKSRTHASSVAGIVSPFESPKKKPRTSAAMDPNS LSYTTGRLTVESSSKAASSLGFDHDKSSTHQTSTTTISRRTGMLPTPAKTPKKAPTEK NPGIQAIARNLFATEEEVMPSPQKTRAKKYTGISLESFTAEDDEAPIEIFTDSNERIP QKVVSADNPFYGNAGRDVQEPARRRSKRHKVHVPGEGRQTIEEAMEREDGLVYVFRGK RFFRKFAEADPADTEDNGEERSGSPVRRMTRSSIKPRLLFPPQPQEPSALEEEEADTD VEIEHLKKTTEPRKNPRPTTVVEVVEESETPKAPRFSPISPPDTRRVTRARDKKFDEP NPVQRQGRTSPFDSWPRVKSRSESSLPSKRPGSSLSSSTAKKARP
SAPIO_CDS0824	MHILLTNDDGPPSPHSSPYIRCLVDTLQKAGHTVSVCLPHTQRS WIGKAHMIGQTVKPLYYRPSESIYGDDAQGSTHHRPSPDGSVEEWILVDGTPASCVQI GLHHFFQDRGPIDLVVSGPNYGRNSTAVFALSSGTLGAALEAAVCGWKAVALSFAFFS RNHDPIIIKGACKHSVRVLEAIYKQWPKDGSVDLYSVNVPLVEGVEKSKALWTGMLQN YWGGGSCFQEVEGSIGDEDEEEERIREGILGEVVGKAGAVPGHVHKHFKWAPKFGDVY KSVEDAGPGSDGWEVKEGNTSITPLKANFWHAATSLHGKELELTAVSPDANHTTNSRG EDFDRDGIGRDQERHIISPPTAPVANSPGTAHLISSQHTGKTGAKETIYAVVAYEDPY VQPLILEAISKLYPDINVLSTLPPTTNDGEPNLKSYLPTPGVKVLNITSYESIDFSFA NDHRDTCLINSYVIRKALIRKHFLSTTVDIWVAKRPESVLRDHVKRSEAFEVDFAEFL DDALVEAWDLRESMEKNEDGAGEVEAEASEIREKDGDDDEQDEVDLSAKPPHQREWWI LKPSMSDRGQGIRLFSTTAELQSIFDEWEPPSDGEDDDAHSTGAADNVEDDQATAGDF ITTSHLRHFVAQPYIHPPLLVGGRKFHIRTYVFCMGRLNVYVYRQMLALFAAKEYSPP WEEDDLEKHLTNTCLQSTPDAASVQLFGALPLDKIVKSSVEKQIFDVVGELFEAAALG MTVHFQPLANAFEVYGLDFLVDEAGTAWLLEVNAFPDFKQTGGQLKGVVAGFWEEVVR ISVGSFFGVEAREGAKREEEEDMVLVKKVELPQ
SAPIO_CDS0825	MGDKTRTPAEADSGPVRRPRAPTITIDTAINPPDSTQAPAPCAS DASPVSPQDDGGSPATTSGLQLRDTTSFDSKDSRPTSPHNVSSTLPSRGADRSGGAPT FLSVPSNLRSRQNSLESDDASRSVVSSQGETVVAATITPSVISSTKKRQSNEFSNEKI MNDPDALKPDQGREEDFQVEDNPFAFTPGELNKMLNPKSLSAFYKLGGLRGMERGLQT DCKAGLSVEEVIVPNKVSYAEAIKVAEESVDGAKPASDTISVAEGLVVDSKEKDGDTR PSTAQSHHASGGDLFVDRKRVFKDNRLPEKKGKTLLQLMWITYNDKVLMLLSAAAVVS LAIGLYQTFAPNPGHEDEPRVEWVEGVAIIAAIVIVVVVGSLNDYSKERQFARLNRKK QDRLVKVIRSGKTQEISVFDILVGDVLHLEPGDLVPVDGILIEGFNVKCDESQATGES DIIKKQPADQVYAAIRNHGSVKKLDPFIQSGARVMEGVGTFMCTSTGVYSTYGRTLMA LNEDPEITPLQSKLNVIATYIAKIGGAAGLLLFIVLFIKFLAGLPKSTATPAEKGQNF LEIFIVVVTIIVVAVPEGLPLAVTLALAFATNRMLKDNNLVRHLKACEVMGNATTICS DKTGTLTQNKMQVVAGTVGTSHRFGASGPATVSGESNGSESDAESVEMDPSGGVSPSE FASVLSAPVKKLLVDSIALNSTAFESTVDGELTFIGSKTETALLLFAKQFLGMGPVNI ERENSTTLQLIPFDSGRKCMGIVVAREKGKARLYIKGASEIVLGKCTQMIQDPAHDLG LTRMTSDHTRTVEHLINHYASRSLRTIGLAYRDFEQWPPRRFRRNTGDENSNEIPFEE LFHEMVFVGMVGIQDPLRDGVPEAVRVCQKAGVVVRMVTGDNKLTAQAIARECGIMQH NSIVMEGPEFRNLSKDEQMKIIPRLHVLARSSPEDKRILVKRLKDKGDIVAVTGDGTN DAPALRMADVGFSMGIAGTEVAKEASSIILMDDNFNSIVKALKWGRAVNDAVKRFLQF QLTVNVTAVILTFVSAVVNKDESSVLTAVQLLWVNLIMDTLAALALATDPPRDSVLNR LPEPRNASIISVTMWKMILGQAVYQLAITFLIYFGREKVLPETGKYVLDDATIGTLVF NTFVWMQIFNQWNNRRLDNEFNIFEGVFKNKIFLAISALMCGCQILIVFVGGPAFKIN DAPEGTRDPQGPVLWAVAIVLGFISIPFGIIIRLIPDRLILKLVPDYLKRRSSNVPDL TVSNEEMFDFYPPALADVRDELAFLKRIKGGRLNNLKFAMRHPRETLMSRSRSPSHSR TNSIRPPRTPDREDSFGSVGGTTIPPSPDNRKRSRSIRSRSNSALGAPTVMAGIVAAG VAAGWSPIDRSTSREDRGEASLNREASLITQRTEE
SAPIO_CDS0826	MANSKTAAKATTAAPLTKHTLPTEPTTRKGTKSPTSSASSVSSR SSRSSRSKSKSKTKAKNSSTRTKAKAKPRTKKQPASRSASTSDQGEAGEDGDDEGTNS SRASALQELEDHIIDAWDTESILAEVIEGLTDMRDGSDDPECCTPDEAAGLRHQLRQL GPQEFCRRTIDSGTYTARKLLSAFNIRPPSFLDGCPDEAYFSLLSAAIARELNKRAKL PHLNTVQDAADLISRSKNIICLTGAGISTSLGIPDFRSKGTGLYSKLEYLGLNDPQEV FDIEQFRADPSIFYSVAKDILPSTDRFTPTHAFIAMLQQRGKLLTNYSQNIDNLEAKA GIHPSKLVQCHGSFATASCIECGFKTDGESIFPDIRSGTLPRCPECAKTLRSTASNGR TKRKRSRGSDANKRRKRNGNGYGGYHDDDDSGSEFDIPEAGVMKPDIIFFGEALPDDF ALRLTEHDRDVVDLVVVIGTSLKVSPVSEIVSYLPSHVPQIYISRTPVEHLNFDIDLL GDCDVVVVELCRRMGWELEHEMIPPGQVVEVKKEEGFASRWAFKEA
SAPIO_CDS0827	MRISMIANLLSLGSFALAASKCKTRSITEKIEVLDPIHFKDLGA ERLKVRYGPFLVPGVHDPETMGMKNFEGPLEVPCTDCYITNFHAGLEFQDGSVANADT GMWLHHTLLVDFSEPDVTCDEAPARLFASGNERTVLDISNNGTRNVGVYFSPNANITH TTELMNESALPRAAFLTIDYEFVLASDKSAAASFRAVTPVWIDIAGLCSNAEYNVTLD EPVFDAEIVWESTISGEMLSVMGHLHDGGVRQDVGVNGEVLCEHVARYGESAGFITHV GMYGDEDAEGQEGGHDHGDGSHSHDEGEAGHDHGEEGEPNHDHGEGEGEAGHEHGEEE DGHSDEGHDHGTDGHILHISSMSSCKNLGSIKPGDELSIKAYYNLTQHTPMAGHHGGL EPVMGITMVYVLEDESEESEA
SAPIO_CDS0828	MPPKSKSSKNPGPAPEGFTPERFEKELQSLATKAQEQTWAKEST ERVVRYLVPFLLLTLLGISSTASQQALSPVFGSLPAAVWNSKLTAAACFVGWAGNVFI ERALPVGPAKLIPVFAIYAPTVQFFLSQFSGFLTARWGPLVIESLTLFPVTVLTASCV AGLLEGMSLKPLPKFLGDSAPGLVSLLYFKFIENLSLRLFLHYVGRSIFLTRIGLEMI LAAIYTVLAPSRLILLTIPAIIHTTFFNYHLPTPTATTALQAKLEADNWLLLDRKESL TGYISVLESVDHGYRVLRCDHSLLGGEWVKFAGPVVAEPIYSVFVMLEAVRLVEVPEP IEDSQAKALVIGLGIGTTPAALIAHGIDTTIVEIDPVVHEFAGKYFQLPEKHTAVIDD AVSYTQKLAKESTEQFDYIVHDVFTGGAEPLPLFTLEFLEGLHALLKPGGVIAINYAG DFLLPAPKMVMQTIRHVFPSCRVFRESEKPSAEEMEESGQDFINAVIFCRKSASDPVA FREPVAGDFLRSRSREMFLVPKHEVDLSEFTTAGDEGVGLLMANGTQELAGEQEKSAV GHWDVMRIVLPAKVWEMW
SAPIO_CDS0829	MATTGVTPPVANPVTPAAISPGINQATSALDTQALVAAFAFGIA INGASAAAFLMFRGKTTSLSKDGQRLVLTISLISAALWAGIDFIATAIDPSSPSNCQV ATVIAAAFDQIARTALLQYFLWATAAVAKTATQRFIPQGLLLVRFIVGAVWVGMQRPQ FKPACVTTTEILPISITTIIVDAVVFTACVLRLFSTGGLRDAKDDAKSEEKAKGVTLT MAGYGFWLAASIPMHLGLTGIPLILRTALPAAALAILVAIIAAFCGVLSLNNSRPFSS PPEAPSPTRQTATRDLPSRTLSSASSEYPPSRYEDVKRGEIISISAFPTPPSREGPNS GESPVEQNSLPTIDQPIIGQAITGVGGFPVQGQLFPPMRAETTPPPVQQQQLKPSEGR ANSVRPKKSLFDLGKGPAGGVAATKLAISGPILQNRADDQNPLNKIATVDLATAAKNE RERRDQNALQRDSSLIAKRPAPQPPAITPEEAMKRSQSVKRKEVASTTPSADEVSGGR LSVEDAVPTAVTTSAQLSPGVEEIRRRSPRLAPQPTPQEPAQLQQPARELENPRPAPP KPGQTKPESAKTIPQRPPRPESLDIDVTPAPSKVFRPIAPAPPRFSPESVPKPVQRSQ SPPRFPWEEEERRRPPPTQEAVVTAQPVQPQPASSSPPPRLPSPPQTQAEALPRAVSP EKMSAPPGMPSPPQVGRRSPPAGVGTSAIPKPPPSPPSQEPSQTQKVDARMSILPRKT SVKTDIRPSRQRPPSVEDLPSPEKPAVQRRVAAGLPGNPKAMAMKTLMNEAENKRVQT VMFVNNIEYNDPVTVRNILQGAADKVAAKNAAADAKTETASVLHRPRPIPRTRNAEEN EGVPSPKSPVLQRTRSGATAERKKSILRSNPGSPTQLPPLPPAPMPKRPGNPIRPLPN DTKSMTFDEKMAMFFPAGPPSRPGSSHSNNGAGKPTLSPIPAMPALPASFLEMDGESE KEKSDRTTKTSFQTQSIVEISDLKNRGLNGRNTAKFSVDTETTTGVSQAWLPPVPSTQ GLPYPTQEGKKRQSSPVLPYIPDNASVFSDGKTMYDDDATTNWGSVHSPAKAVNMRNA HQQGGPKKTYVSKKSDKEIMTIMLDDSNGARGDIAERESWFADGRTALNTSPKDLSDD SKRESRWHRRVGDECPTFSDRKEKTRSRKMVPPTPLLLRGVGNKNMVVIRAAEPSPLE SPSEAYRDIQLKLKKLDDTNRDSVGSEGRKQALLDNLEQEMGQQENYWLERQNDINRD SVSTVRTSPRRDSIHEVAARAAARGQAAAKKGSLLKPTEVARVPLSSPTPPDTDESDD DAIDKRVQASKILDQPKERKSAPAAPARLWTRAPSAAVGRNTIVHLLWAPVRPAPKER DTATLNVMTTSSIPRKASKRSLTGQPLSKIESTSLWQKPKKAHSSSGRLWGSTAPPAK QKTQNRPTTQRPARRSRRVTMLPDILESPQPLPDNHGTLGIFQFPWGEKSDTATLNSR PSHMFMAMPGTMSTGGPAIAAALEARSRQLESQEYSSSFFDDYDEELPGDADAEFDMD GSDEDFDETTLWEIASLLKTDKIPSKNSLFPTSGVENKPRESTSVLGDYMDDADADSD GRKDSIIVGLDLNSSMPPKPQVQRAMTWVAPARLRSTEEPSGLFNLQHKRTDYRTTSK EPAAIHMERKSRVSRDPLPALNSSTLWKAENNRNSAPEGATRNWLMADKIVEAQEPRH RQNAADKADWEAALNEALEKSYPVVAKAKANKPVAASEEEWDSALEEAIALGGWQNIA QVEQPVVFEQERQMQPEQWQSTSIQVENVQEQQEQWQPAPAQQETTAWVEPVVAGYQE YFQPAIPEPTPAPVWEEPVVREQAPVTWVEPTIPEPTPAPVWVEPIVVQPAPAPAWVE PVVPEPAPAPAPVWVEPVVAGYQEPQWVEPVVAGYQEYFQPAVPEPAPAPAWEMPVVP GYLEQWGVPARQPSPMRFPQPSPKPAPEPSSFLMPEPQVTDLWQNPGNSEPQTLPANT TDLWSEGAVSRTVGEPIAAEMTPPNSSRPRPSETEEALPVFAPSQQMWQREEAVEPQA ENWLDTMAVNQQQQQQQQPQEEVRGVVFRY
SAPIO_CDS0830	MPPKRSAAVAAKSSHRKAPTKASAKASTTSSSRKRAREDTLPEK AGPKRQKAASKGLNHPPTQRLNAYVFGGNENGELGLGPSADDEVVRPRLNPHLAGAGV VQLAVGGMHCAALTHDNKILTWGVNDLGALGRDTTWDGGLVDISDAEDDVDAERNPKE STPGEVDLTGVPEDTIFTQVAAGDNCTFALTSQGTIYGWGTMRSSDGVIRFQPDIDIQ RTPTPMPGLKNITKIAAGCNHILALSSDGKVYTWGFGEQSQLGRRVLQRSMGSWGGLI PRNLGLKNIVDLGSGSDHSFAIDASGKVYSWGSNNFGQTGISTNAGGLSATVTIPTVV ESLTNLQSGTILQVSGGNKHSLARSSEGHCYAWGQLDGYATGMKLDNLPDEGVIRDAR GNPRILTQPTPLPDLRVSFVAACGDHSIAICQDGQPFSWGFNSGHQTGQKDDNDVEVP TKIECKATRGKEFLWAGGGGQFSVLAEKVALDE
SAPIO_CDS0831	MAPRIMWIGLGNMGRGMCKNLVEKGNLSEPLIIFNRTPKRSQDL AAALGPSIVEIAPSIPEGVSKADIIFTCIADDAAVQSTVAAALADNDVTGKIFVDCST IHPATTEGIAESIMARKAQFIAAPVFGAPAMADAGQLVCVLAGPKTAVDSVRKYFKGV MGRAEIDLSDQPYSKALTLKVLGNTFILNMVEQLSQGLVVAEKSGLGTDTMVSFVEAL FPGPYSGYAHRMVSGDYYKREYPLFAVDLALKDLRHAKSIAKEAGVKMENAEAAGRHL EMVKEHAGAMGDIAGIYGAVRKEAGLKFENE
SAPIO_CDS0832	MGLLVIFVVLAFVAALCIATYIGVPRVFPRETQLVWRSSLILAI VSCYLMWAITYLAQLHPLVAPRRSDLRAEE
SAPIO_CDS0833	MPICIECRHPVKTLWTEYSGAGGAKGGGGHNIRLTVCRNCGNFC DKYVEHDFVVMFIDLVLIKPQVYRHLLHNTLMRENDQFDPSIVRLGVLLLLFDVYLTW ARIEKNALPPSSSRALGRLAEQPIILQYMFFLIHCTLSTLAFHLSIRTLTSSRFSPLT LLGLLPRYPRPNSVSTALLVSSSTKLFPILMVIWEYDVPAAARSLGWAVVANNVEALK ILLDCGYGVAGFLTLVGALSRWAVGKGVFWLAGMEGVDAVGELHFASAGAKAAEVLGT FLRDWTGWLGAG
SAPIO_CDS0835	MSSADTPNSNKQESSSPPAAVPTAPSSTGGATPTPSGAAGTTGA GQTESFESMRECRIPVPKRKKGQPAPAAQKDPDSDRGERNEGANEQSPQPRNMTTATS VLPHRAAVPPAVYHTTEGTPSTTLTEAQTRQGEIDDGTYLNLVMKPKFTRAPITEAGR VAYLGESSNLTLLVHDRQGSSDVVHYPLPENVRGSRARLTELDNIEIEILHQRGAFLL PPRNLCDELIESYFKWVHPIVPVINRTRFMRQYRDPKNPPSLLLLQAVLLAGSRVCNN PQLMDASGSTTPAALTFYKRAKALYDANYEDDRVTIVQSLLLMGWYWEGPEDVTKNVF YWSRVATIVAQGSGMHRSVEQSQLNIADKRLWKRIWWTLFTRDRSVAVALGRPVHINL DDSDVEMLTEDDFIEDEPDVPSDYPPDPIHVQFFLQYVKLCEIMGLVLSQQYSVASKG RPRNAIDLTHSDMALADWLQNCPKLVYWEMPRHHFWSALLHANYYTTLCLLHRAHMPP HGTSRTPIDSPYPSRNIAFQAAAMITSIVENLSAHGELRYCPAFVVYSLFSALIMHVY QMRSPVPSIQQVTQDRLRTCMNALKEVSRVWLVGKMVYKLFEAILGNKGMEEKLQKAS GKRHRRTQQSLGQLEQQARGADTTKRKFNDMAMDYSVNNAPTPQESYERSRPQTPNAK AEAASQAVVNSNSNSMPPPVASPHAVRHSTDAFMGGTASRPHTRPTTPFNPSFSMPGT PPDLYLVTRNSPNLSQAIWENFQPDQLFPESSIVPFPHHSPTATHQNVDPNLVSQMHS TSGMRTAPGEAMDEGGDPFRQQQQQQQQQQHQDAGKLLNYGNLNQRNNPVPPTMAGYT AGQIGGLWQNNFDSNVQDGMSPSDSWSTGSGQGQPIPTGLNVEDWFQFFGINGDTPNL GLDVPMT
SAPIO_CDS0836	MAGVTRLGFLAVAVVFHLVYVLSIFDVYFVSPIVSGMQLFQVER KAPDSKPPADRLVLFVGDGLRADKTFLSFPEPYPKTEEDLVPRPLAPFLRSRVLEHGT FGVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSVFNRSRHTWSWGSPD ILPMFQHGAVPGRVDAYTYSEEFEDFSQDATALDYWVFDHVKELFAEAAQNKTLNDAL RQDKVVFFLHLLGLDTTGHGYRPYSKEYLHNLQVVDKGVKEMVDLIEQFYGDDRTAFV FTADHGMSDWGSHGDGHPDNTRTPLITWGSGIAKPVLTPDTVAPGHDEYSAEWGLSNI KRHDVNQADIAALMTYLIGAEFPANSVGELPLSYLSADIKEKAEASLVNARGILEMYR VKEEQKKKTELRYKAFAPLSAAELTPSARLASIQKLIAGGKYEEAIEESDSLMKLGLE GLRYLQTYDWLFLRALVTVGYLGWMAFALTTVMDVYVLQSSTPPSRTLLSTVVFSSAL VLFYASFIISKSPMTYYAYAFFPVVFWEEVYARRHSLVKGQRALFGHIQSSAALFSLV LNATLYVGVVLSLALGYIHREVLTVLYVLGAFWPLTRGVNFIQKYTALSATWIVSCLV MSTFTLLPAMKTESIPLIMLGGIPMVLIGVLYLLFEDFVLSDFGTQKPTSSSKGSGNL TSRILTGIQIGFVLLAMVVTRSSALSLQAKQGLPRGNQIVGFIVLIVPLFMPLVYRGQ DSHHYLHRLLIMFLTCAPTFVLLTISYEGLFYVAFSTTLVSWVRLEHRVYTDRVAAEN AAAQKPNGSMGSSETKVADSEFRPLDLADARVALFFFVLLQSAFFSTGNIASVSAFSL ESVNRLMPVFDPLSQGALLILKLIIPFALISANLGVLNKRLGVAPSALFMLAMAVSDI LTLYFFWVVKDEGSWLEIGSTISHFAIASFLCVFVALLEGVSALFISGMEVEVGAVEV PVASGKGAEKASLKTNGVAGNGKTKRISDN
SAPIO_CDS0837	MTTKRPRGRPAGSANKVTKPAQKTTGRRTSDRIAAAVAAELNPG ETNGVLSEKSANQQNIAAPKKGAKGTVPKIVEKPKPTRGRPRTVKKTAETPTEVDLAE GESMAVDTALPAPTPVPKGRRGRKPVSSKTEIPETQPPPEQMDIDEGEDDELGELPQH PSIEPEAPAALPAVPSSVRSQRVVDLDSNDPSIRRKLGDLSKRYDILESKYRHLQEVG AREAERNFDRLKKQAEERAQTSKELIADLKAELASQTSLAKEGQKMAKTVEQHEATIA GLQQKITDLTTSLSEARADNKSLTTKLAASRSEAAAHAKVPSSAVKSNSQAARNGGNS EIIQAAQMKEDLYSDLTGLIVRGVKFHDKEDIFDCLQTGRNGTLHFKLCIETDMTGDG FEEAQITYQPQLDANRDRTLMELLPDYLTEEITFPRPHAAKFYARVTRALTD
SAPIO_CDS0838	MADKRRIDSLAVDFLLFAGLIATGAYVIRQVISNVIADPEREKH EQASLRAKAHLERLQREKDRRRSGDGSDDTAQRGPRVEDLTLNQYENIVAMDMVAPQD IHVGFNDIGGLDGIIEELKESVIYPLTMPQLYSHGGALLSAPSGVLLYGPPGCGKTML AKALARESGASFINLHISTLLEKWYGDSNKLVRAVFTLARKMQPAIIFIDEIDAVLRM RANSDHEASAMVKAEFMTLWDGLTSANTSGLPARIVVLGATNRIHDIDEAILRRMPKK FAVSLPGAEQRRRILQLVLADTKTDPENFNLDYIVRVTAGMSGSDIKEACRDAAMVPV REYIRQNRDGAVQTRSINPDHIRGIRTEDFFGRMGGQVLHAQANKQGDQTTADAEKGS SDGYLDAEAESLG
SAPIO_CDS0839	MTSNPPQTAKPFKKLDLDGHELPPSPAPSSPLSGRRRYAFATEL VYTDSNDQYGSSSVPIYQTATFKQVKATGGQQEYDYTRSGNPTRTHLERHLAKIMNAT RALAVSSGMGALDVICRLLRPGDHVITGDDLYGGTHRLLTYMAANQGIVVHHVDTTNP ESVRKAICPKTAMVLLETPTNPLIKIVDVPAIAQMVHEANENALVVVDNTMLSPMLCN PLDLGADIVYESGTKYLSGHHDIMAGVIGCNDASVGDRLFFTINATGCGLAPNDAFLL MRGVKTLAIRMEKQQANAQAIAEFLESHGFRVRYPGLKSHPQYDLHRSMARGAGAVLS FETGDTALSERIVEAARLWGISVSFGCVNSLISMPCQMSHASIDAKTREERHMPEDII RLCVGIEDVNDLIDDLSRALVQAGAANVTLEGFTAVGPATESSETPATEDSQ
SAPIO_CDS0841	MTGRRAHRARIVASVAATAISLACGTNYVYSAWAPQFADRLKLS STESNLIGLFGNMGMYILGFPVGVLIDGRGPRPAIISGMILLALGYFPLHEAYDKGAG SVPLLCFFSFLTGLGSCMAFTAAMKTSALNWPDHRGTATAFPLAAFGLSAFFFSSLGA VFFAGDTSPFLMLLACGTSGVVLVGSLFLRVIPHHAYHSVPAAETASGSLLRKNSMTG DGDRPVCPGTRCPEPGTSYNTVGNDTIPPRSSEEEDIEALALEANHTIENEPNACPLD GTPDEAASDESSSLISQASSLPGEILVQSSVDMDRSHRVDIRGIKILPIPRFWQLFSL MGILSGIGLMTINNIGNVVKALWRRYDDSVDEAYVVQIQQLHVSILSLGSFAGRLLSG VGSDFLVKVLKANRLWCIVVASTIFFLAQFSGVVITNPHLLGFVSGLSGLGYGFLFGV YPSIIAETFGIHGLSQNWGFITLAPALSGNIFNIFYGKIYDQHSVIGPGGQRICQEGL DCYKPTYVTTLCASVIGLGLSLWLIQRDRNGDPIKGKGEEED
SAPIO_CDS0842	MKGRPSASSKDADGSSVDHDKPPPESVDETALSNDRPQPDIPLS PSSARSRRSTNISWKVSKDRNGSADPPFAATTKPNTLGRDAKGSPSLNSSTSISNTRL RRRLWSITPLILLVSVIGLGLLSAILYSLVTRQLDPKGCRMSYMRPSYIKFSEFDTEH TRFASKYSLYLYREQTVDDDKLRGIPVLFVPGNAGSYKQVRPIAAEASNYFNDVIRHN EAAIAAGTRNLDFFTVDFNEDITAFHGQTLLDQAEYLNEAIRYILSLYMDPRMSPRPS DTPDPTSVIVLGHSMGGVVARTMLVMPNYQSNSINTIITMSAPHSQAPVTFDGQIVQI YDAINSYWRNAYAEKWANNNPLWHVTLVSIAGGGLDTVVPSDYASIESMVPETHGFTV FTTTIPTVWTSMDHQAILWCDQFRKVATRALYDIVDVSRASQTKPRAERMRVFRKWFL TGLEPDAEKALPYQAPSTLLTLGDSSNTVIPDGERLTIRQLGRDSKPRAYLLPVQPPG SPKSTRFTFLSDTPLSSPGGSGNLEVLLCSVAPPQPGQSTLALPISVDLSTDGDESAK FVCNSAASDLIILPRSTPSSKYPFTPAQDPENRPFSYLEYNAEELADHQFVVVLDKST SPTDGFVLAEFADHASSVRTKDVVLEDLLAFGLTMTLLPNRSTVSHIRIPAIESSLLA YNLHIESQKCARNKELFSPLVRQYLSRPYESKFFVNARTAEISIHGISPFVPPPLRPY DDDDDDRGLSFQIWADPTCESEIHIKLEVDVLGSIGKLYMRYRTVFAAFPLLIVALVL AKQFQLYDETGVFVSFTEALDLSLRGSFLVTVICLTVLSVSGWGATRTISPGSGANST SGVDFYQNDSVTGMSDPFFWFLVPIIAGISVGVCAGLHYVTLLLTQITAVVCGFFTRH SSSADETPKGTSSPGLFSPTSPQRRAITTGILLLLVSTFIPYQFAYLVACLVQLITTI RALRTFSHHNQMMNYNFYNYAHSILLLMLWVLPINLPILAVWLRNLAVQWLTPFSSHH NVLSIISFILLVENMTTGKMIPRVTGRLRHVTGALFIGTAFYAGVYGITYAYRLHHLV HLISTWLVLIYLTSGSWSLGSLKALFEDDMVDGRKRGKTP
SAPIO_CDS0843	MATIKAIDSSSIHRIQSGQVIVDLCSVAKELVENSVDAGASSIE VRFKNQGLDSIEVQDNGSGITSDNYQSIALKHYTSKLSVYDDLSDLQTFGFRGEALSS LSALSHLSIITCVADDAPKGSKLQFASSGALESTSIVPAKQGTTVIVDSLFHNLPVRR RELERHIKREWAKVISLLNQYACILTGIKFTVSQQPTKGKKIVLFSTKGNPTTRENIV NIFGAKTMTALIPLDLVLEMEPTKSQTLSRRSKGTPASHYVIVKGFVSRPAHGEGRQA PDRQMFFVNGRPCGLPQFAKVFNEVYKAFNSSQSPFILADIQLDTHLYDVNVSPDKRT ILLHEQGSMLEHLKESLNSLFEAQDYTVPVSQLATQKTLSLARASATTPSSSKRAIGD ESSITTPEPYRLRSDPELHNPSIVSEIEGTSLATRGSIKELFMSSRSSQATSVGDSPV PDNKAETPSKAPAGLEDALKPEPPDNESSGSESLTSRPGNLNEAGTASPRGHPSPEPD AELSEQPEREADQRAQAGPPYSSDFPVNSTAANSQHHSRKRMTLDIAPANSLIGPPSS KRHRIDSTTVPAPSLGSVPKHRTATPSAVPSFGGRLSQLFSPAARSGTDSSQLLGALG TATRNIVVEEEPEEESEFGADPIATVVNEDEHDEADADTRASETAMDDGVDLGIEGSP ERMILDGEPSIERDESPPVVAETRQTRPITTRNVGRRKDATLQYQQSLHLDAESLGAR VAAWSGCFSEAPRSASTTPNEESDLGTNDAEQKLTLTISKGDFNKMKIVGQFNLGFIL AVRPAGEEGDENAAERRDELFIIDQHASDEKYNFERLQSSTVVESQRLVHPKTLDLTA LEEEIVLNNLQALEANGFKVTIDVSGDSPVGARCQLLALPLSKETTFTIADLEELISL LGDSHATSDTTAVPRPSKVRKMFAMRACRSSIMIGNPLQHRKMEKVVRHMGELDKPWN CPHGRPTMRHLCSMDAWDNRRWVGDCGPATSGLDLSWADYVGE
SAPIO_CDS0844	MPPPREPFLDGVERYDPMSPTKPFDPKAVTRASFEPKPKKPKPK GPLVSFNRHPDAHVPLSYRSSNYKPMSRRTKQWIVWMRRVQLCLRLLALVANLGILAM MILISGIDNKTSWVLRIMSGLGALHCLYAVYHLARPAGGRTPSSSAAYQLFAALSDLC VVPVYAFGAKVVNDKSPEWSTILADKDLVETFSLVLYYLLLSSGGLHTLSLCISIWLG VMFRKITLMPPDMNPLEEHLTARSRHKKAKSSVSTVYTDYSSEKRLSTPSESRGPTVP FHHTRAGSSVTFGSRDSRLDLPSRHYQITPGTSTPRHSTCSIDVKRASLPASRSSNRG SYVGVPVDEPHFENSYDNDSPRSSKQPRTGKFTETWHATDSLISRTQQRNRALAAQER DRASKSYEILNQPYTYDDSGDEAERDENILTGSDCEDSRGAYDQHPNPLRLNPATPPR PKTPYYAFPSNVLSETSLNKRTVSGSMDITDERPVGAPTTRNSGYRNSSIQPESAFYS KPYGELKPATPPIMVGSNRQVSSGNDYDSQAASRFGRRNVSGKVAEEGRAGRAYERLS RYGD
SAPIO_CDS0845	MAIIGSGPAGFYTAYKVMARVPQAKIDMYEALPVPYGLVRFGVA PDHPEVKNCQEKFEEVASSPNFRFLGNVSVGNPLATPGSSKVDLATILSHYHAATFAY GAAEDRVLGIPGENLKGIFSAREFVGWYNGLPEHAGLDPDLESGDTATIIGQGNVALD VARILLTDVDALRKTDITEGALDKLSRNRVKRAAFTIKEARELMKLPNVAFQPIQEGL IPSDLSSLPRPTKRLMSLLQSGSDTHSSTADKKWSLEFCLTPNKFVANGSDPNRLTSA IFERTALSSVSDPHASAVGTGEYVEFESSLVFRSIGYKSLALQGFEEAGIPFDHKRGV ILNDGLGRVVRDTTTKQPVSGIYCAGWVKRGPTGVIASTMQDAFATADTIVEDLNAGA PFLNSGARSELAGWEGVKADTPSDNIPHVVSWKDWQLIDRVEKERGAESNRERIKFTN TRDMLAVLD
SAPIO_CDS0846	MLFNSIRPSLGNVSRGLHSALRTPNSASLLSTLAILEQRDGQLN QGSLSAITAAKKLGGSVHAFIAGSDVSGAAQEAAKVAGIEKVVKVSNNAYEKGIPESF APLLVENIKKGGYTHVIAGNTAFSKNVLPRVAALLDTQQISDITAIENENTFVRPIYA GNAIATVESSDPLKIITVRGTAFPAAAVEGGSATVEDGADPKAETSTEWLSEDLTKSD RPDLATASKVVSGGRGLKSKEDFDKIMTPLADSLGAAIGASRAAVDSGYADNSLQVGQ TGKVVAPQLYLAVGISGAIQHLAGMKDSKVIAAINKDADAPIFQVADVGLVGDLFTEV PKLTEELNKIEKKA
SAPIO_CDS0847	MDDSGEYGTPTNAASPGANAILAQPPLQQVDGSEDVQMTEGGDA DAVVKQDNATPASGSEKPADQASAPSHGAGTGIEDAVMVEAQENGKDGQNANKQADEN ATDGAADKQETKTKETVESAAREHLISQTHAIILPSYSTWFEMTDIHPIEKKALPEFF NNRNRSKTPAVYKDYRDFMINTYRLNPSEYLTVTACRRNLAGDVCAIMRVHAFLEQWG LINYQVDMQQRSSHVGPPFTGHFKIICDTPRGLQPWQPSADPIVLEGKKNHDTEAKTV AGTAAKTDLNLEIGRNIYEASAKGTKLNKGEGKTNGEAPATNGVGSTSIEEVTKAPIA KVNCYQCGIDCTRTYYHYAKSDPAANTKYDLCPGCCLEGRMPNNHLKSQYTRVDNPTY TSTLDRDAAWTDAETLRLLEGLERYDDDWGEIAQHVGTRTREECVLRFLQLDIEEKYL DSDFASINAPVGLPLLGSQGGQLPFSQADNPVMSVVGFLASLADPASTAAAANKSAEE LKQRLRDKLAGEKQGSTVTNGKGKAGDSTESMEVDVTTTTTTTTTTTTTTSTSALASI PLASIGARAAGFASHEEREMTRLVSAAANVMLQKLELKLKYFNDMEAILQAEKRELER GRQQLFLDRLAFKSRVREAQEALKASVAAGGETGVRMALDVGGDSERLGFQQANNAGT TIQPLSSEGQVKTYDA
SAPIO_CDS0848	MFAARRLAAASSRTLSKHSPSSTRIAATMAPAARRNNSSLPAGY VEDKSKGPMLRFQESLPKLPVPTLEETSARYLKSLRAILTPTELEASKAAVEEFIKPG GVGRKLQEKLIARREDPNVKNWIYDWWNEAAYLAYRDPVVPYVSYFYSHRDDRHRRNP AKRAAAISSAVLEFKKLVDSGTLEPEYMKKVPICMDSYKWMFNTSRVAARPADYPIQF PAQENKHIIVIRKNQIFKVAHEVGGKQLNAAELEQQFNRIYQLASSSVPPVGALTSEN RDVWSDARQILLNAHPSHAAAIEAIEASSFVVCLDDAAPVTLEERAHQYWHGDGANRW YDKPLQFIINDNGTSGFMGEHSMMDGTPTHRINDFINDLIFNNKIDISDPSIRSNLPE PEAVKFHITKEVQAEIDRAVKDFRDVIGQHQLAIQAYQGYGKGLIKKFRCSPDAYVQM IIQLAYKKMYGKNRPTYESAATRRFQLGRTETCRTVSPDSVAWCNAMVDPNASDPEKV KLFRTAVDSHLEYIAAASDGKGVDRHLFGLKRLLEPGEQVPAIYQDPAFSYSSSWYLS TSQLSSEFFNGYGWSQVIPEGFGIAYMINENSLNFNIVSKGLGSDRMSFYLSEAANEL RDLLAPTLEASKPKL
SAPIO_CDS0849	MATNGMHNLATLIKRLEAATARLEDIAESTQGLPPASASSPSSS SAQAPVASISANAPAPPTPVVPAEPVPESVEEFDHFVKESVMKYVDIAKEMDSQVSEQ AQALLDGFRGQRDFLLISTKAKKPDMTGSEMSVFQDLVKPISESIARVGSIKESNRAS KYYNHLSTVSEGALVLAWVTVDNRPWKHVEESLGSAQFFGNRVLQEFKEKDPKQVEFV QTFYQVFRHLAEYVKQYFPNGIIWNSNGKPAKEVMAEVASRQQQHPSGPAAPAAGGPP PPPPPPPPPAFLVDSAPPAPPTSTGIGAVFSELNRGEAVTKNLRKVDKSEMTHKNPSL RAGSTVPDKDSSSRGKSPAPGKKPKPESMRVKKPPKKELVGNKWIIENYDSPPDPIEI EASISHSILISKCSKTTIIVKGKGNAVTVENTNRLSLVIDSLVSTVDVVKSQNFALQV MGSIPTTMMDQVDGAQVYLSKESTGTKIFSSKSAGINLNIISGPEDDYKEVPLPSQLC SYYDAEKDDLVNEIVAHAG
SAPIO_CDS0850	MAESDDIQEARPGGFPVKYKDNDGDSQAQTKVETRGTAHIDDIE FLPPTPPVHNVPGGAGTYAALGARLMSPPPLSSSIAWIVDVGTDFPPHLTTIIDAWET SVVLRPNEDRLTTRAWNGYKAVGKRDFKYMTPKRRINAEDLTPALLCAKSFHLVCSPA RCQEIVAGIKKLRKQSSRPEEYVRPFIIWEPVPDKCTPEELLACTNTLPVVDICSPNH TELAGFMGDDGLDPITGEISTASVERHAEQLLASLCLQSFTLVVRAAEKGCYIARNGG RRRRKDTQTGLKRSKKELPHGGLRPDIDMEALFAGLMQDEDGSIAREEIEVDPGVERW IPAYHQDPAKVVDPTGGGNAFLGGLSVALARGKSIEEAAVWGTIAASFVIEQVGLPVL EKDQDGHERWNGVRVDERLKEFRQRLEKQGIVV
SAPIO_CDS0851	MDSFMLQDAATRDRIRQAEEFLDPHLAQGLLTRPFDYSLAFNHA LKEIVKTIPQARPDQTAPDVLYYCAWAGSFGLNSCNPRTLSSHHLNHMVSVEGIVTRC SLIRPKITKSVHYNETKNIFQFREYQDQTMTNGVTTSSVYPQEDDEGNPLITEYGFST YRDHQTVSIQEMPERAPAGQLPRGVDVILDDDLVDKIKPGDRVQLVGIYRSLGNRNTN HNSAVFKTMILANNVVLLSSKSGGGLATATITDTDIRNINKIAKKKNLLDLLSQSLAP SIYGHDYIKKAILLMLLGGMERNLENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAP LAIATTGRGSSGVGLTAAVTSDKETGERRLEAGAMVMADRGVVCIDEFDKMSDIDRVA IHEVMEQQTVTIAKAGIHTSLNARCSVIAAANPIYGQYDTRKDPHKNIALPDSLLSRF DLLFVVTDDVDDSRDRRVSEHVLRMHRYRPAGLEEGLPIRENAGQSLGVAANSQADSQ RPTDVFEKYDPLLHAGVTRTSGRGQNKKPEVLSIPFMKKYIQYAKSRIKPALTQDASD RITDIYVGLRNDDLEGNQRRTSPLTVRTLETLIRLSTAHAKARLSSRVEERDALAAEA ILRFALFKEIVEDQSRKKRRKTQTVDWASSSDESSDDEDGDDDDTRSYRATAGRPLSQ GRTTRASGRAGTTGQVSNGTGQTSAAPSSPGMQAQSENGDELYDATPRRSGRSSGAPQ RSAELQTQPSSASPGSSSQLQTQPDDSQEDERLASVAAGLTIDPPITPQRLAVFRAAL GQLLNTELFGDDDSANVDELIRAVNRKVGSTGGGSFSKREAIKALKKMDEATQIMFTD GELVYKL
SAPIO_CDS0852	MSLVIASTRNAADEEARAEVDVLNSRLEKTTQLTKKIQASLGRL EDSGKSVRDVVGPLGDIDNVISAIEKLRQPADSKNDEEQIIRAGPDKIGLSNYLASMK RLNKSLADMKASNLRANQQTMTDLTRLVKFGNNLLESHFQKLVQGETPPKVEVLNFIT KDKLFPVLSQDKFARLGLINAYMASSLRQTGVSISPQDSSVGKVYVDIRSPYLLSSLS NLATASVNTAKKKTLDAVYRAGANAIGSYATAMEGMFLAEYDNICNIFTRDEWGPLFQ AVCQASIAELARTLRELNLHVKAHLNTDCFLAYEITEVMANLSGRIETRTGELKAALA AALKPVRETAKASFAEVLEETRRKAIGLQTLPPDGAPIPLVSEAMQRLQNMAEFLGAI SGIMISIGDGGWRSSAMAKRAGDRAPSLASFDIGADGKEIFVNYCIDTIDTLLTTLSQ KATALLRGKSTQGVFLGNCVVIIERMVRDSDLLPLLEPRMAMLDQWRKKATALYTDTC KDISTHLFDVIHTNRAQRPTSSQADSASILKGLSTKDRDSIKSKFQAFNTSFDEMVAR HRTYSMEREVRQMFARAVQQMLEPLYNRFWDRYHEIDKGKGKHVKYDKSSIAAVFMSL Y
SAPIO_CDS0856	MGDENLAGLVAVDHPYPLNSNPTTPSDEPSFPELRNDDAESSFL FDGGTAGHSVEDDNDDEPAVAKPFVRISSPNQGSQPVYLEDDKVGGSVNYKMHKFSLY ETATRYYIVGGDTTERRYRMLKIERTSDDSELSITDDKIVYSQREMNQLLDTIDHGNK ATGGMKLRCTTWGLLGFIKFTGPYYMLLITKKSTVAMIGGHYIYQIEGTELIPLTPAR FRADGRNTEEQRFLGILNNLDLTRSFYYSYSYDITSTLQHNLSREREALARGSHIPVH HDFNSMFVWNSHLLQPAASALQDPYDWCRPIIHGYIDQAGARFLKRGANDLGYVANDV ETEQIVSEALTTSFHAPGPKLFSNHQFTSYVQHRGSIPLHWTQETAGVTPKPPIELNL IDPFFGAAALHFDNLFDRYGAPIYALDLVKARERIPRESKLLVEYTNAIQYLNQFLPS GKKIIHKAWDMSRAAKSRDQDVIGTLESIAEEVVTTTGFFQNGDGVDVTGRVQNGIAR TNCIDCLDRTNAAQFVIGKRALGHQLHALGILENTSIDYDTDAVNLFTHMYHDHGDTI AVQYGGSQLVNTMETYRKINQWTSHSRDMIESFKRYYNNSFLDGQRQEAYNLFLGNYK FVQGQPMLWDLTTDYYLHHSDPRSWADKAKHNYIHWYTPENLATRVLPRLTRNLQSRE NVLQAVVDDYWLEYYRPSTLSSFLKMFPYKMNSTIKYIPFRSSQMGKYDLSPFRVRMI SDIDDQDKIKAKKGVAVVVPSSDGHVPPDPGIASDMASRALGGRGISIHRWLQPTQHG SLADHAASKEVNATDESPKPPKQSALEKSRAAQWTFKKAVHESLNPTVSAQEMDDYAR YIAHPQNLPLVVSANLPEEYDSEYQEYVAGNWQSNSLLPSGAEDQNEVYTELLKVGEN PLTVTEEDGEKKRYKAYRKWLRGKSFFKQQPVD
SAPIO_CDS0857	MAPTIQLSPGEERLKRLLLDVASSINTTQTDLDETASGQSRRNP VLLRWAGGWVRDKLLGIETHDIDVAINCMTGEDFGQRFREFCHSPSIIEKYSITETDL GNIHTIKANPEKSKHLATATCRIFGADVDFVNLRKETYSEGSRNPEIEFGTPLEDALR RDATVNALFYNLHTDEVEDFTGGISDMNARLIRTPLDPFQTFMDDPLRVLRLVRFASR LDFTIAADVEKLMGDDRVLKSFQAKISRERVGIEIGKMLRGNRPHAALSLIDRLGLYH TIFTDPTFERMPVPDISSWHVAYGLMEVLRSHDVIIKPLCNQLVQSDADAYLAWNLVA LAPWESVDDPQPPTGKSKAHAVPFILRAAREGIKAANRLCAVIASSHLHRRNILQLKQ LACSEDESRNRRDLFAMAICKWDGFGGYWRLQVLYCILIEAMQELGKWPVSSDRLHSF IGEWEKFLVHLADLDVMEAPSTKPLVDGHMLARSLGVKPGPWMAQALDVCLAWQFRHP DITDAGKVINEVQKRRIELGVPQA
SAPIO_CDS0858	MPPFKDQAEATTRCPSLNLVSEEDSVYEQDIIRNPGSTKPWLSY ISFKTQHGTAHEQAFVLERACRQLPRSYKLWKAYLDFRTRHVSKLNPAIFSAEFRKVN ALFERALILLNKMPRIWEMYLKFLMQQPSLTLTRRAFDRALRALPITQHNRIWALYRP FANSASGNTSIKVWRRYMQVHPEDAEDFIGLLSHLELYTDAVQAYLAILNNPLFSSKY GKGTYELWSEMVDLLVEHATDIDSSAECGIDAERIIRTGLARFPDQRGKLWCGLAAYW VRKSNLDHARDIFEEGITTVMTVRDFALIFDSYIEFEESVIDSMMESAAHRGDGPADA DADFELDLRIMHFDQLMDRRPFLLNDLHLRQNPNNVAEWEKRVVLWGDNSEEVVRTYT NAIAAIQPKKAYGAFHQLWANYARFYEKGGDLRTARIIMEKAVKVPFKSVAELADMWI EWAEMELRNDNFSDAVRIMAKAVQAPKRSTVDYFDENLSPQQRVHKSWKLWSFYVDLV ESVGSLEEARKVYERIFELRIATPQTVVNYANLLEEHKYFEESFKIYERGLDLFSYPV AFELWNLYLSKAVDRRISIERLRDLFEQAIEGCPAKFAKVIYLMYGNLEEERGLARHA MRIYERATRAVAEEDRADMFNFYITKSASNFGLPSTRPIYEKAISTLPDGEARDMCLK FADMEKRLGEIDRARAIYGHASQFCDPRTNPEFWSKWEAFEVQHGNEDTFKEMLRIKR SVQAQYNTDVNFIASQAIARGQTRQPESGEEDVGDAMAALERQARAPVGFVAATSPGL KAQGVVATYIHPVTANPDAINIDEADEE
SAPIO_CDS0859	MAEAAGREAVFPTRQSLGLMKAKLKGAETGHSLLKRKSEALTKR FREITKRIHDAKLKLGRVMSVAAFSLAEVTYAVGGDIGYQVQESAKSARFRLTTKQDN GKAASRSRNAERHMLELLRHWLNLPLYRICALHCATTSMANFALDINAELDELDREEF YRLKKVAKKKERDNAALDAELKKRRGADSNLVGADEDTPTNILAVEDDDVIF
SAPIO_CDS0860	MTPSGPPIATIYVNNLEERVKIETLKEALSQVFSEYGNVIEIVA KANLRAKGQAFIVFDDPAVAQEAIEELQGFQLFEKPMRLALARTRSDATVRGLCSDED FEQHKRRRVAEKDKRRALEAADEQKRLKRVAPSQDLTSRPARLTRGAGLKSSNPPSNT LVPDEYLPPNKTLFVQNIPEDYDVDSLTSIFGRFDGFREVRLVPGRKGIAFVEYEAEH GAITAKENTAGMALGESGQAMKVTYQRQ
SAPIO_CDS0861	MQSLSSALTHCQFSDSGQMEVVLLMILNLMEDMLSGPGGDILSD ESICDMMGRGLAICSQTRFSPVLRQTAEASMVRMCQIIFEAVKHLDVEANEAAGTFRE PSGEMDSIVISTSEAAIQGLPGTGRHDSPDEASASSSQNTTQDSNPSSDISEASSDAD LRHQSEELERPDSLDMPPYSLPSIRELFRVLVGFLEPNDRQQTDTMRIMALRIIHVAL EVAGPALVQYPALGIITEDKLCSYLFQLVRSDNLDLLQEALVVASTLLSTCRSVLKLQ QELFLSYLVACLHPAIDIPREPGIDPSLYADIPQAPKLVRPLPSQNGSGRSTPVPIKD RQKLGLEGGIRKPDARQAMVECISVLLRMPSYMVELFVNYDCDEDRVDLCEDMVGLLA RNALPDSATWSTTSVPPLCLDALLRYVQFLAERLEWQPTEVNLPDADLLRTRRSRKKI IIKGANKFNESPKSGLGYLEAHGIIDDIRNPSCVASFLKRNPRISKKILGEFLSKKGN EPILEAFLDLFDFTGKRVDEALRVLLESFRLPGESALIERILTCFSEKYCANSTPVEV ADKDAVYVLTYAIIMLNTDQHNPQIKSSKRMALEDFSRNLRGVNGGENFSPEYLSDIF NSIKSNEIILPDEHDNQHAFDYAWRELLLKADTAGCVIQCDTNMYDADLFASTWKPIV STLSYVFMSATDDTVFARVVTGFDECARIAARYGTVEALDQIVHCLSHMTTIATTIPF NTSLNTEVQAGDNSVMVSELAVKLGRDFRAQLATLVLFRVVSGNEHIINSGWKHILRI WLNLFLNSLIPPFFSDNQDKLGIPAIALQPPSQVIDRASRPSEVGFFSAFTSYISSYA ADDPPEPSQEELESTLCTVDCINQCRMGDIFARISTLSVTELTHLINALLDELPEDHG SAVISVKAPSTSPGPPGELKSNDAQLRYDPGLVYLLEFSTILTLRNRETICAFGEKLA EALFGVLRNATIHHPVAVARTVFYLLKLLRASYEFDFVNVPILLHTLSRFPDALLGNT ASLTLQGICLCIEEPGPLRSEIMTSPDFWALLRTLAQRRDTSAIVFAILERGTTGTPP SIMADNYEAAISLLSDFASASRTVTSRKSATIPRGAREAPSTQTQGLDAGKDVIITRG TKAVTMIYNMTSRISYLMKHSHLESSEAWAAYWLPIFNALTIQFDILDRLMNSGQGDS LEEAVRENLKNVILFMATSKYLVPPTTDPSKEKLWVETWKRIDRFLPELKSELALDGQ DEASS
SAPIO_CDS0862	MPRHHPGSFANGYPRGGTFDISPHRFEPRSSTPAFRRRRALLTR AIYALVAIIVFGYFVWPRGPVVSVISLGLLTVGGDSQLETVRYYDLSNVQGTARGWER EERILFCVPLRDAEVHLPMFFSHLRNFTYPHHLVDLAFLVSDSKDRTLQVVIDSMNDI QSDEDITQHYGEVSIIEKDFGQKVNQDVESRHGFAAQASRRKLMAQARNWLLSATLRP YHSWVYWRDVDVQTAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQPYDLNSWQESE TALALADTLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMEIDGVGGVSILAKAR VFRSGVHFPAFSFEKHAETEGFGKMAKRMGYSVVGLPHYTIWHLYEPSVDDIRHMEEM EKERLAREKEEQEKAERAKKLKEEFGDTNNQWEKDKSEMENLAKQKKDQPHHRGEATV DR
SAPIO_CDS0863	MDDHLPDLPSGDTSRGIWYNFTTWTAQQLKYTVNVTKYAPSLED LVWAGPRIVRRLGSIIFLPDAIEGPHVSGPALSDSLQNAYMMASDSAPNTVGINRHAS GSSANPLPGPLHSPVDGTRGFGSVFSYATSKWALCCIAMAIILNRAYIFAATRRRLVL PWHIRVLIRGAPIVLLGLQARHLLQSIQCQTSPEFPSMRWGDTSKHSELMFPQTNTFL HGLSSTLLLNPTDRESCVSIHMIPQNTDPSRDVRELKGSLSLLWPLFGTFCLSQLLET IVCAVEGRTLSAETGMTLFEHSLAFAEADAAISNQLGWGVFNSHSSVGTSATSLNYTM AVSRSMILQRVNTSPEVLLVAFLSSMAHITSHLLGTFNLQAKFRLLSTTLWGLSFMGS IMWSVATFSIDSLDRQSLLRFPTVCIIGFIPHVLVLSGIVVCLSIYGFALLLSAIAPP QGIDFRNLNFRQRLAQAQGNLQANISLSDLRISREMDFYTALLRTGFGAITLASEAVY LNEDSAVNTPRHTWLEEQRSRELEEFHARLSGTADVTFSSRKSPAESGAAQRLARVGA FNGYSREKTTQANNKERASLDRQSGNGVGAAARSGRWLVALDYMLNIYRVVMKTWALM FLKILEKLGIRSQPPWLLWLFRGGKSSTGRQLARPELNPPPSVNAPQTLDGIWIPRGD HVDVEAEVRKRVQATARGDRSFSEEELDARLYSWWLHGGTWGSVDRSGDYAASESESI TDNTSVISRSRSDQDDEEWGEEYDQNTLLQLGSPSDRETSPAVDSPIQLSDLARLLHP KTLEDQEEADALSAHLASDEILTRSRSLGSWNGFCSRDDNKRDNRNRYQIASLNRGRL VLPGWAQRVLSASSVRAPQELSLFGLVAASVYATTVESLWQ
SAPIO_CDS0864	MMTTTGGDATLFEESFTITNIDQSKYDRVARIYATSTDNQTVIS LDVNVELFPCQVSEGINVVLATSLALDGSKDDEKGWRDNKKGVHPETSLAEMFDYVCH GKIYKFEDGEDGQTIKAYVSFGGLLMSLEGPYKKLSPLRVEYAYLLVKK
SAPIO_CDS0867	MQRKRRWGVAIDAGSSGTRVHVYRWDAQGEIASIPKSKALPRLT PTKVYKIHPGLSTFVDKIAEVGPGHLQPLLDAATNAIPFSERSGTPIFFMATAGMRMV AQAQQTSLLREVCNYIRNSSQFLVSDCETHVRIITGETEGVYGWLAANYLLGGLANNV NGLLNDSRDTYGFLDMGGASAQIVYAPNTTETEKHADDLKLVRLRNLDGSMREYRVFS ASFLGFGANVARQRFVDSLREKYIADDSHEFPDPCMPHGLRITIEGGSSDLEETVADR DILVGTGDFLECLRLTLPLLGKDTVCEETPCLFNGKHAPAIDFRVSRFVGISEYWHMT HNFLKTAGAKSYDLMTYQETVMEFCNRDWNDIANDILPRKKDPSEKLRNAQDACFKAS WLINILHEGVGIPRLRLDPPSVAGFNISQQTGRKGTEAGFPDSFRPLNKIDGTELSWT LGQMLLYACGQIRPVGDNLPVGLGRNLPGVPLDFEYLPGTFPDGLDSDSKTYMTGGQM RPRTTLPILCLIGLVLALVLLCRRERRTKIYARLVSAPRHYRRRCCPRLTGRIFPFAR KLFGDNRNVYERILEEGGAGEHSLSEIDTDDSEAFGGPLTAMSSGLLTPKTTAERFEE AHTGLFLGRNGLAARTEGRERLAVQAQILNIGRRSRAGSPARAKRQP
SAPIO_CDS0868	MPQSSLIDNPLLRVSRPVSACSRCRSAKVKCDGKLPACSACEKA GRANECSSASDQFARGKERSYVAALELRVEKLEKQIRYAKERKASMALHEGETLPTDI DRKDSMAAIRVAVQRKAVMKQEKADLDSLVSDFGLLSVDATSRDVEPSVSGGSAFAKL LSVSCYRHRLPDPKDSGLPPQEDARRLVQYYMDNVYALYPCFAEKTIWTVLDSMYQPA GGHSLKFSDYWLFWAVLAVASAAQSRGMNDPFYESGVDFIARAVPYAERALTPGVRAT IPSLILLTQYAMYDTRHFDPWHCMGFTTRVVLEMGLHQDPPPHDVKDKSDLETRRKMF HCAYALDRAVSMGHARAFSFTDSTVDVGLPSSTELGRIPSISGHITGPQSNDPALHLF QLRKLQSSWYQTLYQAGDSIILDAQSYLWKSCHDLREWAEQLPPTLPNDIRRAFDLEL EWSYVYCLMPSTRAPHMTEYRQSLTFEHAVAFIERMHETVFEAGQTAFTTYYDVMRVY FIGSQLASILRDAFELIVSGRPAALPHSDATGVPAPPVPHRFFQQQFEDNLQRSLKAM RFVDETLGKFGERWEDALQLLNLFGQVSREVRGMLEQRAAQMGMRQGVQQQPQQPLQQ QGHLGG
SAPIO_CDS0869	MRPFTPSMLALRSDKVRQLEAELRTLTEEEGDFSHNREELVRRG GFVRLSAGDESVRYLGPSSGIAMSRLLMEEAKLFTDSKRISELIPEVRARRERREARM QSVVMSNTPPARRKSTYPMFSEHPAPSLPSRPLVTRLVEIFSQRASMFWPVIHEQQFA KDLEDVYAGSDDPYKNYVVRMVIAISLHKLDPQYAGLADSYYLAAMQLFEEVVRPRDL KALQCLILVAQYSILAPTRMAIYFVIGLATRICQATGLCFEKTITTAYNQGLESPLVL DMRRRLSWIVTSMEFGLSHTMGRPNAFAQSHDEVDLGFFSTAPDERITDDGILPGPEC EKKVVAIYLLKMRMVQAEIRRVLYESVDRGPLHDSDPWFASMEKKIQEWHDGAPTDPA WCKPWFSGRYCQLKIFLYRPSPQVTQPSPRAAEICYDAAAYIISLSRQQMEKGATDVT WIFLLNLYMSLNVILWSVSYANIRQAHAREDVEELVDVTLDILEQCTDRWPGTEAAAN LYSVLSRACMQSYETQGGPQDVFASTFNNTPAAFAQAHPPPPTAADGAHPSSQAAGQP HVPQNFNNGGGGGIQFGYSFNNNPQQPNSMNSGGPFSFTGSPFPQQHPITPHQPTFRS NSIFFNPSSEGGGRRFSHFAPDVPPEGGDEATPPATTTPINHATPPDGSGGSSLPTPP ESIEPPPTMTPPAYTGPPTTTPVLTHQTPPSVVSTPMTMAASVGISSPASRVSTPGNI AHQQPLPAHRSPNFTNMVGPTSQPALPPQQLPPPGAAPPPPAPHHHMPPTTMPNWFAP PAPPFVSPFAFGPTGSPFVGDINAQAFASPTSMGPGGLVSPFGSQFTQTFQRQGSLSQ EQHTELMQALENDGLTEIDALLSMGGPVHTVAWG
SAPIO_CDS0871	MSPPESSSLPPNNSSANNDDDLARLPAKMDDSLGKGPAAKPEET EVQGIINKPETAETTPNSRPDDDLLDLTDPTPTPSTDDQEAPIPPPSPPKADAVAGTD TKSAPVTADEIPDIMTSSVATLRPPPNRRSTPTNPGLALLDPRPDTYVDPTPATPTTS QPLSRAPSSASRHGHSRTTSEVSPTRSDAAYDERRYLSEDEQEGGSRSEIQSIMEQFS EAGGGPDAEEVMSPRLEITSPHLTSAAQHPPRKSSLEPLSSSLASQFQDLQGLHISGE SPASSIRSKKRMSDDRGPPVPPKDLPRVPSTTRLPEEPPSQSTATDSPMSPTLSLHRP PPPEPEPEPASQFDFHRFLEQLRNKKADPVARYLKSFLSEFGKRQWMVHEQVKIVSDF LAFIANKMAQCEVWRTVSDGEFDNAREGMEKLVMNRLYTQTFSPAIPPPQPIPGAKPK RRGGERPMGPGRRGQHQEDVERDEILTQKINIYGWVREEHLDIPPVGDNGRRFLRLAQ QGLLKNAKSDTSADSFMPLLIYVVLQANPEHLVSNVQYILRFRNQEKLAGEAGYYLSS LMGAIQFVENMDRTTLTITDDEFERNVEAAVSAIAEKHRPQSPTSPKAGPSQFSEKNP STLQPPQAGESSTRRPLDEPTTPRRSISSNEAAADSSGGEDQAAITGLLRTIQKPLST FGRIFSDESTPASSVPNSAPRTPAPPPLSTSPRPSGDYPPRRNNAQALAPAPAIEQEG AAAVPPKPPPRPPRSHLSAEEAAARQASAEAEEAQRLHRAEHTNVVETLAGMFPDLDR EIISDVVYQKQGRVGLAVDACLALST
SAPIO_CDS0872	MVEEVEPGGPDATGAPITAAPTRASQRMSRATSLSQTIQSGLHK VYKRVFLEFILREKPLPASKDGRHIPLEPGREQPLTDNRRGRSYIDNSIRTSRYTIYD FFPKQVIFQFSRIGNFYFLCVGVPQTIPGLSTTGNFTTILPLMFFVLLTVAKEGYDDF KRHRLDNVENANAAIVLKDASKARPHVVVTKRKQSRIPFHYKKVREPLREFDEEYDGL RWVSTKWCDIRVGDVVKLVRDEPVPADIVLLHSDGEDDLAYIETMALDGETNLKTKQV STAIKECGTIKGIRSCRAHVVTEDPNPDLYRFNGKVTVGEKTLPLTLNEVIYRGSIVR NTPSLIGLVVNTGEECKIRMNANQHPKAKRPALELIVNRIVITLAIYVVVLSVGCSMG YVAWQKSVEAKSWYLDDAKVAFHEILIAYIIQFNNVVPLALYVSLEIVKIGQLIMLNS DINMYDAASDTPAKCNTNTILENLGQVGYIFSDKTGTLTDNVMKFRKLSIAGTAWLHE TDLDQDTIADTVDSDDDSQISSEKPPFFKASIPAIRVPAAAAVETPDSSEALETVATT TITPRASMTQRRSSSQWRSTGRPDHVQPDVTTSDLMEYIRHRPNSLFARKAKQYILAM ALCHTCLPEVRDGVLEYQASSPDEIALVRAAQELGYTVVKRSPQQITLRVATDDNGSS RLQTFEILDVIEFSSKRKRMSVVLRYPDGRISVICKGADSVILPRLRMAPLAKQKASE VRKSADLEHEMLRKSLHESRPSIGGRPSLTTRFGGPPMREASSSLLRQASTARSRSFD AAASSRPLRDEKPRPSLATRDRSLDVSKLTHLQPPGKGTGEPDEMDKFSFLDDPYIHD DPTVFSRCFKHLDEFAAEGLRTLVFAQRFVPEAEYAGWKKIYEDATTSLVDRQERIEA AAEMIEQTFDLVGASAIEDKLQEGVPDTIDRLRRANIKIWMLTGDKRETAINIAHSAR IVQAGSDLYVLDSTKGSLDSQLLNIMEDVQTESIHSVVVVDGHTLGIIEQSDDLSAQF YAIMPLVDSVICCRASPAQKALLVRTVRSRLRKMKGAHRGLTLAIGDGANDLAMIQAS HVGVGISGKEGLQAARVADYSIAQFRFLQRLLFVHGRWNYVRTAKFIIATFWKEMFFY LPTALYQRYNGYTGTSLYEFASLTVFNTLFTSLCVICMGIWEQDLRAETLLAVPELYS YGQRNMGLNMWKYIAWMIIGATDGVLAWFGVWAGYGWKARLSDQGLFALGNLSFTVGV LWINWKLFIFETHYKTGIIMFCFFLTTIGWWAWQAFLAGSYEPTPSIYAVHDGFMQTF GPDLLWWATLFAVLAVLGLIDFTRKLIKRTLIGLGVYRWPPWKARSNGELEVEVWQEM EKDPVIWERLQRLAAGGDEEDPEAEEA
SAPIO_CDS0873	MSPDPEKPDSTPVREKEGGEPTIQQHPSSPPADSDPKSLPKSAS QHRPDETQHAAQEEAFTDDEDSSSVEEWPKIPFSKARCIAIVATTTGASFMNTFSIQS TVIILPVIGRDLNIPSSRLQWIVSAYALAFGCFLLLWGRIADIYGKRIIFASGTAWVA VISAINPFLRNEIAFSLFRGLHGLGAAANVPTAIGILGTLFPPGKAKNYAFSSYAAGA PLGAVFGNLVAGFIAEYTTWKWVFAVMAIVSGIIAVISLFVIPPPSAAQPPPTITDDN PTVTATPPVKPSLASVDWIGGVLITVGILVLLFALTEGNVVGWSTPWIPTLIVVSLLI IAAFVTWQWYLETRTSRPPLMKVSIFKNAQFSAALVIMGLFFSSFNNFLIYATFYFQD YQQLSTLQTTLRFLPTGIAGAIVAAIVAPLLSRIPTQYFLLFGNLAVSLASLLFAVPI DPRTTYFAYGLPAMVLSVMGADTTWPSLTLFTSHCLSPQDQALGGALINAVGQVGRSI GLAISTTVQTAVMARERNVPVQKVGEVKPWDEPSLLGLRAANWTTFALGICSLLVVAA AFRGTGIIGKADAPASGGMTRRNRRDGGGDGTTPAPDESAAAANRNGEGGDVTESKEV RRN
SAPIO_CDS0876	MGFVGRKKNDMSELAWKWRCKLLPPKPPIGRSIEEIDVSSDEDP KKLAPEPETGTQAKIKYYYEGKDSNPSNEHYDWTDYPPKQMSPTVAKNLAMHSVAVWK IKDRDKVALGNLFPLKTYQIYVYNPQLVAAIAPILKKENVHLDLNNRATFKQPFMALF FGLPEILDLYRKTPSESSLKPHLELFLKVLDDTFSDLRPRVASMKSLRLIKYKTAWTY YPTGCIIHSYIKNAEILAQVEGPVEYTVEGLNIPVKVLGFNGDAFGWRKASVKIKPFL GNKPITDLPHYPLEFHEDPAGVRKRLTERGRKMLDLQGLCYRNYEGLAIYFGEDCESH NVEGRVLIDVAGYNRYQQPQGKRELLDPETMKNAVTSGGSKEDDDDGKKKPRWLSEED QERNKKEMLAQEDLLMFIGPWVEGYALKNKKWLKFYIEDIQPITWNDSAYKHLVYSEE QKDLVLSFVEHHGQKQASIFDDVIVGKGQGLILLLSGPPGTGKTLTAEAVADRTRRPL LYLQAEDLGINATALGANLKRLLAMATEWNAVVLLDEADVFMATREPVDIARNELVSI FLRELEYFRGIVFLTTNLYHTIDPAFRSRVSLHLLFKPLSSEAREQIWKKFLERVPHV RVVGSEQPLWEEDAEKVWASEEEENLARKGISEEDIKELGGWNLNGREIKNAVKMVQS WCDFKGYAVTLSRLENGIKVTSPHVSKVGEVDHSLYD
SAPIO_CDS0877	MDDIHDLQGLTLEDLRKLDKDAPNDVIEKFLPQRPQASLTTFAP RLRIYNNSILMPSIPSTTSGPVARTTKRGTTIINYAEDGYDDLDDEDDDTRRRPTGLR SARRDDSVAKQDFSDKVGKEANEPVDVPGQWREWMLRTKMLRSDRQGAAQSDLPLTLI PIRIDLEVPSFIPQPPFPPPPNGYDPSLPQYRPQETTPPYRLRDFFLWNLHEALITTD QFALTLVQDLDLPNPRMLASEISRQIRTQLEEYAGVALHPLFHAQRDPNDATQRTAVP SKSGFNTPKEVGASTPLLTNTPAPEISAKATTITHDSDDFNPDDAYRCIISLNITLGS TLYTDKFEWSLLHPPGMADAFAKQTCADLGLHGEWVPAVTHGIYEAVLKLKKEACESG GVVGGWGGLQQEIANDSAHGAEAGMRYDPENLGAEWQPNFEVLTKEEMEKREGDHERQ LRRLRRETARFSSTSGLVGGVPFSGFGTMVEAEEERMGRGERNKKKRRQLRSSLSPQR SGTPGGRGTPDVGLYAITAATSTSATSACLSS
SAPIO_CDS0878	MPPFWKRSKRDSAPKAGQSNIQPTPAAENPFRSRADELFNEGDY ANAAFMYSEAVTAAGSRDNTTRAPLYVQLALAQVMCSPPQLDKALSNVNTSIHLDPSA GSAWKLKGDILDSMGDYHAAAAAFTHAVSILPTSDRVQAQQALASVRMKMGSIASSST TPPTITHTPAAVAQSSQPSSIAPNTPIQPIRQNPSPTTQTSLSPTGTIPNRRAVPTHA PSPRGLASSLIPPSPIPRAPTPNAMMQSQMLAEELDMPSEAPPSYSTSTMGAPRTALG GVFSESQFGDWHRALNRNRLGGLYLRPFTAEGQVDSICCLFWGKTYVESLLFDITSQA AGHRSWKEYHVSTISHPGTNFLDYDCETASSYDGYHVGTTSVVGYSEEYREFQLSQTV QFQLSLEERNSPPPMTLDAIVERIQMLQRNPAHEDNTRLRQILGLPASPAVTTRIAIE DICLTFNRGQRAEPNRFIDFLTTASFTGHVFGGPGIGLTNFLLHILLGAELALRQAKL GSRQNYPGVMKATTSALVVIARQWMENVTIRKGLNGKYTLTAINHAIRTEGLLRFAEA IGWPYMDEARQNIEGMYAKLSSQPAEIHNYLKDWLYGLVLPGKFFRQRLLSCLVLACP TTKHLSSAPYYSSGLVVGNKSYWPKRSILARVLGGMSNIQSTCGWIGPVPAPTKTEPS WILLHARVVNFVRPVVDNLAETNLEILGFSEAEMSRDPIGLTTELLDINKWRPPTGLP PRPGAQGPNGHVGVTLQEIRLNSIESTNDIRRHRASLDLMCYGTKVTFTLYSSPLFVH VPKCQGTSHPIHERLAQKRFSGIVLAKDLKNGAPIPSSETLMIIDATQPNEDIMARAW CAETGRHAIESENGMLGSDMQIPGTTAAYIP
SAPIO_CDS0879	MFKKLSALSMILGAVSAQQVCTQTPETHPSLTWQKCTAGGSCSN VAGSVVIDSNWRWTHTVSGSTNCYDGNTWDSSICSSGATCASQCCLEGADYTTTYGIT ASGNSLDLKFVTKHQYGTNIGSRVYLMENDTKYQMFNLLGNEFTFDVDVSNIGCGLNG ALYFVAMDQDGGMSRYSGNKAGAKYGTGYCDAQCPRDIKFINGVANSEGWEPSSNDAN AGVGGMGSCCAEMDIWEANNIATAYTPHPCTNNAQHSCTGDSCGGTYSDDRYGGTCDP DGCDFNSYRQGNKTFYGKGMTVDTTKKMTVVTQFLTNSAGTLSEIKRFYVQNGVVIPN SESVIPGVPGNSITQEFCDNQKTVFGDVDTFNSRGGFAQMSKALQGSMVLVMSLWDDH YANMLWLDSTYPVDGTGYGTERGSCPTSSGVPSEIEASVPNSNVIFSNIKFGPIGSTF NQNGGGNPQPSSSATVRPTSTTSATNPGQTGADHWGQCGGIGWTGPTTCKSPYTCTKL NDWYSQCL
SAPIO_CDS0882	MSETATRSGASRGRGSGRGGRGGFSSRGGRSSGKTNGDSLDALD TPSPAAFDEDADVAELRKLYGSKVYPIKDMFQGWSEVDILYALQEADGDENLAVERIA HGAVSQWGEVSSKSKKTRSKPKDTVNAAATTDSHSGSTRPSRGGRNVSESGRGGRGRG ADRGGRAPRSRPAQPATNGARKEHNLSVPTEESTAWDTTTTTKQGDSESRGHAAAEQP ASGPEPTKAAAPPAKTWASMLRQATAPKVAPKPKEPPVQKPTESLEPLPPVESPAPIE APAAAEPEPEPEPVEAPATEEAPSPSTEATEPATELPPTKDALTESNLEQVNDTSAPA PTDTAASTAADSWAPAPADISSVPTPTSHAPSQTQPPPAVPQPAGFVATPTKPVGRAP HFQRRILDQEEAVRMPGNREVDRAAVQFGAFSLNGTEEDIDGDREEPETRAQPPDDSP IAHPRTSLPPVSQPNAVPESLSQKPAAPAVPAGAPTGIAPDLDGSVQEQQANAPSLAP AAQAQAQQPIAGQAQPVAQTAQSFGRFGAQEGAPGFASLNQQGAATISHQQQQYENFQ AQQQPAQPPHTSGAFSSAPGDFSSYYTADQQNRAPYNYYGQQYGQQQHQASQGQPEPT AATQQPQPQRAFGGYNQPSQADNLSQYPQSGVGGLHNQSRFGGVATDPQSSGHTTPNP PGQGQGQQQPTGQTSQPQSHAQQNYPYNTHPYFNNPYYHTYYAQAYGQGGYGGAPYGK GGIYGAPYNIPPQAPYDHSSSPAGGFQSSLHRDNNGIGSGLGDYGRVGSAQSGHQGGL GASSFGGMHDTFGRGPSSYQSQAGQGFNAQSQGAGSGSANDDLKPYVDSKTAAGPSPS LGGARPGSATNNAPAGQGGLPPQSNAQMAGYGGYPSHLQQGLHGSSGYGMGGGSGAGQ HGNTPYGSYGQGGFGSGGYYGGGGQQQQQQQQQQQRGGWGGNYNH
SAPIO_CDS0883	MKFATTLGLFASLVAAQQVGKEQTETHPKMSWKKCTAPGSCTTV NAEVVIDANWRWLHTTDGYDNCYDGNEWVESVCKTGTECAEKCAIEGADYGTTYGAST SGDALTLKFLTKHEYGTNIGSRFYLMNGADKFQMFDLMDHEFTFDVDLSTLECGLNGA LYFVAMEEDGGMASYSTNKAGAKYGTGYCDAQCARDLKFVGGKGNVEGWKPSSNDANA GVGPYGACCAEIDVWESNAHAFALTPHPCKDNNYHVCENDTCGGTYSEERFNGLCDAN GCDYNPYRMGNPDFYGIGKVVDTSKKFTVVTRFEENKMSQFFVQDGKKIEIPAPTFEG LPDSSAITPEFCDKVFEVFDDFNRFNDVGGWPLLNDALRIPMVLVMSIWDDHYANMLW LDSTYPPEREGTPGAARGPCAQDSGVPSDVEANHPDAQVIWSNIRYGPIGSTVE
SAPIO_CDS0884	MPSAITAPSPGLQALILCGPGSSFPTFTSNPDENPKALLPIANR PMVWYPIDFCYRMGITDITLICPPSASQAITRALNTNPFLTALPLPRPGVLAPDGLDQ NTGTAAILRLPEIRQLVQSDFLVLPCDLVCELPGEKFLHAWMTTAASLSYVLGDKRLA EDDGSPLYNGGLGVWYDTKTANPIKGEETDFIATTPLPPVTAITQKGSLLPHVSKLVY SMPTSSLSDLVEERSGLPVRHGLIRNHPRVRMLTTLRDAHIYIFPRWVIDFAYKNDRL DSIGEDITGWWAKAGWEKGLAEKLHLGEVFAEIENGAGSRRFSAEDSLSGNGTPVGSP KDTSSIETASIPPILAYLHPNEPDAAVIRRVDTARLLLSVSLQLAKVPSIEEVGAEAA SPFAHPKKVAYPEGVKSRTTITKADSLIADHVTVEEKTSIKESVIGAHCQIKEGAKML QCVLMDGVTIGKSCKLTRCIIGKRAVIGDNCVLTDCEIQENLLVEAGTEGKDEKLMSS EGLEATEEEMREVLEDAGDDFMADEAIIT
SAPIO_CDS0885	MNPLTLSPLPMHSLLEMDNTETDLEEGLSLSVSGPSSMGSNFST SSSMYDSLSPPSGRSTPQRPVEFSTAYSNTMQFDFSSPPTAHGTAYMGHAFEHQMGRA TYMEGSSSEGYPPIRARRNLSESDAFGGFDYSTFLESPMTQTFQAQVSPSYGGTLVDT TEFRSHSFDTSQLTSSTVRMSEIGEMAPYPIIDSSLIRRTQVLSLGRSDSELGASQTL VSPVDTISSPEMESDDTITPRRRRAYVVRRAAQRSAARRARQASGSGNNGESSLGPIE IRRISPGGNRCEFPGCHKAFNRKEHLKRHESTTHQGVRIECPFCKEKSEKPFNRHDNF KHHIGIHAQPRNHGRVEYVPEAAAYYRKLVAATKPRKPSKKALKAKL
SAPIO_CDS0886	MAEAASDAGLGPPSYEQAITTGGQGWWLELVAPYVSVGDYPSLC LVSRRFYDVFCPRLWKDPLVTVRILGLDPGNDFAWYLDVSGLPGSVRPLVKAADRFPR LRVLKARRRELVAADASNLVKAFAGRLWSLDLSDNPLSDAVLLALNQFMTASSSSLRT DGHFAAEGMLDWPRCEGSDAYGPFYHIKESGFSDTFSHANRYLADPPTYPPGEFHDGN HRPSPVRANGRTPIKNDSIEFLKQALLGDDAPVDAACATLSAARMSPVVLSHLHLSNT KVTALGVERLVRESSGQLESLDCESARLNINIPRHSPWPKSTRLYGLLGSPHIWRPVL SSNLRELRVHHSVVTQIPTMEAEGMSSLRRLWLAETSIRRRCEMAYPLAFVPDTNPRV TSLTLTRIPRRSSGPLIGKIIGFLKAASIQERAIADATISSSRRAPMMLRGLRNVRLE FEADPMDDPGRFATSADLDEDGILDLSLDAVTFSTTTSASRSNGHPGSDRAEQSPWLS THPGAAIADFTRSREEYVSHTLQWRGTTFEARVWVGSGMPSSNPATNAYQQLVRSGYR QVVGAASPAHVKAGVPAGSLIYLDAWDAMILPERVEGARQEVLAGMKDVLTELKRYRV STREAYEREKRKCAGLSGQVGLGAPHYFFTGKVEVIVKDSSTHYHASEYWR
SAPIO_CDS0888	MGISRDSRHKRSASGAKRAFYRKKRAFEAGRQAANTRIGPKRIR TIRTRGGNHKYRALRLDTGNFAWGSEGCTRKTRIIVVAYHPSNNELVRTNTLTKAAVV QIDAAPFRQWYEAHYGQSLGRRRQRAQAAKEGKEAGEEVKKSKSVEKKQAARFAAGGK VDPALEKQFEAGRLYAVISSRPGQSGRCDGYILEGEELAFYQRKLHK
SAPIO_CDS0889	MGKITKKMQAKHKETQSPWLKAFVKSAVSTPLPLLPQVLADFPS RWPFPRGDLFHWIPLLNRFDNILECFVATYNLDKGPQTQEFASDLLLNVPVGLEYAGD GKTWNVDTLREAGFGQDGDRQLMEAILGFTRMLLENCGNRSIYSSSAHLNHVLNTTSM SLLLATLRVGSELARRYQASLKRLGRNTPQQQVTAALLANHYNIDLDSVHQLAMPFVR TPIVSLADTYPPAPTPGSSSKGKEHAHPVSHAKNAATMYANDLVAVASSDATQWNGWG DVKIVYYPQSAAGEARITGRPGHGFASTPGTPTPLRRSSSMHTPSPKAGRSQAVDDGS PSPARGSGFGTDFGRSSAQKTFDVTEAAIKSTPLHILMSQCPPDLPKASSYELLHRLR IAKALVGSKEDRQEALAVRLLAILNLINIHPEPVFTEKVLRHDIDETRRFQLAYQLAD LIHPTANGSVPVPIPLQSIALSLLEALSGLNCRFADVVSALNATVNHGILLYVMRTAV AGMKEDEPLDVKDRLTDIDEWRSNLFSLASQLTANPGMKIGGEMLSSGLMDVLVEILG LRSNIAMRHHPAILQFLDTLIWTYSHGLEAFFSADGLDAVAKLTVVTVEDSCRLMKEG LGTGADFHTSVIDYDIPFYHHQTLRSLLRFIHHIMSNWYSHGGNADRLLRNLADKSDL LQSLRTVIEQAKCFGSYPWTSASTILSDFINNDPTSFAAISESGLITAFLTAVTGRPV PPIQQDASQTGEGNQSGSSDDQQDSPDSTDVPPVILDADDRPHPPTAEMLEASRPSPT AGNTLPSFEAISIIPVVLNAICLNNSGLRMVVASRVFESYFEVFESPAHVRCLDSDYD SASGLGRHFDELARHHPALRTPIANAAIDMVARVCHLGKDKARVDKYGVKLQVLSTMQ KPVQASKDIVADLGVLETPSGPQNVAPTYDSDIEMSDRADVGSKTSGANDSSDSENDL PAFEPFVYALCNFLTTYLSNSNLKVAFINKGGIELLLDIAESPSLAYTFGEHPASRTL QHVISGLVENTPVVALPSVLRRTQSAIGRLGPLAKGANGDDAYFAPFLQTDLVVTPSM AEKWTSDLAEKMAQGSDIARALLNTQTFVKTLCDCFASSSRSNNFLLHPVNVFDYYVA LIQSLGPLLRASLLEESAHHGLVPRHWSSNRRASTSGADDKDIVEGTAPATLPTSAAG SVPGSAPGANSEQTPDLTVSGASDQTLGPTPTEMSSIRFKNYDTLRLLLHSMMPTAYP LFQSLGKALLPRRDRDKLRPRHLDVARALAESVLDYLEPSVNTAEPTSKDYHYWIIMM HTIHEMLIDTSRASERSSVQLVMPVLLAFKERGGFDVLNSMFRAFIRQISTAGADGGE DSTKAKVASFGVKKILDLYVLIVNGKYITDSASQYNLQVRTDRVRDTPLTQQLVVELR LLVLPVIDELWQSDLVETISDQTLILVVDILNLISTAEYETPPSSRGEKFQTSIFKTT RDPFDWGHLRREVTRLVEAGYEEDLAAEALYRANGDARAANDYCKAHQAGVAGPRNPI PAGDAYTPSSAEPSNQENRPDAEASTAESMSIDYALQLENIGAEVAEEPADDDDSSQA TEDNDDTAFGESASRSNGAAEQQPADASSDDKAAEEQSNPKTELDNLRDKLRDGLIDK SLDVLRAHPSAAIDLSELIKSMVLRQKNDDDVREEVGATLANALSSLAFDDEDKAANG KSIASFAHLLALLLQEKQFFKCNVDTLREKVEEYVGFLQVPPKVSNEELPPWIPYILL VIETLLQHDFQPHECKWTPPKSDDEPITSPVFSVREFIVTTDECKEILDAVLDILPRI GKDEILATAALRVLVFLSRDAVLAKQLGEKKNLQRLFVMVKQLSILGADRLNRSKINS WIMVILRHIVEDEETTRQILRAEIKNQIDISQRGQRRDLKTYITNLAGAALRAPDMFV DTSAEMLKLDQWVPSDCLTFRNPLALKSPSATSPAAEESENGNEAPSTTAPGEDIKPS TEAGDKEMTDAPKESKWPVVENPDGVVHFLLCELLNYREVDDKDSSVPAMKDLKASET PAAADNVPSPATTDDGSVTEGKDKKLQKPTFKSEEHPIFVYRCFLLNSLAELLMSYNR TKIEFLNFRRSAPLLGNTPVRPRSSILIYLIDLLCQDSLSAATDSLAAKKKLATAARA QSVLVALVNQTGEKPHERNKDRFEYDDDPDLISVRKFVLDTILKAYERASTPDESLDL RYARMQSLAELMCQIIGDRDKDHGPPRPPSLLGPHAYTQLRRMMYEKGYLDKLTSSIA EIDLKYPGVRRVIKYILRVLKVLTDTAKELSLSNVIQVPSHPEHIDDEIASASSLSDL EDEREETPDLYRHSALGMLEPHDSDDDSDEDEDEDDDGYDDEDDYGDEMDYEDDGMDS HDEDNVSDEDEELGDMGPIEGLHGDPILEVIVDEDDDDDMDDDDIDDEDSDDDDEEMD SDDMEEEEDRVHIAVEEDDLMDDDGNPEWESASDSEEEDDDALDYDVEEPELDEDDLH HHHHHHHHHLTDGEILGTITRAVMGGPDFDPDDMDDVGDGYIDDGRDDEDDEDDEDVE DDEYFHDVEYPQLVPAMMPPALGWDTFMVHPPDFPGHGQGGRHRNRGNIFDATWTLGP GRDLGEYSYLHRSNARAGNSSGNGDDGTNPLLRRPNAGREASPHPGTHPGSVALRFRS DFFAPGRGFSDGGPIAVLHDLIAAIPQVSHVSWAGGRDRTGPLPIFTDGRRDRHEFNI SSRDHRGSGHRDSTTTDPQQAVSFSPMLTRERYDEEGTMLLGPLHGSRISDRMPQLFA PRILHLLAPAAIEQKKKDDAEKAKRREERLKREEEERLKRAEEARKAEEARKAEEAKA EEARRAAEAAEAAAASAAAESQRQEARASAPSSRSASPSTRSTDRTGANEPEQSAAPQ PMEGVETGGAAAETSRTNEPAQSQNRVVTTIRGEEVDVTELGIDPDYLAALPEEFREE VIAQTVSSRRSRAREESSATGEQTEVFQEFLDALPAELRIEIIQQERQEQRRRNDEEQ RRQNQSAGIVQVAADMDAASILLTLPPELRDEVLLEQGDEILDQLPPEMAAQARALAE RANSPRHGIVRGSNIMTAGRSRPPIPGAPAGIAGGEQGDKVARRTVVQMLDKSGVATL LRLMFIVQQGHTRAYLFSIFADICENRQNRLEVISTLLQILQDGSTDMDAIERSFGQL SLKAKKPRDKEGNDLRTPQSLKRSLTAVTPSAAVAAAQTQTHSEISPLLIVQQCLDLL VDLSSKNLHIPWLFLTEHDIVGSSVKRTLSRKSKAKESKAQKYAINSLLSLLDREIVM ESSNIMSLLADLLNRLTLPLQNLERRRKEAEEAEKVAEAKAEEDKKKTEEATGSAAAA GEGQPGGASDSKPTEAGSSTLQEKKKEEPSKPKPRTMQIPVIPNYNLTLVIKIFVARE CSSKTFQNTIAAIKNLSAIPGAKAVFGQELVKQAQALSKNIVADLDELLPQITKATSG TEIQGVALAKFSPGASEQNKLLRVLTALDHLFETKKVEGEDQSGATSRETEKQDLIST LYHHPTFSTMWEKLSACLVAIRQRENMLNVATILLPLIESLMAVCKNTATNAPLQPST QSQVGKDMVLSSPPPESPMAGLFFTFTEEHRRILNELVRNNPKLMQGPFKLLVKNPKV LEFDNKRNYFSRSIHARTNAHGRTSYQPLQLSVRREHVFHDSFKSLYFKSGDEMKYGK LNIRFHGEEGVDAGGVTREWFQVLSRQMFDPNYALFIPVSSDRTTFHPNKLSGINDEH LRFFKFIGRIIGKALYEGRLLDCYFSRAVYKRILGKSVSVKDMESFDPDYYKSLVWML DNDITEIITETFSLEDDEFGRTNTVDLIPNGRNIPVTEENKHEYVRLVVEHKLLNSVK EQMEHFLKGFHDIIPADLISIFNEQELELLISGLPDIDVDDWKSNTEYHNYTASSPQI QWFWRAVRSFDKEERAKLLQFVTGTSKVPLNGFKELEGMNGINRFNIHRDYGNKTRLP SSHTCFNQLDLPEYESYDMLRKQLLKAITAGSDYFGFA
SAPIO_CDS0890	MSQPEEVVSPVSRRDDSSSESMEDAVEKQEFREDVPETASARSL TKRSPSQTQQAPLSDPEAKSTLEKESAPAAALPPGQTDESAPTPENQSTQEKHRDSVQ SSHLSDTSNLENVNLDDDLTPPQKQENPTSKNHIPKETSKTLSLSSITNALPSMPWSP SADSASRSPIPSPPAPSTLSTSHISAPAPPTRKLTSPFSWLSRNSTHKDGNTSPPATQ VSPRRNTASSIATLTSNPEMMLGKLDEEGTSANGTTNANSLKDRFKLVRMREEAGVTV PTGDDDKPGPVPITPGTHKPPSVKSNESADKHLAPGTASGVSAGPSAIAEADVDWDLW QSVVYEGPAAVARSSADELNRAIATGIPSAIRGVVWQVLAQSKNEELEQVYKELVTRG NEKQKDRNSNGTSASATTNGSLAVQNDAVGSSASSIHSDHTGANGTPSPRTPSEKFLT EAERKKKEKEDAAMLQKLEKTIRRDLGARTSYSKYAAAAGLQEGLFGVCKAYALFDEG VGYAQGMNFLVMPILFNMPEEEAFTLLVRLMNHYNLRDLFIQDMPGLHLRLYQFERLL EDLEPALYCHLRRRNISPHLYATQWFLTLFAYRFPLQLVLRIYDLILSEGLSAILRFG VVLMRKNAAALLAISDMQHLTTFLKDKLFDVYIDKDPSAGSILENGFFGSSSSNLDKE VYRADQLVRDACEVKLTPELLKSYTVEWEEKTKAEKDRETELDNLRTTSASLAIKLRK LEERLEAADTEQAAMATELVHTKVENEELKDENESLKGQVRELRVVIEKQPEEIEQAW QLERDDLMKRNQKVHDENQRLEQELTNLEEQLVQTKMQYAEINSQHEALTRRWTDLKR QFV
SAPIO_CDS0891	MSPAIKSQDPPGSPEASSKTVTMMGMDEDGAGGGDHDGDRAGDG ENDPAKPSRKRQRVRLSCLECRRRKLSCNRALPCDRCIRSETPGRCQYETRSGKVINA ASDYNSLPPDFRRLDTTQSPIRERDHERIRKLEHEIVQLKKEMSRHRGSLDGSTPVAT TSPSTQAGDQEGAAEEARNSAQNLPFGAEKGELRFVRFKEFRTRFLGPHSAAMAMAEL TGLSPFMKETADELLRSVKLHDRKGKDRKMRTEVREKLALEPDLLLESLLPTKEESDA LVTVYLDIFEQLHRIIHIPTFRRDYAKFWDSPETRPPGARRAAFTALVLSIMSISCCL YSHPTIKFIGMMSDNHSSAVKWVTAVDDWLARQSQKHRKLIHYQIACLLYIGKRVNTI KKKRFWTGAGALIQDGISLGLHRDTRHMAGKMSAYNQEMRRRIWATVQELDMQASFDF GLPTLTSQIHYDVAAPANLDDNEFNEESAEIPPSRPPDEYTFSSYQHISRQSLPLRLE LSRFLYGPPGHSDYDDIVRYTNDLMREIDALPSWDQNSSGTHSSKKPILAYTLLHIQL RQYILPMHQQYVKPGSDGTRYQYSENVSYNAARDIVLLHDKLYEQGIQALNFMREDTL TTATKLCSVTMLQPQGSTNMIMINARHTVELIEKCIAMKEDRLLRSGNYEPWGFVFLY GALGLLQAHLNLKSAADAKASAIEKLKNLQDRLSQVRQLHSPDDLERSMSSGSMGPSM QSFPTNFDFQPFPEQFLGDFFNLNVPETWPFTGV
SAPIO_CDS0892	MASSSSSQSQGARYFGETSPQDLKELERNALGAKRSHMATAASY LEEAFEGQYYAWFGGWALNLRGSRRETRDIDLLVLANDVGKVRATLASYPWAILSFYE IIGSIQERMFVDIGENGQVVGVDIVLSGRLDTPVLGDEGSYELIQPSFTTPQGSEVPV IHLTWQVEGKLGAWISRKKMSDFDDLVFLFQKYGDEIIQWSEHLDMQRRLDFYGLYEV VVEDNRLLERMKKVLRLDD
SAPIO_CDS0893	MPWQPLPRIAFAVATYPFTAVTPADLPLEIGDELYIIEETSDGN WLRGYLVAPPSLLAGLTSVKGQTLEARVFSGIFPRSCVEVREMLGEPDEGDEDEDGSE GDISRGAVNGGSDSAKSGLAPNADRRKRRGVDRQNSFETRSGAPRLSYLSQRNPPNST SGASLSIPVHRDPNEPPPPAPVPMLKVGDESPTSAHEPLIDEIASCLREWHSTNLHEL LLTRQYSKLDKLSHLINSLYFARQQFLHNVLTAHEYERLRERTVWDLVKVNKLCGGEV IVRDPSQRGRVLTGDDSVVEITKLQTMMSLLDEPPQPIVELTALHHLMVDVKGFAGAS ADETTLELYLVGKPLGDAVSTLSETFSVKVPSGGFIGANSNHAQMKTLFADLSAQDIG DVPSAESDLFLVVKVRAKQPIVAAHPGSRSGPGIQTPFSKDSSRPPVSSSNKQSSRRS LMWNKGSRSGFSRGQNTARMETVSEQPEQRPPTTGMESRDGSFPPSTAGSKAQKSIDG ASTFSATRTIGIGILRLNAIMKYEEEVEQVVSILSPAAAAPARPSQDKLESGEDWDSL VRELMDSKPGQYEKSVRSERIQLILKGFNHPDADTLIKSTPTLLAGVRKTNKMGFSGA PTKARSDIYLTIDGASLARQNLLSRFGASPSAIPSSMQGNNLQVILSVRRSSGERIEN CIFASSNAEGLTTWKSVAVERGEAWKQTIRLSIQPNDVPDAHVVMFLSDVPNTPFAVA HIPLWDKRAFRRDGPHPCLLYKVDEHTSTPKPGPSGKGGYLSLPWSARGKDEQVEVTG PVATLRVETYLCSTRFSQDRVVLGLLKWKESRDEIPAILKQLIFVPEIEIVKLLNDVL DALFAVLVEYSGNGEYEDLVFTALVRVLGIVHDRRFNLGPLVDHYAETRFNYPFATPC LVQSFTRLLEKPTEPETSRKLRATFKVVRHVLKFITHARGQQKAKEAHLGITATTPSF TRNLRTIFKALDAMMRNPAPALIGSQTLAVQHFHTWLPELAGLLTTEEILHIAIDFMD SCAGVKGKLVLYKLILIINYSKLEIFSQPEQRSALSANTVRWIAPHWGHIEEVTEQWR DQVRLCCSVVASHIDYVGNEIPDYIPKIIESYLVIQATPRTPKTRLSLLFPTSYPFVN KILPEPVSFDEALIELSAVLSALSTSPAGGMQLELADGDLTSLMEQTLRVHKSILSGE AFPPEWLSIHIYHHKSTMRTLQYLAGIMLESFLPDPEEAENFNTELWKLFFSTLLKLV GSSSLALETFPEQKRRAVWKIAGDVREHGAELLRRTWSAIGWETSADEREYFPFGKMG GYQVQYVPSLVGPIVELCLSVHGGLRKMAVEVLQTMMVSEWTISEDLSAIQTGIIECL DQFFKTKPMTESILQKLFVQELLDRFEPLAENPEDDLLKAVKDLMSTVDQFLDLLVAV RSGDGTNEATHLINRLRLMEFLRDMRKEEIFVRYVHQLAVLQAEARNHAEAGLALRLH ADLYEWDPIKQAAPLYDPDFPIQSQFERKERIYFDMIKHFEDGEAWTSALEAYRELQV QYETNVFDFAKLARTERAIAKIYETIVKSDKLVPKYYKVVYKGLGFPTSLRDKEFVYE GGPAERTSAFTDRMQELYPAAQIVTNGDVDEVEGQYLVVSSITPHRDTAHHVFQRARV PHPIRDYLLSAHPQTFSVSTKRSTSGPITDHYAEKLVYTTAEPFPTILRRSEIVGTHE IKLSARETALERITRKTQEMSTLEKRVSEGDLESARILFETVASSVNPVAENSIVRYR AFVPVSDMEDEVMELEPEDNAIKMALVDHAFVLRKCLATFSKSSIDALSRNHEELQRY FENTFAAEIELFMMPQPPQDAGSPPSAWRLSPLTEKAPVSSIAVTMSQDMGGVEVASA HAAKAGRAPRLSFLGGKRKDQGSRPNGEHQQSHHQHQNSRSQANQQVSSNGDAAGVRN RSTSRGSGNNAQNRRSFFRSHPIEVPGTNNHRPPSANGGVKDHSSDWVTETRGRSSLD IGDKDGDKGVGIHKKGSVRRRLSLLKIGKKSTPRPAAMGALDEE
SAPIO_CDS0894	MSLLSLAPILFLLPTLTTAQDPPPIFPTLDSLNMLGTGCPLGGG GIGAGAVNGTPVFKFTMWNLNLADLDSEDSDSAGEDPDDESRPANSVEKFCVEEMQLG GGPPGYRIRIPAVTVSGVAELEEGSALKVAVEATLGDIEGGTGELTILPADVTSSNFT AHVETSPETWSDCIADDGTVPFIRVKTTLTLYREGDEPTAGTVGSDDESLDNALSVHF QAIWEECE
SAPIO_CDS0895	MPQDWLAQVQAAEARQAAEAPQATEAPQEAQEELENRDENNEAV KLWLETLPDSIPPPAENTPEDLADNLPGIGDKIINYAALSSSDSDSSYGEYDKTHNSP MVANPEEFAERDRATMNVQGHLFPLPPTGGVPYTAETTGQDPTFSGLASLASNSSGKR QDGQETQGSKATGSEEDAISGSVNFILGSDGSKSVKDLKKDNQKDSDNDSKGGVHADI DTGPTHQDEKALSKGKEQPTASKPPGSGQQTACSSSDNTQGDSSGGGSKEVP
SAPIO_CDS0896	MSRWDDQIPMMPDGHQFAHQAAQGECPGEFRAPASPYSPNEDFQ PHQGVVQGYYQTQLHEQGFRDGNLAQNQGPGPRFPALQQGPGPSTPNQQQGHNPSMSS QQSCSCSSQPQNPSQSSLHNASQTSHRRVYHHREPAQHHIWPLFSQPSPEERYYAPSP SPHDVAINQGQGQPHLRFCSEGQQQNLGRALRQERFPHLVFPEQDVGQSSRLASDNWA PAHTDSAPPKLHLPPIADAYPSSPNGFDGRVPPPKPQPPPLPPRPRPQRSQVDTLRLA QAMADIFEPVEPGSWELAPLDAPDLTKPLPPLPSSATQVQFVTEQGHSHEKGKERAHS ASRRQPIDASTVQDHVLPRPDATPGQITVLGLPVPDDVPEPMPELPAQEYNILLVGEA EIGITSLILTQVNGRWVDAAGKWSLSRLKRMVEKKISHETEMAIDTRRIKVTLWDAPL DKVDTIHLLNTAGWDAVIICSDASFPCTLLGPLRWWAANKDTIRAATCHPLPIHVVAL KRDLLVPARHRLSRQHGEFMAASFAATDYSEVSAKKADGVNGLFGDMLRRIYRQRLAD WEANIETHRIQAHRARTYKKMWGEEPPAVLLTPASTSSLRTSSSQAPKLQEQPTKNHL SNVHRPVKLSSPSGVFLEPTKTEIITQKQQNFKQQTLHIKMDPPPLLLTIRFSTSHPD LPLDIPSPRTTSLASLKLLIRTSIPTLSRRRLRFIHQGRILKPDSTSLASALDPRNKG KSTPSERIFLNCSIGDVLSPSDLEEEARTSKLPPSDSAAHASSSSSEGQQHQQHDGGA GSTRRRRRGFDRLLDSGFSAGEVNQLRLQFRSIHEARHTPDTMPSHDTLLDMEDAWID NNGTGQEDTTGEDHLTGGGGGGANAIGDVLDVFLQGIVTGFFMPMASMAWLLREGGLW SKRRQVAVFFGVLVSVIIGLFKTISGEAQ
SAPIO_CDS0897	MTRFSSLLAACLVAPLALASPFVSHQDGKAGGSGAQIVRNVPEP VVHRDGRLVSREPGAPMVRRNGLVARTDTRSVSLEQTLENEPLFNSSDITLFTGPSIE VVCTRCCSKGSVEATFPSDLNDIVNPKFRIDLVGVEALVELDVVVSAQSNFQVPLLKL PPGLANIELPGFKAGLFIDLVLLFELSAEVDLSGGFYLKIPDGSFFEIDITAEGLHSE DFTGVVSKPLPITINKNNTQVDIKVDLQLKASLGIGGGTDQGVSVPGLGEVGIPFVDL PNIGAGAEVGAFINIVEFIGNVAPTNSCALEAVPEINVNIGTFAQVGVSFLDNFVGLE PSATTTLFVLPLPTACIVPLGQPVPIRSLPLATERQCVATPAASNVLPIGSGSSRTLT AGEPVTTIHITRTSTLQRTIHTYEAETPKLVGRTLGAEGVITQLTAVACATAAVVCPI ELRTTVTYEATVCPPAATQARGCFAGITDASTFVPTSVVNAVTREQQQQPTGTTVTDV SETVASETVDPTAVITTAVPPPLGLSTITRGLDGQQSVEAPAPPLGLSTLTVVPGVIP TPGNSAQASGTNAVLAPAVSSPVPPLGLSTITIVPAAGNAAQVSGSSAVVPPPGSSPA PPPGSSTLSVIPSAIPAPESAAEFSGSRAVFAPDASAPAPPVGFSTLTVVPGVVPVVE NAAAAQVSDTAPAPPLGLSTITTLAGPVPSAGAQGAAAQQSPVQVAGAPRMADLFDVF AADPQSDDESGSEEAPVAVAPPTDKRKKRRADGAIKNGSSPQSTQSAPTKSSRDSAPA SDEHDDKRRKKEDEKPVLTDTFETAASREVAAAESLVTTETEEGSLVLSHNIQHQVAL PPDLDYEYVPLSEHKPPAEPARTWNFKLDPFQSLAVASIERDESVLVSAHTSAGKTVV AEYAIAQCLKRNQRVIYTSPIKALSNQKYRDFDAIFGDVGLMTGDITINPTASCLVMT TEILRSMLYRGSEIMREVAWVVFDEVHYLREKTRGVVWEETIILLPDKVRYVFLSATI PNAFQFAEWIAKIHHQACHVVYTDFRPTPLQNYFFPAGHDGIYLVVDEKRNFKEQNFN KAMALVEQKKKESEERPGGGGGGGKGKNKGRKGRNEVADSKSDISKVIKMIIRKKFDP VIVFSFSKRECESLALSISNICFNNDSEKKMVQRVFSNAVMSLAEADRDLPQIANLLP LLERGIGVHHSGLLPILKETIEILFQESLVKVLFATETFSIGLNMPAKTVVFTQVTKW DGIKRRPLTPGEYVQMSGRAGRRGLDARGIVIMMIDESMEPEVAKEIVVGEQERLNSA FYLGYNMVLNLLRIEAVSPEYMLERCFFQFQNAASVPALEKELMSLQLDRDGLMIPDE STMKEYYNLRKQINSYTQDMRAVIQHPAHCWEFLQPGRLVRIYDPKFTGDEGDVLETR DFGWGIVVNSMDRRRNKIGGNDDYPPQESKMIDVLMNVPADTDTNFVFPSGDLALPKG ISPERPGEKTRFERIPCLLTCIQSISQIRLFMPKNIDSPSERANLRKALSEVQRRFPD GVPILDPLENMDITDDSFKQLLRKIEVLESRLLANPLHGSPELPKLWELYRRKLKLTD KIKETKMSIAKAHSIVQMEELKSRKRVLRRLGFINEAEVVQLKARVACEISSTEGHEL LLSELLFERFFNEMTPEMCASVLSCFVFDEKVNAPALREALAKPYAEIVAKARIIARV SQESKLDMDEEAYVEKLKWQLMELVYAWAEGRPFAEICKMADVYEGSIIRHFRRLEEL LRQMAQAGKVMGSEELTQKFEESLNKIRRDIVAAQSLYL
SAPIO_CDS0898	MENNPNFSSSPPGSARPLNICSPDRVNQQRPHSALMSTIRNELR DSSVHEKISQFNSLASHGKQLERKTADAALTRALIGREEAENEVRRTREENRLLRKAL EDGKEREKRVSERMEQVMDQYIRLKEMHQHTQAIWEKEIRRQRKEYFKAHSVGVKHAE ELKLAKESLKTAESSLVKEKERSRAREQEAFKARYQIVGVQEQLEQALERVKVVEQER DAFKTLAKNEEVARIAAEGCIPLPPADDADDEFASPKKKPRASLSVVDIVSSAASEQE IEDLSLQLEWEKKRADRAQEHVDFLLAECELRSCPCARSNRRTTLLSPRRMRPQNIEI LDAADLAILSKRAADAEMAIPELEAQARAPIQEEEQEAVVALEPKPKPKEKRRSTIFC PKEGIFRTISQEEAEALEKVEEDTYTEPPTPVEPRPDHPKYARTPSVDPPSFALLAQE RTSLLSLLNAPHEDMHDDYTDDLPSIPTMSDMSNEDNARCIVYEDENATPRAQMQIDT TDVSRPHTSAAFYTVTTTTTVPLRDDKIPDESDFQNRVRSQSRGSQSSFDASNPALTP TMTREQALAQIRERRGRARSAAQGAATPRRQMVKGVERRDMSAPMARLANKSRS
SAPIO_CDS0899	MADSSSVRQRKAPSTEKPSPKTSDTRRRVREEDDSSSWVVDALR VITFLFLASCGLSYLISGGETYFWGMKNKPNYMRLDWWKSHFQPPLELTIEELAAFDG SDPSTPIYLAINGTIYDVTPGRRIYGPGGSYHWFAGCDASRAYVTGCFADDRTADMRG VEEMFLPLEDVEVNALYTKEELKELRKQEMKAAREKVHEALKHWVDFFAKSDKYRRVG TLKREKGWLKNEPRRELCDAAKQGRVKRKTPEERAREKEEQENGGA
SAPIO_CDS0900	MQFKTLAAAALFGAASAVEVIPVLVGMSANGTAGTIFSPDKVTA PVGSMVQFQFMGGNHTVTQSTFDQPCTPISAINASAVGINTDFVPAMNAMQSGQIPVY SIMINDTRPIWLYCAQGRHCQNGMTMVINENTAANSSRSLENHRTIAAAVQQATLPSP GVGGTNGQNNNNNGGQPTDGQSAAADLRVPAALLAVVAGAAAVLL
SAPIO_CDS0901	MPRESTSRGGKASSSSTRPNATKPRANNTSDRWQKASGASRTGD VDMDNDSPGPGEDANEVKRIPDDLLTRILHDFFQREDTRMSKAANHAVGKYFEIFVQE AIARTAQESDGRFLEVEDLEKIVPQLLMDV
SAPIO_CDS0902	MSDNKILGLPPFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQD EMLKTGRLDRKYNGIVDCFKRTMADEGVMSLWRGNTANVIRYFPTQALNFAFRDKFKK MFGFKKDRDGYWWWMAGNLASGGAAGATSLLFVYSLDYARTRLANDAKNAKSGGERQF NGLVDVYRKTLASDGIAGLYRGFMPSVAGIIVYRGLYFGMYDSIKPVVLTGALANNFL ASFLLGWCVTTGAGIASYPLDTIRRRMMMTSGEAVKYKSSFDAASQIIAKEGVRSLFK GAGANILRGVAGAGVLSIYDQLQVLLFGKAFK
SAPIO_CDS0903	MAPAAGAKKQKKKWSKGKVKDKAQHAVILDKATTEKLYKDVQSY RLVTVATLVDRMKINGSLARQCLRDLEEKGLIKPVVEHSKMKIYTRAVGASD
SAPIO_CDS0904	MSDAGDIEVENVESYNILPKEVAEDLGTVKLFGRWDYDVEVRDI SLTDYISLRNPVYVSHSAGKYAAKRFRKANCPIIERLTNSLMMHGRNNGKKLMAVRIV AHAFEIIHLMTDQNPIQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAI ALLTTGAREASFRNVKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR
SAPIO_CDS0906	MLRQGLLTTLSCLAAISGVATGRPVERRSVINHDAVVGFSETVP AGTIGELYLKYKPYLKVFNGCVPFPAVEADGDTSGGLSPSGSPEGGCSSSTGQVYVRS AQYGSAFAIMYSWYMPKDSPSSGLGHRHEWENIVVWLSGASTSASVLGVAVSQHGGHD KSTSPDMSGTHPTVGYISYWPVNHQLIFTSTVGGTQPLVAWENLTDAARKALTETDFG DATVPFKDSTFGNQLASAAL
SAPIO_CDS0907	MDTSPDFSGHEVNAHNETTISRIPKILPHERVFPIQIGTELFKL SGASLSSDAPSYFSQYFLCQLKTAESNGEDPTTAIRTLYIDRDPETFRDITLHLQGYH VQPRDGTHFVRLFADAQFYNLPKLISQLYEESIFICIGHREFQIPRDIFSDPGNSPNF FSLGFGAFFSNPTDLFPGLDREGLIRPPSILPPSVPHRSADTFAEILQLLKGYPVHIR NDAHRAELLRDCRYFNFKGLEQRLIPHHISFNQTRQKKEIVIRVEDILKSGISILKEG PATPADSPVAWVNYARPFVDDQASELVLEIGGETTRLHFIASVARAEFFGEVRTRVTR LFEVIATKLNLPPTTRPLGLLMATGGANSQPASPGSTPLSGDDFVSVVIEPETAITLD GQPYSQADLDANAAAVPFAEWIVRRGQWRLRIQGSTTNPKSSLECVLLAVKLDAMTSE RGRNDARGFLNS
SAPIO_CDS0908	MVHLASIPVVNGDKPQSGGTLKIPRPRSPLYLSNHSDCYTTSVY GSRFAAEPLPKLEMPEGEMPRDVAYRLIKDELSLDGNPILNLASFVTTYMEEEAEKLM TESFSKNFIDYEEYPQSADIQNRCVSMIGRLFNAPSAPNHVGAIGTSCVGSSEAIMLA VLAMKKCWKNKRVAKGLPCDKPNIIMSSAVQVCWEKAARYFEVEEKLVYCAPDRYVID PQQAADLVDENTIGICLIMGTTYTGEYEDVKAVNEILIERNLDVPIHVDAASGGFVAP FISPELEWDFRCEKVVSINVSGHKYGLVYPGVGWVLWRSPEYLPQELVFNINYLGADQ ASFTLNFSKGASQVIGQYYQLIRLGKKGYHAIMSNLTRVADYLTESLRQSGFIIMSKP AGEGLPLVAFRFAKDENREYDEFALAHQLRARGWVVPAYTMAPHTNRMKMLRVVVRED FSKSRCDNLIADIKQSVEFLNQMDRESVKRHEAYILKHHTASGRSTHSPTIYTKEDHS LQAKTGKTHAIC
SAPIO_CDS0910	MIDHVLGRPSAKSRRLQVLAVLSFWTFYLFKGHKHGPPGAQTIS RILTKYLTAWQTIVATFMYIYAAHNFSSLVGLASPEPMSNMYDATFFRATWVLTALDA GFWTAMKIRSRRLRDLASIVFSVFYLVAAEKADEKVRKVRSMITVDHLRVAWNKGKTP YLSFFQGLMRPRFTRWPARALRIPRPAESDYKEPVSAWLYYDGPLSDLEHHNRVILDI PGGGFVAMDPRCNDDKLFCWAAKTGLPVLALDYKKAPEFPYPYAINECFDVYCTLVKT KGRCIGLSGRNVPRIVMTGDSAGGNLAAATTLMIIERSKNPLGRNLTTVELPMPDGLV LFYPSLDMNIGNWMSEEQMSLIRDRQFRKRNKSIMRRKARQYTDIALTPHHSDNEDEE PSKHASEDTSSQKSVEAGPPPSPEALRSTHPEYTHSGPLSLTTEPAKPPPSSLSSKPG EEPKSPSSSHRPELMQTRLAVSSMISYFNDRVLTPEMMRAMIILYIGPHNRPDFSSDY LLSPILAPDALLAHFPKTWFLTGERDPLVDDTVIFAGRLTKVKEAVAEQKARGLHVSK PPKFDEKDAAEVILIPGISHGFLQFPTVYPPAWKHLERSAGWIQDLFIHAQRVRRREQ QILQRQQQREAAAAAEEEEQERANYHARTESSGDEDKFLEMAMTKMRVRSPPKGNKVS NGGADDGEPARGRGKKPGRRGGHGRGSKSLVKLNSEEDLLGRRMKGLTNGLAGREDDD E
SAPIO_CDS0911	MALRAPLRSHLARRCLSQAPTTPRFVLARYQSTASVPNPDPLPG SPSAKIIAENESYMVATYSRPTPVFVRGEGSYLWDLEDRKYLDFTAGIAVNSLGHCDP EFSNIIAKQSKILVHASNLYHNPWTGSLSKLLVQKTHEASCMPTLSSVFICNSGTEAN EAAIKFARKAGKVTDPSGAKFEIVAFRNGFHGRTMGALSATCNPKYQQPFAPMVPGFR YGDLNDVDAIEGLVTDATCGVIVEPIQGEGGVNVASEEFLVALAKRCREVGAVLIYDE IQCGLSRTGKLWAHAALPEVAHPDILTTAKALGNGFPIGAVLVTKDVADKMKVGDHGT TFGGNPLACRLAHYILGRLADPALQHGVVAKSAVFKEGFEKIRAAFPELVTEIRGEGL ILGLQLTEDPNPIIKAARERGLLVISAGHNTLRFVPSLLISEEEIKEGLKILESAIVA TRA
SAPIO_CDS0912	MDPADNTPPPAPPPGDGPPEAPDREAMEKIRLRRLAKLGSSSKP ADASGSSTPQSEKSTTTPSRPASTPAAPQSSTSTPASVSGAKPPTPTPSSSSSQKRRV PPDLERAEVRMAQQRKPHTPAPVSLEDFSDRVLTDILRATVDSSKAVDHLMFLPNLSQ ELSDAGDPLKLSAANFDSAIMEAASQWPKSKSLLSYLLPCWSRAQSHRNAPPRDATEE KMEVLNEAKRLCISNCLFALTVPDLFGRESVPQNQGLMPHLLKEPTHPEGIDDEFLQE AVNRFPDDDALSNVFTQAMINISNKLSTMNMETNYQPYVRALLLYCRVPTLLNLLAQH PSFQMAQSARGIETHTILGPFFKISPVNKESMQTFFSAPRTMDRGSIGLSQDSMRQVL ALHQSDLFTITNAFVRASRDTRSRILDWFAYILNVNHKRRAMRVDPNEVSSDGFMLNV TRVLDKLCEPFMDNSFSKMDRINVDYFRQNPKLDIKDETKLNADQAKSDAFYANTLPG SPHFISEVFFLALAAHHYGLEGCVSKVKTLERDIKIYERHLASMEAERPKIGNNAVQL QLFEVTLRKHTDALELAMRVKFSLEGILRDTKMQEVSLRFMRYVAVWLLRVASQTDYT PDKTLHLPLPEKEPEAFASLPEYALQNVVENFKFAYRYLPTILPLAVGDEIIALSIAF LQCSEYIKNPYLKSSLVSLLFSGTWPAPGHRNGVLGDQLINSKFANDHLLHALIKFYI ECESTGAHTQFYDKFNIRYEIFQVIKCVWRGNSVYSRQLTHESKMNRSFFIRFVNLLL NDATYVLDEAMGKFPKIHTLEAELRAGGLSREDQQKKEEEIQQLAGAATSYMQLANET LEMMKLFTKALRDAFTMPEIVQRLASMLNYNLETLAGPKARELKVENPEKYHFRPGTL LSDFVDIYINLGSSPDFIMAVATDGRSYRPATFERASTILRAKTTKNPAEIQEWNKLR GKFVEAKLEAEQAELDLGEIPSDFEDPILGDLMKDPVILPSKMVVDRSTIIQHLLSDP TDPFTRQPLTIEQVIPDDELRGKIEAWKEERIAAAKAKAKEQLEAQAVAEAAAAEDAL AKEVEVDGDVQKEDVEKMDTSEG
SAPIO_CDS0913	MAPPRRSHSAAPRSRSRSRSRSRSRSRSHHRHRSNSPNSRRFDP TKNPQELLADFWTRFHSKKQGKVTSIFPRTLYASLLPGTHAKEALAAGDDVVPPTPGG AQPPTRNAAESYEAAARECRERVRRAVAECKRTNEKFTDPDFDIESDPMDNCLYGLYR WWEEIDWFMWAGKNAAEDNNHWPPSSAAAAAAAGLARFGRNDDEAGNRPRGRPRDPPP PKERNSGPPQRLHPPPAAHRDESPNKPRDNDEDGVRWGFMIGPGSVHRVSWIFEKPQF TVNGYSSSDIMQGRNGDCWWLAAVATIAHRKDLMDRVCVARDEECGVYGFCFFREGEW VSTIVDDNLFLTKPDFDYYRDIYDPAGKRALRHRQRYQTGSEALYFARCDDENETWLP LLEKAYAKVHCDYAAIEGGWSSEGVEDMTGGVGTTIASSKILSKDNLWKELTNSEGEF VFALSAMGTGWDSTRNGLALGHAYSILHATEQEGKDGTRVRLVKIRNPWGQRDSYGLG EWTGRWSDGSKEWTPEWLDKLQHTFGDDGVFWMSFDDMLDTFKWIHRTRLFDSSWTVV HRWTSANISWVTGYLRTKFRIEVKKSGLVVVVLTQLDDRYFVGLEGPYYFELHFVLQK VGSEKGEHVCRVRQVHKWENRSISCEVDLEAGVYEVIPKITASRHGGKETVEEMVVKY ADKNPQKVRQVGMQYDLAHAKPGVVDHDLDIEKKKKEEKEFEEKKKAREKAKKARDKA KAKKEKAKEKLKAKKLKERERRRKQKEKEKEKRKRQKERERRAKAKARRAKEREQRKK EKEAEKPEEKKAEEEAPAQAEAAAEGATVPAEQPATEVVSVSEQPKETTEAPAAADAP TETAETKEETSEQATSPKAKESDASWSEISKPEAPSPPPAITDVSDSDISESESEPES ETETEEEEDDDDDEEYEAAEEAYEDEVEAEKEREEEERKKKEEEELERNNFQLDADKP LPWNPVCVMGLRVYAKDSEVSVTLVEMKDDEEGTALTTAGEPAGATS
SAPIO_CDS0914	MAQVASLLAFCADLAARLPTSTDSAAIEALFDDVTIHLRTVKVF WQKRSQQQRPDVRETQTLHELECEAISLWNLCTRLRREVSANDAASTATNSAVGVEGE KKKKKRSDMVAVEDLMYLLRLALKAARSCIEGGGQELELALGCLQKAVDYIREVKEGK CPREYEGEFRGMETEYFVLRTVLSWKEDRLDVAEHMYRKAGDTLKSLDPRHAENLCDA LFEIGRSLLQKEEFELAAKWLERSYNVIDGQDLENLSRSGIELRLTVLQALVQALVST NKPEDLRKARDMVMYVEAEVGDNKLVVLLLRLELLMSVPDEEFDALAYADVLRRMVKS FDFTEAHFRFMMAQVRRLYERSAVLGCSVADELIVGRVLGAGKGAWVDKAVVMRVWMG TSRAAASEDVVELGDLLERVREGLEVPIQPTAAATAQSKRVEALFADEQLEMVDQWCR LAMHEVFHPAGPQNLAKVARKRILCALMRNQFENAKQLYLAMPEPIQGEPMTRYLMFK VAIRNDDRELASDCLATLSNPGLRCHEFLYACILDAQSVGSRYFAAEAMKSLVENHNF GSTSPVHLPALIRCTIRLLVLGLDPESGREVVSAIKKDPRDEKDHKLFTVQELDWFHQ NAYNIGIQHCETWEMKHTIRIFNACLSIMGHYPPDIPLENAKDLALEAMCCHFVVAAA LVSTARREDVLEEKRRLYSSMREHVALFEAELEKARKEDMNGAVYEDLKAKLATLLVF DFEGAVEVGQWDDLREVVRSGEGCGDAVAFKAMADCLLRASGVPSQALFSTMRAIINQ LWALEGLGVEKVAKYIRCLFQMVLPLQGSMAFQLADESLQLVREALTTDSRFPDEELE WLATMSWNHAVDLYSVGKDEECKKWALKAINLAHYCEDEGRLEKNLQDRFGSLKWEEN 
SAPIO_CDS0915	MSHQNAAASMGSQAVVDTAAARQHQHGKSPDEKLRQRDLDGREQ RRDSTAGSKAGDAQSKAAGSVHTERGQKKRRKVNHVNLMGNLHLCRDEPRDADSRKSK SVAGSSVMDDTERRTSVSGPTGQQQPQQQQQQARGTVPVPPAFDSAAPRPPSLDGRQR QGSGSFGDDVLGGASLHHLVQQSPHARLHGNLLGGVAGFQDTWLAAQSHLNGLPYHGN YMIAPEVTDEFNLLDNFLHTSLLDDGGQLAEALAGTSANNNPRLSQSAADMITGLRNN SAAGLAGAAGISPQQRQQAALLPPQSADQQQASGRRPPMTAGGATPAGDDRARREYYL QAADPSGNATPEERMQRLLKAKYDAGLLKPFNYIKGYSRLGAYLDRNISASSKQKILR TLGQFRPKFRERASALTDMELVIVEMWFEKQLMEYDRVFASMAVPSCLWRRTGEIFRG NKEMAELIGVPVERLRNGRLALHEILTEDSCVRYWEEFQTIAFDPHHDSLLTSCTLKI PDDRPTIIVGNFLPIDPEP
SAPIO_CDS0916	MAPIATSEVSDLGRLSALTADFDDKLTFYLNGTRVVLEDVDPEI TLLEYLRGIGLTGTKLGCGEGGCGACTVVISQYNHTTKKIYHASVNACLAPLVSVDGK HVITIEGLGTSENPHAVQQRVAIGNGSQCGFCTPGIVMSLYALVRNNPDPSGVEVEEA FDGNLCRCTGYRPILDAAHSFRGAGSPCGKATANGGSGCCMEKTPNGGGGCCKDKSLT DDGPVKSKFMRPDFIKYDRDTELIFPPHLKKYQMKPLAFGNKRKRWCRPVTLDQLLQI KAVYPAAKIIGGSTETQIEIKFKALQYPVSIYVGDIPDLRQYAFNDDHLEIGGNIALT DLEAISLEAIKYYGKERAQVFEAIHKQLKFFAGRQIRNVGTPAGNLVTASPISDLNPV LRAANAVLVAKSLGKETEIPMNSFFTGYRKTSLAPDAIITSIRIPLTREKGEYFRAYK QAKRKDDDIAIVTAALRVLVDPSGFVKECDLIYGGMAAMTVAAKAAQEYLVGKRLADL DTLEGTMSALETDFNLPFGVPGGMASYRKALALGFFYRFYHDTLSDMDSKVTGRGGKA DEEAIEELDRDISTGMVDESTAASYEQEIVGKKNPHLAALKQTTGEAQYTDDTPPLRN ELYGCYVLSKKPHARIVSIDYSPALDMPGVVDYVDKDDLPDPKANKWGAPNFDEVFFA EEYVYTAGQPIAMVLATSALKAQEAARAVKVEYEELPAILSIEEAIEKNSLYPYDRYI KKGDAEGALKNCDYVFSGTARMGGQEHFYLETQACLVVPKPEGGELEVFSSTQNANET QVFVSQVCGVQCNKVVVRVKRLGGGFGGKETRSVPLSAACALAAKKTKRPVRCMLTRE EDMVTSGQRHPFYGRWTVGVNKDYKIQALVADVYNNAGWSSDLSMAVCERAMSHSDGC YLIPNVHIRGHLCRTNTMSNTAFRGFGGPQGMFIAETYMEEIADRLGIPVEKFREINF YRRDDQTHFNQTIKDWHVPLMYKQVREESEYERRREAVAKFNKESKWRKRGLSLIPTK FGISFTALFLNQAGALVHIYHDGSILVAHGGIEMGQGLHTKMTQIAAQALGVPLDSVF ISETATNTVANASSTAASASSDMNGYAIYNACKQLNERLAPYREKLGPNATMKQLAHA AYFDRVNLSAQGFYKTPEIGYVWGENRGKMFFYFTQGVAAAEVEVDTLTGTWTCVRAD IKMDVGRSINPSIDYGQIQGAFVQGMGLFTMEESLWLGGTGPMAGNLFTRGPGTYKIP GFRDIPQEFNVSLLKGVEWEELRTIQRSRGVGEPPLFMGSAVFFAIRDALKAARAQHG VAARTVRDAGTWSKETGGEKQGIREGADDEDGLLRLRSPATPERIRLACEDPIMRRAR VVPREGEKSFFVEI
SAPIO_CDS0917	MSDKNQVSDEARKAVNDKVSNTPSGFVTIIQETGRSSQKRRLDA QRAREKAEAEKNKESLGNHKASQWLVVVQSKWPEIPAWVTEHHPERLPCSLEQRILHG SYNVGLTAVFTNGEKWFIRFPLVGHTSDEYLDLKIINEVKTLKLISEKTDIPVPEVKA WGSADHNRLGLGPFIMEQFIEGERLKPILSGSSDPGDHILSDKLDDRTFEIIYRQLAR FMLQLFALDFDQIGNLPGASSPLQPPLTMTANEINLYSGAKVMSPRTEQLSTAGEYFN HVVKQHWQQFTTQRNSVYEEERGKRNYAFHKALGSLVERHTWPEFDRGPFKLICDDFG PANMIVDNRDDLNIVGVVDLEWSYIGPAQLLATAPWWILQARPNTLAASDEHAGMYLQ YLNKFMQILEAEEEKLQPDQRKGLSSLVKRSQENGTMWYLMVLRGFYIDVTDLPCDQL IASTPDWENLAAEVAGEEAQAFVTKKLDGLRPFFDEKKMVESMEEKLKAGTLDLATVI ERLERLLK
SAPIO_CDS0918	MTYTFYNTINGENRIRANVHRGVDPRTEKELWDAPIASVEDLDE AVAAAEKALKTWSRSTIEERKEVLTNMAEKLKEHAAEIEEIVKLETGKSALMAHIEVA NSAGQCLYYAENYLEDEVVHEDDTVKIVQTHVPLGIVGAISPWNFPLILSSLKVISSL ITGNCVIVKPSPFTPYSTMKAAELWQPLLPPGVLQCLNGGADLGAAMTSHPGIAKITF TGTIATGKRVMASCAKTLKRLTLELAGNDAAIVCEDVDVAKVAGLTAAGAFFNGGQVC VATKRVYVHESIYDEFLQEYVEYVKGNILIAEDATMTTFVPMSNKAQFDIVKNIIDDC KKNNYNIVEGGNVRDKGFWIEPTVVAKPPEDSLLVQEEQFGPIIPILSYSDIDDALKR ANLANAGLGATVYSKDLKRAEDIARRLEAGSVWINGHERPHPAGYFSGLKDSGYGGEM GKQGLLSYSYTKTLHFNKD
SAPIO_CDS0919	MATTEKLKPAKRVTGQRQDVWSFINEAAAASPKQPIINMGQGFF GYNPPDFILDAAREALSRVECNQYSPTKGRPRLKKAIADAYSPFWGRKINPDTEVTIT TGANEGMLSAFMAFIEEGDEVIIFEPFFDQYISNIEMPGGKIVYVPMSPPKDGATVTS SAANWTIDFDALERAITPRTKMIVLNTPHNPVGKIYSREELERIGDLCVKNQIIILSD EVYDRLFYVPFTRIATLSPEIEKLTLTVGSAGKNFYATGWRVGWLIGPADLLQYVSAA HTRICYSSVSPLQEACAVGFEQADAHNFWAESIDEMKGKMDRFNEIFKELDLPYSEPE GGYFVLVNMSKVKLPKDYPFPPHVASRPRDFKLAWFLIQELGVAAIPPTEFYTDENAW IAEDYIRFAVCKPDEVLEQAKERLRGLKKFME
SAPIO_CDS0920	MSLIQGWMPPTRERWETLVGAWQLFYPVLGNLQWLVNWYGMGKT SVASRFNIPGRIAWFTMESPGFLTLLYIMKTLPAQHGITDLPWQNRVLAGLFVIHYSY RAVLFPYLQPSMSPIHVLVWAAAITFQICNATSLGCWLAAYGPTTEEAWLSTGPFATA RFVIGIGIFYFGLAGNFFHDEELREIRRAEQRRQDKLAKEQGKEKKENAVAKHYRIPQ AGLFKYMLYPHYLCEWIEWLGFWIAAGWGCVPARAFLVNEVATMFPRAVKGKWWYVER FGEEKVGKKWAVLPGIY
SAPIO_CDS0921	MISAGNNNQSDTTGNTPASLRPGGPGIVQDPNIWEDADLRQPQG GSSHLDAPQTFAPSSSHGSETNPFLRKMAEEKTGSSTNTSAPSGAFDRLSLNEPSNNP WDTLPSTNTGSSVVIGHGLDGTKDPWAMDDPARQPAAVSLSSQPTLTAPANDGEDLLI WADEPTRPPKQPISTPSKIDDDKVDSEKNVWDDGLKRTPADTGKAPAVPEIRTEEPSE EWDMIFNDSSARDNSTPQDLLTSSTTPLRETTSGKSVAPSPEPTTSQTQAYVPPPRPP PRTTGREVTEMYHIKSINWYDHRASRNPRTSPILVQNANGPCPLVALVNALVLTHPPE NEDTPIIQVLKSREQISISLLLDAVVDELISIPRQGSGELPDMTDLYNFLKGLDTGMN VNPRFIPSEDVAERIKATYLSHVPAYERDQCIPGVFEATKEMELYATFAIPLVHGWLP SSSELVYDSLKRRATSYEEAQHLLFLEEELNEKLENPNSSGLTPEEQVLYQDLLIIKT FLHGSATQLTPWGLEVLRKAMEPGMVAILFRNDHFSTLYKHPKTLGLLTLVTDAGYAG HQEVVWESLRNVNGDVEFYSGDFRIVSGAETGRGGQNVPGAFPGSTGEGDWPTPGASS DNQEGWTTVRGRGNGGRHDEPQRSLNLEQEDQDYAMALQLQEEEDERHRQEQEARRQQ ERRFSERVMEQQGRHRPEPFSNRSSLPAIPNRTSGLRRPSGATTTTTPSQQVVRPLVP PPGTPVGRPAVHRPGDASADDAPPSYDESANDTPFRPPVGHPSHPSSVPGGVSQQQES YAGPRRSSAAMVASSSSPRPLQPPIQRRPVPPSAIAGSSSGSTRDRDCVVM
SAPIO_CDS0922	MSATASGADTGGGAQRRCTPEQKAAVLRIRRCSPTAFYDILELE TVRTTATDSDIKKAYRRLSLLTHPDKNCHEHADEAFKMVSRAFGVLGDKEKREKYDKF GTDPDSRFASAQQQQQNPFAGFARRHPSGAAGPGGGGFPGGGGGWQEEMTPEEMFQRF FGGGGFGGGPFGAFDTGPQFVFNFGGGPGIRVHQFGGARPRRRPREANDQDDGGSMLQ TLLGLLPILFFFVIPLISSLISGNSAATKQPAGPRMVFDNPMPPYTQKRQTPNFKVNY FVNPADIDSYSNSKLSQLDKTAESNLLRVLRTECDTELRTKQRLVDEANGWLFQDEEK MERAKAYEMPGSLRNTTRILAAPLIYQQQAAKRATTTGSFHAA
SAPIO_CDS0923	MASKEPTEVQQTPQEKITSTLQNWGSLATLVVALHGRPLQPLPL LFAPVLLFSSYVNLAGFPTDSAGITAAWSGLYVLLALRRRQSLRNKFSLRGVVRGCAI GLGTMNFASGAWVYAHGDRKADKEERIRRNRWGEN
SAPIO_CDS0924	MEVAVETTMSNHTLDQNELRSRRSSKIGDVDTPVELKKLDAHFD NKTTAAHPTANTSHVSEQFGSDEVSVASDEAALGSDDWDYLKKAESSATPSLSESTTP VPEVEGQLANFGIVSTGIYRSAWPAPDSYGFIKDLKLKTVVTLVQREVEDTTYTTFLR TNGIKQYVIDMKGTKKQAIPAEMMMNVLRLVLDESNHPVLVHCNHGRHRTGCVVGVIR RLYGWDTPTILAEYHLYADPKPRGADIAYLTDTEPTSFFKEPTSDHLPRPKTFFRTLI FAFLGTLIWLLTGYEFGNAMGRTS
SAPIO_CDS0925	MAVEDSGYFAGGPGSLYARSYRVSCEDPHSSLQCWAWNGEITDP PVATTTASQSHAYAFQRSGTPQSPESAQDIFCAKCLKNQHLYTASLAQYLPDDPDHPD YAALEKKYYKFRQDLEDRYPQMCADCEPKVLAKLNAAGYIAKTDFLRTAMERSRANRT SPRRRTMMFLTDSLGRWLWYGAFACQLLWHLSATADLLTSDLPAEAQGRYLVRAWNFT SRFLPSTESLIRLSLQMTVLGIWWNPKFPEIVRGFSRPILGLPKWYAFQAILAVARYL FPKIVQLDVERAEQATAQLAIHAFVAGLISYVYVLARKSVRLDTTPLFASAEMTTLRP KITRTRANKEKSSTTTQNLSDVLDDILNTPSRVTGKITGLDSPSSNTELPPSPQLSPF SPRTPPRKPTQPTYSEEMDWTPTSLPAPALRGPGITKAQPFGAPPSQADKPQRPFWAK VPPAPKAPAQRLVNPAPPATQTIKQDREIFTARFGGSSMFGSKKKSSSSGNYVNFAPP SFFSYENASADPRSSLADMLSSSFTLSQEEEDAAKAGSSPNSASRKSGGKGAPASGDS PPRRGSRGTDAAFLVVLIGLWLFAAQNPNEHSMQIMRASVGMGALLTLLLSKDAILRL SGGVSFGNIVGLLLCIAEIGAVGHLSLKLWTSSVLCAGCFEEGLWTIVVMLGHRLWDA LA
SAPIO_CDS0926	MGRQIRPAKVYQMVTAELQSRVMPKYQVQEPPWYPVLYNIPPAE TITRPAAVQLRTPNKKQRRPKNVYRPQRIEFPEDRLRQAFYKDHPWELARPRIILELD GKDSRLLDWSKGVRQPGMALSGECVVQRQLWLMDSGMSKEEAYDKARKEFYELRQEEE IEKRIAKEEAQYVGAYFGKTRLEIGHELEGKEFERWKTWAAAEAERFRALRAGPEAAP TAEVQSETGESGPILDAAEDL
SAPIO_CDS0928	MYANASRVVARRAFSTTRARLSSPYHYPEGPRGNLPFNPKSKSF PFTFWGICAVGFAAPFGIAVWQTYKPKA
SAPIO_CDS0930	MPPSTALRLTSGRLLLRARPSPSLRQTPVALLSASHTSGITRSP SPILRRSFSHRAPALSPANKTPPPPSLTYQIVELSENKYHELSDEYLDRICAKYEDLQ EEREDVDVEFAPPNKQIWLSSPISGPKRYDYVYVEDKKQGTKVANWIYLRDLSSLHDL LVEETGVDLDAPVAHFGE
SAPIO_CDS0931	MANGVQEHKSQNDIEVTADVSPGLPSQELESLLNLNAHDEEHGK PEKSGNRAAQWFSQACRLVSEARGMISEELVNFLKANLGDTKLYLVVGKAGTGKSTIL SELTGLDLNIGHTMKSGTLRFEVCPGIIDGEQYLFVDTAGFGAADVDDLENFKDIMSC LFSLGFLVTLMGVIFVYGGTSERFKREDVKTLRWLQCFCGPEFMPNVTIAFTKWDSYS ADDFEINWLKVPGLLDEPVIATILKHGGSLYHHGIPGGQGSHHLAEMPERTLSNRRHK VERRTELQKLIRRRNTGKKPPKLQVLREAKTLAAWKQTEAAKVLMADVNETEVVIRGN RAVVVPKRDLVEPAIVGGPLPPGEGSETDKKKGDSPKKSEETAKKSDSETPAARSEKN KTRNQAPKPEEQTGWGTRIIGWLEVAREVAKFFKEARSAAAAGRGEEVPKWSIFGTLK KWFFA
SAPIO_CDS0932	MLRTLVRRSAAAAERPPLTIHNNPYQTKKVWPPDYKQLSWQEQL RFEKKYKRRVILASRRPKWDKGVKLVQLATTVGVLSWLFFFAELEFHGKKYVPNEEIR KGVGTLWGIFDEANRYGDASSANLPELVNQRSDKTR
SAPIO_CDS0933	MKTIYPHIQQRLISEIFTLVSNRPVGSCNFLPLPPLLAASGTSQ TSSEEQNDVPSLVTYRNYATLFFIIISTSTESPLALIDLIQVYVEALDRLFENVCELD LIFNFETLHSTLSEMIVGGVVVETNLDRIVAGVRAQGTVAKRPVNESRSTGLGAGLGM GGNFSWATR
SAPIO_CDS0934	MEGQSSKVKELKGTEKRDALTAWERECQAKWEQDGVFEANPPSI DDIPLDSISAADLREKYPRWHGNIAYPYMNGALHAGHSFSISKVEFQAGVARLQGKNA MFPMGFHCTGMPIKACADKLTKEIEMFGRDFEGYKEEFEEPEAPKAAPAAKEDPTKFK AQKSKVAAKSVKAKYQFQIMKAIGIPTEEIHHFADAHHWITFFSPLAERDLKSFGARI DWRRSFVTTDINPYYDQFVRWHMKRLKEAGKMKFGKRYTIYCIKDGQPCMDHDRSQGE ALNPQEYTALKLKVLEWSPKAAEIIKGKLPEGANVFLVPATLRPETMYGQTCCFVGPK LQYGVYQASDNEYFVITERAARNMAYQGIFQENGVIKKVADLEGSDMIGTLINAPLSC HTEGVRVLPMETVLASKGTGVVTSVPSDSPDDYATVTDLAKKPEYYGIEKEWAEKEII PIIDTPTYGDKCAEYLVKQLKISSPKDSVQLAKAKELAYKEGFYQGVMKVGDFKGEKV EVAKPKVRQQLIDNNEAFAYSEPEGLVISRSGDECIVALMDQWFIEYGEESWKKVALD YVENGLNTYSAETKHAFEGVLNWLKDWAVSRNYGLGSRLPWDENVLVESLSDSTIYMA YYTICHLLHTDIYGRVKGAANVEASQMVDQVWDYVFARRDFDESIVEVSKIPKKTLQL LRRSFEYFYPIDVRVSGRDLIPNHLTFCIYNHIAMFSREYWPRSIRANGHLLLNGEKM SKSTGTFLTLHDLVAKYGADGARIALADAGDSISDANFEEDVADNSILRMYTLREWCE EVTRDKANLRTGELNSYQDRFFENEMNAAATEAISQYNQTNYKLALKAALYDLSAARD FYREACIAGGIALHADLVDKWIRLLAILLSPITPHFSEYIWLKVLKEKDTIHRARFPT VPAVDPVLTAAREYIKDTASNVNSAESAQLKKKAKGKATTFDPKKPKKLTILVSTTFP AWQEKYIELLREMWDPATKTVDDKALNGKIGKMGEMKKAMPFVQALKKRLVSGESVHV VLDRKLAFDETAILKQMVPGLKRSANLKEVDILVLKDEGKKAVDLDGKEVPLTIPAEN AVPGSPVFHFENVEEGAGEKTLEIR
SAPIO_CDS0935	MSDDEEISVYDEIEIEDMTFDADRQIYHYPCPCGDRFEISLYDL RDESDIAVCPSCSLMIRVIYALEDLPPEPSTVDSTTATAVAA
SAPIO_CDS0936	MAVQSKLSGHARTIRGLITTLTKLYLANRSRISKAVWITLFVAL VNRVRNAISEQKAASQREASQRTARRGTVSISDDAGEAAAEGAHGGKKRKKVELNREF FRSLLRLLRIVVPGWRSKEARLLISHSFFLVVRTLISVKVAAMDGAIVKSLVKGNGRE FLMRIVWWMLIAVPATFTNSMLSYHQAELSLKYRARLTQFIHDKYLSQLTFYGLSALD DRIKNPDQLIAVDVAKFSNSLAELYSNLAKPLLDMTIYTYSLSRSVGGEGVVFMSLLV QLSANVMRALTPPFGKYVADEARLEGEFRFQHSRLIDYSEEVALYAGHEAEKDTLDKG YFTLIKHVNYILRRRFYHGFMEDFVIKYFWGALGLILCSMPVFIKLPGQVAMNMGDRT ESFVTNRRMLLSASDAFGRIMFSYREIMELAGYTSRVASLLEVMDDIQAGHFEKKLVS SSGTENNEAVLKGRGTVVESKDIRFIDVPIISPNGDVLVKALSFSLKQGDHLLVVGPN GCGKSSLFRILGGLWPVYGGTVYKPPFTDIFYIPQRPYLSRGSLRQQIIYPDSLRAMR AKGVTDADLYKILASLGLEHLVGLYEEGWDAEAEWRDVLSGGLQQRVAMARLFYHKPR YAILDECTSSVTLEIEKAMYDGAKALNITLMTVSHRRSLWKYHSWILQFDGQGNYVFT KLDADRRLKLEDEKEELEVLLRQVPELERRMEELTSA
SAPIO_CDS0937	MASPSVKAKAPPPPLTITRVDSADASISIVKAGEANVTASANKG KGPSTTRPVSAPEPSPATTVSTTGSAYKAPNKSIWDVDPLMARTETPLTGDFSSAMPP FPNTSERTKTGESSTAVATTTRASSIIVEGQDLEDQSATSETSSMVPPSLIFSDDTHR SREDGVLEGTTVVGDDDHSAPMTEGGPRRIFNEQGQRMNPFRVEFRPPGGNRVLGLRD DTSDQFLTNSDARARGSDNVPSGNAPENPFRIRRDVRGGALGDPSPSRDGMVWARTDV RGRDADRMPSGDGTENPFRVPLVRTPKSEFARALADLDTRADLEAMSIGRTMPKVRAE VDPEEYSFGQRYTKPVNGSVIISNSRTIAPERSDEQECIVCAEVKGPDQFPNTSITTT CKHAPTTCLECVQTAIRIDLNNKLWTEINCPECGEHLEYVDIQKYADERTFSRYEELA LRSAMSEATNFIWCTSGCGSGQIHESSTHHPIVTCLHCGHRSCFQHNVTWHENLTCEE YDRLLADPENFKSRLESDAPLDVRRRRQIDADRAVAQGLVAGEQAASRMRRMMRDGRE REREQRKRAEKAVEVARRVAARRKREEERSKVTIRNTTKPCPGCGWAIEKNRGCSHMK CIKCAYEFCWGCAVPWRKGHSYKCKGLEEEDEA
SAPIO_CDS0938	MPSAITKNIIVGVDFGTTFSGLAWAETPHRARPTDPERISTLTA WPTGDGLGLGRGITSAKVPTRLRYEGSQTQWGFNIPLDAPQHEILEWFKLDLDPNLPT IGQPTGAEITGGRSADVLVKDYLTSLLNHLASTLGLQTLSTSRFSFVVTVPAIWSEEA KTAITTAFRSVLSSPPWPSSSSTSSGPTSAIHIISEPEAAALASLSNNKKPPTLKKND TVVVVDAGGGTVDAISYTIANLHPLELVEAAPGCGAICGSAFVNMRFGKYAKLKFGEH PGFTDGVFAEAMDYFERVAKRGLEVNTPPATTYPVPMPLGDDEEARRLGVVDGVYSLG VSELKTLFEPVVLETIKLVKEQVAATKSGPVSAVLLVGGFAASTYLFERVKIALGDGV EVLRPEDGWSAVARGAVMRGLEIAKKEQLGGDEEEEEEEEEGVRARVSYGVESEVVFD EKMHGDVRERRYWCAREGRYKIRVMNWFLKRGQLLPESPQIIPFTTSLPYSLIRPPIL STTIYVDVRSSSPPPLLRDAKTRRLCCLAAPLASSPPPPAELPRRIGLDGKWYYSNVA FSLEVSAREDMTAFSLMYKGKKYQTATLEKK
SAPIO_CDS0939	MSPEADLASPPAGGVVGSDSATTAAAPHVRFDVDPQLVGDKTDP MNHDADPEELPEIQCAKPEPAQLPSSPKPNDAIKIDVEDSELSDLDDDVLDVPMSDAP PAENALPPPPVDDIGEIVPDHWSGTVPVFKPTMHQFKDFKLFMTKVDSYGMKYGIIKI IPPQEWKDAQPRLDELIKQIRVRDPIKQEIMGSNGAYRQVNFIHGRTYTLRQWRELCE QSEHQPPARRGERRMNRERPKAAPRPKPAPKEKEDNTGSSTPKKRGPGRPPKRKKGAA NKQKATQKETPIEDRPMTPVSDKENVAGSEIPKEAPPTPVKTEGPLEGANADTAMCSI ETEEDHQSTPIRRTSRGDQKNKSKIQSTSARRKYSRREGSVMIDEEHFKDFDYWMDVS EFTPERCEELEKIYWKTLTYAPPLYGADLMGTLFDEKTDIWNLNKLPNLLDVMGTKVP GVNTAYLYLGMWKATFAWHLEDVDLYSINYLHFGAPKQWYSISQADARRFEAAMKSLW PTEAKSCNQFLRHKSFLISPSHLLKDFNITVNKCVSYPGEFVVTYPYGYHSGYNLGYN CAEAVNFALDSWLPMGKIAKKCECALAQDSVWVDVYEIERKLRGESTEYEETEDEYGE ESDGEDPSTLPTPPDTTSPVGKAPGRKRKRAADGKVREPKPKKIRLRPKSTKEEPPCC LCPNNIPGAETLPTEDGQKAHRMCALYLPEPYIETVDGTETVMNIAGIVTKERKDLRC LFCRSKRGGCVKCSHNMCTRSYHATCAAAAGVFVEEGEVPVFGEDGTEYKEEAFEFSC RFHRTKRDRRLDGDQLEKDERVRKAAKALKAGDICQIQYFKGDIFAGVVVENRQEEEM LLLDVIPKGDRVESEWKWLLLPDPGDYRLPKASANALPLPASQKAKKELNAKRAADET PRKDAPFAPGYTWAEFSSHDPVNPVQAKVYISKMDTLWHYLGENSTDAKAQYTDDLTR RQHNPKSNFLDTIPKPPKPPRPAPAANMVGQAGGASVPRPYTYKPRPPSGAAMHSGVA PNTHPRGPTATSSGGVGLGSVLPFGTDPRFRVSGYQPQHVFSAHQAPQLASAPNHVAA GGAYSSPATSQPPQHIYRPQYQTPSAQPPNPYYGNHGGVPPSPGGGRGYFQISQWFPP GYDPGREAHLQLQRAQAARLLAQQYSNPPVMKSNTATPNARPAASSLPSTPTSVGGKP GSAAQAKPPKPGTAQAKVKAPEKQPVAHAVPAKQTPVPLPAKYLAAIGASQSPRQGGQ GTTATPTPPPPKQPNPAAQPVQPGPAASAMPSVPEMNASQRKPHVQQQQQVEPQTQAA APLVSTTPVPLPPIPSISRPSFSASPAVGSSGDPKVALPPVPAGAFGIPSLPAEPPAS SNLPPGPAPTQEVKCNSGTSPELADVPADSSSFVEKMMVNLHSASRKGSGESSA
SAPIO_CDS0940	MTLAVPVPHSAPGALDKIATIEDVAAASKKDGDVRTAPDAGLRS LDHYKRALPTWRYAVRQFLLPIIRWETPYLAYFQGAVRTPLLDSYFAITANLGTHTFY MVGLPLLFWCGYSSYGKALVHVLASGVFFTGFIKDMLSLPRPLSPPLHRITMTGYAAL EYGFPSTHSANAVSVAAYALLLLRDPENTLSEGTKTGLEYLAYFYAFSIVIGRLYCGM HGFLDVIFGSILGLFIGVVEFYYGPELDLYMYNSSWFAPLIATLIIIALVRIHPEPAD DCPCFDDSVAFAGVVIGLECGTWTYGRTAWDPFIGHAHGLQSMDVTKLSWYILLARLV IGVAIIFVWREVTKPALLKFLPHIFRVITRAGWILPRRFFKPASQYQTVSPKLRLDNV FPAVSDLPRVVESMRHPNSRGRSVSVGPQSAADAYETLAYRERRRRESIGSNGSLRSK SSLTDLKEKSGGHEEVNKVHETRQSLLKQYESMMGEGEVLVSRTGENGKVDVYAARGD GLDETEMFSRLVRPRVRYDVEVVTKLVVYAGIGWLSTSIIPVILELIGLGESHLR
SAPIO_CDS0941	MASVRAAASLASKPFRPTVSLHGRHCLITGGSGALGASIAADMA RAGARVTLLGRNEDKLRAALQAVVSSASENLEADSAGNRKSVDQTATGESSHRYLVVG REGGEVKDIIAAERKIDVLVNAAGIPQSSLLFKTDYDEIERLLESNLSLSIKACKAAT RPLMRAAPDSCIINMASVLGTHGGRGSSVYAASKAGIIGKFREDLEINTPQPLGPLGI RVNCLVPGYIQAGMTESVGNIPIKPEQIPLGRYGHPSEVSHAALFLATNRYANNCILN IDGGLSVGLV
SAPIO_CDS0942	MAVTRDTYNQQTLGANLNDKLNQSKILMVGAGGIGCELLKNLVL SGFRDVHIVDLDTIDLSNLNRQFLFRHEHIKKSKSLVAKEVAQKFNPDAQIIAYHANI KDSQFGVEWFRGFNLVFNALDNLDARRHVNKMCLAADVPLIESGTTGFNGQVQVIKKG VTACYDCSPKDTPKSFPVCTIRSTPSQPIHCIVWGKSYLLNEIFGTSEDESAFDHSVD ADNAQEIEELRRESAALRKIRNSVGTPEFPQMLFDKVFYTDIERLRSMEDMWKSRKAP DAVKHDDLLSKASEALAAKDSLLHDGQRVWTLEESLVVFRDSLDRLSKRVLEMKKNKK PEDPEPIIAFDKDDVDTLDFVAASANIRSTLFGIDRKSRFDIKQMAGNIIPAIATTNA IVAGLCVLQAFKVLKGEYDQVKEVFLTPFASARLLASDRSRAPNPNCPVCGTYQTSAY VDMTRATLNDLVEDFVRLGLGYGDKEFIVSNEVGILYDVDETENLNKKLTELGIHKDS FLTIVDEDDDDPFVNVLVNIQDLKEPDEKPIKGIATDQAPSIPRKPKAEPPPEAVITN GKSGANGVHATELDAAKSLKRARSDDDDDVLEVKKAKTSQVDVPDDDVVIIEDEGAIL IDD
SAPIO_CDS0943	MSDDEADPELLELLRQHIQGKLKVDDEPDTGVLEGAEYVYDNSI DVAIDMRASKAAAVTIYNLMKQKGYSTSTWSEHELHPKTKDESTLAFIFTMDLLNFSF WSELPAEERFAVEYRGQTWTGYWSLVASLQRALEEGIPITSSDFWQSEDECTLEVLKH VFRSTTEEEMPMIEERLACLREAGKVLYENYGCSLEELIWSANGSAAALVNILARDFS SFRDEFTFEGRRRPVRFLKRAQIFVADVWACFDGQDYGDFYDIDKITMFADYRVPQIL NAMGCLYYSPSLVSSIKQGKLIGSGTYWELQIRGCSIWCVELIRREILRNHPDAQVNA ILIDFFLYDTIKEREEKGEEGLLTHHRTRSIWY
SAPIO_CDS0944	MAVAAAHQLRMAPGLASMLLPRVSAPIILASSRWATVYSHEVTH PLLPSLSMGIPGIQLSLPSLLGDIWESILRAVPKKKTSHSKKRHRQMAGKALKDVNSL CRCPGCGQTKRMHRLCPHCMESVDDIDGSKQYLTVHTVDNAHLTDIFALAATPKAILS ASGSSSINVHETTTPTFSLTQTISAAHPLGCHHLCTSRNGKVAASAGFGGEIKVWSLD PDSSEWTTHSEIPKGAVKDASIWAIALNENGQYLATTTRDGKVIVWDVFDKNSPKIIR EYETGSGNSGSFGMCVDLSGNGKYTASGHQNGTVYVFNNDTGRLVYSLTGLANAIRTV AFSPGSTRLAAAGDSAVIALYDMQHGEHVGNLTGHSAWVTSVDWNDSGEFLLSGAMDG KAKVWSVERKVCVATHSETDKPLWSVKWLPKTGRITRRSTGGSRARPGPEKGQSTISF ASRVTKAVPKDVKKAALAEPTTPKKAEVRTPSKEEEEEEEVEETPVEEGEEETPKEGE HVVEQVSAAPEPAEAESDEFEALAEKMTDAEIKKYWSGIMSARLAMPVHQEDLTVHEK ILRYFDVSSQYGPSIGIDRTKRWKRAERLGLNPPIEVLAVLMKEHKKHPKANTEVSSM DEIMSHIAAGA
SAPIO_CDS0945	MASTTIYPKSHVGFDSITSQIERKLLKRGFQFNVICVGQTGLGK STLINTMFASHLIDSKGRMLPDEPVRTTTEIHSVSHIIEENGVRLRLNIVDTPGYGDL VNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHSLKPIDI VVLKKLSDVVNVVPVIAKSDSLTLEERQEFKERIKEEFAFHNLKMYPYDNEEFDDEER AMNAQIKSLIPFAVVGSEKSIIVNGKQVRGRQNRWGVINVEDENHCEFVYLRNFLLRT HLQDLIETTSQIHYETFRAKQLLALKENSAHSAGSRPISPAADRELSRNSQRMTMNGY 
SAPIO_CDS0946	MPLRSSRLFFTALSRAGLQTIPKGLTRPFSNMSKLPTDTRPIVV SGPSGVGKGTLLQLLFSRHPDVFAFSVSHTTRDPRSGEVHGVHYHFVPMKDFEDLIDA NGFVEHAKFSGNRYGTSKMTIEEQSAKGKVVVLDIEMEGVKQIKNSDIPARYVFVAPP SEEILEKRLRGRGTETEESVQKRLTQAKRELEYSKTPGVHDIIIVNDDLETAYKQLDD FIYKSA
SAPIO_CDS0947	MHLPSTLGFLAAIAAPLAAAVDPLEVQGRYFVNPKSGNRFQIIG IDYQPGGAAGYDPSKGKDPLSDKDHCLRDAALMQVLGVNTIRVYNLDPNLNHDDCASI FNAAGMYMIIDVNSPLPGEALTSYNPWESYHEGYLNRTFAIVEAFKSYPNTLLFFSGN EVIDRPESAEFGPRYIRAVTRDLKNYIKKHSQRYIPVGYSAADVRDILWDSWNYFTCR IKGEKDDESRADVFGLNSYSWCGDATFESSTFKDLVDGFKDTSVPIFFSEFGCNEVTP RLFTEIAAIYGDKMTGVFSGGMVYEYTQEENNYGLVELDSDGNAKLLQDYNTLAKQYA ALDFDALYSQKPGSDTPDPVTCDAKLITQKGFDKNFTIPEMPHDNSAKILSAGVKPAP SGKIVPVTDLATPHKVVDVDGKELSGVAIKAVADNGWNRPGVNTAFEIGAAAAGGNST SKEDAGAFLRPTVLALALPLFAYLV
SAPIO_CDS0948	MSAKSILEADGKAILNYHLTRAPVIKPSPLPPPSKHNPPPRLAT LNFPEDADVEGVLDQAEVTYPWLLQPGARFVAKPDMLIKRRGKSGLLALNKTWAEARA WVAERAGKEQKVEHVSGILRNFLVEPFVKHSQDQEYYICINSVRDGDWILFTHEGGVD VGDVDEKAEKLLIPVDLSQYPSNREIAEALLSNVPEGVHKVLVDFITRLYAVYVDCQF TYLEINPLVVIPNAEGTSAAVHFLDLAAKLDQTADFECGVKWAIARSPAALGLTNVAP STGDKVNIDAGPPMEFPAPFGRELSKEEAYIAELDAKTGASLKLTVLNPNGRIWTLVA GGGASVVYADAIASAGFADELANYGEYSGAPTESQTYHYARTVLDLMLRAPLSDKGKV LFIGGGIANFTNVASTFKGLIRALRDFAPQLIEHNVQIWVRRAGPNYQEGLKNLKAAT QELRLNARVFGPEMHVSGIVPLALVPGRWEENKIPEFQA
SAPIO_CDS0949	MTTASTPNGANGKQATLSANDNIRRFAAPSRPLSPLPSHALFHN KTRCFVYGLQPRAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVY QEVSKAMAKHPEVDVVVNFASSRSVYSSTMELMEYPQIKTIAIIAEGVPERRAREIAH VAQQKGVTIIGPATVGGIKPGCFKIGNTGGMMDNIVASKLYRRGSVGYVSKSGGMSNE LNNIISQNTDGVYEGVAIGGDRYPGTTFIDHMLRYQADPDCKILLLLGEVGGVEEYKV IDAVEQGIITKPIVAWAIGTCASMFKTEVQFGHAGAFANSQLETAATKNKMMKEAGFY VPKTFEDLPDLLKSVYDKLVKDKVIIPQPEPAVPKIPIDYSWAQELGLVRKPAAFIST ISDDRGQELLYAGMPISDVFREDIGIGGVMSLLWFRRRLPDYASKFLEMVLMLTADHG PAVSGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGLSPREF VDSMRKQNKLIPGIGHRVKSRNNPDLRVELVKEYVKAKFPSHKMLEYALAVETVTTSK KDNLILNVDGCIAVCFVDLLRNCGAFSAEEAEDYLRMGVLNGLFVLGRSIGLIAHYLD QKRLRTGLYRHPWDDITYLLPNLKSGPPGNEGRVEVQM
SAPIO_CDS0951	MAPSKQPPQPFAKDEKVLCFHHEMLYEAKILDVAPTDSGDGFQY KIHYKGWKSTWDDWVPVDRIRKLNPENVELANQLTAQMKNLTQKSTKQVKKGGAKAVA AAESARGSEERGGAGTTTLGGRGPRRARDYELEHEEAFHSRPSIKLPIPDHIKAILVD DWENITRNNQLVPVPHPHPVNQIIDDYLAYERPHREEGSALADILEETFAGLREYFER SLPRILLYRFERVQYHETREMMQKTKDAEAKTVCDVYGAEHLCRLLVSLEELVAQTNM DHQSVSRLRDELVKFTTWLGKNASKYFVPEYETPSQEYIEKTKF
SAPIO_CDS0952	MSSPKSKQTSPASSPRAPNDDQQNEGLDITHHYLLLLFDNKLFH APIGDNPQRVLDLGTGTGLWAIDFADQFPSAQVIGTDISPIQPAWVPPNCEFYIDDAQ LEWTWAPDYFDYIHIRDLYGSISDWSALYKRVYRHLKPGGWFEDVELDIRVHSDVVTD PEHIFYRWNRVFQDAGEKMGKTFKIAIGSRMSDLMNEAGFVDVTERRFRLPMSPWCLD PKLKEVGQFVQMFIDGGIEGFSLYLLTQVVGWKYEECQVFIAKMRQALKNKKLNPYYE LTLVYGRKPEDATAAA
SAPIO_CDS0954	MSTPTESQILTNYLLLPADLRTIITPNQFAALFPRPHQSSPNIQ TLYRDLEHQRSTAVDAVRKNIAVETKRGYRLRDEVAKARREEEQGEEDDEIEIERALF GSSTDAKNTKHTLSSIIPELDGAVEDLEAEIKALEDEEKTIIASLKKTVGTMSDLRYG ELDNKELPQDTLEALKALTARCNDME
SAPIO_CDS0955	MRISSTILLAMPLAASAADSLFDQYKAQFQNILGNWGYSSGGSA DSAAGAGSDAAPEAKAEVVDDFADATFAAEGEEPRVSGSTLSVLTINNWKDTLFAPVQ EGATTPEEWWVLITGRNKTCGGRHCVRAEAAFNETADHFATLPEAPHMGLINCDNEPV LCNAISCGPGSLWILDVLPPPLNVDINIKRLNLTTVEAKDIIGYLPREGRKEAGFRPF ISYFHPFDGPLAKYGVLIPLGYVAWVFSFIPNWLFMFAVSAISRTMMTNRMRNTEERR AAQRAAAAAGAAPAAGAGQ
SAPIO_CDS0956	MPPRTKGLKRKRAAPPTATPPNDASDPLQPSPHQPDTDEDEISG NPPVDELNEDAAEERPRKRGLPAKVVASTFSPAQKPKRKRGRPSKDAREAEGTGDDHD ELEGDPAPEKKRRRGGRPSLGEVPIEEAQNKTIEEASEASRGKKEKKKQGRPSLSKQV EEETQTEAPRTQEPKKRGRPSLSRRTEEGTPAEASRAQEPRRRGRPSLPKRTEGEDEN EYEEPQLDQPQKRGRPSRSKQAQDEVRPAKEPRKRGRPSLSKEAPADDIPPADEPKKR GRPRRSDVNEATPTQQPPDTAAPTDNEPPKRRGRKSTTSAQQQQEADTQPSPVSPSPE RKAPRPKRKPYLYIAPKQREIPVSVISSKWSPLNPSSVELARQTLQLAERPVLQTYAP GPRRDAATAAIRIATKRVVNSISLGLPFPPASRYSVQLATRKKKSYNGKNKNKRKSAA ADDGDGPDGREVELDLEAVISETEALEKRLEPLLHSIEILRAEEKRMDAVIERDTAEL STLSQNSRRELNLWRESLRKSAHPLLPERWDPDADGREKLVLARDGEGPLGEWVFANF PDSEVTALAAQLNNHLSSIRENIRSVDKLDGSIDESWGALRRALAGLLPPERYEAVLH GDGREK
SAPIO_CDS0958	MVQSAILGFPRMGVNRDLKKATEAYWGGKISQADLLAEAKRLRL AHWQIQKDAGVDVIPSNDFALYDQVLSHIQDFGAVPERYTQNNLDVVDQYFAMGRGHQ KDGIDVPSLEMVKWFDSNYHYVKPTFQDNQTFKLVDAPKAVVEFKEAKDAGISTRPVL VGPVTFLHLGKADRDQSIEPIDLLEKLLPIYEQLLVQLKEAGAETVQIDEPVLVFDLP EKAKAAFKTAYEKFAGLGDKIPKLVLATYFGDIVHNLDVVPKNVYALHIDLVRNPEQL ETVIGSLDANTVLSLGLVDGRNIWKTNLKRAIETAESAIQKLGKDRVIISTSSSLLHT PHTLASEKKLDPEIADWFSFASEKAVEVVVIAKAITEGPAAVREQLEANAKSMHSRAT STRTNDPKVKERQANITPEDYKRKSEFPIRIDQQQKKLNLPLFPTTTIGSFPQTKEIR LQRSKFTKKEIDEQQYDKFIEEEIRNNVKIQEELDLDVFVHGEPERNDMVQFFGERLS GYAFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKFAVSISKKPMKGMLTGPVT CLRWSFPRDDVHQSVQAEQLALALRDEVVDLEKAGVDVIQVDEPALREGLPLRSGKER DAYLDWAVKAFRLSTSGVEDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAK LLRVFVDSAYPRHIGPGVYDIHSPRVPSEEEIKHRIEEMLQYLKPEQLWIDPDCGLKT RHWEETKAALANMVNAAKFFRAKYAK
SAPIO_CDS0959	MKDPSMFLKARRVCVLLLGVTYLRPFAFGQVFVGGGNSTDPPSI EAPEGLSEACSATYNEAVSCNIALSPMGRGDVVLDQRFLEFLCVDECLSSLEKLREKQ LSSCSNSTDITKIGGVEYPPTFTVDMLIYTYNYTCLRDPETDLFCFPFVETWNTDTAA AGDQLC
SAPIO_CDS0961	MMQRRMLTKEDEQAAGDEVQVRREDQDKINKFSRLSQREQVVEE ELKTKAKEKEELDDVSTELELADEDETVPYKVGDAFFHVKLEQAQEMLENATSKIDED VSKLEDELSSIRDQMTQLKVELYARFGKSINLEK
SAPIO_CDS0962	MAPSQKSYPRGTVKKIVKAHSNLNISKNADVLIFLDYVLFMQTL VKEAAIESKQAGERGITPWSVKKATPDALAKFKG
SAPIO_CDS0963	MAAPAVQSAQSGAKASKKKAKLADRTASPAPPVSPAPEKETAED QQESSYIRELQKNIRNVNKKIANASKTDALLKEHAGKSLDELVADRVINADQKAQILK KPALQTQLSQLEEQLAQYKKVDADYRSRTEAEIERIQTSLTEKFEKEKADAIREIEEK AEADLKRSLHDNFLILSQFLRLAAARRAEEADPTLDENLALEGLLLAVYGGDESAVSA MLKLAEGTDDLTQSTAGEQLQSTYAHVKSISQAYLAQYEVTQEEPEATPAVEAEPADA AAASATETEQVEAAPAEAVNGDAAAAADTNGAAPAAEEAAAAPESAADLSTSQEWVSV PRPAEPESSTEPSPVAPTNGQSWADDHPETPADAPAAATPADPNDGFHQVQRHRGRHD REGGGWRGRGRGDWRGRGHRGDGRGRRGGSRGGSGGMGHRGPRRTEES
SAPIO_CDS0966	MSKQKSGIDPRSWDAVNIPDWMKSYASSMGYRKMTAVQASCLPQ FLERGADVVVEAVTGSGKTLAFLMPTVKKVLGIEDLKRHHVAAIVVSPTRELATQIHS VLLSMLEFHEPSAQLLPYLQEEEKRPDAASPVVVPQLLVGGTTTPAQDLAFFMRHSPN LLVATPGRLVELLASPYVHCKGAAGFEVLILDEADRLLDLGFKQDLQRILGHLPKQRR TGLFSASVSDAVSEIIRVGLRNPVKITVRVKSLKDGGVIEDRKVPASLQMSYLTGPAS HKLPLLCQLLEKLQQRPQRSMIFLSTCAAVDYFQHVLPMLLPEGFSLLCLHGKHPAKV REKNFNRFLTCVSPTILLTTDLASRGLDFPSVDLVVQIDPPSDTKAFIHRCGRAGRAG RKGLAVVMLHEGREEEYVTLLGVRQTPISPLQKPAVKVSANDAASASERIRQLLRADR ALHDKAQKAFVSWVRSYANHQAASIFRLADLDWADLGNAWGLLRLPKMPEVKKWEGDR TLGQEVDWDTYAYKDKAREQQRKEALEAEKAARANGEVPDSSKYSKKRKKKNEAWSGK QEKDDVRSARREKRRKRKEAETDAKMTEEEKVKKMELNELIEEVRRRNMAVKEVKVKK GAEAEEEFAGFDD
SAPIO_CDS0968	MSTHYTPAYSLPVRVPTKGGQYSGYSSAYSVSPPEVDDDLSSTS GVASYSNSGYDSSSYAGSGDYDSAHSASGIDFNEYMQDRFASTFNPIPLDKSMVTQAQ TSGHLNAKHRELLELQKKAQARLAKTRERFQDGLRDAREVREDLEWTEKKIKTLKTKA ARKHPKEFSKARTRYPSPE
SAPIO_CDS0970	MEAMETIQPLGSNPPTVADLSARTPQRDPSARNNQLPTRGPAQD ARLARAMALGVSGGRESAAALRAGRLIRQPSGTSRPLVPVTERKSQEENVYTWRIRKD PESEKVDIAPDGNSGGREGRQFTVANVGHNGRIYLRPTVRPAHQRPPQPHFVFPVTPP STAGLDALVAEKKQKEESNDNSFSHLWESTPAPSLDSELTPMSGIQPQPRRRRALSDS TVRETNVVREMGDGAFKVVISKPADEPRPRTMEDIDTAGPPILDVAIPSWRIGSPRFS LKGTPVIRGSSYAPTEEVSSSHPSFLNARLGEPTLTIPSRFSSRRPSRLNVAISAIPQ PPPSNPIPPVLSPTSPQLQIPFRSTYLSTHLVIQPAMFDALTFKPACDDRAIVRYASS TGTVTAATPPRLVAEITSPTFLDYELISDFFLTFRAFLEPMDLIRMLFARLRWAIDRG DEIGMVVRVRTFVALRHWILNYFVDDFVVQYTLRVTFCNLLNDLVDDLSQDIENGRVH LKILAELKKCWRRVCAQFWDGFDFDSGDAPIAPGGIAGHRDPNLDPSHWESSDCGPPQ LDLAMMSTPDFEPSEASTPRGFLASTPHDGSVVERPGTPEDQYQNAIEDLDRRQAGSP ISITSLDIVSCSFPAKNLRNLQSSSHMTPATHPVPINPLLTSTGLVAVTPKALVGKRI RPVHKRNGSITDSLREHSVDKSSFKDNTEIALAVPYAGSLVRGDLLPPGHAFVDVVSP SSIGDPQRETTVLQMNPNLDQKEKSGASAMSSQGMKRLIGSVRRALSTRGQGDSTTHG NFINISPIGPRGATTNRLPGTAIVPQARPRQNGTRPPVRIDLLGAEIAEDFKTAVRED AAAEAAVEAAQRAALTTPVLNDTLRHGTDEAVEYSSAHLDTSFDDLPKMSGFRPQSEM AITTGSKSIVIVDDTAFDMPTMHGALPVINPSLEAFADTFAPNNGDPTPPTTPPGRPM GTPRRSSFLLNQHLTRTSLSSDPLPPFIPDMATLGGRASTDHPSYIASYPSMEQSIQV GMYPSSTSRLRSTRFHNRQRSSRTIPSVSSVLHRRHNSFHSSTARPMTIRSFDATTQS EGSIMDSSEVMPQPLRVLRRRPGGNLGAVATVGELAAPLRHSQSVGSLTAYSESLRSS YLQQPESSGYVDVVSSDFSQGQNETFSLGALANQPSKRQLSLFSTHSSKPIMRPSFEA EARKLAQIPDDVDDDGGVESALLKLEGKYEKKPRPPAEHRISPQTDPEDIGKALGDPA QAQEEKRERRHLEIMGGADDGILNHRLSGIPEPSSDVHNSFLLSPERPVTELRSFLSS EPSYCSIPLLDRGLADEGQTRPSTKEWADISILRGADDDSPFESEREDNGDEDGTERS AQASFDLIQETPSLRRIKGAEIERPEGPVENDGQDDEGDTSFLDDDSDAGGGSDLSSE LSEAPEIDTYALGSTNNLPSPRQGFILSKGMMSPPVDDKLSPPKTLAQALQMSPEAAN VPQLHEHQLWVQKPLPPTPDTTPTTTFRPMSPRDPTGTAEALRGAPKIADPDLSHKYS IHLPFILAFDSEILAQQFTLIEKDALNEIDWKELIDMEWKNATNNDSRSWVDFLRNTD ARGVEVVIARFNIMVKWAVSEIVLTQDMEERARCIIKFIHIATHSRRYRNFATMAQIV IALSSQEVTRLSQTWALVPPHDVKTFRDLEQLVSPTRNFHSLRAEMEGGASSGCIPFV GIYTHDLLFNAQRPSEIASSPTTAPLVNFERCRCAASVVKSLLRLLEESTLYEFQPIE GITERCLWMSALSDEEIRRHSESLE
SAPIO_CDS0971	MLQAGMTTVHLRPTENGNSRERASSLSFRQNRLHRLSTISSRLS SRPANGVESKADINGPLGLNLLNEPSEPRVDFIFIHGLGGGSRKTWSYSPDPHMFWPK EWLPNETGFRHVRLHSYGYNSDWTTRKDSHLTVHDFGQALLADLRNSPHLRKNGHTPI VFVAHSMGGLVAKKAGLPKEHVRYIEADHRHICKFDSPTNPNYMTLRKAFLTTVEDLE ADAPFRRRDEYTSQMKKVASLLRVDQRPDAVLLATSEKQHSGSCQWLTESKTFQEWVE NPVVCSEEEIAPSKEPPLAKQPKILWLKGRPGTGKSVAAGHVVRYLQTCNLDCSFYFF EHKNQGGSTVAAFLRSLAFQMAESSFEVRRTVVSMAEDGIKINHDDHHMLWTSLFAER ILKVEYTRPQFWVIDAVDECSTKGMPALVSMLSSLGSKTPVRVFMTSRPGGQLGRLLS WEWTEFSEITTGQEGSLRDIELFVKARCSGASDTGLVADVLAKCNGVFLWASLTVAKL EEAYSVEDKQDILRQIPPEMDMFYSRIITSIAGSPSSELARCILKWTICSPRPLYYGE LAEAVKLDISRTLTASASELETMAGHLVFVDTQSQIHIMHQTASEFLTRKREGFWIDR AAAHSKLAEICLTVLCGTEFAPPRLRRGGTTKSTALATKSTVLSPLADYAALNFSYHL MHGSPAADGPLILLNKFLKSNVLTWIEKTASTDNLWTLQITAQRLKAYLGRRAKYQSL VSVETETVARWAADIYHIVAAFHSSLLTSPSSIYLLIPQLCPPKSIIRKLFAKPSGQL RIAGSSEEDWSERLACYLFPEEASAVTCCARFLAVGLTDGDIRIYCIAGSDTFDSAGT LAHGKKVRQLAFNRSSSLLASCSARKLMLWDIHTSSRGSSFPCLWSRNLDFTPSHMAF HPDGNSIVLANPQHSSIVAFEAADGRKGEPILLHALVDSDSSSSDDESGDEQVAAWMP SEWIRLDASHRLAALAYRNATVLIWDLDSIEEIGKFEREAFEDVYVTAPPLDMIFNPI SELELLAITYKDGDMVTCNPWTLEQTNKCHLPVLLFTLATTSDGRVLAGATDDGEIYL FLWETLQRVYKINRLDDQFRIHDIVFSTDNRQLFDIGGHSCTVWEPFILAPRDESDDG KSSEAHSEEDIVLESPVSLARVFEWGRAITAIEQADHGNCLMVGRQDGTIEICELRTG DVMDKLALHDSFAEIEHIDWNEEKGVFLSVDTIGRCILTRLSFIKQGTTKVQTCCLLD HREGASVRQALIGPKAESLLICTESSAKIIGLDGGLVAEETCLPGSWWMRHLSNDSHL IAIQENTLHLFEWASLKRLSQTDGIALLVPEFPVQVTSRNPWISGAGSSYFAQCIVDG ASRVRTSNIFALDTSEITLETKAMSLQTLYTESLRVQAVLGCLKSRLFILETSGWVCS ISLKRLSKATHYTRHFFIPHTWRSGGGYIVIKVVSKTAVAFGRGEQLMIFHGFLEFEE KAAL
SAPIO_CDS0972	MYNKGGYRDGPGGFGGPRGPGAPGGPPGPRSPGYMPSRGPPPPS QQGPQRGGINLQVGKVQDRILQSSLIYENVCAVSPNDFGEGNSHVLIRTQEGGEYVVA ARGIPGFPSGYIALNEKQRQWARIAQRDVFVGQPYDPFRYGKNAYLGAVQLQVSFASP SKKTDDVYSDDELNPVFLSTFRDQVLQPGQQILMDYRGIPLLFTVTSVTLTALGQQDG GSGAVLTDPNSRGILVQQTEILLTADGRDQRTGLKLKSSATGSTRKAIITSDFSFTDI GIGGLDDEFAILFRRAFASRVFPPQFIERLKIQHVKGILLYGPPGTGKTLIARQIGKV LNAKEPKVINGPEVLNKYVGQSEENIRKVFADAEKEYKERGDESDLHIIIFDELDAVC KQRGSGVGGGTGVGDSIVNQLLTKLDGVDQLNNILLIGMTNRKDMIDEALLRPGRLEL QLEISLPDEHGRVQILNIHTSEMRQNGVLADDVDVEEIAKLTKNFSGAEIAGLVRSAT SFALNRHMEANERGVVAKDTENLKVGREDFMGALVEIIPAYGVAEDSLDLLLPMGLIK FSPASFDKILQEGSGKTALAAFIAKQSGFPFVKVLSPMDVVPYRDDFAKKDYIHKVFT DAYKSPMSLLILDDFERLIEYSPIGSRFSNTILQALITLIRTPPPKGHRLLTFVTSSN MHVLKLLQVDTSFNRQVVVNPLKTLEELAEVLTKSGAYSESAVRYICEQIRVQAASDE EQRTGRFTVGLGIKPVLTYLAEAKVMQDEGHDGVEGFIQKVSEAIVYAKA
SAPIO_CDS0973	MPSVQTLLFSPAELAYLHASLSLPTPIRPDGRKPTQFRPLTAET GILPATNGSARVCFADGTEAIVGVKAEIQKTIDPRATSLPVYTASRGEQSRTEDDEGR APEPAASNEWLEITVEIPGYRDDDATTVFLASMLSEALVADGEFAKKLVINRRFHWKL YLDIFLISPPLSYPLPLLSLTTHLALLSTRLPRLKSEGDEDPMFDDDWEAATYLYPPV SSASSSRPPITLLVVAIGDNIFFDPSSEELAVAEVALAISVAECPNVCKGADVMEIDS SRTFKMLSMRSIDPPSRLTPPGIPDVENPTTGTSGAATPSKKPQQKAQTSKGVWRAPL GGAKFGMIQLMIQQVMGKGGVANEVLDGVAGVDLS
SAPIO_CDS0975	MPLHLLGKKSWNVYNADNIARVRRDEAEAKAKEEAEEQRMQDHD AKRRLAILRGEVPPPYEEPESAGVDGCLSRVSEQAGLGYGGYKERKKRKRAGEDDTDF ELRVARERAPRDEGLRKTTTSSSAPLVGRDGHIDLIGDEKAYRHAEKNPEAEKEAAHL RREYEDQYRMRISNAAGNKNELGKNPWYTSTDAKIEVEPPSKDVWGNEDPLRKQREAA RLVSNDPLAMMKAGAAKVREVRRARESAQLEREEELRKLEKEQRRRERHVRREERRHR HHGEDRHRSRERHRKRERSSEPGRERDAESRRRRRSSSPRRDRGHRDVRRGERGQTER RRD
SAPIO_CDS0977	MAGGDVKKGANLFKTRCAQCHTTEAGAGNKIGPALHGLFGRKTG AVEGYAYTDANKQKGITWDEKTLFEYLENPKKYIPGTKMAFGGLKKEKDRNDLIAFLK DAC
SAPIO_CDS0978	MAPPSSLILSTRSASAALRHPVIRNFSTTRLLAAKKPAAPHKPL VLEKPLKFNPPSHGSRLPKKRIPQHYGGQLSQEEIKAQDRRDYPTMMPPQGTWAHWFW TNRTIHVVITAGTLLVLGISTYFMNFAHTSPFAHLLPPISEFSEHPIDFIQTYLAVMK MHSQHNSQLAFESRSKKMDDVLKRQAFQMAHKTEKGPIEKYFGLGRKEPEVEETPAGE KPEAVEEEKPKKRFGIF
SAPIO_CDS0979	MGANSSKVTAQDKAILDMKIQRDKLHQYQRRITILTDKETAIAK EMLSRGDKNRALLALRRKKYQEGLLAKTDAQLEQLEKLTSSVEFALIQKDVVFGLEQG TKVLTEIHAEMGGIEHVEKLMGETADAIAYQQEVSDMLGGKITAQDEEEVEDELAALE AEVAGKTAVLPNVPDSRLPVHERPEGVSEAEPAKQPERTAMLAS
SAPIO_CDS0980	MAPTVDPALIKALGLDAATTKISPHGGSGFASTFRLTATVNGEE VDYFVKTGSGSDSEVMFRGEHASLNAINSVVPSLCPKSLAHGPLSIPNQFFLATEFLD FSHSSGAGSGQSLAQKLAKLHTTPAPIPTGHTTPAFGFPVPTCCGATVQENSWQDSWA AFFGENRLRAILLECKRKGESDGALEDVVNNTIENVVPMLLGEDRLKGVVPVVVHGDL WSGNHARGRIGGKGGVEEVVYDPSCVYGHSEYELGIVKMFGGFGSGFWKEYERLVPKG EPVEEWEDRLLLYEL
SAPIO_CDS0981	MPSMGIPTDFDDRQTHIDALQEEYHPYQYQDENNSSWAGTLPVK QGLYDPSLEKDACGVGFACHIKGKASHKIVSDARNLLCNMTHRGATGSDARDGDGAGV MTSIPHKFFIKNFEREEHIKLPAPGQYAVGNLFFKPHDETLQRSIREFEEIAESLGLR VLGWRRPPVDSTLLGPAAKSREPVIMQPFVVLASAYGTGNAPEQTDPEKFDERLFERQ LYVLRKRATHTLGLHNWFYLCSLSNKNIVYKGQLAPVQVYQYYHDLVNADYEAHFALV HSRFSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMKAREGVMRSDKFGTELEALYP IVEEGGSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNSSMDPKKAAFYEWAAC QMEPWDGPALFTFADGRYCGANLDRNGLRPCRFYVMDDDRIICASEVGTLYVDPERVI KKGRLQPGRMLLVDTQAGRIIDDTELKTNVANRFDFRSWVERELITLPEVYETISAKK DLSPKPDSAPLQQDPLLHAFGYTHEQVSLLLAPMASDEKEALGSMGNDAPLACLAQAP RLPYEYFRQLFAQVTNPPIDPIRESIVMSLDCYVGPQGNLLEMDSSQCDRLLLPSPIL SIPEFNSLMHMHIKYPKWTVKTIDLTFPKKDGVDGYLKHLDFICSEAMSAIEAKDRII VLSDRNTGADRVAVSALLASGMVHHHLVSNKWRSMAAIVVETAEAREVHHMCVLLGYG ADAINPYLAMECILKLNREGLIKKKLTDDELIRNYKHSCDGGILKVMSKMGISTLASY KGAQIFEALGLDEAVVEKCFYGTPSRIQGATFEIIAQDGFRFHERGFPSRYTVGIESL PESGEYHWRDGGEPHINDPTAIANLQDAVRTKNDKSYEAYSRREYEQIKSCTLRGLLD FNFEDAEPIHIDQVEPWTEIVRRFCTGAMSYGSISMESHSTLAIAMNRLGGKSNTGEG GEDPERSQRFPNGDTMRSAIKQVASGRFGVTSAYLADSDEIQIKMAQGAKPGEGGELP GHKVSTSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRSRVSVKLVSE VGVGIIASGVAKAKADHILIAGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDL RGRVVVQTDGQLRTGRDVAIACLLGAEEWGFATAPLIAMGCIFMRKCHLNTCPVGIAT QDPELRKKFAGSPEHVINFFYYVANEMRAIMAKLGFRTVNEMVGRAEMLTVRDDLRTN KTANIDLSLLLTPAHKLRPGVATFNVRKQDHKLYVRLDNKLISESETTLEKGLPSRIE CEVVNTDRALGTSLSYHISKRFGEVGLPVDTVHVNIKGSSGQSFGAFLAPGVTLELEG DANDYVGKGLSGGRLIIYPPRSAVFKAEENVLIGNVCLYGATSGTCFFRGIAAERFAV RNSGATAVVEGVGDHGCEYMTGGRVVILGSTGRNFAAGMSGGIAYVLDKHHDFLSKLN SEMVETGPVEDPSEVAYLRGLIEDHHHYTGSELAARILMNFSRALSRFVKVLPVDYKR VLAEEAAKKAAESNGVTNFIVPIPTPSPQPKVANSNGPKLQDMEEMIADGAAEEKKKK KALVLDKTKGFMKYHRRTEKYRSAKTRIKDWQELSQRLDEDELKYQSARCMDCGVPFC QSETGCPISNIIPKWNDLVFRNRWRDALDRLLMTNNFPEFTGRVCPAPCEGACVLGIN EDPVGIKSIECAIIDRGFEMGWMVPRPPEVRTGKKVAIIGSGPSGLACADQLNRAGHS VTVYERSDRPGGLLMYGIPNMKLDKRIVKRRTDFMAAEGVVFKTGVAIGQEIALTDLK AENDAVVICTGSTVARDLPAKGRNLEGIHFAMEFLHANTKSLLDSELADGAYISAKGK NVIVIGGGDTGNDCIGTSLRHGAKSVVNFELLPQPPPERASDNPWPQWPRIYRVDYGH NEVKQHTGKDPREYCIMSEEFVDDGTGKLKGINTVRVEWTRSSNGGWDMKKLEDSREF FPADLVLLSMGFLGPEDRVLGDMIEKDARKNVKTPAGKYCTNVEGVFAAGDCRRGQSL IVWGINEGRQAAREVDLYLENCTELPVTGGIVRTTAQEVFEAMEKA
SAPIO_CDS0982	MMSLLPDFTPRDPHSLWYTSSRHPHIPPLTGDAANGPNGANPQD PSHRRAGHQHQQHQQYHHHLAVERSQLARLRADEQHMERLRLNVQNFGSGWLKPAGVI KTLHQMREERREQEEHQEALRREALAQELAEAEAAGQEEFAEEEEGMDDVQLDEARDL DDDIPEGDGMSLGFGDSDSEGSSTVPDDEGEGSEADDEELTRREQVQAQNELVTAGMR RTADAFREALARGHADPAEDMYGGEEELDEDGQGHMLDEEDFYQSMVEPGEDMDMDAN LDDEIPEAELSGYEHTDSDAELTSSEEEDYSELRMSHQTAALAPPQSPPSLRARGRDE PRVSMDLSSILSRDESSFMESSPAMRGARRP
SAPIO_CDS0983	MSSRFASNLHQRDPRSALFAGYDAGEAARRPVSASPNRYGGYGY PGNGTATGGDGNHLGVASGGYRPATPNKKEENAEGLGGGMLTSDARGQYSDAVLNELE SQNDQQVDGILGKVKILKDMTVAIGDEIRESSALAEKMNEGFDNTRVRLRGTMNRMLL MAERTGIPWKAWLAFFAAVILIFMYVWLF
SAPIO_CDS0984	MASNGTTLPHGASAQASEVQENKGKGKAIAEEHVDTAMDEDDDD DDEEEEDEANDAEEEEEDNLDEIDTNNIINSGRRTRGRIIDFAKAAEENPPDDDDDED DDDFQAPDEDVKMDG
SAPIO_CDS0985	MRVATLFSALCTAAVASAELAKIYIQPVSTSPSTETPPDFLADI EYHVADSSVSEVVSYEFPEIPEDVETVRIGLYDSSSKSWIASSLASVENFNKGYAPVI LLSVDQAGRPIGVTFKGTLIDAGQTRDFGPKAIVSVTRKGAQPELNKPIVLSPEGKKV VEEEKTLLQKYWWVIAAGMLLMMSGGGEGK
SAPIO_CDS0986	MLTTSPIITSPSSSKNVSPRTFKYVPARTPQGSPSLPSSSRSIS RQSAGLSQSPKKITISPTARTLMSSPTTMQPSLGGAGDRPASGLTPSGGSMPTQSSSS DDPQVLAVHRTPNAERSHADAQTTSKKSQSVKRRPNSPAAEPSAAQDCRAQSSQNAGS QESARPAQRHRTLPAEPKVLPLAYENCPVGDMVILIAHMLSELIETNDGLALRSGSLT RFHSRTAPGISVLDYLNRLAHHATLSPPLLLSMVYYIDRLCALYPEFTINTLTVHRFL ITAATVAAKGLSDSFWNNATYAKVGGIRVTELKLLELEFLYRVDWKIVPNPDVLVAYY KGLVERCPGYILQPSTQSSPSKNDQLEDPETTSPNGETTAVSADAAAAPEHADD
SAPIO_CDS0987	MGDHETSMLLAQLRSASTYPEQTAALRLLKNEIVGHTQKKELWV VAGVLEPIVRILSASNPPSKLNGNDARFGTNTARTVTEEEEAVRLQAVQALSTIASGG PPFLPPLYATGAISAILATLSPFTNSPYVVYASLRALSVIADAATVAPPGSPFDLQVL ADTANLISRLCRDANHRLALSKANGVLDALATRLASFVVAEGLVIPVAEWARKGDADV LEHIPAPAPSHAKIGPILHALATIISDSRYRAFMLLTSPAILAVLPVTQFIPAPAVRA AWQSTGLSGVPSGESLSAMDYLLPPMPIQRGARRPRRKSVSVESRERKSGLVTSTHEQ VHSESYGQVVESQSGEGEVPESPLIPYLIYLSRSSDDLVRLMAIAVLTPLVKADFVTK PGRETMIATLIVPTLTQLIRDHLEKQCTKDSRFVVDAATMETWEILELAPVLLARLIL DHEALQQAAFDCKAIETLSKLLQEAYKPAISTQPKMWSPNPGTGMDMDESSAACRLGQ AGALPLLMHRIRLRESALKAIASSLAKEDYRKAFVELDTVPYVIESLSQYPGKPLQAK ERPRRQEKSEEDAPAIPKPGYGENPTPVIIGACHVVRMLSRSVSILRTALVDYEVFIP MLKFLRHPNVDVQIAATAVMANLVTDVSPMREALLDEGIMRILCEHTRSSNPSLRLNA LWALKHLVYEVGPSLKKAVVEELTTGLLVRLICDDTEDKALISSRGAGDSPSFGSEVG DMDEDVDMQQSEEPKGFVFGSTTTGPPQDTGRGRSRYMEDKLARYRESELDPVRKARN DDLAIQEQGLDLIRNLITGTRNEVIGHNTPSETTEMVDFIFAELGQDRLFNILEAKLR PKYIRPFSRRNSGRRELAHESKVIYPQAKLIEIVIYVLVHIAASVPRHRQLVIAQTKM LKQLSAHFNNKEKDVRSALCQLVTNLTWQDDQDDATGCSQRAQELKKLGFLAKLEALQ DDDPELDVRERAKGALWQMKRPY
SAPIO_CDS0988	MAAHIISTPSDDGPVIKERKTKGGRTLQYRLTVLQQPERARACG SGSKSAADRRPVDPPPVVELRIFELGPNAGESKDITFHYNANFFLFATLELARPMAHG RVQTPAATATPVLTGMPVSGMAYLDRPAEAGYFLFPDLSVRHEGRYKLSFNLYEETKE EKYFDEETSESKGSPVGASFDWRMEVKSQAFCVYSAKKFPGLAESTALSRTVADQGCR VRIRRDVRMRRRDGKPSTSDYKKADDEYSHRPTARTPERQQPHDYRQRSASAASDHSR APYPPEPQRRPSISESYATGPPPPPPPPGYGQSGHLGFGHTTPHAPQQYSDVQPPASP TTAYPNGHPSPFQAPQSHTYNYGSRPSSRSFGSAQGSFDERRASNGYVPPSPHAQEKH EDRRRPSQGYTTAPSPVRSSHSSKVDVRLPPIAQMFAGVDGFDKSRKQAGTTTIDNRI EPDPDPTPAPAPYANVPTGSKRRLEESTYTAPLYNGQRPKENDSVVTYDGLRLRNASG YMKQFAENTFIAEATEQVS
SAPIO_CDS0990	MPPSEDSDLALFDMEHFRFRGLDEEQTLDPSSLDFPKPIANGNH DAFLNDPDAANSFVFHEAYQEESLSDSSSSKRASSEASSKTGPMDTSMMNDISMDDGT FQNALSNEQLFDTPNHRNFGISPLEMDSTFDEDEFMNQSFDFARASSSPSDVPKPLIT ANGRATKSRSHIKNRSTNSFKVPSREVSPHSTVFASNASSPNDQMDFHDARQMLMHQA NGAPAWPHHLNPAVANHLPHAFATNPAAANQAMVLAAQFPTFLPVHHGLEILSNHPFL TFDRDGMPPKTRVETQIHLKTVFPRLPPGVAKLHLPKHTISKPKLWSKVPYVPSPDTL DLHTMLVCTSAMENEDTRLRALKKAREGPNSGFNDARPEKETDEDKPLNGGEVWICSN CIDRERKRSERKKVKKPDEEGIWKREEWRRVVVFNTHEIREFPKPDPITGFTTIELPM RIACYCRHQQEKMGFQVIFTIRDHLGRVLAQELTPSIMITDDHKNHLAPPGPTTSTTQ ISSRPTQNSAQMNGAAPAPAPAPVSTKPQLNSGDVKPASNPVVQPTPFRSTQSVSDLT RLQAGPPPTARRIVNPNKPSMNPRTLSRPASPNSLSAPHAKKRKSSAGKLPVSMAMTP LDTATATSPPTQLSGAPSTATSPFAPGALSFPNQDSMFTGGSPSAGGNLFGTAPSTPN MEDPSFFSPNASLSVTAEPLALRHRFSTAAGSTHQSRASSPNSFNNALNAAALQSQFA QMLPTGAAAAANLAAMNSLSNNLLGTHPLPTITKITPSEGSKLGGYEVTILGTGFHRA LEVMFGDQKATATYFWADNILICIVPPSPIPGEVVVTFPQHQTGVQSMINPQKFKYLD DDEDKLLRSAMEVLARKMNGNLGDVKDFAMRILNYRGLPPGAGVNGGGPAGQGYTNAA SGSSSNAPYDIEAQLMKCLELIDLDDSPYKAQWDYKISSGHTMLHLACSLGFHRFAAG LVARGAKVDALDNGGFTPMHIAAMNNYPEIVRRLLLAGADPTLKSKQGLTPADVAESE DIIGDLRRVARRTKNRSVGSLRSAASSTTSLRSLWCGGDQSCPDLRDLGAAQGKYEDD SAEYSSFDSSGSDEDVAGEGDSDVGADEEDVLQMRRPSVPTVTRPRAQLSRTNTHVSH VSKAEEEEIAEIPASPNAAAAAFKQFQQAMALYMQNLPQLPHMPQIPQIPQMPALQGM GTNAENQAYLYYAQFMRRLTALVPGMSGQRPGTPDSESSSPPSYEDLYPSRDGDRKAR SAEEARAMQPTDIKTSPVEETITTEAQGETSAAEPSKEPGVLKIGRKNAITKEQQLDI LRAHEARLKRLSSDKNLFMIWIPLLVSVLCALLYGRFPGIFSFIGSFILSLFKVMQPT PEASFATRGAERVVEVL
SAPIO_CDS0991	MSSTRKRPLVSSGSQVPGKKRKTDSAMQKFYAVRIGMRPGVYRT WEECQAQTAGFKGASYKSFLSLEEAQAFVAGKKIKSSTSPTEPPKFYAVAKGAFTGIF TDWDQASKAIKGQKGPKYKRFPTREEAVAFIKEWGDADAIAALGEEVSDAESDEESDE VDDDSERLEVEELSEVKKKKGKSVLSIWTDGSSLKNGRAGAAAGVGVFFGLGDTRNIS ERLHGEVQTNQRAELTAILRALHTVDADEAVQIITDSQYSINCATVWASSWEKNDWKT SNGESVKNQDLVKGIRERMKEREKAGGKTTFKWVKGHASDPGNHAADQLAVAGARKAL W
SAPIO_CDS0992	MESPGSSAYLEGASTGTINRSVVVNFPRPESQGRPASLPMYPEP PAVTANTVTIASTEKPLLGPVGPVEILPNPLINDMDVKTIKKGILIPLKTTLEVQQDH TVDQVYVTRVPTKCTNEVINLLRKFLPEDRVKSMVHLRKVVKPSDLPAHLKTQFMNEN EVGRQIHTGKSSWVYIVIGEVKLIEKEEVCKTLAAFDGMEDKFLTQVTVPANPPSSQI HAAMWSSQFWPTVYRKNNPLGPHPSLISRATEEIKNDTALWMAVAYRVARRAQESGIG EAIGAVIVQRSEGRAQILGVAGDARWAGDVPKSATGNPMAHSALRAISMVAQKLVRHE RRAAGRPIEDPNLDFDPFQDRPLLEEEHSAYQEDHPNPDGYLCHGLELYLTHEPCVQC SMGILHSRMGKVVFAQRHTLTGGFCSEVRGQDLPDVPEGSAGDGLGLFWRRELNWSLL AWEWEPGSDLDPLPPIDRFVHA
SAPIO_CDS0993	MPVPTPAMPAPVPMKGIHDIHGHGPFAHLYDYHGLSQTEPFPPY STSHPSTAPGSPRLFLTRSNSGHTPRIRPHATTLNIPGMTRSRASPDGRIPDRDVASK LVVVMVGLPARGKSYITKKIQRYLAWQQHNTEIFNVGNRRRVAAGIATSPNPPEPTST LTPPSGPEQAAAILLNGVPAPLPEPTSLDLDAPNLEKEEADELKDQSATFFDPKNEAA AKVRDQLALDTLDELLNYLLRQGGSVGILDATNSTIERRQLIVDRVKAREPKLGILFI ESVCTDKALLEANMRLKLSGPDYKDKDPRKSLEDFRKRIEAYESAYEPLGEYEEKEDL QYISMINVGRKVIHYHLRGFLSTLIAGYLTTFNLSPRQIWITRHGQSEDNVKGKLGGD SPLTERGRAFSRALYNFITYQRRAWIVEQQDNMAAASFPPQPGDLTPPYPEYNREIDE KNFCIWTSMLQRSVDTAQLFEADDDYDVKNWEMLNEINSGQFEGKTYDEIARDYPEEY RKRITDKLHYIYPGVGGEGYLQLIHRMRDLVREMERISDHVLIIGHRSICRVLMAYFM NLTRHDITDLDVPLGMLYAIEPKPYGIAFHAYKYNEQNGWFDEVPNYTPHRTDRYDGK D
SAPIO_CDS0995	MNSLQETTDFDYGAFLREDAMDLAPPLDRSPSTPTVAGSPGESS SNPGSSEVSRRPTQKQRHERRGHTKSRRGCFNCKRRRIKCQETKPACGHCVKTGLKCE YPAMPQIVHQPHHQIPLFSLQDMRFFQHFLLKCYPSVPVANESHEYLMHALLGLAASD LMQQDPSLLTFAMAHRLKAIRAIKKRLSEMSRTTEVRQDESNAMVATCFALTFQSVQL DDGMAEFMAFVRGILIVCMQMWMKGVSPIFTNMVNEDAENLLAPFMQDLPLIQTEWSD MALVAIQNLRPLCFDEVEIEYHDLLLDWVQKLFTSSFDAYKALRRHYGWWMMLPHDKF RRIIDPSNQVMVLLATHWIALKQIMAFITEVEEMARATRPAGGGKQDAIDPGLSRWLK YLNRQVDFEHRLYNTWPMWVEEQLDRDITFFGKTR
SAPIO_CDS0997	MATSTVARATKMASTLILSALALTSLPFAAAEDARIKSEVDVPD WVSNSAMVMRLSTFGGGELTPYQYTVVPLTATRGLNKTDDERGTILIEGHMILVDSSN YQQIKDDPIEEIAYLSCDQPSSDTSPTPNEIINTVMATNPLAIVLYTLAGNICSLEGD GLAYESVFSILDSGEAEQTLAFLNGTTEEDNVQVSISGNTTQDIPNAEGDDGGNNSAV AMSVLYSITGLVTVLFLVIIATGTIRAHRYPERYGPRGGYEGRPRQSRAKGIARAVLD TLPIIKFGGPPPGKPDPDLEFQTRSRDSPSTPRSPSPAALQVSMPATTIATPPPAAAA NTVPAPKNTTTTRSPASSTTMAQTSTNENEHLGCSICTDDFAVGEDVRVLPCNHKFHP ACIDPWLMNVSGTCPLCRLDLRPPGEKEDGVQVDETQMPPPLDLDDSDNDAASATQRS RASRFFDLTRLGHASPEERIQALRQFRHSQVHPSSTTGSETADRGRRSRLADKLRDKF RIRTRPQSPDNRE
SAPIO_CDS0998	MANVTPASTGASPYDSYQTSLTTRYCSPTMSQLFSQRSRHSTWR KLWLSLAESEKELGVGTITDEALEQMRAHLTVTDEDFEIARVEEKRRRHDVMAHVHAF GAVAPAAAGIIHLGATSCFVTDNTELILAREAMDLICKKIAKVIANLSAFALRWKSEP TLAYTHLQAAQLITVGKRAAQWILDLMLDLHAIEQVRQELKFRGAQGTTGTQASFLSI FEGDASKCDQLNELLCQKFGFPSCYDISTQTYTRKVDLIIANAVAGLGSTAQKITGDI RHLMHWKEIEEPFESSQIGSSAMAYKRNPFGSRIDEQAVIASRVAAELPFMVTEEIIM RLCAKGVSRQDAHEEIRVLSHQAGAVVKQEGKPNDLVERIKANEFFKPIWGELDGMLK AELYTGRSSQIVEKYCGPGGPVSKALAPYADYIRNATTAKLNV
SAPIO_CDS0999	MADIPLFVISDYSASERRITPSWSISEFKAKMESVTGIPPSSQK LTYKTATSERIPMDVADEDNTLLSSFPLSRNGEIHITDLRPPSARPNFNDTTGVEKYV MSEEDYAQKTDSVLAWKKAQKLGRFDPDAPSHEQAKIANYEQEVRERRIEVGKRCRVG HEDTRRGVVMYVGEVVEIPGGSGPWVGVKLDEPVGKNDGSIAGTRYWGQPSELKHGVF VRPERVEVGNFPALDDLEMEEI
SAPIO_CDS1000	MTIGTDSERAPLLGPGNENHRIDFDTSTSESDTASTVLPPATRP ISPAKRILVLVLSFTLLITLAIGGSLLDVPIAEIQEGNICRHIHGPDFDPGKCKDKDV QSELSLIRGWDTTFTLVPSLLTSVPYGAVADRYGRTLVLGLSLLGVTLKAASDALVCA MPHVFQPRTIWLGSLFQFLGGGGTVSSAMIYTIVADISTEEQRVSTFFYIGASLMGGA LIANPIVYIAMKRGAWFAAITGLTCLFVSTAIGFLTPETLDNAAAVKISPADHPANGS SQADDAPPLRTGDTTSPSSANHPSSAQHNSTSQPPRPLNTILPRAVAALTHTAHSARF LFWDHKLVGLLLISLTLEIFGRSSFLQLMQYATKRYGMSYSEAGLLQSVTAFTTLVLL LVILPGTSRLLHTLRVSEREKELRLAQVSAVLSAAGTILQGLAETKTLLVAGIVVAGM GGGYTFMIRSLMTSLVGGHEIGVMYTSIAFVDTFAVLTAGPMFAGLFNIGLVWGDAWV GLPFTVAGWVLVAAAVLVRVIRSSMVVGVGKVDDGESDEGASGD
SAPIO_CDS1001	MSYENAAAEVAEDFKTALEDMTSISRIEIMNLCQIARENTEHAF EISEVLVAHINRTAPQKKLAALYVLDAIVKNVGTPYTLYVAPKLFSTFMEAYARVEHP VRRKMEEMLKTWKGPVPGSMDTKPVFPPDVVKPIENALIKAHTSAMQTLQQHRKTQPH ILGRPPPSAPHRNTPTPPGMPHGTHGHPYAHHAAPDVNGTGTPQHQTPPFVPPRSGTQ SMPPTHGAHAYYGTPQQPGYVAGVNADVLNKDIEDLVLATRVEYAQNPHDGSIPTRLK ALMDLQAIVQAKNLPQDQLVLVKKQVDELAVKMRVPQVGSSTTSSTPNYQHQHHVSLP TSVAPPPSTSSAGTTPVPLPLAQVPTPVPTASAPVPAQPQGHVTIDSLLGKGALAALL AQQAERSARSTPAPAPPATHAPPQPPPVMPAAAPPQAQDPMALIHQLRQAGLLGAAGH AGAPVAPPPAPAVPSIPTRAMQSAALASLPPVLARVLSPMKAWAPPPPPADSRELSWN LASLQVVRPYLFPALYGELGPQCSQCGRRFKADAEGKKKKTAHMDWHFRVRQRMAEAE KRGQYRSHYVPKHDWIKSREEVDLDYSAEEKDTTTSEEASKPPEQQYIRVPDPSSGIS KVCPICQEQFENKWLDSAQEWVWLDAKMVGNRAYHASCHAEATKDRDRATTPSFGGGG RGFTPEPVLGKRKADVSADSKTLKKGRS
SAPIO_CDS1003	MATGAPYTVKWGIMATGWIAEVFSRDLLTNPATRSVHDVAHTIT AVASLQGPSRAADFLKKIDGPADAATYGTYAELVADPNVDIIYVATPHSHHFQNAMLA LEGGKNVLCEKALTVTEAQARKLVEKAREKDLFFMEAVWTRYFPWSIRIRELVKEGAI GKVHRVIADLSIAEANDDGSLTFPETNRMINTQLAGGALLDLGIYSLTWVFQILYHLQ PPAEKEAPQVLAAVTKHTTGADDLTSIITHFPKHKSTGIALTSLRVGTDPDGSNSGGP SIRIQGTKGEIAVIGPAYRPEKYQVIKKGGGVETFECVVPKDEARGWGHGMFWEADEC ARCLRDGKKQSDSMPWEESVVIMGVMEKALKAGEVEYPELITTDVFDPQSPLNVGKA
SAPIO_CDS1005	MFKSAPSLCSRDNITVTVDIASLLEGSDSKESPASPTTKLQSPR PASTPFEQPPTTAVTVVPPVAAATYATPPPPPPPSHQAIAAHPYPPPPPPAPLAARAK PSTGSLPPLSTTTAMAAKRSLGPHSAESPAKKQSKWSAEEDALIIELRGSGMKWEDIS KRLPGRSAISCRLHYQNYLERRSEWDEERKNKLARLYER
SAPIO_CDS1006	MQNSSEEGAEQPNRHSLHSIEELDTNEAASVASSGSDTPGASPL RRIDSHTLIDDTGRAELHRIATALSHRRFSIRSVEHPAPDDYKEIWLGDEAALKPENP EFDLGKWLRTFVKRMTAEGVSPARTGVAYRNLTVSGTCDSLLHQQTVASYFLAPFRPG EFLSINKKPKKILNSFDGLLESGELLVVLGRPGSGCSTFLKTICGELHGLQMDKNSTI HYRGVSQKQMVKEFKGEVVYNQEVDHHFPHLTVGQTLEFAAAARMPSNRIEGVSRETA VKYVAKIVMAVCGLSHTYDTKVGNDFIHGVSGGERKRVSIAEMMVTSAPLLAWDNSTR GLDSATALKFVQTLRLSSDITAKANAVAIYQASQAIYDLFDKAVVLYEGRTIYFGPAQ DAKAFFEKQGWHCPQRQTTGDFLTSLTNPQERIPREGMENKVPRTSEDFERYWRESPE YKALLENIDLFEKAYPQERGSEALAQLRENKEQRQAKHVRPKSPYIISNLMQIKLNTK RAYQRLWGDKQATLTQAIVHLIMALIIGSVFFGTPDATVSFFARGSVLFMAVLLNALS AIVEISSLYNQRPIVEKQASYAFYHPATEALAGIVADIPVKFTIAVFYNIVLYFMAEL RREPGPFFLYFLVSYVATFVMSAIFRTMAAVTKTVSQAMSLAGIVVLALVIYTGFVIA TPQMHPWFGWIRWINPLYYTFEILIANEFHGRQFTCSSIIPAYSPPVGTSWICNAIGA VAGEQTVSGDAFIEENYRYYYSHVWRNFGILMGFLVFFMAIYCIATELNSSASATADV LVFQRGKVPPHLNPKTGATDKDLTAAKAERIDGDGAASIIETLEPQKDIFTWRNVSYD VDVKGGTRRLLNDVVGWVKPGTLTALMGVSGAGKTTLLDVLAQRTSMGVVHGDMFVNG KPLDASFQRKTGYVQQQDLHLETATVRESLRFSAMLRQPNTVSKAEKYKYVEEVIDML NMSDFADAVVGIPGEGLNVEQRKLLTIGVELAAKPKLLLFLDEPTSGLDSQSSWAICV LLRKLANAGQAVLCTVHQPSAILFQQFDRLLFLAQGGKTVYFGDIGENSRTLLDYFES NGARKCSDTENPAEYMLEVVNRGMNEKGLEWDKVWNSSPERQAVDVEIDKIHEERKNV PISEEDGHRGQSEFAVPFRNQLWAVTQRVFQQYWRMPNYIFAKFLLGLCAGLFIGFSF FQPKGTLAGMQNVIFAVFQVITIFSIVVQQIQPLFVTQRSLYEVRERPSKAYSWKAFI MANVIVEIPYQIITGIIIFGCFYYPVAGIQSSERQGLVLLFCIQLFIYASSFAQMTIA AVHDAQTAGGYVVLLVMMSLVFCGVLQTPDALPGFWIFMYRVSPFTYWTSGMVSTQLH ERLVNCSPTETSIFDPPQGMTCGEYMAPYLQKAPGQLQNPNDTASCRYCSLTVADQYL AGSKIYWTDRWRNFGLVWAYVVFNIFIAVVTYYLFRVKKWSLGSFKRAAKPNKEGKEK KEKKEKEESS
SAPIO_CDS1008	MAQGDSDRVQQAQKLIKEKNPRQAEQLLKEIISQSPSVNSEAAV RDYETALIALGELYRDEKNTQELVELVKTSRSVLSSFAKAKTAKLVKQLLDFFHDIPN SNETQIAVTKSCIEWATKERRSFLRQNLETRLVTLYMAKQSYYDALTLINSLLRELKR LDDKLVLVEVQLLESRVYHALGNIPKARAALTSARTSAASVYTPPRLQADLDMQSGML HAEDRDFNTAFSYFIEALDGYHSQDEPTKATAALQYMLLCKIMLNLAEDVNTLLQSKQ ALKYAGQNLEAMKAIARAHSNRSLEEYERALAAYRYELGSDAFIRNHLRRLYDAMLEQ NLIKVIEPFSRVEIDHVAKIVGLDTQQVERKLSQMILDKVIIGVLDQGAGCLIIFDET HRDESYDAALATIDKLSNVVDGLYINQASLLE
SAPIO_CDS1010	MSSKPAAIPQNDNVAHALAGAGGGILSMALTYPLITLSTRAQVE SKRAESRFLDAVQRIIAREGIAGLYAGMDSALFGISVTNFVYYYWYEWTRAFFENAAA KAGRATKKLTTVESMIAGAIAGSATVIITNPIWVINTRMTTRGQVKPADEESGAGAEE KAPAKPRGTIATLLALLKEEGPKALFAGVVPALVLVINPILQYTLFEQMKNSLEKKRR ITPTMAFFLGAISKLFSTTITYPYITVKSQMHVAGASGNKEGMVQTIRRLMKEEGYAG LYKGIGPKVTQSVLTAAFLFAFKDALYTQTIRLRLAAAKKA
SAPIO_CDS1011	MASQSAAVVAIDITHKITKAASTLPPGEIVKDGFFTLFESVGAL EIMDPKMDSACEDPHDELGEVYDVRRELLPDEVLGIMDQLLCHETLFTCVYVEEILMP NPESIHDADFIKDPPSEYQRPPLSLVLRAYCEEDFVSNTYSRTLLDAVNHNDVLDCLQ EAASLLESLKGSMPKDVLDALSARISLRQAFLRATIASEDTADHVGLYDAWTQANKAL GVFNKSLTVPTTRVADSFSAKIQQKLASTMPPRPIVELSLDEATGHLTRLFQDSLAAI NITDYSDPQSLLTSVAIFQSRKPQPLVYVRCLIQGLIFNDMVILGNKSMRQIMDDDFS MLTMSYSPLLDRSYDEIEVTHDPRFMIAQHMEKFRQKTAPIYFEILRVLCLNRCRVRR TLIHLVREWDYLHGEAEQLDQTLAQLTGEQPLTISLSGNPPAPTQSLPLSSWACLHKL RLMKWIIQLGFELDVYQNDELAAMYWYLNYLSKQRFQLLERTKSFVDVHARNPRNQRR ADADRQIIASLAFLRFALLESAITWDLSDSLTCLYTVLLRLSLIKPPPRPYSTDELRY ELRMRPFCTIGVPEMIPYQLFKDAVEQRDLSTEHLLESAAKSAASARKSLDSMSKLDK SMTFSGMSYEGWLEKTKGSLKSCIAISVGVATLQKMVKAAGPDGEVKAKVEVAEASKA YHEWWIVPKIIPA
SAPIO_CDS1012	MSFAWKAAGITYNRYLAVAARAVRRSLKEDKRIAAERRGEVDLK IATWANGKQSDPQGLLQANAASTAEAVAAKSA
SAPIO_CDS1013	MTLLMYSGPERKRSRELDRQETLRIDALEKKAKATKKWIHPNLR FEEIAKNKTPQPCSLNDFMDYLVYVEHKAETLQFFLWYCDYVKRWMALSSKKKSMAEK WDPENKRPVTSHSRNGSLKEKVKLGAILDLLDATEKSDSTLAPERTHRSNPSATNFSL PRSPGPVAMEKTDEEEEGRNWEPFSAQPYRDEINQIIRHYVMTGSPRQLQLSATDRAA CIHAAEHTTHPSALLPAFISAEAMLRGELHPNFISWSICNVNRPLLVLIRVLNTFMLL AAIGLSVLFMIMDWPKPLRAVTGPLWVMGFCGLVASASGMCMFLHFKNRKQLRPWEQP TDIETESFRRSKRHLRKNTADSVMSFVDPLRKESLQTFGPRNDFSEEDWVATYDKKSW VSKIFDPTVPIENRHVIVLQDGVIAGSILWGTLLGVAVTAGLVFLPSLKEILR
SAPIO_CDS1014	MPDTTTLTGEAFSRTNFESLLKRRFFFTESFEVYRQAGGFKGDN RGLYDYGPPGCALQTNIVDQWRKHFIIEESMMEVDCTVLTPEPVLKTSGHVDKFADWM CKDPVKGEYLRADHLVEGVLETRLTKHRHTLPAETISEYEEILAKIDNFDGDELGELI QRLDIRNPNGNGEVLPPVPFNLMFKSSIGPSTASPVYLRPETAQGQFLNFRKLLDFGQ GSMPFASASVGKSYRNEISPRSGLLRVREFLMAEIEHFVDPAAGKRHERFSEVAHIEL PFLDKDTQLSGKTHVTTKTIGEAVASKMVDNETLGYFLARVYLFLLKIGVDKNKLRFR QHLANEMAHYACDCWDAELLTSYGWVECVGCADRSAYDLTVHAKETGAPLVVKEALPE PRKVTKWHATLDKKKIGPQFKKDGKKVQDAVEVLKQDSLEALAAELAKKAVVTVPTAK LEDGATSVQLSKDVLAIDQVTVTETTREYTPNVIEPSFGIGRILYSLLEHVYYYRPQD VARGVLALPIAVAPTKVLIVTLSSGQQFIPAAKKISARLRALGIANNIDSTTASIGKR YARNDELGTPLGITVDFDTLKDGSVTLRERDSMAQVRASEDDIIQAVRNLVEGLESWE QVSSRLPAFEGQSQVA
SAPIO_CDS1015	MRAPQLLSLLMAGLASGALPTIETYGNKFYDANGKQFFMKGMSY QLRPKDPLIDSDQCARDIKLMKELGVNCIRAGIYVTVDLDTFDTFILPYESYWNSTQF ASYSAVMDTFIKYDNLLGFYVGNEIISKMDQSHSAPFIKAAARDMKAYRDRKGYRKVP IGYTATDIVELRPMLQDYLTCGGNESEIIDFFGLNAYEWCTPNTYEGSGYPKLQEMAE QFPVPIFFSETGCIMGKEPRAWEDMDAIFSEPMIDDWSGAIVYEWIYEQNQYGIISYG PGPVDQTITTGDVFDGFTRKGTPTPRQPDFDNLKTRWASITPKGTPKSEYDPSRVVST RECPKATAGGWEVDGNVRLPTLDETFSGSFTPSPTADPGTVPKEDAEDAEDAAPARDV LGFHAGVVAGALIVALWL
SAPIO_CDS1017	MSTPSAIPAPATGATPASNTTDETTPLLSGNADDNGINDASAAE AQEPGPSSPAAIQASILRSAVLPTWILLIASSITLILSIALFILNGFWPSGYYQGYWL DYWKPVAVSAFFSAFLAIVNLYSIRASKPPGILFNILVHLVLGFVAINTVTMAGSELD PSRPRCTDGDDECFRFRLAWLIVMSATFISGVVFSWLTHPGLLIFWFIKARNAYGNPG RRQWTVPAGVLTFEFSIKFLRQTPDGSVPPVLPATQESQVA
SAPIO_CDS1019	MKYTAPPQRDSWFAPLSIDLIIKVLKVTFLHPFICWIIPLCFRA QNYPYTHFKMVYSIIWATFITLCWMAGIINKRMAFGLPREVDLGEEVIVITGGASGLG LLIAEVYGMRGASVAVLDVNEMENLEARGVTFYRCDITDKEALAKVAKQVEADLGTPT VLINNAAIVHGKTLLDMCFSELDKSLTTNLTAQFYAIKTFLPAIIRGGKGGTVVTISS VLGHVGAARLTDYSAAKAGLTALHKSLTAELRASHPDIRTVLVEPGQLSTPLFYGVQT PNSFFAPVVEPVDVTKEIVAAIDGGYSTHVGFPFYARWIDYYNILPLGLQQLARKLAG VDTAMETFIGRQGMAAMQKA
SAPIO_CDS1020	MKIKTLSRAPIQEAGSDVAKLPRNLNPEVHPFERAREYTRALNA VKLERMFAKPFVAQLGGGHVDGVYSMSVDGHSLQRVASGSGDGVVKVWDLSSRDEIWH ANGHENIVKGLCWTKNSHLLSCASDKTIKLWDPYNTASDSEPVATWLGSSGFTSISHH RHKNAFAVASDVISLYDLERPTAAPEVIAWPSKADTITSVAFNQVETSVIASCATDRA VILYDVRTSMPVTKTVLTFACNAVSWNPMEAYNFALASEDHDILLFDSRNMSRALNVL KDHVAAVMDVQFSPTGQELVSASYDRTLRIWKRDQGRSREIYHTKRMQRLFAARWTPD SKYVLSGSDDGNVRIWKANASRTEGPKSTKQRQALEYNDALVARFGHMPEIRRIKRHR HIPKVVKKAASIKREELNAIKRKEENERKHTSKQFQKRKPEREKMVLAANQ
SAPIO_CDS1021	MDLDHLMLNGEQGGPSVKISAASRSRVDFEMTGADLSFANSIRR VIQAEVPTLAIDLVEIEINSSVLADEFISHRLGLIPLVSKDVKDLRYSRDCDCDQYCD ACSVRLSLHAKCMSDEILKVYARDLIIDSSRKSADLGTPVITDPEGHGSLICKLRQGQ ELKLQCIAKKGVAKEHAKWMPTAAVGFEYDPHNKLHHLDLWYEEDAQKEWPKSKYAEW EEPPQEGEPFDYNAVPDRFYFEVEGVGVLDPDEIVQGGISVIQQKIAELIHVISGRGP ENAVEDEFGGPRSPDMAMDGNPWQDTAYATTPYGNAGNQSTWGGAATTPYATTTPYGN SGQSGWS
SAPIO_CDS1023	MAHLRIRRALGHLDPPGLFSNSVREFDIVVTCRDPGPEFILLCT KLSLQRLRFLAWGEAAGLLPSPDGTSPRDERQKLAAEIQQSLEESLIDLSLVIMDAEV FTERFQLGGDVPERERDAQRAAALSVGLPVFQSRFEACKERIWRHSQREQSSWVVRRW SVYDLSKFATAIDKIKCSLDALEGITRCLGILEEQKRLLRHEIELVTVEASIRLLSRV SSLDESSPVLSVVSNVVNRALRSFAEPRGPKISPVYQMHQTKLGVASPVEEASISGTQ KNGSSIQVTSISSRSGERKDNPGAGLSEQADLCQACRIITLPMLVNGFRHPLDFEEVQ KSKDHCRFCALLGDAYNTQTCINQMNLPCDKIHRDRLQWALEALGPGVRYGMFKLDSG HYGRPIRIYSLEESSLFSHGIFTQRDLQAASSPRNLRLLRTWLDECRSTHDECQRGRY SGHQFDDVSGSAALPFRDAIRLTRELDERFLWIDSLCIVQDDPDDLDTQIGLMGTIFE KSYCTIVAADAKGPSGDLPDRGLFLSGGDIVRRRPLLWVRHPFPAVESRFDNPYDMKA AQPPSKGEMLPVFMEKHPINEGDIFSLQIQKKTWYSRGWVFQEKELSRRCIFYTEETV AWRCNRYWDTERTGVPERRTRAAGISLEVRTIGGFTYGIPYAARKVWQAAVEEYSKKS LTYISDKGKAIQGLEERMAARCGATFRFGLLDFGPEDILLSQLLWVPDVARSSRLGGD PDFPCPSWSWMAVEGPARWTANECLAHPEALSEVSFGEATPDGRQVLRISGPRQAIEI GSTISEIPHFTRYERWPPEIYFGWAELALDSGTNTMRSAVDGEILGWVILDTEEDLQT AEIIAAPLLQYVRLDDETESMCVDFLALVKVSAQANLPMCSGAPEVYRRVGRGRVLRS AFDWLEGCQRHDVAVV
SAPIO_CDS1024	MSRGNQREKAREANLKKQAAQAGSKPNPYTIGQFARLGASGAPQ PGNTMSGTQMQNAKEKAAEIMRQKQAAGKLTSRIPYALNQGRKKKKEKMRGHAVLITS FLFLLAHEERTDETRG
SAPIO_CDS1025	MKFSNALQLLLAPLAVAKSARTSRQIRRDPNVGVGSVAGVGGVA GVGGVAGVGGVGGVVGGVGAIGGIGLGAISGVNAVIVWVNQGGGLPPTTLNQQVTVTQ TVTVPAGAAPTAIAGVDGVSTTIQPGASGVIAGTGATHSVDVGGPQGLSFFPQEVAAN VGDMIIFTFYGQNHTVTQSAFDTPCDPLAGGMDSGFMANPDNTIVPPPQVAMQVMTTD ALWMFCAQGNHCGRGMTFSINPTAAKTHAQFQANAIAQRGGDLPGTAITGGTGAAPAD NAAPAPAPAAPAPVDPASSAVGSADPAASSVDVAAPAPVNPSIASSGSIPVASAPASV GNSATLGGGIVMGQGTIGADGSCQCAVQCAGGAFPDPATQGLNAFGGVAGTIDMNSAG VVAGAAAPAAPAPAAPAPVTPARR
SAPIO_CDS1026	MENQNQELSHDDIWDDSMLVDSWNEALAEYKKYHSIHVTGLRPE EEIEGGEEEEEIRTETRAPTEATHAQAEIGAAKPTTIESETGNDRSDAVVSEQHARVA EEVSSQPAISAPPGLLGSGYYTGLYEGQQKRKESTG
SAPIO_CDS1027	MAVEPKVKSKGAALKNGKSKLAEAKKRKASEEEPASKSKKLKAV KAVEKENAGKTTVQEKPSKKNKKKSKKSEEVANDSGNDEAAAESALVVRENASDAEED DDNAGDNEAEALAAEIDSGDEQEELDQAMAQFEEGQDVGKIPKLSKKERKAIEAARNE KPGIVYVGRIPHGFYEHEMRQYFSQFGPINRLRLSRNKRTGASKHFAFIEFAEETTAE IVAKTMDNYLLFGHILKCKVVPLERVHKDLWIGANRRFKKVPWNKMIGNKLDKPATQS AWERRVTREQRRRTLKALKLKELGYKFDAPTLKAIPPPAAQDKPAEIEEAAEEAAEKV EKTEKKEKKQKKKPAEVEEAPKALPEPPAGPEIVEEPEKKSATKTSKAKKAVKAKKGK SKA
SAPIO_CDS1028	MSPSAPTDPTRSAATGAKKKSGKKKKNANKPKDPVVNTGNGDAP GGKDEGSEVTGTPVQDTFNDEPNPEVTTTDEITSTSIPEPEEKPASNGHTVAAESVEP VKPIESATLDASSKLIAMGNDRESLHAEVEELRKQLENLNKSHADEIEQLKTEVEEAN AAKENAEEQYQTLLERVEKIKSTLSDRLKRDREELEESKDRVDELEAQNEELRNSSAA TEKEVGRLKEELHEAERELTSLRSRNNLSAQNWLKEKEEFTKLVAHLKEEVDMTTNAM GEWEVIAMEERSVKDGLEEKVSELERQVSAVQDAYSNAVAERDSQSNALDNLQRALQE IQEARRKELREMVEQVQTLEKSVQEADARVQQAEEEKAKLRHELERCMPFEKEVKEKN LLIGKLRHEAIVLNDHLTKALRYLKKTKPEDSVDRQIVTNHLLHFLTLDRSDPKRFQI LQVMAGYLNWTDEQREQAGLARPGTSSSILKLPLSPFTRTPSTASLNTDFMAENSSGR ESLAELWAGFLERSAEEGAEERSRKDSASSAATAGTRPDARG
SAPIO_CDS1029	MGSSTWENIRGLVIFFGPILLPKAISYYRQVRNAPRAQGISIQP LPPSVSRALTVLFGVALLLLALTLPVFSPENVFTATQSRLQIPPDVLFNRLSTLRPNE TLTPSDEALRARFVNMESRLLYLKYGPDVLTGCTFCTSEDPKVYYFYALPSLLAPHLF NLFAIALVTSRPLSQTYGGKWRRPATMAAIALAALDLYLVNSYNYQLNARALRVGEID FFYWRARVYRLLVLAALDGLLGWLMYLSSTHRAFVDAPSPAVRAEAVVLSLSKLKAKL NALGTVMNTVSRDDELRRQNAAYWVQEGNLMRTVMEEREVIESVNNALENGRLNVSAI SKDAESYAEHITANLKAAEEKKNK
SAPIO_CDS1030	MSPNLLVLIFAVELSIYIVNSVGAGAINSLIWGLLNRLPIETSK LVVENRKLQKEYLKVRLELNATSSQDQFAKWAKLRRQHDKLLEQLEKKKAEMTAAKAK FDSSISIARLLLTKAPHFLLPMWYAKEPMFWLPQGLFPYYAEWFLSLPKAPLGSVSIL SWQVACTVVITLVSDALVALYALVATSASKDAAGVKVPGRTGTPTAGQSEEKKEL
SAPIO_CDS1033	MKIFVVFVQLLLWAVSTNALFIWEPCRVDGSCGDEAEAGKRSTV DSGDEVKRSPVTAGIHRVTERKVEDATEEDVLREAQWLSRKYVRHRPTRLPARVANKR DNQYAVVEPKKPELSNAVGIYQDGKDYAYFVKVQLGSTKEPMYMLLDTGAGTTWVMGS DCTADPCTLHDTFDLSKSTTAKGLDSNFSVNYGSGSVTGPSVEDSIHLGDFDITMKFG VADHTSDDFKHFPFDGILGMSMTETKTETFAVKLKESGKLKSNVFSVYLNRGSSGQNE GELTLGGINEKKYTGEISYTNVPQKTTTDWAIPLDDLGAGDKTLGIKGRLGYIDTGTS FIFGPPEDAKALHGLIQGASSSDGVNWKVPCDTTQSITFTFSGKSYNVQPADWISGPP ENGLCKSNIYGHEVVPNSWLLGAVFLKNVYAVFDMDQLKIGFGSRSAEAPAPPPSSST ENNQATTVSPASVSTTGVPPMGVGGPETSRAGGSSGGAEEAAATAESPNSSSESSQAG LLSWRLPHNYLWMIVLAVSAFAHVL
SAPIO_CDS1034	MAKARTDGAPRREDGSTPTTTTAHEEETSLLAPRTSYSDDELDF PPGANNHGLAAPAPNGDLMKPPANKPSPRLQARIPSPVLVTSPRTPRSANRVRFDLTP SVLEPPRDYTIRESTDDERGSSFEVLDGCDSDDDDSGDDTRSSRNSQTRRPLLTDIEA PSVTVANGPWGDGDVHTWAEEERRRPKSGLRSAFMNMANSIIGAGIIGQPYALRQAGL VAGIALLVGLTIAVDWTIRLIVVNSKLSGANSFQGTVQHCFGRPGLIAISVAQWAFAF GGMVAFGVIVGDTIPHVLQAIWPSLGEMPVFGLLADRRVVIAVFVLGISYPLTLYRDI AKLAKASTLALVSMAVIIVTVVVQGFLVPKEERGTITKQHLFINDGIFQAIGVISFAF VCHHNSLLIYGSLKTPTIDRFSRVTHYSTGISMLACLVLALSGFLVFGDKTLGNVLNN FSPDNTMVNVARLCFGLNMLTTLPLEAFVCREVMFNYFFPGEPFNMNLHLIFSSSLVV SAMVLSLITCDLGAVFELVGATSACALAYILPPLCYIKLTARSWKTYVACGVVAFGCL VLVVSIFQAVERIVSGEGGPAQCM
SAPIO_CDS1035	MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRYDPKTIEELRKTSQKPVSPEEGEEVRKKIGAYKYLECS ARTGEGVREVFEHATRAALLSRKSNKSHKKCLIL
SAPIO_CDS1036	MDKLVQRTIKAERQVTRRLKNQANKAYRRELRDRIRHAKAGVED LNRNIAEARRARHERWELGPIAPNRTANTGHGIVTEHARTARQTNDFLLRPFELEARC GWAGGAKFLNLAVGDRVVITEGHDKGNIDTISAINKSTGTVELKEHGKLVAKSPEWLI GKKVSGDQTYPERSVAPMAIPISAIRLVHPITDAETGTTRDVIVRSLRHANVRRDRLT KSTEWDRYVPGLNVIIPWPEKTDPEEVQHKVDTARKEVSDVTFVPTLLRPPMPETLIN ELRNQFSRFRTRHEPWYLEKKQAEANAIKEQRKAVDTMLTPLQEFNRQQREIRKSLGQ PVLTEQMMEKIGAVIVKNRTRILESSGISTVSTSEKSA
SAPIO_CDS1037	MRNLRTIRYGSWEHPGPITATCWDPAKDEVICAIGPSEGRSTIE LVRLGEKGSEIVEKSIASWELQVASPDAPVDRVLSLHHASDTLTTSLIFANGDFVIVR ESESLDPEDGPHIEIVGSIDDGIAAARWSPDEELLLVITKADEVLFMDRDFDPITQTK MTVDDLKISKHVSVGWGKKETQFQGRGARALRDPTIPEKVDEGVLSPNDDNSTAISWR GDGAYVSVNSIQDGKRRVIRVYSREGALDSVSEPVDGLEGALSWRPSGNLIAGIQRLS DRVDVVFFERNGLRHGQFSLRSSSERIRLEWNSDSTVLAVTFSHSVQLWTMGNYHWYL KQEVPLAPSEVVGLAWHPEKALRLTTVIKGIDLQSFPGRESRSHMPIDTVLFSEYNFT TTQGTLAPPNDFGAAAVIDGSTINLTPFRTANIPPPMSMFQVTVEGNAIDVSLGYNDD LIAVLHTRGVDVYSWTTKNGRRVAPSLVAKGSIADADQAFGKESALQIFQSGEGEFSI LSQSYTTLARPFAIDPSNNSVQWLDSIPLAADASVLLATTSSYMDGATVNGYVQGRGG SVQAVFGGFAEPLDLKFASLLPWSRIVTVGEDLLAIGQTRNGLLYAGNRVLARNCTSF LVTPNHIIFTTNNHLVKFIHLDDVDSMEVPADDPETDERCRSIERGARLVTAIPTNMS LVLQMPRGNVETIFPRAMVVAGIRKLIDEKNYKRAFSYCRTQRVDMNILYDHRPAQFI SNVGLFLDQLEDVTYIDLFLSSLKEEDVTQTMYKDTKRQNAAVVDGFGEAAQEKGAEE TDKSTSKVNRICDAVLRGLQSRKATNLQNTITAHVCKVPPALEDGLSLVAGLMREDES LAEKAVEHICFLVDVNRLYEHALGLYDLELTLLVAQQAQKDPREYLPFVQSLHEMTEL RRRFTIDDYLNRREKALLHLKELNAFDELQNYVVKHGLYQKALETYRYDRERSNVIME LYAAHLESKSQFREAGLAYESLENYQKATSCYRSAGATCWRECLFTSQLQTPPISGAA LDELATDLAEALWEAKDYASAATIHDEYLSSLEMAVKCLCKGYHFADAMRLVVRRGQP ELLPTAVDTGITEALSSSTEFLADCKSQLKAQVPRILELRKRAAEDPLAFYEGERAGG EDIPDDISVAASSRISTSASLFTRYTGKSGSVGTVGTGVSRATSKNRRREEKKRARGR KGTVYEEEYLVNSVRRLVDRVEGAKGEVERLVFALVRRGMQERARAVEALMAEVVAAC KVAIGKVFPQEEKQEADGQTEEGVLRPTGADAVLHDLLESQGKKQEPPMITEVRRLTL LG
SAPIO_CDS1039	MAASENELRTFFGDLSKSIDIPTFDQILQMDDDQEREFSRTLVF EFLEQAEDTFGRIQKSLDEQDLPDLSSKGHYLKGSSATLGLIKIRDSCEKIQRYGLKE NVDGTPEPDEELCLKRIKNTLTELRDDFDEIAKALKRFYRADEEDGEEDDSEEEDDEE EDKAEESKNDKAQEPASTEGAKTAGDAEQDTKKGNGPKASADAAAATDKEDTAKEAGA R
SAPIO_CDS1041	MRLSDVSVSLQGMMSLTPFSETPPTSPNAPNFPAALQRLMPWNL PSPPTPQRERDQARTKLAAGHPGIHDDLDSFSSSTSNYNHSTRHTKTAAPTRKSPNPH RGPNKSNRRPNWLYPDQKQQEDQAPLLDQAHPPPVLARSGPSILPSNTSRRAPHSSHP TTHSEASPASFPRPRSHGYGRTHIAEGISTLDRDRRRGGAGGGREKEQRAAGMSSSGG GAGTAAAAEKRRSLHVSKTGGSSSGGGSVGGGSRGRSGSVGKGALIAPPPKAFQAGPA SSSSSDRAEDGHGHGPEAGSSAGSGKEGNSSSSGSTPTPVTAAAMVAALKEKDEKIAM LQRELSIMETEFAKELDRLSQNESETATFWQNKHSALNQQFLRADTELRLLRAEVEVR RGEREELREGWDILRKQVRERDEEIAGLMAQVRGLKEWVSTSTRSDAQTTDEVFGEGM AKLANSLQNWVIVNFRKAKLDLINASPEMLENLRNLVPMYEELAPVAKVHLLQSVVSG ILVDMVFNAYYVGLSKEQTERFRQMEDLLRSFADSDAPINQWRSSTLSILRRDSSKRL ATETETLTAAVIARINTTLDALTDDCSTPQRDQTLRSLVQSSVDLARLLAVQKAEFRV WMPGMVAHQRTTFDGRTMEDLGGEEDDEEGLSAREIWCVAFPGVIKRGDESGGHLQFE NVIAKARVLCRPEE
SAPIO_CDS1043	MSLNLPIRSTPTSRRNKESRNYFTNYTPASAVGAGSGAGTEAIN GPVREPAREPKPAADRLIVGVDFGTTFSGVAAVYTSTPDDVEIIKSWPGGNGITSDKV PTEIAYDTPAKADHDAPPVVKWGFQFRPEESRLRCIKLFLDRSQKLPFYVSPLETAAQ LKRFNKNVVDAVSDYLTEVYKHTMDTLTRRYGESFMASTKVEFVLTCPAVWSDAAKNT TLQAAERAGMGSKSEIQMISEPEAAAVYTLKAIQPNHLSVGDNFIVCDAGGGTVDLIA YKIISLKPLRVEESAVGTGGLCGSAFLNYRFEEHVRNRIGQSKFDEMKTKKGKTWQMG LRYFEEFVKRNFNEDEHQEVNVPFPGLPDDEEAGLDSGFLVMTADQIKDIFEPVVKEV CDLVEGQVDGLRAKGGVVSGIVLVGGFGQSNYLYRRLKAHFSSAAPPPYSERPTHAGA ASADSRGSIEVMQPVYAWTAVVRGAVLRGLEGNMVISRKARMHYGTSYATVYDEEKHS VSERYWSPLWERWMVSDRMQWHIAKGEAISPLQPIAFHYTRNFRPGQSLVVTDDLIAC EADDPPSASTRDLVNVCTLTTDLNAVPRSLFTRLTTTRGVEFDNLDFTLEMTVDSAGL GFELKVDGVRYGRVEAEFHGA
SAPIO_CDS1045	MSTVAAPTSTHGHDLVRRGSSHSSNHLALEDRFEVLKEIGDGSF GSVVLARVRSAGSNVARRGTVVAIKTMKKTFESFTPCLELREVVFLRTLPAHSHLVPA LDIFLDPYSKKLHICMEYMEGNLYQLMKARDHKCLDNASVKSILFQIMQGLEHIHAHN FFHRDIKPENILVSTSGHSDSSNSFRRYSALVTPPSTPPTYSVKIADFGLARETHSKL PYTTYVSTRWYRAPEVLLRAGEYSAPVDIWAVGAMAVEVATLKPLFPGGNEVDQVWRV CEIMGSPGNWYNKAGARVGGGEWREGTRLAGKLGFSFPKMAPHSMDTILQSPQWPASL SHFVTWCLMWDPKNRPTSSQALAHEYFTDAVDPLRPKSSASRILGRKQSNIDHNRSSK DTVATLSTTGKQSWFRKSLIGRSEGIADPVVTPVMTKESSAPRPSPVPSATVNNEVPV AKTRTTAAKRTTWVNGPSNVAPMPILPTIRPISPLSDAVTAQANNAAPYNEQQLGVDG KSGKKIGRQLSVASSTNHYAEMHRQQAERALNGHTGLISPPSAQKESFFSHLRKRARR FSGRHQTPISPTYDDLEAQVGCGPWASNRSSMIMDAQAHPPPKHEVYESLDKALRDVQ QHESLRPPVPPAHQIAATTGIKRHHSLPKYQQPRSVDNLLGAARAGAGPVSSRTRRSQ AAQGMRQYDAPDEEDELLDEVLSSTRHAIHRLEKDGKPALRQSASSAAISNPYPTPSP SASGNAVLFGDGQAVTPKPLNLAKKPSGTENKWPTPPYEESEWAASAAASIWAASNRF 
SAPIO_CDS1046	MPPKFSNSSGKPDKGKAPARNPNDILSSAQFKCSVGKEYKPASS FSKREWNVFEHKVKRGMKVSIHNTGMTCREHKGEPSLEYRCQGPCDKVKPISEFSKNG RTNGVYLSQENEANVEVAKEDAAAPDTHGSNQSVAFSRTQSHAASVLGSIDTDAESSI GGVHLDQRSQVSLGLPPHLILSSKEGSVVTSSYAPSETDNGSIAPGDSSSQIGRGFMP PHIARAGGRQSSIVSGSSRRVDDWDFSGRQARQPQAIEEDPFEEDDDDQESIASRSTM LLSSRASNASDVNVNEFLVDAPAPRVSRKETAAPRTTSSKWAKPRRKVEPAPLPTLED FERPPQYDDDDSETELP
SAPIO_CDS1047	MSWRKTERLMETIKHYASFPATGVSLRQMVQFGKDPSVGTLFRA SQFLSEELPIRLAHRVQELDNLPDGLGEMPSIRRVQDWYAQSFEEITELPRPRLDSET RERLMKPAKHAKNKWLPENTPNPSLTEGEYSGGWSNQRQNGNNNGNGNGNGNGNGNGN GWSRKYHSARRYFAAVDDAGGSWPPELHMYNLKFGECLNKIKRRHDGVVTTMAQGIVE YKRKRQRLQIDNNIQAFLDRFYMSRIGIRMLIGQHIALTSQSHYRDPTYVGIICTKTN VHELAQEAIENARFVCEDHYGLFEAPRVQLVCNPHLNFMYVPGHLSHMLFETLKNSLR AVVETHGQDKQEFPVTKVIVAEGKEDITIKISDEGGGIPRSAIPLVWTYSYTTVNQTP NLDPDFDKSDFKAPMAGFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSS SEPLP
SAPIO_CDS1048	MQQPCIALGASNGHSSQPVWGPFPVAPSKIRPRILQAAQNRQRD ASWLAPTLPRNDVLPIIIRGSPHETRRFSDRQMNGTDDPPPNLSQDEIEPIERAAAVV TPPPLPSPTLSSPVSLYRLGISPYSKQFSSPRAPGPPWLKSPSVEIGHDTSYDDQADD HDQADDSQDYEHEQTHEQTHEHANDGDVESNAAEHSGDSPSSEDPIALDSKDVLLQRL GDLMQRLNSDDTSFKDENLSALHSKVDEMEDVLEGSPHPLLRSQVSDLTNAIAEAPLL KPPAERKPVQIRRPKPAISAEDASRIAQEAEELSEHLTSIISRLQSRQEESEHIHALL IDRAERAAQRIIVLEDHVQNLQANLHEYDTELASLRIALKAIEVQCPPPSNMDEDLRR SIQKWKADWKSVKEKRASRSLRESSFLSSAPATPR
SAPIO_CDS1049	MAGSDKSTPISLGEESLGPAIEMTDIGLKATKKLANPLDGLSFE ELSIRAEEYCKYHGITKEEDVRSFRLGAQLAGNLDRWDAVEGLTDEERIVLEQERDHK WKNPKMLYLVVAICSLCATVQGMDETVVNGAQAFYKHQFGIGSGSIRDTWLLVSIIAC IWQALTNSWWHLFIARCLLGFGLGPKSATTPMFAAECAPPRLRGALVMQWQMWTAFGI MLGYAADLALYEVPDVGGIVGLRWRLMLASAALPAMVCAALVCTIPESPRWHLSKEEP VPAYQSMCRLRFKKIQAARDIFYADALLNVEKDVVRNTGVKELLTVRRNRNAFLASEI VMFMQQFCGINVVAYYSTEIFLAAHFSEKSALVASLGFGVINFLFALPAFWTIDTFGR RNLLLATFPFMSAFLLWTGFSFWLPVGATRIAMIITGIYLFGMVYSPGEGPVPFTYSA EAYPLYIRPIGMSFATATTWFFNFLLAVTWPSLQSAFTPTGAFGWYAGWNIAGFVLVL LFLPETKGHTLEELDAVFDVPLSSLVKYGKSEFVWFFKRKLLRQEAKRPAVPHAAVLN SGNFQMAQGQAGGV
SAPIO_CDS1050	MADTSFIPPPPPPLMSPTCPRPSLGRQSSWHRTSTIQGSVPPPP PPPPPTESWAPDEEEYIEADELRGNEPEFVLDRGIPSSYTPLPPDMVDEFRKFRQFLD AGGVEGLKNQIVEEGKRFSFSSLPIFTFSSPTSGFQLTRQSQIPRESFFPKAPNFTAA PPTGPTFPQTPPASPLQKPQSDVGLSIVDKRWGQLFDENGKATRRLGQVLRGIANYLI SQVEPLGLVIPPKKLSVFYRQYAVENEPHSFADVFKCREDDEKDWLDIEYLFEDLECN YHLIKHSPKSPNIVPALTPDGFVKWTIMAIYANPDVEAKRLDRIMSKLPIDSDGPLVD GKPERLPKQLSRHLLPKKADKTAQENLDLSIRDVFSRRKSRDRKRAEKARKSSPDGGF GSSTSSPSKNRDRDTSYNDGSPDSSSRRKEEKKAPAKSVRFTNKDRDNDGTQSTRPSK EGAGRPYNLSNITLPPTARGQERSPSTKSPRHGSTDSSSPAEPYATSSPPPPSVSLLD LHSGRDRSEKDDKYYRYSSKAEDVTPRRMPNGSGDDAPRSRRRRSVVLTQDKPPSRHH SRRESAADYAGHQYK
SAPIO_CDS1051	MPGTSRIPASLRESFNQVKRRRPTSLAAVVNLDLFRNIIFFFFV LRWTRRAFWQLRGRGIIGSLVELYRNIGRILYGYLLRVPGVRGKVEKQVAEALAKTEA KLIPADLPKYLALPKDGLTDEEVRKELETLANLDHTKWEEGYVSGAVYHGEEELTKLQ TEAFGTFTVANPIHPDVFPGVRKMEAEIVAMVLSLFNAPPGAAGTTTAGGTESILVCC LAARQKAYAERGITEPEMIIPETAHVAFRKAGDYFKIKVHRVACPAPDYQVDIKAVQR LINPNTVLLVGSAPNYPHGIIDDFAALSRLALKRKIWLHVDCCLGSFVMPYLEKAGFE SQPFDFRLKGVSSISCDTHKYGFAPKGNSTALYRSAEHRSYQYYVSPDWPGGVYASPG IAGSRPGALIAGCWASLVKMGQNGYLDACVKIVGTAKSIAQKIHETPSLDSELEILGR PLVSVVAFTSKNLDIYDIYDGMTAKGWHLNALQDPPAIHVAVTMPITKVWEKLMSDLE AVVEAEREKERARIAQGKGAKKTVGDSAALYGVAGSLPNKSVVVNLATGFLDLLYKT
SAPIO_CDS1052	MHFAYPSRKASNPPPFKPRSSRFPVLLRKGRLRTIALFGVAVLF LLYLFSGGSRQRTKSRHVPSGTPPVVMVTVLDSAHGLPYMETVRENRISYAKLHGYET FFVHVGDYDLHGSAMSWTKVVAMRHALNKFPDCQYIWFLHQDAFIMNPKISLHKDILA PGKLNELMMRNQPVALPDSIIHTYQALKPDNVDLVVTQDAGGMSPDSMVIRNTEWAEF FLDTWYDPLYRGYNFQKAEIHALEHIVQWHPTILSKLALIPQKFLNSYSRETLGQKYS DGDFVVRFAECTKTGDTSCQQEAGRYNELRLHAFASA
SAPIO_CDS1054	MAYNFSLTTFSPSGKLVQIEYALNAVNQGVTAVGIKATNGIVLA TEKKSSSPLADPSSLSKISLVTPNIGMVYAGMGPDYRVLVDRARKVSHSSYKRIYNEY PPTRILVQDVARVMQEATQSGGVRPYGVSLLVAGWDEGILPDEEIEERSTELGDKKLS GKTGGILKGGPMLYQVDPSGSYFPWKATAIGKAAPQAKTFLEKRYSEDLELEDAIHIA LLTLKEHIEGEMSGETIEIGIVGPPADHLLGIEGVEGAKGPRFRKLTPQEIDDYLTNL 
SAPIO_CDS1055	MELHAAGFNAWNQLHFEARPGEVTADSEPDDISSFTRVLAAGDI GIPVSGLSFTLVETQGGKIVAAGFLEGDASEVSLRQAYHSVTTATGETLLNYEVKCSD IASGSQGSELQSPLRSQNVQQLAAYDTGFVALLDDGSVWVKGDERFPNCLAVNPDEER TPGSWNRIQWLDDLPTGPIVRIAAGGYTLAALTEGGDLYCWGGRPSQQPLFEGLSNMP IPVDVADGDVKDVALGERHIIVLTVDGHVLVRGSNKSGQLGLGQDGPTRVEDWKRVEN VPWNTNWDIERVAAGSKASFIITRRAGGK
SAPIO_CDS1056	MADYTDSSSSSGASELSDNDTSPRLSAFQADISLEEGEIIVEAA EKEIEANELLPEFGKKEDSPSKVVVMAPRAYQLEMFAESMRRNIIVVMDTGSGKTQVA ILRMKKALEDMPEHKVKLLTGEENIKTWSTEAIWNGFLMNVKIVVATYQVLLEALTHA FVRMESVALIVFDEAHNCVKDHPGAKVMDRYHLYKKYKLPVPHILGLTASPSMTSKPK DLLTLESTLDAICKGPTLHRDELLARVKRPQLKPVLYTPCSLLNVDNNIPPLLRRLEE VYQGMDINDDPYVVRLKTEKGPRSKQNLEKARSKGDTFVQNQLRLLIRTTKMLLKEIG PWAVEYFVTETIRRFLSILDGKIIFEDWVIRERDYLAEKLRSVVADYQSTREEQLLSP AGLTEKTLALLQELNSRKGDTVGILFAEERIKTPLLAHLISIHPLTRNKYKAGTMVGV SSFAGRKRDVLDLWHQGKKPAADLERFRTGKIDLLVATSVLEEGIDVPACNMVLCFDS PRNLKSFVQRRGRARKKDSDLILLVDSTSNLPRDWESLETELRKQYEAEDREHARWAE LEESEPYGADEFLITSDKRAFINLDNAKQHLDHFCRVLSPGEFIDSRPDYIFHEVSEG GISRFSAEVVLPTYVPIHVRTAESAREWLSQKNATKDAAFQAYSGLFKAGLLNDNLLP LREGQEEKIEAREAKIEVSDLISPWGQVARAWDDGTLSTHLVALRDDQGKILAEYDMT VPEEVLPPPCIKLYFEHSNGPWAVEIGRPMPREKGALDGVQPPDHTVALLSVPFRHRF KWNPEYKQHIIGFHAKGDHLSLTQIASREFDSTNSDIRQGHYLIRDPFDHPFLFGDLL PGKPPIESVRRPFRDFEEAPTDVSYLSLKKWPRRLDLLHPLHPSSRQESLKPYERVLP MTWAKVDEVDVRHAKFGQTIPCIMHELEVQLLATVLSNTVLKKIDFSKTDLIRAAIST PSANEPYNYERLEFFGDSILKWCATFNVAALKPDHPESYLSLHRDVRVSNSRLYRACL SLELDKFILNKSFTGKKWRPIWVEELLTKGEDPNTSKRIMATKTLADVIEALLAAAYV DGGMPKALVCLRTLLHDLPWLDVEECHSILHDHAKADVPLPATLQPVEQLIGYSFKKK SLLIEALTHASYIGPTATSLERLEFIGDSVLDHIVVSRLFNVDPPLPHWKMHRLKTAM VNGDFQAFLVMERCIWQETANVTENEEPEKASPRPSRWSLERSEFKQPLWAFMRHSSS GVAIEQEVAMRKHAALREQIIQELNSGRNYPWGLLSRLQARKFYSDLFESLLGAVWVD SGSMEACEAFLTKFGLLGYLDHILEADVHVTHPKEEIGSLAGNNTVDYDIEMKESQDG TKRFSCKVVVGGLVIAEVDDGVDREEVRKRAAEKAVRLMKEERDEQDEKDMERNGMAM AMDIDQVQAAPGVRSI
SAPIO_CDS1057	MSRLRDSSGGNIRVFVKWHDQTVFAGEEVKCTITFKNVAPTHQR DPSTTSQTLQPRTPRHASGGHADRLRPQAAGPGLHPPSARGHRSAASSPRLPPLGAGA AAWANHQPIQAAVSSLDGRRGHRKSLSIVSLGSTGLAGDDGSDTQVGSGRSAMLRRHG RSASLQISTMSSRVETEIHSARSFRNVSSPTFSSSYSQVRNTRPSGASTVPSTPSTLN PSSLRHPASGIPEFRFPAPQSPDLNSNATESRLDEAMSPQSMASEFSDLPPRIKNQPI PTITEHHAAPTARILASTSLGTGTPRSSGEFYSMSNNSTETLASEYVTQQPLSRNTST ARPLHLRKLSNISQPNILMPESIMMGYARIQGSFTLDGSLVNLAPFEQVKKKAVVDGQ GGGVVGLEPTKRDSGLLGSFGWGSISSSLGELLSGGEMSTIKTMRGIANSKSIPLLST PQSLLFVDLQLAPGESKSFEYTFRLPRGLPPSHKGRAMKISYCLVIGTQRAGGAKEQR LRSVEIPFRVLGSVNSHGEILGHDLMNPYIILQDYAKVKTIITPVGDNTRRSSSRESA PPQNNSTLNDFLGYVDELLARPRNGSHGGLLSPTATMPNSRRPSVYDEENITTAKEAI DMAILRSNIAPEGYNSPNRFEIARNGRRVAVVILTRPAYRLGEVVTMVIDFSDAEVPC YAVHSDLETCEKVDQTLAMRSEAGVQRVTRRVVVSASEAALYARRVVFTATIPVAATP EFITSGVSLEWKVRVEFVVPADNNQRQQQQQPRPNGEEGDDEEGQPLAARESSAQARL KPHPLLEQLSRDEKGGLVLVAVENLACESFEVAVPLRVYGAVGKGLERLDRDDSGVTG LAV
SAPIO_CDS1061	MSASRRVLSSFRVQLRFRYPQFVSCSRRYSTAPSSSSTPASVEV NGKTVTTDSWFNVPANVINAASRRLHLQKDHPVSITRQIIEAQFPSPTYRYHNDFHPV VSTLQNFDSLGFPADHPGRNKSDTYYLNKDTLLRTHTSAHQADTFRANLSDGFLISAD VYRRDAVDRSHYPVFHQMEGARVWDRTKVKDGNLAAAVWEDVERLPKHNIKVDDPNPL AHPERNPLQDKHHTAEEAEAISTHLKRSLELMVVDVFTRAKAAASRENPDHVDEPLRM RWVEAYFPFTSPSWELEVYYEGDWLEVLGSGVVQQQIFINAGVPSQAGWAFGIGIDRI AMLLFKIPDIRLFWSRDARFLSQFSGVSDNLDTLRRFVPFSKYPPCTKDISFWLPSTT SAAGGNTRPSPQDFHENDVMELVRNVAGDVVEDVRLVDEFTHPKTARRSLCYRINYRS LERTLTNVETNELHGEVTKELVNKLGVEIR
SAPIO_CDS1063	MSATKAEAPKAVAVVAPSAGVGLYAKFALAGALCCGVTHGALTP VDVVKTRIQLDPATYNRGMIGGFRQVIQSEGAGALLTGLGPTATGYFLQGAFKFGGYE FFKQQHINLLGYETASKYRTGVYLASAACAEFIADIALCPLEATRIRLVSEPTYANGL VGGFSKMLKTEGVGAFYAGFGPILFKQIPYTMAKFVVYEKVSEAIYRQWPKKDMSDGL QTAVNLGSGLIAGFASAIISQPADTMLSKINKTKGLPGEGTTTRLIKIAKELGISGSF TGLPARLFMVGTLTAFQFAIYGDVKKALGAVGGVEIAK
SAPIO_CDS1064	MAISWKSFDFFDVAEVRLADDETRQVFESNDISCVISGSESLFL GSYDGYVRIVNSNWKVVRSFLAHDVGTITHMRQVEGTSLLVTVAEDLSSPPVLKLWAL DRLVKKTNMPTCLTTFAINNGKQQFPISAFAAINDLTQIAVGFANGAVTIVRGDLVNN MEPKQRIVYESEEPITGLELFYSAESKETTIFVATTSRIMRLVVSRRGQGSPPKTVED AGCGVGCMTTDKKSDKIVVAREDAIYYYTMDGRGPPCAYESPKSLVSIYGDYIALVCP PTDQSTREPETIRRRFGGAADALFNASTFVLLEPEHRVIAHSESLISAVKAVFEIWGD LFVMTQDGKVKRYHQRTLQQRLEMLYQRNMFPLALELAKSARMDSRQQSAICKLFGDH LYQKGDYDAAMVQYIRAIDTTEPSQVIRKFLDTQRIHNLIQYLEQLHEHRKATADHTT LLLNCYAKLKDIEKLEAFIKSPGDLKFDLDTAISMCRQGGYYEQAAYLAEQHEEIDLV VDILVEDSKNYDEALSFIRQQDPETAYNCLMKYARVLIENCPRDATQLFVDYYTGKYR PRPKMVEVTPEAIEAAQGGLAAGAAVAVQNFTNLIALRGNGTPSTPTNANAPINGQGD AVAAAAAAEDVATSDYTPPAPRTAFSSFIDHPNEFIVFLESCLESPELSKSDKTDLYT TLFEMYLHKASEKKGEGREEWEAKAKKLIGGEDIPMESSNVLLLSDLSGFKDGTILVK EQAGLLFDIFRSYTSAKDTRGAMKALRKYGPEEPQLYPAALAYLTSHPQILDEAGPDE LAGVLNKIDKDGLMAPLQVLQTMMGQGGDGGTGEGGVATMGMIKPYLHEIIDRERREI ASNRRRIAAFRSETEQKRTELAELSTKPAVFQATRCSDCGQSLELPVVHFLCKHSFHQ RCLRGGGAGPEAECPLCAKDNATIRALRRSQAENADKHELFRNELERSEDRFATVADW FGRGVMDVPNVE
SAPIO_CDS1066	MASPRDPSRERPVSPQSPLPPPATDDLLSASYQSTDTVRRHPDA TGYGTIQSSATPLRSPNVYPDAPASSSRDPTSAQAAASRQGGTERARSSRQKKPNLAR RPSVNPMTPYRGEVFSVDDDVLEVEADAAEQQQQGYGSRRRSVATRPALPRGQSQLRL NEADDEAPEAVAEEEDDNEESMHHGELQLEDHIDCDSEGELSDAESFTLKDRQEAINE THPFGIRLWKPALYKKSRSVQKTAEGDIHSTPGQIGGLWIRFFNIVWTILFGWWLALL AALGALVCFILVAFPGSRLYGRVLWGLAGYLFYPFGKVVRLEKDEAYLHEDRGEGRSI FEYEQWQSGDLEYGRLFFGPEPNRSIVGRSRRSIDSSLSETDSLLGNSRTIERSDSNG ADAPRMKRRLFGRGEWNVGRVIFFVCFYFFIAPALLLVSLICWFLVYWIPMGKVTGLL FSHLRRHPLALSFESGFVTSEAPYSSILLCTYRAVGPRYWKYTLDGTNIILINLMGVV AFVILDWFILHGIMHLDTFITSSAFFFPAGLLSIIPLAYFIGQAVASISAQSSMGLGA AINAFFSTVVEVYLYCIALNQGKGRLVEGSIVGSIFAGILFLPGLSMCFGAIKRKTQR FNAKSAGVTSAMLLFAVLGAFGPTLFFQIYGTHELNCMDCVETGDPTGTDNTRDCRRC FFSEVPALDDRFYIEAVKPYCYLAAGALFLMYLIGLWFTLRTHAAVIWNTELEEKKHE EALAAAAAAAAAGHAPIHHRPSQSLPHSFAETTGTDIRDSHLYKRILGQSLKHVGLAP RHEELSHQISHQSSNLTGTSSAGLGSGTSASTTPHVVPPKTDAGEPHSTRVYIPGFSE AENQNLVRQVAEVAATAATIAARDVRHPRRSAVRIGGASELGPAASGGAGGSKNGATT GAGAGSKLSTFPEPDGPPDALVLGEHGAAAAAGTNGGGHDAPNWGRTKSAVILLGATV FYAIIAEILVDTVDVVLEGFAIDEKFLGISLFALVPNTTEFLNAISFAMNGNIALSME IGSAYALQVCMLQIPALVFYSALWPPTPLPGGDDEPAHYLFTLLFPQWDMVTTIICVF LLSYMYGEGKSNYFKGSLLLLSYGIVVVGFWLSGLTSVQTMGMNRFDRLGGDGEFVSY KTIGRSRRGVAY
SAPIO_CDS1067	MALDNYYQNKIEAMKLEILKGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDVADSVDVSKLTVGKRVTLLSD SYKLEKILPSSVDPLVSLMMVEKVPDSTYDMIGGLDKQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFELATAKILNKHDDKEISLAKFFK
SAPIO_CDS1068	MPYSVGQPHPPRLSPGRGSSEKRSSSPSYFGLVVDDSVDPRDSS QMPRYNWSPPSSSVKSFATALPKPVALDANPEFEAFKKQVDAYRGKGISLSTTTYMSQ PSLTPIKSPVRPKPPRWQSYANDSTTSLDTPSTKADSNCSAQADRMDVDQDSLHDSAY VSGDSKRNSEACLAPLPSVNLSVPDSVDGDLELPQQPMILSKVENRDPRLSTVGNRPD MISPPICSNTPRPHSTPPKTDGGPMMIMPSQLRDMEEDSNIRMLLLDVRSAQSYAQSH IRTALNLCIPTTLLKRATFNLQKLQQTFQRPEQQGLFSRWRETDCLVVYDAFSSEKTE AMTPMNMIKKFTNEGYKGSTYILRGGFRLFEEEYPELIYAPTPDSPVSPNGTCAGPLG LAPVIGGVLLPVASNTPNPFFSNIRQNMELANGVGQMDIEKPKGLESPTLPRWLRDAA EAKNHGKKVSDKFLNIELCEQSRMKQAYSMLNRDPSSCQSDTVQLCGIEQGGKNRYKD ILPFEHSRVKLPCQGDGRCDYINASHVKASGSNKRYLASQGPLPATFEDFWSVIWDQD VRVIVMLTAESEGGQLKCHAYWRNREYGLLRLRMLSETKVSLDIDKKPSSDSPTPQPP TSAAEMGRRRAHTTTAFDAAFSSSGASPQAQRSAGESPYVIIRKFALSHAAHPFEPMR EITHLHYPSWPDFGAPAQPSHLLALVQLANAMQRGSLPVETTSVGNSRNPNLASMPWT DEPECDRRARPMLVHCSAGCGRTGTFCTVDSVIDMLKRQRLSKRNSKLNNSTAHTGTD KDVDMDDSTPTPRSPISRMSSATSPFSDSSSGRALLSRHSSAKTLSRRTSLETPPLDL SWISDDTIDLVQRTVEDFRRQRLSMVQSLRQFVLCYETVLEWLWRVQDRENNGDMAHR GRMRSGSLQVRREG
SAPIO_CDS1069	MADRDILPDNLKPSHYALSLRDLEFQNWTYQGTVDITVEITKPT KEVVLNALEIKLSSAKVTDDAGQEVSSTNFSYDERAQRATIVFDQELPTTSKAVLSIG FEGIMNNEMAGFYRSKYKPAAPAAASVPRDDDWHYMFSTQFESCDARRAFPCFDEPNL KATFDFEIEIPEDQVALSNMPVKDIKKTKDGWQAVSFETSPIMSTYLLAWAFGDFEYV EAYTDRQYNGKTIPVRVYTTRGLKEQGNWALQHAPKIIDYFSEIFDIDYPLPKSDLLA VHEFTHGAMENWGLVTYRTTQVLFDEKKSDARFRNSVAYVVAHELAHQWFGNLVTMDW WDELWLNEGFATWVGWHAVDHLHPDWKVWAQFVNEGMESAFKLDSIRASHAIHVPVRD ALDVNQIFDNISYLKGCAAIRMLANHLGVETFLKGVSNYLKKHAYGNAKTSALWQALS EASGQDVNALMDPWISKIGHPVLSVKEPSPGEITVRQSRFLATGDVKPEEDETIWWVP LLLEGKAGAQGVQPLALTTKEETIGGIDDDFYKLNSGATGFYRVSYPPERLEKLSKQL DRLSIEDKIAIIGSTADLAFSGYGSTPSLLSFVQGFAKETNYMVWSQVLDSIARVKGV FGDDEAIRAGLEKFTVKLVSGAVDEIGWEFAEGEPYLTSMLRKRLIATAGFNGHPGVT LEALKRFNAWCDDRDSDVIHPSLRLAVYNVAIKNNPAKAVEVLKNEWFNTKSIDGKTI CLSALGAVKDESIIRDNLLPFLFNASPPAPVENSVPSADMHVLGIGLAGNHIARGIQW KYLQDNWAACVAKLGNPIVLDRFVQVSLPGFTNAGVIEEIDAFFADKDTKAFDRTLQT VKDKIRGRAAYRERDIEALREWLTTNGYMS
SAPIO_CDS1070	MPRRSYNIAMVSDFFYPQPGGVESHIYQLSTKLIDRGHKVVVIT HAYGNRNGVRYLTNGLKVYYIPFAVIYRNAAFPTVFSLFPILRNIFIRECIEIVHGHA ALSALAHEAILHGRTMGLRTVYTDHSLFGFADIGSILANKLLKFSLSDVDHVICVSHT CKENTVLRASLDPLMVSAIPNALVSASFRPKKPSSKITPLRPSETITIIVISRLYYNK GTDLLTAAIPRILEDHPNTRFIIAGDGPKAIDLQQMIEQNVLQDRVEMLGAIRHEEVR DVLVRGHIYLHPSLTEAFGTALVEAASCGLYVVSTRVGGIPEVLPDHMTVFANPEEDD LVAATGRAILRMRAGEVRTELFHEQVKSYYSWVDVAARTERVYDGITGEISEAEFYGS DASRPDTRSRIPSFAMIDRLKRYYGCGIWAGKLFCLVVTIDYLLFVFLEWWFPRDSID IATDWPRKISIEDAPPSPSHRHEEEQEVAGGKRGGGQAALGRTSAEDSEEHTSDVSPP 
SAPIO_CDS1072	MRMKKLADLGEELKAESRFGDAFFTIEVAVGTPEQKLPMILDSA SADLPGEEKPKVYDPSKSSTSDIIPGAEWSVYYADGNQASGSVALDTITLTPSLQLPN STVQIANTTSFYLATSTITGLIGMARALPSTIRGWNARTVRAQIASALPLDERFLGID LKANSSEGAYIFGDVDPRAYEGNITWFEGEDPGSFWTVFVTGVKVGGGSNFTKNGGGG GGDRTSKQRFDPFAAIVDTATSLIFLPGAVASAYWVDVPGARLDATFDSWIFPCSGTE ELPAFTVEIGDGEFEALVPGKYMNYGEIDEDVFKRVGSGEEPGWDEAMCLGGLQSSGG LEVAILGDVFVKSLFVVLGLEDGKIGFARKKLDLK
SAPIO_CDS1073	MTLTDEELDRDWKPNGRRPQSTIARSFSAELMDIFKIENSVADL DERVDKHKKTVSIQTNELEALEQRIREMEDRLRRSKARLSRPVLPNYDPNYDPNSAPV EATNGSSEQVQNVHRPKQVDEQFQKSRSRPGSSMNARPAPSAGDMPPTPVASEGEYEV VNRDDLDDDEDARPNVPQR
SAPIO_CDS1076	MVLSRYNYLFAVGTIFAFLDAWNIGANDVANSWANSVAARSVSY IQAMFLASIMEFSGALGVGARVADTIRTKVVDTSAFKDTPELLMLGMVCAVIASSTFL TIATKCGFPVSTTHSLLGGVIGMGIATVGANGVKWVGSSKDGATGVITGGVVQVFLAW VIAPVLSGIFSALIFTITKYAILLRKNPLKMGLWAVPVYFSIGAALLAMLLIWKGGSY KVDLTDAQIPGVIVGTGIGFGLLVGLTLVPWLYRVIYLEDWQMRWYHIFQGPFLFKRG PVPPAPESFKGPVKNFYEGHLTVEELEARRARARAARGDVENGSGDKTDGAIAGEKVV GSDCSADHSTGEVQEQPQKKSLVGPKPDGPWYSGHVLFWYVKWALLRGVDRDVVSAQS EKTVIGGDIQEMHARAQQFDNKTEYLFTFLQIMTAATASFTHGANDVSNAIGPYATIY EIWDSGVLPESGKSQVPTWILVFGGAGIVIGLWSYGYKIMSNLGNRLTLQSPSRGFSI EMGGVIAIIMATRLKLPISTTQCITGATVGVGLCNGDWRAINWRMVAWIYLGWFITLP CAGIISGVLMGFIINAPRWPMS
SAPIO_CDS1077	MVFLLYASLLVVGAIVPSANALRVSTSEDGSSSLVFRNGAFSVA NTIYTGSAAGDKALLAASADEHRRRVSSTVRYTEISPGVVQVDIVPLQVPGDELAPDD PIEVDPPVVDVPDGGEEQDPDEQVPDEEDPEEGDGENEEVIVEDPEPEPEPEPEPEPQ PEEPEPEPEPEPEPEPEPEPQPEPEPEPEPEPQPEPEPEPEPEPQQPEPEPQPQPEPE PEEPEPAPEPEPEPEPEPEPEPEPEPEVPEPEPEEPQPEPEPEPEPDVPEPEPEPEPE PEPEPQPEPEPEPEPEPQQPEPEEPEPEPQPEPEPEPEPEPQQPEPEPQPQPEPEPEE PEEPEPAPEPEPEPEPEPEPEPEPEPEVPEPEPEPEPEPEEPEPQPEPEPEPEPEPQE PQPQPEPEPEPQPEPEPQPEPEPEPEPEPEPQEPEPQPEPEPEPQPEPEPEPEPELQP QPQPEPEEPFTGIITTSSFTFESNDTIYGVWEYPFNGSIANHDITFPVKGLFGSQPGI NYANARAPFFFTRSGFGVYVDTLAMGTFAFEGSTASFSFAAEEEVSYKVLFNPDLKAL LREYAELSSKPELPPDSGYGPVFWSDNILRDFHGSVENAEENLYDVVDHLYYNEIRAT AMFADRPYGTGNMSFGNFDFDPEFFPDPEAFIANITEWGYDFQVWVANRAFLDTELYT TALENGWLFPGINGEEFLGPALDLTIPEAYEYFQERLRYFADIGVKGFKIDRGEEHEL PDELQNLHNTIFQQLCHEVMVDKWGAGNFFSFSRSVVDRARSLTAVWNGDSQADWEGL AFSVASGIRAGLLLFSTWGSDIGGYTRPDETTPSEELWARWMQFGAFSPHYVSYYPIY LRDFTVMEVFLTLAILQEIMVGDGHTPWYEPYSSELLDVLKKTADWHTRLIPYIRSYT YQSTQTGIPVIRALFLEYPVDGTIYETGDAYAFGAEFFVAPFIAEGGVRTVYFPDAGE NSASRKFVDWFNKTAIYHGGTEIEVALPLDEFPVYVREGSIVPTGDIYQGNAKWIEDW KPTLEIEFYPSYAVEESRFEYLRADGEIAVITMRTNREAETVLVQTDALGVEGVFKVY SKTGAAEIPFTPDSMSFLMDKVATLFD
SAPIO_CDS1078	MSGNEPTVYHGLWHDYDLPFPLSLTLTVSTQAGNYLTAALSVLV ALAGIAFYGTVAYILHQNFATRGNKDVLDHQLQVLFRSNSAAAFLWGFFIACSILTAN VASDGNGHVFVRAVPRGCGDVAFGPPDVSVEELGNPERAMTKKARERYLFSNLKWARA YSEAHYDIGGLARASMPPISISRKTTLPFESKEVGCPWKGHTKCLGYNNTEGPAFSMD TGFLDSHSDLGINSPPTDRVQIRKTSTCGVIDTSAYDRMLTVDNQTVYGISILAEDQD IANLPTGPEGYRRTVAWHQKGRATSLIVASSYFSYAISSSYPEQREQLNQKPLVATFQ NTDHDLAFWTIATNVIFREEVLDPLFYANLTLGSSTDETAATGGSGYLTNRPFNTIAC KDAYDVCNRNNGRCTGASGILQLKPKQSGLGMNKHQLATTQRLIHNMMTGGHLFQNGA HVAWGLLVQDYASGIGSAPADNQWQLEAKTWFATALSNFQLTITDWASKPWLDPSEKE LGRYINTTDFDTQYRMQDLLPEFEDLCRNTVVRTTASVQNFNVLITLLVLAFVLLITG VNLSLPSIVTHIRARRLRGGFLDSKAGERETARDADNKYQLLRMALESSRIGGWERGS FGIPVTRQGADIIAPVRDPVRKLVRYPTFPMSGFKEEDGSDTDTFYMKEGVIASPSMT ASPDGLRSPPWQEDKVGPLRSAISAVSKPEAGREVSRGSRNTSGSSTPIVRRLNRAET WASTTRTLREDET
SAPIO_CDS1079	MVVNGGNGVGGVDGNVGMQQQQQQQQQQQANVINRPPGTEYTLQ GVMRFLQSEWHRHERERNSWDIEKQEMKARIAQLEGKLKRGDATQNASKKYITILQRK IKEQSAQLAGQGKWDPAHEIAVDKETRSAMLQDKLTSKEHVEGGVSIPIGKPEEDESS RKDLKSFLDSCANEFTYLMMTPANPLPPRESPPLPSFDEARAEAEGFNISAAQQLEQA YAAQARQGPNHVSVSGPPTTSNFQSRNAEPQLQPLTRAGGEPQQPSLFAGGSEWPAPS NRTTNENQNAPNHVNESFGSGQPTGLVGDRKSGGDSDGWDFSDMGPPAAPQQPASNRP DTDVFPSADTMPKSPGRFANSHRRKSSSSRRRSLDNELSLNAANQQVEAGNFKWRFGL RGHLDTVRSVIFSGGGSPAEPEICTAGDDGMIKRFHIPRLDSIGGHSNTVSDLDITAN FTHRGHAGAVLCLAAWSPSPNFVTGGRAQGDGWIFSGGQDSTIRVWERGRVDPKATLE GHADAVWALCVLPGTLYSVYGPAKGSSIGNTDRILLASGSADGTVKLWAISTPPRLGS PQPGPAPRRPPGSGRASVASNSPFHHTLLHTITRADGSTASPTCITPLSGNGESFVVS YSDAVILVYDTKLGEPIGSLASLETYDGTMATSVNAVVATTAGLDQQQHGGGLTDDEG ASAGPTGGKSMSGTGVEGLIISGHEDRFVRFFDANSGQCAYSMWAHPAAISSLSLSPD GRELVTSGHDASLRFWSLEKRSCTQEITNHRIMRGEGVCSVVWSQDGKWVVSGGGDGV VKVFARQ
SAPIO_CDS1080	MERPSTPPSGALSKQLSPEVTRRIDENRFRAKAIRQAKQDELRR SGKEPESLGAPAGIVENENVQITTAEAGRKRPFSSISAPDPVPTSNRDGRVQPAEGPI RPAKNFAKFVDYNMSAMTNTRGGFITVDDDPYNLALKKGVPESDRPKHMTEKEWERFQ TLRNLKRTKQGPFEPGLSSIDDQKNRKKCRECGSPEIDFVWEEVFKSCVCSSCKEKFP EKYSLLTKTECKEDYLLTDQELRDEDLLPHLNKPNPHKSHWHDMMLFLRYQVEDYALK EKWGSAEALDAEFEKREDQKKKRKEAKFKAKLLDLKKKTRTEAFRRQQSGVKGPSKFG DALGGGKHVHNWGRAVENADGMTVRKCLECNMEVEELEF
SAPIO_CDS1081	MAGIPPAVANLVIVLGLMQVAKRVPFDDPNVLNAVRGIYLLSNL IIAGVYYTIHLKINKKKDMTTLKYVEPAPMGSSEEPKLITTTIYAYDNQQLRNAFRAQ LMGIAIMCFTHLYMKYTNPLLIQSIIPLKGVFENNLTKIHIFGQPASGDLKRPFKQAA GLMAGLQNGPAQSDKKAVEAAERAGRGGAKEE
SAPIO_CDS1082	MSHRKFEAPRHGSLAYLPRKRATRHRGKVKSFPKDDPKKPVHLT AAMGYKAGMTTIVRDVDRPGAKSHKKEVVEAVTIVDTPPMIVVGLVGYIETPRGLRSL TTVWAEHLSDEVRRRFYKNWYKSKKKAFTKYAKRYSENNGVSITRELERIKKYCTVVR VLAHTQLRLTPLKQKKAHLMEIQINGGSVADKVEFGHKLFEKPVGIDSIFEHNEMIDV IAVTKGHGYHGVTSRWGTKRLPRKTHKGLRKVACIGPWHPSHVQWTVARSGQMGYHHR TSVNHKVYRIGKGDQENNASTEADVTAKKITPLGGFVRYGEVNNDFVMLKGSIPGVKK RIMTLRKSMFVHTSRKALEDIQLKWIDTSSEFGHGAFQTPAEKKQYQGTLKKDLIAA
SAPIO_CDS1083	MANHQPSPTVMQMQHGGPQAPPPPPPQGQPPVSQPGRYAGAPSR QILAMTEAVWMQIGSLAEVLESSDEALQAYESALRANPTSVPAMSAIALILRNREEYP KAVGYLQDILKLEPNNGETWGSLGHCYLMMDELQMAYSAYQNAIAHLSNPKEPKLWYG IGILYDRYGSLDHAEEAFSQVMQMQPDFEKANEIYFRLGIIYKQQQKYNQSLECFKYI VTSPPHPLTEEDIWFQIGHVHEQQKDVDNAKAAYQRVLDRDPNHAKVLQQLGWLHHQQ SNSFQSQERAIEYLEKSVAADTNDAQSWYLLGRCYMSQQKYPKAYEAYQQAVYRDGRN PTFWCSIGVLYYQINQYRDALDAYSRAIRINPYISEVWYDLGTLYESCNNQINDALDA YQRAAELDPTNPHIKARLHLLRNGGSNAGPPASAPQPADVHPYAHQAVGALGPQAPQW TGAGQQPPQQQPPPPPPGPPVGPPANGWARQGEVNPGPQPPNPYEQQREPYRGQPPPP PPPLRQPSPRQEQQMRQPPPQSQQPPPPPPPPQQPQQPPPPPPPQQGQPQYGDNRGPI RRGPSPRPGHYASQGPPPPPPPPPTSQPMHMPERVANPNYIPPHAGPSGPSGDGPKPL SQYGPRNSPRPEVRPIHDNRMPSPKSAYPQHQQAPPPPPPYGHHPEAGPAPPGPMDAS PSHPPQPPMQVDGPMQRGDDRPPSVGPKRMRDWEEESSASKKQANEENRARLDDMHHR RPSTPPREPYRRNSSEARRFDEMRRAEEQRRADDMRRAEEQRHGNEGYHPSEAAHHSQ NHQMPPTHLPPMQQGPNPMHPPMGHEGPQAAGPAPLKDYPAEERQKMEASRAQPPPPP PPAANNEPERAARKVDVNENYDDDDEEDKKGGIVGANGSGPAAPPGDTKTANSTGGAI NGVTGAATKVEAS
SAPIO_CDS1084	MPPRINIPPVTRVVLIVLVVQSLLNAAIRYRQWTSGSNIVIPYL TFVPQLSLIYPWTLLTTTFVENNVFTLAISTLTVYHGGRYLERAWSSAELAKFIVVVS LIPNLLSFATFVLLFILTGNEGWTLTVIAGTTPIQIALLVAFSQLVPAHTVTLFRGIL SLRVPRFPLLYISIVTVLAMTPLFSSATFFMAIYGFLASWTYLRFYKRVFPDLDSSQP SSLRGDASETFAFAEFFPGPLKPTVASFSNTVFNILVSLRICTPFTQADISAARGDNN FLQRTVPGSARAEAERRRALALRTLDQRLQAATAARSQPTPPPREPTGPTVQTQPPAS TQTAMTTQPAPMLGETKYTPDNEGLEKSSA
SAPIO_CDS1085	MKELLDSDRVNFLVWRYLLEGNYRETAAKFQKEWHIKEPHRHFD FAPHVQSHALVSVINRGLLYNSLEREVSRKQLPQGVAANSEERQFGVFGPLVVQPPRK IEDDESEDADGDADDDGILDEQDRVSRKRQIDPRLQHQAEQWNGSPLKKPRLSNGYEN RMDVDSATTPLDTGVDSNGPGDNHAYPSPMEGEPAPTPIPRTDGPDQGTQVEKVHELA TQTTFLRLTAGDSAPGHTNGTGENAPILLHCEWNPKDPSSLAAAGTDALARVWTITRA TTGSLPNGDSSASGHVSTVNQPFHTLIDDETPGNANVTALAWNWDGTAIAVATDHGNK ARINIWGPDGTHLQRFEVAEPPIIKLRWNPSDAALLAISIDNGGMLVTVYPSATSNTL SYFIRGHDFNSWPLDAAWINETDFLLCGGDIFQALHCTDTSIVLARNFELKPDDNFTQ VLFDWRSKLVATSSDKGIIDLWDEAGQRRSISAHSGAITAMQWQPLQTNPPDDERLIA SGGDDCAILIWNARMPDTKAKCFLTMESPIVALAFTPDGAFIAGATATRVLIWKVGDH AIPRASWSRTPHPGWLSPRASAETDEEDVHCLCWDATGQKLAYGANSRSPAPNLEREP KPKPKRKLSLELDIEGTRKMFQSMFGEQAGEPRKQSAEILGWDKKEE
SAPIO_CDS1086	MSSDDAYAAFLEKANQDPSSGRAQATSGGSSAKKLKTADKGEEV PAAIRRVTKDRFYVSDADEPFEAVCLRWDEGGNELPDEVEFAKLVEHWDPANADVEIQ DPSDWNRSGEYGDIVDAVTEAVKGADVRVYKVSKDATRVEYFVVGCEGSGKDARLVGV RALSIES
SAPIO_CDS1087	MAGPRGIVPSLDTIQELLSKTPPPGSKKPNLVPVYRQISSDLIT PSAAYLKVCAHAKNDSEYSFLFESAATEKVGRYSFVGAAPRKVIITGPGHGDEVDPLP GLEAELAEYVVASVPGVQLPPMAGGAVGYVGYDCVRYFEPKTARPMEDVLKIPESLFM LFDTIVAFDRFYGVIKVITYLHVPEDLGALESVYKEAKDRINELVNVLNGPEIPLPEQ GPITLGQEYKSNIGREGYEGHVTTLKKHIVRGDIIQAVPSQRFARPTNLHPFNIYRHL RTVNPSPYLFYINCRDFQIVGASPELLVKSQNGRIVTHPIAGTVRRGKTPEEDEKLAE ELRSSLKDRAEHVMLVDLARNDVNRVGDPFTVRVDHLMVVEKFSHVQHLVSQVSGVLR PDQTRFDAFRSIFPAGTVSGAPKVRAMELIAELEKEKRGVYAGAVGYFGYGGVDEDGK DVEGAMDTCIALRTMLVKDGVAYLQAGGGIVFDSDETDEWVETINKLGANMQCITSAE ELYYEQQQQQQQQQ
SAPIO_CDS1088	MTSHSPPQPPSTTSSPTIDEVDREAIAAEQDATPYRFPGPHPLS RTHSLAAEESPVYSDQETYRRRPSDEIERVSTSSSSAATTSARQRHHDIDGLSYRPAA MSRVPTQLEPIDAHPSELERIATQRSQQAETVGRRVSTRRSRWSRRSEKPLPAFGAGK PYPPMLPERDEYVVEFDGTDDPLHPHNWPLKKKLYTAAILGYTTLAAVFASSLFTPAI YYISAHYHVGTTVALLGITCFILGFAFGPSFWAPLSELKGRRLPIIISMFGFTLFSIA TGTAENLQTIIICRFFSGLFGAGPIAVVAAVFSDMFDNRTRGIAITVFSMTVFTGPML APFIGGYIMENPHMSWRWTAYIPAIMGALALLLDLFFLEETYPPAILVEKAAELRRRT RNWGIHAKQEEIEVDFGELISKNFSRPMRLLFGEPIVLLLTIYTAFIYGLLYLFMTAY PAVYQRVHGFSPGQAGLPFFGMIIGQLLAGVAMLLQQPAYQRKLAANHNIPIPEWRLP SVAIGGISFTIGIFWFGWTGFTKDIHWIVPTLSGILTGFGLLSIFLQAINYLVDAYLM FAASAIAANTFLRSLAGAAFPLFANQMFNGLGIQWAATLLGCVAALLVPIPVAFYIYG ARLRARSAFAPVMMPPPSPEAEVNGEKVGDADLHGERRSGSSRGEHMV
SAPIO_CDS1089	MSDSQDALLMPRADDGLNDDGLNDDSSSLENARTGKVEEGRPSL FVWALTVAAGISGLLFGYDTGVISATLVSIDTSLSNRPLTSFDKSIITSSTALFALLF SPLSSILADRLGRKRVILFADILFTIGALIQALSSTVSGMVIGRCVVGAGVGAASFVV PLYIAEMAPAAFRGMLVTTNILFITLGQVFAYIIGWLFAEYAARETGWRWMVGLGAAP SVMQALVLGFMPETPRWLVMRGFSEEAKGVVQKVSGGGLAMGRMADAVVKEIEVEVRE EQVARRLRGAGVEDGEGLLAGFRELVRVRRNRRALAIACLLQGLQQLCGFNSLMYFSA TIFTMVGFSVPTLTSLSVAGTNFAFTVMAILLIDKIGRRRMLLYSVPFMIIGLLFAAY GFTYISLPSSSDLPSPTTLDTTTDPSPTSPDREAALMILVSIMLYVASYALGLGNVPW MQSELFPLSVRSLGSGVATSTNWAANFIVGLTFLPLMDALSPARTFVLYALVCAVGYG LVWGWYPETAGLSLEETAALLEDGW
SAPIO_CDS1090	MQASGPPRPMQRRSPGAEPGPPPNIPLRSISPAPNTIQSRGSGA SSRSSQGGPGARRPNQQPPNSSSVPLSQIEKSVTHLLVATKQLLETLTQWSRGQATDG QVSDVYVRLGYEFNMACRAFSAINVDTSDLGNVPELLRHILEATLSQEASAESLEKYL PRIRDIIINLLHGLKRKQQKLRQKTARDRESSSTGSSGSIPERTTSTNTVSSTNSGLT TMLDDGISKNDYREPQGAPGKNRFAPQRDDTRGSANSGMSSLSSNTMQSLPVQPPYPE SGGIPSNAAGPGEFSIDNFPPPPPPPPSPPPKQSSGQRALAALQKGGELERRASRRYS QFQLARHLGAPAVPLLPSQNLPIPNRERGEFKESLRAVQVRETPPRHKRDMSSQSRIT GMDSSPMPPPSEESTPAAELPAAVPDRSPEDYKPSATLQGPMIDTIPISGFDTTEEEK PFAPNADAQESRPRPQTPPVAPGQGDSYFQESPASPTKELTLFLQYKSKVKKYVLPEG ISELSIGRLQLAFIEKFSWNTHHNGVDLPDIYIQDPVSGVRHELEDLSDIRDRTVLVL NIEPLDEVKRHIDDGLGVLRKLVQEVKQNVDDQNAAIVRVSDRQQETAKEVARLASAP PVVMSPPADGSRPRTSGKKLDSSHLAELQSIRRDLAVVRQTFSGFQAEIQGAMSTIRT KAANLKMVATSASIPTAEGAEGYSYVANGRKELNANSDRLVAKVDDLQDLVEDLRKDV VHRGVRPLPRQLETVNKDIMLLSKELKKMEDYMNREKPIWTKIWEKELEDVCQGRDEL RLMEDLMVDLRDDLEKAAETFSLVEQATKEQMKDNGTGASAGTARNFSKTLDMIGGSA DPSAAKEGVLGEVRALRPNHEDRLEAIERAEKLRQKELEGRKGGALQKELNQFVEGGK LKKSGGFEEVERARRAKDERIRREVWERQNGIIPENPIGEEGAVDGDGAVNGV
SAPIO_CDS1091	MNTLFQAQQRGLQDPQKTEILSVLTSPRDEVAIRMFLATSRLIA SLGQQDAERLLAYMMPFHRAEIVPFQRIGKRWSLHFNQVLYVEWMGYRRNNLAATVNV ESSNTFLYNLACFEMCWRIETLIWESRLMCFRGLTPEKRDALYWNVLLILELRWLASH RYANHELSIPRQDRALHAHLEEVLREECAQGCLPTKELAARLAADVPVRRTDEWTPLR PAPCRAGCECEVFKQAAHLRSRQEDVPVIVEHLPNWDTIPDAIIEAGVRDDWVVLMPS IKVAIIGAGPVGCALARLLHQANINVTVFEGESAFDARGQGGTLDLHTSTGLRAMKEA GLWDEFLKHARYEGQFMMITDRHGKDFLKHEATGSSNKLEERPEIDRVKLRQILIESL PEGMIKWGHRLVSVDADRTLHFKDSTTASGFDLIIGVEGAWSKVRSRLNPDLKPEFAR VGQYSITVSDFKENAPGVYKFVNKGSVFAHADGKRVSMQQLGDGSLSMSMSVVRDSDD WADPSLSLEDAKAKILEELDDWAPVFKEAVSKADSYETRSLYQLPVGATWDHVKGITL AGDSAHLMTPFAGEGVNIGLADALHLSKAVVAAIAQGGSADALDKQVKVYEKDMFSRA QAVQKLTDDLRKDFFFEKGVPGSIMGRTMSRHVKFRTPWVLHPIATAAVHGYFFVRNF KS
SAPIO_CDS1092	MKFLDLCAGLAAVGVVNAAPAPDSPLNKRATFQFTGVNVAGGEF GNLNLPGQLNKDYVWPDKAAIDTLKSDGINTFRVAFMMERVVPDKLTGTINETYFTGL DDAIKYITGKGSYAVLDPHNFGRYYGDIITDVAGFEAWWKTVAARFKDNDKVIFDTNN EYHDMDNKLVADLNQAAVKGIRAAGATSQFIFLEANSWSGAWHFVDSGSAAAMKDITD PSDETGSKLFYELHQYLDSDGSGTNEACVSGTIGAERLNLATPWFKANGKKAVLGEIA GASNPTCIEALKNGLKHLSDNSDVWAGFLLWSAGPWWADYMFSMEPPSGAMYKGVFPS IKEYFGA
SAPIO_CDS1093	MQATRCLLKRSVWKGPNIVPLPIVRPEPGKKVPPIRTRARSATI LPSFVGLKFQVYNGKVYHDVEIKEEMVGHKLGEFSPTRKPFIWDKN
SAPIO_CDS1094	MATNSNAPDNPEQAIAAPNSQPTQNTTVATVAPTIPESRMPTKK DTSLREFLSKMDDYAPIIPDAVTHYYMTKAGLPPPPQTDPRLARLLALATQKFIADVS ADAYQYSRIRASNTNANNPMGNLGAAAGFPIPGQQAGGAQPGKDQSRGGPLLGIQRAG YGGGGSGGSANKTILSIEDLGMALSEYGVNVKRSEFYR
SAPIO_CDS1095	MSLNLFRVLGDLSHLVSILILLHKMTQSKSCSGISFKSQALYFL VYITRYLDLFWTRSPYNFIFKILFITSQGYTLYLMTTTYKPTNDPSTDTFRVQYLLAG AAILAVLFPYYYTVMEILWAFSIWLESVAILPQLFMLQRTGEAETITTHYLFALGIYR ALYIPNWIYRYVTEPDRNIDWIAVVAGIIQTILYSDFFWIYYKKVLKGAKFKLPV
SAPIO_CDS1097	MKFFKSLLLLAALPQALSLSKRKDNKYFHEPGGDAHLGHYDVRY FSQQVSYDDHRIVLRHLIRAYLTTLDKLGAETWIAHGTLLGWWWNGQIMPWDYDVDVQ MSTDTLRFLGKNYNRTEHAYSYVEENGETRNKTYLLDINPHHIDIDRGDGQNIIDARW IDMENGMFVDITGVMERDPSNNPGVWSCKNHHKYQTTDLFPMRTTEFEGVRAIIPYNF EKILINEYGAKSLATTSWLGHEWVAEKKEWVKTFAQIKKEQEDARKKAEEQKKKEEEE EKKKKEEEEKKKKEEEENKRQQEDENLTEEERKKRDEEEKKRREEADNKRRQDEARKR QEDEKRKQDAEEKKKREEEERKKKESEIRLKALNGA
SAPIO_CDS1098	MFCLRSWLPLLFIPTNAPPIFVLLFFACTFFLSRPCVYCSFLLI ILFLTSCYWSDQCFFDLTSNWFEPRSSMPMLDASSGEYNSTLAEMLLSTVGGLTGAAA DELVKQRREWTGLGLEWLRSLLGRREWRIDCMLVNIRL
SAPIO_CDS1100	MDASHQAATSIMDTPPSLEVVVYKGPMPSSDANSPVSSQHGQRG QHGQEGHQRQQQLGAFPRPQVTNEANATPGGRFIPNVSPAPVNVSIWHPPPPLNHTFL SPLRLSLDLDQLGSLTEPSIFFPAQLQPNTNPLLFQTAPPAATLPHPLFYSSLPPIES IPTWPLRTPFRQSISSPWANSAMVSPFLPPAPTPPVNNSNSQGMASNRDRNAGLLNLL KFSGQGPQPIHTEDEHHHESHGPSGHQLPIGGPSSYNVPHHVGPQSHHSHQSHQSHPS QQSPHNDVVNLSSSRQPPILAPAPSNADPSGLLAALMRGMRGVDEHDEDHPQDHQSPV APPSHAAATQPGFGHASPPANTRAYLLDLLSQPKPIQNDQQYLPESHSANLTPQSSDL ASLPATMQAAPGSGPRNYGPMPNIPQGTLEYEHRPQDQRGAPGYNPFPMASNGHEPRG PIPVQFPHNNVDSGMTSWSYHSQPSDHGSRGSFSQSQGDSFANSAPFQILKKPKPSDS PKSFQEPIDSHRQSLGHSPSLSPGDTRRKTDLTQAAVDDEKDFLERSLGLSDRLGASS PDKSPVDDAATNAAPEPTPANFESSEVLGQSDANATAETAEGDLPDLDVDDDKSPEGN FHDPKDSAASSPKEEALPDESSDAQEQDTAQAHPDSQAVADSWDSADAEDAGLVEDDS YTPVKVFDFPMQPWISITMTDGGIEARPEFRDGTIMDIARLKKEFDQIDRNLYTASQH CMAYGMSKAGGMHVIRQDDGKDVKVFSNTKDRIFNISMSVTPSDHTGIHREAIMGTGV SGTVYWVQILEGDKDHIEDAHPEQYGFALPPLSSQEGDTSGGVLKTRARVSSCHPEFF AVGRGKSITIVWPYFVMQNNLFRPGHDRVVDAEKLAKQCSLKINTGKAGKDFTFSQDD SVIVSLDKSGRVKFWDVRELTAAKEDGDPNRPLPAHNSLEIKEPLMTLASTPEGEKAW PTSVLLLDKIKPYQKRCALRYMIVGMKQNHTLQLWDLALGKPVQEFNLPHSKESDAVC SVTYNPATGMIVIGHPTRNSIYLAHLSAPKYTFKNISQADYITRLGTKDPTIPTPEST AVISGVREYSFANRGVLRSLDMLSTPAMAQDGDEPTLFELYAMHSRGVACVLVRQREL GWSKDNKVIDGVEALKAGVVTVSPLKALAPQPPEEPAQSKQQGRANREASQHASNQDE SVRKLPDSASPVKIQTRKDAENAANAASPAVTLDKVEKRGGRKKKNAAAQAATDSPAN GAGASPAVKGNAVSAKGSDSSRGSAASQKAVGPSVSQEAIDATVKNMETRLNASISNV VDTAIRGLNNSILAREADFDSRQLKLLDFSGSVIPSIADATSKAAAEQVSIKAMHTIQ KELGKALPALGEKIVMNESLVDALSEKISARVSSKVENEVMRGLSNSLMPAINNLTIQ TTHRVAAEIQQQYQEEIDRLDSQRRADANKVDQLLSLVTRLTDTVSTMAAAQSQFQGE FLKFQQQAIADREQHARAGPGPVVGRLSAAESPGYNAPHHGPPAPPHQQQHQVAHHQH QHQHHQQRQGSHQLSQGSREGSSDQTGRPPSNLEIELGHHISSIAQSVSEGRLEEAII RWLQSGHEDEIFTRYWSKMSPVHLRDLPPLVQLSVATTVSQHLDTALARQKAAWLEMS ILCLMNNVPSFDQQVREVTPNIMKLVISRSENLIIRIGNKDRHDPILQNLVQLVNTAK RILEATTGGHPQY
SAPIO_CDS1101	MALIVDKHRPRSLDALTYHSELSDRLRALAQSGDFPHLLVYGPS GAGKKTRIVATLKELYGPGVEKIKIDARVFQTSSNRKLEFNIVASIYHLEITPSDVGT YDRVVIQDLLKEVAQTQQVDLSARQRFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRTLLVRVAAPTHEDICEVLAQSAKKEGWPVVKGLHQRIAEE SGRNLRKALLMYESVHAQNEKITDSTPIPPPDWEALIGQVAKQIMEERTPRRILEVRS QLYDLLTHCIPATTILTTLTFKLIPMIDDELKGEVIKWAAFYEHRIKTGTKVIFHLEA FVAKFMRILEMYLMSMDM
SAPIO_CDS1102	MADIAAQKAALQQPNGVSSAVATKAVVVVPPPPAPEGAVVAEAH LVETHYPPPEMFKRHSCKPHIMGMEHYQRLYEQSIRQPHEFWGREARELLNWSKDFQT VSTGSLPTGDVAWFVEGELNASYNCIDRHAFKNPDQVAIIYEADEPGDGRSVTYGELL RQVSKTAWVLKQMGVRKGDTVAIYLPMIPEAVVALLACVRIGAVHSVVFAGFSADSLR DRIVDANSKVVITTDEGRRGGKLIGTKKIVDDALKQCPDVKNVLVYKRTGAEIPWTEG RDSWWHEEAEKWPSYIPPTAVNSEDPMFLLYTSGSTGKPKGVMHTTGGYLVGAAATGK YVFDLHPGDVHFCGGDVGWITGHTYVVYAPLLLGVTTVVFEGTPAYPNFSRYWDIIDK HHVSHFYVAPTALRLLKRAGDHHVRNEMGNLRVLGTVGEPIAAEVWKWYFDVVGRSKC QVVDTYWQTETGSHVITPLATITPTKPGSASLPFFGIEPAIIDPVSGEEITGNDVEGV LAFKQPWPSMARTVYGAHKRYMETYFDVYKGYYFTGDGAGRDHEGYYWIRGRVDDVVN VSGHRLSTAEIEAALIEHHNVAEAAVVGVHDELTGQAVNAFVAVKEVTEVTDALRKEF IMQVRKSIGPFAAPKAVYIVPDLPKTRSGKIMRRILRKILAGEEDQLGDVTTLSDPTI VPKIIDIVHESLRK
SAPIO_CDS1103	MILRRLVTAAFASVACLIQPIQADSTSRNPVTSIFTIQDPKIQS SSRQINSNSNFDILFTIPGERRIRLSLEPNHDVIPRDLQVTYLEADGSIRSVEPVERA SHRVYRGDVFTKPLDDGSEWVKGGWARITLHRDGKTPIFEGAYRLHGDHHHIQTATNY LKLKHVEDPKPSAPTSTDYTSGDEYMVIWRDSDVLEYYQPHDELKKRELYGRVSCGSD DLTFNANYDLNSRATSDFGEMSTSSLFGRQVDTTPGNGGAGINLVDNIGSTAGCPKTR KVALLGVAADCNYRKQFSTEADVRTNIIQQINAASAVYENTFNITLGLQNITILNPDC PSTATEAVPWNVVCGDGVTITNRLSKFSEWRGRSQDTNAFWTLLTTCNTDNAVGLAWL GQVCQQGARANQGDGSDSNETISSTNVVVRTQTEWQVIAHEVGHTFGAVHDCTSASCQ AGDAQMQRCCPLSQNSCDAKGQFIMNPSTGRGIEAFSPCSVGNVCAAISRQADRCLLN NKDIPVITGSQCGNGIVETGEDCDCGGEQGCAGNSCCDPKTCKYTQNSVCDPANEECC TDSCSFASSGTVCRPSTGLCDPEETCSGSSSACPSDQHKDDGSDCGEKGQGLTCASGQ CTSRDQQCQAMMASFSNVTNVKACDRTECMIGCTSSLTAPNVCSVMNQWFLDGTPCSG GGKCQNGVCQGGSFFKEAAEYIRNHKDIFIPVISIVGALILIAIFWCCFQSFRRRNQA RKFGRKGPPMMGLPSRDNNAWTNFAGAYAPRPRGPNDTNGSNNQSQRSIPRASQQPQP QQQPQTAGWMQSPPQGPPQIPSSPPPAYPAYQPYQQWGAPPGRSTRYA
SAPIO_CDS1104	MSTPTSSAKKKKKKSNFARYSSTPGQSSHAPASFTDDMRDRQAR GKDPYRVGSDESEGEEGMTRLGEISDRESFRVIEQRRMAAQILADPELLMMHALSRRD S
SAPIO_CDS1105	MRGRSRSRERDDCPDCAGAGRSRPPQRSMSGARSPPPPERLPSP PRDYDAPRERTRSLSPGPIHRAWHRLWHPHHHHHHHHHHNPPYYEPQYPPPPPPLKNP GPFTLQVHDRTADDPRPHNPHGRSFTITVTPDTRPCDIIFSLAPPRSRCLVLVCSTFQ PAYVMPKDVSLPEIANMRCWLEIVDG
SAPIO_CDS1106	MDHGPSWLGAIYFASGSTAEDEVMLRMYSRKLRDIERESTFDVV YSRLGRRRNWRLQYDVVPPFQDPRHVHLKSIWTFDLDDDVLRLDKKDLNLQVPLNLVR FRSITIADFEPYQPPLARSKHSLQSVFVFPRSWTNMTRNGVDRSQLLRYKALVPILLA DFVYQWRHVFGGRYNNATFRRLAFAIIKIATLDFRVEEVTQPRPPIATHFIWINDLPD WEPFSGDIVRVGGVSIVISQHIPHAVSLILKDFAKRNRQSAQDPQDPQNQLPGNSLTY LVFSVKEVILFRMSRQGERHSRTLRLFDGVEPPSDEAIDLLLEATQTSVPTTRLHHLP MELQDAAIRNVAAGPIESARVGCILNAGSVFTWRRNNRSIRRQEVRAFRSPQSPVESQ IFFGDSFSGLAYK
SAPIO_CDS1107	MKRNASTVLSNAFRGLRITSSLPAVSVPAQLAAPNHVRFLSTTP AMMGTWLEPSIDRTKKMMKGRPRVATGGSSKGTTVIWGDYGLRMDDHHRRISAKHLKM AEETIKTRLRGEKYRLYKRVCCNVGVYTSGNETRMGKGKGSFDHWAARIAVNQIIFEI KGLAHEKVILDALRLAGNKLPGHYECVRKGEAPVVGMTKLDGVTLDELKRPRRKDIPP ALLAAAQKSTAPRIIESQPTSEQTSAN
SAPIO_CDS1108	MSDIRNFFGPKGGAPPTRPVKKAPVQDTKTKRAKGRRIIDDSDD EVAEVTKPALKPAPKAKPKANEVKGVETTASAYFSSNKSKPSKPDAPAKSPIKAEVPV RSSPRTKKVADPPATKPVKSTHPAPKKKTTTSYRDYADDDDDAYMEDADEDGDDIFAA DIKGRSKRRNDDYVEDESEDEVLPKAKRVHTQGKAPAHAKSTPVKKRKSPEPESEESE EEAPRKKKPATSRPRAPKAAKVSHDDEDPEIKNILDTIPTVRPPTPPPTSGAKFNWRA AKGGGHTQAPNPTNAELPEGEEGCLAGLTFVFTGVLQTVDREVAQALVKKYDGKVTGQ PSSKTSYVVLGEDAGPSKLAKIKAHGIKTINEEGLFELIRRLPAHGGTGKGAEKARQK KQEEEAKAKKQALEMEREEKARQAEAEKAAKASAAARGIAAPSQPQTTAQLWTSKYAP AQLSHICGNKSQVEKIQNWLINWPKAKKYDFQRRGADGMGGTRAIIISGPPGIGKTTA AHLAAKLAGYDILESNASDTRSKRLVEEEFSEVMDNSSLRGYFAAEGQKVDVEKKKIV IIMDEVDGMSAGDRGGIATLAKFCKKTDVPLILICNERRLPKMKPFDHVAQDIRFQRP TVDQIRSRVMTICHREGLKLSPQVINALIEGCNKDIRQIINMLSTIRLDQSSLDFDQT KSMTKAWQKHVILKPWDICQKLLGGGLFAPSSTSTLNDKIELYFNDHEFSFLMIQENY LKTRPALLNGKNYSPREARLKALELADKAAESISDGDLVDRMIHGPQQQWSLMPAHAV FSTVRPASFMWGQLLDSSFTSWLGNNSKYGKLSRYVREIHSHMRLKASGDHTEVRQQY LPVLWNQIIKRLAVDGKDAVEDVIELMDSYFLTREDIDAMTELGLGPQEERPGMIETQ TKQYFTRTYNAMNHPLPFMKASNVIAPKKSAKEVPDLEEAIEEEDEGEIAELEVADEG SDDLDLKADKYIKQPKAKAKKPAKKAKASKADDADDDDVKPKARGKKATATKSKSKSK K
SAPIO_CDS1109	MALSSPKGPRGLLDPRLEKEIDAASVFKPTPNLGFPEAQSVGSE PVTHSVSPYKPVRMNTALENKADSSKSSNPELLSDTEEDTVPIFDVKKLSPIVEQAES SPSPQLSILQRAASRAGLQLQPLSVAHESSPPKTQYQPYCEPPPRTSSRVSNHAAATA NVPIGHAAAAAFGSGSTDQQGLSSSSSRQQQIPEQAGTVPNLAHGVRNGHHGKRIISN SGTVIHYEVGPHGPSCSLDNRGGSGHASYRSANPGNGAGRSRSGGDGPSGGGPPNGGH QGGDGGPTGQPPQQYCTKDLGERSWKFSDDMAQAYHHMRGPAVNELNSFGNLLLYSRK HSMPENADEVAPQGVSHMASNLEDILVSHNPVRYHSDERTFDVPKAQYEHVGPSNVVP HFRHPTNFKAADVSDGGDSDEGYISPCTFTFMARGTIPNVDLAARHQVPADAQPLRSQ APAEPEALTGSYHVSTNPSIIFDPPGIRKRDLKYLRGSFGKLFSRMQPVLGKKLDKIR LGISEKDADPAESIFSDHTPFSQAEIDAAFRAPSAEPIDGIPPSRVTEAINQTEAEIK RLEVDELAAYKTAHENSEYLKFLKETYTRVTEAGQYIRTFHHRVSQKRKRAKIRRTVA HRLRELQYQRLKLLDELHESQARNELTLARMLQVERALQVECQYANVETVEEIMGTAA ALWDEMNEIESSRRNAVLPEANGSPSRGRRQQQPPPQRQLQPQQQPQQQQRQLYAAQY ETPQRNPQQWRGRASQMVTPQQGRPIPNTPAMQSDPSGRLIAYIPHNNTPQQSDFQNL ANLSQWDAFF
SAPIO_CDS1110	MLRQALFTNARAIRSAAVATRTSSVLRTQAQLAARPALASLPVR TTRWYTAEANKEGEKKEGEEAKAEEKEVEDPLKKKLEAKEKEVIDLKDKYLRLLADFR TLQDRSARDQKAAKDFAIQKFASDLLDSVDNLDRALHIVPQDQLAGEPTSEHHKHLVS LHEGLKLTETILMQVLAQHGVVRLDPLGEKFNAADHEVTILVPHPEAEDNSVVSVESK GFKLNGRLLRPAKVQVAKRHR
SAPIO_CDS1111	MESAKVAKTMESKKREAAPAAAQQKGKPIHYPFWFGGSACCMAT VVTHPLDLVKVRLQMRSGDMPKTMTRTFAHIVKNDGLLAIYSGLSASLLRQMTYSTVR FAIYEELKNRASTDNKQPGLPTLVAIATVSGLIGGFSGNGADVVNVRMQHDAALPHAE RRNYKHGLDGMFKMARHEGIGSWFRGIWPNSVRAAFMTSSQLASYDVVKSVLLSHTSM GDTLATHFTASFMAAVVAATVTNPVDVVKTRVMSGSAADHGVVSVLKNLIKTEGFRWM FRGWTPSFLRLGPQTIGIFIFLEMHRKVYRKIKGLED
SAPIO_CDS1113	MSTFGTVFRVTTFGESHGKAVGCIIDNPPPGMTLTESDIQPQLN RRRPGQSSITTPRDEKDRVTIQSGTEFGKTLGTPISLVVMNEDQRPKDYGNKTMDVYP RPSHADFTYLEKYGIKASSGGGRSSARETIARVAAGAVAEKFLRESYGVEIVAFVSSV GSVELFPETEEFPSRSLNPAFTSFLETITREKVDEFLPVRCPDEAATEKMTELITKLK QGDNSTGGTVTCVIRNVPSGLGEPAFDKLEAKIGHAMMSIPAVKAFEIGSGFGGTRML GSQHNDPFVAAPASSAAAAIGSAIPRPKLATKTNHSGGIQGGISNGQHIYFRVGFKPP ATIGLDQTTANYDGSAEGVLAAKGRHDPCVVPRAVPIVEGMAALAVMDALAIQQSRHA LSSFGR
SAPIO_CDS1114	MRHTLPLPLKQCLPWTSSGVVSRTTFLRPRSAPLAPTRRHFTDA ASHPSNKVQFYLSRTNDPYLNLSIEHHLLQKSPPDSTILFLYTNRPCIVVGRNQNPWL EVNLPLIEQLRAQSESGTEDALRMELVRRRSGGGTVFHDGGNVNYCVICPPAVFDRDK HAEMVVRALRRLGVSGAKVNCRHDIVVDVGEANPEAPLKDETACPAGQQGPQTFKVSG SAYKLTRLRSLHHGTCLLSSPNLASISSYLRSPAEPFIKARGVESVRSKVRNVAVGNA DFEEAVREEFGKMYGRFDIDLVVGDEARDIPNVQNGLRELQTRDWIYGQTPRFAFSTA PTEDDPRERPELPFDTSIHVDVRQGIIQELSINGMPALQTEARNTSSFFELPSWSDLL TAGGLTATRVDEVAAWLQSLLGDSCKRKDP
SAPIO_CDS1115	MDSHHHQSSHLRSIHTNLPHIDSAPLPNASSSSILHPPRTANST PVSSPGLFSPTNSRSNHPFHLHSASEVTTPSADSPSPFLHPLHQHQVRETHKALIDSD ITTGRKLINQYEVIEEIGRGMHGKVKLARNNQTGENVAIKIIPRFSKKRRLGKVTAAM SQQDKTKREIAILKKIRHPNVVALIEIIDDPELRKIYMVLEHVELGEIVWRKKGLPHI CQYERRRIEREMRGDPITPEEEKYNEILERRQALKALKRQKMSQAFEGPHDYWSIEHG LGDECISGPSSRISSHRDLAFGDRTGSSMPNSLQPSRDSSRAPSRSHSVKSLGPHNSS NLINDSAIHDDNDHDDTDTYLSLTKTNSSVILDGVMYGVEAIDLRARSPSMADSIISH MSSLDFNPQPHDPFVDDYSYVPCFTLDQARQTFRDTVLGLEYLHYQGVVHRDIKPANL LWTKDHRVKISDFGVSYFGRPIRDGEPDDTVSESEARDFDDDLELAKTVGTPAFFAPE LCYTDPDKVQPKVSEQIDVWSLGVTLYCLIYARIPFLAEDEFQMFRKIANDDVYIPHR RLRPVDPSTSPNATSLYKRQNSDPYRDDNELLYEEIDDLLEDLLRRMLTKDPEKRIRL REIKRHPWVVQGIPDLLKWLDETDPARPSLGRRIQVDERDMSHAVVPLNFLQKARSVV RKAVGKVMHPLVDRSDGRVRRRATSSVASSSGDALATASSTPYAGGSRRHGTPVNEEQ LVSGLPRVGSPAMSNPAAAPQDTTVHNYDPMATVLGPGKYTAHGLEARHFDPPSFARF RYSATPPPTYKSYRHAHAKSMSIDPSYLSTIPDNATCSEVETQDDNRTARAADPSSVA GSPRARSVDGFETSTPVRRRDNESLLTQQLRPLGHRSAPTDIASPLPSPLLFSPTAIH GYHQHHHQHHHHSSSDPNFPEKKRRSMESHERPQTARRLLTAIHRRSSDFHDAGAQTP SALYQVETAEAISNHHDTAPKGLAESTKQERAEQFPETAPRQQTSPPMTAERDLADVS GASSRSASMDVSASSVSGADEQTSTIPSSKTSSTKVSSDHILAFQSDPSLPALLSGAS SVSADMEAELLGRPGIVSANPNLLETTDSLTPPAIGKEPIAGFPLDTLALEATQAVDS GIISVPAIANSPARGSTPRTPSRQQSEREDDDDGDSDSDEGLTMMSNRRKSSMREAAR SRHTSVSKRRGTNASSASAHASDIH
SAPIO_CDS1116	MADQDEDQRTVVFFHPDLGIGGAERLIIDAAVGLQQRGHKVVIF TSHCDPKHCFEEARDGTLDVRVRGNYLFPPSVFSRLTIICAILRQLHLLVHIYLTSEL QSLKPSSFIVDQLSAGLPLLQYLYPSAPILFYCHYPDLLLARGRDRWWKRLYRAPFDV LEEWSMSFATAVAVNSEFTKGVVRRTWPKLERTTELRVVHPCVAVEELEEDEKRSKKK AKGKKAGKGKTIAEKEADKDTSVVEEIVEWKDEKVILSINRFERKKDVGLAIKAFAAI PEDRREGVRLIIAGGYDSRIAENVEYHNELVQLATSLSLQSLTAKTLISALAAPLTTP VLFLLSVPSTLKDALLRSARLLVYTPSNEHFGIVPLEAMLAGVPVLAANNGGPKETVL DEGTGWLRDPEDVSAWTAVMDRVLNDVTPTQRERMRRAGIARVHSSFARSTMSQRIEQ ILDDMDRNGPRRPPVFNAVMNFMGIAAAFWFGLIVAKIFTPGPQAKA
SAPIO_CDS1119	MGNRGNEDQHVRVPRVEVQDEGFTILHDFPHAVADIVLIHGLQG HPKKTWYYGDYEDPRKSKPSVFSRFHREVDRDKDCFWPEDLLPRDFANCRIMTYGYDS HVSHFFAGAANQGTITDHATSLLHDLCGRRTTHSCRRRAIVWVAHSLGGLLLKEVLRL SWQAPGEELHEVYQQTIATLFLGTPHRGSDLAEWGLMLKAAANAILFDTNRSILRQLK VDSVILDRLIDDFARVYEQSKFDVYTFREGKRLDIGLITMDKVVRDSSARIGYGREQI DMINADHRHMCRFSGFKDNGYLKVFNALSKSIKTKAVPEYARDEKYMQAIRDLPAPET QLRYDQVEPALEGTFEWLFTKESLGFEAWLRSDNPLYWIRGKPASGKSTLMKMALKDP RTAAALERSDTKCSTASFFFHDRGAYIQKSFEGLLRAILDKILSDIPELMGSVYESFR GETDRINFQRFRWTTDFLIAAFDSILQQRNLAASISLFLDALDEYAGDRAHIVEFLQA LTKKRKSSVTLKICFSSRMEQVFLDKLSDLPGFHIHEHTDGDISLLVNAKFAANGRMQ RCLENGTDKEKEAAQKLKSKILSLAQGVFLWIKLILDELLPEFTDGKSLSDLHKTLDT VPTDLHEFYQYIVEKRINPKYMEESSIVFELVRFDEMYRFVRSRSGNLVEIRHSSGHC GGELWQPSQLDATDTNLASYNVQFLHQSVKTFAGKRSAVNLAAQVPQKRNGFLYLLKL EVVVEIDKETAEHEIPEIVDLVGFATLAELPRTLEDLLRTANPQDSQRCLQSFCRYYL HRGPMSKETSNMVLKELLKYSSNRKALAVIKDLIYAPHSARHRDSYSGRLLLAAGVDS NKFRFRGYFHGVSLLLQQFFENGIKVSTPIPTRWLDPTDGHYWPSWTLMLHVAASHWS TRELVDAVLGAKGVDINALDGKGHTTLDYALYSYGFSVRTVFKTQKGDDKSDHDFDQY TDREWYNERFNVATRLIDRGAKVSGLYKNPHRLKSTDLDQLLLIRLPIDTRLLFPPTL AGGAVADAILENPIDDEWWDMMRKKIKERLIYYIGGNKTESVSELHDSGQ
SAPIO_CDS1121	MPNHRHPSRYGVPFTGLPLFEEAIFGIYISPESCEDISWLRRRS DKKLRTSYPDSNSTANAAGIPDKEPNKPMEAESDTSSATTSSSEDDLIPNRAPHVAIA PVKGSTSAQTRKNQFAASAPVAPLPQSTSRITRRRSSQDSSNPVRRISLSQPAGQLST NLTSLRMSQPISQSQPSTRRLSIHRHFSLSKDKPVLERPLEDTSSATDSSDESEDPIA TMSREDRPRSSQQSICSAKSDLRDAPHPTEPLPMDVPPMGEDELCSELTKSDQPKDDA DLSISAEDVQMKDDGISTPLELTKTGISDITTPVKGGILAKNDNKLDLHESDTTKGSS DSPSFSASGIADVSTTLVEDESKAILCALEIHPKESASPMKGSVVSDTKNIKEDPELQ SPGLQDNKVELSSLQSSPPSQTPRKYDIKPPAQTPDLRIKPEDQSPWMEEPDRPVVEE TNQERGASGSEGTVARATPDLLETREEQSQSIIIPEEAQSPWTKDPSQRVEVNNAVVE HSSPQLPETAPRDVQVEAASDPVENAPNPPSDVSNANFSIRSFSSFMTPPAKPRFANV PGFDGRLPQTPILLDAALDNPWQSNSHPKKKRVSWAPLPHEETPDARESNPPPSSHSR FSRASPPPAEPIPDEVEGDGEAFRKHFVLVKRRADPLRQRLLPSASQQTQRSPQTDAM AQAFLTADHVVPQAGQLKGDKENVEQEPVDDVDAVLGNLNEFLDTWDLDADLERSRTS LGSHDAGAAANRMLLDPEIGGW
SAPIO_CDS1122	MSSSPPANEDAASAASLSTSISPALPLTPCSLDSPSLGAPPEFT GRQGHATTHPPSSSNPDTVSPVALVNDATTQVTTTSLTTPTAMGTTADSNTGPAPQSA GATPTPSSSPSAARDNAPALAANLNPSMPTQSQITTPVASPSPQSDTHHSGMPDLRSQ ATSDAGPPQNKRPRPPAFLHRQPGSSLLTQALATARGIPPQRPSPPSTTTDPSAPQPS LTSASTNTHTTPDTTLTLPHHPAILSRPSPTTRLDSDQPSRNYQDDLFQEAGDAVTPK AANSFSSTTMAASACQVVPSPTTSTFPIPDTYHLTTSPSQALQAHPTTNTMILGNHGS MLSAIGGNPIDRFEREMREIERQKTARSFSHSSDRGDAPASQPSSAADRMSAFSSRRE SLVSEERQSPTNVRISDARYSEKMWSIGSGDIDGEQDGHVEKSVAKAMSRAEPNNRSR KASHTLGFFREGLPEEKAKKRDVKTPSQIRAKSATRPTQTPGKDIIAEEPTQAADDGR LTIATPTSIESLPADLVDSNAIPSARHTRRTATIEEPNGQLDASASQVTFTSGIDASL HDETEGEPSGRRRDSTDSIEMGDQQDYGDDSGEEKISSALFLPHQDPQGTDDEKCGAI LARPSAATRSQLRSQDYKSWLVKAGEPEPEEDRTPPSTPPSSKASYSHRQVSKATEGD TDMVCDEIKMIDEAESIIRPDALVVPPPTHDEQSYDNFQAPKQPLEAIELIPYKHQVG GHTTIWRFSKRAVCKQLNNRENEFYETVERYHRDLLSFLPRYIGVLNVTFHKLPKRKA AITSKRDDVAQERNGLAQDAPHKGQEEGQSQTQPGTTGHRRIVSQSFANAPVQIPTVT FDDNRHIIPRNLLQPEFSAFESAPSYRNTFGSNGVFKARTQSRMNLDIGTKSWGATTV NKKLRNEVFNDAFLKQPVAIQKHRRPHRRPPVPRPSGVSSQPSLRPATSDSILQTHEW PGTFESRGQPQGPVSDFATAIQVPARSTLRHEGIQADELKDVSSRGSEDEVKDVTGTS APEPETLVDQVLSQKRKRRYSGSGLRRKPQVGSDSRGDLQYFEEADQGSYQAEEGEAV FAPAEAVESTVMPDALGDAGDYVDSPVSTHPSGLSPVFKIPRPVNPKEAQTQRDSRVE YFLLLEDLTSGMKRPCIMDLKMGTRQYGVDATPKKRESQKGKCARTTSRELGVRVCGL QVWDAKSQTYIFRDKYEGRRLKAGAEFQEALTRFLYNGIDYYSILRQIPTILQKLDRL ERIVKGLRGYRFYAASLLMFYDGDTSTDASDYDTCIDDSTTDAATDAEEPSAHRRRKK NKREVDFKIADFANSITAASRVLDRPCPPQYPDEPDRGFLRGLSSLRKYFLKIQRDTR AELGIEPLPRHTRFGEAMALDEEEEGFISE
SAPIO_CDS1123	MLPSPNPTESYWTRSRIPLPSPSPSPPRASPASTTILVLGTGIT AASTASSLLALTPSTTHLVVLDARSHCDGATGRNGGHCKLVPYEELDKLSRRFGEERA VELVRFQMRHLECFREVCQVLDEGVDEEERKTEFRDVETVDVFLERDLFEEAKGKVER LRRVMPEVDVHVWEPAEARERFGLNTLPYGAISYPAGALFPYRLVTNLWTYLLKTYPS RLTIHSHTPATSISLSSSPSSPPFLVHTSTATAPFAAHHIIHATNAYATHLLPNLKPN LAPVKAHMTCQTPGPAFPAPDPPGSRSWSIIYPNGFDYVTQRPGPPSHLLIGGGWARS GHQGRDMVGSSADGDIDPLTVAHLAGVFPAVFSPSWGGALDSAWSGIVAVTGDGLPFV GQLPSPVTEREPKEEEEPDDERGAVKPAEWIAAGYNGEGMVYAWLCASALADLVAGKQ DEELEPRLGVPGGKLANWFPHELYVSESRLRRAVLSSDPAEAFSSPLPS
SAPIO_CDS1124	MPTIRLATASPATQPTLKETLAQLASIARRAATPSASNSQPADI LLLPEAYLGGYPRGSTFGAAVGGRTAEGRNEYLEYFKSAVDLGDIVGEAGAGAGDAWV RREIGGKRNIAGPGHSHDEQVRGDGAREELERIARETGVFLVVGLVERAGGSLYCAVV YVCPNKGIIGKRRKVMPTGSERLIWGQGSPATLKAVTTTIRGVRINLVAVICWENYMP LVRQTVYAQNVNLYLAPTADARETWLSLIRTIGIEGRCFVVSSNMAVRESSKPAAAAV TAATAHQAVTDGAGAFSHASDESPHPRSPTIPRRNSIFDEDGNEIVLPVKHAGSPPAT TRRTPRRNSIICEDGNEIILPGPKSPTSPFASKFLQSPPPPLARHIAPPADNQEWLSR GGSVIVSPFGDVLAGPQWEDDDGIIYASVDFDECIRGRLDLDTAGSYSRNDSFKLSVE GLNLEALPY
SAPIO_CDS1126	MSIRPLPAHVAEKIRFSVLITSLNDVVSGLVKNSLDADATSINV SVDYARATCLVEDNGVGIPPQEFRLDGGLGKPHQQRLLTFRHGTRVSVRDLFGSMPVR VKQRPALGDRTAIEKEWRRLLRDVVGLLLAWHSPVSVYIKELGSGLEVRFRPPQVPST RAPPGSSGTDMDMVSRVSRCLTQAGLADVTDSGSWDQVSASWGGLSVRGWISLNPVAS RQAQFISLGVLPIPSELVTSVLYEVNRVFADSSFALLADEPDENDTLKRPESKSSVAA SQKPKRSVDRFPMFFFQTYFDTVSSIARSTIDNFINHSVGIESVIHVLKLASYEFLKK HHFNPRQGHPVSFDGPGSRQDREDTPLPSASRSHLSAAPTRNSRKRPAPTNRIASPSP FDGWSRVKSGKPLGKPTAPRVHATKKREENGAPFSSAPLLAKSGHFLRAPFPDAATAE RLSDNIAGSRTNRENIQDQDSESSDWMDKILKNWKNPVFGPTEPPIPRSYNEDDPTGT KPSQATCGPGLPSLPVQSHVSRDSLKKAQVISQVDKKFILARIPSSSSPSSSSILLLI DQHAADERIRLEALTSTYFSSPPSQRTTANTDPLPSPIQFEVPRREGKLLLRFQRHLS YWGILYNVDLSATAGADPQAKITVLSLPPGIIERCRQEPRLLIDLLRAEIWKLDDRPP PPPPTHRRAGAEGQNEWVSMFGSCPRGIMDLLNSRACRSAIMFNDSLTNEQCETLIAK LADCAFPFQCAHGRPSMVPILDLGGFRGSSTALDGPEVSWKEWLAKG
SAPIO_CDS1128	MLLFAKVAPPKVPKKRSRAGCTQCKEKKKKCNEARPSCHRCAEK QLECIYEAIKPRQRKAHVSRDPLSPLSPTSVVEWKHPSNLDLALQQLESDFNFWDDEA VTTPIVETPTAHANPVNPTTDLLLGSAISQAPLHGETLLSPIEIPLFSPTALEAYGLE PFTVDDQDRNLDDLDTSNGLVSLSPQYSQQHPYAQQFQFNIPFDSFLNPVYSEFSHLH RHRTLMDHFDNLLSRLIVLYEEPGNPFQRFIMPMCQKSDAVRNAVYALASAHREYRGL AFSTPVPEGENATWFYNQAIQGIAKLIQKGAKVDKNELLAAIMLVVYYEVLVKAGGST LVDGHLKGAMSIFESGGEGLDSTGAFLERTFRFYEVIAALSFDTAPLSKDSSAVFTAF QQGMDSTNSDMDTLLGMVHTFWPLLHRLATLASLKKELEAAPGNNMDPAKLSALQTEF ETKAYIVDVSLKKWKPNVPPQFYVLYNDEQGATPCESIGNTTKTGEAATAAERAHLHS VLNTALAYQHSAIVYLHRTIYGHSRNHALVQRHTHVSLTHCVATVRNKGPMGALLWPL FVAACEAITLGDRDLANQAFMAIERRQGMVNIEQSWAVVREVWRRADAAEELSKPWHS GMGGNGNGNTCVPTFKGRDLWRKVSEEMGVTIVFG
SAPIO_CDS1129	MGSRKTSPSLRCAATLFIVAFLISVDGAEIRHRDGIHRVSKTPP QVTPGPRLDKRALTACPASYSLCPPDLGGGCCAAGYACATESCYATTNGPVSCGGNAG YFACGADVGGGCCPVGSVCQRGGNCVAVSGVSYSHDCGDNSYLCPSELGYGCCRNGLA CGKNQCYATEPMTLVFTRTMTTTDGGNAKTLTSTVTTTSTPSVGAEPTGAASETQYIK FYPTAVPKVDPLITDTGDDGGGGSGLSSAQIGGAVGGALALLAIILTAAFFIIRRLNH VAKVVKEGGPPSTTPGSPGDKPDYFNHKHRESEVDSFTTELASTSSPRTRHRSASTDT SEPASSIFGRQSTPGGGSSGFSSRRHSHRSSADSTAGRSTPGTWTRSNSTARSSTRAS GSVDGAVSYETEPDEIAELEAGERIVELPADPVTRKAETAASSGATTTLAPPPTLGQG QGHKRNNSAAGRGAPQLDVVSEAGEFHGYYGPPDRLMGQTGAGQKAT
SAPIO_CDS1131	MVKRKVAALEKIDADFASLQNKIRRDPRSYKDDFQKQWQQYESQ KEIFLVSPSTATAESVESFHNIIDLIAHVADCYIEETKTFPEDLKTILTQHHAILNPD LREKIVGSLVLLRRKDVMDSASLLTTLFPILVSSPSKSLRALLFQKITMDLRNSNSKS INHPLNRTVQTVLYNLVTGDRSSAKALWAVKLTRELWKRQLWTDAKPVDVMKEACLSD NEKVVVGAVRFFLGGDKEREELEDDSSDEETIDLKKVQHQLGINKKTRKKAKALDRAK EKISRQEKKKHAPHPLNFSALHLLHDPQSFSETLFSKHLQNTKAKLSLDTRLLVLQLV TRLVGLHKLTIISLYSWFHKFLTPKQQSVTSFLASLAQGTHNLVPPESIEPLLQKVAN EFVSEGSAGEVAAAGLNAIREVCVRQPLAMNETLLQDLVQYRKSKDKGVMMAAKGLLS LYREVGAELLRKKDRGKDATIGLKTGDLKHKKFGEEEASGIEGLELLVKWKEEQKRRK QEEKAFTGNGEEKKDGEDEDEEGYNSSDWEVESIDSDDLGGWIDVVHSDDEEEPAPKK RKTGEGESEDEDDDDAAESKEENGASISKLATTTILTPADLAKLQELRMEANLKKEVM GVKTQRQKEREARHIDEGVTAEQIELPATLGRKTTKEERIAMAKEGKLSREEHKSTQA IRREKKVSEGKSTTNREKSKKKNFFMTLGKAKSKQKRSLVETRKVLRRHIDRSKRGGR GSNQG
SAPIO_CDS1132	MPKQHLYFYALIFLCLALTGIAVTSFIAARNLSLPIPTPIILLP IILPLLFPPLTLLLIRTLKSPTAAPFLIRLLPPAHLLLLTVIATLLGQSLSPNSSNAV PCPLFTHWQSLYSAHDATRIRRIQDTLECCGLRSVRDMAWPFDSKGGRACVDTYGRNT ACGGPWEGALRGVVGADLGVVLGLAVLQIIITFLSDENSPSWLRSLSNREQERVTGGG WRLISHPETGEVVENVEDDSDTEANNGARIEENGHREYGTMGARPGQEESESLNAHNQ WRD
SAPIO_CDS1133	MAPVTSLTVGVLALQGGFHEHLNLLRKAAARLASAHPDSSSPTV NVVEVRTAAQLAQCDALVIPGGESTTISLIATQTGLMEPLREFVKVSRKPTWGTCAGM ILLADEANATKKGGQELIGGLAVRVRRNHFGRQIESFITDLDLPFLADGAATTNGNGA AAKYQGVFIRAPIVEEVLKPATDGGKDSAENVEVLAVLPGRVDKAAQGEEGHAGDIVA VRQGNILGMSFHPELTADERIHAWWLRQVIEAVEKR
SAPIO_CDS1135	MTIGLARSFICRACRTSTRGRRAFATASASAQGQQQQAFDNRVK IVEVGPRDGLQNEKVSIPVGTKIELIERLAKTGLSTIEAGAFVSPKWVPQMSNSSEIL EHILTQKISSPHSISYSFLAPNVKGFDSASQILQKNPGAFLTEIDPLHPTSADKAPTP AIEIAVFAAATESFSQKNLNCDIATSLEKFKEVIREAKNNDLRVRAYISVVLGCPFEG HDVDPHKVAEIATDLLEAGADEIALGDTTGMGTAPRTKALLSCMTAAGIRNEDIAMHF HDTYGQALVNTAVSLEHGIRIFDSSVGGLGGCPYSPGATGNVATENMVYFLETLGMDT GVDLDAVTDIGQWITGQLGKANESSVGKAVLGARKRKAA
SAPIO_CDS1136	MGDSRAPPKRTYSVVPRINYNTVNSVVGPLVILDNVKFPQYNEI VALTLPDGSEKHGQVLETRGDRAVVQVFENTHGVDVKKTRVEFVGHSMKLGVSEDMLG RVFNGSGLPIDKGPKVLAEEYLDINGSAINPQCREYPEEMIATGISTIDTMNSIARGQ KIPIFSASGLPHNEIAAQICRQASLVKKQGITNKGVHDGHEDNFSIVFGAMGVTLDTA RFFTRDFEENGSMDRVTLFMNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTD ISSYCDALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVQGRQGSITQIPILTMP NDDITHPIPDLTGYITEGQIFIDRQLHNRGIYPPINVLPSLSRLMKSAIGEGMTRKDH GDVSNQLYAKYAIAQDVAAMKAVVGEEAMTEEDDRALEFLKKFEQEFIGQGAYEARTI YESLDKGWEMLRTFNQDELNRIPAKILNEFFARKPTDGDDQEDRTRQPAQQTENLIDA 
SAPIO_CDS1137	MSASSQPDGLDSLFDFAEYENVSTYQSPSLSPAASNKNPAFVRP MPQVQTPNISSTPQPLTRPSHQYELYKQQTGIVPGALASTLAINQSSQVGGYTGMNLD YMGLSPNEDLFDFNTAPSQGSLGASDMDMEFDPSTTDQLFYDTVNPNALAEQTSPSLS STSMMTANSSVGRLWPGMHSQAALAKAQAQRQQQQQHQVQRQNPAQAKPRSKSGQPTD PIVEQKITQLLNSMRAKPSSTDSPQQQMPLLNIPKPKKDEEEMDEDERLLASEEGKKL SSKERRQLRNKVSARAFRSRRKEYISQLESEIANKVTENGDLRAQNRALMEENKRLSD LTHMLLSSPSFSDFLDQLSTNPASLPQATPQLQPQQPAQQQPQQQQQQERRQIPKDVN PYAQLQQQQRIGLTMIPEQPMDLSMLSLESDATFNFQPQVFALLETPEMPASIDASIL SGKKSNFVGEQFDSEEDKVQAPVIERPVLEKPLAPAAPETLPLDPEFESNPDFALYHS TPATETSTGTETEESWRVDIFGGIEPEKVLARYELVDASEEEQNAIVAMARVQRISDM LESTWERITQLTEDF
SAPIO_CDS1138	MATTTTTTTAIELTSQNLTHIHTSHTPHHAAKRHDDPVLAASQA ADMTVPDGEYGWVIVGACAVVVWWAIGTTYSWGVLQEALVAQGLSSPAVLSFIGGLDA ALISALAIVNSRLIRSLGVRTTCLCGVTLMGMSEILSGFAVKNLGALFFTSGVLMGLG VSMCFMVISVIPAQYFRAKRGLANGLVFAGGGFGGAAISVSLDALIQKLGTAWAYRIL GFTTLATGIPAAWLIRERNRAMRTPGFIEWRLFKSFTFVIIFLASAIGTFPLFVPPFF LPLYTKSLGFSSSVGAGLVAGFNLASAMGRICCGLLCDRFGALNTLFLSLALTAVSML AIWPGSTTLGPLALFVVVNGVSNGGFFSTMPTVVGNVFGSARVAVAMSMIVTGWAGGY LMGAPIAGYILKAHGGTESGLQAYRPAMFYAGSLALLSAVLVAIVRLRKDRSILARL
SAPIO_CDS1140	MTSLEVFEQVNAIMAGRLTRDSDIIPDPGSAEVTEVVSSLEPKQ TDSNGEPIRGYLSVPNGHSHLEGTVESRDAASPSSMAETRDGIGLGDHGGSIDDGTAA EQQTWISTVKKIVQFTDLRNNERVVPIEKFGPERQKRIRKQQEIDGQFNEYAMLLRKI MSVDLRLKEYRLEIQSRGLREVFKKIAKPFRELDCDASPILIRFPFRCLFFLRHDLQE AQKTDIPRATKDDIAQLLDFIESEPVLKSAVQEYEETVSKGKVKEDMAWILFRPHEMA YYKRPLGENSRHYREGCGIVENVAVSRPAGQNTTVIQVNLAVGHHTGRRFGLIRVSGA LRGLTENIVEINKDNFSIIPMRFLTKNDQERIRSQMVERGQKYVRLCKADFTMLHYKG PVVVTSNAREKMLAGFGTSNDGFDTSWETMQRVVIDKTVQNELSPVGDSGDSSIMSPS VRHFLGQVTNESDTEGEAQASQNPGLDEDQARLLGASSCNSSSQTSDCIEIELHDDDY MVCGCMTLCFLLRNKLWGAVLISGLKDIQWKSDPYANLQMPDDKKVFVRNLVMGFSSE TSRSPHHNGGERGAGDDESDEEFNDFIEGKGRGLIFLLHGEPGLGKTLTAESVAESTR RPLYHVSTGDLGTEVKEMEEELTKIFRLGLRWGAVVLLDEADVLMARRNAKELQRNAI VAVFLRLLEYYQGILFLTTNRLGDFDEAFANRIHVSIEYGTLGPEARMNIWRQHIRKA CQQNRSKNLWSDEAYRILGKIEINGRDIRNIARTGFGRNQDIRGILAELEELHRRVIS SVGEESQP
SAPIO_CDS1141	MVALRIKSWADRFKIAMSFGGQRTTIPASDPVALPSERIPVSVN RFFHGRESDLDYLHDQLHSGLERPTTVCISGLPGMGKTQLAARYCKYFGSEYFACIWV SSDNHTKIGNTLSECAINLKLEGSSIKEEPRKNAQLLVSWLQTTTKPWLVVFDNVDNL KHLKDFWPSGGGGHIIMTSRTPYLAEFRGSIPLELSPLSLDESKELFYKIVGEDKKSQ HSQEIDNLLAEWRGVPLALFHIGSFIRRGRMSLPRFLRIYRNSAANIYQAENTTDEYP HSIATAFSIGELETNAKDLLRLLCYFDPDRIPDDLLLSSFEDGKTLFQMETEYDLHIA IEALLQNVAILEMSKENQIATFHQALSLLNQSFPREGGGKSMWEQWPKCNEYLPHVIV MNRRWQDLFDESHKDAELASLLSACTWYMVERGMFNEAAPLIRTAQAVCPTSEETQLT LATVLFNLAGVHFECNRIEESLDLCKTVLEIRHRMLDPLDPLLGNTLYSIGIVYMESG LLSESLKSNKQAVAIHEACQTSGKHDGSPTALAYLDLGLCYWKMGELDLASTFIEKGL ELFDKTSGKSSQKYGHSGKRGSE
SAPIO_CDS1142	MTKFRMAHDLEAVSLAPNGVELDGPFGSRPEICGLHQQRFREVF GASSATSRCGENVDFQAYHILHSVQPYQNLFSKIARPPSTLSETACWYFEAVFTLQDY LLNNEIATWESAVKYLQDRRIINTEEANEYHGVSQYAVFCLIGAMTCLYKPAEPVGDF LAGFGDMLPLMAPNGKTAVDQGQMLDPGKFNADVMIRTLKMNVVWTDVLGTHLDFDGT TNTLFLFRQATLCLLNSGDERTAGRTPLQSCVVDGIADERTLTDLSREIILSLHLIYW QNPESRRVFSEDKAFAGVPRETRDRLLRDICGGKWTFDFPGCRPKRIYDLHNDFPILG ARLQCLSEHVRLQEPKNLGQLWRDKRNTLQWWTFWAVLVFGFVTVLLAVAQTVLAALQ VFYAPRALRACSIMAPSPPVEGQTSGSNPTSGTPKKQPWRTIYPCVLEALNADTSFTV QRPRGNIPKHWNNVERGILSTTQETALAKLIEQKFGGKVWYDRSDGDGEPDEEPCESC GNLPCTYEYDLKMAFQNRSQKAYPDLSQADLDWAPCRKTTGTIKFIAPLPKWETEKPF NLALPLPADQPKTNSVYDSHDVQISDGRGRESEFSLDKHGFAFAEIPPGATALQSRTA IETEYLSNMESFLKEYLDAEKMLIEDGPLTDLGYYQLREVKRGRRYKWQEKRPPARNA HTDQTPEAALSRLHLYFGEDAEELAKGRVRFVNIWRPLIEPLEDTPLALLDARTLADE DLLAHDIVYAHYVGENYLLRFNSNHRWYYFNHMRKNECIIIKNFDSSMDQSARS
SAPIO_CDS1143	MIVTIQKIEISNLQDDHGPQDHPNTRPSYWTLWLPYLKSWSRSS PRVDINLDVGGTGRPSPLRTATVIELDPAAFSLQGPIAFTIQSQCDDTIKLTDSLDLK PLTLFTLPHTRNLRDELLKKVASFKENDDVFCDAFLSTILVVLPSRDGASINESAIDL PEEWGCHDFFLTHTAANRKIMSPGPYFFSSRGLYQAWRLFPDEVDAFVSSTLPSQHDP YTYENLNAMAYGGPHLCVAVPSRLRFSDRNEPLAGMRIAVKDLFHLKGIRTGCGNRAY RSLREDAEVSSPALQDLLRLGCIILGKTKTVEFGGTQEVIGDWCDYSYPFNVRADGYL AATGSSTGSASALAAYPYIDVTLGTDAGGSVRDPAVAHGLFGFRPTHGGEAAEDVVLP CSHWPKPLTKIHFRPKRILFPEEFLANRRDVQELVVKLTFIDTVYSEYWKDSKEFRQE YELKYGTKPYVCKTTQFLWY
SAPIO_CDS1146	MEDFLWDALGQDGQFYIEGLDSLMFSRPFFPFLFFLRHIQSSHN YITVGHKEIFQAFLDGIPDEKLQNFGSGEYTIATDYTNGYRLDHQGLNTNPAEHPWIH RLYVQPVGGSSSQSKSKRNKKNKSNSSIAARCHATDDVQPQEGGLRMVFALSRPSTGV TIAALALLPVAATTTYLLILNARVSKSTSSTAGRRARAPSGSFIAAIPSSIPKPVSLP EDVAADDSDWVLAYERITSDPVKLSSLKYTASALSQDPTSTESSDLLTAYSRAVQIAF SHTPQASLIRKAMKEPQVKRTFDADWINNLAFNVGDVANGIWRVTHRGGSPKGLGRAS ERIECIGDPPASYKGERIGALIIAAVEEVQGGSGDEVVFVNETWMWRKKDAKSVMIET TIGGWLHVLLAKWLVTKGIEATVSGKGKEE
SAPIO_CDS1147	MPEPTETPWQMYLPEDRLKWTQTADPSPIWPFEPDVDIIRNIVQ SSIPTEGDDPGDQIRCDFLADGAHHKIYNVTHPSWTTPYLFRVAIPVDPKLKLESEMA TLQFLRQHTSIPVPRPIRWCSTRTVDNKLGYEWAILEKLPGVELRKVWRKVPWEKKLV LIENLAKFRTQIWDSSLKFSKIGSIYKISNDETREGVRIGPSVDGNFYAGRRRYLTSD RGPFSTCHEWLRAQIEIEREFLRTAKILANSRQSLGRTTSDAEWSDLMDEIGVDQDTL EEYDDVMSLCDIFLELLPVLFPLPDQPTGNQDRFSLHHCDLREANILVDPDTFNLTGI IDWEQTCTVPDWYGRDYPMMINTDEPFDAEEPSIPETYDEEDERYNPAKVSNRDRWDS KLLREKFDAELVRLGWEGWHSSSRLDIVKSEFIQGVADLKKDLKLVEALPLANAVNQQ PIAGVNHINKLSISPREVGILSENSSAKNSLP
SAPIO_CDS1148	MLSTMLLEGSVRVSFVVAVLMQGIAASSGCCRNTISRDVVVVGG GASGAHAAVWLRDHGRSVVVVEKAAQLGGHTAFYDDPATGKVINIGVQAWMEYKNAFE FVERMNVSTSGSMQFTTLDQKYVDFKTGIPVPDYTAPASDDMYAALQRYLDVLEKYED MVLPGFSNFPEPGSIPEDLTMPFGEFVEKYNLEAAVPQIWDSTAMGLGDTMNVPTLFI MQASGVPMVRALLGTAAAAVPPSGRLYDLFESVAEFLGDDVLYSSTVVSATRHDKKGV SLKVQTGDGELACIEAKRLLIAFEPTPENLKPFHPDQTEKEVFDKFEFATVYAGLLRH PSLQNSTGYSNRSPTTGYSNYTVFPTASQVGRIDYIGGTEDLFQFTAVGTEEDTSESM QALISESIEIMIEAGTIPESEGSLTFPYFANHGKMHPRVTAEDLNAGFIQKQLALQGH RSTWYTGAAFSAGFATVLWEYNNVLLPSVIEGL
SAPIO_CDS1150	MMARQQDNTQKRSSKDFEIAIVGGGIAGLTLAIALYQRNIPFKI YERAPRFQEIGAGVSFSPNAIQAMKCCHQGIFDAFERVCTRNGWPSKQKVWFDYVDGT NDENQEPVFSIYTDLGQNGVHRAHFLDELAKLIPESTAVFGKELKDISEGVDGKVVMT FADGSSASADAVIGCDGIKSRVREIVVGASHPSAHPSYSYKYAYRGLLSMEKAIEALG EERARNACMHMGPDGHVLTFPIAHGTKLNVVAFRTTSDNWDYDRNTKPSSREAALRDF AGFGKAVTRIIELTEEEPTVWAIFDLGDYPVPTFYKGRVCISGDAAHATSPHHGAGAG FCIEDTAVLAELLKDEHVRNPTDLEAVFAAFDAARRERCQWLVQSSRRIGDCYEWRAT GVGRDFKKIEEEINERMGIISSLDIHEACEQAREYLKRNLA
SAPIO_CDS1151	MGSVVLSNEWAGFKLPSGAVKVIQVIPNTIISLGKYGSFPSNLV IDRPYHLTYEVQDKRPDETFCRLRIVPAHELRADILADVKSKDAPENGADGELDEEEA NAAIALAASIVAQDDAAALAASASTDKVVRQTLTADEIEALKRDGTSAGKDLIAKLLS SHTALDEKTAYSLEKYKLLKTKKYIRRFEVLPLDTPLLTRWLLEDRDGMKILELREEM LALLGCWANIHCAAADEPEVITAEKAGLPSGMKAPELTGGRWLVVDDTGGLLVAAMAE RMGILHPHRPTKKIQQQRQAKADSAENNSTQQTNTNQNREENAPADVDTAMADAIEVS KPEADGAELAGEQKIPETETAPTELNAEAEASKESAETKRKAEKSRPRPYDRDDLEIP YAGCNTMTLIHSNSQPNLSFLKYYDFNFAAPNPPFASHPLHTNLLWLNWLQLLEPDKD AIYATEPPAVSPDELQTWKGNRRGVYHRKRRRWARTRFIVDSTRAGGFSGLVTASTLD PISLLRHTLPLLAPGAPIAIYSQSVEPLTQLADCFSVARRAAWATDPPPEAEGQTPEE LERWPGSEDFPINPGLLLGTTVQTSRVRQWQVLPGRTHPHMTSRGGSEGYIFTAWKAL PAVGRVEARGRHKRRKTTAAVATPTSAEG
SAPIO_CDS1152	MHLILDPPPSSLLVDPADPSRKQAYNSIFNADPNPPRLIGNFPL LPLRTKTRGPAYTLPIPSPPLPASESPDPDSESYDILDEVLAVFRANTFFRNFEIQGA ADRLLVYGIWFVSDCLTKIKPTASVREATKDVMNLALDLNFAIPGDPGWPLNQMYEPP RDRQDAELLRQYLSQVRQELATRLLARVYEDGGDGRPSKWWLSFTKRKFMGKSL
SAPIO_CDS1155	MSDYGDDMDVDGPPAVSVIPESTKGKRSAANLPVEAEDSLPWVE KYRPATLSDVSGHQDILATLNKFIETNRLPHLLLYGPPGTGKTSTILALARHIYGHDN MRQMVLELNASDDRGIDVVREQIKTFASTKQIFSVAPTSKPGGGGAAAGFKLIILDEA DAMTNTAQMALRRIMEKYTVNTRFCIIANYSHKLSPALLSRCTRFRFSPLKEADIKVL VDKVIEEENVKILPEATDALVKLSRGDMRRALNVLQACHASSTPLRPKNAPKIPESEI VRETITTETIYNCIAAPAPDAIREILDTLLSNPDVTSCLSTINTLKTAQGLALADIIT ALSEEIAKLEVKPEVMIHWLSGLADIEHRVAGGGAEGVQTGAVVGVIRNGVELSQR
SAPIO_CDS1156	MRRKMETALEGLAAALAATTLAPCKTYPPPPPGHHGPPAGHPPH LHGPPPPPPPPHPAHPDAPHLDGTHPDGAHPDPQRPAPSQEEESIASLSNSAGPSVSL VQEDPLCAHHPDTSNIAVVMKTGATESFARLPTQFMTVLRCIDDFLVFSDMNQTIAGV EVLDALDEVLESAKKDNRDFDLYRTQLACDVDQKPCTSALNAAEAGWNLDKYKNIHMA AKTWKRLPDKDWYLFIDADTYVTWNTLVMWLKTLDPKKKIYLGSVALIRDYPFAHGGS GYILSRAAMEAFVGEHPRVANEYDEDVHNHCCGDFLLAKALNETAGVPVTQVWPTING EKPFTLPYSNSHWCQPIATMHHMSPEEISSFWEYETARYAAALNSTPRSSSKSSAKPE LEPILARDIYHEFFARRLVARRDDWDNASGERLYLNSSAKEYSESQLKKAYNDAKKTE VEKKAHMSFENCRAACRETKRCFQFSFKDGVCGFSFSIRLGKPVPPKENTKAHDRMAS GWDVEKIEEWVEKNKVCKKVFWPNI
SAPIO_CDS1157	MILTKSTLILLLATLSLAEPSPSSANLRPLRPHDPLAEAISSSL KRNDAGNTTTTNSSTDGADRVLRYSLQQKQFNGTAATTARRRRQQHKARRRDAMFESD EEARLVRREKWNWKHLEDYRGYLYFMEIEIGDPPQLVGLTPDTGSFETWVNPNCANAA SAYLCAKNGMYHPEQSELAVTQNERFDFGYGSGWVNGLYYEDRIYFRNEDVGIRQKFG LATDSSYAVSGILGLGYGIGYTINYANVLDSLVKFDLINAPIYSVSLGGQGQGTSEIV FGGVNQARYSGYLQAVPIWPSVYEQAQDWVHYRVNVSSMGYTTPFGKKTSFFNPGTSS DLNVLVDTGVTYTVLPPAMVDAIAEQFGAKWDVGEYTVDCAMRDQPGTFDFGFNNDKL IIRVRYFDFILKIQEGRCTFGLQQGTDSDAILGNTFLRGAYVVYDQSTHALWMENYDE CGSQVAAVGRSAGDVGRTRGLC
SAPIO_CDS1158	MARLRLRDLIAAAVFLATSVLSEEQKPLSGSETEAPYKCTHPPY KVHLFSQSPLVLYLTNFVTAKERAHLLDLAKDHFKHSAIISSNGSSIDNNIRTSSSTT VPLDDPVVRCIESRATSFQGFDTPANHVEPIQLVRYASGEHYHFHTDWFIDPAHSTAR HGGQRVSSFFGYVGVGEGTTGGGTNFPILNAPADERWCRFIDCDEEYERGVTFKPIEG NVVYWQNVGEDGKGDERTLHAGLPVTSGWKVGMNIWTREGPLGEDVRGEWA
SAPIO_CDS1159	MMTSNNYRRLLFCAGAIAVSPTLGWTFTKPHDLDIAISRDESTL IAFVMPELASCKKLESEWELVEKKHPRTAVVDCGENAEYCFKQDVLTHPTVRVYFPDN TMERYRGPRKADPILSFVKRIHRPILPVTDKNETAFLNSDEVVIIGRLPPLTEEPESE LLHKRFAAVAHRYRDRYTFGIQTITGDSSSASLKCQNNIDGLGHDTPDLTRVPAMESF VKICGTPLVGELSRRTELSLVKASKSMVHYFTDSEEDKEAYIAAIRPLAQKYREYLNF VTIDVNEYPDMTTSVGHRKGASRVVAVQNPHTWQAYPLEEGSEVSAASVEQFILAITQ NKVAPWDGTPKEDKLVETADEGVGEVEEQEEGETNASHDEL
SAPIO_CDS1160	MLIHICRARRLLIAVLLIIGLIILFSRDHGIPERRLSDGPEEVL NSTLGFGKIFVVNLPERTDRRDLMTLAAAVTGLDFTYIDGVRGANIPEKVLPPSPREK NVNWSGKTGSWRAHMNVLQRIVQENLTSALIMEDDADWDIRLKSQAQAFALASQAYLQ PLQNDPSHNLASLFPALSKTLQEDAYVQELQNTPATKKPSTTSSPYGDDWDVIWLGHV GSHLPSDWTSRELNSNHAPLSLLTILIPNDETVADKRHLKRHPFADRIDDFAARFEPH TRVVHEARGTAGIQAYAVSRRGARKLLLKFGLEEFTSSYDLMLRDWCDGAYEGERPVC ITTQPPLMSQYYSKGGSDIHGIGGGYFKKSGSTYIRYSVMLNLRKLVARRTDAGLAHI EGLADQWPDEGAILGHAPDAEDYLNHDLKTRSDPNSPNYGNHLSPEEVLQFFEPSEDR VKAVTEWLVSEGISASRISRSRNKQWIQFDATVEEIEALFYADFHIYEHEDTGTVNVA CSGYYLPESVRDHIDYITPGIRLMPEDSLVQPQQKRTYEREVSPKDKQSRWRRHLGSD VLIKGRLPFANKSPGKSWSGKSKAVAGSEGTNQRDGAEPEVEEPEVIPPYNDCIYSVT PECIRAQYDIPEGTKNRSDNKLGIFQGLNIRYWQGDLDRYFHAVAPWVPKGSHPQNNL VNGAIGETSNRSESGAEAVMDFQLGMSLVWPQETVLWHVDDPWYEEQHTQEPLIYKGY FNSWFPFSLYPAYNQTGNCLDPECLDPTYPNSNPGGYDGPLACGTFEPTNIISISYSG SEASLPASYLRRQCAEIMKLALQGVTVVVSSGDYGVGSNRRDPPPVGCLGENYDVFNP NFPGNCPYVLTVGSTEWRRVESEDDEGDEGDERTGRGGRGGDDGRGEEEGRGGGGGDD DDDRGTKKPNLDQLQRFTGPYEETATTFFSSGGGFSNVYPVPEYQLAHQKAYFSTLAA SNITLDVEGYHGGRTDYETIGSDGLNFNLEGRGYPDLSAIGDNIYVVHRGHPVLMMGT SASAPIVASMLNLVNEERLAVGKSTVGWVHPVIYSHPEIFEDITKGENPNCLSRGFPA VKGWDPVTGLGTPKYPKLLEVFMSLP
SAPIO_CDS1161	MESSASPSGASSHATQTGRPSLWTDSDQRKLGRLYVYTTLPLKK ILDVIRASSPTSGPGKDSANKKLNAMLDKEPRWLRPRTDTDVERRMTALAHSPTRSIK RELPSPGGMQQHYDQSPISPADYNNHNPWNLTPNNGFVAPSQAAHYDYDPTLLRIHPP DTNYQALSCSPETPAHFANQIVAEPVTEPHAPPTGLPHRFSNFYRRGTTMTNSTERTT TELRRALSGYSSNIVTHVRRVVKRYTMPVQQGQNSSPLAEHSDSASGSRRDSWIHDEH APPERTGELYLPGDYLLMDALLQRQGPCLEGSPEHQTRNCLCRAALQIRGTVWVDENG GPSPLALSLMQKDVATPADFNTCDVFENFLMHMLVARDVDHFYILQLVSSNVNCRQRN VAGQTFLHLLQPSWLDEPNTGLGHLTTLIQSFRHDMSFLLARDCYGRTFLHVLRSKIQ DATRFNQLLQSLDFTLRRDAFGSVPAYEPDALHIQPLRRAITSVMSEDAPMSSYDQPT LPAVDLDLVSAHARLIEMIKRAQEEPRLEDARGRNGLHCLAAAILSDVSLLRQAGMTN KLDKSNQSAQGEAASSKKRKRETGLKHTDSSKERLTLRETIANNLILSGVNVNHYDAD GNTVLMAFVAQLPEDDDYKVPVEILKLLIKHGADVNARNRRGETALHIAVRRGRKLAM RTLVENGANVHVRDAAGRGLLDVADEMARCSNANKPYMHSEACRAFLSGHHAVQDPSI LQEWGVADS
SAPIO_CDS1162	MGLLSLTTLTLAALAVAAPSPRQDAGLTYKDFSSSNGIVYRVAI PDVTAAPFDVALQIVAPKAVGWAGLAWGGSMLNNPLTVAYPNGDTVTVSSRVAGGYQA PTPYAGAEYTVRPGTTVNTTHWVLDTLCKGCSQWNGGGLDPSGDVVLAWAFAPNAVAN PSSNTSNIAFHNQGKGAENFDLTAAKVKDFEAIVSGGTAGGDEAPASSSSAAAAAPTP 
SAPIO_CDS1164	MEVYFQGLPQGLSQASFQNGLQGFMNVLGIVDWSCQVHGRKDFG FATFLHVSDANKFLQAHGAVKPHKARLRIFNRPVYASKGKRTPDKLAIQHLRYHMEQR ATTVAKPVSNHAPVLDNMRSIDCGHLTIDPDGDDLVFVPQCTLSCNLSISSCTAKFAK RTLILKYNNGSRVDIPYESVSEMVIDKISPQITLILTATPRFFAPGNQIDRSMGKLDL EAFGWNNASRVPDRMRTTHLHGCDEHSKYVAHCLVYRLTFWQNYNVFDHHMSAVEHID VVACSRFSFRQLAPMPGSTDFPSAFQAFTRRTNEIQAVNAVPFDILFQVQALVRNIYV TPKVGIEILDYMEASFTDAKRRRLPPPFSVKSFKQLFQKIPYPSPDMDLDRLTSRYIL DDLVGIETSGRSHSPFFSRLEKERSARQSWVFKAVVTPTRIILQGPDLETNNRILRKF PDFTDNFLRVQFSEEDEEDLFFNPNVSNDMILKRFENVFRTGIQIAGRVYGFLGFSHS SLRSHSAWFSASFFDKDHKFQTYISIIKDLGDFEHIRIPARCAARIGQAFSETPFSIH LPDHGITQSYIADVKSPDGKRIFSDGVGTISRAAALSIWGVLPKSSNRATCFQIRWAG AKGMLSLDTRLPGKQFCIRKETMEKFPSPDSADLEICDMSSRPHRFLLNLQIIKILED LGVDESWFLRLQKTELDRLKAVTKTAESTAAFLHCHDVGTEADLPKFIKRLNKRKIDY RQDPFIRSLVESVILRELRLLKHKARIPVRKGVTLFGVMDETGYLEADEVYVTFEKTY QGIEVPIDHSIRDGPVTVTRSPALHPGDIQIAYQKTPPSGHALRALRNCIVFSQKGIR DLPSKLSGGDLDGDKYNIIWDPDLKIERLFEPADYPRVMAKGLDQPVEKSHMANFFVN FMKTDQLGIIANRHQIIADKKPEGTLDSDCIKLAELHSNAVDFSKTGVPVDVALMPNF KPRFRPDFLSPAPLTKVYDLGTIEFMDDPANSKEDEEDAAPNYQYYKSEKILGKLYRE VDEKKVWSSIQRSASADGPSIWDQLLGVVDKELRDLRLYFAINWESQVEMALTLKEGY ETIVRDLQNIHNPNTTSGLSELEVFCGTVFHRSCKPTRRQRECSIKIREKISEVLTWF VGELRSGGVGLAAQADDDTASVSNSSTLNGAATTSADKMAARLRAIELCLACVRVACE KSHAIGGPERRGRRGRRGGEQMKSFLVVSAATLLRELDRYRFDAEEAARRQMLREGGG GGGYTGVRGRRR
SAPIO_CDS1165	MPSDLALRPARERLAALFQSVLGSFRRGNHEGSDDDDDDEEEDD DVIDAAAEDSSDDDEIGEDDDDDITSVSSSSLSSSSEESLSVPVLPDPPRRTHSGGAR RRSRRTKSKLLPALPSFANSGLQKYGPRLGLHPNTRNATGTAQGRPATLNITDLPTEL QVLIISELDFADIQQLRLANHFYHSLITKSLLKDIYGATLEGVILSHCHLCLRRDPAR NALLYADITHPKYPFCSRCVDCAVRRNELVPGCRVSMGNFRSAWISVVISGIPLIIIN LRRPMTDRTYGWSLMLEFFLLSLWILPTYAIGRIVASPGFTMTKATIATLIFCALNLI FRLFNTLGNLILLSEFKFWRRKKPDLPLPHRLFNFFVVALVFWTYPRAVEQSYPPLRP RSSGSK
SAPIO_CDS1167	MAGGKGKSSGGKSSGGKTSVEGPKKQQSHSARAGLQFPCGRVKR FLKQNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRG DEELDTLIRATIAFGGVLPHINRALLLKVEQKKKKAAQAV
SAPIO_CDS1168	MSQQHPNEMYMDFPHPTSRSPSSSRAPYTHQAFPTGFSLPRHSQ RPFDSPLGSSALYSTDRIAPGGYTLRAGMDQMSSVPGLAGGYMLDNNQSWAYNAGNVA TINGPLNGGGARQRASNRRTALPSTWTDANSMGMHPPMPTFQPPGLSSNPLSSGLRMD QVSPDARSPNPQPGESDQLIPTAIVIKNIPFAIRKETLAQLMTDLHLPQPYAFNYHFD GGIFRGLAFANFQSPEDTRLVIETMNGLEVHGRKLRVEYKKMLPEAERERIEREKRER RGQLEEQHRGPVLHQQPSLQTLTSMANSQQQPRHAHLRDLDLNDPITLQYYTELTLFR RDESREILIFPSSVSPEERRQIHILAHYMGLEHRSVGDADSRQIQVIKPHVPSPTGQA HTSTAVSLDLHRRGLSRAATFDFAADRESRGITGGYPHAIGRQGPTLELPGSPDGVAI PNNLRTAKSFADLRSFSPSPSHSSSSYLNPATGIGGLPASSLARLGDYTGSLSQAGSL ATPTLTSSAPGTAVSQGSSNEATVLASTLSSLNLGSFESSSVSSQNRSTPGAIGSHRP SANGSSSNRAAPDRQPRGPEWEAASGFSGRNRVNGHMQRGSGELVPSDLIDE
SAPIO_CDS1169	MPRLTEADTIRILVATDNHVGYEERDPIRKDDSWKTFDEIMNLA RTQDVDMVLLGGDLFHDNKPSRKSMYQVMRSLRKNCLGPKPCELEFLSDANEIFEGAF NHVNYEDPDINISIPVFSIHGNHDDPSGEGHFCSLDLLQVAGLVNYFGRVPEADNIEA KPILLQKGQTRLAMYGLGNVRDERMFRTFRDHKVKWFRPNVETADWFNLLTVHQNHHA HTATSYLPENVLPDWMNLVVWGHEHECEIDPRQNPETGFHVMQPGSSVATSLIPGEAV PKHVAVVNITGKEFTVDKLPLKTVRPFVTRELVLATDKRFKGLETKKDNRQEVTRRLM EVVEEMIEEANADWYALHEDVEDAGDPPLPLVRLKVEYTPSSEGGKFDCENPQRFSNR FVGKVANTNDVVYFYRKKSSSSKRDNGAADLPEEALENLGPDNIKVDRLVHEFLAAQS LKILPQAPFGDAVNQFVTKDDKHAMETFVSESLAGQVRQMLDLDSDEEDLDNAMETYR AKLEEQFAAGKLSFGSRRLKPKPVTWDSDLDGHWDQQPDAFEDVGNATQGTTTSRATA GRGGRTARARSAREDEDMPDIDEDEEEPPPTTRGRRTATTRATKTTTTRKAPARKTTT TATSRTTTTRGRKQTSPPSEDDIMDDDVPPPPPTRRTTTASQRTTASSARRQPARSAA ATTATGRATRQTRLNFSQQTQQQAGTSQQNALEISDDEISDDDSFEPVAKTTRSRRRI HRGEELQSSSSKRSQSRADQGNATISAPNQEPRPNSHYLAYTLRSSG
SAPIO_CDS1170	MARSDEAQAFFDAVYAAIQEIPYGRVTTYGHIAALIGTRIGRLT AHTPKNRSHPSGARNQAAALQAEGVEVTTSALGEHCVDLAKYGWFPSELPSESAAANT VQEASEEVNNQDDAEKQDLDDDDDDDDDDDDDDDDETAENSRAFHTAKVVRDALAIEG SHPVSEVVRSEHLREKHFGSLEGVKFGKLGPYASSQKPEDTPESSDSMMIRANSFVVS HLLPVIQQFRSGISGPRVETVIIVSHGILLNHLTRALLGTFQSQGRPILGLTINHGPG EAHLHLPWRNTGYCECLIDLEDASVLSLTEFDTAAVLADMKLTIQAINRTEHLVGLKR TRGGIGSAQFDTSQKTLDSFLAKT
SAPIO_CDS1172	MVRPTPRSNSRLSMTSKAGGGSRASDDESRTAVKVAVRVRPPLK ATDPGFELIPQRFQRSMVHVTSNTSLAIDSPQGRKLFVFDRVFGPDIGQDGIWEYVSD CVNAFIQGYNVSLLAYGQSGAGKSYTMGTAGPVEQGDIELKGVIPRAAIALFERLDTS KAAATKSNRHSMSQLRSPQRYSTHGQVGLGERGWAMKATYVEIYNEQLRDLLVEDHVP SNERSPVTIREDVKGNILLTGLRQVDINSIEDLMNALSFGSSIRQTDATAINAKSSRS HAVFSLNLVQRKNGPQAAQGAEKRFSMPVDSMSGSESWVTTDSKLHFVDLAGSERLKN TGAQGERAKEGISINAGLAALGKVISQLSSRQAGSHVSYRDSKLTRLLQDSLGGNAIT YMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQVDEGDKQALVDRLKAEVAFLR EQIRSADRVGGERRTLTTSERSERPNEREVELQNQLLDIQENYTTLSQRHARLIAEMA KARDNEIAENQQLEESLDDSATERLNRSNSFAQAVEQVVLEYEKTIQTLEQSLSSTRT TLSNTEASLLEKETKYAYVETINTQLQARLQKLMDREASTENYLHDLEAKLDGHTSGE EKNATIILELRKEIARVRENEAACEDYISTLEERLAEADQDAELMQREIDRLEQVIER QRSLGKLDSLLYELDHLQQDGRHTPVAEVEVTNGVARRRSSSLPHSRTLSHVSRHSQK EAVIPEGEEEEEELAEAEAEEEEREREPQETEDNRAATPPVHSAAAEEQRAVVEDAPA SPQEKSAESLEDGGALKPAAEISEYPPQSPAQSKFVADKLEAVTQELVDLRVEHETTL HDYDSLHAKYEEALRNLAELQDVIDESRHPHRGVRDSVLSVTSPATTRPDSFMSDSRS SDPKDSGRFSASRSLSSELSSAMESPATVDTSDAETATAKPEPQQSPVDHDGLAAELE RLKALAAEKEAAERELANKYIELESKHHETLDLVEELKTEVSKAKAAEASSPRTNTPV IRRKSSQNVMIIDRAHRSFASLRNIAAENFERDPDVMQSFELNLNAAMHELHARSERI QELEADVASARKEMETKMAIISGLTRERSSLQATPRDMSVVSTLKDQLEQSELQLKAL REGHDAREKELTEEIEALRASVNQKPSNESPDPEVFREHEEKIAFLESELAGWEEKHR VALDSLKTTEAQMQQSIADVESQLAEAKVKLAESQARAVDAEASKEMEEKHQQMVGAL QNELNEYKIVLENNAAKVSELESAHAAVRAELDAAIEARDLANSEVAAYKELVSNLET KINDLEQAAKDHQEALLVLNANHAKELQQLKESEQSSYEEQVAVLMNEHAESVRILEA ELTDAREELMKVATQVAFALGVPTSIDKITERIDDLIADQNALTEEQKKRAEIEMNVV ELSSINDQVMRELETVKKALDEMLGRSDDKQPNGTSKGVIGRLAVLKKRMDELDMKNK KHSRLVEELEEQLQQNFDQAQITSNRLSTLQTERNVQLEEANVARVRAQAELDAVREE YAALQAKFDEISSASPDQVKRSNSMNSQLRKSSSVASLPSPPPAIPLPPLPGIAGSPP TGGAPGSPTQTGRPMSKDNMAAISQIRDDQEARIRTIEKALGAERQLTATLEEALSDL EAQSNKVKSDCDAWRKRAQELEAELKELQSRPAPAPQPDNRWSLQAVEEERKKRQAAE AARAHLEERMRDINKKKKKKGSLNCF
SAPIO_CDS1173	MDPLSALGLAGNIITFVDFSWKILAEANSIYKSPTGASEEAAFL EKIVRDVNGHAHALAAFPGDGQLRELANETRAIASELLNGLSMLQARTGRSRWKSFVV ALKEVWGRDKTESLLKRLQMLQERINSHLHYIATNEVSGLSKSIEALIQTNERLEIES RVNLSKLKTDLITCIQDAVYMNRKSEAIFERYIQNMLKNATFNDTRTSPAPPAPLAEA RRLASTVDEFTKAAKTTQDDLDLLKRLYFSSMYTRHRKIEAAHDATFRWVFQDVVPGP PRRRIKFREWLQTQNGVFWIKGKPGSGKSTLMKFLCFTGDTRHHLEKWAQGERLVIGK FFFWNAGTDLQKSHQGLLRSLIFEILRKCTGLIPLVRGLMTTTTIGGQEDLEDDDDVF SSENLLRVYEAIVMQDVLPMKFCFFIDGLDEFQEERRTHTDLIKTLREMKHSPNIKLC VSSRPWTVFDDEFGGDSEWTLKLEDLTRGDIARYVTDKFNEHSQFQTLSKLDADYSDL IYEVVARAQGVFLWVYLVVRNLLEGFTYHDSIVTIQRRLEQFPPDLEAFFQHLIDSVS SVYRAQLARTLQLAMHANKPMLAMFYSFLDDGDKNADFCFGLPHQELRPRDINVRLDQ LRRRLDGRSKGLLEIVERHELTPAFRDGAPDDGASLKSPFFGYSVDFLHRTVRDFIHQ SPEVQTYIRRALVNTDNIHLRACHASVAMMKAMPLYRNAMSRRYMCYLVEVLFYFVSR AADDRIPNTPLLKTLTVAEDVFNTICTQQQWSRSETHFIGLCAQVGYTPYILESIQQN KNGLTTLPESHPSRPLLDYALIPPHTSITVNPKTVELLLESGANPNHTHFGDSTWSRF VGALDWMLTDDNREAIYRVTEMLIMHGVDMWAVAVGLHVPVPQVRQMSWFPDIPERYQ LSPPPLSRKKPVVEVLQSVFPAHAAALVQLFLRSIPWPAPPSSRIHEGNEQDHDALRS MQPLVSATEDDKTGQENEEIAI
SAPIO_CDS1176	MPDSSKHLESTLHILPSTEHCDKTAIHRASVMAANIISLPFRKG VHLSLSTAIKKYISVKYDQHPDMFRQDLEVIDALRTDAVNVREPHQSGIKRLQAYAAQ LVWIGGKFPIDIGADFTWYPSLGYNVDRPMVRNNLKYELLNVLYNLAALYSQLAISSP RNTTEGLKTAANYFSLAAGVLQHMIKEVVPELRMSDPPEDMDAHTLESLTQLLLAQSQ ECFWLKAVMDGYRDGSIAKLAARVSDLYDLAGEAAVKSDAISAAWIHHMSAKHHHFAA AAQYRAARECLERGKFGEEVARLKDSVKCVVDGLKDTKKGYLNKTIIDDLQNLKKKVE EDLKRAERDNDMIYLNAVPSKAELKILDRANMAAAKTPPQVAKPLDYLGDQAEFGPAL FSRLVPFSVHQATSIYEERRDRLVNQNIIQSLEVLTEDVHSVLTSLGLPGSLQALEKP LGLPHSLTQHAEEIRQADAINRINKSFTDIEKLRAADQAIFDEGLEILASEEEEDNRL RLKYGTDRWTRPPGPEDPQGSRLWEQVREIGGYFATSLSSDGVVREKFAAVSETLTLL AGPDRHLMSYVPSSLQATIPENLKTVIGRLRGAYNDVLRLESRRRKKVEALREKCRAD DIRPDILKEAARLERTYPSTAIVPAHFEDFFEKRLDKLYEPELEAVDKEKVEQERLLT EVTRVNREFESQKKMGDGSTKLREQRLQTLENAYFKYKEIINNLEVGRKFYNDLSKIV GQIFRDQARVWANQRRMEARSLEDYFPQQTQPQHHQPVPQQQPQNTIPIPSAVQEPPP EAPIQSWAGSTVSPQQPRPMAPMQGMWTPDMPIKFGGPSAAPVRGNTPGGKQPSGTWD PNSGIKFG
SAPIO_CDS1177	MTDNPAPPSDKPKGDQQYFPPPPPGPPPAQSQYTHDASYNPPAG PPPVQQQPAYEAHQTADLAQAQFPPPPPGPPPAQSQYTHEASYNPPPGPPPPQQQPSY GTPQAASQAQPQFPPPPQQHPDEVPLPDYNPANYPGSHPAGAASNAPPTEPELTSDEK RHAKAKAWSAKMHSLGAKAAAPINAFANKMGSQAFLPSTMDKECEKAAAILTSFCKDG AHGEGQRPVTPNDESKKDKKRSRSRDRAILRIPSKVLHSAVGLAIFTTARIGFQFSAA TGSGILIARLPDGSWSPPSAIQVHTLGAGFMAGVDIYDCVCVINSREALEAFMHTRVS LGSSVAVTAGPFGAGGKADIGAGSGGHEGGDGHSGYGKEKPAEAVAAEAHPPTVVEPA QQGQPAAGVAPGAPTDPTKLTPDGKERPTSSHRRTASSFKSLAPVFTYIKSRGLWAGI QADGTVITERKEANHAFYGQRVTAEHILRAEGLVPQESPHLWPKATAVLLDALKKAEA RKEEGEEVIVPPAVATPAVAAPANPPVQAGSSTAVAGAPPPVQHEHVGYYKADEAGPS ASEPARGDELPAYQEPAVPYPGTGDQKGPTYH
SAPIO_CDS1179	MSYKIAAPDEYLAITGMGVRNEVKITKAAWVWPFQKCMRFSVQP QDYAMNLQAMTKEKLQFLLPVVFTVGPHVPMLSDEVTHPDDLEAPRVDPAEALMRYAI LLAESRDSKQSTHSYLEQKIKSIVEGEVRVLVSSMTIEEIFSERELFKRRVHKNIQTE LNKFGVYIFNANLKELVDAPNSIYFESLSRKAHEGATNQARIDVAEAQLRGNVGEAER RGMQEREIAKINATTAVQKTERDIERAAAEARLNTRQAELTRDVDIARIEAQRQIEST DEDLKKQVEVKRAAAEIERLRATDVVKASIAREAKQQAADAKAYEVVAEAKAAFEKSQ HLTDASAYKTTTDAKATADADFSRITKHTDAEAYSTRVDAEARNYAAQQLAEAELTKK LKEAEGISAMADAYGKLANAFGGPAGLLQYMMIEKGTYVALAKANAQAVQGMQPKISV WNTGSQGEGSGNGGIDTMRNVYQMLPPLMTTINEQTGITLPEWQFGKLAATTGEVARA QGKPVNGS
SAPIO_CDS1185	MSQEHSTPSSPPQYQVLPAEYADEVEDDDVVMAQTTTYPPPSSP QPRHQTRSPIPPFRPLSPPPGSYIPPDLYLDSSEIPQMGDSTAQPGSSEAARPRNHFS RQIRRYPQPPPPQKIVPALETPPSTRPDGALDIRDFRGNPFLSDLPLDDSPPPLKYED FVAPLLRKFPQHDQRINFRKRLGEGLEGVVYRVWFGPGPPHFALKIFWNSRSSLAQSK HNTNRHWPLVNECQTVARIEKIRWQLDHAEEPIMVKRKIMNGDDMRHNMRCFSEGGRT HPKCAFEDAVPMPPADTIVRCHGWLTIPRDSLPPDFHHARSIANPPYYEETSHYFALV YDYVQRSPRDLEFYVMQENIDFFYYAGFTYWYRKPNNWRGERLVDFGDLASPFRSRPD WHGPPTHSTSLNFFGRLREVRGLDTGRKKAGEEAKEKVDEEAKGKGAEEKGAEEKDRG EKDYGDGEDNDDSVQNGNRE
SAPIO_CDS1187	MATREEAEEQVREIAEEVGYIDQEVMDRVGARLPEDRRKIERGM KALASLAGHSIKTFVSPTFIPGISCSSILLVHKLMFPLFDYYRLAKNIYGSDARFVFE LLQNADDNRFTRATAKGDMPYVSFAIHPSRIVVKCNEDGFSFEDLKAICVVGKSTKST SYGYIGAKGIGFKSVFIAAWKVYIQSGHYSFYFQHEKSDDGLGMVTPIWQAADPEEVD GSFTQMTLHLHEKGSPDEIKHLRETVFKQLNDLQQTCLLFLRKLKRISVAFYDESGEL ETSKSFFVDSADQHRRILQTVSGVDEEETVEQAYYHVTTHTVTGLAKSDNREIPEGAH PEHGPWNTAEVILAFPLTSEDVPIKKSQHIFAFLPIREGDFSFIIQSDFDTSASRQDI STTSRRNNGLLDGIADAFVKAVVQFCQLPNLRYTWPTFLPRKEDISSSFWSGLRDRVE SRLNETPCIVTRHSGVLRRIDSVLRLTRDAEDMQGNYLLDDRAEDPFISKHYTASVQV LAEYGLARLYSGEFLRHLESDLKSDSSRTKSPSMTQDWHSRLASLLCRLSGNKYSKTT SDIKKLPLLPLVTGEWVSAERGQSYWPTTHSIPIPPHIDLQVLDQTALDVPQRKALFE GLGVKEATRDDYSPDTLLAKNEEAPGPALSHPTWKEWLCDTIGIRERMRLLNTTGDGF SNMFLYVWTQHPGKALGLLEYLWEYEGPQVKRKPGLLQTLKSELPANALCGMTDCDKN LSLEQTYLPYPHLLRQCGVSSAQLGVLERFFQDTLAIEDASWKDVVEDLKSLGNKRLT LSSPSKALYEYLDKMDFGSSIPEFRKTFEDNALIFATYRGTPGWWKSSECLWSNTADI GRKVPLSEQYADLRSFFVDKLGVKTVTLRIIHDELLQVNSMTPVGDAKNLLLSFGALL QSARDPELAPGALLKAPVFPVKLPTGDTGLETSEASFVIVDRKSLAARFNGRIKVVDF TLEEVWQLKSFFTWMGMEDRYISASVEEVTRVSSENSRAISSPGRDLKHKAYYILRIA ATFKSPRYCSDQPPELYKLLQQTEVIETDGIASVLRIAQDGETIEVEESRCDLHINET DIGLEIYVPRSKASQEYVYSLPLPSRLADWLMRERDGGVSSSPPKAMVIALSATLNCS STSALDRVLDYQGILQVSIRNEDMIIEEALTLDEEADDEDGMSSRAMLTPGSWTSGGN TEVPDAEDDPLQITSSRLVQTANRRANMSFFAGSSTVGAPRLSPASHIPEPSPSGEDR RYLALLNRVISAARQATFPSQGAFNMSSLLGALSAGGGDIARFDGLEVMNRFQSSSQH ERDKKVGAAGELYMRDTHNSGGKSDVYLIFRVFRIGRDRVGVCVYLDPEQAKIDGRLL FTGEQWSVTPGPGA
SAPIO_CDS1188	MRPTASIVLGLGALAHGHFVLQAPTSIGFDDAKEIEGPCGGFDA KDRSKGVTDWPVDGQWISVLSTHNDVTWEINAGLVGNDEVEFTPLVLPFKQDGVGSVC FEVVPGNPNWIGEDAVVQVIQHAVDGELHQCAAVRFVSGGPASPDSSCINSPGVVIDP IIEGVKPEEPKPTDDGSGTSSSPDTITGRASASGPSSVSRSWHQIWTSPVIVECLSAR FFPLTSKPFNLAGFRRASRMHYLRQHGHYKRKLEIALQYETESYFKLDPGFHPEGRYP TLVGNEPKVFSGFSNGRIVWHAGPRILVVDDLRTRKRKVLEVNKGRSVLQGQGTPPQL LISQHLVVLIYARELKISAFHLTTNTWSTFSMPADMETWQAEGDRLAILTRAGELYVL EHDGRRLNVVRHIDVGQMIAEKMPAMDLRHTESAVLLNPTSKDTFYVTFKQPRSMYFD VPVEIVEFQGEVLVTVHRILPSIAKHELHRLSYRVDHLGSLTNSNFVEAGENGLWYAG LFAHHEPDRQTAGVNMADQTMDFTVFFNTITREISTQGYSKCFDCTAPSIFPRFLWEG QRYSPHRTAEDGDIFIRSYVANTVNGEWKQVPSPATYEISTGFIPLDPDPYLQILHDH GYMLAAYTDRYIVWSFLEEGDCMSGS
SAPIO_CDS1189	MSSDAANPVYFELGWSILSTICLANVLFGLLVVGITNFTQVSSV PLVTSAAGAIANGLCYYAFYDYENRYSLPAKAAASAVADIAWMIQEAGLSFYSFMILQ RVLRNNQWRVFMILFWTMIVGIVGIRIVIAITRVRLILSEGDALQSLVNHLHIGYFVL IALLECLSAYFLLTIFAKARRSSFQAAIKTNLFQYLMRSTEVRLALLAVLGIMRAITY SFQASAQSATNVASQVDRFAYTMECMFPVIMFIDILASKLVRNEHESSSRSRGMGARS QPRQFTMTRKDEHGEHVIEVQGGVHGTRRDNRASSQERIIEEGSSQETSADIDLEMMD PQRVGISKTVEFKVYNGER
SAPIO_CDS1191	MAAPSFRQIVGVPPSTASTKDSTLVIIDAQNEYAEGKLAVANVQ TSRKVIESLLQKYRAANGNVVHVVHDTPAGAPVFTPGTRLAEEFDELKPADGEKVIHK LYPSSFADTDLQQHLESIGSKKLVLAGYMAHVCVSTTARDGARLGYDILVAEDAVGDR NIPGVDAEQLVKVALAEIGDGFGTIVRAGDIN
SAPIO_CDS1193	MPFFNSSIDSTRLFYRDYVPDGKGFKMQAGRASKLTLVFLHGWP MSSKMFEHLIVPLCETYQFRCIAPDRRGFGSSDWTDASKGKTTDWSTFAGDVAQLLET LAVGDFVFVAASMGCTESVLAYHSSQYISQHCKGFVWIGLAMPFPLQTPEHPLSPTQE LWDSILQGLRDNRPAFVTESLPGVFAMHAGNQVHPKTLEYYEQIVSEAHGVAIEKTVA IFTQASDKQLTKLAESAEMIPILALHGDSDQGMPLEAGATIVKEMLPWADLRVYKNAG HGLYLTHAQQVIDDLVKFVDGI
SAPIO_CDS1194	MTLDSSPADQSRPLLGERQSDSTANADHRHPIPPRPSVTILVTG LVFVLTAGIALPALPILRILEDLICRKYLGISDGAIDEQQCKGSDIQSKLSYVMAIQS MLEALPGLFLALPYGILADRIGRRPVLGLSVFGMSLALAWMLLILRSPQLFPDVRLIW TSTAFHAIGGGLNVLMAMIYSMIADTEASEKLAGSFFMSIVASLIAELLSIAVASRLM RTSPWIPCVMGLGLFIAGGICVTFLPETLKQATGPESAISKSDGDISITSFLKSRLSQ STRELAESAAVLHCYPVVALLITFLLTGFVARALSFGAQYLSKSLGWSLADAGMLMSL QVVMNIALYVAILPGCSRLLQSPSMPFKLEPVTRDFFLARMSFVFLFIGSILLAWPSS KTVILGLVVFTCGLGFSTLCRVVITSMIEPRQTGRLYTLISVLDSGSRLAAGPVLAWL FKTGLDLGDAWVGLPYLGVAFLCFLAMGLAFSVQHSDLLTHRHNDATQILDSPEDTA
SAPIO_CDS1197	MTILHFIVAPWRLLLLLSAFIILVDSVNLKEKLKPNGKLGVTLD YSFAISWPERIPANAPIKRNLLFSSHLKFSDLVLTITDGQLFKITLDAYDEMVVEANR YSVGKRQIPGTMTALAFDKEIILVSSQKGQSFTYESSHPSPVLETLQLCEATWKEDRG IADDESKPHRNNGQCGEPMAAHLYYHSRDIEVPLSEQRARVVTVGPRVDKQDGLFKVG YIGPCGEEEGGRGGPNWGCGLFVEKMGMVTLDGAIAAESYDLDSLAGGPSIGQTELCG TL
SAPIO_CDS1198	MIQVSATQPAETFSGNDVQLTNILNQCIYQWAVANQAQASTAKL AALDKDWAWASKWDWDAMGRGCEKQLEHTQSIIDSPEFSSKIDRVLEEAKKKLSSDGI IYHTGYAKFWSEDMSPECDQVTWSTWIFKLTDMLRPVEKLTQARRKRMNELSDAAKRA GPRVKFVDYDSYVGRFHGRYCETGVDESTKTSNTRPGLMFYELNTFDPFGTTPWKRSP GSELQGTFGGDLDIMAQITLLLDPDATFVHEGAIQPDDTPAVAQAGVVNNAQLSDIEI PNILPDGYGRVFHPQVLLHQIIANLIITEMTSVNAVKNGFPEIPLHYKFTSCANPDPQ GPLEKSSPPECDMNGVVSGFPENIFRNSVYNSFCAEVSKDTRKSVDWTVDKEGTRVNS GQKRSLRISARTPPPSAVGPNAYKDYTIQLKWTPKEGAGRCPESCTDALMGIANSGCG HIGGQSNIMVDRSKHDIGCGTYEVVINIPGSHPTVWPRKCYETDEFGRHEDVHEKDVE RVAGVFCDVIDHQATMGPGDKPITKVAYSSGGGGTPFDWPNEDVGNVQSNLLFEVSWD NDCPNPAPGEKTNIRDPTGGAQLCKDLLFNNYKRCVNGGTGGYITANCLRYSFRPIAV KVNVWKKLGHQDVTPSVLIDEDWHETKVVLSTATIAQRGCIGRTKRPGISQNLDGNTC TVTPKSALKKRQWSQDTHSGRSLPEVVDIHFEPQGRYPRSDPALGIPEKRAPQFPGFG GGGGGGAQQPSADDDTPAILQAFKECGQDGHIILEEGDYMIRQIMDTSNLRNVWIDIY GRLEWSGDNIQYWLSNSISVTYAGRSTAWRMGGTNITMLGHGKALFFGNGQIWYDQNR NQGNQNGRPISLTLWNANDVWIDGITWRQSQFWNTFIAHSSNVTMTNIDMNSTSATQW STVNTDGTNTWNSKDVFLRNWVVTAGDDCISVKGNSSNIHADNMTCYESGCMVVGSMG NPPSTPEYVENVVFENVYCHHSSNAAWIKTYPGTGYVRNVTFRNIAFDDINQPIYVSP CIYTGQNCDNSRIPITDVTWQNITGTARYNIGAGMYCSRSSPCDNFHFEDINIRPLSG TEPSRSRRRRGEHDDGRIRLIVSTKRDASFPRS
SAPIO_CDS1199	MKSLAWSAVFLPFALASAVPRADAGAKVDYTGFKGLRVKIPHGH SHFDVEKDLAGLLTHVVNFGHGDYLDIVVSPEKVASVEQRVDAEVVVHDVGAALAEEG EPIRTYAVPSESWFTGYHTYADHLQFLKDLQGGFPQQSELINIGNSVQNRAISGIHIW GSGGKGSKPAVLFHGTVHAREWISTMTAEYMAWQLLTLYSNTPAVRALVDNYDFYILP IVNPDGFVYTQTNDRYWRKNRQTVSSSSCVGRDINRNWSYKWEIPGGASTSPCSETYK GQAPGDSPEARALMRHVDSLRDGKGIKFYIDFHSYGQYILWPFGYDCSFVAPDDAAHN SLASKGRTAISNVYGTSYTIGNSCRALYATTGDSVDYVHGVGNSTYSYTIELRDTGRS GFALPASQIQPTVKETWAGVIAMLANIYHKVEPGRPPPGESDDGDSSGHGLQLVVKAN TVDGMVPVGEIATKRGDIYHGTFRASIKLTRSLGTCTAFYWYFNDSQEIDMEFLSKEF NFETNTFPVNLVLHSKESVAAGFDAVKAGTWARVNLPFDPTEDYHEYRFDFIPGSVNF YAEGVNIATMDGPAVPTSSGHILLSHWSSGNPKWSGGPPEQDATVAIRYFKAYFNSSD ADRQTEWERRCRDANDPDTLCHVPSVLDDPDAAAHFFTATTPTDEKTGDGNAGSTISR PLGFLSWMAVVWAGYWHSSWMDLYI
SAPIO_CDS1200	MKLNVFVPVLGLSAPASALLRFGCSQLTVQRVDPLVNPGQAPSP HLHQIIGGNSFNISMDPETHDLAALSTCTTCQFTEDFSNYWTAVLFFRAKNGTFKRVP QIAQAGMEGTQGGMVVYYMSDALFDTAQRSKVTAFKPGFRMLVGDANFRDREQAKAYR QLTYICMDNQGSRTPETVEFPKRACRLGIMANHRFPTCWDGVNLDTPNHQDHVSYPET GTFESGGKCPDSHPVRLPQLMLETVWDTSSFNNQAEWPEDGSQPFVWSTGDPTGFSTH ADYVFGWKDDSLQRAMDGHTYVSAPMLKSQSIAEQNKCKVESMVNEDIDGWLTELPGG MAVM
SAPIO_CDS1201	MLSAPVIAVPVVVLALYLLCTKGRREKNLPPGPPTLPIIGNLHQ IPTKGTGTAVVITDRRIIKELLDKRSSKYSNRPESYLAHNLITGGDHLLVMQYGQLWR SLRKVVHQYFMESMVEKSHINVQNAEAVQMLRDFCVRPDQHMLHPKRYSNSITMSLVF GVRTPSVDTPHMTHLYEMMEGWSKVMEPGNTPPVDIYPFLHLVPQRFFGNWVSRAKDV SSEMNKLYGRMTVHVQERRRKSGSKGSFMDIVLDQNEKLQLNQHQLSFLGGVLMEGGS DTSSAIIIGFIHAMTKWGDVLKKAQAEVDSVVGEDRTPIWSDYKNLPYIAATVKEAMR WRPATPTAFPHQAAEDDWIDGMFIPKGTSIIINGWGMHHDKNRFKNPEVFDPDHFKGQ TALAAELAAASDYNSRDHYGYGAGRRICPGIHLAERNLFLGIAKLIWAFDILPGLDAQ GNPIEPDLDPRTGYCEGFLVCANDFPCRIKLRSPARRDTIMKEYEKAQVEVFSQFEGD I
SAPIO_CDS1202	MAHSAEAVLDNPAEGAYRQFLPDLSSPRFTTMQAQNAHEYAAAF KESGNPPWLHALYLHWRKLLQEPFRGITTDGTVRPGLFQFQDEGIPIENIVDAANNLI KQLQSSGLSLKPYHIDSPEWRTWSNPEFLLSHKGIRLDELNHELRESVMAILRVTLSP EGYEKAIGAMRINGYLGELVKAPSVCNEFSYNFVLFGSPSTNRPWGFSFYGHHLCLNV FLYQRQIVISPWFTGAEPNLIDAGPYKGTRILLEEEKLGLELMQTLPPPQQSAAQVYE LLNDPKMPKGRWNHDDQRHLCGAYRDNRVVPYEGVLVADMSPENQDLVTAILHQYFLY LPARARAIRIANAVEWYKETYFCWIGSYGNDDPFYYRIQSPVIIIEFDHHSGVFLTNK EPAKFHIHTLLRTPNGGDYGMALRPLIPGVEQKFIWEGSEE
SAPIO_CDS1207	MGQYYGGLGPMTNAVLWAEVAIFAIFVGLRLYTRIAILNAAGAD DYLIIVALVLQILYTVFVTVATSYGLGRLFADVGNAEVYWTALKYEIFSQVAGLMVIG VGKCAVGVFLLRIVRNKIQTVFIWAFMAGTVFITLFASITVVVQCIPVQKTWNPTLPG TCWLDFSKVGLTVGSWFVVADFSFAILPWFVIWDLNMKRKEKITVALGLSLGVFAGIC GIVRTVALNSLNAQEYIPDDTVPMLIWSATESTVTIMCSSIPVLRPLYVRIRYGSKGD SSGTGGSSYKLPMYGNNSTRKYGLGSSAGIEGKASTTRQAVITYNNASDESILRDTKD QNGIGRGIKRTDEISIRYTPNSGQDQ
SAPIO_CDS1208	MASQSKDIIAALDNFSHASFNGDEVERLRVRAAARRLLARVESP YERAWGFCFEHPVVFAALQTCIDLGLWKAWTGAGGGEKSIDDLLKLVTHDIEPNLLRR LFRLLAAFNVVEETSEDTFKPTPFSHAIGDESTKVRASLEAANYQYILAGHNLPKYLA KIGYKEPAAADVNNHTESDPDGLNFFGRLQKSPECYEAFTGHMEAWTAWKTPWTKLYD PRKLLDGANLSDGSPLVVDVGGNTGLDISHVLNVLPDLPAGALVLQDLPEIIAKAKVD EKITAMVHDFFEPQPVKGSRAYFIHAVLHDWPDSQATQLLQNTREAMVKGYSKLFVYD IVMPPKGASISQTTMDVEMMCLLSAAERTKSAWEKLLTGAGFKIINFWPDPQEYEMLI EAEIA
SAPIO_CDS1209	MCVRSGMECRPRSEPESTRSSSKRHKASNTPGSYGGVTAKVARR RRNVSASPEIVVGRSARSTHTSPERASPLGRQITSSIETQQFGANRSTISLAMNVYEN LGTQAPTKGSTIPGDASPASPSGPTWELQLMQMPAPSVMESLIEVYFDKIHWFIWIFH KPTFMRQARDILSAATWRREDMSKILVTLTVAALGLKCAIQNTSPQGQQFLSSVSSDP QRLMDQMIGEVRVHLIDLLDDSRLETVQVCVLLGAFYIFHGSPSLAWAMIGLSVRASY ALALHCELDSDDQVDTQVRRRCWNHITVADTFASQIYGRPASLDAAFSNLLPLADIDD TAIDVLSNESQTEDRAGDGKVTALTFHWLKYKLYEIIRKTLSTFRLLQLRNPMTAEDL QSLLDAVQRADMHLSEWRGALPRLLDSDDFLDEDHPQQSSFQERFEGQGGKDSDSALR RLRLQALLLQITYDAAIILAHRPLLEHSLSAEYRQGISKSSRDLVSRSFDVSVKAALR MSRTPIMQFEHEFCLAFIFIHLFTAGVILCIPPTSHPYSSIAQESKAGVFRIIQAAKA LSPRSQVARHAEQLLSDLLQLSMHREVDMVFKEDRKMDQRPASNQSPAEPARITINAC EVRLSLAENYHNPPERDINQQGQYSETILSVPPTSETPLPSHQQDPDSGGFRNEWVEP YPVFSLMNAVGSETQPLDLPLDEAFGAFGQVMFNLMPDDPLNSWGWGKGSI
SAPIO_CDS1211	MSNEVYNLFLSVESDGTPKAQNTTVRQNSYFFTSNMSDVMTPSK TEAVAMSHTEKSTVSNDDGLPPDVAALLQEFTGPKYDKLMRKMDLHLIPIIAVLYLLA YLDRGNIGNARLAGLEKDLGMAGDQYNVALTIFFVSYIVFEVPANMALKYLSPRVWRL LAARFFLGVPEAGIFPACAYYITSWYTRHEAMYRTALFYATASLAGAFSGLLAYAITL MDGIGGLSGWQWIFILEGLLTVLGGVAAIFTIYNGPDSVSWLTEEEKQYLKVKLAYDG NRAGMGTLEDGPKRKYTKDAFRDWQVYLSVVIYLGISVSTYGLVFGLPTIISTLGYTA RRAQLMTIPPYVTACVLTIVVAHFSDKFKRRGYFIVGSLLLSIVGFVIAIATSNSENL AKLTYAGCFLACCGFFPAFPGVISWLANNLAGPYKRAIGMSLQISLGNTGGLIGSNIY LAREKPHYRLGYGISIGFIGLGIIAAGTMLFILSAINKKRERYVAENGGPDGVVEKHG DVALTEMGDKSPLFKYTL
SAPIO_CDS1212	MARLSFPRLMAAYCCLCLCYFTSSLDMNAVATAPPNIGNALKAG LTPVRAPLGHCRPQASAPHLYLSRTLNGIGGGGISSLVGIIIGDLVSLKEHGKYQGLI SFASVAGNWKEKVKKIDWPGVEASVTSIVLLLIQHRSAMRFRPVDTRHGFENHVFSRH IDDGIRHVLAIEGAGVGLVYQPSLAVLQALSRTEDRSVATSTRSLLRSLGGVAGVAVP TAVLDAVTAAALKGKVPSQILAGVFDGSWRIGDIGSPEIVAEVLAVRMHGFRVVFMIF APLMAVCLLASSFAADIKLHGDGDKNEEKSHALSLRKRLGSEENAHHWTYMFSHGFSS LEECKASIRESSEAKDAHFFVAMTGPASDSVAEPAALFSYLNSIVALCLHGRKDKVAE EVDRLEVDAALDSPDSFAHRSGATGPRVTDITIKQSYPFSSR
SAPIO_CDS1213	MEPIAGPDDIVHVPAPWGLKGTVYQFAWWTPRTQAEDMPAMAYS PLEANSAFASPEGSKPLGGLSVVQIIRYTESPVGPYDELLFVPGSHENVVDDEKTGKR VTKRNARVTRIYVSQKYTCWNGRTNWNIPKHLAKFEWHTDPSTSTATVKVYPHDTPKA SGPSSTYDATEATAATVPFFQASFKPVPFTPSFPFSAAVFRYLGLNPTLVQPPLPEGK DASQKELPGTSKWCAIDPVQSSWKTKLGWFDLRQQTDGRGQVIGGAEGENFWPGLGRW HLGIRMENATIDFGEGRYWDPSRSTM
SAPIO_CDS1216	MHVSREGHLWTPSAGLQQGLPSIGVIEPSSNRPADKIHDAVVIG AGYAGLIAARDLTTAGYDVLLLEGRDRIGGRTWSADIEGYPYEMGGTWVHWNQPFVWR ELARYSMSDDLEISPIAGQGLEDTWVNRSNGSTTKLGSQKEFDILESAVKKFVNVDGK FGREAIPFPHNAHHNPVIGEYDKLSFANRLAQIEDDLTPIEREVLEIFLSVTSGGTME ESSFFDMLRWWALNNYDMLMFKEMCLTFKLKDGQSKLARQIFDEASATGKLTYQFSAP VAIVEDQGSYVVVTTRLGVQYQAKRAISTIPLNVLKDVTFKPDLLPGKRAASEMGHAN HCVKVHAEVANPALRTFSGWNKGGLGMAFGDGTTPAGNTHVVAFGCSYPDLRLEPTAD AGQKALAAMVAFAPTLFEDIRRLVFHDWNTDEFALGTWEFLRPGMATEYLDVLKARQG NILFASADWAKGWRGFIDGAIEDGARVAKELGGELGHSQHTLPLRVAGNDG
SAPIO_CDS1217	MEDNCPVTAVPDTVTTRDRRRPASGENQPRRPKRGKYTSVACEE CKKRKLKCNPLNDESGCKRCVDNGLPCVYTREPSPANKNKAESQLNYETLSKEVGQLR RQVMELAGAVEALRNSSPPTRGVPSSSSSSRGGTLVQSPASSQRSQEPKGLPFVGPTR PAFGIIIGERSLTRMGFPVCESIPPSGAQSPTDIPKEGTVPQTSEQFWSTCTASEVTR LVEVWREEVESVYPCFDMAEKISHAEHILNCIRSGRLIDGDRYDSTQATVTSRDVDLV KIAVATGIVLESHGKTEMSAAIIDSVERGISRISCSDVGLDKIQVLVTLSIYYFHIDE ELLAWRAIGVAAREALEMGLHRRDSLFDNFKDRKSRTLATRVFWCVYVLDRRWSFGTS LSFALVDRDIDPELPEPSQSRLIFVYGILVSGSHHALSRLSFIGSVPFSTYAETTRVA SSIGTTF
SAPIO_CDS1218	MTIADLILSLNDQIRDHAGRSLLVSFFIAPVAYLFVNEIIRHNA RIDNLKGPSGFPLVGNIWDIRTNAAEKYREWAKKFGPVYQIQLGNIPVIVVNSAAAAR ELFGKNGQALSSRPEFYTFHKVVSDTAGTTIGTSPYSDSLKRRRKGAASALNRPSVAT YVPHLNIETLDFIKELYTDGKVGEVPIDPMPMIQRLSLSLALTLNWGIRLKSREDDLF GEITHVEEEISRFRSTTGNLQDYIPLLRLNPVNFHSAKAREMRRRRDAYLSSLNRGLD ERIAKGTYKPCIQANVILDKEAKLNEDELTSISLTMLSGGLDTITTLLAWFIAYLSQH PEIQDKAVSEIRKLYAEDQPMCDVEDDQKCQYIVALVHAEVWEDPEVFRPERWIEQPD APLFTYGIGYRIEGKRRPNQFGGNAETLQI
SAPIO_CDS1219	MPATHFKTPERYKYLNGFGSFHESEALEGALPIGANSPQKPPYG LYAEKLSGTAFTAPRHENLQTWLYRILPSAAHEPFRTYPDNASVHLITQLDYVPNQFR WDPFDMDPEADWIHGLKHLAGAGDMTMKTGLGIYVFAAGRDMDRGTAMYSSDGDMLIV AQHGTLDIQTELEGPVRGYILELYQGHFILPELGPIGSNCLANARDFQIPLARFDEDT SSTWSVVNKYNGKLYVAEQNHTPFDVVAWHGREILNDNASLANDLEDYPFKYDLGRFS VIGSVSFDHPDPSIYTVLTAPSDHPGTAIADFVIFPPRWLVQEETFRPPWYHRNTMSE FMGLISGEYDAKAGGGFQAGGASLHNVMAAHGPDASTFEKASAAELKPQKIGVGSMAF MFESSLMLGVTEWGKKTCLKVQASYNHDSWAALQPRFKRPE
SAPIO_CDS1220	MSEFDKLFAERTARGSSTVHGCVTAAVDKNGKELFYKALGYDGT GPDAPAVDPNATFWIASCTKLIGTIAALQCVERGQITLDEPVGRVLHELANPEVINKA PDGAYDPAFTTTPATKKITLRHLLTHSAGLGYDAFNPTLLAWRQSRGEHPKSMNGTVI EGHSAPLLNEPGEGWVYSGGIDWAGVLVARLNKTTLEEYLQENVFKPLGMSSTTFRLE RRSDIKERLLTTAQRTEDGLLKDSTKVWPDYAAEDCAGAGLYSCVSDYLQVLKDLVKD EPTLLKKETVEGEMFRPQFAEGGRSMEGLLGSTDMVAAMTGTSSFDGVNWGLGGIYAE GDVGTMPKGTLTWGGLPNLVWFANRERGVAGFFATQVIPPGDKTIASFIGEISQVAFR LASAQLI
SAPIO_CDS1221	MHLNSRVHRGKQIQCPLCKGCFATATGLTHHVETGSCPNAVGLG RDTQFKFVRNKDPGGIITKNMIGWYGSAQYEATSNSYNSYHQGWSAISVIAFSERCLA 
SAPIO_CDS1222	MESTTTTLAPRPDSEATRSLAPSIQVGTDEIILEKRKERDGRSS EDAAEGTTEEIHDEEYPHGFRLAAVVLALVLSIFLVSLDMTIVATAIPRITDQFHSLG DVSWYGAAFFMTIAAFQSTWGKAYKYFSLKPAFLISIFIFELGSLVCGVAPNSNTLIA GRAIAGAGAAGIGSGAYTLVAVSAPPRSRPLYTGIIGAAYGIASVIGPLIGGAFTDHV HSINLPIGGVSAAIILAFFQTPPSSVPVKANLREKVLHMDLIGTAMIMGAVICFILAL QWGGQSKPWDSSEVIGLLVGFVAIIIAFAVWEWYQGERAMMNPRILGDRTIYISSLYM FFFAGPYFILVYYLPIYFQSIDDASPTNSGIRNLPIILSVSLTVILSGASISATGIYT PILVAGAAIATVAGGLLYTLDIGTSAGKWIGYQIVAGVGFGASFQIPIIVTQATVSPA DLSTVTAMVLCFQTVGGSFFLAGAQSAFLSEMVKRLPMTAPTVDPALVLVTGASNLRE VFPVDVLPGILIAYMRGIKVTFALALAGTGVSFLLSFGSRWSRLNPKNIQAGAA
SAPIO_CDS1223	MKVFAILAVLTPVILAAPLTAAEDPGCTREALLEAADAYVTAQT EGTLDALADLLADEWIYWEDNKEIDPSKAVLTTKSLKIDHQRSIADVVECASYTELIS ADPSNPYVIGTQIRHGSDFKITKIDSVASTKNSWLFDSAKTLDYAVKEDWFEIPESDR DDRDVIKAAGDAYMDIWSNATAAAAVPWGVPCTRLEGSAYTGRGTPQDSCEVGLPSNH NQAPNSNRRYVVDEVMGSVNILCVWEHMMNAADSHEFRLEKGKLRYVHTMTPGIQARD LPQETKSCN
SAPIO_CDS1224	MADYWIPASVAVIAVLTFGIPLFLAEYFPWQSLWKQRHGRPTVT TKSYKGRTVLITGANGAFGSRAAKLFAHRDVETLVLVDVKDCGGVKEEIEAELSEKNK PRPNILVWQVDMMSFAGCQELGKKARELENLDHVLLTAGILSFNRRESPEGWETSIQV NFLSTALIALLLLPLLKSSPTNPAPPVLTFVTTFGIYPSSFTMSVPKRGSYLKRLSNN KDGMEQAHQYGRSKALLLYFARELANQTSAAAGNGMRKVTINSADPGSAWTPLTNPNQ AKLIPRLIMNFGARDPQICATALVNGVSASAEGHGKIMQDFDTASYPPFMERKSGQMA QRRVWEETRSEFEAKVPEVKAVYEMLEK
SAPIO_CDS1226	MVQLSLLYALSLATGVLAAPSELQPRPQPQPRTPETKAASSLEA RQGYYFQNWSEGGSNIRCINGAGGSYTADWSSKGGFVCGKGWNGGGARTITYTGTYNA TGPGYLAVYGWTRNPLIEYYIIEAHADLAPNEPWTSKGNFTFEEGTYEVFTSTRVQKP SIEGTRTFEQYWSVRQEQRVGGTVTTGRHFEEWAKLGMRLGNHDYVVMATEGYTATGG PGSSGSASITLQ
SAPIO_CDS1227	MSDNQEFFHCPVCSKRYKRREHMQRHWASHNASRPHRCAQCSRA FQRLDVLKRHARTCEARALGLIAPSGRRRACDLCVRQKKACSASQPCQNCERLSVPCC YSFNVNQENKRPSTSQESVAAGSDSSEAQTLPTTEDINPIVETVETVEELAVNEADFN AVILADPLEAGIWDAPDTSGSWLDYLNFMPARSLLDVSTNRQQLVTTRKDNPRYSFRF LDNFTRRTGLLESFECGTPALRERTVTSFLQQQVGSNVILRHLAGSHSGPSADVADDL AAALADSSTIPTSITGPVTFVQNPWLHDPLMIKIHQIIVIAKEVVTMKPRNSAVTVEW SPLLEQKCIEFFSQENVRKFLTLYWTIWHPNVNLIHRPTFDPATANPTLLAAMAVMGA SMSPNPADRDNAKLWFNCVEEMVFTDDDLCSEPLYTLDTGVLIPATQKRKVQALQAAY IVCLYQNWEGTDASKRRIRRYRFSTVVSVARDIGLGSARHTDCRRPVGYEFEWKSFAV KEELIRTFLWIFLLDHAFVTFNNLPPRLVIKEMKIHMARPEACFQAATAEECLEELQK WSAHSALLPVLTLHEAVEMVCKGGMHEGMHETLAHLGALNLFAVISAVHSLVFQHQNS FAEDGHLVAIRHALKNWKPAWDFYTSKLSGGPPHNMISGDALTPENAWRRIGFYRHAQ EYWLLASVIIDRLTSPDQAWRNESPSDSDQAQQPNLREATPPDPILSRYDQTSMRQVN DLIADFQKFQIISEED
SAPIO_CDS1228	MSRTTTALAVTEIGGPFELREVQLNPIQPDEALVEIHAAGICHT DLSSANGTLPGAPGAVLGHEGAGVVLEVGSAVTSVAKGDKVLLSFSHCESCAPCTSGH PAYCYAFNDRNFGGKRPDGSSSMVKADGTTPIFSSFFGQSAFARHTLVHKSSLVKVPP ETNLSLFAPLGCGVQTGAGAVLNTLNVTEGSTLAVFGVGSVGLSAVMAGKLRGAKTII AVDLQPSRLELAKRLGATHGIIGTGDVVEEIRKICPPVGVDFAVECTGNTKVIVSMID ALGTRGRGATVGAPGFGSRISVDVMNHLTYGKEYVGCCEGDSLPSKFIPYLIQLHAEG KLPLEELITFYDVKDYEKAIEDSKTGRAFKAVLKWEST
SAPIO_CDS1229	MSSIMSSMPSLASDLTLSVKPTTIALGVVIVGLVARLLLVGRRP KNYPPGPPTLPILGNIHQMPTQDAHLQFEKWAREYGPIYSLILGTKTLVVLSSDRAVK DLLDKKSGKYSHRQEMDMATDGERHSRKMIHGLLNVSAAKSYVPYQILENKQMLHDLL VDPDRFLYHIRRYSNALTTTMVFGWRTPTYEDEKMKQLFEGFSAFADINQTGVAAILD SFPILRMLPDFILPLKKTAKKLHKEEKALYLSHWLKVKDEVEKGTVKPCFCEELVDVQ KSEGFDDDQAAYISGTLLEAGSDTTSSTLYAFIQAMLIFPEVQQKAYEEIERVIGSDR MPTMEDEPNLPYIRACIKESLRWMPTTILGAVPHAVTEDDYYDGYLIPKNAGVMNNVW AIHMDPVRHPDPRRFNPDRYKDDHQSLADAAANPDASKRDQFTFGAGRRICPGIHVAE RSLFLGMSRILWAFKIEPTLDKNGKPIIPDHNKLTQGFVCMPEPFPAKFTPRTQAKAD RVTKEWKEAEKECLDPVTKQWRYSPIPSRSRKARNM
SAPIO_CDS1230	MLATIILIPAGLEELTISWGGLWNIEGSVPWANLELLGKSLNSS DDESDEDEYNVLSDPDISDEYCRLDEAISEGPLRPEEIKDVQAYDGKIGSLHHYTSLT QVSISIRALFGDLELNSPVLAKPPSIRLIDMLPSNLEYLRLYGYEKGRSRELDDHVNE LLGGKSKRLPNLKESPGMEQCDQDFIKSRSSSLIARASHRNEWKREVQECKSPVRLTR SYYRAMGVKKERVVILGSGWGGYTLSRNLSAKLFSPLIISPRPYFLFTPLLTDTAGGS LDFSHVIEPVRVSKSHVGFIQAQALSVNFSKKRVICKPAVIDYSVNRVLTNEEEEEVQ QKPGYSEFTEAKQWEGEEKFEVSYDKLVISVGAVTNTFNTPGVRENAIFFKDIGDSRQ VRHRVRECFELAMLPTATPEMQRYLLHFAIVGAGPTGTELAASLRDLIHSNFITQYPS LIGIPRISLYDVAPKVLSMFDEQLSQYAMQAMKKEGIDVKTSHHVEGLRWGAPGDQPP YGIDAAQCLTLKTKERGEEGIGVCVWVTGNKMNTFIRHGLDKIDQFPASSVALPDGGG RSGVSWSVKKAEKAGSLSVDGSLRVQLVNTDHKTVVLQDVFAIGDNATPETSDLPATA QVAYQEGKWLATQLNKGNLERSPQQFVFKSLGIMAYIGDSKALMQFPDGEDGQRWYRP SNLTGRVACRVDKKPSCSGQYGQQIFARNGKNGRAEPFA
SAPIO_CDS1231	MYAYFSSFSSSFSPTSSREPALNLILRPATYSDLNAMTELGLAA LPDGPTWLYRFPKAKLHPEDHYKFSHLRFSEYLINVGIGVYAVVVVEASSGDDPSSPK IVAMSMWIPPRSHLPNPDRAVVGPKPPSDHTERKNANRQRMVEFRKQLAIAKGRHFDD VYGNEQLNLMILATHPSYRRRGAGTMLVKWGVEKAERERLAVTLFSIPTDLRLYARLG FKEVTTRHVQVEGEEEAIDLPAVIWAPPINQ
SAPIO_CDS1233	MAPSESASEKKAIPPQQWDSTSAVAEIEATAGSGEIVVDKEKAR KVMRKLDIRIIPTIMWVYLMNMMDRVNIGNARLFGMEEDLGLKGQQFQLAVSVLFVTY CLFEAPSNLIIKKLQPARYLAGLTIGWGLIATFSAFVQNFAGLLVCRLLLGLFEAGFF PGVVLYLSMFYGRNSLALRIAYFFGTAAASGVIGGLIAYGISFMDGDGGWRAWRWIIV IEGVPTVLTGILIPFVIPNDAESAKFLTDDDRQTLRDMHESELGKAHNLRNLVWSDVM DGVKDWTTWAFCFAIWPCLIMLYSFVVFLPTIIKALGTWNSAEVQALTVPVYAVGAIL YLIAAYISDKTQRRGYFILAAIVFAVAGYGMLLANKSSALSYAGTFFVSIGIFTSTGI SVAWVTANNPRYGKRAFAGGMQLTVGNSAGVASPFLFSSKFAPTYVTSYAVCMGMLGV SLILVTALHVHFRRQNKLRDEGKQDYLMEGKTDIEIDAMGELSPRYRFST
SAPIO_CDS1235	MTHQLNALHPGSIVLITGVNGYIGSHIANILLGLGFRVRGTVRS PKPWLDEMFTAKFGEGVFETTLLSGFDDVPSLERVMDGVAAVIHVATDLTFGSDPKAI IPWVVKATENMLVAAASQPQVKRFVLTSSSTAAVIPVADKLNVRVGEGTFNEAAITAA WDPATPDDFKFYAVYAASKTQGEKAAWNWIKENKPNFVFNTVLPSFNTGEILHPEIHG STMGWVRLLLKGDKLLFSRFPPEYSVDVKDTARLHVIGLLGPDVRFRRLFAWAHSVNI TDLITTLRSLRPDNKLIPDPPENDGRDLTEVVPAAAAQQLLKQYFGQDGWTDFSTSVA EGIEDLD
SAPIO_CDS1236	MSKLFVVFGATGQQGGSLINYLIHHPEFSKTFRVRGITRDPSKQ AAKDLSEKGVEIVRADLSDPKTLAPALEGAYAVFAVTNYWDTGSHEAEEAQGKAVADA ALAAGVSLIIWSSLPNITRVTGGRVTNFVHFDSKSRVEDYIRTLGFPSSVFYLPGWYM QNSKHPLFPPPTTNPDGTVVLPYAWPDELEMPYIDVTDTGKYLAPAIGDPAKYNGKQL IGATAFYSSKEVADTWSRITGKKVRHATPEELPVSSGSFMEDNVIRPNPFLTDTGYYG PTGRAELEWLHSQLDPADKLTTWEEYVKANGPWFSA
SAPIO_CDS1237	MVRVSQVATSLLLGFVTPVVCHGYLKSIQVNGQDYLAWQVDQDD FLSPPPVRYARKLKDNGSVPNFTTADITCGAGGNIPAEGTIELKAGDKVFAFPCWYMG FEFGYADVKWSSTMKWDQWGSSHSGPVMNYLAHCTNDDCKSFKGDKGNVWVKISQLGY NPSAQPPWASDLLREQGAKWNIVIPPTLAPGEYLLRHEILGLHVVDKRMAAQFYPSCT HIRVTEGGSSQLPEGVSLPGAYDPDDTAGILVPLWKVNQGQQEYIPPGGPVWSEAAPD PNRSGP
SAPIO_CDS1238	MEGDNQGRMSTLRLGGSHLSFFQKLSVNGKDYGQLVGLRAPNQD YPTQDVNNADMTCGKVALTSNEVISVAPGDKVGAWWGHVLGGEQIPNDPDHPIARSHH GPITAWLAKVDNAATAQIGTNLKFFKVAEDNFDVATNTWGVDNMIKNGGWSYFNMPTC IAPGDYILRVELIALHGAYSPRGAQFYISCANIRVSGDGTFSPTETFSFPGAYQQNDP SILANIWGPTPNVADNSGKPYQAPGMRPITC
SAPIO_CDS1241	MPRYFWRSLTSAFALLLAPTSTRGFVWETIEPSSNLEYHDCYND FKCARLSVRLDWLDLENPHKVDIAIIKLPATVPDNDTSFGGSVLLNPGGPGGSGVTLA LEWGKQAQSILDGNKHYEIIGFDPRGVNFTTPHANCYPDPLTAAANTYLVSGIGPLEP GEDARTKWRMALNSAYAKSCAATLDREGGILRYASTASVARDMVEIIDKIEELREKES EAEIPRNVTECNIGKKQPARLQYLGFSYGTILGNTFASMFPGRVGRMVLDGVADANDY TAGTWLTNLQDADEIIDYFYKLCFEIGTQCPLLENTDTSWEDIKKRVGDVIANLRDSP ISFNAPSGPVLLRDVDVYAVLRTALYQPKMFFPVVYQGLYILLNGNYDAFGSAWESIY PKLDNLCPSNNPPPSPLDALAIISCSDGEDKTKLGVDYWDDYVSQLRNQSEVLASPWA EASFLCSGWDIRPKYRYSGPFKTPEPDSAVVEGKPAAPLLFLSGRLDPVTPLRNAFAM AAGHPDSSVLIQESVGHCALVTARSNCTEAFVREYFEHGSVPESGTACEPECGALEIC EEEESGGAPMLRRRRLGMGGAPFHRGF
SAPIO_CDS1242	MASFISRLLGSGPKRSPPPTDPTDEILACHFWDDTKVIRSYTLM WTFRFEDVLDPDILHNALVELFEIDSWRRLGGRLRLRPDGKAEVHIPRAFTPKRPAVY YTREHHSMRMSDHPEASKLPVAGSKPASFPSARNYAGIGVGPGSPRCIDDYFYSDMPL YALHVVTFEDGTLVSLNHSHTTSDMGGLAAIVSAWSLVLAGRKDEVPPLAGWRSDPMA ALYKPEVTKEAPLEEAGLASKLLTGWRLAVFGIRFLFESYWYTYNSRIVSIPRKTIDA LIAQARSQLPQVVDAKTAEKPFISENDVIVALMQQMYARSQPAGSTRTIGILMAVDPR ERASAFRSDTAYVQNAPCGVFVYCAVNNAQDMSLGELALECRKAVAAQTTEGQMKAMA SLAYERMKIDGNQPMFGDSTMQFTVMSNWSKGKLLDRTDFSSAVVKAGNGPTPGKPVY YHSQSIEPKGPLSMTICIIMGRDKNGDLWMSCDFKPETWSDLMGFLEQIETSE
SAPIO_CDS1243	MAFASRNSSHRGSINNTDFLAQDPFRTPTRAQSVRASTILSGRA SFIASCIQRPPPKQRRFKSSRLIGDYDKPWLEKRDPRISYDRWIFYFMALVGSAIGAY ICWDGWNSYGSRDFCLIWEDNFSNGIDPASWNYEIQRGGFGSGAFDWTTNDPRNVYSD GEGLHIVPTLTVDDIGISEGELLDGYKLNLTTDGTCTGTTIEACGITSNKTTGEIINP IRSARLNTKGKHTLQYGKVEIVAKLPKGDWLWPALWMMPEEEFYGTWPASGEIDIMES RGNEGTNYKGGRNEVGGTLHWGPTAELDMFRQTSGKRELRRTDYSEAFHTFGVEWSSD YIFIYVDSVLVQTIYTSFRKRDGTLYQRGRFGNMEINETVPENPWAKSPNANAPFDRP FYLILNVAVGTTNGYFLDGYGRKPWRDGAEDAQRQFWYARPVWEPTWGQGDSRGMTVK NIKMWKPGKCT
SAPIO_CDS1244	MEEVKSVSQGLGHRSIRMSTFQLPVPEDGQGDDDSQGRCSTMAK FLHRRLTAQGWVHSTPDESGRQGGVVLATGDEAIFVSEPDNCYPELVDFCRKADIAAL CTMQGDVVGHIFQRLHHDTTEITLASDQIIPVVSSLSDLVRNDLSVRRRDFACFVRKE KLLLAWSQTADGLIPHANHLETKMMGAIWGSSLEGLPTPPGRTGMHTPDSRGFERPVS YYGSEKAIEADSSSISLDAEDEEALKVPPRPFVLTHSIMIGLAMCLLIVVEALAVRVI IVEIRLLGSAAYNRLALLATLPIFMFMGMFVFTVLFGCLFQAFGPMHDIKSGNTRYFS ARAPELRRHPNIQWPHITIQMPVYKEGLKGVIIPTINSVLPAIAHYERLGGTASVFVC EDGMQAVKPEIAEMRRKFYRANNIGWVARPPHNKDGFVRAGKFKKASNMNYALDFSLR VEDELLKLLRVKAAHLQCREEDISVEIENELYEEALQTMLAADEGRTMAAGNIRMGDI ILLIDCDTRVPVDCLSLAAMEMEESPEVAIIQHASGVMNVTHSFFENAITYFTDLVYL LIRFSVGNGDYAPFVGHNAFLRWKALQSVRFTDSKGRELFWSEDHVSEDFDMSLRLQT AGFVVRLATYDNGEFKEGVSLTVFDELLRWEKYAYGCSELIFNPMYTWLWRGPFTKLF LRFLWSNMKLSSKFTILGYVGTYYSIAAALPLALANYFLTGWIAGDIDQAYMDSWNVL AGQLVIFVGASPILFAWYRHRLGNMKFWWALLEAWKWLPFFVVFFGGLSWHLSYALLA HILSLPIEWSSTAKELESSGFFISLERVLQTFKWALLFTIPIAAGMVYLAQFAPVGWE ISDWTSIVPLANQIGGHIGLPLLSILL
SAPIO_CDS1245	MNAEPPFGPIVNGTQVVVFYYYRPNKGAAYTFIVLFGLATLGHL VYLVRLRAWFFIPLILGGIGEVFGYFGRAQSSDEPDKVGPFILQNLLLLSSAPMIAAT IYMALGRVISALAAERHAFISPRWMTKLYVLIDIGSLGTQIAGSIMPASGDPSAIELS RKIVITGLIVQFVALSFFILTSWHVQRRTKRNPTPVTLKCRSINWQNHFRVIKVITAA VIVRSMVRAVEYIQGENGFVMAHEVFIYLFDATLMFLVLLAFLILHPARLMRDARQAE KEDWGGEELAIIRPNHL
SAPIO_CDS1246	MFYLYYSVSTFGSQSSAIGVARSSTLDVGTWQDLGSIGLDSDPT KPYNSIDGNFLIDCNGTALLSFGSWWKGLHQVQLDGEPTSVKQDSQAVQLGFDPNDPA TEGGYMFKNRDFYYLFYSRGKCCGYDKDRPAAGEEYRILVCRSTTATGGFVDQDGTSC TSGGGTTVLKSHNWVYGPGGQGVYQDPTHGPILYYHYVDTRIGYADGQKRFGWNKIDF SSGWPVRAVSPSRVSKIFLRTFSQAGKYTSTMDFNSFSSNPFTLFQSKAESLSPREGL GEVVSGSHFRSYFIASLDVVNTHRHEALKILYDHILIFPPR
SAPIO_CDS1247	MRITLASILLAITAEAAPLIAIGGIPILSTVRLARVIPVVVGGP QDTFIPNIVSASPGDIIQFQFSSGNHTVTQSAANAPCQPLQATVPGAIHSGHIPFQAG QQTVGVFNMPVTSSATMFLYCATGPHCQTGQVMIVNPLSTDQLVSYSRLAAGAPANID GTTVAGGVVGQIPLASAAFIPAAEEEGPPGGAPPVGNATAT
SAPIO_CDS1250	MADNKAAEKASSPRRKSPASPTPEEEAAGILPPQHWVETAPSEG DDGDAESAIGTLDPSSTASISCSILKYRTLHGRRYHSEVGNAEYWGANDERQSESMDI NHHTMTLACDGKLYQAPLEKGKVRKALDVGTGTGLWAIDFADEFPEAEVIGTDISPIQ PGWVPPNLKFEIEDCTLPWTFAPNSFDYIHMRWLIGSIPDWSQLLAEAYKACRPGGWV ESLEPECKFESDDDTVTDHTAVGQWGKIFAEGQKKTGRPFTVVREDLQRKGMEEAGFV DIQEFEFKLPAGTWPKDPKMKELGQLTQMVMEADTEGYVLFIANTLGWSRDEIQVYLA HFRREARQGKLHPYYRQKVIWGRKPE
SAPIO_CDS1251	MVREPEPPEAPPLFTTSPKSILEDAERVVDTSRNALNHIAESVD VASATFNNVLLPLAHAENALMSQAYILGFYQYVSTDEGLREASSKAEKIFDDYDREWK MREDIYVLVDAVKTNTIETSALDPESEHFLNRVHHNFIKNGMKLPRTEREHLRQIQTR ITELAAEFGDNSSRGNEGGLWFTPEELQGIPEDRLAELQKGFGENEGKLFTSFLKDVS LVLRFAGSSETRKKMRVAYDHRCRENVPLFREVVVLRDEAARLLGYPNHAALELEEKV AKTPETVNALLSDLLAKLRPLGLKELEKYKAVKQKDLESRGEIWDGRYYLWDQPYYNK KLLAAEYSLDHEAVAECFPLKSTVKSMLEIFEQLFGLVFREISSDAIPLDVKKARVWH EDVQLFRAWDDETGGAGFLGYLYLDLYTRSFKPGPSTFPLVPGYLRPGGTRNYPSVAL LCNFPKPTPKIPSLLPHGDVVTLFHELGHGIHDLVSKTVFARFHGPQGTAVDFGEAPS QMLENWCWVPSQLKLLSRHYSSLSPEYLTAWQGKVASKGSTTPPEIHIPDTMIDNLTK AENVHRALSQLNELAICFFDMAIHQPETHEVIEKMDISATFNRLHRECFPLDGLGTLG EEEKCGHPYTRYRNFIKGDYHAGYYGYFL
SAPIO_CDS1252	MDETDESIPFIADVTSHESGWASLLPRQSGARRPAAHLRGTLFL LFLTSAITFAFTSLLWAAVVIPAVRSPPKGGDNSSITENTHQPVTATPSKSPNSFSAA AIEEYQKDGTWFGFADEAKMQQRLTI
SAPIO_CDS1254	MAEHWEFKEHWRSSRYHTGDIYQESRQQERRKSWEVSSHDAGPY LNYVKRVSLKWPNLKPLADFMEVGTDPLRWRNFFGDDARNAYTYPGDVDKRKKDQEER VRRTNVCQLEYLSDGEVAPPIRYTTPEDLRRALSTLDRKRQKSAQGEVMLKLFVVEDL SREVIETLGYHLEIEPDFFRAHIYDHVWFNIRDPFWDPPSFQMDIRRRNWVQIRFCRA RYFPSPESLREGQDAANRFNVGRRLYDDENKVYWDRDPAKNITNATLALREWLTVHLK NLRAQSMRVVRRSTELFQKSPGNKTPNIEAQRHALNELPRTPGNDDWIKGTAKATDED RVDGRVGLMRTRVSFWKKNCRVGECDIVLLRLVGAEWLTVSQYLKSRLSAIDWEVAHP KEFLAQAQIDTVLRKLHNWRRWVPVFREMLLEAKPRLSQLEDLDCPPGSFGFGRVEKL FEPYAEEFAYMLDRMEDYEKRIDRLTTVVASAISTADSRRVEQLTLLATLFVPLSLIG TLFSMSEDIAQIRVTFAYWAAASLILLLVKPEDGYQMARAHKGPGFMIGFGHCDGRSI AFALRWPDPGTSRAKYLIPVSPAPIPSLTCMQFEQCHPFSLGSHLSAALSSVYFLPIA AMDLPADRKEWEKQVEGFRLKKHWSIHESKHKNSGSKFTMEQFLLLRVLWPRHISQTG FETACTGFIELNNLRTARKFLQNLSSWQRYLGTYDDEECSGMDGRVLAKHQGTFALVR HYQNLSCKNSKVKPEDLLRKVEFTLASAPSTAVAPSQSQAAFPITPTPNRLATRTALE GLDASLVKLELRAEENEDISWEEMVLSPLTPALPLTGVDAKSFGVVEDEQIVNALIDF LNALTLHCDKVKSEWSFARKRFKMNIRGDKTYEARVDGLLRAKGSRDTQIIVEAKSCL RFQTKTNTEAILMQEAAQMAAWICDHPPQVDGTSAGKTRKTSKPSTYRRMLISQDAKE IYINVASFDSDYVRYVLGDNDADLSFLTMQSYGPFPKKVDITWEILPVSQVTEVTARR CSKQEQRSHTLVNMANESSKLPSMLTTKEMRTNVNRFLKNLVLKANKDYRPRFSFGEA EERKIRVTPLDFATGTSPNCVEPLIRPLAQVDVEKLLNDLSEPLYKDFIDPFYDPDFP GARRQEAWSFYVLINYYLTQRQVKNRRGACIIPDRTKWRAFGHNITQLFRYSRDDIGC DWYVMDVWRYCTEPLLDLHFDGYVDPSKPHTTCFLVDEQELKDGFISTSELTAICWLT CQSALLPDYAHQTIFPLTVVSASHRTVRIVQGVVNICTNDVELRVSQLVPFEKGIKAD TESWQNFLTLLGWILGEPIGDTMLA
SAPIO_CDS1255	MNADHDFLQKMLGEQCYNASEPFIPDSYRIGLSGACRIEKEATA CENRLLKVNWVTLFEADVIAANATGNQAAILDQCIEGLHEEGVDHAFTSRLAAAIFAL LIISIFLNVGALAVAAWTGSGFIKPVFIIELVDEMILVTCIGIFIGIINHEVGRYIPD TLRLRDVDDKQILGVGFWMLVAMFATRAVSHPLLFITTLVVALIVVIVPILLLLACCC GGDRREEVVIDIRHRINGMYGAEEWEK
SAPIO_CDS1256	MASGSNTCVVELTDYSVLPARPSPTKDGKCFSGTRGAARLGSST RTGSRSSSRPPAELVDFEELGGSGPDGPVVNAVEKWNYPRINAYRVPATFWSLLVMGA NDAAYGALIPYLEKYYDLNYTIVSLVFLSPFAGYVASAIMNNWLHLKIGQRGIGTICG ACHLAAYVIICFHPPYAVLVVAFILAGFGNGIGDAAWNAWVGNLAKASEVLGFMHAFY GAGGVLSPLIATTMITKAHLPWYTFYYVMIAMAAIELVVLPWSFWGCTGEAYRKLYHQ EHGTENKTGLKDALFKRPAARVSWLCAVFLLAYVGVEVALGGWIVTFMIQVRDGHAFA SGMTATGFWLGLTVGRAVLGFVTPRLGVKLGISVYIAAAMGLQILFWLVPNFYVSAVA VALQGFFIGPFFPGIVLVASALLPRHLHVVVIGFAAAFGGCGAAVLPFGVGALAQVKG VQVLQPIILALLGILLALWLCLPRISKKKE
SAPIO_CDS1258	MAPGPGASSISVTVRVRPFTIREAAQLARTDDSTVFLGDGSLAA APAPKLHQRGLRSVIKVVDDRCLVFDPPEDNPVQKFSRSVVPAGKKVKDQVFAFDRIF DDNATQTEVYEGTTKQLLDSVLDGYNATVFAYGATGCGKTHTITGTPQQPGIIFLTMQ ELFEKIHERSDDKVTELSLSYLEIYNETIRDLLVPGGSKQGLTLREDLNHAVTVAGLT SHHPKDVQEVMDIIVRGNEYRTVSPTAANATSSRSHAVLQINIAQRDRNADISEPHTM ATLSIIDLAGSERASATKNRGERLMEGANINKSLLALGSCINALCDPRKRNHVPYRNS KLTRLLKFSLGGNCKTVMIVCVSPSSAHFDETQNTLRYANRAKNIQTKVTRNVFNVNR HVKDFLVKIDEQMALIKELKAQQKEAESIFFAKFRKQHEKKEATTREGVQRLRAAYDN ALQQRQEKIASLKRLKAFERRISLLEAWLSSFDAVCESRGASEEDMPDSLRAIQKTAN GILAELQTSRHHLHQRLENSNWERVIDTALQHSIRLLPDGETADNSSQIATLQREAEL LRTTFSKEMYREVLEQEKGADRGTMEVLLTAQLEIISSLSDTLSMSEEDAVAHAKNII HKLLESGVAAANYVVRPDSAPPKIDAFLPSKRGTPKKRRPARDAPRDVPQPVFNAPAP IVVAPQHPLSPIKGSPKRRKAPVAARKPLTFTPKKKSPSKRTVRWRDDDGEDGPLVEF QKTPQPYESTSEVDSPAPRHTPPSPTVFHSHSIQTIQEINSSDSSPSLEILEPTIQLG KPNRFQAGFLSKSGRTSAQRDSNSPVLVAPSLSLNLAAASSSPNTEGSLTAPLRSLDV TRSSNMSPPSVIPVRPSKIASPPIARNSFDENNPPEQNGGGNSSGSDSESTSDLDARK IASAMYSAKRHSASARRMSSLTLPSVAASSAAASKRGMVPAERASGHRPSLSLSSVPS STNGISRLRRNSGERRKSPPISCSPPEVKSVTRAFTAGQARRMNLGGSVRVESSPTAR EGALEVSKNRRVTIGGVAARAPRPSLAWR
SAPIO_CDS1259	MSTDHHDPAQDGPSSSYPPPPTTDATIDMMTAAAATTTISPEPS RPPEPTPTATHQVHVGEKRRRDEASYEQHDPALLMAVAADARRGEEEGGGGREAEVHQ QAAQLPREMSSYPDLNLELDRQKRHRLDEEQIQIVQQQQAQQSSQQHPTLLQSPSTKP RGRRAANAPAEFSHAIKTRQQTYTRTGQACDRCKVRKIKCDALPEGCSACTTARVECF VTDRVSGRTERRGYLQEVERERERMLLYIRDLERIIAGGTEMDIRPSPWTASLPPGGE LAVTPVVVDGDAQAGWEQVGSVLVRGFRMRHGVLMDGHDGGENHA
SAPIO_CDS1260	MESLAAFGLAANILQFIEFATKVVGKAKAVRTGRIEPADLEVSK VAERLGELASAIRANAESTATAPERCPEHYPAECPARCLEQWKTNRAIQHVCQGCIEA ADELSSRLWLIPTTGGRSFSRAKSYRIALKSVWGKANVDTLFQRLERFRGEINTLLIV SIQTQLQDSQTSQTSAFQQLLDLSTLSIEEHAATQRQIGWVGQSHRDYIAKEHTETRH QILSAISNLALKGLEMDYPDGLKISYHVAQEEAKKFARKMVADSLAFPAMDHRYDAVP EAVRGTFQWILSPNDQDFASWTNFTRWLQDSGRSGVDRIYWINGKAGSGKSTLMKFLV THRDTYLYLKKWSGSDQLITSRHFFWRKGVEYERSIPGLLRAMLARVFEKCPDLVSVV LKDRITEAEEAIRDHWYITQNASASAALTFLPNLRERIQTWSAGDLMETLRQLTSTAA PNTKFFFFIDGLDEFEGDYSEALDFFQQLSESPSVKLCISSRPLPIFEAELGNGPMLK LQDLTRNDIIRVVNQKLLGHPAIKRLSMAHHDRTVNLAKTVIKKASGAFLWVTLVTKS LIIGLINHDTIHDLERRLQELPVDLLDLYWHMLGRIQPIHLQNAALLLEISCRVPEPV SAVTLSLVDDVAQRGGSVAIDANPMALEERDREERVARLSLRLQTICAGVLEVQPDGS VGFLHRTAGDFFELPEVHQYLREASKDDEFSADVVLLRASVLNILWRTDWTCGDDGDI HYFWDTVECALQAAKRADERGDGLGLRLMGLFDQITTNRWAQLREKDQDLVHWSNFLG SARGGLGFRKLRLETFLDCAVVFQLTSFVREHFIQMTRTYQMGGFGKLLQIAIPPVLT TAEF
SAPIO_CDS1262	MDSLTLEHLPTDCIVHIALFKNIKNAAFLHSQLLARNADFEYAF IDASIVVSRRQLLAAAYKSINALLNDSLLTPNVHSEIVVNLNPSTNISEAYRRFGLNP PTQNVLVLKITHPKTNPPLSADQIWTHLSTHVEGEALPPTDENIASLTDWPKVRKYYK LNGLNWLDKMGEAEKKAEAEVLVLGSMALRGV
SAPIO_CDS1263	MAETETHHSNGGNGPPRGHETSPPPLAVLPLKMVLRSLAISTIS SSRLLLPPSLSIMSLLASSTNPLLNPDKNPFLRLFIKKTFYAQFCAGVSHGEVERTIT SLKNLGFSGVILGYAREIVLTEDQLKGLSSVKGLDVEECVRNEVTPWAEGTIETVDLA EPGDFVSLKFTGAGRLAMASLTAREAPPPELASAIDAICSRAVSRGVRLLFDAEQAAI QPGIDDWTLSYMRKYNTKPGHASIYGTYQAYLKSCPATLAGHLQKAQEESFTLGVKLV RGAYLGSDPRHLIHDTKENTDACYDGIAEGVLTRKWNETLSGSGEYPGASLILASHNA ESVLKAREICERGEAKTEIAFAQLMGMADEVSCELLPAAGKKEGGAAPVPERTGVQAY KYLVWGTTGECMKYLLRRAYENRDAVQRTKVGRDAMWGELVRRVKGVFGRG
SAPIO_CDS1267	MLSRVALRLVRSSRPVRVSPLLAVYAARSRTFTWTRTLANNEPP RNSSPAESNSSKSENPESSSPSETSKPEATDSQAAEKSDIDCNQTDAEGKPAFRLPDL TQGIPSTLEYETAGATRSALTAAPGEDGRRELPDSAYISSSDRKQQSFAKWSFISALV GMVGAVAYLGRNWEEDEEARFPEAPNGWNPAAWWKRAKTRSNTIVTYFSEPAFETLLP KVDPMLEKPYTLCISLEDMLVHSEWSREHGWRVAKRPGVDYFLRYLSQYYELVLFTSV PSAIALPVIQKLDPFQMIMFPLFREATKYKDGEFVKDLSYLNRDLSKVIILDTNPAHV SAQPENAIILPKWTGDAHNSDLVSLIPFLEYIHTMQYGDVRKVLKSFEGQHIPTEFAR REAIARAEFQKQIKSQKASRASSGVGFFGNMLGLKSSNMSMMVSPDGEPSPSEAFAKG KMIQDIARERGQRYVEALEKDIRENGERYLKEQQALMEQQQQEMMNSMMGSFSTMFVD KKDGQAPPPAPKKD
SAPIO_CDS1269	MYPVAPTKMNLHEVHHSTVYLPVEKQEETTTNQPTQSPNEQARK ELPHQPHPETALKKSKSKIHLRISTSTASPRRNETSNLDPNTTDAMSLDTSTYSLALL RVDGRRWNELRRLNGQIRTQHASDGSSYLEMGHTKVMCVVTGPHEAPRKGGATQSGHA QVSVNIVIAGFSSVDRRKRARNDKRTQELEATISNALTASLHTHLFPHSTIHVSLHVL SQDGSLLAALINASTLALVDAGIPMTDYIAACTSGSTSTYAAEDDSADPLLDLNLQEE QELPFLTVATLGASDKVVVVVCESRVQVSRLEGLLAVGVSGCRQVRQFMDQVVKEKGS EMI
SAPIO_CDS1270	MNVVFFKLHLLIVSAKTMHYQQLLAALTLAGAAQASLYSKSSSV LQVDASNYNKLITKSNHTSIVEFYAPWCGHCKNLKPAYEKAAKSLEGLAKVAAVNCDA AENKQFCGTMGVQGFPTLKIVKPSKKGKHPIVEDYNGPRTASGIVQAVTDKINNHVKR ITDADVETFLSNDKEAPKAILFTEKSTTSAVLRSIAIDFLGVMTVGQVRNKEAKTVEM FGIKSFPTLVLLPGGEQEAIVFEGEMKRGPMVEFLSQAAEPNPDPASPSSGKKDKPKE KPKEKPKEKAKPKAEEPVEDIIVEEPAEPESEAEAPPPPPPPKRDIPPITTLLTSDEI TNACLQPKSGTCVLALVPEEKSEAADALLDNLADLVHRNVKADRKLFPFYAIPSLNPT HTQLSKSLELSGSVELVAINAKRSWWRHYQGDFSELSIMEWVDAIRLSEGAKSKLPEG IIAGESSTSSEEATETETKTETAEAESESPKPEDGHDEL
SAPIO_CDS1271	MEQIPAALKQAEIARLEQLKTEHPDDDAISDDAAGQAYVEQFAQ QTFDRGEKVLLANKVTRQTADTFDAAATFFQLISIWETPDEETQKKIKYAKWNAARIL RAIKEGKDPNESNPVRQEEELEAEDAVSPGLPEVGTPVPLEHPAGQPSPPPKLPFDPA ASVLPSRPLPRLHQQPPPDFTPPSPIHPQERIPSPYSRVSVSPANSGPSLPPAQTPPP PSAPAPISPRAGPGPHPLHFSAPPDSHHIQPPTQPQLPFPGPSIIPAPSPHQHVPSAP AWPPAPGPQTHGYPAHPPPTSHPLAYAHHPPPPQPPVIDEVKIAEAQKHAKWAISALN FDDVPTAVKELRKALESLGTQ
SAPIO_CDS1272	MYFRNLLLATASLLAVEAGVLRFSCSQLVVDRLDPLVNPGQLPS PHLHQIVGGNSFNVTMDPTKDISVTSSCTTCQFSEDLSNYWTAVMFFKARNGTYRRIP LMGNIGFEQANGGVTVYYLNSARGNAKVTAFPPGYRMLVGDANYRTKEEAQRFRQLTY TCLTSPGTRSGETLEFPNKPCPYGIMVNVRFPTCWDGKNLDSADHMSHVSYPESGTFE SGGPCPATHPIKVPQVFLEAIWDTRPYNDKALWPEDGSQPFVWSFGDDTGYGNHGDYV FGWKGDALQRAMDANCNVNCPTLKSQSLQEGNKCAVQPMVNEDIDGWLTSLPGMGGAD HIHK
SAPIO_CDS1274	MTLLGPIRQGTANVARTLPRLTKCSSTLPIRAFHSTPKTQTFFT SRAPRAASNSLSSNLVIRSSFARARDGARTYMQGSQTTYQQQGQGSALRKLLIGGSIF GGTLLAINVVFNRETREGAMPKFEREYLNNTFLHTGLGVGIIGLTARQMVNSGFVYRI MVTNPWIVAIGGLALSFGTMIGTRAIDPDNYIPKYALWTAFNATQAAFIAPLLVYAPG ALIARAGLYTVAMMGGLSIVGATAKQDKYLYIGGPLLAGACVVAASGLAPLIIPATAY RALAFSESIWLYGGLALFGGFTLYDVQKIMHHARLAQRGIIKEDPVNESIALELDFLN IFVRMVQILMMQGNRNRR
SAPIO_CDS1275	MAATPAVIPEGAEVATLAAGCFWGVEHLYVKNFGHGKGLLDTRV GYIGGATDSPTYKIVCSGATGHAEATQLIFDPKIVSYRDLLLFFYRMHDPTQLNRQGP DVGTQYRSAIFYNSPEQEAIAREVTEAVGKKWWTGGKIATEIVKAGRWYDAEEYHQLY LDRNPGGYECPSHFVRDFPPL
SAPIO_CDS1276	MVDKLEKDDVVHADIVNEKEHLREKIITGNEVFNEALLLEPPTP FSKSMLLIYACSIIGMFCSTMNGYDGSLINNLLQNPDFRDRYQVENDGVRAGIFAAMY QIGGVVALPFVGPAIDTWGRRVGMFIGALTVVVGTIVQGAAQYPSAFLGGRFLLGFGV SIAAAAGPMYVIEINHPAYRGVVGAFYNTLWFSGSIIASGATRGSLDVSFPFSWRLIT WLQALFSGIIVVFSLLLPESPRWLYVNNKQEQAKQMLTKYHGNGNPESAWVKLQMREY DEALDLDGSDKRWWDYRALFRSRAAVYRLMCNVTISIFGQWAGNAVLSYFLSSVLDTA GYTGVFEQANITLINSCQQFLCAIVGATLVDRIGRRPLLLFSFAGCSVIWLGMTVASA MFAKSQDGFDEDGEPKYTNEAASKAALAMVFIFGSVFSFGITPLQALYPVEVLSFEMR AKGMAFSSLAVNAAGLLNQFAWPVSMAKIDWKTYIIFTIWDAIQVIVVYFFIPETKGR TLEQLDEIFDAKNPVKMSTRKKKVAVDDEGHIVDVRDA
SAPIO_CDS1277	MSSNGSYPPGPSTAAAGPGEVGAGMTLDPVERTKTFGMSHPLRN EVVAAIAEFCGTFMFLFLSFAATQAVLLTRHGAPLDAATLLFISSAFGGAIAANVWAF YRVSGGMFNPAITLGLVLVGAVKPIRGVIVFIAQIVAGIAAAAVVDGLLPGPLLVRNT LGTDVKVVQGLFIEVFLTANLVFVVYMLAVEKHRATFIAPLGIGIAIFMCHMVGVSLT GTSVNPARSFGPAVIAGFHGYHWIYWVGPLLGALLAFAVFKLLQVLNYSTCNVGQDED GLGTYRVGPDNQNGHAHPNDKHLV
SAPIO_CDS1278	MFSSKPNGYRPIQDDTTQDAKSDSDSGKRGSSARRCLHIAQHRP GISIAILALFLVANVILFAYSMVNLQNIQNSHETDAKSRYLHHELNLDLKKTSSYSLL LDLIDLKPSIKIINGALRDNTSLWRMAPSPEVDAAWDRISAEDLQIITVSSSDIVKSG KDPSHSVKAPSSWGFGNDAYIAQVEVFHQIHCLNELRKELFYDYYYHNAPRNELHISH KTHCIHILLQSLMCNPDVGIITHNWIYDEKYSDPKTRPFPDFSVAKKCRDFDSILRWL EEDGGVKKFSSKFPMEYPPGAFVLPGDGYSVAGGKAF
SAPIO_CDS1279	MDTDFDPESPSAPSDAGNHVPAFTVLEPGTAAPTHIPSTAPSPP TGSNAPRSPALNPRSCVTCRRRKVRCDKRMPCSNCRRNQIQCIFPAPGRAPRRPRPRD PDAPLPNSKKREIELLERLRKLEGIVEELSSQVDTSDLRHTSASAASPEGCGHDACDE YGSGSGATPTSKEDSSPSSITAVPRPSGGRTGPSYAPNYEVNASLYKKFGRLVINKGE GRYISSAFWSSVKDEFDEIRSGVHILSDDTDYTSEEETPEPAESSDLQSHDAFMFGYR AADIDLSSFHPPQSQIPFLWQAYKESVDQAIKLIHVPTLDKTFEELANGGTPNLTPPN EALVFAIYFGALVALEEDEVEAQLGSPKTPLLKRYRFALEQALAKTNLLRSLDFTSLT ALTLYLVVVRRIDDTRFCWTTTGLAIRIAQGLGLHRDGTHFNLPPFETEMRRRVWWVI CGIDMRSAEEMGTDLTIVNRTFDTEFPTNINDEDISPESTEMPPAREGLTDTSMALVR HEVIALSRRLFALATAAGSVCPKDTSSSMAERERMLVETYARVEANFLKYCDDENRPL IIMATLVARILMAKSSLIIYQPALFPGNGNDLSEAIKERLFISAVEIIEYSYKLNNDP QFKRWRWLFQTYTQWHAIAYILLEMCRRPWSPTLERAWGDLNRTLNNRQNFDLTKTAD HLAVWMPLRKLLLKARRHRESEIVRLKTSPDEVRKLDLADRHSQYRPRFGRAPGLDVD LEEVRKRWLELVQPDTAPGEGGEATAIPGQPPRLSQPDSQMGGTLNDNRGGYVSQPAT EQQVRASVQQAASGLDATREAYLNEMLDAPFDPIVWWRVMSPGSTLPSAAPAQPILQT GATAAMQTPNIHGNQTILTSPTQAAAGEDAQTSPQMGLSNLQEVHAQAASNIPPWLWQ DPFGTAAINSCGSGGGALGQAQGQGQALGLVPGEGGDDGVMNIDDFNWQSWGDTVRGL GMT
SAPIO_CDS1280	MSQSQSKSQPRAKQPAAEPRPSASIILISPTNQILLLHRVKTST SFASAHVFPGGNLDALHDGPVAPPTEGALRHADGPAYRIAALRECFEESGILLAKGRE DGKLVSVGEGVRDEGRRKVHSGEVKFRDWVEGVGGVPDVDGLIPFTRWITPPPSPKRF TTQMYLYFLPPNPESTPSSSSSPSSQETAITIPTDTSPIIVPTPDGGIEHTSATFATP STWLSLHHARKISMFPPQYFLLTLLSRFFPRAAEAGTPSRSVVDRERRDLLEFIGRVP ATFGGERELGHPTSAIPWAEKIISPRVLKARGDGYLVLGLDRTGAEVEAIGDGKVRGG VADAVVVVNLKAKGGPWNLGVFGKDEAMKEENLVKGGKL
SAPIO_CDS1282	MENDKGETVDLYVPRKCSATNRIIKAKDHASVQISIAKVDENGR AIAGENIVYALCGFVRSVGESDDSLNRLAQRDGLLKNVWSPVR
SAPIO_CDS1284	MRVYTPAEDDGSAAFSHPMVRNDSIPHCAYPTVRHDGISERRSP FEIKPEVHYSSPSTTQSLEMQSPFSEHGADAMSPPSPASEMNDPPSTVDVNAHHEPYA QLLYRAFMSHPRHAMTLQEIYQWFRENTDKAKDEGKADKNGKGQDGWKNSIRHNLSMN KAFIKRERLPPSERIGDSTGVGDLKRAGEWVLEGWAIENGIKSTTYYRNGKGARRTGG GRQISYRNPHVILSSRRQQPPQNQRRLSGRAMSGRKGGYASSQCQWRRRLEMQNQAQR QSSALSSGYGPALPPWSEMNRTPEMMEGMVGATGSALRTETNEPLTPPEGTPMNYEIQ APPVSDPNASIIGSGRGYGPCHSGPLDLTAFDLAEISGVYAGGQVFANFEMRTFRGRL 
SAPIO_CDS1285	MDPARRARLQGKDYTRELTGPKFDPFTRVSKPKNPPTQAQSRSK YLTQDEQSEQYVAGEDKFVLKPSKKKADIRVRENRATPIDLLAFNLRHIDADRDIFDD DEDDVEIDVPTSEQVIDALDAQQLKDLDSEITHYHTLEINQRNLEYWKALQTIARHRR DQLLSKGKDDRAIASVADDIDKILSPKTFAQLETLEGQIKAKLDSEEDIDTDYWEQLL KRLKVWKARAQVKKIYQDIKAARVELLKKTNPEKAAALEKSDGSLLPTTAAVLAGEIR RQGPVQKRPEAAKPFSKPPSQLAAQGSSAPPGTARFAQAPNDDFSQTTKALFEREVAR GFSENEEIFTAEETVPGASAPKWAGKYRPRKPRYFNRVQMGYEWNKYNQTHYDHDNPP PKVVQGYKFNIFYPDLIDKTKAPTYKIIREHGRRRGESFTPAGEADTCLIRFIAGPPY EDIAFRIVDREWDYSAKKDRGFRSTFDKGILQLHFQFKKIYYRK
SAPIO_CDS1286	MHGRWTPNPKSQLKTLKDWLFRPDGGNGFLEGSEKLMWNDDDLR RYVCLADPGIETDPFTTFIRAVMSGVFHKLLGRRSKKRIIDEESGMVSYDDEAMMKAS NAIVVVVASAVPVLTIFVLNSLQTTAQRIGFTVLFTSIFAALLSIFSSAKRAEIFAAT ATFAAVEVSTFEFPDCVNGPLADNLVCDVTVSHAERAAALVGAMTIDEKLANLVNASP GAPRLGLPAYEWWNEALHGVGYSPGVNFQDKGEFASATSFANPILLSAAFDDSLVHEI ATVISTETRAFSNAGHAGLDYWTPNINPYRDPRWGRGMETPGEDPRRIKGYVKALLSG LEGDDPSKKKIIATCKHYAGNDIDRWEDVLRFNFSAVISLQDLVEYYLPPFQQCARDS RVGSIMCAYNAVNGTPACANTYLMQTVLRDHWGWNDENQYITSDCNAVGNFYADHHWV ETAAEAAAKAYAAGTDTVCEVNMATDVIGAWNQSLLTEETIDRALNRLYHGLVRVGYF DPPDSSEYRSLTWEDVNTEHAQQLALQSAVDGIVLLKNDDAVLPLEYDANTSVAVIGH WAEAPVQLLGGYAGTAPYYITPRSVAAAIHNSTHYANGPIAQDLDADDTWSEKALEAA NNADIVFYFGGLRMTIEREDRDRTSIGWPAAQLSLIQKLCALGKPCIVIQMGDQIDDA PLLENKNVSAILWAGYPGQAGGAAVFDILYGKSAPAGRLPVTQYPSSYTEEVPMTDMT LRPSEVSPGRTYKWYSDAVLPFGYGLHYTMFEVAFSFEPAVSYDIQGLIDGCQKDHLD LCPFDTISVSVENTGDVLSDFVALVFVNGTYGPSPHPLKELVGYHRFRGVEVGESREA EISLTLGDIARVDESGNTILYRGTYTLQVDVPAQHTVSFKLTGDDAMLDEWPQPPEDL GAEIE
SAPIO_CDS1287	MVHTSSASFRDSVLLLRHLTADLRTESQILQMSSAMNLLIHEQE TAYSHDGGILESDDQPTDKTPSRESEAQNSLRPPVRQRLDAHRAFREVLALTKFDFTR DVILIIELAGFFGADKFEYIPLRNKIDTGSSENFIRSDILTEHKMDEEKILDLPVSEQ TERTLQMVEGTFTPKREVYLRWHRPHDGGSEDQRIGEYVDRGGFKLCSVETLKVEDGM RNDKGAALVDMTFADLIRSFSDAGFEPESDTVFDILEPFG
SAPIO_CDS1289	MGALGHWPLQVSTRTRQPGILILILAFAAILLTILTGRRIYSSD EASVVPFSQLSGPTLTPTAAPTLSPQHPHDDDRTAAIRVDKTLSTLVETIEHTAMYYD LYKLKEPFDQHFGERGQRAVAIRKWIEIADSLPASDEKARIEEAVEKAITATFPYLSN PPNGSRTPFKSLRESYITDGKKRGSRGIVVPVGKKNLRMACQLVASVTRVHKSSLPIE LAYAGDEDLGPEERHLVKELFPNEDVSFLNVLSVFDDSTLALGTGGWAIKPFALLASR FGEVILADADTVFVKKPEAIFRQKGYKRKGALMFHDRLIDKEREEVGKSLSWTENYAE EADSGIVMVNKGRLDVLMGMLHAGWQNSRDVREKVTYKVTYGDKESYWFGLELTEGPY EFEKYYGGIAGWMGTREGPAAVKAPQVCSYVIAHPDDDEKGLLWYNGSLLKNKVSADR EYLVPSHWMINGTWDKGLRPHFSCMKGGMLFEISEKERRILEKSVEEAKRLDTVFDRN DSKAKGS
SAPIO_CDS1290	MAVIKGPLFPYRDITVQVADSAYTFTSPSSPDAPALVIDRPTGD IHLDHGASGLAPRTYQVMNINGILGIIQLRLDKYIIVINKSQPVGRLKGHMVYKVTST QILPMRRKQIRDPDEDAFMALLQASLKNGPMYFSYSIDLTNSFQRQSQADTAQPLWKR ADDRFFWNRFVQSDLIEYRNNGARGQPGPHPGIDPFILPVIFGMFEIKPTVFKGARIT LILITRRSRYRGGTRFFTRGLDEKGNAANYNETEQILIVNDKSATGISGFSGGAGPSE KMGRSSDGQETQVLSYVQTRGSVPSQWAEINTLKYTPKLQIRGIETAILSAQKHFHEQ IRIYGDNYLINLVNKSGRESTVKDSYEKVVEKLVSDPRGYIESNTITNEKYHTVEPGK VRTEFDRLHYIFFDFHSETKGARLHRAYMLIERLESSIREQAYFRGVDMPASNDGRLE ARSLQNSVMRTNCMDCLDRTNVVQSMFARYTLNRQFVDLGIMAPGSNFSDEDESFEFL FRNLWADNADVVSNSYSGTGAMKTDMTRTGKRTTAGKLQDGRVGVTRYCLNNFFDGPR QDSYDLFLGVYQPGKAQIGSGPVFADRRPVLIQAMPYVLAFSVFVVLFAAFVPRDPGS FAVSRRGAVLVFLALAAYCFRFIFAHGMLYVNWPKLAPLAYATEGYNEQIIKARKDPI IGPFLTRQGQHERGSSIAQYRRAEEGSKED
SAPIO_CDS1291	MTTRGPPNARGMNTRFAQFKLVLLGESAVGKSSIVLRFVKDQFD SFRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDIT QAASLDKAKSWVRELQRQANENIIIALAGNKLDLVTEQPDKRAVPTADAEAYAREAGL LFFETSAKTAENVRELFTAIAKRLPLDQAGPRHARPGPGRAGVNLTPENQNTNVSGPC SC
SAPIO_CDS1292	MMSRLLSVPRYDHQQPQIAFLPGSIDLNQLPSPAPLHELLMLRT SLRTVRALGGTPVAVARAQWPARSVVGAVTRRYFADEKKPPTVNDVAKPAAPPAEPIV PPSTTPKAPTPSASTTTTTEKTASTPPPPPPPAKPKKGFFRRLRNFVVTLVLLGAVSF GGGVWYSRINDKFHDLFTEYVPFGEQAVLYLEELDFRKKFPDSASRVSSSELNDKHVT VAPLSGASWRVADGGEPAGRRSSALDKKKGLKAAAVKEAEEVKEPKDAVVTAVSEPAE VVELKTEEPAPAALESDLETLEIAKIAEAEFTPPEVNEPSRFPPIAPIDPLKVNDAQE PIVQDLVHMLNDLITVINADKAHARYDSTVSKAKNDLAKVGRKVQEMKEQIEKKAAAE VKAKIDEFDAAANTLVDRVESAMVAQEKQWREDFEQEMTKVRQGYEDKVQLLLEREQK LNEEKLNTKLLEQALALKKEFIAEVKAQVEKERDSRLGKLQDLSNAITSLENLTTGWN DVVDLNIQTQHLHVAVEAVRASLQDTQHPRPFIKELVALKQIANGDGVVDAAIASINP SAYQKGISTPAQLIDRFRRVASEVRKASLLPDDAGVASHATSWALSKVMFKKEGLAAG DDVESILTRTQTYLEEGDLDSAAREMNGLEGWAKTLSRDWLAEVRKVLEVQQALEVIA TEARLQSLRTE
SAPIO_CDS1294	MSKPARLGAALNRSIYTRVEPSYSDAINLLNSTQAGFRVLENRK RSGHVPGEESITQMKEWLRLLGYTPHDLNRLNVVHIAGTKGKGSTSSFTSSILNQYRL SPTHTLSPTKIGLYTSPHLTSVRERIRLNDVPISEPAFAAAFFHVWKALGLDSAEPPV DRPTYFRLLTLLSFHVFMSEGVDAAVYEVGVGGEYDATNVFEKVVAAGIVSLGIDHVG VLGGTLGEIAWHKAGIMKSGCPAFTVPQEDAAMKVLRERAGEKGVDLVEVGVHPGLRE VKLRPDEEFQRKNASLAIRLAATVMERFGTKVDVSGETLPREVVDGIEKCSWRGRCET IVGDKQTWYLDGAHNEQSLDVACRWFGRVSKERDTPSALIFNQQSDRDAVKMLNVTHD HLSRSNTAIKYAIFCTNITYKNSSTKPDLINTNVDPDVVKKLTLQNTLADVWRTLDPR TEVVVAPSIEEAIAYVREKEEDLQVFITGSLHLVGGALSVLEGEDSGLKGPKGLKDIS S
SAPIO_CDS1296	MKIEGRTFVVTGGASGLGRATVEMIGQNGGNVAILDLNETLGNT AASEFSSFARFFQTDVTDTDSISKAVAGTMAWIEKTGKPLGGIIPAAGIGNPSLILDR HGSPFSLDHFDLVMSVNVRGTIDTVRQFLQHLAKTTPLGPDNERGVVVLVASVAAFEA QRGQVAYGASKGAIAGMTLPMTRDLSRYGIRVVTIAPGIFSSPMEANMSEKVRNQLLR ATEYPARQGQAPEFAALVKHGIENVMLNGTVIRLDGGMRMPSKF
SAPIO_CDS1297	MNPGLGWTIAPGAERLPPIDDSDPPQFESPTNAPQRSAQRATRR RKRYSLPLVIGNTDTIMACPDSGSDDNIISLDVATKLGLVIDSPQQDMRFSLANGKAV QAVGQVTTECSFPDCDIGWSCTFYVFSDLAMPAIVGLEFLDTAEVFSKNKVLLVEELV PTLQALRVHSIGPPKKGLICRVGKSVSCARVDSGSDLDLVSPEFVRAREFTVHPVREK LQFADGSIGFTSGVIEATFSVGDVGGVMEFLPRSEELSLQLHILESLTVDVLVGLDTI EELDIYGQHASSFIQRMPQPGESDLCVIRHIGSVERFVKNTFNSLIEDIYVQRQRENA RQERSMLEAQTASIKHSGLSVTSGSASSEVGMFKCTFEGCQAKPFQTQYLLDSHANVH SSARPHHCPVQGCPRSKPGQGFRRKNEMIRHGLVHESPGYRCPFCPATIRHGYPRPDS LQRDKRVAILFAMLLGPCNISTLEGMAV
SAPIO_CDS1298	MAPLGPTGSDKDRSRYIDGFPALAAFIASDRDGTSAIFKRFNRL AARNLLYLQWEAMLFESTLASISPPDRKTWKAFRLNFFHGRPEDPDSFPMLGGHASDM YDDVDDLVALHSSEPPDRLTVFAQNNFGFLFEETDTHGATTGPHVGYASGQRISTFIS YLSTILAALFMIGAILILYKVKSDDLKLGLVGLFTVAFAASVGLLTNARRAEVFGATA AYAAVLVVFVSGDLGSSSKNQ
SAPIO_CDS1299	MTRPRGSSAASEESAGVPLDQELGSMYDYLAKVILLGPSGSGKS CLLHRFVKNEWRVLSSQTIGVEFSSKIIKVGTGARRKRIKLQLWDTAGTERFRSVSRS YYRGAAGAILVYDITSHASFRAIQPFLNDARALASPKLSVLLVGNKLDLASDALIDTS IPPLTPSSVGSTSTTATLRPVPSTTSLSTITTTDSSSTIRGGQPGSVSTSLGTAHSQR VTVAPEGREVSSSEATRWASTVGVPVVMEASAFTGENVDEIFGRLARMILTKIELGEI DPDDPMSGIQYGDNYGGGWNAGASDGASIKSSMTAGTIDDIGAGGPRRRRGRGGGRMG RNRGGNWAGLREWEEVFTIGNRRRGGNCC
SAPIO_CDS1300	MARRSITPLDKGWHFKQVDKDSSEYLPVAQFPTNIHLDLIHHNL IPDPFIGKNELQVQWVGEAVWSYRTHFASPADAPQGAKAVIAFDGLDTFATVKLNGEV ILETENMFLPERVDVTGKLKTDGENELEITFDSAYLRGCKLVDKYPEHKWGCWNGDVS RLAVRKAQYHWGWDWGPTLLTCGPWRPINLEVYDSRIEDLFFETDVDPTTKTVKVTTI ARTEGPASKVRFDISLDGTPVSSQTINAAAGADTKTEFTITNAKLWYPIRYGDQTLYT ITATLLASSDEIEVDTVSKKTGFRKAELVQQPLEEQPGTSFFFRVNGIPVFCGGSDWI PADNFVPRITRETYRNWIRLLADGNQFMIRVWGGGIYEEAAFYEACDEFGILVWQDFM FGCGNYPAWPELLKSIEREAVANVKALRHHPSIVIWAGNNEDYQYQESENLTYDFDNK DAESWLKTDFPARYIYEKVLDDVCREHIPGTFYHYGSPWGGKDTRDPTVGDIHQWNVW HGTQEKYQNFDTLVGRFVSEFGMQAFPSVKTIDQYLPLGRDDPDRYPQSSTVDFHNKA EGHERRIALYLVENMRYAPDPLEHFVYCTQLMQAECLASAYRLWKRQWKGPNREYCGG ALVWQINDCWPVTSWSMCDYYLRPKHAYYTVKREMAPLSIGITRREHRHPRDKHTRVH IDTKTRVEIWGSNLALEDVTVDCVVKAWDVETAERTFSQTVRGSLLLPANRSTEIAAL DVPVRNPGDEARTVVAAYLYRGEEKLARYVNWPEPLKYLHLRKPEALKAVLVDGGERV EVSAEVPVKGVALECEDDGVVFGDNLVDVVPGEVVSIPVRGATEETVLTTRYLSMLN
SAPIO_CDS1301	MAKTGRGAPGGKLKMTLGLPVGAVMNCADNSGARNLYIISVKGI GARLNRLPAGGVGDMVMATVKKGKPELRKKVHPAVIVRQSKPWKRFDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM
SAPIO_CDS1302	MQSARGGKPRGAGRRKTLPAPVGSASQSAEYQPIDTDPIQPPAS LPRKRSKTTEFFDGANDEPEDTNQKGGHSLRRRTRIDYTFEEANEEATPTRVKSQVGP ASASTRGRKRRADHDDEVDFGPPARRRATAYEVLHYDGSPRRKNPSRRTAETKSYTEF ADENDVKDTIEVGGRLNESDYHESSSLLQPSDDGNGEPPHSPVQDALPEHFVIKFPLP SEALIREAEALTSHITKSPEPIDIDPRLHADAGLSEEHAAMPPQVFEEKEPVSHAVNE TDQDQVPPAESTTTGAELPSSVAATSDIQAPSEEQVSRPRGRSMAPRIELEQHTGSQI LDDSSATTLAAIDAGRSKSAPPMTALTGSSMATATPTVVDAPVTEVTENHLESEKTQS ETQPEIQPEVLQPAESTNIDSDQSTTDTTVAITVTPPTDTDDAATNIAPKDAIVPKEP SPSDAVTTEAKTVGESTVVPMVKEIKPESHPTVIEPPQVPAAPVKPWSHLTPHLEGQW VKHPESRLPQIGEAEVSNGSGKTFSTPTTKPLDGDTTIQADDDAELPVDADQEDPDEP VESGAASPGLEPSTLNSPVPDLNHATAASSPAANEDDDPDDAATQTPDELQTQKFYEY PRLRDTDEFKEVLQNYKDMSDEDLYAMCAHIDGALVALQEEYLQLGAIVDDHENIERR KAHDEAYEQMEKRSAKVTRKTFVLKGYRAKMTQEEKETAYQRHQDRIQAAAYGFRYDS HQAKVGKQDPIAQRYPGDMEDGGEPRRTLRSDPLRSAKATEAADESGPHMSGKRIRKP RELFDPATAATSRSATPVPQRRKRGSRLVESYTEDHDTLASAAQDPTLINGVSANTTP HTDGRTKRGRGKRAASPTESFLATSSSAAGDGVNHAPLHDETMQPPPAKRIRRATNKA QQAAANEAAGSRHPAVSTTNSHHHSGIYLKEEDDHEVENSPPRKNQRIVTLKPGAKNI RALSNAPSAASTTEDSRPGTSSSVSSEGSADSSYSFRPKRQRKFRDTGDEYAEDQQPK TKRARRATKKAEESSANHTLQLPSLHDGVVSGSSQHAEPHQAQEQRPRIKFLNSGVGP SINGAPSGPTPTISLTHHATDATSGRKKATGPKKTPSKAPAKGARAQQPVDASPSADG QGPLGGMSAQQYAALSKSEKMSRSMKSRWASGSMQQAVDKRKATLARKKAEKAAGTND GDDNNAEAGEDAASKAPSATTDATNTQPTTAEPSPTTDTHFATAKASKGPKPIKAAKM PKIAVKDHKGKGKTSQ
SAPIO_CDS1303	MAAAKRTKAAPTDDELGELFEGIGPDTASKKSSKPKPTSAAAKA IADQDILAELENELSAQTPPSRPHTPRIRDHPGAKGTPGRKSVEDRSVPRKSTESASS LRASFTPSATSSDLYESEKKAPAGHQQQQQQQQAQQGGGWWGNIFSTATAAMKTAEAA VKDLQQSEEAKKWTEQVKGNVGDELRHRAMPTFTNILHTLAPPISSHERLHIHITHDL VGYPSLDPMIHDVFGRVMSQVEGGDLLVIQRGQEMTGKRSSGQDATGWRDGPWWRAVD PPRDMGIVKGLSEATKLCRANAEGYANEYFASRGGIEEARVRATEPLSATNPVRTSDL FLSVQAVGLDADNALFARTAAVEKEKEDSTIKAQELPDELVCFAVFILDPVHEIEYST LSQSIPARWIKWLDAPSPLTPTSGDDTTTDSQSEAVAASYLPATTPEEIREIVEAGGV DPREWVAEWVEESLSLATGIVAQRYVARRMGVGEGGIGRGKKRMEELVQDGAGEAARA GLI
SAPIO_CDS1304	MATADELKAQGNQAIAAKNFDEAIDKFTQAIALQPENHILYSNR SAAYASKKDWTRALYDAEKTTELKPDWAKGWGRKGAALHGSGDLLGAGDAYEAGLKVD PNNAQLKSGLESVKRAIESEGGDPGAQLGNMFKDPNLLQKLAANPRTSAYLADPSFVQ KINALRDNPKNAQDIFNDPRMIQVLGVLMGVDMNVVPPGEDPEAYVQSRERDNDTAME DVPPAPKPAAKPAPEPEPEPEELDEDALEKKKAKEAADNEKALGTASYKKRQFDDAIK HYSKAWELHKDITYLNNLGAAYFEKGDYQACIDTCSKAVEEGRSIFADFKMIAKSYAR IGTAYEKLGDLPHAIENFQKSLTEHRTPDVVNKLRSAERNKIEAARVAYIDPQKAEEA REEGNKKFKETDWPGAVAAYSEMIKRAPDDPRGYSNRAAAFLKLFELPSALEDCEAAI KKDPKFIRAYIRKAQVYHGMREYSKAVDACEEAARVDQESHNGANAREIEQQLQKAYG AMYSARENETEEQTRERLMRDPEIMGIMQDPVMQSILQQAQSDPAALAEHMKNPGVRS KIQKLVAAGVIRVGR
SAPIO_CDS1305	MPPNRHYLLLLLTGLSQAQRLASLPKGLYDDEVSISALTYADVL QVRQAPAGSGGSGGAGGSSGPGGSGSGSGGSGAGSGPPSSGGSSSGGGSGGGSSSGAT RCASNEIACDSTCIPAGSTCCYQGNNAFCGANEYCTGQNTCCPLGSACPGPGICAPGT VRCGVGCIPEGFVCCSNHYCNPGDACSATGCTRATACSARTITVTVTGGSGGGGGAST SRGAAPTGGAGGGAGGSGGSSSARPPSSSGGAGAGAGGGAGGGAPPSSTRAAAPTGGS GAGSGAGSGGGASSGGSGGDRGVTLTSTSRGAAPTGGAGGAAPGGNSGSGGGSGGAPG GGSGGSGGSGSGGPGGSGGAGGSSGGSGSAPGSGSAPGGGAGGPGGSGGSGGSGGAGG PGGSGGSGAPGGAGPSGGSGAGGPGGSSTQTARGPGAAPTSDAPGPSGGSGPGSGSGA GGPPTSATRAPSAGSGSAPSAGPSASSGPVIVSSASSAYIRWENAIVAVVVGALPYIM 
SAPIO_CDS1306	MATSMASAPVDEDSKIELYKDALYDACRASGTDQRLFSQQELLD LGAIPANDLMILKSVLQRLNDERLLISCQGPNGLAFKWREQSEAEKYKKCGDQEQAMV YSVIDDAGSDGIWSQTIIRRLQMHENVFKAAIKHLIQKKLVTPFKSVEHPNKKMYIKA SLRPSEKATGGPWFTDQNLDEAFIDALQAVIYDFIKRQSTYRKGSGVHRQQPRKGTIR GSAEKVKKRTADQMSTDDPSTTNTKPPAPAPNAAREKEILLPMPAGYLDYPTVSDIAK ILSKTNITKTVLSEEDVQKLVDVLIADDLVEEAFVTGRKGYRIVRPTQQSLEGWAVKQ GTAGEDIEAGPDMYRSGFSRIPCGNCPVFDLCEPGGPVAPSNYHNALPGGTSGKAMG
SAPIO_CDS1307	MATTQEKEAPWWAAFGEPKAKVGSVPASTVLADLEAQPLGGPNV KRRFLLVDVRRTDYEGGTIASSINLPAHTIYQTRAIIYQLCKQAGVEQIIFYCGSCGG RGPRAAGWVQDYLDEVGEKDIKSVYLEGGIKGWVAAYGSRGMEFFDEKAWAKK
SAPIO_CDS1308	MAPAQPELKKYLDKRLFVQLNGSRKVIGVLRGYDVFLNIVLDEA IEEKEGGEKVRLGMVVVRGNSVVMLEALERIGGDERGGR
SAPIO_CDS1309	MSSFGGRRKARVIKVDDADEPEAGSETTSQENGSTPAQAPVFSK VTRKPFRQSGLRKSINIEEASLEEKSATTTTTTATATTRLADDENEDTGNVVVRGFLS RADSTKQKKRKSSSRLSFGVRAEEEGEDGVGGGARTPEAVTPKRKGDGGLKKAIALRD LAMRSREEEDRPRYSKEYLQELQSSTPNTPKDTSRSSATEDEDMHMDLSELEGAVVVD SAELIGRTAATDESSATRILTDAEIREKKERRARLRQEAEAEFISLEDDEEPFTMRKE KEATRLVREDENLYEGFEEFVDDGGVALGRKAEREARRRKRMEMASLIQEAEGNSEEE SEESDAERRAAFEAAQSRAGMDGLRRPTQKPSDLIVKPKITPLPTMSECLANLQNSLQ LMERELAAKSKEVADLKREKEEIGQREVEVQRLLDEAGEKYRAAVAGVGRVTDSPARQ ILPGEVLSEFAAERGLESFGTPTRKNGDMDKDMATP
SAPIO_CDS1310	MRLSIAVAASLCSLAAAHPKADAYVFRSGSPSQHDDRAPELSRQ LTRLILQQRLGVDQHFSSLNELSSLPNTDEAVSYINTLGRSQAPLFSESGSESPSQLL ILLEGLEDGGMDGILPGVKKTFTIDEAPNSAAIRKLIDGEFGTVGVRESSCEFGRAIN PLDENCWSGQSSIIRYDVKKLPAILEAVQKATPKLQRYAESGEMETTIILFPESSRKS KTNSWSSHPSELRRRQAEAVLLSGDPLPESSPETAKPKAPSSSQVFPGTFQRGTIPNC FQSWNSCITATKNCSGHGFCVDKWSNENTPDGSEPPKTDDAVCFVCSCKRTLDDQNKI TRWAGATCEKIDVSTPFALFAGFTIIMVAVVAGGISLLFSVGQEPLPGVLGAGVSKR
SAPIO_CDS1311	MQIKRMPSGNPITQKTIEDEELGGEQAREYAISDDEDEPSEVLT KQILTNMKTSVFDESLDKFLPENFIKELITRDIIEQVLGSNESPRLVDWIYEHSKKLF AIVILCGVAGPDLLQSMQVFREHQYTDERLPLEDFTKRYNFFAKNVWGSYMKRYNFFN LQWEFLAPVFGLQYKYELHANSVLPFTYKDRVSKQGSFGKVYRVEIENAHRKGGIPSS VAIKQIRMGAEASEAQVDLAWDTEARTFEKLRELNHANIIPCLAAIQKSHNRYFMFPW ADGNLREFWTNYRGPRNPEIIEQTVNQLYGLADALDKLHNYNTYLSQNATLNAGSYST LAPPKHAPFENIRHGDLKPENILRFAEHDLDLGTLKIGDMGLAQHHLMDTYSRAAQSA TSYGTVRYRAPESFVKELDQPRSRLEDVWAMGCITLEFIVWILRGNEGLEDFNKSIQW QQQPLWDSMQLSPYFEIAYDGGLPGAILHSEVQRWIEEVLPQDPGCQPGSAVGDLLSI VKNKLLVLDYQPPEGCLRPAAFTPTNQDLVTVDNHTSSPQTTPISPDSNTPCIRATAR EFRDDIQEIRDRIRQDRRYLLASTDKIGQPPPPPQTLDSPPSSTTTAGIRASESSQQS DAHGEHRKEDGETPKPVRQDGLIQARVSQHLAEHDPAAGRL
SAPIO_CDS1314	MQRFARLTRLRPTFEVAKHAANMNTSSQTIQVQRVRFHRLRRSG FSSVVIEVVDEDEDDHVHFHDRPGARTRGVPDASVMAFIPFPFTEQQVQPPPYRRGTP EWAAFEKISKDQKLKTKLKKDLGKLTLDILGSNRALVNKWGRPTGWQGWLKISFPSAP SPEWLQQGLLIKNDGISWGAKQPVDPYVINMLHKVLWPAPLASAVWQYTKTVVGQSYS KLVGISSEQMTPSRPGGVIIIAGADEKRSQVTLPVKKQIPDSTAAGTPPAEQGKEVPS QPVNQNNTSRSTSTRKETDFMSESRKALGPVHSKIFDLARAPADLMRELSPSAWDQLV SSVRSIWARGRRQPPRGCVKMYGLMHVETTKAFVMLDVVAFWDTKVEKFDRPSMYIGL REVTHKGSPRN
SAPIO_CDS1315	MDSKPPSDKSSDKRRPRAPSIHLDTSAVTDHIDPHSSASSGSQD GTKLTTPAFGRAASSSSAASPASLDTLRPSTDGASDATSQKCFQLPSRESLEDLWRPL PQDEDLFTVAENPFAFSPGHLSRLLNPKSLKAFHALGGLRGIEKGLRTDAKSGLSLDE AGLTGSVSFEDATGLQLPEHPPDLSQGIQRTKTGQKMKRVTTHKETGGLYSDRKRVYG KNSLPERKSKSFLQLVWIALQDKVLILLSVAAVVSLALGLYQTFGNDEHQGARVEWVE GVAIIVAIAIVSLVGALNDWQKERQFRKLNKKNEDREVKVIRSGKPTVISIEDLLVGD VLMLEQGDVIPVDGIYIDGHNISCDESSATGESDLIKKTPAEAVMRVLEKTGPIEVKK LDPFIISGAKVLDGVGSCLVTAVGPYSSHGRTMLSLRDDSELTPLQLKLNILAGYIAK LGCTAGVLLFAVLFIEFLVRLKGSDETSEEKGQGFMRILITAITIIVVAVPEGLPLAV TLALAFATKKMTKENNLVRHLQSCETMGNATVICSDKTGTLTENIMTVVAGSLGDGSL CFAEDSVLNAIKSNDSTGAGTTETDASLFYSKFIQPSKLSSTIHEDLRVLLNQSIAIN TTAFEGTEKGKAIFVGTKTETALLDWARNNFALESLEIMRSNHTVVEMYPFNSSRKCM AAVIKLSDRKYRIFVKGAPEILLAQCHKVIDLPTEGIHSSTLEQTHLDQIKGDISRFA SASLRTLGLCFRDCDQWPPPRSKAHDDPSQVELSDVFREMIWIGAVGIQDPVRGGVPA AVEDCHRASVGVKMVTGDNVATATAIAKSCGLLKDGGRIMEGLEFRRLSNFEREAIVD DLRVLARSSPEDKRILVKALQSRGQIVAVTGDGTNDAPALKAADVGFSMGITGTEVAK EASDIILLDDNFSSIVKALAWGRAINDAVKKFLQFQITVNITAVMITFVSAVADENES SVLNAVQLLWVNLIMDTFAALALATDPPNDSLLDRKPEAKTAPLITLTMWKMIIGQSI YQLIVIFILHFAGPSFLHYPEDEQKTLIFNTFVFMQIFKLVNSRRIDNKLNIFEGLHK NHLFMLMATIMVAGQIIIVWFGGAAFVVTPLNGAQWGISLVLGFFSIPVGVLIRLFPD SWIRKAIETIVPRRFREKKAPRRAESYDLAAAMLDVRDDLAFFNRIRGGRMAFLCDPK FIQKRLQKSRSKSKSRRGSNASSSPVHPAVGIPGLIAASIGGLPSPDSRHGETV
SAPIO_CDS1316	MSTAHHHSAGMEFPFSPRSNPSNSACHATNTSTTKARQGTTQNT SSYSKSKRKLSSTRTSTIPSSHHKDDTTKYGDDLRGGGSSSKKARRPPSETGFLSMGA WCEPRPARDEALVLDGYARGADGTSAWTPPATPYIGRLNTPPLSPMAYDTEFQPRRHE EQQEDSINENWYLARKSKMDMKLDAAKAYMAQRKGGNHPRME
SAPIO_CDS1318	MAAPTENSTATLEQTSIPAPTEAQEPAMTTSTVEQPVAVPDAPA PEPVASEVEAGRAQAPETSAEETPTTEETKPTEASAPVQAPEPAEDSKPANELSEIPL PAVAEPDPAGDAPTAAEVERDAKQETSGPNEQKSNLSFILNKPEDLTFEERPIPKLKN DHEVLVAVNYTGICGSDVHYWLHGAIGHFVVKDPMVLGHESAGTVVETGSAVKSLKVG DRVALEPGYPCRHCLNCLGGRYNLCPEMRFAATPPYDGTLTGFWVAPADFCYKLPDNV SLQEGAMVEPLAVGVHIVKQGGVKPGDSVVIMGAGPVGLLCAAVARAFGASKVVSVDI VPSKLEVAKEIAATHTYISQRLPAQENAKALIEQCDLGEGADVVIDASGAEPSIQASL HVVKTGGTYVQGGMGKSDITFPIMALCIKEVTMKGSFRYSSGDYRLAVQLLGSGLVDV KKFISGVVPFKDAEKAFEKVKEGQVIKILIAGPNEKLE
SAPIO_CDS1319	MDPQPNSIGLYWPDDSSFLVPMPRDPEFEIDYSRFGSPSLSVSL DNRLNGVDGLARSLGGCGAATLTGATLGSYSTNHCASLHRYLTEPRRDEMRALGLLTD AVNNRPKLPSDLRNYLRYADSSHLDISVCSPKLSDDDSWSAAAEVDFPASPMERYIYT KEPHEIVALGLRYGDTNAAPEQAASPCSDESISLSAPSPAGVQQSPPSDKLSVDSNED GLGAPPFFGQEVMDTRAEIQRFRVYGVRGSRALTKSHPRQRKRYETGKTGKMGIRKR
SAPIO_CDS1320	MLSETRPLLMPQNRKLRHLKGIYLRNLTFSRPEDRTVDDAALNG SNSATRRPSVKELYNARSSESSGSGKATARRGSMLAMVNAVERQKKLEGTVDEKVADG FFSLHCEGEEEPIYISEVVERSSNFNFQFFDLTNLHPGITRTAELTFRFYTCRQGVWT FLLEESVDLKKLQFIDTLENQHFPPNGLVFHLTDGMYSADIAGPPRRPKSAPAVPTSS YNALMKLATLDTSIQDAIATQQAIAAQINDILDKRPPDKGPVAKDRLDLAKKYVAQEQ RALAASEKRKKELKQSIQARRAAIREGRAMQEKAERDVADAQGKLSSSREAVATTKEQ IHGQRRRICSDLSDIFDIRPVPDGPPLSFQICGIPLPNTTFDAATSRGTDENALSAAL GYAAALTDSLQYYLSTPLPYPITTFGSRATIRDDISLLTDLTAKYQTRGREFPLYLPK GGSTAAHFRFEYAWFLLNKDIEALCASQHLRIMDIRQTLPNLKYLLYVCSAGTDEVPE RKKGGVRGLWMGGAKNSRASPTVASVDGVGSESPSVDGEGEAGGIGAQKGLPFGEAEL KLSLRRKGLHAGRG
SAPIO_CDS1321	MRFFSAALKGLTFLTLGSSVFAAPSNQKRQNDTDAGADGHWVPT WVSMPQLVEPNNLPPAPFTGGSQFQGATLRQTLRMTVGAERLRVQFSNTFGTSPLPIT AATLALPTGGKAGVGGIDTATLAELKFDGQASVSVPAGQVVYSDPIDYKVESRSMLTV SMYLQSGQQGSSITGHPGSRTTSWMANGNAVSEANVAGGNTNHWYFVSGVEIWAPTDT SALIILGDSITDGRGSEDNNNNRWPDLLLDRMQEEGITNVAIGNQAAGGNAVLSGGLG PTLLTRYKRDAIDQPGVKYVMIFEGVNDIGNGPQDQGGATQLADRLITAYKQIIADSK AKGYVTIGATITPFTGQGQSYGGPGREAARKRVNEWIMTSGEFDHSVDFAGFIGQGDA LKAQFDGGDHLHPNVAGYQEIANQFPIDIFNNSSISHNTTKQYNANGAACAGLSEPPA MYNRDNRIMRKLLGRVAADSTTDTSTGAIGITSAPAIAAPAYRSAVSQNAEYKAGVPI LCLDASPDRRTVVLAGRHILKTVTVDGLEINDGFDLRVLISAQPALAKTGGASAISDQ LSIKDVKWHGDSTIFTACSNGKIFSYDLNRLGSGGSTFEYVQTVEDTRQVNTLDINPH RGTYLLSGSRDGLVRCFDIRTPQTSWTGGLTFRAVQAFKCNADSVRHVKWSPTEGFCF ACGTESGVIMKWDLRKASSPLLRLSAHDKACSTISWHPDGDHLMSAGGDSKCHVWNLS KNADKRQKAKWTISTPAPVATVSWRPGLWSATAQGKRVAQVAVSYDESSQKRFGINAV HIWDLARPTMPFKEIDRFDAPPSGLLWKDQDLLWTAGRDGRFSQCDVAFAPRVIDRQS LSSMAFSPRGDVMVFLDERPDALRPRAPQPPTQSTAKAHRTTAFGSTPTAPMLSISRS DSEEDVVGSFVGPRRRIHRNQQRRSSRSITNASASSTPPSAPTPPDDHNLTLEQSLKV TGLFRSQQTMAFGHVPAATRVEVYDYLAANYLKILEQELPHRKGGKSLVDRVGGIMEL YARTAESARLFRLGQTWRILAYVVNLLLIQRGQYHLERRLGISPSMPKDEPAVKTKEV TVLVPQGNGNGEDTPRRLPSRGGLAPENRNRSVRSLLSEEIESTSNVPTPIARPVRDA DVHDGFVPGKRLTPVIEPESFTLGPAAHPGLAESPRRRLDSVTLSVESNGSGETEQSI TEGYDFYDAQALAKAIDVPKASKPESVPLKLDYGPQTPNGRAPTAVPRDSSDTFPHTF SVSESTDTRVSGSELPSLDSERMKHAARIRDLVAEREEKRAAQSETTEGEYESRIRGR ELEESPKTTPARAQTRPKNVPTSESPDDIFLISQTTMGTDPYSQGSLQSNTQSNPRSE YDNLGTTASEPHSLELRIEKLKTPTAPPHPSEDESQVVTEHDYLYWPGDHPYPYPLKT DSSSPAKTPSITTTATPIDPYTIISRAIHFESRTSALSASAMVLLLAPFVPPSVIDPF HAMSILRQHHSRLTGMKSFVEAAQLRNLCVKGWPAGLPHWGSDSYTSIFAPAQQNVKV AFYCATCRKPRDVDPRDGEPAVWRAEAGAARSDELGRYVVAEKAGSKGRVASVSVSGP VAVSANGGSPAPAAGTGAGVASSAGGAAPAGSASTVRSDSNDVPQSRAVESVREALGR GGMAQASPARARERRKSVKFVGGGG
SAPIO_CDS1322	MSTALQTPHQPASLASPPLIPASTASHHYMPTHSREVYNANQQT VSSASPSSSKRPSRARSGNNPGAGAANVTVSPNHSQSALDSPMMASRAAVANAAVADY QSQGGSDRKRNAAPVAPPRTSSSNPPGASESQKRATAPNDRATASPRNGRHGESSRAA NGNGGADPAEESRGSSSRRRHQQMAEEPPERVSSNRDGRTGAIVTIPSKPAASQEQPD ATETYDEAAPPPVVAMGDYADQSRRGGRSRHDGRSNKRDKNTKFGDYILGNVIGEGEF GKVRLGWKQDDRVQVAIKIIKKESLGNNPSRLAKIHREVNILRGLTHPNIVHLHDMIG DDTRIGIVLEYASGGELFDYILNHRYLKDNAARRLFAQLISGVGYLHKKGIVHRDLKL ENLLLDRHRNIIITDFGFANIFDPKDELTEEEELNLSDREFVKRLGLDKIKGNGTRRG DLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANPEGD NINLLYKYIVNTPLTFPEYVSPHARDLLKRILVANPRRRADLFEVARHSWLSEYAHVV RIITSSTTTPDDIKNTTVPAEEEADAPGIARSASLREAAKPKTSAAASTVGGLTPKHG HIDTDDAAAAAAKAQKDAKRRTVQVEYVAPTTQTQRGEYIVSSKTRAQPTADAAQANA SSSKDKPLPRDPPVSRDAYSKPTSSRGGPPSSHRQQAGVAPTRPPRDPRSDDIYTANA AVDHGHGSARPQTQGSIQSAASLGFTAGGRNTYGQPAPPAVAGTNVHGRIQQPASAGG DDVEGVGRPSVPSKFARVSGFTEGAPPQTSEVKGHKRSSTIGEISSKLLGRSGSLFGK SRKRTDTATALGAPATSSGPEKNNRKYPPISMANSMAVGGEEVRQSMDSRQSRRSFSL GLGKKRSGSINGSHHSQEKQSRRFSLIPSLTRAIGLGSDRNSQQSLPIHHGPDRQGQA ETGGAQPNTPIYNEMLAKRQPESAATEAAYRNQSQGQVSASSHAQAPVQNPRYIPEQY DRRPNAIPPYIQQHSSVLNTGSESSIDIHRNQNNAQGVGSPYQGGFSESEGFDGRRFG AGAKGGKVLQKNKRFADAYDDYPGGHKGSSGAARRVMDIFRRRGKARSGDDR
SAPIO_CDS1324	MTLSYSFKTHITSGYVKAAASTDLKHLVEELLPCAEPTAHPFLL PLLVLNRELCTNMEEVQRRVRDSLQAIENSLSGWSRKPSGRYEGRSAKNADINGISCM LADARGHMLWERPETWKQVLESVKTSLGLFWDELPLEDKASMVKLHQSIERRIGFIKA RLAGIESYAQDTKDRLEIQAKALDVLLLETEAKMSVAMTSASSRIAKSAKTGSRDLAS LTIVTLVFLPATFLAALLPNPFSRAFDSKIDILASLSALLGIGIAFLIMLTSLCWAVK IRQRNIWRHSEEGRKVVNFTTGARPMSRGIDVHGSII
SAPIO_CDS1325	MTRYSRIVFSILRNPRITLNSHPRRIPKASPRSRCFSTRPPLAT PGDQEEWQPTYNWIPDVESLENYIPGGYHPIMIGDVLHDRYRIVDKIGYGGYSTVWLS YDTRQKCYVALKVGTADSTLRETETLRAISSQTSMPFPICLGRDSIPSLLDEFEVHGP NGTHQCYTMTLAQGDLRAISFSRLFPIDVARALSGRVALAVAYIHSRGYVHGDVHLKN VLLKLSSSINELSIDELYKKYGDPETVPITRCDGQPLTPNVPSEAVVPLSLGGREDIR KFSLSDTSILLTDFGEAFAPGSESRLGKDCHTPYGSRAPEARFEPDAPLSYPSDIWSL ATTIWEIIGMKAVFSNEYVPADEITAQHVDVLGPMPQSWWERWEARAQFFTDDGRPCG RSEPWPTLETCFEDYIQKYRREEEALGVFDEKEKATILDLMRRMLAYRPEDRPTADEV LKSEWMVKWVLPAVERSQETVE
SAPIO_CDS1326	MKEASGDATDSRAEPSLFSKAHGLFGQSRDQPVLPRYRDQPDVT SSSTKTSSGAPHGADEKELAGAAVTNTRSTIAQEPEPSDDSPESSPPQGPKPTLIQRF LRNVKNVLLHSWVNLLLVFVPVGIIVEYVPGIHGGVVFAINCIAIVPLAGLLSHATES VAVKLGDTVGALLNVTFGNAVELIIFIALTKGQIRIVQASLLGSILSNLLLILGMCFF LGGLRFREQIYNATVTQMSACLLSLSVISLVLPTAFHASFRDQDLADSESLKISRICS IVLLIVYLIYLLFQLKSHAYMYESTPQHIVDAQSTPGPAAAWLDTSSSDDSSSSSSSS SSDESDGSSHTVRKKMKKVLRRARRPSSGSSLSGSDGTANGKKAVDGEGFEGSSSKRA KAKSNDGNESYDDDEAPKRRKRHIGRRKHHRHHKHHKKHAAHDEAGNAAGDASNEATP AIVLDQVPDADVRRVDFANQDEEVPPRRASEGGNPSTSNPEPPRRPFAIRGVSVRNVR NLAPPVFAQNPDPSEISPGPVPGPVPRVRYGIRRTNSLPDRLNQPYPPPHRAPGALFP PHVPLMALTGGNAAESLQHEEYPHDRLSTPAAVVLLLISTGLVALCAEYVVGSIEEVV QADGLREAFIGLIILPVVGNAAEHVTAITVAMKNKMDLAIGVAVGSSIQIALFVTPLV VILGWILDKEMTLYFTLFETKQLDARLGDQWNTSDNQFILLTSTSYEAILRGADNIKE PRLACFEDTSDAAKNICGLRLYQTSWRRPGTGETRLTCGMH
SAPIO_CDS1328	MTLRNRPAQMALRRFRTPLAPPARSGTAAALRAAQQQARFMSDM EGKDRPPSHEEKTGRSFRGQMMGSISHRLKREKEEMERWSEHRQKTADSSRWSFTFAL LFTTGLSYYLGTLYPREPESDSTLPLEKTQPPKHQANVENLQAAWADFVAILGKEHVS TAENDLQHHATSVWSSHPAKPDEKPFLVVYPSSTEEVSEIMKICHQRRLPVVGFSGGT SLEGHFTPTRGGVCVDFARMNKVVALHKDDLDVVVQPAVGWESLNDQLAESNLFFPPD PGPGAMIGGMIGTGCSGTNAYRYGTMREWVLSLTVVLADGTIIKTRQRPRKSSAGYDL TKLFIGSEGTLGLVTEATLKVTVKPPHTSVAVASFPSIGHAANCVAQVVGKGIPIEAI EILDDNQMKCINDAGMTQRRWTEAPTLFFKFAGTPDGVKEQVGQVKKLARDTGSATFE FARSEEEQHDLWSARKEALWSAMAVRKEGDHVWTGDVAVPMSRLPQIIEETKQDMVKS GLFGTIVGHVGDGNFHIILMYGDKERLKAEHVVHKMVKRAIELEGTVTGEHGVGLVKR DYLPHELGESTVDTMRKIKQALDPLCLLNCDKVIRMQKPKAGEIAEW
SAPIO_CDS1329	MSTIQQLKNFIRHGKQARVAADDASKNPEAPPTGQAAKIIHHHA VSDPAVVNAKSKRIDESKIAKLVAEENESKSKFPKYPALERWQLLEKMGDGAFSNVYR AKDRHEIHGEVAIKVVRKYEMNSLQRANILKEVQIMRQLDHPNIVKLIEFSESKEFYY IVLELAPGGELFHQIVRLTYFSEDLSRHVITQVAKALEYLHEEKGVVHRDIKPENILF SPIPFVPAKHPRPKQPGDEDKVDEGEFIRGVGAGGIGRIKIADFGLSKVVWESQTMTP CGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDESIETLTEKVAKGQYT FLSPWWDDISKSAQELIRHLLTVDPDKRYTIKEFLAHPWIRQAGPTPREEKKNILLES VAAYEVGKVVDGENKYDFRSPAAVNLREVFDVSYAVHRQEEEAKMRKQLGIKVGGPEH LSEVTEADEEQEGADVIQGQAGTKSLETSMRNTNIRDQDTQHERGRERTQAPAHQQEK GYGQHSAAVAAAARQQVRERQKQKGAFELSLDGATLLGRRGMKPATRVGGA
SAPIO_CDS1330	MGKKKRKYPDIEEVLGRPWCYYCERDFEDLKLLISHQKAKHFKC EECGRRLNTAGGLSVHMNQVHKETLTQVENALPNRQGLDVEIFGMEGIPQDILEQHRN RIIQTFYQEQEDRRIATGNPTPGQSGKPQKKIKIETAEELKKRLAEWRAKRAAEKAAG TSGSPSNGAASAAPGAYTQPYPAGQQQYPAEGQYGAPGAAYAQPGYPGSYPPSSLPAR PTGTSPAPPGLPQRPAYPGSYWSGPGAPPPGYSGAASTVDELVSGAAQHGDDIDRLIR MAEAGVKPAKKAEDEGAVPEKKSKKEKSRMFYSDADISPEERMAALPRYALVQ
SAPIO_CDS1331	MAPLSLKALTVAALAGLAPLVSAIGQKQIITFESSDDTFQIAGG DIGAGQIRVSKDEYWGVVRAAGDLAIDFGRVTGTNYTLSNGEADASPAEYKFKPADVS DNTVYKVLDEESFAGPAYSDPNPAKTIILAGTIGKSSLIDGLVEGGKIDVSEIKGEWE AFLSVVVENPIEGVEKALVIAGADPRGTIFGIYDVSEQIGVSPWYFWTDVPTKKHKDI YVLSKGKVQQSPSVKYRGFFLNDEQPGLTNWVASNFPDAWNGAAGYTHEFWSLVCELL LRLRANYFWPTLWGSIYYTDDPKNQPLADAYEVVLGSSHTEPLMRGQNEFRTYYEGPW AYNLNNETIDDYFRVGALRAKPYAKNSLFTMAMRGTGDTEIEGGLGLSALLEMLEELV ANQRSIIEDALEVNITTVPQTWCLYKEVQTYQEKGLHVPEDITLLWSDDNWGNVRRLP LANETERSGGAGVYYHFDYVGDPRDYKWINTIQLQKTAEQMHLAKSRGADRIWIVNVG DLKPLEIPITHFLDLAYDTDRWDVDSTSEWLEAWAEREFGPKFAKDIAHVVNRYGLYA ARRKFELLEPHVYSVINYYEAESVLAQWAELRRDAQSIYDKLDKEFQPAYFQVVLHPI RGGEIVHQINVAAARNNLYAGQKRNSANGLLQYSIDLLYADGNLTQEWDKLLDGKWAH MLDQTHINYDGYWQQPMRNTLPALSFVQNTVNSLAGHVGVGVEGSNATVPGDDKWHGN TVSDLVLPPLEPHGPASRYFDVFWRGTDTCEWSAAPEVSWLKLSQDSGKVGSDGGEDV RVLVSVDWESVPEDFTEKTLYINVTTPCRNFDRFAYQEPRVMVPVIKRSPPPEEFKGF VESDRVVSIEGEHYQSIVPGTKDDKALTYHTFKNYGRTSSGVGLVPLGIEKLKVGEGP ALEYNLYLYTNTTANVTLHLSPSHNYLGDFDPLQYAIALFPKGSEDVEPTIVTPVGKT EGTQMPAFWGYSVADAVWGLRSNITTSSFEVEKEGEYVLRIWSLLPSIIVQKIVVDLG GLRPSYLGPPESFLVGRDNVGERNGTSFRDAPNVVGGVKSALTAEEEEKSSAARLGGV SWVVAVVGVLAWLTL
SAPIO_CDS1332	MSKSWSSTLRLPKSAFPPRPLPALRDQYLRRCTDDFYKWQAANR PEGKPFVLHDGPPYANGDLHVGHALNKILKDMILRVKVQQGYRVTYRPGWDCHGLPIE LKALGAAGAKNLTASEIRTTARKLASDTILKQMAGFKSFAVMSDWDARWATMDPEFEI QQLRLFQRLVRQGLIYRRHKPVYWSPSSGTALAEAELEYKDDHISTSAFVKFPIVGDW ASVLELDNFIGQLYAVVWTTTPWTLPANRAIAVHDDLEYSIVKHGSDALIIASSRLEV VESWSSEPVDVLVPSIKGSVLKQLQYSNRLHGSGAKTSPVIHADLVSATSGTGMVHLA PAHGFEDYDACLALGLDVSAPITDEGYFTADAYPDDPARLTSAPSILEGGSKAVLEIL GDDVIHVHRYEHKYPYDWRTKKPVVIRATAQWFADVESIKGDALSALEPVKFIPATGR TRLESFVQGRSEWCISRQRAWGVPIPVLYDRDDNAIYADEVIEHIISTIKTRGIDAWF SDSPDEPAWIAPGLSGEFRRGMDTMDVWFDSGSSWSQTKGQADVYLEGSDQHRGWFQS SLLTWIAASRDKDAANAVSSEQRTAPFKTLITHGFTLDTEGKKMSKSLGNIISPTQVM DGTLLPPIKMKGKAAKGLPPTFNALGADMLRLWTASSEYTRDVAIGESVLKNIQSALI KYRTIMKMLLGSMHESARTSPLTAVDHIALIHLKDTMQEVSAAYDNHEFYKAFSALNN WVSTGLSAFYLEALKDRLYCGDGGGVLEPLFFGFSRMLSPMTPMLVEEAWDNRPEWMK ADTSLVHPLQQLYDAPLYPASRFSMNEEELRKDIPVILATHAAVKSALEAARLAKALG SSLQSSVVISVPEGDVAAALKKYSAELDGMFVVSSVDINGEVPESPWAYEEIFEVNGV QGKVHVLPPKQHKCGRCWRYLAEKEDSLCGRLSASMQAERQGRVSIVGEGKFWTNEPN TARDTMNEPSEAAVAGSPSSSKAGGSSTGEGVPVTTTTSRLSRTSQRAQKPRRSGGFL LQDTVVEQPDRAEESPPQKKKHSRRLLQSIRPKSSKAHEKTTSAISREAPATIAEQDT THTHPSSPPKAELKVKKTRDRSQDRPTSAEQPKPERSGLDVESAQIVDMALRVSQSRR LATQRPPSQQVPPRLSPIPDTTAVSSLRQHLLQQRKISRNGSPRPERATSRNAPGLRH ALESFDVTAPDGGFRYHVSRSTLARVQKAKDYIELMAQYRRLIDYMPPLRATGGTHPP PTPASMRSSPQTSTTYNALGRPYNPLQYIRNRKVRARERQVIDGNAQGFNDVAQVTAW IDEIEHISTSGEQPSAAEATPPLPLYLGAELQAADTTAALATSSTSAKPNRPRVDWFV EPADMIADAYWLEQGSNKLLIEDRHWSRLYPRGSELEVSLRNSLQHSGEPSSAGVSRP ATGERVEDSGLDKQPEAKAPKAEVAEPFSGSPRERSRLHPRGQHHHRHNSSLHLNHDF LRPQRGSLSDLSDSDHDFKDSSRFRSGTITTTSKDILAKQMMEMIAKEEREGDVRPTT RPEPLKSPPPVSYRTPEKAKNVSSKPPSRLHSRQNSFNNASDWEEKIQELSSSLRHRR PQHAKLDIPIDSARESLEAVRTAPNSPEPGPSRDTHLQISPLPSRGGSPTRNPFAKVK QIFRDQGRDRYTVNIDDKIREMDVDTDLSRWTAPDPFMSQADASRSSVEGRLSSSSNR PQIGRPPQDLGKGHRRLSSLKTKTDDAGGIRGLLKAPSARIDTVLRNSVSKLGDIIWR KETDQTDMVLSEGSISSDSDGEGRGRGRRGPRRSRDDSTADRSKSYLSQMPEFHHTGR PPTAAPGSGRGTLSDINDSRRQSIQSRGGLSDAKAQQASSQAVSKLSLDECTESFLES VAKDKAARTHDKRLTAMLSVPPSFNSSRHYSSMSRHSDHRWSTTTRSRSPEQHAPLSR REIARLRALLLSSGVMAMEIARRANHARHLSIEQPRRTPRREEGDSDGDASDTSCGIN WREIADLSADRKALCAQAVSPADTLPFVGGILNDSVKTSTDRWQASADKFAHETSPGL HADLEALRIRLGVDLSSMARAACDDADETNRQVAQTQRLQVKVVVDVIEKMLRRRRRR FRWVRRGLWLGVEWVLVGFMWYVWFVVMILRGILGIGTGAVRAVRWLLWL
SAPIO_CDS1333	MPPIRRYLRITKYSVLECRIYLDNPALAQSWLLNPRDPVLPRII ECIRPLVLPKLREERERVMKRGTKKKAVKDIITAEDFEVAMFLTETATRHSILTKHKH FRDKVPKRLQSNSSKLMGETREEPIDVDNAPESPALLREEDDEVHLENVPSIREKESG GALRRKRHRDGKEESGSDFEPSEAEAGDDVEVQEIVDSDSESAPPTKRVRQNTLLGDD EEPDDKKKMAMDISYEGFAIYGMVLCLVVKKRDTSAGIGRTARTGPATSSSTHPTGQA MMENWISSTQVPLGMEDEPETGR
SAPIO_CDS1334	MSVKFMHAMPIHLPPAARDLAPDSSLPRERLAEPRPTTLESSSP KRPRHTKGLLNTTTGEHAVADHQGVVAEKQEVRVAIERRLRANLEQGLLTLDFDFADP LPGLQLRVLPLESDEPLSLSSQSLGVDTCTEPTLTLARRWLGDCLEHHSRCGHHGTHP TENSGSSSWLPTRLIEVGPKDSSNVRLVLSKDLPSSTPGITPGYAALSHCWGGADDIL KLKLQNIAQLLQTGVKQDDLAKTFQHAITICRELNIPYIWIDSLCIIQDSKEDWARES SSMGNVYRKATCTIAATASTTSHDGIFFPRGQEPKLECQIGGNPLVKEHAYRRSKALA LVPTNWERTWHLNLDHASPLNQRGWTLQERLLSRRILHFGRLGIAWECETLCASEFCQ GGYPPGDDLYLEGCPKKPTCTMDPVMRHVELEAWDAWIGFVETYSQRSLTFASDRLVA ISAVAKEMQPQLADNPSYHAGLWESTLLPELCWMVDWHMASREVLPPSANSAPDPGSS STSTGPPSWSWASANHPIFFPFRGRSLLQERFTRLLARLVNVTTTPAFEDPFGQVHGG TVSLKGRPTPVRLAIADSSDPRCPREALWKLVAEDGGPLPLQIWASLDDFSPLDSDPL VVFALPILHRLNECENSLGMRLGHPAKGPVNGLLLEKKETDHIVSYRRIGAFFVLDVY TNDGWFDFERCAYGRVSSSEEDENGTTRRKEPDQCIDIR
SAPIO_CDS1335	MRWQHLINLQALCAPALAQSLKEALEANGFTLWAQRISTDNLLL NAGPGIIIYAPTNAALESSDDANSPITRRADDKDKQEAENAASAVDSTAPRPPKPEKP SQNNTATRRQLVNTAGSAQVTLLDNPEFVNLGPGRNQVIVEKNVASWSLPLVFSGLGR AVKVTGDDIPYDNGVIRPIDGMVTLPENVSTTLPYLGVDTFQDLVTQSGILNELDNRA SITLLVPDDNAFKSIAKRSKTGFEDLIRQHILVDFPAYTPLLENGDVYPTLAGGNVTV LIRDGLIYLNGAQILAGDAIVTNGVVHVIDKVLGGSPVAPPATVTGAASAVMGPMLWE VLVGGFGVVAATRYFNLV
SAPIO_CDS1337	MASFELHVWGNAFGLESIDTECLAAVKYCSQVFRGRGKSSQWNL VESSDPSICPDHRLPALLHDGAWTSGYRHIVTYLARHLNLPETLNPAQRADALAYETY LTSRASALVDLSLYASSTNWSATTRPAYSSILPFPLTWTVPPALRAEAVRRVERFGFS EMEADGRDDDEEDEEEEEKAPAFRWKGLTRRRKTVVESMTAEQMVGIRLYSFAKDALQ TIRDGVVDGTVIVGDEGEYSATAWLLYAHLALMLAPEVPQCWLRTLLRSDFPQLVDFY TKINTSPTTTAPSSSELIPTTPHKHTLLSTTARFLHHVIQSIPTLGPIYTTEWRRRTT EKIRGLDARSSFLLSATVSAVPTVAYLYSLAASGLRPGPKIKARPHVWYVERQGRGLG RFGEIGAMLDFTMSAVGGTGTGGEGWGFGTSEFAVQAGRDVEVEARP
SAPIO_CDS1338	MTLFTGQLYPKRALGFLPLGASLHSVLTRLKAEPTRFPQLELLY SREQPVHEPVTVNLPANGLRLRFDGAQQRLRLIEVIDFTRNHITFNDRDLVRPGSAAP AAGDAGGPSFKHIYHKLLGPTYAGEYIPPNGEDGSDTGVYVLSYPGVAFTFKLPASRY SPDKDVVSLLSASPNQTATSMAVFDGPSWAEARQTLWTAILPSIKSNPALPRTKDVSP DEISLVKIWGGGKLQLFRKWTNSSTWISLGETTPQELVIELGPPDAIYRKNDKRMTIH KLRHAVGGGDPGETGGRPDDLTDTDQSSLMTGSEDTNDEDVVEEEASGSVSGECFYNY FYQGFDILVSTPVPPSKRPPGEQGKGIIPESHTKSTNSDRLVATKLVLHGNIPGSYEF NRHRRCPWDIAYLEDGANDTPSPNSETKFTDIEESLNERWRPLYPPGEGENHQRGMVL NRGWGDSPGSSCELLGGWEDSSATAAANLPKGADGSDDSTTTLYGFPGLVFEVLRNGY VNTVTVF
SAPIO_CDS1339	MEAGKDAPNPAPAATKPKSAKQEKKEKAKEKAAAKAQAKLQQQQ KEPSASKAAKEKPAKEVLPAWENKTPVGEKKELYPLDDEAHPHFKAYSPHAVESAWYS WWEKSGFFKPQGNATTRSDNTFVIPLPPPNVTGALHCGHALANSLQDTLIRWHRMRGF DTLWVPGCDHAGISTQSVVEKMLWRKEHKTRMDLGREAFTKLVWDWKDEYHQRINNAQ RLMGGSMDWSREAFTMDKNLTAATMEAFVRLHDEGLIYRSNRLVNWCTQLNTALSGLE VENKEITGRTMLSVPGYEKKIEFGVLTHFQYPLEGSPDVKIEVATTRPETMLGDTGIA VHPEDKRYTHLVGKFARHPFTNRLLKIVADDYVDPEFGTGAVKLTPAHDNNDYALGQR HGLEFINILNDDGTLNENCGQFAGQRRFDARYTVVEELTKLGLFVKKEPNPMKIPLCE KSKDVIEPIMKPQWWMRMKEMGAAALEVVEQGKITIAPESARKSYTHWMSNINDWCLS RQLWWGHRIPAWKVTLEGDDPKKGEERWIAAKTEDEARSKAKEKFGTDKFTIEQDHDC LDTWFSSGLWPMGTLGWPNTENPDFKRFFPTSILETGWDILFFWVARMIMFSVKLTGE VPFKEVYCHSLIRDSEGRKMSKSLGNVIDPLDIINGIELEDLHAKLLQGNLAADEVAK ATKYQKTAFPSGIPECGADALRYTLLSYCTGGGDISFDIKVMHGYRRFCNKIWQASKY VLLKLPPDFKRAKELDASKLSLPERWILHRMNTAVREANRALTAREFSKSTQVTYQFF YDELCDVFIENSKALLNDGDAESKNRVLQTLVTVLDTSLRLLHPFLPFITEELWQRVP RLEGNTIPSIMLAPYPEYDEKLNFESDAVDYEIGLKCAQGMRSLASEYGIRTGGRVFV KATTPESFEKAQAQQHSMQALSGKTIAEVNVVGPGGETPQGCAVSVVSSDLIVLLDVA ERITDVDAEIKKVRTKLQKSQGAAQKQKELLGREGFDDKVSEVVKTQELKKLSDALAA AENYEKTIAEFEKLKISSA
SAPIO_CDS1340	MSSATNATGSKRKERGYEAEGGGGDETCINVFVRCRGRNEREVR ENSNVVLKTEGVKGKLVELLMGPNALSNKTYNFDGVFSPAAGQSIIFDEVVKPILDEM LAGYNCTIFAYGQTGTGKTYTMSGDMSETLGILSDSAGIIPRVLRSLFNKLQVDGGEN CVKVSFIELYNEELRDLISVEESAKLKIYDDTSRKGHVVTVVQGMEETHINSASEGIK VLQEGSLKRQVAATKCNDLSSRSHTVFTITAYVRIKGEDGSEELVSAGKLNLVDLAGS ENIQRSGAENKRAAEAGLINKSLLTLGRVINALVDRSPHIPYRESKLTRLLQDSLGGR TKTCIIATVSPAKSNLEETISTLDYAFRAKNIRNRPQINQPINKKALLRDFANEIEKL KTELICHRQRNGVYLSNEAYEDMTAVSESRRIVLEEQAAKMETLETNLRNKVQELLNL TATFMGLEKDHEVIKAQLDDTKEVLDQTELILAATCKSLAEETHLRRAHQHTEEKLAE VGGKLLTTLEKTVGDVDGLRAKNKRKSDLQALNRSTWAAAQEHVEDVTSMVEGRVLEL RNEQEQHITNVSKRMRGFVDEELGELTTTLGFLEKQLATFTESKRGLLERGTRSKDEM DEVLEEIKVVRDAVKERVGDSLQAISSAAERISADVLSELDTFHNQLHTSYSTLGKEF KSIFEEMLRHLSSQRSEADRLRRQLQGATSTMMEQNALLTNRIQEVIDEERQQAAEDR RNLLAQIGALIEAQAETQESRLAEKAELLKKGLLDANSTLGGSVDRYGESMDTWDKKE GQLLEDIRSSRDSLKTRLKDDWNVANEHSSSIQATTKSVHAETVRIVDAQISDLGVQM QALDDSVSRARSENAQHHDAQIEAVQSVSATVEQSYESIGAHFKTNLERVKVIGSEME SDTKLVRQALEPLEEEVCLPLAELREEIGGAVLREYVPTGETPEKVQYRYPRELPQTE SHEVLLARMEDEPIPTPTKERRTETLVFSDNEEMGPPPSIPSLSPPQLARIPTLAAST SIIPSSRNNGAAQEDKNPMSMSLREINPNLTSSFVFDPKASTMSLPSENLTLPLLKRS TRGKGRKKQATTDESKENVTVGLEMPRRKSPRLN
SAPIO_CDS1341	MDDDWDRTTESINSESSDELYNTRPNRWRGAKSTWRERNREDCG VYEGLEVLRRSDLAVHLYNAFALKNGPRRKIGPNGELEGYEDDKEKWVPPRAWTAWPM NVEYVPKDDFMPMQPLDENSALTFRKMERAVPSAALAEEIEACILRVAKERFWKREEI GEDEQEEGSGSDEEGEGEVTSEEEEMDGNGEEGINDGAGPGRKSREATPELVGVPSAD DEVSSAILRPSVGDIIGKLEKTLLSLHNMMVATAQAVEDEEEDTDAGEGRKRRTLRSL SRPRNKGKRPRAPSEPLSITTENQDDSRHASPQKKLKPLSSSQLRPSDTLAIEEETDR TSLSPSLSPSPSPMPTQQSRDQSKSPNKPNHTEHFLQKHADRLLSLTPRDWRAVLGAA SIAGFSPAVITRATQRCASLFKEEVDLTTLLDHTTQRDTKTAIRPRPDYTLSSDEDNS DDELEETIRLRLQGRELTRAQDQLPHPSTPTSRQRSRTPASRRTPEGASTPRSRSRSR SRSATPHLVCPYRTCQRSVTPFSKRSNLQRHIDKVHGGRGGEFEEVDSEDEMEDGVHV DGFLRGVRIRKGWRGEDVREEERGRRGRGRRGRKGQGEAEDVEAGYGGGD
SAPIO_CDS1343	MSSGVRKQTLYAAAASVRLLLFVAFPGLADLLTGRVEVSTPVTS FKRFQEGLYLYNHNVSPYNGGIFHQAPLLLPFFSLLPDNRTLPIITFLLYIVLDILTA DALSKIADSGEAGSTRLFTSPRKEKKWSGVQVAAAYLFNPFTVATCLGRSTSVFTSFM IVYATSKAVQGKSLSSMVAISFASYLSMYPLLLVPPLLLLCYDRQPASRRTVASKFYT INAAVVLGTTSFLLFLSFLVSGASWEFLWSTYGIQLTLTDLTPNVGLWWYFFIEMFDS FRAFFLGVFWLHLSSYVGGLSIRIRTQPLAVLTLLLGIFSIFKPYPSIADISLFLGFV PLYKHVWPLMRYSFVTISTLMYATTLGPAFYHLWIYAGSGNANFFYAITLVWSLGQSL LVSDLAFAVLRDEWEVERPEMVGKEIRQI
SAPIO_CDS1344	MLDPNLLPQRFRGEQPAPPNAAPSWLNRKVTPLLRLLSRLACVH PIHTVVIVALLASTTYVGLLQESLLDLSWRVDSADWSSLTEGSRSLRAGPDTNWKWQT VELESIADIDLARYDHQALVTFVFPDSRSGESPTNPPRSQAIPVPRNLSIRSLPSTDN SLSAYSQDSALAFSIPYSQAPEFLAAAQEIPNDESAAAEPLSKEAEGPESKQWIMKAA RVNTRSTIVYWAKNFWGEFLDLLKNAETLDIVIMVLGYISMHLTFVSLFLSMRRMGSN FWLAASVLFSSAFAFLFGLIVTTKLGVPISLVLLSEGLPFLVVTIGFEKNIVLTRAVL SHAIEHRNFHEQDKKSGKKSEVSLPDIIQYAVQAAIRDKGYDIVRDYAIEIAVLILGA ASGVQGGLQQFCFLAAWILVFDCILLFTFYTAILMIKLEINRIKRHVDVRKALEADGV SRRVAENVAKSNDPKEASLFGRRVRSNSVPKFKVLMVSGFVLVNILNLCTIPFRNTSL SNISSWVAGLSAVVTSPPVDPFKVGLNGLDAILASAIAKAQPTLVTVLTPIKYEFEYP SVHYALPSGAAGEATNHLVNYGVGGRMVGSLLTSLEDPVLSKWIIVALALSVALNGYL FNVARWGIKDPNVPDSQIDRSELARASDFNDTSSATLPLGEYMPPSQRLAKPLTPATT DDESELSMKKIVEPVDQSLMRPIPELEELIKQDRVPEMSDEEVVLMAMRGKIAGYSLE KRLKDFTRAVKIRRSIISRTKVTAALTQFLERSKLPYEQYNWERVFGACCENVIGYMP LPVGVAGPLVIDGQSYFIPMATTEGVLVASVNRGCKSINSGGGAVTVLTGDGMTRGPC VSFETLERAGAAKLWLDSEAGQTVMKRAFNSTSNYARLQTMKTALAGTNLYIRFKTTT GDAMGMNMISKGVEYALDVMKNEGFEDMTIVSVSGNYCIDKKPAAINWIDGRGKSVVA EAIIPGDVVKSVLKTDVETMVELNVNKNLIGSAMAGSVGGFNAQAANIVAAVFIATGQ DPAQVVESANCITIMKNLHGNLQVSVSMPSLEVGTLGGGTILEPQSAMLEMLGVRGPH PTNPGENARRLARIVAAATLAGELSLCSALAAGHLVKAHMAHNRSAPPTRSSTPAPMT SGNKGGLSMTLGNLGALSAAAIERAKR
SAPIO_CDS1345	MAYKPALLVVDLQEDFCPPNGALPVPSARSILPPTNLLLTYPFP LKLATLDWHPPTHISFASNHPSAAPFTSTHTIHHPEDPSLSYTTPLWPVHCVQDTPGA SLVPELRADLLDDVIRKGTNPTVEMYSAFYDPYKVSDSGLAKRLRDEGVTHVFVVGLA ADYCVRWSAVDAAREGFVTYIVEEGTRAVDPDKWEDVKKEIEKEGVRVVGMAGEEVER VRKLGEARG
SAPIO_CDS1346	MARQHTALKLILLLALLILLYLAITSDFSSLISPATLPLVPQTV THIVLFQFKSSVDNATIKKVYNRMLSLKHECLHPVRSKPYIRSVKGGIDNSPEGLQNG ITHAFVVEFASVWDRDYYIRDDPAHKAFVEWVGSAVENAIVVDFSLGRLE
SAPIO_CDS1347	MEGPPLTNSYAATTTTVFVNNGATGTGAQRDPLNTDAWLVGGGI ASLAAAVHLITDAQVPASRIHILEASPTPGGSMAAEDEPKDSDARPGAAGASARPTLP PARKGYVIRAARKLNFSYRCFYDTLSKVPYPCRSKTKAHANGANGSTNGAAAVAVVEA VAEAKAKAKADVEGEPEPEGGASEGHVEPEPEPASKQESEPEQQKHDEEPPKTLLDHI RRNRSSPKERPRTKIRLVSMNENGRPETVDTATMGLNPRDQAALMAFILRSEESLGNA TIRDFFELEFFETNFWDMVSTMYLFKPWHSAIELRRYLHRFLHEFPNISTMAGMEYMP SNDFEAAIVPLVAYLREQGVDFQYGTTAQTLKFAPNTRDMIVSGIAVHRENSDLIIPV RPSDIVLITLGSMASGSKSGTNTRPPLPMPTNRWVHDHPDPIWKFWLELADPKINPHA AKFGDPRLFREHQGESMGVAFTITMFDRTFIQHLLQWAGSTHGTCPLLSFRDSPWLVS ITIPKQPYFTDQDEDTTVFWGYGLYPDQPGRFVNKPMTECSGREILTEILRFMDYPLE PTLENSITLPVLMPLITSPYIKRKKDSRPQVVPKGSKNLGLMGQYVEIERDVTFTMEY SVRSAQTAVYELMGLDKKPPEVFGEDPSAAVLGEALKTLMV
SAPIO_CDS1348	MSDSSIDYKKLKEDFVSNLTGGPISEISAVTAVGPVAIFLWSVL QARQSFFKSYSVVAFLVDYFINVGTIILSTTLYSSTPILLSLLLVAPALLVWALPSSS SPKKKPLVPPAAKSKNASGALGVLSLKPFLTTYRGSMMIMTCTAILAVDFRLFPRRFA KVETWGTSLMDLGVGSFVYSAGVVAARPVLKQRAEGRTTPLLRRLTQSMRHSLPLLIL GVIRTLSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVAVFQSALKIVPSYATLAVLLS VVYQLALDNTPLKAFVLTAPRNNLFSMNKEGIFSFIGYLSIFLCGQDAGMYILPRSIT PPRSGVSKGSQRTTLLLTMAVWSMIWAALYFFATDYSFGFGLSVSRRLANLPYVLWVA AFNSTQILICCLIDTIFFPAFYNANDARTEKEAYINATSRVLRAFNRNGLAVFLLANL LTGLVNMTVPTLDMTPRQTMGILVGYTGLLSVVAVGLDAYDISIKL
SAPIO_CDS1350	MAHESNATLVTIDSSTPTTSRRLKRELGWTSDFSFAKRRAVASA SPPTPSPRTTTDGLEFNSLPHPRAQPVLHYSSEYLQTIDEDGLYEAKEDSADFTQAGY SYTPHHPTKMMSPLHHQPRPSPKPKKHPKPEALDLNHRAPDRLWSLHSGDLKQSPGSP GTIHLELAQLREHLRSLGSVYLGNLEAADVYVQAVALRRNWTPASPIKEERQEVGDHP PAADRPEFGEDIVVRARVRPRDDHRKPFVIQRKFNLAEMRATIPDPTPEGHTLQRRSS IADLANRPYSPTTPVGTKPLIGRERRRSTSVRFGPLSPASPRTKRGPIGAGAELKLPP RNSNNLMPIHLEYARSYLPVLAALLLSGYIREGDIVDLPVPHPAAWPQTVAHVYTGKG ELTEAVKENIRYLGGRI
SAPIO_CDS1352	MAFKILFGLVQLDFDFVQSTKFWIYVFWITWLWRYVRLFGNVIS HWRYKSKPIPSKPTLTSDDVTVIIPTIHNVFEELRKPLLSILACNPAELILVTPIDKY DGLRKLAGSLGYDNIQVLYTPIANKRLQVCEALPRVRTKITVMADDDVTWPSTMMPWL LAPFEDPRIGGVGTCQRVTRIWEGPWSARIFNWLGAAYIERRNFEISATHNIDGGTSC MSGRTGAYRSEILSSHDFLEGFKTEKWGSYILNADDDNFVTRWLVNHQWKTWVQYHPE CEIETTLENSTKFLYQCSRWARSNWRSNYTSLFRDRYVLTQQPWCTYALHLTTFSSLS FAVDPLLVYSLWRATGEWDIENRKRVVLAQLVFMFCFVKVVKLIGLFRRNPSDVMFLP VSIIFGYFHGLIKIYALCTLNMTSWGSRADADANDNVRLTPRPKRASSLTTPPGRGHL IRYQDERTRSEKTSKWPIARTEKCDGEIVNEKTPLFSPPN
SAPIO_CDS1353	MKPILKKTSHSEKNSLDLDRGWEEAIHYGNHGWGGSSGFGKPNS LRNYENRFGGGGGGGGLGGGGAAGGGGLGINEAGATMVRGGKDVSFSLSATDLSGAGP GAGSRKYSHARSTSGTSVATSGSGHLGGIRSGSFVHPFQQIPRSSTPPLSYANSLTSF ETSNLVRDYSPTITEDEDLDPQNSLKNYYSTQAYPSSLRRPSVASQPNSSQTDLTAPP PLRINTSQSNAATPATRLGRGMLTTSKSDLRLDALSPAQDSPISSSVAVACAVAQSVT SPVSSVAPTLSPIRSSLEMNFRLRSRSELDTASRQEQIRRARREFEDKEAQKQALRDE KAARKRDAQVEREAQKFVKKQKQLAKSSGLASGRNSVSAEMRPSYSRKNTAGTNESLL DSEKLEFAARNYAATAPGAAPTAADTQFDAPPRRIHSARRRTASAWTSFILWVRTKLF KADLLERDPVVWLQRNVVGHEWFSTGLSQSHPGPDRDASTKDKEMFIDRPYNGNGYGD AEGNRISRLKERLGKQSSEPSSTPHNTSLYSMDLALTPASASALTLDPREEGDSYQLT IDGYQPRCRIFSVMAIPSFPPIYIWARLIAYLRHTAVRNTASRLIDPPHSLVLASVDI L
SAPIO_CDS1355	MNQSYPQQPQYAHYPPAPQTYSPPPQQELGSQASRYQTYNDFNQ SQYNQTYSRDGQASAGAGSKRAKLFSLEFVSTKWPRIFMLVVSLQAILCLAFEAYVFG RFQTNLGPYVKDNTKADAQYKTIPTFLTLFIFGFLYELVIVWDALRMKNTIQVIGVCI SNLALLIYTAIQVEQILEALDILGDFPGALKAPADEIWDDIRPFLVAIPCIIAATTIA MCFAAWKLYQQFAWDILKHIGADYRMKKRFLHYQIYIALLKFDFFFFLGFMVQFLVVV NDQTDDPEFGLTIAVIPITIFILLLAAWSARRENKWGMLFTIVCYLGALTYFIFKLVR IYQARTQATYRPVRKSLTAFSVITILLILLTIANAIICMRNFGAGLKDHLTSSSRRDE EKPDLNSINLNDLKQPQVPSRMTID
SAPIO_CDS1356	MSTNRAEPTPMVSLQKELKKMKGATLAAAVSDADRIIALLETAR EQVANAKDPHTASITMTKLQNPIKDRFEALNKDLREISKQQKNFGKALDKLLPHRPLP SESNAIAGCESHIAKTIIMHLFREGRFDVATSFLAEAREHGVIGAADDMQNIFEPLEV HFTDLYRILGDLRNRNLLPAMEWAERNADGLEANSSNLEFELNRLQFIWLLKGPAVNG LPDDERNGLLGALQYARQHFWKFQTRHGKQIRELMTAIVFSNNIQDSPYRSLFEIHSA FEEVAHSFAREFCSLLHLSAESPLYVAVTAGAISLPQLLKYNQAMKAKKTEWTSYDEQ PFETPLPSRMVYHPIFVCPVLKEQTTAQNPPMMLPCGHVVCKEALKKMLKASRFKCPY CPSEGVYKDAREIKL
SAPIO_CDS1358	MSFFGMGRPQPSSEEKIAMMENEIIAMSDLHNRLSKICSRKCIP TDYREGEINKGESVCLDRCTAKFFEAYMKTNELMQQYGQQMQSGGKIGGLK
SAPIO_CDS1360	MTTKPTSPSTTPHSPEVRISVLIHRRLESTFLVAKCTGTLGQFR APGGYLEYGETFLAAAERLTRKETGLEVHARRVAAVDGEVMGGLGREKHYVTIFVVCE LQDEGDEPELCQVVELEECEGWEWRTWDQLRSEQEREIDMPLANLLDQNPSGEMFLRR SL
SAPIO_CDS1362	MDDPGWSWPAWKFGMKREDLSTKLHDQYNTFPSTIQDPEAFHHD VFEVAITASTTEEFHELLSARKQQRLRELNESLEAAAFEIIAHPKLVGTEQWQYALQL FRTRSFDSLVRYFSSYLPETYLDHHHQTPGGNNRSHTPLSTASSYADYSSVASATTDP SSIDADDDDYDDHEAHALVPTFLSQYSSDKPVFTDEPEDIVSPVQDALSCRPPRIDTR VPLSPESITEDPDTAASSPVNTTHQPQHPTILHPSPKRPLVSFASSHNEEEGEEEERV VSLTTSSTSSYVDIRPTLQGPQSPAVSEADLSDSRSSVESITFSESEPSRRDSRDSRG FSAQEDDDDEEEEDFPTTQSPIDMYDEMEDMCPFTVVEDTSSILPTSEVYDFLDSETA TPKPEGSAEEAKMMNKERMERREEEEEEEKEEEMRRRGWIIPSLDASCYIR
SAPIO_CDS1364	MSLKALVLFAASAAAHFGLEFPEWRADTLKASEDSGYNQRIYPC ANVPGDAGNVTDWPLTGGGLKLDLHHPWSYVFVNLGLGQNASNFNISLTPQFWNTTGN GTLCVPSLPVHLDVQEGQEASIQVVTTGDRGDALYNCANIRFTANAKPFGGEECNTNG VEYYVVGAVENPKTSSGEVPEPSTQDQGSGSGSGDSNNSSGGGDSGSGAATAGFSTYS LMSAVGLAVAFVAGLTL
SAPIO_CDS1366	MSTKVFFDLEWEGPVLGPNGKPTAQVAVQQGRINFNLFDKEVPK TAENFRALCTGEKGFGYKGSSFHRIIPEFMLQGGDFTRGNGTGGKSIYGEKFADENFN LKHDRPGLLSMANAGPNTNGSQFFITTVVTSWLDGRHVVFGEVADPESFKVVKAIEAA GSQSGAVKYGKKPTITNSGTL
SAPIO_CDS1367	MDLSNLKETVSNLTLYDIKAGVRKVQNAVMNYTEMEAKVREATN NEPWGASSTLMQEIANGTFNYQTLNEIMPIIYRRFTEKSAEEWRQIYKALQLLEFLIK HGSERVIDDARSHLTLLKMLRQFHYIDQNGKDQGINVRNRAKELTELLSDVDKIRSER KKARATKNKYTGVEGGTGFGGGFSGGSSGRYGGFGSDSGGGFGGYSGGVYGDGGGFGG QSSDYGASSGGSRGNKFEEYDEFDEDERPAASSSRPPRQAERTAARKPAEPPKKAKAP EVDLFSFDDESATSSFAAAPSKAPATQSSALADLAGSSNAADDDEFDDFQSAPPASQP AATTTTFAAAPLSPPITTATVTTSSQFVAPKPVSAPQQANLSNMVSLSSISPSPSSTA TPVANYSAFASPSAAPLAPQNTKPSGYQPSGPNYFGSFQATPASQASTSSTGMAALKP ATPSGGAKPAASGGDAFGSLWSQASAGLKKSNAPTSGPALGQLAKEKSSAGIWGAAAA ASPSQSQPKAGGSNNLSDLLG
SAPIO_CDS1368	MDMGGHDHGDMAMTGMSATPTGTSMPAATSAAASMGSKSAMGGC KISMLWNWNTIDSCFIARSWHVTSRGMFAGSCIGVICLGICLELIRRAVKEYDRFLLR QHRAKFLDSSAAAANSGATKAGDGSDSGAACVAIPAFRPNVFQQAIRALLHMVQFAVA YFLMLLAMYYNGYIIICIFIGTYIGSFIFQWETLVERRTSAANEATVCCG
SAPIO_CDS1369	MASQAPSDKPWADGPMKLVQTPLYLSTQQDETPKNDIFTTGASH MAMLHNCIIRGFNSIWLQAPHITDADKADFIAYSLTWFKFVKSHHDDEEDTLFTEVVD LLGDKDIWTQTHEEHESFLGGLGEFNTYLTSLKSPSDFSSEELRRIMASFHEPFENHF HSEIDTIAKMASHPKAPQAGTPEHAAAALMFKTWGKNTVSKAGLLDVVPFFLLNLDRT AEAPLWTNWPPMPAPIKWGMVNIAGSWHSSWWKFSSCDANQRPKELYALGTEERKS
SAPIO_CDS1370	MKRAFLLTALSGLSLAAPRPQDEPEPAEPSEPPPSSSIQCAPLP SPWPSYQAYPAQSSLPDPFLPPIYMTTAGSDGASAADIMSGKGKNRIQTPQEWYECQR PQLLSMLQEYQFGYYPDHAAETVTATRTGNNLAISVAAGGKTANFAASVQLPSGTGPF PVVIAIGGMKNQPYLQAGIAVAEFNYVSVAADSNAKSGAFWQLYSGKDIGVLTAWAWG FHRVLDAINQTVSEIDPTRVGVTGCSRLGKGALAASLFDERITLSMPMSSGVQGFGPY RYFTLSGQGENLDNSKEGAGWWSNSRLGEFVGHHENLPFDAHTIAAAIAPRAIVADQG VGDQFVNSKGSSTVIYPAAQVVYDFLGASDKLAIAIRQGGHCDMSGYDNVLPYVRHIL QDEPLTRDYHDLGSYGSALAESFPWSATAPKASA
SAPIO_CDS1371	MDLSGKPIPRDPWSSISTEELLSELSKRQHGGPAMDGPKPHCGS TMDMGSYNTPLHVGALFLILVLSTTACGLPLMTNRSNKSGFRRKFLFYCQHLGTGVLL ATSFIHLLPMAFMSLTNPCLPDFFSRKYTPMAGLIAMIAILSVVTLESYLTGRGAGHV HSPDLWESDDEEEKIPIHTITPSESTLAARRHQYPSAIDLRDLEATEGLVDGISPRPG QTPLPDATPPTNSAARDSTDADSDMDLALDVDELDPQPLDDETHKPLNGQATTGRPRA DTDPHIHIPTPDEQKKLFLQCLLLEAGILFHSIFIGMALSVESGPTFLVFLFAIAIHQ SFEGLALGSRIAAIHFPRTSLRPWLMVLAFGMTTPVGQAVGLIIHNMYDPQSEAGLLT VGIMNAISAGLLLFAGLVQLLAEDFLSEKSYRTLKGTRRRKAFASVVGGALLMAAVRM IA
SAPIO_CDS1372	MSEEFDWLSRLGRYLPISLAMRGVRRVRKALKTQFSKEEKIPEN VVEEVITGRPQISLPWPVADYDTWKYRGGPPLERYYRGQESPYVFDKGEVFARPSSYP LALNPTYERPAHPLHPLDSVPGRPLPNDQGDEEMHPATVMAVVAWIGANLSHIPHTVC GLSAMVMYGYTARRARHVTILFPGHTHDVVRSWAATKGVETYPNNKYEFGVRLPNDGD KVRRVRIRFTTSETFLRLPHTIVNGADFGEHGARILGLSALINYSAKSYMETRGGPRA MAAQMQTAQDLTWLVHRLIVLHRTVAPEEMPHFYNPLFLDPFECTFPDARELFVEARL LPGEGIGGGSRPVSSTSIDSGFMNYIRYNDFVKGQKRSNRLSKKLRTKPTSIVASRPQ PQERASHGRIEEGESSTAGHTGNPEEKGLIGPVPVSQMFRTTFDDGIEDLPLSRWAEP VVKPGPLAGTALPRYEAIAEAHTNFFEAGEIRGWDRERHRSLAITVSPPDHI
SAPIO_CDS1373	MERLRNILGPGGLGGLGGQPGADNASLIDNSETVYISSLALLKM LRHGRAGVPMEVMGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQMKMMDML RQTGRPESVVGWYHSHPGFGCWLSSVDIQTQQSFEQLTPRAVAVVVDPIQSVKGKVVI DAFRLINPQSLMLGQEPRQSTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKTALEEN MLMNLHKHVWTEALTMEDFHAEGTRNKERLERLVSLADGYEKRVKEETELTKEQLKTR YVGKLDPKKHLADVGQQLIEDNIVSVSRQMIDKESTMPGKRDAPASGQNGQASNDLMD VEEEL
SAPIO_CDS1374	MSLTGPLGSVALNDGRSGAPRKVAYFYDDDIGYYAYPVGHPMKP HRIRLAHNLIMHYNLYRHMEVYRAKPATKMEMTQFHTDEYIDFLQKVTPDNMDAYQKE QGKYNVGDDCPVIDGLFEFCGISAGASMEGAARLNRQKCDIAVNWAGGLHHAKKSEAS GFCYVNGLSPLSPHFLLFAARDINISADIVLGILELLRFNKRVLYIDIDVHHGDGVEE AFYTTDRVMTVSFHKYGEYFPGTGELRDIGIGQGKHYAVNFPMRDGITDMSYKSVFEP VISGVMEFFRPDVVVLQCGSDSLSGDRLGCFNLSMRGHANCVGFVKSFNKPTLVVGGG GYTMRNVSRTWAYETAVVLGKAHELDPNIPYNEYYAYYGPDFQLDVRPSNMENANSPE YLEKIKAAVMENLRHTVPVPSVQMQDIPPSFGAMTDEEEAELDDLNEDMNKDVRVTQR DTEKRIVHGAEFEDSDDEEGAIRPNGTRAGAKRQFTDFKNDDGAAESGSATPANQSTT AAEPAEVEDPDVTIEDASISAPAPAAAEDKPARAGSPPKKTKTEDEPAAAADAAISDK DDTEKEKEKEKGAEAQHPEADKEDKPAEKEAEKPVDADGDIGMEDAIVKKEDDKQ
SAPIO_CDS1375	MKRRFNRRPLPSPQALDMMSRALKDLQKTIATSGSRDVPEVALE DVRKACLEVENSLAARGLLRNTRRLRRLFAGLEHYGRSIDVLCNGTQYLSWIWSPITV VLRMASDCVKAFEVIMKAYTKVGDTLCRFQLLQNTFSEDHRFQELLAVFYSDILRFHG FAYKFAQRSNWEVFLLTTCGRFERRFGNIIENLERHAELIDKEGNVLNISETQATLRK LLSQQQENLKQIEAEEKRDSTRQYFDILSRLQIDERDQVAIFDTLSESLRFGGTCGWA LQHEDISSWLDSKGRVQSLWLHGSAGTGKSVLSAHLIAFMKSTGSSRAIYHFCSDQYV ESTKYDQILRSIIRQLLQVSDEATAHAHTTLLKDRKVPSLSELERLVEELLVIILDES PEAGTPWVVVDGVDECEPDKLPQLELGIGTNELEEIKDDVVRKADGMFLYARLILDYL GSQLPRNKDHLRAKLSRLPKTLQEFYSKLLGQIISHRDDDSREIVKSIFRWVAFAKRP LRQLEFLSAVTFSDGNPDVCALVPKFILEECGNLIEVRHDKTICFIHGSVKEFLTTSD TGFAITEEEATEEQAIASVACLVSASRCFHHSGDAHERRVRVVKGLYGLVIYSSEHWV EYTLSMTAQNDSKRCRYFDIVKILMTELERLGWGADIDLHCFEPGVDACDPRLDLLHE HPLLQRLLQYTMTRRSHAGLEESIVNRGSIDEVPTRKKSPDMISRILEAYQETIRWLL REDDYPGVLAEDLESFKAQFHSSTFTCRLRSCPRATIGFESEKLLKEHEWAHSAGPRC SFPRCQYPPFANSQALKRHVEKHHTPAQTMDYSNPMTTSNVLDDFDPDPDPFLREEEN DSGPDLFQSISVGTPPQ
SAPIO_CDS1376	MDKTSLRGTISHLGALIQELMSDEDLKLSAFCTPDNIKPAKTRR KYVRVPCKIDIVVCGPFGFFDDIGEWLEENDVYLQDPRIVAQDVKYCNPHRLSFSGWN ECPMVSQVVAKVSKTVYLRDITDGDSFLDDYFSSEVELEETEQPRCVVTPLKRHQKQA LTFMLRREEGWSMQGPHKDLWTIRDSDQGRLFINTISQLCHEEPPPELNGGIIADPMG LGKTLTMIAMAAVDVDADKNALADEELEVPSRHIVRATLVIVPPSRTPIQNQLNDLVT LLKFIRAYPYHEKRKFEEDITRLLKEEDPDQEGVARLQRLSSCLLLRRPKRTIQLPRR YDKECPVDFTGLEREKYNELKNRAIVSINAALQQGTDMNRSGMYVNMLQQIESLRLFC DLGLNYHTRHEAMAPTMGHSSDMAAWASIAQQAFISHLEMGPVYCSLCQSSLSLTDME NPVDDGVQLKKPLFSRCLRYVCAECASNLSRNGRAFQCEHSNACPAVPVSNSIYSLED VHNEIPEPEKLSDRFPSKVVALVSDIETQPVDVKCIVFSSWRLTLNVVEAGLNQASIR CLRFDGKVPQSQRQSVIDQFKNDPSIRVLLLTLACGNFGLTLTEASRAYLMEPHW
SAPIO_CDS1377	MRFSFLKPVVAAMALCGTAVMGSLTPQQIADGLSSMTQKTQALQ APAQSITLINAPLIVIGQGPFPQIIYGFNDIVSTGNTLISQLEGTGPIQKRALEARGP DADLVFAAFRELSRVSQATLNILIGKANILSSVPVVGEPVATALRGFEGTIDSIAIAL INLLQVHGNDMANDANSLGDTIQLAIQSYQSLNI
SAPIO_CDS1379	MDNEDEILKKLARGDIPHSEIFPTPDLWPTLHESLIARINKIVL NDFPIPKLPPPPPKWNQRTEQMLQTTLPSSPLEHPSSSADANKENADPLVDAAPPQEA PTSAPAPTTTAESSAQTAPKPATLPPQLQDMVDDIVTALKTFPTQPPHTIQRMAELIL EPRRHYRALASYLHALDRVVRVTSPCTRYPLPLPTVSFANGAADQVSWANTTQANLGS DEALGGALLTPIPWLSRQDSPGGEQGQSRAELRTESTETIDGPNGMGSIETVSISVNG IPSAGAGAAMRGITQGELLRQEQRAGVIPTNQLIVSREQPAAEDGTGEGEDDDDETPH VRGPDEIGPSDLGPTILTINRIDEGSLHPQDIDLEAAVGRKMIVETRESPDSDDATSD SGSKRGAEDEPEGSLSLKKPRSDSGDVGDNADEAMEGVEETSNAEKS
SAPIO_CDS1380	MSKIDKLSIQGIRSFAPNTRETIQFNSPLTLIVGYNGSGKTTII ECLKYATTGEQPPNSKGGAFIHDPKICGEKEVMAQVKLSFKSAVGSKYVVTRNLQLTV KKTTRSMKTLEGSLNIMNNGEKTVISTRVAALDEDVPKYLGVSPAILDAVIFCHQDES LWPMSEPSALKKRFDEIFEALKYTKAIDNLKVLRKKQGEELSKLKIFEEQDKINKEKG DRAERRSRALQAEIEEAREQCRAISDEMDEIQDMIRQKHQQANSFLSIVQDLKNKRDQ LEYRQDTVAELKTTLEELPDDDERLETTLSQYEERMARYEAEAKENRIQYGQYQQDLA QSRKDLSRQLAEQGKFQSDKEKHERQLVNRAEMIHAAAQQHGFRGFDGELNENQVRSF HDKLQKLLADKRRDLERVQKQNSKEVDKINSTISSLESRKSARTQDRVFAKQRMAGIE KRTEVLQNDVKLLDIDEGSKALLDGQFKDVEEKLRKVQADFEKFGYDSQIQQENETLW TLEAENERLGRELVECTRLASERAQLDLRKGELADRKRKLDIRMSTAKEKLDSAIGSD WTTATLEDKFQEAVRRQNKAVSLARQQRDQLREKLQTLTYKLKMAKDAEKNKTEEAAK CEKAVYNYLLTVNEDAGSADDYPGEVSHYEEKVEESRTEISLWDALAQYYGQCQNTLN KKGACRLCERPFEPSQAAFRSRMEKKIKEKLNPKEKEESEKELDESTSILDALRDLRP QYDTYKRLNEQLPRLKQERQEIQSECESFERQFEDLAAAATSEEEKLGDLESVNETVL MISQTLRDIKDSENQIERITSQQSSGIATRSADEIHELQASCNEKMRAVKSKTAKIMT DKQRARDQLNSLELEKSELRNKVNNAARQLERKTDFLNQIQTLKEEHVQQRELIQKAN EDLEALEPEIIEARSLRDDAVQQGRVKEQAVAEERDAVASTVSELRLVEADIQDYVER GGDAKLASNLRAIAASEKAIADVEKDISDLTVRANKLKQDIDNGDRKKKNISDNLNYR KNLRLLDSLRKDIDELESRNADEDYERLVAEARSYESHHNKLLAERGSIMGSMKTKDE ELERLLKEWEMDYKDAARKYRESHIKVETTKAAIEDLGRYGAALDKAIMQYHSLKMEE VNRIAGELWQSTYQGTDIDTILIRSDAETSTGKRNYNYRLCMVKQDAEMDMRGRCSAG QKVLASIIIRLALAESFGVNCGLIALDEPTTNLDRDNIKSLAESLHAIIKARQAQSNF QLIVITHDEEFLRHMRCSDFCDTFYRVRRDDRQNSVISRESITRVL
SAPIO_CDS1381	MSNGQPPPTQDDFYYGFDELGLGVFPDLAAATLPADGTDDVDMM AFFNPFFGAPLDPMSMPMTFSAGPGPNALLSPFPTVPVPGFAPPAAHVPLAPPSDQAH LAHRALPQEVPNTAGTAPFGSFLPDPGNAPPDPATAPPLPAQLMPAPAGASSSGTSAA TDFTKRRNWPAKLLDEMKDLLQILDGDGRIKYVSSNVTQLLGYQPEELREHFLKDFVH PDDVGLVMAEMNESIASGESMRVFYRFRRKDGSYAIFEAVGHSHIATPGFAPNPLNRS PFCQAVFVMSRPYPTKNAGLLDSFLEHKIENDRLRRRIAELRADEEAEEAEAQRQWKQ RRNSSRSELSRSEEMRTMASSSTSGTAHSNTQGNTQGGGYGGLMPPPDRPSRSGALTR ENLEGAAGGRPDSLRDKMARYEGGSRAETIEMLTGLKYVDGERSRSQTTGRSSPQLIT GDAGIAILVGREPRSSDKKKKKKQKLVEDYVCTDCGTLNSPEWRKGPSGPKTLCNACG LRWAKKEKKRQLSLTGASNAPPQSSSDHAMTG
SAPIO_CDS1382	MPPQSPPTIRLTLHLSGPPLKTSVESSIADTFPENAPGGAANLQ LDEGTGEVVSKSELKKGLQKRAKKVTSAGKRAKQTVELASTLVVNGAKPGISGKLPIG QAKLEGIDPDAMFKKGFLADIYKERFDDTNPSAEDEEYFLSIEEAIRWLGFKPDAITY SSDNFQKLYDMAEKLIKLRKAYVCHCPAAETKRQRGVENGKEGPRYRCEHAEQEPETN LRKFRDMRDGKYAPRTAFLRMKQDITSGNPQRWDLPAYRIPEEPYFGGITHSLCTTEL ILSRESYGWLNHSLGVYEPMQREHGRLNIAGAVMNPIPVVLEDWETLGIKELDVPFLP KDPFIGSHRLSITKAIYIDRSDFREVASKDYFRLAPGAVIGLFQVPRPILATSFSKDP ESGPITEIRASFGKTKKKPKAFIQWVPTGSRVINVRIHDRLFNSDNPKAAEGGVMGDG ISTLIA
SAPIO_CDS1383	MDKIIGALTQDNDDNRPNASSLGSGNKGPGGALGPLAGNILGLL DNDDDKQRAAEEASRRAGDSGNSDLFKTILGSLSSDKDKVAREDIDEEDAVKTHKKFF GNEGDNEQADSRSLGSAAAMQALKLFSSGNEPQKSSSESAFLALAMSEASKLFDQQAS QGKVASGASKESAVQQAGEMALKMYLKSQVSGGSGASSGGSSGGAGDLMNLASKFLK
SAPIO_CDS1384	MTAPQSFAFYPDPVDWTQSGASIEDGTGREDSNPVMRGWSLVIG ASLVSNLGYLQRTLWNNAKFGTVRQMPELESKIPARLHPCVIPLGNGDNANTSLIPFP PHIHTPRAADLVGRYHSAADYHAAYKAKTVTPLQVAEALLERIKRGGSPQSKYANAWV DSYGQEERTLAAARASTERYAEGRELGLLDGVPFGVKDDVDVEGYVSHIGMKYDANNP FFKPSTKTAWPVQKMLEAGAVMVGKLAMHELGVDVSGCNSAWGTPTNWMNTTYYPGGS SSGAASALSAGVVPIAIGTDAGGSCRVPPSFTGQYGLKVSHHRTGLMAHSMCVMCPMA STIADLTAAYRTIAQPNPSCNTQGRFAPSTPPSPSAKKTIGIYRAWFDKADAEVLEHC NAAIEYYEKKLGYEVVDITLPFLRETRLAHGAICLTDGTAAHYARAPTVSAAHAMLQP QTRVLVGVGSQTPATDYIKFNELRELLMQHLAFLFAKYPGLLIVTPTTPVAGWPKDPA NEAHGFSDANMTLKHMMYVFLANVTGTPAVTVPVGYVEPKQGTGKIPVNLMALGEWGS EEQLLAWAGEAEKYLDEVVEGGRRRPRGWLDVLEVAKGEKKDQSD
SAPIO_CDS1386	MGLFEDCFNGTLTATQLKGYLASTDINAQHPDKGITPLIAAVFS SQATTVRRLLEVGKADPNTPSIDGQTPLSWAASTARKNRAQIVSLLLENGADVDSTCD AVRGETPLMLVLNETRDPDVIRLLVEAGASLDAVNKKGETVEDIARRLNSPAVNAALY PNKSRIDLGEVIRTIVGLIGIILAWVNNETVNKIVRGVTKEMNEVVKRMHGIDGERDD NLEKATEFKASISTFVTKTGLDTFFSGENKQFLQTVAEKATDLLSDPMDPLSKPENVK TLIRVSMFQPVIYCDDSGSMLEDGRAQAQAALVERIARITTRLVPKGEGVELRFINAG GENLSKLDAQGIRERMEALKITKGSYTQIGTGLKEKILKPLVYDKLDNKQGLVRPLLI CIITDGHPENPKAFGGMKQNVETLYTTMDAIYECGNRLEGSEEEYPRTCVRFLVSQIG NDRKAKDFLKALSDDTRLNDVIHVTADGELYGITTDIWKNGYFRRSLHPSWIRGQIEF ES
SAPIO_CDS1387	MPKYESLIAPELVHPDHRRPPPIPAKPGLRFAAAGDWNSWVVKL SFSQGSDASGTGFYINLPGAEHDVILTAGHNLVGPDGKRSADLLILDTDATKNISVAE ENVRISNRYLARPNDSNAVHDYGAILIPREKDQPPRAGFGFSLMLGIDRKDRGTKDPK DEYLSGDVRVCGYRSGTPPGRPDLSSGSCIIRPDQLEYEVETQTGLSGSPVWTAYNGV ETVVAIHNHGKNESGRSRGTRLNLRVMRDVFRWAGIDRFHKRLRVQQPGAHPDGLYLH FAEEYTIGDDQVGKVYVGADGMDTTFDVLLAEAPPNPRLRELRPRFVLMARVPWAGHQ REYEDQGEDWVVWDLDGGKVSLSSNFHPWCLVRLEEAPGGAFTIVVYREEQKILMRLR MDISALTELQMKMGRRDVNGISFVRAIRGKKYKFDQFCLEG
SAPIO_CDS1388	MNEAQRLATRLSAPGDAASENSNSFYLLTVVSDGEGGYDLVEQY KTDLDLISETLVASDVPEDTPVAYLVETDENRIVFYVDKPGYLKASTYNPDPHAEAAW EADEALGEIGSMGVHRGGKISACFTEDGLLVFFPSPGGSVECIEQKGGKWSPRGPLPP SIPIDSPHYPLVVDDKLHFFYVSKHGSLDYLTREVGGDSWQENVFYGATLGKAVSRFA VGHDREKQTFTAYVLTGGVLVSIDADGNRHDLVKEAGAGKFTPVGSAERCYHIHIHGD IVIRGSQNSFNMGGGSIEKGSRRRIHRRRYY
SAPIO_CDS1389	MTITIQGCVRTARSNERRDDDLVHGLLTISGGTWYFGYDAASEE ILITWVPSEATSLPLDSAAARVLVRVWNIDIAKLRSDMDAGGSQPVLEKEIDLSKLSD MGIFREKMSLKLDQYLVFRFEGTGPALGEQERESEDGLSERLQAMEAELEEVRAKSAA DISSLRATFDAEKAALRTELQSAFDNDKETLQNAFGEEKGKLRDDSATPQEILTQVKK AKERVDKLCAYMKSVEDSLKEEIRDIGQERDEIETKFHELKDEASTLRRDLQNAKTDN ENQAGMIATLRAENTRVAIELADVRRMVVPENRRLKDEVSFLQDRTKALETELEDKET EAVEAKAKLARLEGDNRRLAQEVQKAKNDLARSESTRSRAEEELDRATVALRGLEADA RQQRDQIQELTQNLRAEKTGHEVTASELAQVRELMTKELSEAEKNVENLHIKIAELKT RVESITAENKDLDAANAELKQLLSREIRERQGAERYNERQRTEIDNLKSRVDIAEDQV STLKSDLSAAESRLTSYQTALKDEKIRCGSLLESLRREKTNSAEVEGRLGALEETANT NISKLKEELEAAHGEVKRLSDLHGDTKTELEKTKRDLATANLQSERLERRLSTETKRR EKLEADLDKAVQREREYDPDRLYKQLDDEREAHGRCRETLETEKTEHAASVSRLEIEV QRCKNEIQRLEGEVKNRDDRIQQHIDTIGRLESKIQEELSAKAALELRAQGLEAQVAQ LGASLTNERNCRVQVESRLSVEVSNHVSTQQALANERSRANSYGQKLDYANSQLSQQR SKLSQANNDLAYERDRSFRANSELMDKKNQLQRANYTVSNQAARIRTLESAAKHNVCV TRQSVEDLIGSYERLHDFARRYGGRKRTWTLRKVHYPNGFQSSNGKWAIRFRTVCF
SAPIO_CDS1390	MAATGLSLGILPAFRTCLEYFQLYKTAKETSFDSQVLIFKFDCA NEDFIIWGEKHGLLKEPGDDARNPELDHPEKAAKIKTALDLIQGLLSNGRVLQERYGV EVSTMNSPNTQPDGDGGQEEQQPFLSSSALKRIGSLWRRQQQSANRRGLTALQKTRWA INDKNKFQRLIDDVGDLVERLYKELPFPEPERNSVAIRDIRSLARHLERLRIFEVASI DRYPAWSGAASVMREAGSSQAGPRTISQWMANVEDVSEDLDQVQDMPQEQVQVDPLEI NMSKIPATTFYFVLTSPCPTATLGLSCDTRHLEIQVGGPSYVFEHDSLRQWGLGNRMK QLIKVPSPIPDEDTLRNMSFEVASHYDRYLESQYPLAKVKILCGPCKCAVRTALEVCR GQSKKEVNYSVCIDDRMQTSCCPGNKKLQRLRDLFRTLRAYDEEWRDMAVIGLEKDLL TRVDRVHLEQRIYQLETEVYEGETNKAPAQQMSDLLVETLERYNYHTPVFLCEAITCA WFIQKKPFLWSPRVPRESEIFKFTKEYLAPTFSTHYLGTFTSKKTQVPQSDSGRPDEG RRPVPSVASTRGAGPRQPSLHSNSQAPSAATAESLESGNELTEEEEVLGSSGWASTRR KNNLEGNLVRRNSTAEDSDRPARRLRSS
SAPIO_CDS1391	MSNPLKERGDRSPGGSPIQENASSSASDDSSNDGDTIRGGDADN DAVSIAIEEEGASTPVGIGNGSVVNRYRQLLQDGGEQSSDSGSIDNIPRRVGSPIGSL LSIPDDASVQDSILSSPSSSVLPSVASRPGLDSPTPSFRPFDRRFQSRLSSSLYLSPS PSSSRPSSPAFMSGHKRHFSVNSQFLFDAEAETTTPPWEVVRWTKLKKLSGQVFSESG KRNFGSPTCFAVASMIVLGTTKGIILMFDYSQNLKLIIGPGTKARLENRTMDGHVPDV VITHLGFLGTRRTAIVSADDRGMAFAHLATRGTGSLGRIVRTTRILGRYPNAPPPAGK TLKPSTVLAFASLPLGNVDRSTDTLGLTAMLTPYLLVIVSTTPVAQTQHKSARPKEVA HHSAMSGCLAWFPAVKLKVPDPVTGANISKVKLVYCWSNVLTVLDIDEVPTDDPGKSP TLKFRARSRWTCEEAIVAVQWLSRSVLTVLTITQRLIILEDRSMRVTDGFDLIHKHIY HVDLFSEQLHALVEQLDEEDPTMHGVVADAFYMSVKAYKSRLFLLGFDDLSIGTLSNW SDRLHALMDHGDHVAAIQLATDYYTGDSDKLTVGLPEDATLRHTMVKDKVVEVMRTSL RHVFGQRRTDANAVDDDRLRELTEACFVACQSVDNTDFIFDDMYEWYEDAEAEGIFLE ALEQYILDRSIRIVPPTVIKAMVAHYVSQGWETRLEEMICHMETATLDLDQITTLCKQ NRLYDALIYVWNQAMGDYITPLIDLLSLLIPLMDDGSGAPSAGDYMNDLIYGTNARKI FPYLSYTLTRRVYPTDEVMSDEAATKASAELYWFFFSGNTITWPKGSGRPFLTRPSNP VEPSFPYLRLILKYDAPSFLSALNEAFEDSFLNDAPEKKENGTGGDLPEEQIFGQTVD RQYIVSILLEIMNPADFAPEDTIYLDMFIARNLPKFPQYLLFPGSTLTRVLTGLCNYP GKDLAEDAQLSAEYLLSVHQPPDLEALTLLFKKAGFYRILKRIYKVDKQYAKLVQTYF EDPDDREETFKCIADCLRPRSGLTGRQVQQVLDVIRENAVELIELDPEKAAITLASQD AAFHRDILEAAKEMPDLQFHYLKALLEPGTSASEAVAASDRDLIEQYVRLMCAFEEDH VGDYVGLVKHKNLRLDKLLPTMEETGAIEGIVALMAHDGMVEEAMQRLTTHLDDIAVE IEKVLGRRDDGEDPEHCQWAVAGMLKSMQRYVNLGIWLCQTHTAKQVNGVAKRERSEH DQLSADDELWLALIEATVRITRRLSEPISAMPQTAFDRRPSSRRSSRRGSQSTLGGES RDSDVLTSLLRSLVQLTFTALLTNTAKPASPTSPLTRSPRTPSSPGINHSFLRILRAF LSRAAESSPSLADLRSVLQSIFSAYAYEQSILELSNRLLEDSLFSSVKRSVELRQRGW RPRGSTCEACGGRLWGPGVGSRIVDAWEEKRAVDEARREKKKRVGAGLGEERGKGKAD IVPLGKGKAAAISGESSGGGGAVPGEGVGAESDGDDDAAVVARRREPPPLDQIVLLAC RHIYHRRCLDALQIEDGGGGIVAEGRHREYRCPIDG
SAPIO_CDS1393	MAVLNRLLIEASHIVVRAVELHARQTDAAGVTGSTVVPTTGFET STTAIAATPTDAQPTQTPPSNNDSNGGGNGNNGGGGGTSPLLFFVALGFGVVFTNLWI IVGVKYCFRYNARNRQMRLNEDGEPVALENMPRPHRRRREKKLMTMDEVNEKFPMMKY KSWVSSRIREGLPATGGVTAPSSRANSVRSVDGVIPSKERDSVDEQSTQNASKMNSSS DARPEVSRPETARIPSEEKDDVQNKTTATSAAAAGQPSDSAPAQAHNATPHSHADHAD DSHDDDDDDDEHIHDALPPECYGTSGDTCAICIDTLEDDDDVRGLTCGHAFHAVCVDP WLTSRRACCPLCKADYYTPKPRPQQADGATNLQDRNRGGNGSQANLPATPERSWYSGM RFFISPGNRQNRPRRERETRPRPAPQVQPQTRPAQQAADAAPVETTEQSGNGVLSSFR SRLPRLWRNNGANGNPPTASGANTITPSHLEAGVRPVGS
SAPIO_CDS1394	MPPTKLPESGNPLVFFDITLGGNPLGRITFELFKDVTPKTAENF RQYCTGETKNIQGKPLGYKGSKFHRVIQGFMCQGGDFLKGDGTGSTCIYGTRSFEDEN FTLKHTEPGLLSMANAGPNTNGSQFFITTVPTPFLDNKHVVFGKVVDGMDVVKKIEMT KTGRKGRDVPDMDVVIAECGEM
SAPIO_CDS1396	MDHSQDPSGYTFDNRARQPLCESHGNAQPQGLPDLSFCAQGPPL VLPPHHPHHHHQAAGQTHLPNAAVVASQAPPPGFPTGYRPRRERPRGADWRRRGKNPF YGHDQFRQYRNRQDQKEEKEGQKWPPILEDPFLDALLLIPDMGRSKYFMHQSLHGRNM IISMYVWMAYCRSLPPGTTPNPANKRDRKAISSHIQVLKNFFKDHRFFHFFFPTKDEE QRRSAKDDGKSVESHSLKTNPVLMALSRGRFPDVRPNYEYFAHLLSQNSTVSARPKTC WVFVSSPHIQIQEDGAVTGRDGKALDVTKFPQLAVAMEEGETPYRGPDGSVILHEYTS TLSQTYSGPAKEVTKHWETNFPALHDCLNLPEPQDEDALTILEMTVTLSLHQNAFPTG SELNGLIEMTIEQPFLQNHQWKCVTRLVRPRELCTEDEPLFLEHTDEMAVQYTHQPGC GDNKTGCDCISRPRQDVRVPFPAAEWASMLTNCVSYPNVVPEERLKGRGQSGGDEEEY EKTGSEPSQRELLSRIGMFQELWSCGPTLPHGGGGGMEGGWARRAVILWKFRDVHQYN ARKKKWVAAESPTAQWRFLTVNDPTSEYHMNNAYISYDEALAAGAEFLSPQDLVQEQG PDHRGFSQEDFMAWNMDASVANHLRGLDASVTDFPVMGLVDGLATPPTLPSSFSTEFP SPHDMGRNQFGLMHSECGTPMESQPSSLFGDAAAPVTPGNNPFLAENMTMAAAAGIVY DDVVCDPALQAWNTASSLPELKPWLAGTDPRFDPSSLTPSDKTPEWPADHGIGQVLWD AAGTGCLQMPLQPEWNDMGKNERMDVQTTSGAPTLVGTPPPTATFYHELGLAGKRIVK RARTEGLELETNFPYKTIDRFDGPVKRVRRDMRRVASRA
SAPIO_CDS1397	MASSRIFVKGLPSTITEKEFRNHFGANGRQVTDVKIIPNRRFGY VGYKTPEEAASAVKYFNRTFIRMSKLAVEIAKPIGDASLKRSTRADEPSAAIPGTSKP AKIELPAEQDGDKSSKKRKREVDESDPMLREFLQVMQPSSRRAGIDAELALDADVQAQ APIEAAESDDEYEEIPSKRQSSKPQPAEAPQLNPTPSQVPGAAKQPDEDVVMEEEPSR SPEGPTEPVKPTSTITKGATDDEWLRSRTNRLLDLLDDDEPLPPPQPAQQAEERPEET HSKMQDGQEPGDLAPAVVEESRDENESAADQGSGQESKDVNPDLETIRKTSRLFVRNL PYSASEDDLRAHFDQYGHVEEVHLPADASGRSKGYALVLYADASSAIDAFQASDGIPF QGRILHVVAAAAKRDNGLDEFAISKLPLKKQNLIRKRAEAASNTFNWNSLYMSQDAVN ASIADRLGVSKAELLDPTSADAGVKQAIAETTVIQETKAYFASHGVDLNAFKSNKRGD TAILVKNFPFGTSIEELRNMFEEHGTVLQVLMPPSGTIAIIQFAQPSHAKAAFAKLAY RRIRDSILFLEKAPRDIFVEAPAAPVAEGPLPTKEKVGGVQKLSVAELLERDEGREID TPTTSLYVRNLNFSTTTEELAIAFSSLDGFVSARVKTKTDAKKPGQVLSMGFGFVEFR SKAQAMAAIKAMDGFVLAGHTLAVKASHRGLDAAEERRREDAAKKAANQRTKVVIKNL PFEATKKDVRALFGTYGELRAVRVPKKFNHQSRGFAFAEFVTPREAENAVTALRDTHL LGRKLVIDFAEAETIDPEEEIAKMQRKVGGQVNKVALQQLTNRGRTKVNIGGAEDDLD LGM
SAPIO_CDS1398	MADQQTRASPVARERLEAQIKSADMNEDMQQEAIEVAQEAMAKF TIEKFDERKGPTWHCIVGRNFGSFVTHETKHFLYFYLGHCAILLFKTQ
SAPIO_CDS1401	MGICGTYFCASDDEYLEASLNESVAPYPFDEDPDIAGIGVIASF FIYAIFTSGLAGITYLFVEGVVPERFSNKLDFLLQNRADRLVRFVWKNIIPRRVRELL DFLWPRRLRTIPKEKLQAICLQLTDIQLITGASIFIVLYSRHCTVTQYHFYIGLELSY LSFITFQGMLLAVVDVLHERLFTRVWRYIWILTLSAGIFASRFILWNDYFMVDRMYGL SVQCVFDKLAISEWFTPKEAGMLTIDVVVFFWSLWSITVLLFPSVSGWPPMAQITSFI YWFFSFPSRALVRVRNRALLNPADAETTKFKIKRRIITVAFVMFLSFREIVFSLCFDL LRVYTLLIGSIYGILYQRRRAADHGREGDENEWGFGQILPLLLLALPISTFAEEIWRD LPGAEDDTNQQEEAVAAGPVSTPELQLERSQTAPATYKPLESPLSTQMSAPAAMPAVV LNQPANTASTDSDRAYMVYRRESRVETVIKPTLTRFLRQRHMKYLLGRARDLLQSDLD TMQDGVTDLETEWFNKKLFQVWLILCFSALYGGVTVLVFLFDVPI
SAPIO_CDS1402	MASVHDFPQLWQRPPFESLLACLESLELTPPIWNHNRRRDDIIS EQEASFSHRRDVALYLSGIVKSSLSWISDDEDKETLWELASRPMGEITRRWPFATDTA QPFELIIKEPALTGDSIGFKTWGSSYLLALHLDTLASTFLFRLFDESLGEPRPRVLEL GSGTGLLGLAAAATWRTHVMMSDLPGIVPNLAANAEANAKMLEGLGGSVEVGALTWGG EGEDEIDLTLFGTPNQFKIILVADPLYDDNHPELLHSAILTQLALGTEARAIVMVPKR DQTTIGLLDSFKALMTQGEPPLECLEQGELEGEDDWDANDDEEVKCWWGIFARRQA
SAPIO_CDS1403	MALEAPLRSSSARLPSGFAPLNEMSSSTPDPHLRPHSAQRISAS LSLVSPSDLVGPSPVTSNGTETTEIEDEESEDVVQPNLPVAQPPQLLMLKTNIPEQIR KSVSEESVSVIHAPESFRSWTSTEPTAKQEQMSERDSPKDTDDMASVEESHELGQVQP SREPRTPAPITTNIRPLRYTIEATTPQAQNFKDVFGESTRIRSSSTSSLGKIDELGEG DPDAETDQDGLYDHSFDEMRLEFSSEIAALRAALQECWTLCNTLANLSSFHRSRVFNN SGTPDAHEKAWKSCWKLCQRLYDNKDKDEESLSVQRMNLDLCRDFCQSLFDIRQRQDE IADSILRVSFELNNHLYSAQDTRTLPEAFRERTLDFYITLCHRLMKQRSELEEETDSL LRACWTLAEMLFSLRQNKREGQPASEELLGSAVQACWELCDIFREGCTSVRPERNTPR ASQTSFFGHHEPSGRESRTSNRSSLSKAQSHKTLEERPRRVPVPETPVTEFEDTPLSP ESESGAQPNILVLGTASDSSRGGRWSSNASSLSSYSHSSAKTSSTATTATAEDSNVTR IKILILKAAMIVGYTRDAASEGKGGMGSLQTFVKQLPLGSFGSLPSHATLFQSYKALI LSDAVLPRYPNLPARGRRATASEIAKAVLYMMHRSSQYAFLKDLFRLVFNFTVDEAES RKNFSIVV
SAPIO_CDS1404	MIIPVRCFSCGKVVGDLWERYLRYLDEGLNDGDAMDQLGLRRYC CRRMVMTHVDLIEKLLKYTPDGRDAKKNHLAP
SAPIO_CDS1405	MTSTPDSKRRDARPHKRKRDPTDADRHIKARQKRMKNQKNETEG LLSSPKAGKVRNGEDSLPGTPAAQPGTLSQGLLHRPTTTSQLKAEDSHETGWTVSKPL GGRIEDIDPILTADERYLILAYTTSIQVYTTADSLLIRRIPIKPPHNDGFAIVAARLS SQNANNIWVACSDGRLWLIDWTVGSVLREPVLTKSHTAVDMVTISLRIAKNQREFLVV SEHTKDGRNTLVAYNYQSTDETKVSNVLVALSHSGKTMHSLKSVNDSRVLLAASDTSL IVGVASGKFTSFSDLSFSFYHFETSDIITALDARVSATTKLPAQGRRAMIEKSKAARN PNTPIVDVIVGGARGPIYYYNDLIAKLEVMDSEESGQDALVARKYHWHRRAVHALKWS RDGNYMISGGSEQTLVIWQMDTAKMSFLPHLAGVVENLVVSDSGASYIVHLDDNSTMV LSTAELEPTVYVSGIQSVTRNSRPSKDQWVSRVSTEGDMPTSIPAALHPEIPDRLYLC VGGGNQATLSGDTISAPFLQTVDLESFRSVSKQAIARTHPSDANFTSQGEAITDPQVS HIAFSHDGKWLATVDEWKPFQKDGLNLSEDQERTLSRDKREIYLKFWEAGGSAENADS FALVSRINSPHVTSKPEAVFDLASDPTSTRFATIGNDGLARIWRPKVRQQDGLAVTKK NDGNALHTWSCTHMIPLGDQLAVESAGAAPAAKNQGRIAFSEDGSTLFVAYGSADDGN LYVIDARSGDVKGVLDDLWLGTLRGLHVLSPYIIILSDMLQVYDVVADDLCYGMPLTI TSKERGAEDAIHIGVDSKSRHFALTMPIPTGTEICLFSPESPVPVLLRKIPSWVLSLV TSPHSSGFIILDDHAQLRTIAEGTDTGALAVAKPLEEMRLDTIEVTEGDAKPLALGEM FEVSDDEEDEEGNADGMDVDSEDEAAHPAVVNQPRLAAIFDAAPAFAMPAIEDVFYKV AGLLATKKVEPTSA
SAPIO_CDS1406	MSFQSIPILDLSLARNPETKPEFLKELRHALMEVGFLYLKNIGI PDDLWQSVIRLGKEFFDIPEEEKLRIEMKNAPSFLGYSRLSAEITAGAIDHREQIDLS TEHPTPAPGSPLHYNLLAPNQWPAEEALPGFRAAFTDYMNRMGKISIEFTSLIAEAIE LPADAFSKYFDENQQHKLKIVKYPDLQELGLEGEGQGVGPHKDSMLSSYLLQVTNHRG LQVQNLDGQWIDCPPIDKTLVVAIGQGLEALTGGVCASTTHRVLSPPAGQGARLSIPF FQGVRGDTDFIDLETVGVGEVPEHVREQRRRIIAEHGGRLDDVEFTFRKGGVAKTLGE ATLRNRVKSHPDVAERWYPDLLRDVREEQERIKRGKEQAIPVAPATVVAH
SAPIO_CDS1407	MGNGAKAQQKRERNQKDSKVAKSQLKSNTAACNIVCNVCKQSFL QTSKAPTLTIHAENKHSKTLADCFPNFQA
SAPIO_CDS1408	MAHSEKVVSPADISAKEFENLLGRYPSLLQSVSDGKAAKTGQKP LVDLDHYRYVEAPETFRLDNPNRAMVQDDVKSLVEWKLRHGKFRPTLMKFVSSNDPDT VEEIIQDALEVYRDTSDASAAVDILTKLKGIGPATASLLLSVHDSERVLFFSDEAFYW LCCNGKKDPIKYNKKEYAALNAEAQKLIKRLGIKAMDLEKVAYVVINEGPGESGPKAE KGELPMPSKPKTHVKQPATKAPKKSSGKRKQDSDEDKELVTAPLRRSKRNRPS
SAPIO_CDS1410	MTLYYTLVFVLLMGEMAMFMLLILPLPYTIKRKLFTFISESPIV AKIQYWMKITFVFILILFIDSVNRVYRVQVELGLTSENAKNNPAAVMGHERLEVQARK FYSQRNMYLCGFTLFLSLILNRTYVMILEVMELEDRLRAFESNKNRVTADPEKERLKK ELASKETDLETLKKQCEGLQREYNNLSDKVTDGQGTEPKKTK
SAPIO_CDS1411	MPNIFIDLTEPISSRADLIDLTSPASDGSEAGNHAPNRNRTVSR QSTPKGAPKWGPSAQLQQASPRAQAQLSQYPAFAPRETIVHAPVPSTVKLSDDGTGLG HVKSQSTSPVRSRTPPLRSIDTAPSTNNVNGTTPNPPRPDEGAESSGMKSRPSASHAT LPLRSSPAGPLATQSTPKGVTPRKTEWTVEKIAERLRHLTKDLDYQHRVMTYRVLQAN KRQVQERRQLKGDDLFADVKIDSAPEEKGLAVRAKFKLHTTNTRKSNSSLGKRNLTLP VVPLRTEVEPVPPYRFHHIEIPKSVLTPQTMLKYVPHVRDLEDSEERQYNLWLQELDE MDEASGFKPQSREQRAANTKRDECAARLAALLPSWLKTLSIEGCTKATLIRYMASQAR DDTITPQQKSSILDTCRQVEDVGSPRAVRAAKLFTEAFDMVFSQLYSNYPVTLRDVLF RDEFVDQIVDPKRLTKESAAPSKPTEAPEPVDGGYLETHSLLACLICFCNSCDHGEYD NKNQKRTFSMEVMGGVEGALKRRPLRQGRDRATQEEKVLYSQPCGAECFRLVDPHAPP QTPKSWTPREDRILRALVETSLVGPSFRPPECTVSGLLQRPCWEVHLKLTQDSLAPKG ALPPTSPKPVVKNLPWYDRFRKMLIGDWQEHTKIYEHQRRELLEPCAHDGPCTLAKGC PCVEAGVLCDRFCRCTAETCAYKFTGCACHSLGKTCYSKQKERPCICVQLNRECDPVL CKGCGAMERVDPENVDDDELHETGCQNCALQRGRSKRLILGKSLLENCGYGLFTAEDI AQDEFVIEYVGELITHDEGVRREARRGDVFNEGSNASYLFTLLEDEGIWVDAAVYGNL SRYINHASESDKRACNIMPKILYVNGEYRIRFTAMRDISAGEELFFNYGENFPNLTKK LLEDRADQQDEPLAAANKAGPSLGRKPGRRPGRPRRGGGRKPAKQNATGGGRKGVAPA DPAVDWELPGSTGFALLQKNKRKRREEDEESRGELDDDDEDNIYDDDSQEGSDWEAGG RRGGRRGGGRRGVRTRKRTKRTHNKGGEKDDEEMLVAMDSPSRPPRRTGAGRPKGPTK PLRVAVEEVDESDDAHISDSDDMALARRRRGRGNRKTVVDDSVDEGGDTIVLKPKVVL AEEAVEKEEEKETVNVNVETAIVARPEGEVEVEDDEDESESESESVDGEEEEDSWTPS RSKSTRKVQVPARYRDETE
SAPIO_CDS1412	MHRTYSMRRTRAPTASQIQNPPPPPSSTKSGRIFGRASLSHALR GRTAGAFGPDLAKKLTQLVKMEKNVMRSLEQVAKERMEVAHQLSNWGEACDEDVSDIT DKLGVLLYEIGELEDQLVDRYDQYRVTMKGIRNIEASVQPCRDRKQKIMDQIAQLKYK EPNSPKIVVLEQELVRAEAESLVAEAQLSNITREKVKAAYSYQFDALREHSEKVAIIA GYGKHLLDLIDDTPVTPGETRAAYDGYEASKAIIQDCEDALTNWITANAAVHSKLSVR ARTLSQRRRNHIRARSEGLDLSGQDVPMHDQDSWEVARTDDEDEDEDEEQEHRLHDKE DLDSDDNRESVASSSRVNGNGEARGRSKEVHAA
SAPIO_CDS1414	MLLYPQIPELLVPIHSTFVGDIHCAARLPYLVFSSGDPASYFKA QQLRPKLIPKGYLSRLTANSMKSGKSNGKSDIVRTAGQSTSSSRRVAQDTPSVVSAGQ GGTGVEEGRIRSERVAIRSASPLESDNPSQSALERRKAQIVDNVMAIIMEWLDRTIPL QEGDGANRSRGSTGGRGKGSGGGCNSGHRDDPDEDKENQGGRKSNKRQQRDESPDGSG AGGDGRRGGKAPKRARKDGGDGERKLACPFYKHDPSRCTKSTACVHPGFPSIHRLKEH LYRVHKISKHICPRCRKDFASDKAFDAHLRDDVRCEKVDVMPLYGINEAQERALRARP GKEKGSEAERWNEIYKIIFPDALDLPTPYYEEQPSIIGIEQYLRKEIPPLIRREIEEE LERKLDYVQDDMKRVATDLVIKCARRLIQSYAGNKEDKDTSDLRARSRSPCRTPDRIY RDPPQPPPEPTPESSGEYLEDILHCDLGDLIWNNVLIDQPGYTDFDSPGVNTSW
SAPIO_CDS1416	MASPPKPWEMSGAATPLASVPSTDPSMSAPPMTTASTSTSMPPD LPERPSSLASAVNQNAAAYSRVGVGATPYSGYGSAYQSPYASPYSRMGGYGGSMYGGG MYGGYGGYGGGMYGGGMYGGMPGNPNDPNSLTHSFNQSTAATFQMLEGIVGAFGGFAQ MLESTYMATHSSFYAMISVAEQFGNLRETLGSILGIFAIVRWVRTLFAKLTGRPPPAD AVSLTPAAFAKFEGRTLGPNGSPAGPPKASRKPLLFFIVAAFGLPYLMSKLIRTLAAS REEEERKRLAATDQMRIDPSQLEYCRVLYDYMPQSQAGAANGVDLEVRKGDLVAVLAK TDPFGAPSEWWRCRTRDARMGYLPSTYLEVVRRPGEIKAPKPVAAIKATPASDSSRTN SLTSSGETVSSIQSPPTPVGISRPLTTVKQGPLLTAEDFQKSQFY
SAPIO_CDS1417	MSPVAVDNGENGVSKASANVTKPNIGVYTNPAHDLWINEAEPSL ESVQSGADLKEGEVTVAIRSTGICGSDVHFWHAGCIGPMIVEGDHILGHESAGEIIAV HPSVTDFKVGDRVAVEPNIICNQCEPCLTGRYNGCDKVKFLSTPPIPGLLRRYVNHPA TWCYKIGNMSYEAGAMLEPLSVALAAMQRANVRLGDPVLVCGAGPIGLITLLCCRAAG ACPIVITDIDEGRLAFAKELCPSVITHKVSPGLSAEDSAKAIVEAFGGIEPAVAMECT GVESSIASAVWAAKFGGKVFIIGVGKNEIKFPFMRASTREVDVQLQYRYNNTWPRAIR LVESGIIDLSKLVTHRYKLEDALDAFKTASDPKTGAIKVQIQSLD
SAPIO_CDS1418	MLSRSVVRASVRRTTPFTPARFQSTTTEKATEAAKDAASKAAQG LSRVTSAAGPAISGAAKGVAGALGKVGGRTGKVVNFLERQTPFVVYYSKVAAEVAKIV FRGQKMSPPSAATFQSFYESAWQSIRQPSKIADTVAQTVKSGAQKPAGYIPGISNAQL AAGGVVLAECLGFFTVGEIIGRFKLVGYHGEPAAAHH
SAPIO_CDS1419	MSKAPEPPRRVFMEHGREYGYYDYLFPCDQLEEDKLDIFHHAIL EAQQNKLYKAPVYFRHRSEPLPSSKDGEAKTFPRRAGRQVLDLGTGTGLWAMSMAEEY PDSLVFGVDFYDFLQPREILKTTYFCGHVNIEQNWEPLYHFVDWAIQKRRTEGNPKPE IDKTNWDLIYVRMMNGSIKDWPALYRKIYNHLTPGFGCVELVEIDWTPRSDDDTLPRN SNFEKWVGLLHKAMDRAGRSLRINPNTKNLLREAGFVDVEESTVKLYHNPWNRGGHTE QVGRWFNLGLTHGLQGLSLSPLTRILNMRESEVNELVGKVRHETCLLKHHGYCNLHIW TARRPAEPTGSTSHATSNPTSSHTGNNGPSNIPNATASSSSSGAGKKSQ
SAPIO_CDS1420	MSNTVVGTVYDQIIKDVIESSRVDFEEGGVDESVLDELRDGWQK KLSQLRVAEFPWDPKPDAVVPPPASLPNVGNVAFAQQQLSPQPGAQALPLPAIPAAAN GQPLQGDVKMEPDIKQEPGLHPNMVPGIPTDSRSVAAARASQALQKNYGNRATSSINA IQAGMTAQAQGLNGHNANPQGYPQNPPGHLPMPQTDGADEAQVEGVLMNRNADGEMVE MGRFDIDRMLHEQIMAKAKSMEGGGFMVPLQEAIKHPSKVRRQKMPGIAQVDGEDEDD EDAINSDLDDTDDDREDSDVDDDGLSHMMLCMYDKVQRVRNKWKCTLKDGVLTVNGKE YLFHKATGEYEW
SAPIO_CDS1421	MATAAVSTPVKSHTGLFSSRTAGGRMPLTPSPRQRTSTAVDSSP FTPDKQSKSIYNGNLASHFARSSTHRDSPKSNIARGVSTPRKALELGVSDFALTGTGL AKTPSSAKSKKGSLRHKANKTTLTYAADRFIPNRGASSAIANVGSSKLDFGENKRPKS NGNEGSSVLASATDDAISALEGLSLNDDETTSYSRPSPNTVAYQDSLANACGVNLNTR ILQFKPAPPESSKPIDLRQQYNRPLKPANSSAQLRRRIATAPERVLDAPGLIDDYYLN LLDWSSGNQVAIGLERNVYVWSADEGNVSCLLETSPDTYISSVKWSGDGAYVGVGLGT GEVQIWDVAEGIKVRSMFGHDTRVGVMGWNKHILSTGARSGLVFNHDVRIAEHKVAEL VSHTSEVCGLEWRSDGAQLATGGNDNLVSIWDARSLAVPKFTKTNHKAAVKALAWCPW NMNLLATGGGSYDRHIHFWNSTSGARVNSIDTGSQVTSLRWSPHYREIVSSSGFPDNS LSIWSYPTLVRNVEIPAHESRVLHSCLSPDGQMLATAAADESLKFWKIFEKKAGASSS SSSSGSSGKGEMTKQMSIR
SAPIO_CDS1422	MAEPSTPGPNASLFGISSLASQSSHPGSSVSTGNELVVASSAVT NSSGTPNSVASNNTYIMPNSPLKKLGITDGYRPRVTRTLGNRPACLVNASVTHCGNNQ IYAFGGFDQYTDEVYNHVLRLDLTTNQWSLVPNFGDIPGVRMGHTATLYQGDKLLVFG GENEHRAYLSDLIIFDLETAHWTKPQVSGHIPKGRARHAAVLHEDKLFVIGGITGRDN YVLDDICFLDLKTYTWSRSWRFVARFDHSAYIWGERVWIFGGLSQDMDKVGELYWLDL KGNPAFESSPQIGTFDRHSVSSRAIGSPRTPYSQPAVVGTSGYAANSRTAQVNPPSFQ LQSYSPMAPGAISSLKFISGANIPSQGQGYHFHVYSSGTLLDFQTPAATITSNLCSLS ALDLGTLRWQKLAEGQEVFKTGYRWHYCTMNEEGTKAWLLGCPTEPALNDLGPNGYEE YLSDIMEIDLRRYGFLGNSAAPDPRHETRRSVARVVDQPSRGLGADLAKLFNQPPESG SGTDFIITALAGDIQEHDIFSTSLAHAGDVGSGENWLSPDAPTSEPIYVHKLILQARW PHFARLYNSQMAEFHTKKMHIPEPYSVVKAFILYLYTDSIHGTTDEESGAVTNLSDVA GLLVMSNIYGIPHLRLLCVNRLSKELDVDHACVTWYCAGLANEEWLRKRAASFCLTHW GRIVRTAGFLHLPRTALVELSQEVDMEGRVVGGEELEFVEGLKGAGFGDPNSLRARKA SVSSQQTQLMESELEDDDGMEMN
SAPIO_CDS1423	MTTGPFLDSAAGRARKDSFVSAGPKPISMTNPNRDQARQRRESL AGSLMGRSFGGMSVGSFVRDDILMAGTSPYGYQQSPSFQSSSYLPKLEANFMRDFTCC NKTLPNLHDLLQHYEEAHAQEPNHPSAKTPNLFQYAPVTGYAAPNNFGQPSITSPLGA PASLAQQARQPLNLGGTMPTAGLQISQHGLSNVNTQSSHLNDEMDTVGDMEMDDAVGP LEMDDSQQRMQQTRQLFGQTGRPQLHINASGFTQALRTSQPTTPAAASFGFQHNPTVS SVNTPTLTTQQGIPSRSTPYNQSPSVESDDLSLQLGGNINLNNNDFGLGNGGTNTTSN DSAFCINDPGKSLFSPNGAATNHQRALQQQLAQLVLEQNHPLTNKELIERFGPLLMQQ EEAKPFRCPVIGCEKAYKNQNGLKYHKAHGHANQQLHENEDGTFSIVDPDTCIPYPGT LGMEKEKPYSCEVCNKRYKNLNGLKYHKNHSLYCNPELSSQLLAAHLQQMPELAATLQ SPSPAPRQ
SAPIO_CDS1424	MKVISKEEEAAHSKQVLTGGAIGGIIGLGVGGVALAFANRRYAS VRNLTVPFKAFLVSSSCTFGLIVNAERYSIAFQKSHDPMHGYKTDAAREIEAALANRT ISEKFMDWGRDNRYTIVFSSWLASMGLAFAIVNRSKALTAAQKIVQARVYAQGLTVAV LVVTAIFEMSDAKSGSGRWRTVLVADPNDPEHKKMIEKKIHKEEYEGQDLWKDMVAAE ERRIAERKKQQEVEAAGKPKAETL
SAPIO_CDS1426	MSDQAHPMVINDHPLPAEASANGETIDSGLTSADTTMATSDERP SKRLKLGSGDVSSHGMTVENGQPNATIAVDSASEPIVAAQVEPSQDHQSTPVREGAPS TIENGASVQSRRSGVAPIKAQYLVKNQETSSGGSQAANGGDGDAEKAGEDGAENGNTA QTSDNAPSPAPVPTHGKRGKNKKERGQNIGRSYGRFEEAVQLCASRAMTPEFSPGECR FADKCKLCHNLREYLEGRPKDTSPEGNICPLYEIFGRCPTGWKCRFVKHHMTTYKYED GREELVLTQKKVASTADGESATTSEDEPILETYAPPPSSTKNIVGKDAKIELSRRRVD FQKADEFGKWLDHEAKINDTFHNRSRHQVDRNLGELRAQFVEPPFLPSEKRRLYFGPE TPALAPLTTQGNLPFRRLCIDLGCELTYSEMAMSVPLLQGNKADWALLKAHDSELAPP NVSPTAKPIVEGYDNSKDLRFGAQISGHSHRMVTRVADILNRYCPSLRLIDLNCGCPI DMVYKSGAGAGILDSKGKLERMVRGMNAVSGEIPITIKMRTGIQSNRPIATTVIGQLA FGAREHRARLGAPGCAAITLHGRSREQRYTKKADWSYIAECATLVKSYNVQLGLNTDT SAEPDARTLPNSKNGKMYFIGNGDCYSHVDYYNSIEKSGVDTVMIGRGALIKPWIFEE IKAGQHLDKSSTERLEYIKKYVDYGLEAWGSDDIGVGYTRRFLLEWLSFAHRYVPIGI LEHLPPDMNDRPAAYVGRDDFETKLASRNYKDWIKISEQFLGKTPPNFRFEPKHKSNA YESVEG
SAPIO_CDS1427	MGNASSKDGGSGSKGYASANGSAGPPMSRSETKESTRSLKSSLR SRIPGSSKTDSPRSSTLLSNGDAVNDRGDAASVKSGKSGRSATSRHSRYEATTSTTSP TDADLASPDAPTHEEEEEEEHRPPSPVVGSSISAGHKDVDSARASGEVGNVSDQPLAE AKSGLHSSLHQPGKPILVKREAQVNVVKEGASKPDENNTNVSMSEIKDIDLDDFIKRL LDAGYTGKVTKSVCLKNAEIIAICQRAREVFLSQPALLELDAPVKIVGDVHGQYTDLI RLFEMCGFPPSSNYLFLGDYVDRGKQSLETILLLLCYKLKYPENFFLLRGNHECANVT RVYGFYDECKRRCNVKIWKTFVDCFNTLPIAAIVASKIFCVHGGLSPALSHMDDIRNI ARPTDVPEYGLLNDLLWSDPAEMEQDWEANERGVSYCFGKRVIMQFLADHDFDLICRA HMVVEDGYEFFNDRVLVTVFSAPNYCGEFDNWGAVMSVSSELLCSFELLKPLDSSALK SHIKKSRNKRQTMLNSPPASVQPQSV
SAPIO_CDS1428	MILGRVPIPIYLLCSDGTIIDSSGCNIPTSGSEPPARYRRSIPT GKNVAQLFKEPEKIEKKAALKPSLMGDVGSLGSVQIPLDRPGLVPDLLTPQTSLEFNY INGFWCGPKCETAAISFSEDFLRRSDILRKLVYQVTMEGFGDPMARIYERRIDARIGR DRMSHHQYIYHELLDDLRTDQACEFLLRDVNFINWYQALDSQQLVIVGDVGVGKTFAM AYLIDELSRRREHWRPRPVICYYYFRAGKSRRLAKIYWILILSLLEQLPGLREAFVEW YKQAEDSGHLDPEYSTTKLKEFFQLALGTLDRRLYIVIDGLDQCDEVSRNDLLGLLET LSQKTPKLRTILSTRPKEDILQRLGETARIELSPDAKRDRLIAEKIVETELSHLSSEV QTFVIEWLSWMAQGSGIWIRMVVEDIQATSVRTLGSMRSLLEELTLLPWGLSKFYVAL LSQCTSDDPENRKMVKMALKILAFARRPLSILELAWAVAVGEAPQEVTTVASLAKWVG HERVMALIYPFVSRVDFSDLKRRQVQLVHPSVKEFVINEFDLSRHPRALIPRDAARQP LLDRRIENLEAFIMGICIRYLLLEEVNKTDLFSEEQAAIQELPQETDLFDDAGEPVEY DRHCTWETWEKDMTRYDPADRGFGEFFAYASCHWIEHFGAISTENRLPGLAVDVERLC QADSTRLHNWIKQNCRPDCTIQPRFPFDSTLYDPLSITSLYGSQAMLRYMVKNSDLHK GEFLPESAMRAADQILHWGDLERLKILLEGTGNQFRRLDFFRLIMRRWFYSGPYQCNW EPAFLLVHRVTDTLVEEKWGNELLCLASNLGCMPMIRELMSNARLDVELRTELLRGDQ RERQLLPIGKSVHQSIGEAVLGNHTDVVEFLLAEEGIEEHLRHINARGENVLHLAAKT HNPAVFRLLVPRFPEGVHQMDKLGDTPLTQLIKTPSPWDDRYECARVLLSQGGGDGAG YPGDEEQSPLRVAVRVGDWFMCHLLLTIGKMDPLSALAVGEDGQMALKDECTSEYKTR ILAFLCRHAEKATSTSASTSAK
SAPIO_CDS1430	MAPEAPCRDVVLTPITALGFLTLDPSPAYARGTYLLVGEDTQLK IYDVDRWRLCGQLMVFAEQSIHGIHVSSGSVLIWGSRSVTVCSVETIAAALGAAQATN RELAQAEAPDWIYDGRISPVDPNSGVLVTAHNEVVPISWVDTPSQGGPTISFGEAVSP SRPILYSAHLKWLSPGCILVAGGTVFGEILVWTCRSDDAGRRTCEVLHVLSGHEGSIF GVHISDEIQLADGGPLRLLASCSDDRTIRVWDVSDASSSAGEASGASTTDLLHEARET GFGSAGIDEGKGVKAPITMAMGHISRIWHVEFPPLTTEKLPTTVLPLYSFGEDSTSQR WELDLTHFQRGAGGETGRLINKDIFSNHDGKHIWAAALMLNDDQSHLIATGGADGKVA LIKENRASSQADNKEGEQEGPASLSTVSHETLPLLEPVQVEKVQKGRNRHDFLHRYAF ITEDTLLTATKFGKLLLGLFSSGGDISWSEVSITEDETRDDIKACSAITSAGPGVAIV GTTTKNLYLYSDGRISKLVSVPGKVLNLICLGQTEASITFLLTMYDNHDVKIFTADLA SRLVTSDICLDGVDERFVLTSAGRFHGYLIIGSRNGFMEGFTAQDDQYVPTIRIDPRS DDAITSIIPLPGQANAEKISFLTTNRDGKYRIYELDITDGNAPRAYLRHETSPPFGPQ IEDAWFCKDGDGPADLILSGFRSKNFVVWNETKRESIAGVDCGGAHRTFAHTKSPVAG HLRFAFTKASKVYIHSQEQTNCSTLKSGTHGREIRAIAAREPSPPTGVSYFATGSEDT SIRIWERASSSGIGSRLRCVASMKIHTTGIQCLKWCGQDYLLSSGGNEDFFVWRVSKL DADFAGLAVFCEAALPDKTPDADLRITDFDVTAEGGDGCLLITMAFSNSTFKTYGYRS PAQGSSKAQGTFELLAVGEYTGACLTQVRQLGLGESGPAACVLTASTDGYIALWRLEN KGSTVSYTFTSATRIHQSSIKGLDLRLLLDSNKPPRYLVTTAGDDNAVAATLVSLDAD NGKLTVSGATIVKSAHAAAINGVVSLGDGRVATVSNDQRLKLWRVREGGMGSLTIVLE ENLPPKIKGLGLGPATIGSPLPRLTSSLPNIYAASHLPAHRSLSTTPTYLKKKDKGSP KSSSKSAPAASSSSSPDEAGHNHPSPNPDDPHNFADITSRFTKLSERYKSDLKQFRQG GKSNPDVIGALPVATKGGETFPLRELAQVVSRGRSISLLVNDKSFVKPVMSAVQASEL FNQQPQRDPENELELVMKVEMERPEEVARRLKDLCHRWRERVREVKTKRDKVIAAWGK DNVVSKDVKHKLGTELMDLVKKELADIDRLEAQAIAQAGKSGK
SAPIO_CDS1432	MAYHVFHRKWRVRKPMWWAMLFELIGLVPILVLFGIEQPDLYRT KFWQIGFDNKLNSNPNMVLYAYANHRPLPTIPFVWSQTLTDFNVAISVMALFFLLTKL VAWIMKVWYPLIALLMNIATVALWTTSVYGQIGPDYADPRYPSPVAWYIRKDCSIAEP YNAVRLCKIAKGTLFVTVYMLCIYLVNLGLAIYSMLPNELDRVEEAEDDNDSIVKSSN VEMKGMRSPTTPGVPYTPGVPYTPRTMAFRTLDRKTPTRIREQLPTEQLKQWQHSDQC PSGHWSAQKVERWGQFGPTSCPPRCALVETHFASSIHPLLYGSNFLQLRETRFQYGSQ GAWFEPTPLPIPAGASSSILGIANPRELAIELPPAIQLKDPSLLKQDVVYINGEWVGA KSGKTFEVRDPATNELIGTAPECDVEDTEAAIKAAEAALPEFKAKTGREIAKLLRKWY DLMVENVEDLTTLITWENGKPVADAKGEVIYAANFLEWFSEEAPRAYGETIPATVPAN RVFTIKEPVGVCGLITPWNFPAAMITRKIGPALAAGCTVVCKSPGETPYTALALAELA HRAGIPKGVVNIVTSHLNTPKVGEHIATSPVIKKLSFTGSTNVGKLLMKQASGTLKKL SFELGGNAPFIVFDDADIDVAVAGAVVSKFRSSGQTCVCANRIYVQSGIYDEFAEKFA AEVGKFKVGNGFGEGVTHGPLIHDRAVSKVHEHVKDAVAKGASLAVGGNHLPQLGENF FEPTVLTGMTPDMQLASEETFGPVAGLFPFKSEAEVVKLANNTNVGLAAYLFSRDPAR IYRVAEHLEVGMIGVNTGLISDTVSPFGGVKESGFGREGSLYGIEEFQVIKTITLGGM GKPLQT
SAPIO_CDS1433	MDVHVDLSNDSSIEDEDAMECDNGGQPRPTTDARQPTPSTGRGG KFSGLELGSGEDVVEHVLPDEWYPIVSANDLPSDLREESKMLEQLSAASGLDATYERS KTGSKKKRLVGDTLDITMRPSPLKRSRRDLLDLSADVDALSPPLPPSSPWPWDQTHPR LTRDSNPEHAAAQSLVGRAKTVTDGRSNMADDVTAVRLPKGLMPVKTPSPGRFGGFLP LDDSGLRTPPSRVLQDIGIIELLDRDSRPTFIVDLSTSTCKPEGKVSIPYANESSRAH PTIRNLLATLDDEGEDANIEISHFKEWVLGVGDNSPSSEAHRINGYAGVIWSYVTLRN RFRVVSGSSTLLSTMSTNGPSAVFSTNGELVDGLDEPAAMERSATHSESEISMLEPAL SKGTPIAGVPSLPSSKVSFDWTRIPLTDDVSEHIRFARSIDWASTPLGPIESWPLNLR VMANLIMASPHPAAIYWGPENAIIYNEAYIEIAGQKHPRLMGRPCDHAWTSTWDQLDP VFREARESGQAVMKYDDHIFVDRQGFIEEVYFTWSMVPVLDDEGEVVGVYNPAFENTR RRIGERRMLTLRRIGERIATTTEVGSFWTQVLKGLGSNAYDIPFALIYSAKDAADSDS SFAIHENGWKPSQLVLEGSLGFPANHPAAVQQLDLDSSDEGLAPQLRDALEAAESPLM LSVKEGTLPSSLLEGIEPRGFKVPCQKALILRLRASPSESDAVAAFVVLGLNPRRPYD DDFRLFINLLGRQLEISITSLTLLEEEVRRGRTAAMIAAQDKLKLSKQLIQRTQEAVE SEYRFTRMAEFAPVGMFIADPTGFINYCNDMWWEISRHPRGDNSVNTWMESVMEEDRP EVQKVWKKLTEEKIAITHEFRFSHTRQNADQVMETWVLMSAYPEKDANGHVKSIFGCL TDISTQKWAEYSQKQRREEAVELKRQQENFIDITSHEMRNPLSAILQCADEVYNSIGE FRAGRGEYRDLKSLLDCCADAANTISFCASHQKRIVDDILTLSKLDSQLLMVTPVSVQ PVAVVERVLKMFETELASRDILLEFRVEQPYRDYGIDWVRIDPSRLRQVVINLMTNAI KFTQNREQRVIVVSVSASKQAGENGESDVTYFPSQRENTDITKDEEWGSGEEVNLHFS VQDTGPGLTGAEIKVLFQRFSQASPRTHVQYGGSGLGLFISRILTELQGGQIGVSSTK GTGSTFTFYIKSRKTDPPPHDEIQHGENMQATVAAAPSSVGSPGLSNDNTSNNNNNNL PGLPEDSLRKMDVLIVEDNIVNQKVLQRQLRSYRCDTFVANHGGEALEKIRNSRFWKG KGPDGGNISVILMDLEMPVMDGMTCAKRIRELQRDGTITAHIPIIAVTAYARPQQIES AKSAGVDDVISKPFRLLELIPKIRELAERYGTLPPSSHK
SAPIO_CDS1434	MADEQKPVEVPKDAPVAETPAETKPAEAPAAEDKPAEDKPAETT EAAAATETAAEAAPAAEGKKEEVVPIEEGTLDHKGAGANFPKNLYYSKQPFWFGSDAV EAKSLTSYLKHEKAALVAWHNASWAQHTGKGLLFFGDKSTPTGIINLAEATEPQTDGA TKFHFNANGQKHSFKAATKAEAENWISQIKAKINDAKEIAASIKETEAYKAAYEIYKP TVKKDEKKEEAAEEAAAPAEEAKPAEAAAAEETPAEGAKEEPAAEEAKPAEEAPKEEE EPKRRSASRKRTSVLDFFGKKEKKEGKKEETKPAEAAEAAPAEAPAEATEAPAAEEAE PAKEAPKETPKEKHGSVKRNSFFGLIPKKDKKPAEEAKDAAKDGEASATEAAPVIPPV ETSTPLAAEEAPAAEATTEEAPATNGESKAEAKAEKPKSPSPFSRFRATIKRIKPTSG EKAEEKAAEKTDDKPAEAKAEDKPAEEAAAPVKAAEEETENKPEAAAPAAGATVTAAA 
SAPIO_CDS1435	MKSLQCLRGQQARFLTCIPHGSSVRSHLHACRLDTRPRCTYIAG SRPRRATLYRPISTTAGVLGEKKPYELETEAELYDYTQGRLARLAAFQQGEDAILGDM HPRLEHRSETMSVADFLAANEDVEVHDPNSDAVTLYGRIRSIRRHGSFLIFIDIVNQF QSIQAMINWGQVSQTSSITKQQFKLFAKMLEKGDHISITGRPTRTSTGQLSIQATVLP ELLSPALEPVPDVLTEADKKIQRRHLDMLVNPEVADTLRLRAGILAYIRQFLQERKFL EFQTPILADNAGGAIAKPFVTTSSEVPTKELALRIAPELWLKRLVVGGVHNVFEIGPA FRNEGIDGTHNPEFTMCEFYSAYTNLADLVHRTEELVSGLAQHCHKLISTELKSLPAV DVNLFKPPFRYVEFVPALEKALGFHLPNLASENALPDMITVLKLANVHIPGGPPTTLP KLLDRLAAVYLEPLSFEGPIFITHHPTCMSPLSKSFICPKTKQEVSARAELFVNGREL ANMYEEENNPEVQLRKMLQYRKGATIAAGGRHGDVLDDGTAVYEQTQAEEQEQEPEQE QKEFEEEEEIVDEEAPPVDLSYIQALRAGLPPTGGWGCGIERLVMLFSGAPRISDCLS FGTLKNVTSLNANASEVLRAKTLPKTGGRDKLEALSRKIMEKESNSAGESM
SAPIO_CDS1436	MAQQPLPTSQAPTDTYGGDEVSAIVLDPGYCHTRAGFAGEDSPK SVLPSFYIHDTEGDANYFGDEYFVARKKIEVRNYMNRDSVVEDWDAATQIWENILVNR LHAPKPTPPSKNGLNDYKNGDSADKGDQADVKKDSEGDVSMGDGKEEGGKGDEEIKAE EQEKPLAENPLLMTEAPWNTPKAREKAVEIIMENWGCPAFWLSRTPVLAAFAAGKASA LVVDVGGANTSVTCIHDGMVLKRSIQRSPVGGLWLSAQVRSMFDKNEPKVDLVPTFMV ENKTPVDAGAPPQFKQRQFKFDLHPSFRAWEEERLLTEFKESVVETWRGPGKYTAPGN EEFIRNQPGRVFEMPDGSNQMWREQRFRVSEGMWDESAAYATADEFRLSKAQTIPELI RASLNAVDVDLRPNLLQNVVVTGSTSLINGFGDRLNNELATMYPGTKIKLHAAGLSSE RRFGAWIGGSILASLGTFHQMWISKQEYEENGPNVVEKRCK
SAPIO_CDS1437	MGRRKIEIKPIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VFIFGTNKKLYEYSSSDMRELITRYTYHGGPNEHKGPSDFNGGGDDDDDSAMEGTPPQ RNSMDPQMMPPQFQGQPPFPHMRNHTPSASPPIPNGVPFQQHPGHPVQRGHTPQAQLG SRPASRNDSRRMGPGMMPQQGPPQAPPPPQVNGYAFMPNPAIYNPQNPPPMQHGIPAH NPQYPYPPPQPHGSPPQAHPQLPPQYMDDQRRSSIPPNYPPPVPQQGPIPRPEPSPQQ HPQQLPQPPPHISPPPPQPQQLEPHPPQQPQPQEPQLPAPMPPKSEPPERPRVPLLNT DTAIKRLPQRKQHSIFTPIDENRSILSQHLASFTTEPERIKTESNRSQSVDGGSVSRN ENLTKSPPRPQRANTQTMPKPRPPVSIPETTFTPPSRSNSLKVGGGVGRGPRLKVQIP NGGGQNGDSATAESTSPRNPADATPQTTRPPHMIQVLPPPSPSASARLSAGATGPPNP FARPPPPSQNNGGGMNIDTPVSALPSRFLNTEFLPSPSSFYPNWDFRGNDSNTLASPL NFATPVVGTGPSFLRDDNSTSIGKRKSPEAASANGATDTPDHSQEPKRVKVD
SAPIO_CDS1438	MCVETTVSTPRTPPELLGPIALALRPKPEQTAAETQSNVATTIS APKQTLTSSTGLNTGLVVTDADADKPSSPPKNTAPPALGLDHGGLSFGNIPLLNMLDD WHSRTDDPWNTLNSNKQAHDGQTHNDPTQPSKGELNIIDITDPISVDTCGEDGEGATA PHLMGLSECADIEHPSNNGG
SAPIO_CDS1441	MVSYKLQFLVWATAFAGALAEDFEPGEDGKFTISSDGLVAKFIP YGATLTNLFVKDKNDEDVDVVLGYDDLSRYPDDPGHPVYNAIPGRYANRIGHGEFTLD DETYETEQNDGNNTLHSGTNNWSFRDWNVTAVSDDSITFSIYDAEGESKGFPGDVYAN VTYSVAGSTWKISIEATAPTKRTPLLLTQHTYFNLDAYKNPETDLIWDHVLYLPYSAR YLEADQGALPTGKILTAEPGSINDFASAASLPFGHHKDDPAFKGNCGADGACEGYNGY WLIEDAPEDAVVATLASAFSGIKAELKTDQPGVVIYSCSWMGGDQELKNSTQGIEGRT KVEKSSCVAIEAQDYPDGINHPEWDRLDAQITGPDELYTWESSWTFGLLGDDSAGEGE GEGEKDACEEEEEEEEEEEEPVKEEPPAEEEEAPEEEAPEEEAPEEEAPEEEAREEEA PEEEAPEEEAPEEEEEP
SAPIO_CDS1442	MASPLADTLDTLSQKLANAAEGIRSGNLSLETDIFQRMDLIKAG TDLIDAASVPKDKLLLWLPQFAHITAVRLFIKWKAFEKIPAEDGAAISYTELAAKLDA DVSLITRLGRALVANGSLKQIGNDSISHTEFSKILTTPNPLWAMVQLGFDDQLAAYVA MPKYFDRFGLATEPKDRLQTILAFAEDRLGSTVWEINRSSEERLKVSTLAMAAIEDFM PPLGVYDLSWAVEEVSKSESRALVVDVGGGRGQALKGILKVTPGLPRHRCVLEDLPEV VEANRKDDSELADVKMVGMDFHKEQPIKGALVYYMRRCLHDYSDEECVGILQQISGAM ESDSRLLIVETLLANPPTSFQAAMDLMMMTISGKERTLENWKDVTGRAGLKITKVCQI PGGSAVIECALA
SAPIO_CDS1443	MHARLLTAISSIFALSAQGVLAAKLPQATFTVDVEEPVATFTSA EDEYAARGFAVVQASFSGPWGINGETLTLNGTIEEVVAQVEAINPNFDWNAGVQVNRG NGFRDRVVARKDCNVPNGRASRTPILDGVKYLYTKPKDHARLQVRTCSRVSCSYNAAI YMCWDPVPGAPDVYEQPWSYVADYAEGIATDWCPVEYRKNKGYWVGGKAWDPVGLGVD VRLDKC
SAPIO_CDS1444	MSGNPLLPAAASVARSQFAPEDLHSRANAMLRASLASGDIGESS HTPQSSITSSQPSRDSLRSRAKAMFRASFSRGDPRASSSTTRLSKSPPRTMERIEAGD ALPSLPPSYVTEAEYQIVQAPSSPPPTTTSTMMRMKYEQALVEKREAERVAADLRREA DLGPSELVAEKRVLELKYEALKAKKAASVRSGSGTELFKKAYSTDVLFLMDTTSSMLS HIKAAKDQIKSIVTSIKTAFMDVADLRVAIVGYRDHEDDPNIEFLDFTRDTNEMRRFL SGLEATGGGDTPEDVLGGIQQALKANWQSQTRVIIHIADAPPHGRDLNDLEEGEDDYP NPGSEPHRLTYEKLIKQMIKFNIHYTLLRIHKFTDRMAHAFFQVYAAASGGAYCKLHP SNVFYGRPSGTTEASRNTTTATAVQFQELELGISLHDLKGLVLQSVTTSASIVGTHLA ALSTSRALIPRLFGPSSVRLETTPPRWDEEGWLDQTLVVEAYFPDVVIDGRVYLDDMM KDDENIKITTTNLTIHMRSRPFDQGAIRFASYARTEASTNKLVVKTFKDGGKKKLAHL VEDMRCQALCKAFAFEFNALLKLEYSLDFVIAACLKPKGPGSSGKLMSLEPFIKGNYI KYSSNFGFVADLPGDKINMAAQAFSHFTFERSRGNFLTRDPRQFGLSETNAGESGIMA FFATHKCNSICRKLGLRSDKTWRELIVVKRAHETAVMIYSKSGFTVSTIPVLHNAVKS IIFRCAELLMAFFIYKVFSFFAARSNQFTSYLMFAEDYIQRLLFLTNRGLSRAGLIVF FK
SAPIO_CDS1445	MRSLTPLIALGTPFLALASSTVPQALAPRQEAEAPKWNFTDYAY FYFRGESRPDGEQVYIAVSNNNDPGSWTTLNNGKPILISDVGYYKGIRDPVLIPNHDR TKYWVISTDLKVYDYGWSSRFCFTCQGTHGIVIWESEDLITWTGPTYPTVSPENAGMT WAPDAIWHPEKEAYQVFWTSKLDGADALHIMRSFTTDFKNFTVGERYVDRGMDATIAY ADDTGKYYFISKNGPAEGIEHSTADSLEGPWTKLGDRIGLNKMKAGEGPLIFRNNVNP DKWHLWIDAYLDGGGYVPFETEDIESGKWTPSEGYKLPADPRHGSVVPITAAEREALV TLGENMLARKG
SAPIO_CDS1447	MRSNRKLPASRADPVWGRPCSARSAHSHQNDPVVEPQPSSLYRI TDSIVVSQQGGSRVGPPLLDNENSNTHSHQNDPTAEHQPSSPCRVADSAIVSQQGGSR EGPPFLRKKNSDTTTRRRSTVAKKADIKLQSGLVDVDHPRVPISKQEKRKRRQSEASE SDDIILDTIVVATEAVDVIGSSSAIPGKQAPSTAKKSTRMSLRTPVTFDCVRRRRVTR SMGKNTISHCEPTPSKQTESHIGVADLPVTPLTQGPVKKPRLVRCESEAAHLCTDAPA RPSYSQSFPHGHETILTPSNGNLCGFYAFILSMTAQHPEKIKPTVDELCRVAKSDEMK EIIDLIKLGSDDPDHEETTSNFRADHLARIIQLWGRQHGLDILLAVWMDAQPPLILDS GVITAATITVWIHHDNAADEVNNVLGHYSGMRRAACRVIADSQSPVSVASYLQRRIRS TSPTAISPCPRRIDRSLIIADSQSPVSVTSPERSLMLPDSAVEDSAPIHCSTTRAKVV ASTQSPVVRADPARDRPDSTNRSHLGPDLFHPKGFCERAVEEALKTITVKRKAKAKAT LSTEAPDEDPFTFVYNHPKLTLHPDRNYKADVNSSRRGRKIAWELRAEKGGQHRKFCR LFATPTRKYLGASTAHVTYTRDEALAICRKLVDALNRWPDQEAISWSGQMPATLTTTF ATLFLAAHCSAIPYKPLELPLPSVMFDPTGRCLLTQGFYRLDPETFTGVLGRRRLPID WIRTDAPEPQDAVFVTPVHRGRQPKIVRELDEIENQDVQRCRRFMRYLSSRWARMCPL KTSAESNMTWYHETGKFRSDAGKYKWAYDGGAIVYCERIYSCDWTRIEPSKSVRRDIS GELPNLGLCSWDIAWRNQVLSVSLETWNATSDILATWLSLARAVDGANAQIFAGELPP NPCKCTPEMTQIVQHPCSACGIDMSCKSLALDQNNIRVCSRCLAGAHGNRPPILEARV IRIFKALISRDRIAMGLRGSLDNTPYSNALLSWVRTTLDHRRGKAYINQYSGKLLGAL SSPKSQRHPLSLSCDAIFPFSLDDTGKAAIHWVDNLAMVPWALNACKHTYLPVVLQAL GTYRRSLLALSGPIKSHDHVVPEEVARLQCALVVDCGRFTDIRRKFPKKRRSRLQLEV SPEQLQYFREEWISGRFHLGAPAPIETRCEVRVIRHPRWLTARSRLAKLADEMQRWAG VRLPQRNGCPYFAHPMTMPYDWSWTLAESIFTERLFRMRQHCNRHWITEDTPETLFLE AIFQCCVRHMAVASVDPESNIKKVLQVKYAEFLGLPLTVERGNPLCFAIAHRVHGQQM RTGWALEPTRLGDRVDERNNILVEAAVSNYLKMNFDEAYYPMLQNLIAEINMPREIVN PELEIGPYDAALDVAASTHGRDGEESSDDDWDQLSDEDVVERDNIEEDADEDSALGHL D
SAPIO_CDS1448	MLIHFPAPSSQHIASPASSAFFASPSANNLDRTSFEASLVILIS FETICPSPSLHSPSSAFFASPSANNLDRISFEASLVILSSLSKQSQGKTKTQECALVC EGSSGMRVIGGQKIGYPANHRTMFFWSSQPSKLPCVGIRILFPRSSNPNVSFFGLDGR PNAYLGIEVRLHVGTWTSTAEKLDGERLEALPKRAAEKAGPMCLLRFKLKDGQSASVE GIGLPFDAANDDDDQVVNHGQPLDGVRTLRDICTQTEFVVLFGGCMMIPRGETCFQQD FPKIIKPVFPYSTGNWSMSRYGQEIPTSAGSRNYALTYRFDDRHDYMVSVSQGVVQDV FQLAEDVRAIRQRPVEVMFLRTCDRADNVAREFTVLIWHGFTERDSFSPSLPRLLSKD TRLALAFGLPPPHVMHDDSEEGTKDTNFWEARPVAMEKEWSDAECDIAVRIRRPRQKD VNSATINCPIHAYDSFADGKKVGLEPGKQQYYLKFDAGLHVARRRVEAAHAALEEDED EDELCEKQINHILKKDFQTGSGFRSLLGNTTLGSASHTTADGVESLTTSLDAMAITAP QPPTPPSPLTLPHIDIFGSVNGHISAAVTKRVSDEARFKKYLEVTRLGIVAIIGFAGS GKTQLLALTARLYMGHPDIGKLVCSAPTHVATNNFANRLHRICQDIAKETSSRSPLVV RGYAVRTEVAAFIKIAAGNSKGAEEDIVDPYKTGRWSLNLSPCEWLLKVVASPGFSLS ATDADCLHTLHHHIQDSRKFEGLRQFVAGNISFGEIESYTKEANTETPFEMVRGLIED IIMLADAVCATPFGLSQAPYAKFNRTEAKGVVLDEAGAMLQADALLVWGRGCRPCIMA GDPRQLPPTVMTHGETRNGKVVNMFSEFAKLSQLEHVMRMGWPCFVLNVQFRIVAGSF DVARSIIYSDVEDFKYAAKAAVSANPVAAKVETWVKSAYHAPASPADKILPLFFDCVG SKCLQDEEAKSRYNPQQNAAAVRLVEGLLRANLGLKGSDVIIITPYRANFDRLQTAFS QHPLPVCRDVVINTTDSFQGREGMVVVFVLGVTEETGPLFVADHHRICVGLTRQIGAL FVVGDINTLRPRGKNEPTNPRNNTMNALLGYFRDTKRIVHVDALGNRTNPPARPGGVG GVGGSGGVGGPGGVGGGTARPREGRGRGARPGGGGGKGKVSASGQGSGGHARGGGRGQ SRGQNRGH
SAPIO_CDS1449	MATSLFAKRISPAVFGRRIVFHHQRYFGASAIFMARISDAIKQD HQALQEAHDNIIAAKDPEERIRWRNLFAWELARHTIAEELLVYPAFEKHLSDGKDLAA KDREEHLLMRKELQELQAMTADHPEFIATLSNLWGNFRQHIQEEEHEDIAALEKAISA DESDALVRSFQRTKMLTPTRSHSSLPSKPPFENIEALLAAPLDRIRELFAQYPEEKSA M
SAPIO_CDS1450	MVQFKTSFLSTLLLDPIQPEDLHFRYLTSTTTHAVSPYIAKVTS SVAPKTRKKDEFETVERYENPSEDPSEAFGVPAGQS
SAPIO_CDS1451	MERADRVGALRNHLYINHRSYGGLEVLPSELFYAGRMHTEIPAD EQYPKSLQHLRDFLEGFTAHTPNWAMKRAKELLADTEFRWVNKVDKPGTIMIIAPYRT TINNYCLLVHNLSESAKGEWMYE
SAPIO_CDS1452	MYDRGPQLQAVFIFLLVLCVVTVALRCYTMAFIVKRLGVEDWLA IVALILYVVYTSFALLSVKYGTGRHLAAVPPEDRPVAFMWRHFATIVYIVISTLTKFI VGLLLLRICSHIRWMKIFIWVMLVVVGVYNAFYFFLDIFSAQPVEYYWLRFAPNPPPG HVNDTKFAIIPTFIASILNIIVDWALAILPMILLWKAKMDRRTKISVIIVLTIGSIAS VATIVRLPYASQLLQNDEYLYNFTDFAIWSTVEIGLALSASSLATLRPLFRKLKILSS TQGHGISHQNGDGKPHSYGRLGRQSAQLAPHADLESPQAIHDATPHAIYGHRIQGSGR RKWEDPESASESEICMVNLRTN
SAPIO_CDS1453	MSAKTFFALAFAAGALAVDLKTCNKNIQDRLANQSLASDASIFY FDGTKYHSDPRNLALTIRGCQAECPQPNFGLYEDMWPRLLTWLFPVLLMIGNIHLPKV GGLNRILVIFHYIGDPIDSMWSLLTKAEAWNRFYHIARRHEDATNPGKSPTDKDVRAR SYAALMAAFHELTDNMRTTQAELDAIMHENAAQLSDEDMTYILKETADELVDSRTNEI MRSALVIINYLWTVIASLDPHIGGEQSSQPGGRIGTAMFLSWIMTAVLLSNTLSGFSS RRTCLRVMERYLRTIKGRKRDMHYFPNSPHLVSQSRWIMSKKRPDRLGDYFDSQPWNG SVYSYRRDKDLVLSKTKNDKSPLYLLCLAALPPLVAIVSAFVIVYETPNVGLGCRTLW VMTLGISLLLSPVITYFLNKLPSKKISWYLVVLKDICIAVPVLATVILSSIGIFNTCW CWSAIYSRGFDHAFVILDPVDERERNGKTIYPGLVGLCLGLQVVVFFAMHRIMKPGGR LFRLDEKEKEASYRNAHGDGTPNMEPETKSYYNSSPRGGRNSTSPLLAPGTTEMQDLE LPPPAMENDGGFANQRWTRPSPRASPSAAHFSPVMASSWELESSLAIPTDKRMSSASK RSQLPIGQTFSFPVLHGSELVSISASPVRNWMQYSNFTPEALKIGSEGISFCNVTVTY THPGQGDSVNVQAWLPLTSWNDRFVGVGGGGFATGEFTGDIIASLIAEGYAAAATDGG HDYREALTAPWALVSPGNINWNLLINFSYLALHDMTVIGKSITEQFYGQKPRYSYWNG CSTGGRQGLMVAQRYPNDYDGILAGCPAINTPEHAVGILWPQVVMGSMGYFPPSCELE AIVAEAIEACDELDGLKDGVIAAPDRCKFDPMNVVGKTFDCNGIKATISTEAAQIARA AWQGPLTEGGKSFWHGYPVGTPLTGPIAVANTVCEESTCSGLPMPLGVDWVRNFVVKD PSYDVRKMTPQDF
SAPIO_CDS1454	MPPAEEDETTPLIADEIAPESRHPRGSRRALTTIIAAFSLILIL SISSHISDAPLTAILEDIVCEKYYASHPDDGSEFFSDPESKCKIEPIQSEVAFINGWK DTFEAAPGIILAVPYGALADRIGRKKVLILSLIGLFLEDSWLRVVYWFSDLFPPKAVW FLGAWAIIGGGATTLTSIVFVLVADVCPAEQRTTAFSQMQSAEMISQLVFIPLGAALM SVNKWLPMMISSALMVLGILLALAFLPETLPAKKKAEDEADLITEREDMDDRSSPRQN GTHTADGKSTAIKKAVAKVIGMINTHVVLTILAFLAVYLGEQVQGPVFLQYVSKKLHW TIAKASFFLSLRAGVNLAALAFVLPAFSSLLMKKMDEVSKDKRIAQFAGIFLAFGSAV MALASTWGLMSVGQIFYALGLVLPVPMRSLITGMVEQQHLATLYTALSVTSYSGMVIG RPLFAVIFGWGLRIGGGWIGLPFIVASGCFAAALGAVTGVTLGSRIEI
SAPIO_CDS1455	MAAAEARIGNIIKTLRTSEIHAARIFRRLLAATVLLSYATFTLS PFLIFATYIAAYGGSNADIDATRMFSSLVLVALLGSPLIHIFQAMPSLGAAYGCFKRI RQFLSTPERSDPRQTLPDPDEKSANTAALSGSLESDLAVSMTNVSLAWTQDTPILENV NLTVKKGQHVAIVGRVGTGKSLLLKSIAGEAEQLGGELQVQKLDGVAFCSQIPWLENV SAECNWVQYSSEQEPDWQTRVHEACSLKDIASLNDYRTGTVGSGGVRLSGGQRQRIAL ARAVASRKPLLLLDDVFSALDRATRDHISLELLGKNGLLRKLGTTAIYSTHNSQIARL ADVILEITDVNGHRIVREVQQLPDDLDDDVNDETSEAPEACGPSTDVKKESDATNAAE VKRASEGADDAEIVPSLSSPVRDREVYMRYFRAMGFGNAAIFMLFAAAFAITLKFPDV WVSWWSAASSSNDTTHSIQYWLGIYGMLVILPLVTISLWVAHLMLRIVPASATSLHAD LLKTALNAPFAFMSGQDSGSLINRFNQDLMFVDSMLPIDLLNTCSEMFVGLIQVILIA IVANQALAVLGPLLAVLYAIQRVYLRTSKQLRLMDLEWKADLHTKFGETCSGLAMVRA NNWLDSMRAKFFEKLDRSQEPFYLLYMVQRWLQLVLNLTVAGLAVVIAGISVGLKDKI AAGAVGVAFLNATTLGETLTNFIVSWTSLETSLGAIARIASFERDTPREKDVSMAVDH HQPLPDNWPSKGSISFENTWCTYSVDSNSPVWNIRGLNLDIPPGTRVAVCGRTGSGKS TMMLALLRMVEMPIGVISIDGVDISKIPLTELRSRLYTISQDAFDDLEPTTLRQQLDP QHQCTDDQLVDTLAGCGLWDRVNNLGGLDMKCDELNLSKGEGQVLAICKLIGEKTVNP NVSKIVLLDEATSSLDDETEVKLETLLLDKLRGHTVISVLHRLDAAARYDKIAILENG VLVDYGDAKDVVSRSALFQRGLSQ
SAPIO_CDS1456	MASSFRVVQHTVNGCHTRDHIAATVNGDADIPKLAVKQYIPLDN PNPKPGDVTILAAHANGFPKATISSTPRRDIWPSREAAAKQFKGSKFFHAWDPRVLDR WIEYGLREVPTELYPTDGAENGDDKRVTLTTSKHQELFTFLRPTYRGVPAEQYLDKDP IADEEYPGYPFYRPEPLQVFRRLPELRPSVLYVFGEKSELSTPELREKKMERTGTGVG GSGGAAAGRVKEVVLDCGHLVAMERVAECADAIAAFLGDEMKRWAQEKKEFEEYWNRK TRREQTTIDEQWAEQVNPNRRPAGNTKL
SAPIO_CDS1457	MPSPSAHAEEERSPRETDAEAEAATADIEPDYSQDDEGFSESTS SSYVTSIASDIRRGVIENGRVYAAYGIHKSWTPVDEAEMDRNELQHCKFMLLMNDELY LAPITPDPQKILDIGTGTGIWAMDMADKFPAATVIGFDIAPVQPSFVPPNLHFEVDDA EADWLWEQNSFDFIHGRELILAIRDWPRLIAQAYAALKPGGYLQLAGSYPEFRSDDDT LPADLAYVELGQIYFEMSEKVGVSGRDLLEWKQQLIDAGFVDVVEKMYKIPTNPWPKD PRLKKVGAFELLHFRETISNVFARGYTEILGGDPVYLEVLLARARQEVLDRNMHSYVP YFVVYGRKPEDSHVHEQAEPLQPTA
SAPIO_CDS1458	MSLPTPEEVQALVADLSTAAQAYSTAPDLEGYMSRVQLIAKARQ LSRALITPDQAPNYHGLNMAELIGIRSFMKHKVLDAIPSEGSISLEDLSKATGVQDSL LVAAGFLDQTRPDGGDYKHTKFSQAYITANPSPGHLFLAMYDEWFRNMHSFDEYLLKY GLHEPNDPLRNPYTRTHNQEGTPVWAIMAQDPERIQAFQTGMAGIDVAIPVVGHFDFS TLKNTPEENEKGVVELVDVGGGHGVVLNKILTTHPELTPKNCALQDRPDVIEMAKANA VLPADVQLVPHDFMTEQPIKGAKSYFMRMILHDYSDEVGIEILKNLAGAMSKDSRVLI CEMVLPSRVGEADFASAVLDQAVMTMGGKERTEDGFGKMLEAAGLQLVNVWRVPGVPG ACVEGRLKE
SAPIO_CDS1459	MWSYVQRRHIRRIVRDELLQRTEASEKPGRTTLPLRAPDRNAPS SPKNTISAPPSTHDRSPTDLERQRETNAPPDEKESDVIIVRASGREDPLDPRNWSLVA RCKNIFILSLLIFVQGWAGAADSMANAQASREFGVSQVAENLSTAMYLLGVGSGAVFA GPFSETFGRNPTYLGSTFCYLGFVLGSALTPTFGGQLACRYFVGLFASATLSINGASV RDQFYPVKRAWVFPKIAWANVASPVLAPVAGGWIVSNPRLGWRWTEWITLIISSFAFI IALLFLPETYLPLLLDWKAKELRHATGDTRYTSEHAKSKGYFKTLKRNLPLTLVFART EPAIIVLGSYLVLLYILLFTFQSGFDYIFRETYQLSTGLTGSCFAAVAADPGSNPSID YGRPP
SAPIO_CDS1461	MAPPDNHHPSTRFSLVPLNDRAKAATAHPNNAYIARKSDDGKIV LDIWRVLSTSGDTTLATLGRTGDVFVEGSNISRIQCSFEVHPDTGLVMFQDRSRSHTT QVFGENVIQFAHGRPRKVVLFNDTQTIIGFGGEHQDSVQFEVKWLHEAPQREMALAEE REQNPRQAQTIDQDNTALPSQRQTRIHASRLSEPKLRWRSAGEELGRGRSSVVFKAVN CDTGNFLAVKEFNHVASAEQRDRLKREVEILSSMSHAHVVDYMGIEDCNGFRPKIFMG LKDGCLVSLAKEECSSQLALDVFRQMLDALDFLKKKDIIHRDLKPQNILYVRRSPSDP SGGYRFELGDFGFAIRATTARGDAGTPIYMAPEIVRGEPQSHKVDVWSLFVTMLWLWD FDGFRNKSWELMTRNMVLDHILGISEKPLPMAISAMAAENPAERVSAADMLRMLPTLT KNVAQTDSDPPASRPG
SAPIO_CDS1462	MPLPLPSTFVTSTIPVVFNNAYIIGATSPNIRTGHPCEDGWLLA DFYAFNYLLKDLGTSQIWLTVEDPRKYLSAYPDIKFLLHGNPYKERKIALSDDLLDQA SFTPVTVVDNTDIVETFLSHVTGTSQDARGDATHLIIFLFCHGGNEARFILDHSDTAG GVTLSQIREAIEPGCQVTLISSSSFSGGWIARELHLESTGPAWKIIPSAAGPDSESNP WAQSGSLSRFSGAVFARSLSESLNQETTTSLVNRPDERAEGTLIQPSGATAEQIMTYN AFCRSILDICGTSEHGFSFKAQDDPWDYPSTGRLGVPLDHFQQRWQALPSVPCTRSAH EQPNKDPSSSKVNCPTDPQAFQTGSASVLDDDLAKLIRDNQVAQMAAMFLETCPNDWT RGWGPGFYTELKRASNKEDALDEDLDIVAAIQFRWELGLLADQIVEMFKLPVPNSERC LWWDDVAWKRSLWNGRIPGASHLKTVVFLALGRGGFEPSPCPFQGPPFPRFTLYVSAA VVEAQLGEEKALELVEELLQFMRDVKAFYKQKVQASEAVMEKKNNWVKSLLSRAR
SAPIO_CDS1463	MPLHQFDYLFAIGTIFAFLDAWNIGANDVANSWATSVSSRSVGY IQAMSLASILEFAGSIGVGARVADTIRTKIVDIDLFEDEPTLLMLGMVCAVVASSLYL TMATKIGLPVSTTHSIMGGVIGMGIALVGADNIHWVDKKGGINSGVVQVFLAWIIAPG ISGAFAAIIFTITKYGVMLRSNPVMRGLMFVPVYFGITASLLTMLIVWKGGSITLDFT DGETAGLIIGVGAAFALVISIFLIPWLYRIVVKDDWQLRWYHIPLGPLLLKRGEPPEQ PEGAAGGIKDFYEGHLTKEELDALRGRTNRHSDVEAKGADDSEAKAIDQNGSDIDVPR TTIPHKSIVGPKPDGPWYDASFLWWGFKWIFLRGVDQDILNQQKKQSMLTGDLEEMHA HVKHYDNKAEFLYSFLQVLTASTASFTHGANDVSNAIGPYATIYKIWDTGEIEGSKSP VPIWILAFGGVAIGIGIWTYGYNIMRNLGNRLTLHSPSRGFSMELGSAITVIMATRLK LPVSTTQCITGATVGVGLCAGTWRSINWRMVAWIYGGWIITLPVAGIISGCLTGIIVN APRWGYSGV
SAPIO_CDS1464	MLDSRSPFRINFFQAHVIDPIFPPAQWHSIHGESIHQKLVLRAH GALCTPHPTPNPPNVDVALMSAHACLLLAPPVAQFPDCLPAKREIHGNP
SAPIO_CDS1465	MRWATITATATLCLTGALASVGKIDGGLHVRFASDSKAALFGRQ AVDNLCGPDNDNAKCSGQRCCSMYGYCGEGREYCNRFSCQPDFGWCEGQPIPPPEPTT TAEPTSSAEPTSATSVESSEPPAYSTSASAPPASSSALPSSSSAPSASSTSAPEPSAS STSAAASSTSASEPPASTTEATAEPTTSETEGTPTGSSPATGETGVPELTISESGMCG NATTCAGSTFGNCCSEFYFCGSGEAYCGQGCREGFGNCEQEAPGTPETPTLEISTNGM CGNGTTCAGSTFGGCCSFYWFCGSGADYCTGACRSEFGECQGAA
SAPIO_CDS1467	MSPGSVPAVSPTRWEALSLFNKDPKDFTEGKLHGTLYRTVEHLS TKFRVSLFVDGLDEFNGDLKSLIGLFHMLVSKFPIKVCLSSRPWVEFEAAFMAKPQLK VEELTRSDIMAYVTVKFCENPYFSELQLRQQENANKLITSIVSKASGVFLSVKLAVSS LLAGLNYGDRMEDLERRLDLLPEELEQLYERMLDTIDPFYKEHAAQYSQLFRASLEPL LIHFSIADETADETALTDFALRISPRFWLVENISSRERDMQRRINSRCKGLLEVRRRP EGRVATVQYLHKTVMEFLERVDIRQVPSLRI
SAPIO_CDS1468	MVSFTELAVVSTVLAVAYGHGVILNAQGLSGSPASVAFKVNPEI ARNCTTINPCQQDSTIICEAEIQANLVNECGRTELTGNIDIGEETENALAAGAVTQVK RGTNLEVTIHQVNADGAGPYSCDLDVTSNAGRLSGQIPLVVTNNVPGVNGFSQAKAQD FVINVQMPDEFQCVGVRCRNNAVAGPFGGCFPVQQTDVDPTANTPQNIKTAQGIDATL AQVLSNQKDLPAAVQANANAGADGAQQNLAAVEALLGISVTTSVFPQQTLALPDVSTD SSAPSATSETGNGNTNNRGQGRTGTGGNRGGNNNNNNGNSNNNNSNGNNRNNNNSNNN RNNNNNNGGNANKRSNLRWAWRMN
SAPIO_CDS1471	MQLKTVLIASLATTVSARIHGIGFPKTIKAGDEVTAIIGSANYI QSVYDVAIAFGINREAAAFPDTLGPVLGSFYLGPEDGRYVIGAALLSLYGASVNPVLV GYNVTVTIGEETSEDYVNSWDEE
SAPIO_CDS1472	MSKAIIIFERIQLVIRVLTLILSYGIIALWIFVAVKWDDPDGAR ESYLDARALRDVNLWFGIPLVCATFAAGFDTWEIIALSGPVRTRARPGVLLTHEFLNI LCQVFGMVFGYRSPHNELYYNIADSGSHSFTKMYNDVAKVSIAFLAARCFMFMIVVFD ACFWEGGRELTVRRRIPEWKNQI
SAPIO_CDS1473	MAEKATAGTTAAEGAAIESTAPEDATPQGPTSLFDLELIKERRL SDQDRAILDALCGAPNTTPWDIAYQLDWLCPLLEEKKEAEYYFWRLWGIIVDIARSPG VTDEIQEYLVLILRSLEQQAKGDMIVWGIEKRVWADLPLFPQYMELYFQDPLLEGTYT PKSAQIWRNLNLFGARCLRVNLLGAYLRATDALRHALEEDLRAHNDVSIREWRLQVAC DWIPHASVCLLGWALENVGHTDLTPEDGLQYIEGGPLYHGPPAVCLQRWGFWIERFEA LGKDESLGLSEEIRQVAVLAAQTMVAVEKRMANTLST
SAPIO_CDS1474	MPSSDSDRIGRDGVEWDASDEQAVLNFYSVTAAVPAAIKVVPAV STKTVYPADVPTTHLEFSGEETGDEARVFQLGTPRQDHFPLLPGLVLTANAGCPVCRL LVSALSRPDIVARAGGEKQAPGPISLRYRYPWRMLKPGLVSVMGLSRLLVSAIFENGS ESTLCFTIEAEPKPCAEWLRVKPAPSREVLSDANIRWLRETLQECLESHRHPRSPSPI LPTRLLQLFDAGVRLINVRDHGLSPEEAKYTALSYCWGPAPESLKQPKLTDMTAARLK AGVSLDDLTEVLKDAVVATRALGIGYIWIDALCIKQDSLDDWNTESARMGDIYGNAHV TLCSLASRSCTQGFLGPRDGAPHWPPTAALPFRSRVSPDISGVLRLRYCGVQDAEGRC SCQRPEPFPDQQDSHWSLRGWTYQEKAMSTRKIWFGTSRIHFLCDRAVYTEGMAARQL GWDTSIQRQVMHASRRRVYSDWEWHAVLFSTRTLTKASDALPALSGIAATLSRVLGDD SYHAGLWSGNMTRGLLWLVRENGPRRPTNLAGLLSRIDPARADEYIAPSWSWIDRGEI DYALLENVRDRIRGVDPWSKKWRDEHEEAIHPISTLKGANPYGEVLWAELSVGVRVCP VPLSSFYPSTLVKIRSTAPGRREIRDNGSYLADCWLDWNPPADMVTPSHEKDTGIQMM LLGSVKLKRNPLFRRGLPLCGNLAATTTETDTGKAKRERDYSVGSSVVSRSSGVQTGK RLCKESSSPIDLSDESSASEQLVREKHSIESTRGSKLSDRGEEEGEEEEEEEEEEEED DFEFDLESGFETEEEESSYDDEELSDAPKRGEKICLCKECEKGWEHDSMRVAIGLLLH PAPRHDGRFVRVGVFVSFPVGVGGLGYFQRFAHQNVVLI
SAPIO_CDS1475	MHLLDLPPELVYMVVHASILARSFKRAMRLRLVSHAPTKGTFRD LVDDAIVNFRMLHDVTEPHDFRWEFHPRHQAWRAYAQRYLAECIIRRPSRHPPLYEES RLRYVVDRVAKLTGEVDAPAKRRLIHSLMGMWCFFGQRHTSPDYIRDRLSRLYSECRF SKESSPAASFDETDLHCLTLEAAIYLGRIELVRQLLAVDDLSACMRRIGHASRRPELA YYPARRRLELAAYSGSVEILKLLLSADLGVLETELDSEGRMKGHMPAVSANLASKQGH HHFFNYILDISEPFPGLRRHLGLVHTAQSEHYREAMRSTAFPEDLERMTAIFRRALLE PGPPINQSPVFFGNQWHQLAYSASLGRAEVVRYLLEQGVRIDAEAVVSLRGVSNCRSP IICTITKSGSVELLRLLLDHGADPNGSIPENAPLLAAIRWGTPAMVQVLIEYGADVNK TIPPAIIAALFVESEEIFRLLRGAGAVLDNSPQIGGRAMSLCHHELVVSKLSSHSAKV VCESSSSWGPDFISIVDGYYCDMCEHALWKLCLNRFDLDCWDMHKMELRMTRRTIASR SEAGSLSKKVHKRIEYWD
SAPIO_CDS1476	MLPRIQTAALGLLAALTVPASSHTVDGRYGYGFIGYGISMYDPT CAHACRACISNPLECPMDHASHTGDAESSHMSMGPSAECYATNEHFLHSMAYCMYQRC EGVLASDLENYWELNLPGRGRVQPLPRLSYQEALAEVKQPPTEVVPSDVLLNTTTAVE DDAYWSQYHTLTVFEDIEKGHSRFGLVIFITCVVIPIGFSFFRFIPFPKSWVSTFYAY VIDPPAFGTRHAVPAFGLAIVPTRGQALFIFYIIAVNVIFSAVGYRANGDNTWFASSK AQIRAYISNRVGVLSFANLALAILYGSRNNVLLRLTNWSHVTFLLVHRWIGFLCMLQA VLHSAIYLQEFLEEGTHAEESKLPYWYTGIVATLALSIIIPLSILPIRRKFYELFLSS HVLLSAISIIGCWYHIIYRYGHQWGYETWIYMAIAIMSFDYVARIIRVLRYGVKKAYV TAVDSEYYRIDIPGVDSRGHIYMYFPTLTWRVWENHPFSVAGAMSHSLDGDESSDPQE KGLPTSGSDVEKDTTASKVPSSSSIAPASQSRPGITLYMRIQDGATASLAARAGKSPI PVLVEGSYGVESRNLFPHNQVRADASYPHIVCIAGGVGVTAVLPILEDAQSLGKPIGD VKLYWGSRSQALVDAVSSSITSRSVGPDGGIRWGVADVQLSIGERMDVRSILEAEINE RKVGGTTVVVCGPAAMADEVRCTVAALGRHSGVAVRLVEESFDW
SAPIO_CDS1477	MASAMEVAALVAEMSDTLSAIRSTIDALSTTDHHSRLDQLEHQR DSTLAALRANFEREGDDLSAKRRKARDEIAERRRREDEEILARRAREDEELAAKDERE DEERQRRFASETDDVEDEMDGLMEIVEVEAQAALDEGRDKLARLEERRRELNRLIDEQ LKVPLPSVPTRRRSRTNRTMETAITSKPPETTQASLGEPVNNSVKATNEEPESRPIRV VSAEAERNGAVAEPPQLAERGRGESEPRELAKEVDPSDSPAEHKGNRRSAFGWFAGKI GLGDRGETPPLQSDVIARSFPEVSRHMATPESAFIQKEPIQTMVPTSQPMAGLPPEEA APAEQAFEEHLARDSGPIPVDGPGSPTRSAAVEEQVQLPENEPTPTEAPLVEQTLEIG QEEIGLALTSDGRLETPIRAETNVDAEPEVNVHESMGNYAISVSGSSSISSERHSLNY EEAAAETPLPSPKIAAPRPDIVQGDEELIERAYAPREHHIGMNHEYDSQLSPLDEPSM VENVPVGQQFVRAEGLESFEEHEDNLAVHQEYYHPHVEEQAPYYAGQTLGQAPIQVVH ETPRLDAEDYHVEEYLEPQSPPFDLRVPDEDSIPLSPVTYFDAPPSNKEGLSNGYQYT NSLAQSERGFNYKGIIPQDVEPSVVRQPSQMTPTLVEQAENIPDAQVAIVHDGMEPEN YPEPEVPPHSAREVELFHNGEYDQSVHHAEYEEDQYPHIAPVEATSMDAHSLVKAEVE FEHPVANEVAYGAQDTAMHEPALPIARVVDEAGEPHAPGHNGHIDDHDSCDETPVLLE GSPNQHVESFNVDVSDYKMDYGLALDNVQLPNGTWSVGPINETVYQSMAVEYLAPITY LRETIEGPKDFTAEILSTEETREVPALPSPHVEREVSHEEPGSERVISETEENSEVST LPALSYEQPVGVGQFEEYRYDIEDSETGHGQEELPSAASMMKGKGTMLGGPSYAVGTR ESELDREVLANSTSAEKFYEDPEVEVRSSILPEETEGFAHPLAYAEQGPVAHDAEEHS AGVEVSEVDETRQVSPLPSPITEEEIVVGGGSHFGDTIELQEEGRSISHDPPAEKGLL EEAEDGLSHSEIERSQEPAVVLPDSQESAVLQGEPQQDESANVEYVNLAHEVQPVAAQ LPNGTWAIDPSESDQEIVLARFRETFARFRKAVAPSIERRFSQPPAPQALEDLATLGT SIPNIAVEQQPVAAQLANGSWVMDPAEDEYDMSFAVANAAAAMHEEQDAALVDEAAEG DVHRDFSENSSSAHETPGLESPASITKTPSTEQVATPDKVELASQPAVADGYEYHQMQ PEPEYGEVEPVVEKAPTLPMSPFHSDPATIPDVAPAENQYYETYDRRLYEPAQPQLMA PAVIMEDQGSAYHSYYGEVYHDTQGSHSYSDNLAVAGEVTTDTESQAFVTPMQSAGIH TPSQFQEHPDNFHSPDEYAYTEEVAATVHGQDELFDSDDESEYQSQTGYEEDENRHAT QLSLPPQSSNYDAYSTEPTVTPTAAVLDAELQSYEEMRPVEYTPENSTSMDSLVEDNS DISPMSLREAAPAPASSSLSRGLAFSRHNPERPVTPPGQMGRPDNYGVNTPDVPWDAS GQIDSTPMSLVSQSTISTASESPIHAALPIDNHEPVIRDSWPAPMGQFGDRHDAHVPS PLQYHDTPKSFSEENLPTPIATQMPHNVLARNSPTASPSPPSSLIQKMRSIFETPSGG SGVDSAASSPSRSRPSSGIFNMPLRRARTAGPVSPGYDIPGEPRKGGFLNEAEDEIDE RSALLRTATGGLDEN
SAPIO_CDS1478	MTKEVHAEEGAHPATPSFKPLGTFKHEDSHPSISTSSEAPKVGD ILIEAKFAKGEVPILLTKESHDDLSYILKAIDSTGHVIILQPKEDDVAYAYATHPFEN QRLVESHPYLRVIDLTDDAGDVALGNRVTSLISPTEIQLVIDEVYRVLKPGGRIALSD LLLRRELDEEAKGAVPSRESGLRNGVLVNQFESALRAAGFEDISIIDKKSDVNKILSD DVPVVKPESKHSRDDDKGGPGHRKAGRFTRSLAIHDEPEEKAGPEIDWNEWVGFFHIF AKKPKA
SAPIO_CDS1479	MPRLSAASLGVLALAGFRPLVSAECTREALFAAAETYIEAQIAG DASGLALADDFTYVENNKDGSIASGLLSKALALEYNRTTVDTTQCASFTQLISTKGPY VIATQIWHASEGEAGSISKIDTIAATTGDLFFNAATTLEYISGHDWSEVPEADRVGRD KLKEVGDLYLDMWTDAKAADQIPWGTECERVEGSMRVNPCGGTLPRGGSSKENGMRRP ELDKQKQLPTQEMA
SAPIO_CDS1481	MCSVKTALLLSGLAALATAQDPMVKVEPDDIPFGCATICGPIVE LTDICHVGSHPGFRRRLKRRKIEKRQFVTNAFGLVVPAPERTPTGGRVVTVTTVVTLT ATPSQAVNPGSAITTPAAGSNNPSSQVMTTTMTMVLPEDDTDGGDLELPADDTGVRLA FPTTTSTPLAVGNAADGIDMGDVYEYAEQETEVEDAERDCVCNNKSFDVALVSGLCTS CIQQAGWAPESMGVIMDQCQFAEQVFTDKSDAVVNNVRIKAVAPTLPAGIDSSLISDS PPSPRHGTGFAGALAAGVACGLALLL
SAPIO_CDS1482	MNRVPTSTADSEESLLQYESTAPTTPDGSLTFSPVLQAIRAMDT VEVDAMASLSVSQQNSGRNTRGVREADIRPIQIRNVCCVGAGYVGGPTAAVIALQNPS IRVTVVDKDAGRIRRWNSSHPPIYEPNLRQILRVARDGARDIAFSNLPVSHGSSDDDL SDEVSITTTDMSECGSQCDHVADVMTAAARSANLFFSTDVAGAIGEADLVMIAVNTPT KSRGIGAGSATDMASFEAVTAEVARYAKAGAIIVEKSTVPCRTAQLVKETMAAHRPGV HFEILSNPEFLAAGTAVKDLLFPDRVIIGSSTTASGRCAADALAQIYAAWVPRSKIVT TNVYSSELAKLAANSMLAQRISSINSISAICERTGADVDEVAECIGMDRRIGDKFLRA GIGFGGSCFKKDILSLVYLAESLGLPEVGEYWRQVVKMNEYQRDRFARRVIRCLSNTL RGKKVTILGYAFKKNTSDTRESPALQIIKTLVEEGPREIAVFDPACNPLVIKDEMTQL LGPETLAENGGPVTVYGNAYEACYLADAVVITTEFDEFKTPTPPPPPSATPSPRGLPI GRVGHASHSATNKAKREKEDPRPFECLEVSENDLLTLQWFLAKSGTAIEDDPLGRFYA EPSCDTTCPDCARQQQQEGGAAAVGNVNMGEYRPKERLDWAKISYHLKKPKWVFDGRG VVDAGHLAKFGVRVEGVGRQGRWSETEGATF
SAPIO_CDS1484	MFWNLALTTGLLASTASASAAMGVMAITNDVIALSSRDVLERSY LDVTSGHGLVKRQNQQFESNVTLRPDGTIDLEAWDKDTERACQDSLKKLQIATNPSGT CICYNLPSLDTNSGVFEADLRVYKFNEPNGAFQGISPQQIGVGLMYLGASVSRVNAET MQNLANGTGQAANRKRQDIVSQPENAPDLELLQQYLLVGQIDNDKMRNDLSMAELEAL VMPILTLTATNSSGQTVSTNVSSNEAVFVTGVFSREIVMSDFALASLAVEIKREQLAN KTVAFVLPGVQLMIYPIGLIITSIWLVLGVIAYGIGTYDRIRYAEAYKRRSARANGGR PGI
SAPIO_CDS1486	MELKAILAGLLATAPLASAHPGHERVHAHAARPLFGRDLNHCNK RFKEPDFHKRYVEKNGEEFLRLRRSLGIEPSDSPPIHKRDYLSVSQIDHKQDKTVTLD MEPASLFADAGACILMPSVDQGPLYVLGEEVRKDITEGQAGLKMTLAIQVVDVDTCEP VPKAYLDIWSSNSTGTYVGVQGYPGMGDPNDAGMLKGTTLRGLQLTDEDGIATFNTLM PGHYEGRATHIHTIVYLDAVLQPNNTITGGRAAHIGQLYFDQSLIADVEGLTPYNQNT MQILPNTRDTLFMMGANGDDPILRYALVGDKLEDGLYAWIRYGVRTSNALRVNPAAYW TENGGVMNPTGPVALLTGGGGGFFGGGGGGGGGGFPGFPGFGGGAFPRMVRRLFGRGD FLVKSISATLEERIPCFMPHLYDELQ
SAPIO_CDS1487	MKLTTLFAFFTPSLATAAVSVGHYHWDVVRAGTVDSFKWSSPFP GDGSPLTGYTTACEEKAIFNATQYRYTDLGEAPPAGVAPWAGTIRHLFTSRAYPGTWQ GVNFKGDEREIVFMEYKDVPELARNWIEEQLKDPKMMAKRFMAVLGKRNAEGKVPPQA ELDGLKVEDKLFMFAPAEIYDFLPLWVAKGAKGHKCEERLTDISKYVMQVDGDGILGW AQTLTRPDRDIGKRDVSFEIDARFVIESAEGRQVRQFWERAYAMGRRAERKKVREERQ GKRQLMQAQRADKDEL
SAPIO_CDS1488	MRRPILLTALFATPALLQEQGLAFSIGNISGTGSGCPEDSWGLF VEAGEFGFIEFNATIGLEDERPHLDCNLAIELNEVQKGHRVVLRQVNVGGRAGMDEGV QATIRTSTSWTGEEGSELSEDQVLLGSAVGIEFPLEFQAGGAFAEQASKCGDSSVELN IHVDVLLERENDEGSGVCSVLATALRLDVEPCEVEGETPVEEAPEEEAPIEEGSGEEA PAEGSKEDDECVVDEEGVVDEPAEPDTPEEVVEEEEGEEAPPVEEEQPAEEEP
SAPIO_CDS1490	MESAGEPYTAHARREDVGIILSLIQELADYEHESDSVLATEETL LNTIAWAPSGEVTKGQSTAILPETEPVTPARPARCILVYSPEGVAMGMALYFYNYSTW RSKPGIYLEDLYVRLSERKKGYGSILLKRLAKEVVAMNGGRLEWSVLKWNEPSIKFYE SIGAKRMDEWVGMRVDGDALVKLAE
SAPIO_CDS1492	MATASQSQPSSQQSFTMSQQSQGAGMYRSFNDANSMLANDAPQI YSAVYSGVDVYEMEVNNIAVMRRRNDSWLNATQILKVAGVDKGKRTKILEKEIQATGE HEKVQGGYGKYQGTWVKFERGVEVCKQYGVEDLLRPLLTYDMGQDGGVAGRGDLNTPT KEQAMAAMRKRMYNANANAENRNNTLPGTFFKNISSTASHAVAALSKARFESPGAKNR NGVPRAPSFSRQTSMSQNPDDGFPGNSQQSYTSEYGHAVDSAYSTQQSAHMLDQPEPP RKRQRVTMTPADSFAYNGNADALASAFPGSPTEPNESFIYSHAGIHEQPHDGFSPLPP LPYELSPEADQCKSTLLSLFLDSGSSEHTKFDDIIKGMTPRQLDMPIDNHSHTVLHWA AALSRLPLLRALIAAGASPYRVNASGHTALMRAVTVTNSMELNSFPDLLDVLGGTLEI RDNKGRTVLHHIAVTSAVKGRNAASRYYLESLLEWIVRQGSVPSSQQPAATNGLNGLN GHSSSAPPSSQQQAPPKMGLARFMAEIVNTKDNAGDTALNIAAKNGNRSVISQLLEIG ADNKIANRSGLCPLDFGVGVDGPTENGINGDSANDNSRFIGSSQRSKESRDEIIHSIS LLLDQTSAEFQKGLKTKQTTLDGLYSSIRATSSQLSDTRKRLEALREAVRRQQLARQQ VINLSHAREDEQGRFDPRLKGADAVSAWETELGAALETAADNTSASYFPSANLLRARI KAVSGRNEATRKMVQALKGRSREVEFKYRRIVCLCTSVPENDIDAVIDGLLRAVESEK GELEIGRVRRFLGGVETGPLR
SAPIO_CDS1493	MPLRLEYLMIPATPTTFIGSRRNDLPRLLPQYYPRPLPPVSLRP VCLAALNVARHDRIRATSARSSFPRLGADFLFLRLPFNHSPPAHPVSPEPGNHGPPPL RNVSPHVSIMSGRRLGGGRILGSGKGLAPPRPANAPSAASSLQRVGSPLPSDTSFDHN SSDNSPAPSTGLPDFSQDLATHISIGRQGTAESSSQKLLCPICNEEMVTLLQLNQHLD DSHQELPEVEQDEVKTWFDKQVRKAKKFQPLSIINQKLRGLDVFESNDSEPIPSGSNS GPRPSDSSPVDPDELITRQHWQHQTIDDLCTDPTCGRRLGAVNGSINCRKCGRLFCEE HTMYQMKLSRSAQHEPVRGFWARVCETCYKSREGYNDHDGALIDHTRAFTDLRRQRVE RQNLEMARLEKRLTKLTRLLANPPENVTAGNNTLLSPVTALTGQNSTRKLLEQSVVTW EDDASVAKCPFCKQDFGSWTFRRHHCRTCGRVVCADPQTGCSTEVGLNVSTPSNNPTE KQATPGQLSIDIRLCRDCNRTIFTKRDFAASLAIKPPDQRAYETLRQFERGIQQLMEA FQRVLLTLQPEDSSSSSALARQPPTHAQIKEASKIRKRLIDSFAKYDLASRRMRDLKT TSEQQLRLQKAVYASASAFLHANMLPLKSVPQMLRNHQQRHQSSPRHQLQSRLLLQSS TSSSSQQTLSPSPLRNGAPPPPSLENNHETASQISETSTVVSALEVEEKDLRERLIVL EEQRFMVRRMVDAARDARRFEEVAALSRNVEELEEEIERVGGLVGVVEERWQGLYASG SVS
SAPIO_CDS1494	MAGGVKKPVNVFKLKNLGEPEGCFNWRLWFAVVSFGILGAARGV DEGLITGAFNTKDFKSRINYDSYSDAERADIKANVSAMVQIGSVAGALIAFAICDRIG RLWATRILCATWAAGIAIFMGGAARGSLGAIYAGRFIAGIGVGQTPVVGPVYIAEVAP AAIRGLCTCIFTGAVYLGIVLAFFANYGASRHISADSYDTWMVPSSLHLMFSGIIFIL SFFQCESPRFFVKQGKPEEAARVLGYLRQQDPHGEYITRNIAVMQAALDEELEATRGT SWSAVLKELLLDKSNLYRLYLTTSIQFLSQWSGAGSITLYAPDLFALVGVEGEEEGLL ITAVFGIVKLVAAVSCALFLVDVIGRKRSLLLGITLQTISMVYVASFFTSAPRLGVDD EYEMPHSFEGPSKGAIAMIYISGFGWALGWNSMQYLLTAELFPLRVRALATSWAMTLH FANQYGNSRAVPNMLLPPHDGGISPKGTFWCFAAVTFVGGLWVWFFVPETSGRSLESM NRLFELPWYRIGLHGNKDAEERDNQIMGEKMAMEELQPQASRV
SAPIO_CDS1495	MPDDTDRDSKIYVDASEARRFVESVLQGNGVRAENAAIVARCLV AADLRGVDTHGMNRIPSYMERVRQGVLDAKAEPTVRQITPVVAHVDGCNGFGFLAAQK GMEAAIESARTYGIGMASVAHSNHFGMSAWVVQQALDAGMLSLVFTNSSPALPVWGGK SKLMGVSPIACGAPGGSGEGDKPFILDMAPSVAARGKIYKALRRGEKIPMDWALDAEG RPTDDPAAALGGVMLPMGGPKGSALSIMMDVFSGVLSGSAYAGHVTNPYDPSKPADVG HMVVAIRPDLFMSADEFRQRMQYLYERVTDSEKAAGVDRIYFPGEIEQLTEMERKRTG IPLVQAEIDSLNAEAAKVGVKEITTGVGSATK
SAPIO_CDS1496	MASRKSQFPQVQPGGSLILAWQAKGKHILVVGGGEVAAGRILHC LNADAIVTVVCPSAGLNAEVAFRVAEGQVKHVGRNFEPSDLDSVDMVLVAIDDPAAST AIWKLCKERRIPANIADVPPECDFFFGSVHRDGPLQIMVSTNGRGPRLAALIRRLIAK SLPDNAGNAIEAIGVLRTKLRSIAPAPAESQKRMAWMTKVSDTYSWEEMCQLTEDDMD VLLRYYPENKVPTFDEIRAAQGFPEQQRLDLFDGSFGFSVGA
SAPIO_CDS1497	MGTPQQNILDNSTVLGERFGIGENVIYDEELCDVPYEPSATFRN ICWAPTGTDTDPLAYSYGPEREYLIPKPGRPKGLLGLLPDQYTTKWPKPGHLFRGVGE NDLKNKPFAADNFTPNNAPIDHYKTPFEHVVTNVNQWYISMREMTRGRLMAMKDAIEN LPTEQTTAQDRQGPESETTLHELLTASWTETMKTAADFKRKNPPPMPRDAVADVHHFE TEFRKIDPFPPENPAHPDLSPLSLHDKVHLFHQRLGDQEGRILRSGQPLIPRLDPKKH HIDYDKWTEDVAHLYLVPHINLQELSDPTRLMQFIYSRTTVAPIHFMQQDFQSTHLGR ALRILTGPFSLHCVHWEVPQDGDLADHTDVKLNFMNYGFGNVTKLKLKGESPNMLYVG DAWVTLKAQEVTYRFLRKFCIKLLGQMKVGVRGFKVLKQPEIAIKDKKTLLEDSRAAK AEFSLGAKDPSPTAMLASAPFHNHLQRVEDAGHDPTKILRESLSSQLDSATDHLVSLI KEPEYFHMRMQEEYDQNYLHLYPPYLHGDEMRWDVFIDLLRNVFRRPLTEMTLLDYVD DARKDLEESERQYRKYNLPTRISMETAFRVRKQTEDKIPERVYKSGISHRLEDFLCEI EAGRLPEQLRDLDFIPDFWEWEKAQHQPEYYLSGARKSRSLRVQVRGMLACMHYIIMI TRKCPVASTPAMRRYYKKQPNLKKHTGPMGSVITPRLRRALRRFPPLDPRLNSRGRRE IVTDQRIRSLHKLLVEFLASEEITEVITLGKLLRRIAAVKDSLETADDRFHAIRQNIS ELLDSVAAIYLFVEQESVLNPTPIVPLSRGLVEMRFARAMRASQYQAEWLYELKSMVS RRLEKLDEFPIENRITLATLRALYDQHKRWVKRQRRNETNPSRDAARDKGGDKDRDTD SEKTLEALDAFWKDLVKYLRKESLYVPDGIPNAESNRNQTVQGGPPPDPPAFPSVREI LARNWMAAQFNMGTIDLWKFLVNSNTGHVHIPGIRGQISDVMENWKAMEHLKYINAFT PQPVPNNLLKLTILPQTARDFVQERLQNLSQNDFRMISKDEVVFIWAFAVHYALEVEK AQILALNSCAYSSGLYANAISMKVSYVPLIPKWLERVLGDGDELPYDHDGKDDSAPAE PEPQIEVEQDLGQSMDLSFLTQLIGSRQGSPRPSHDVLVRNAVAAFSAPPPPPPPPPP PPPPLPREPSPENAGPEQEKNAQEDGDEVMEDAEDAVDNMQGRVQGFHYWWLSSLNDE LWQQLVQRHGGFVDESTGTRRTHREIPPGVRRAYMARVQQAAQEESDSETGGGVSNIK KKSIQRTFRCIFYRDIGNGQRTNAVTTNSFREFMAAIGWSSVPNQGSMLRFTYDARRG LFPPSQLSRHQFVIHMPHASDGRTWPAGLIDSARQRLKTLKIDYSSIIKYYSFQS
SAPIO_CDS1500	MDNIAKQEFHLRDLETKSVTLFPSRAQIVREIKDLQLTPGLNEI TIVGLTPTLDEDSIKVEGTGSATISDISVDLVANLELFEDHYPSEEDDSDSLSDFDAE DKPDFGYDGKLEALEDQVTLLNDEQARAREKIASADRRLKILDSYGNSLDKKRNVVIE QGLDTYREEREKVFLDHQEGTLELRRIQKELKDVEKERIKVARLEGKEKKKWAKGVAK RSAAHTKLAERREAKRRDKKREKERIKKERATFWPKFHYTATITLDASAYTPVSSRRS SVASEADLQLLKEKDQAAGAETGESSSSSAKCDLLITYVTSSAFWAPSYDLQLSTINN TANLSFEAKLTNTTSETWRNAKIILSTSQTTFAGLEDALPTLVPWHVKLGNRTSGVFA SDILHSREERKEQVDWEIQRHKHERVQKPRAELFGLWENEAGGALAAQQAQNVGYVKN RINMAQQAQLAAVEIDARNALQPDAYRNKGPVLEAYSKNISASIPPPPALARAGSVMK SKKKRGSSSFTPTAYGATASARRGGPGGWVGGGGGGGGDSSGGEEEEADGAGDGDGDD KTMLEDSPELDFQDSAMEETGLTTTYDLPGQRTLAPRSRASKQRIARINFSNVVFNHT VVAKYKPVAYLKAKLRNASKLTLLRGPAGLTVDGSFMGRTRLPRCSAGESFVLSLGID PAIRVVYPKPEVRRSTSGLFSKEDSSIYTRTVTITNTKATAGKVATLYVLDQVPISED ERLRVDILSPRGLVSGGSAVASGVPGREGSENKDWGKATALLRKGNEISWEVNLKAGK SVKLTLDYEIAAPAGEHVIQC
SAPIO_CDS1502	MSTQPPSHYSSHPMDPPSMSGALPSPSAQYGPPPGPPPPGPQRP PSEAQMLGPAGLEQLSSHPSDLPPRPPRDDRYQTTDPFRPQRVYSDPGPLAPAPSMTP MLSATTQDIATIRANTQYGLKEFVELVKRRQNAPPGSTVSSDIDAKLRASGGLLVKDL RALRIELREVVKSKESHRWRRWLVGGAMATFFPAVKAILRIVTPSSTSTSLTTTTAST ATYSPSSQQHYHYDLEHASNDTEYAFHRSKTLLQRIRSSVLGRGGVAASFAFFVFAAL YVFQNEVALRVAKTLSRRVKKLLNKVEDGGFEVDEKDLKTLEGWRWRVLLWGSLKHGQ IDFNDPRSVVQLTKTLLKSDFRLKIELPPDRLCPPVPNRHNYILWLKDLLDTTSYQDP KDQSIRGLDIGTGASCIYPLLACTQRPWTFVATDIDEKSLSYAAKNIKTNSLDSKITL LSRTPTDPLIPPTALPLTFTMTNPPFYTSAAELSSLAQKKSRPPHTACTGSPSEMVAA GGEVAFVKRIFDESLLLREQVRWYTAMLGKHSSVEVVVDMLRGKGVDNYAVTEFVQGS KTRRWAVGWSFGSMRPDEGVCRGMSEPAWCKVLPPSVVHEVLAAKVGEVDIGKLADAV RELGSGLELMSWAWDEKELAGLGRARQNVWSRAWRRKKMRAEKGDTGVDVRTKLSAEG KEEGNVCAIGFRIWVIAGREDVKIMCRWVEGHDVSLLESLLGFLRTRLSAMAK
SAPIO_CDS1503	MSAALTTNGLDLVKQFPDDQINAFESIVDKRIAEFINLLERKYI SAPTEYSPIEFSHRCQSLALDVISDVGFGDVAGFFKNDSGTENRVDTVKDGFFPISIQ TLNMAWLIGRSLSLKRAFFTTGPVSNGTMTSHIQDSNCNGTPKEVCTELITNPASTTA AIRTTILCLLTNPTALSKLRAEIDSGLALDAISTPIIRDAEAATCPTSRPSSRKVSGC TPLLALHSAPTRKWLGGGRKVEEMSAVLDLAFGGGEVECVGRTVAFMELNKVFVELFK RFDFTIENAPMTLRDTVCCVTEDFKLRATHRVRA
SAPIO_CDS1504	MRLLTRDQNGELSLTEDLVDNIPPYAILSHMWSKNNAEEVSYKD MKDGTGKGKAGYRKVEFCAEQAGRDGLQYFWVDTCCIDKSTSDELQASINSMFRWYHD ATRCYVYLSDVSMTGSLSQNSDQASSDQAELSWEVAFQRSKWFTRGWTLQELLAPASV EFFSLEGLQLGDKGSLEHEIHNITKIPISALRKTTPFSQFDVDERLRWAERRQTTRQE DWAYCLLGIFGVFMPLIYGEGRVNANGSGNFILVRTETVRTEIRRESKEHILEEFEDK GDTILTSFRDLWDILISAATGHKRREIVCILDALDECEVSGRHQLIDTVSKFYSGITT TRPTLKLLLTSRPYLDIKRGFYPLERELPMIHLSGDNEEEVDKISLEIDLVVGSRVAD LGGRLGLLQEEQHVLREELTRAPNRTYLWVHLIFDIITKSIIDGLEDIRSIVKTTKTV DAAYDRILSKSPDVGQARKLLHIVVAAARPLTLQEMALALAIRPHHRSFSDLKLGPED RIRNNIRQLCGLFVVVADSKVYLLHQTAREFLVPPPSGSSPPLTGSTALQWKFTLHPK ESHRILAEICIQRLSLLDFNLSGLRASADQDQYISMRTFLRYAVQYWAVHFREANWND EDWAVEKATSYCRPDLPTSAWFEIYQRVATRDVPGNFTPLLVTSYFGLSSVVERLPKK ALKAINIKDSRYGRSAISWAAGEGYIAVLEWLLRGGVVRWLLGTSARVNAKDRSGWTP LHWASWSGHDAVVQLLLEAGADVNAKDESFWTPLHRASQHGYDAVVQRLLEAGADVNA KDGPGWTPLHRASQYGYDAVVQRLLEAGADVNAKDRSFWTPLHWPSRNGHDAVVQRLL EAGADVNMKDDKFSSRTPLHWASWSGHYAVVQRLLEAGADANAKNYRGGWTPLHQASQ YGHDAVVQRLLEASADANAKNRRRGAAAS
SAPIO_CDS1508	MSDALPQVTILPPSTKKRRRPALSCEQCRRRKVRCDRLSPCTTC VQAGNRECSYASLPHRPVAAGLAPQGPPQLPDAGVVMAETSPSSAASSHLPTSGSGRS VGDEWRNGNVFPPNSIWVGDGRNGEDSASLDASVLADRIRHLEWQVESFKRQSSPSLQ YILGLAMRSESARSQFRITLAECKNLARVIKRRRTPILVSNALATQFVSRAIADNLID IYLSTIDRVYRIIHVPTFRTDYERYWADPQSVHPSFKMQMQLCAAIGASMVDDKFDMR EAALRWTFEARLWLILPPHKASISVAGVQVMLLLQIARQAVGVGGGVTWPDAGSLLRS AMYAGLHRDPRHLPRMTVLRAEIRRRLWAAILEMQIQTSIDSGGPPLISLDDYDTEPP SNLDDDQLTDDLESSEHRPIPSSNTHTQTSLQLLLLQHFPIRLAVAKFVNDLGSKSTY EETLRLNGELTSSCHAISRRLNKLISSSSSSSHSSTTAPITLFHKHYVELMTYRFIIA LHNPLLTVAFDNPAYYFSRKMLVDTVKRISPLAGAPNSSSALPGEVDFFNHIVCSSGF TRSTLKQAIMLLGHELVTVQKEEIRDFGEAGTPDAASKRVLEDAVVWMGRRIRAGETN VKGLLFTTALLNHIEGVQKGVRVEELEGWILSRMKQAVERAYELMKESAESYGIEVDG GTGGGEAREVGRKGVGGEGREREEDEVVMDLEDGDPFDVLGWNFDIDWDQDEYMTNFV S
SAPIO_CDS1509	MLGAVLRRRALQPNVLRYGLSSQLIRCYASFPPHTLVKMPALSP TMTAGNIGAWQKKAGDTIAPGEVLVEIETDKAQMDFEFQEEGVIAKLLKDSGEKDVAV GNPIAVLVEEGTDISAFENFTLQDANSEVAPAAPAAKKEEPAQPTPAPSAESAYQPSA ADELTTTSGGRLQTALDREPNIAPAAKRLALENGVSLEGIKGTGKGGKIVEEDIKKAI ASAAVSVAAPGAAFEDIPVSGMRNAIANRLKESVQTNPHFYVTSSISVSKLLKLRQAL NSSADGKYKLSVNDFLIKAMALAAQRVPAVNSSWRDGFIRQFNSVDVSVAVATPTGLI TPIVNGVEARGLESISSKVKELAKKARDNKLKPEEYQGGTICISNMGMNDAVDHFTAI INPPQSTILAVGTTRKVAVPVENEDGTTGVAWDEQINVTASFDHKVVDGAVGAEWIRE LKRILENPLALML
SAPIO_CDS1510	MSSISFVVSSLDAIATSKEAHKNKQLAEYAQAAISAIKESDPQL PEPEVVFAPLQLATKSSNVQLTTTALDCIGKLISYSYFTAIDNDTRGGERGEDEGGED GGAAAAARAPLIERAIDTICDCFQGETTAVEIQLQIVKSLLAAVLNDKIVVHGAGLLK TVRQIYNVFLLSRNTANQQIAQGTLTQMVGTVFERVKVRLQMKEARINLSKLRQNSSN VTFEQPETPNGKDNESTLRDDASEMGDGDVAADGSTDAATESGEGETKLTLKDLEHRK SFDDTHMGDGPTMVTQLKTIKRSARSVSEQSIGDSSSPEDTPESLDAEDEVYIRDAYL VFRAFCNLATKVLPPDQLYDIRGQAMRSKLLSLHLIHTVLNNHIYVFTSPLCKIKNSK SSEFTGFLQAIKYYLCLTITRNGACAVDKVFDVTAELFWLMLKFMRAPFKKEIEVFLN EIYLALLARRTAPITQKLYVVNILGRLCADPRALVEIFLNYDCDGSINNIFQTIIEDL SKFSTAPVSISTIQEQTYEERNARTPSAAEWQVKGILPPPLTVATIAPLTETEPDIPR EYTMKRVALDALVEALRSLVTWSASVRPDAASRTIGGDGERRQSLDDTRASIDPSIYT TDSPQGGNGISYPATPILEDDPEQLEKIKARKTAMTNAINQFNFKPKRGIKLLIQQGF IPSDTPEDIAKFLLNDERLDKAQIGEYLGEGDQKNIEIMHSFVDQMDFAKKRFVDALR QFLQSFRLPGEAQKIDRFMLKFANRYVMGNPNAFANADTAYVLAYSVIMLNTDLHSNK VAKRMTKEDFIKNNRGINDNADLPDDYLVGIYEEIQGNEIVLKSERDAAAAAGTLPAQ PTGGIAAGLGQALANVGRDLRREAYLQQSEEISSRSEHLFKTLFKNQRRNAAKAGTRF IPATSFRHVGSMFDVTWMSFFSALSSQMQKTHNIEINKLCLDGMKMATKIACLFDLST PRVAFINAIKNTTNLNNPHEIHAKNVEALKVLLELGQTEGNLLNESWKDILMCISQLD RLQLISGGVDESAVPDVSQARFLPPPKDSDTASVRSKRRSRARSAGSNRFSVEIALES RSDEVIKGVDRIFTNTANLSGDAIVHFARALTEVSWDEIKVSGSNDSPRTYSLQKIVE ISYYNMTRVRFEWTNIWDVLGEHFNRVGCHNNTTIVFFALDSLRQLSMRFMEIEELPG FKFQKDFLKPFEHVLSNSHNVAVKDMVLRCLIQMILAKGDNIRSGWRTMFGVFTVAAR EPYESIVGLAYENVSQIYKTKFGVVISQGAFTDLIVCLTEFSKNTRFQKKSLQALEAL KSIIPRMLKTPECPLSQNFNSLAAGAEAALKSPSMQLQQGRTSVEEGYWFPVLFAFHD ILMTGEDLEVRSSALEYFFETLQRYGGDFGPEFWDILWRQQLEPIFMVLRSRPEMSNA LNHEELSVWLSTTMIQALRNMITLFTHFFDALEYMLDRILKLLALCICQENDTIARIG SNCLQQLILKNVKKFSPEHWAQIVGAFCELFERTTAKELFFAATLDASTTLSPVANGH SSFPGALSPAREDVDEKSLKINGGDNDEGSDTGSSPLPTPKTAKSTMTEEDSRTPPTT ATSASQAQPLEDYKPSSTLQQQPVVVTAARRRFFNRIISRCVLHLLMIETVNELFSND AVYVQIPSIELLRLMALLKKSYLFARRFNNDKELRMQLWRQGFMAQPPNLLKQESGSA ATYISILFRMFADTAPEREASRSDIEAALVPLCKDVIGSYVTLEEESQHRNIVAWRPV VVDVLEGYASFPDAAFGANLKEFYPLVIELVGKDLNSELRSAVLHVLRRVGEVGLGIK DMSTKKQRRESVTLGSGNSGEVDAAERMRRPVSRD
SAPIO_CDS1512	MEIEDEDDFYSPEEPIVAPTKDTDTSTTKHQPATTTTSRHDDEL EEGEEEDEGGVIIEEDEDDSDVDFIIENKDGEKPPPPQYVPSNVLPIPYHFSLPSSSS LTLFSAMMSRQSRYSDIKNIPQRTASGEIASKPTPAKEEQKPLPVPSADQAAAAASSS KININANPVYPPAGKPITQVNIDTDLPDNDKPWRKPGTDLSDYFNYGFDEFTWALYAS KQETVRAEYNPEIIAANNKKMMEDFNNMMMMGGMGMPGGPGGPGAGPGAGGTMAGMPG MEGMTPEMQQMMQQMMASGMDPSQMDPAAMAAMFSGMQNPGGGGGGQGGQGQSYQGFG AGQGQGYGGFDQGMGGSGGGGGGGRGGFGGRGRGRRW
SAPIO_CDS1513	MALARSASGPGGLSINTGAANLFGSAASQAPAAGGLFGTAAKPA TGGLFGSATTTTPQQTGGLFGSTQAAPQAQTTGGLFGAAANKPAGGGLFGGQQTQQQQ QSGGLFGSTATTQPSGGGLFGGATQQAAKPSLFGAATTSAIQQPQQTGGLFGASTAAQ PQQASSLFGGAQQQQQQQQQKPAGGLFGATTTTQPQGSSLFGQPQQAQTGSILGGQQQ QGVQQAAPGVRVDLTQVKGSTKFDDLTKEGQALITTIEAAIQKVSKWKDEIAGYTPVH EQEIKQLAVDVAYLQNKYELVKRAVLEGDVAAVQELRNLSNKNIDDAQTVGRAVENLK LPAHYHLPGLWTASGANTSFGADPNETDLIGFFNRQCAQLSERDQKLRAYLAEIQQHM PNVEGGLYQKLTALQNHMGNSNSAFEEMKATLVDMRNAIIRQAVEVGKTREALTKLQM AFLNPIL
SAPIO_CDS1514	MSRGGFRGGGRGGRGGSRGGRSGPPLPWESDEVDGRPSETFPPY AFKPAGALTDAETRYLTNFLLYQRQIHEGPLYTKKHTLRDPTRPRRIYDQQQRNRQYA VKSKATTDVFTAVETWSSRFKPNERNLPDFSSRPYVKRMFPAELHETLDGADGPRKKG GAQGDRKLKLSTATSLPTAEEVFMMNGAKGGEALMKKLELGEMGGEEGGQEEGAYDSE AVEEEEEDYAYDDEDAGDYDAEAYFDDGDGDGDDGDDGGDDGGYY
SAPIO_CDS1515	MASSRILKLASKVTGIEPDKRLPRITNNLEKDARRELAPADIYI EKEPTVGDVLRELKPTKAGVIQYFRELFPCAGWVPRYNLRWLIGDIIAGITVGFVVVP QAMAYALLARLTPEFGLYTSFSGAALYWLFGTSRDIVIGEERPGEYSAEDIAKTVSMV SGFILLAIGFLRLGWVIEFIPYIPISAFVTAASITIMLTQLPVCLGIPGINTRESPYL VLGNTLKALPKAKLDSAIGVSCLVLLFIVRDFFAHMAKRQPSRKRLWEAASSLRQVAA MILYTIISFLVNRNHRDNPKFRLVNKIEVGFSHAGPPKLSGDLVKLILPELPAIIIIL IIEHIAIAKSFGRMFNYTVIASQEILAQGASNVVGPFVGGYSCTGSFGASAVLSKAGV RTPAAGLFSAGLLVLALYVLTGVFFYIPMAALAALIIHAVSNLVATPATIYGYWRMNP IDLFIWVIGVVVAFFSSLEISMYVTISISAVVLLLGIARSNGKFMGSVKVYDVTQTRD EEEKTKSDPDVKPTPSGPRVAAEQDDNPRYIFAPLDRKDGSNPNVEVKAPYPGVIIYR FPEGFNYTNHGNHIDNLKSYIEKHTRRTTKEKLDHPSDRLWCEPNTDPESDNPRPCLR AIVLDFSAVNRLDVTTIQGLRHLRQSLDKYAAPETVEWHFSNVYNRWTRKALAISGFG YPADAGDENPAADFRTVYTLGGLGREEGACVNYLRPSSSGAVTTVEDEDRIEIEEVEV EAQEKRVGGVKYSALGTVYAVDRPYFHADLTAAVEAAVRNARERDGWCSGASMTSRET RLP
SAPIO_CDS1517	MDGEQSSFGDADEVLVHRCVTIPRNDYLIPTAFPDIEVVSFIHN PQLRAHLIDTPGFDDTERSDVQALLDISHWLSSSFKDFLRYGDTLESARGIVEYILSP EREVTLDIQDEIVNEHCKIGDTSAAIEPNAEIIRERASRAETERLQTEYQREMERQRR RNKKKFRQMKKNQDEINRQLEEQANCRRSRHPHTP
SAPIO_CDS1518	MRALCSDIPPSLMSNITYYQNTAEILTASATKLLESSIPQARKM RLTPGLDYGFIPPIEHFELKQAQVNHVMNDEPWAKSNLPPTFLSFLNNILIKFIDITY EYAEANTIRDAHEAARVPELKEVVSFDDYVSVATKTEYLKKEDSVPPQSGSKHLETHK ATHKAPLGKQPAGTDEQSKPSLVGHLQKYYSALFDKEPTIANSHGGPYDLAVPASDEE EKVMPLADKIAYGINADAFKDDYKTKLRVILAFSYHYLFGLNVESVAIGPFAMDNLIE MTGDFGEQLSTTVPTQRLQSGPWSNTVFQPFEYRAPLEHFELAKFLYQSTPQLLVGLV RELGIPQAWRHKQIPELEPVREMLEKYFTDRMEEYMLGPTYKSTLDQLEFGDMVLASN DGSRTVNISELMKRAAYLVEGHLGALMDRCDELCRTMKHENNQSRGPDSASRRLAAST RAIHFPRIASPPTTSLPTPPPVTPRRPPPSNLSPQETPTNLRRRQPGGASSGRANKRQ RLGPESAILQRSPTSPGPPVEYTNFKSSPHSTPPCTSPDTSDAPTSPTEFDFAALQQA FHGNEADTTGIGNDIFFYLDPLIFDGSAINPQPPQS
SAPIO_CDS1520	MKLTATFVLFGMLQAVIAQSLAPSPTESIGCVPHEDHWHCEGPR PTEAAPEPTSAAPAPSQPAEDDDDHESHTDAEGTGQLAPSPTESIGCEPHGDHWHCEG PRPTGSASEGGDEPSASGSGSTPSQTTSSIVQVPTGAAAGLQIGNVVPVVAVALLAQA AF
SAPIO_CDS1521	MLILKLVSTAFLISAAAAKSPVEGQDVEMRAEQEKILAEPANEV IIDPPLEVHQVFLTVQDLAQQKESPRHKAEKERLLQRLNRNHGKWDANHPRWRLLDAL YGFRKYFDRNIEDVTRWKNFYKHVSPAQKKLLESTVQYSQKFTKTEHFLSENHGLCQR VVDAALEFYEVDPSELDEHIKKAEKDRKVAEKVSVSQALKHLVRDWSEEGLSERGDAF PCLLQTIDGLFPDRFDGGEEVKVLLPGAGLGRLGHDVSALKGFEVTSNEWSMYMNVVS RFLDSQPSTASGAVYPFVDYWSHHVSNADMMRKISFPDKPINASSVLLVEGDFVTIFN EQTAYFDSVVTHFFIDTARNLMSYFDTIHRVLKPGGYWVNFGPLLYGTGPFVQLSLEE IIIVAEAIGFEFLDTSDNCGELTMEGRKVRGMQAVYGFNEKALTRNAYNAQFWVARKK E
SAPIO_CDS1523	MAEFKRRGDILVLALLAGGALALPQAVTTPAPILPRAESQCSQV VSTYYGIDTTVSNYNGFVQSMNSAYSSSGEVFSGTRIPVFTTVQYLSDGRSFTAYGFY HKTVLEEAGYSIYSSITTITKCTTSTSVALPPSPTGSICSPHGDHCIPEPTTPPPAEV TTTSSPGQCEPHGDHWHCPPGVPEPTTPPSSEPTTTSPGECEPHGDHWHCPPGVPEPS TPPPSEPTSSTSLGECIPHGDHWHCPPGVPEPTTPPSSEPTTTSPGECEPHGDHWHCP PGVPEPTTPPPSEQTSTANQGECTPHGDHWHCPPGVPEPTTPPPSEPTSSTSPGECEP HGDHWHCPPGVPEPTTPPPSEQTSTANQGECTPHGDHWHCPPGVPEPTTPPPSEPTST ANPGECEPHGDHWHCPPGVPEPTTPPPSEPTTTAGPGECEPHGDHWHCPPGVPEPTTP PPSEPTETGNPGECTPHGDHWHCPPGVPEPTTPPPAEPTETGNPGECEPHGDHWHCPP GVPEPTTPPPSGEPTDTPPEGGECTPHGDHWHCPPGVPEPTTPPSAEPTDGSQGGECT PHGDHWHCPPGVPEPTTPPSSEPTDTPPEGGECTPHGDHWHCPPGVPEPTTPPASSPT GGSSGECTPHGDHWHCPPGVPEPDSPPPSGGSDTTLSKVVRPTGEPTTVPITAGAESV RVLGMKGAASFGLAIVAGLAFAL
SAPIO_CDS1524	METQRRTTVDNDPKAGEWELTTRPPTPWGARYGVHSQGPGPFLH TNRSWLSPSFPTFDPIDIPRIRGYILEGTSGDVEAPKGEEADRLFTSESIQLQRFGGL RTWEAVLLKDVPSYGAGSGLVPTGDEGGQNIVVKEDKWFSAFKKNRWIDYNVRLRDSG IDCPRTYGGDNGIWSVDNPAVWKALRPSLELANRILHLACGGPWLSSLVDSSAHETTY GIRYTLNKDTGDVSATTDAEPYRRFIQMVLAFIFYGEEVIADHRASFAKSIFGGKLEF PLYEGPGNPNKTGFVLPTKAREFPIQADALQQLAAVGEIIGPLDLKLYPAWLHRHVVW PIPSIWQVSMMNETFWTYEVQRFGIQALKFPKYLFCEDMFHLGWSMSAFRTTQACWAM DTVSAPGNGAGLRLVRQLEHRRRLWSRYREKWYAGMRRNWELPPYSNIVFREELRLIT EEYFLRRQEYDEFRAHEIAERWIIMPYFIASEVVVPTIFYTNALLCRAVGLIIMAALP ARLEPKTCQRTGFIWPDGRRQIWKLSKAAQASNDGKPIRVMEHWWADRKAPDFVLPKR HHGETDAEIIAIRVELLNEARNTLELYRVQDMAVPLVTRLLLLQIRHLRHLLKDVMPR YIIGSANSNAGNAVASEGPGRWLDIFFKIPKYSHEDQVRSPWGNEEWPATKSISMDKA YFEKLGIPQAPASVPAVPRVGEVPNPKKKLWTVGQVADQFRNGRFWALVHEGERYAVY DVTDAMLGFSFPWPGNKPDFSYCQYGRVLKGESIRSTFSPTKAKKIRHVGYLIQEFNA EEVAEARTPTRSWTRLGDDVYDITVVLGPRNHVELSKGERTALEESQGRNAIVALQNR GLEARLQDLRERLKEYKIGRVRRRKPSSEHGPMYTADDVTWFTSHRTGMYTVLRGMMY DLTDFINWHPGGSSILQEYAVGRDCTDLFNRAHTEPDKILNTIKHLVVGKIVPRRENT VLARNEFVINEHIYRLDVGEEEILNLSLPHHRLYSKIAFTDRPNLTLSVRDGHEDAMA LARRPELIVGKLKPVIRQPLADDEDDEEAVEQPLLTSWLPGVCVSDLEKCSGVYSDAG YNEAWICADDVIYNVTTLARYGHRALRVTLESFCGRVVQRADIPQEVLPYLEGRAIAR LDENAPQWNDANNFRTHANEGTKHTPKITRFAPHPKKDFEYIPGLPTPKSDFRLTAKR LRRERRARTPESPLARVSKKRTESISGKMAKLQRKKERLESTIRGVMRTYSILENPDE APDLSGQKLSEIIKPLEDLLGGEIAALEKIDPSNGRKWYWKCSHLMAQIADEWKRHQR PNQAGVLDGNAAMGDIESDPSMPYGLAMMAQGNSESEPPTNPPAPPPPKTEPPKKRTT RRTMGIKARHLLHRTQLYERRIYDQELETQKELLKTLQTQKRMRRRRPNIPNVKVKPP PRMGTLVHGRRPIGPPPSQKESSAEEPTLLPRLPDVWPYATYSMFMPSTWNDEQAALA KRYAQGILSDRGNDGPANVSTGWTEPDTPEFAQIVSQVLPIMEFFSKGPGSNNWGDED SSGEDEGILALKSLARKRREAAKRLFQPGEGTGGEGPPPVGDGT
SAPIO_CDS1525	MESPMDSDSSDELAVSPEIAPASGTDRQEPQPPGTGAREGAAGP ASLGLDLKTTLENITIVGSRVLIDIDAIVKSCCLPKFEAELQQQKEEDEAAFNTTGKQ LLFASKKPKIIPDKIVKGRFQELKQSIFSFAKQTTSPSTPELHVLALSSEIATLVHGA CFSPDSKLFGYGDHLGSQNPLYLFEKYLRDSGCDQAALSVFTESTLDEASEVIAQSVL QSLCRKYGIDSPPSDKMPKDLKDMCSHAIRLALDLRSTGSEYVWEQTLLSRIDGEEYN PERDFMVGTEEPDISDDEDLDVSLVVFGGVVRGDRESGLLKNGVFRISEAHVVVKRRG KKTVLNG
SAPIO_CDS1526	MQSQTRDVPMDEVEVPLATTHPGSAANPRPHLRRFTAHLMRQKE VYERTIQDLHDQLSEKDAQIDALNKTLLRTGRGDALTDDIVKTYFIQLKGAIFQFVMN HCGLFRHQLTTTSSSKEHQTCILTSDLATIIHSKFFSPDSLAFGHSNHTGSQHPLDIA DKHLQNSSCDETLHRKWRIATAKAIASMHANTTADEPYLLARSIWVSLHTKYGLPPQL QGHPTDLKDLCEQAITLALKLRSSKVEYVWEQTLSSRWDGVAPLPKDVVVWVEGPDLA EDEPSDISLIVFGGVVRDTRKFESRWETNAAQFQSFLFLLSLDLTPPSPKDPSCWPLF LGDAAAEMGDSGIGGLRPPLDLLKSVSEGDYTGVLTSPAARTGIQQCVASLQTPGTTG AEGRDDKLAVGIAAFNAFLQINVTGPVLEGVGNVEAVFQEAGGDRSIEELRKECLRAL EVDGVSPYAYIPNVELFYLARYVILGLGLDEFEGRKIALEEGVGVSLQWLRLRVFVWH YKLLTQPSLGPASSFMKSSHWSDVPTLISQAQGVMEEVRAEVLAQEGNSWTVEERVRC LLELANIDIMLGRDEKAREAIKGAADLSGFEYALTGALGKRTKFQETSLSQLVILARS NKGHQDSQTEKTTSVPGTLPLNDDVLLEKVAFDGRENGVVEPSVEKSSGDGLAKDLNP DAQPQLSTLDQIILLTEATLKDVFSPLDALTSEEILPYAERVLQDKSTNWQVYTQALI VRSRLEVHRSRTVERGVLQMQAVIDQVIVDTSTPLALNSSAATDAKVEGEDTAVPTIA VSAPGEQASPVDETKPTSFFRALEASDSAPAQERLKYIHAIATPPRWHLESELAYGWT GIGALVSALEIFKRLRLWAEVALCLATAAASAENDEEGRGSGGDAKARGIIRWRLFNR TGRPASESIDADAESVSDNDVTHLKPSDFTGPERDPPPPNAPRLFCILGDLEDDPSHY ERAWEISKKRFSRAQRSLAEHYLQQKDFAAARDAYKMAVRVNRLSPEMWSRLGDIHLR LGETQDAADAFGRAISAASDVVGGEDARTWSNLGSALWSMYLDAVEDLKNSKKENAPT KPTKLDDEETDEEEGDENEKDAGGRSKSAKDAATLLTQSLQAYKRGANLARENWQIWD NVLTIASRTRPLFVADMVQALQNIIRIRKTETAIDEDILRLLLQEAVLSKEKKAVPGR DENAIYETPRGSEERSVVELIERDVVPLITARSELWELVSRERAWRRDYAGAVEASER AWRASIGMAGAAANLAVGAGSGDSNAAWQTDVEAWKVVVKRTDELVSVLENYGMEVES VGEKWRAKARSAVRSVIGKGRARWEGGEGWSILEGLIEELKR
SAPIO_CDS1527	MPQPPRKRVKAQGKDSLIISLDFGTTYSGVSYAFSSEPDRVYTI VHWPGNSGQSAPKTPTTIAYDLDSTTSFQWGDQLTFSNKDIIEGLKLLLDPDQEWPYL LSNDIEAEMQKLPKSVLEVTADYMKAIMNHALAAIEGDSLNPEYVQRRFEKKFVLTVP AIWSDKAKDQTLLAAKKAGISPVELVCESEAAAICALAAMKDMGLKAGDTFVVCDAGG GTVDLVSYEVKSLEPFQFHALTTPTGGAFGSMMINKLFEQEVRSVVGGDQEYKALREK DSYRQALVDFNTRIKPSFRGRHDAVNYVAFHRAGLEDNTAEGLEANSLALTGEKLFGM FDPIVRQVDRLVSEQMEMARNEPRQAPEATPGHIKAIILVGGFGSNVYLKSIIEKSNP DIMVIPPIDAWTAVSKGAVMSKLGIAPIVTATKVEKHYGTKSRRIWKLETDRGEPKVW DDLEEINMCRIYQWFIHKNSTFSRDERLTISLAQTFYGKTPHPSRLNIETDLLEYDAE YAPEHRPEHEAKDARVRRNCVLKTDLSLVPSRLFIHKKRPSDYADYVELYFDLVIRND PSGLLKFSLEVDGVEYSCVDTEY
SAPIO_CDS1529	MSSENRSRFVTQNKTTNERLSTNTVGLVALSEFRKRRAEVLEQQ EREAREALLSASSTPNRSTSRTPISGNVSGDAGSGAEQPAKKKLKQKKTKRKAGPKLS FGGDEDEDEQDDANAPTDGSGEKKVAATRDGERATDVKKSKLAANASVGIVPKTLTKA AMKQEAAEREALRREFLQIQDAVKATEIAIPFVFYDGTNIPGGVVRVKKGDHVWVFLD KSRKVGAEMQVGGDKVRARKAWARVGVDDLILVRGTIIVPHHYDFYFFAINKSLGPGG KRIFDYSSDAPKMHNHNHANADNADDDNKEEETSGLMTAAAYKAAEARNLPDISTLEG ANDDPTLTKVVDRRWYERNKHIYPASLWQEFDPEKDYQTEIRRDLGGNAFFYAK
SAPIO_CDS1530	MTTNLPSSFASAAAGQNANRDSRGARADGRGPEWSRRDGRSSNG TLTFRRPSAAPQNQTPQSVTAPDNTMQFPTADSTSTPQAAPVPTDLSAARYSKEHLLG LYRDGVGTASQGSISRLYMDGWNPGAGQLNGHSSRGWGKPQEPQPVQDPDVCWNSAAD MRPLGLQEMSLEEKEMFQSDVNSPMKPPPQNKDANQTAGPNGRKASLSQGSGHPYNVT SPTSARPGTRRRESVEPSPFSPSIASPTAGMGRYTRDENFPWFNRKGTDIKESDATDP DADNPPKDATTPQPKPSFGSLLRSSTAGSGGLGSLWQNPQPTPAGTGGSGMGSFGNFA LPTSASKPFSSARGESRLAHLIPKDSSENIAAKANEPANPDLNRSWRSRPRTDTDPFG DDSMSASGLLGSARGETPPVSKQGGGQGPFDSTVKGTIGDLGLSGLQLGDQDTAAPLS PSNTNPYRSPPAERGDGEDQEESDFDRLRSGGADPIHAFGTIPSRGYSANAFDGSDRS QTSSAGPNRYTSLSSLGGWPAPPAVTGTPDRERSAFGSAFGNALFSPVGDMSSAGLGA LGGVFGAAGGAGSIGPGRGSKLGSLFPPTMQAQMQNQDHDALSDHDLRQNNPLGAIGR SAIPGPGREGENQPRQGQGIFDDAFTAASSRAIFTSADAQPSLTSAAPFAGGQTSGEG PGAQVRQMVMPDRMRWVYLDPQGQVQGPFTGLEMNDWYKANFFTPDLRVKKIEDPDFE PLGQLIRRIGNSREPFLVPQIGIPHGPPPQTGPFSPSGTTGVIPPLSGVFPSYGRTLT AEEQNNLERRKQEEQFIMAQQREFMLRQQALSKFQIPGAASAIPGALHHHSSAHSLQS QPSFGNIASPITVPGAQGAIGQVPAAPGGPGGLFDSSLALPGGPSAAAPGAIGSGAEI FRDEELANLSTPERQVLASLHGPTTAPGPFQQSRTGSQDDGGASLRGQLPATEQLTQD SQGFRERLMEFELLQREREEAAASAAAKSVTPEPEPEAEKIIAQESPAAAPVKESSRS RAKQHAEESRPAPVREQPKKTQPVPSAAASAAAAAAAFGLPMPFPPPEPLHEESPETA PAQPAQPPPMAPWAKEMSSDNQHHKGPSLKEIQEAEARKAAKAEQVAQAARRAALEQE AAELRDKERAASAVIPGLPTSSTWAQQSPVNATSPWTKPSGIKTVGAGSATSAAAEKK RKTLAEIQREEEARKQKAKEIAINSAVAAGLGGAAAGKRYADLASKPNPSSSPSASAA AAALAGPPGSGWATVGAGGKVKVPTGPATTQSRSASVNAMKPVAAAKPVAKPVTASSG SAAKDNATLAMDEFKKWVHRELSRGITGVANIDDFAATLLCLPPDAEMISDAVYANST TMNGQHFADEFIRRKKLAERGVVERQPSSLGAGDARAGGAFSSSSGAGSGGWSEVAKK GGYSSSAAGREQGSDAIAGAGFKVVPSRKKGGKK
SAPIO_CDS1533	MKATILAPFLLATSTLALPAPKETTEPALTCFQRSTQFKSWDLT SFTYSPPTAATPTNSSAPSRASVSFTVINSVIDPQVKCVAESDKAFDGAEKFKCDGPP VDDPATYQGGAVEFSFDAASGNVTLMQRWICHDDPVWPSYLTGVGSGIADLSCLGEGV VSGESVEDTSESPGCAGLTVTVNLTEISAIA
SAPIO_CDS1535	MSSENAQSLKVLDQLMQQLTISKEAPAIKEASAALASFMNGRIE DKDVPNKTIEALQKQLASKKDALAREKALVAINAIAKHAEVSAHVEPFLVALLPAVFA AAADKIAAVKNEATAAALAISEAINPNAVKSVLPPVMKSITSAQKWQEKMLALDFLDA LIKSAPTQVSYRVPDLIPVVSESMWDTKKDVKDRAYKTMEKLCSLIVNKDIERFIPEL IKCIAKPENVPETVHLLGATTFVTEVQEPTLALMVPLLDRGLAERETAIKRKSAVIVD NMCKLVDDPNIVAPFLPKMMPGLQKNYDTLADPEAREKTKQALETLNRVGGVKDGKIP EVRLDGDVSVVLGKLKDILAGKHAAVAAKLAPVLEYASAIAGQLIDEKETDAASWAEN IKPYITVVVGDADSDSVVETLRKRASPGAADADEEEEDDEEGEDLCNCTFSLAYGAKI LLNQTGLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKQSEVKTVFVEHDLDSA DTEMTTIDWTMKKLQEAGVETSQEDVIKQLVEFGFTDSMIQGEITALSGGWKMKLALC RAVFEAPDILLLDEPTNHLDVKNVKWLEDYLINSPCTSIIVSHDTGFLDNVTQHIIHY ERFKLKRYRGNLKEFVRKCPSARSYYELGASEMEFSFPEPGFLEGVKTKAKAILRATK MSFQYPGTVKPQLTDITFQCSLGSRIAVIGPNGAGKSTLINVLTGELIPTSGEIYQHE NMRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKVITEDDEQAMNKI YKIDGTDRRVIGIHSRRKFKNSYEYECSFSLGENVGQKNERWTPMMTADNKWIPRSEI LATHQKLVAEVDMKEALASGQFRPLVRREIESHCANFGLDAELVSHSRMRGLSGGQRV KVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKKFEGGVIIITHSAEFTKDLTE EVWAVMDGKMTPSGHNWVQGQGSGPRLKANEDDGEDKFDAMGNKITAAKKKTKLSSNE ARKKKKERMARRKRGEEVFSDEDDL
SAPIO_CDS1536	MKLSVASVLAYSAIASAHCIFQRLSVDGQDQGSLVGLRAPNQNN PVQNVQSQDLTCGVVASKSEAVIKVPAGSKVGSWWQHVIGGAQFPGDPDNPIAPSHHG PVSAYLAKVDNAATASHQGLDWFKVAEDNLDTSSGVWGVDNMIKGQGWHYFTMPSCIA PGHYLLRVELLALHSAHQNMGAQFYTSCAQIEVTGSGTFTPTQTVKIPGAFQQNDPAV LVNIYAAGGVANNNGKPYQAPGPRPITC
SAPIO_CDS1538	MASRNSRMTLGRLLGLSRDDNRSRREWDFEHRDPLLPQHSTGHL NPVVPPVEVTKVALRLRHLIEECVPCELEESLITQAHSRVITKKVILAAKEAGGEQYR SCVVFCLLVCSRWFKRQAILELWDADLHNVRSTACQVIAKAIIENEEDTSYLLHTLLL HRFSIIVNGKPTPPANAIEKAVDLHALRVICSSGYQKCLHYLWKGWLVQDEDDPTVFV DYKGRDDERYIVHLDPDRLRAPIYQNAARLLFSILYLVLYTMSINSVNWDGSFDAAEI LLYLFTLGFICDELTKFWKAGYHILSFWTAFNSVLYAVLSVSFVFRIIGLAHPLGSDT RHQYDSLSYNFLAFSAPMFWIRLLLYLDSFRFFGAMLVVLKVMMKESIIFFALLAVVF VGFMQAFIGLDLAEDLETDEIWFIIQAMVNSIMGSPEFSGFEKFAPPFGILLYYIFTF IVMVILLNILIALYGSAYSDIYENADDEYMALFAQKTMSFVRAPDENVYIAPLNLVEV VVIVLFSWWLPKDKYELVNDVVMAVIYSPLLVVAAYFETRKAADVHRNRLRGEDDDDT VEEWEQLEDQVDFEAEGWTKRCEDVRANVEVDPAVVEVRRLMDEVAELKGLVEELKRG VEGKPKDEKGEGEGEGGEGGEGETAS
SAPIO_CDS1541	MDPQPSEMEAQDNSCRRADATPKPESTTDSTIVSSEKATSKKQA ESDSKMVDRKDDGKETIKHNHRTKKNSKTQKKAKKAKKTKVVETDSDSDSDSDASAFS DDSDDDSDASDSDSDEEVTRKKSKRAAKKGSGRHKDKKAAKSKKKKKRRKSKKAQASD SDSDSDSDSDAASDREEDDDNSAETDESNETDETEETNGSELDNSRQNQQDLRLQQQQ LQQQQLQLQQQLQQLQLQRFNQPALYPLQLGLFDMGHGINNPFPNQPQLHNQPTPGQL GGIPPFRGNGRPGGRLPLPHEDGRRRRESGKKNSGKSSKNGRMDYKRVDQVWDSSIHN YKLQDTAETTVDPKYKGYLFHVRRTFDWEGKYKSTYVDIKSKVLRDCLQDVMGHIKGV SLVEETPKLDPNILFLYLEDMRAHVKKLKKAKPSGSTKKERKRDQKRNETRRRQLRTL VKYLDHDYAEVKKSLYPMLENGLITFDLIWALWKPGTLVYTATYGCHDEPRVFKVDMA EKHYSMARGDFYWVEGKYFEYDGKKFGHGSMSVDIPDFQGARKITALPCYPLSYHKNE TELRKTLVERGKKFVQLSGVHYKNHQGMAYFKKKKAVVKVNINGRVMVDPAIHRRINP NYPISIVRPRDHDLSDDDEDSDDENGSCCCGGGSESESDTGSISESSTSQQEGRRSKY VTKFIEDKDGNVRAVRVRRGDSDDERAENLDTVQSKDKESSKGNDAKDDVEDAKEEKP ATPEFSDEEYLIASPVVLGFAFSEKLWLEFTVSGIKEIQWNDSAYDSLVLEPKTKDIV RALVESHKYHAAESIDDVIQGKGKGLVAVLHGPPGTGKTLTAEGISELLKCPLYMASA GELGTDSRYLESELQKILDICHAWGAILLLDEADVFLEKRNMHDIHRNALVSIFLRQL EYFQGILFLTTNRVETFDDAFQSRIHIALRYDSLDQKAKKKIFKIFIERVRVLEKIDL MPFSEEDYTDLAKHDLNGRQIKNTVRTAQALAVNRGEPLSMKHIRQVLDVLKSFDRDL KGGTGYQEAMRSYF
SAPIO_CDS1543	MSVVALNEIPTLTQLYQKGDLTPVANPLRPASPTINDRVSVYRG DITRLHVGAIVNAANESLLGGGGVDGAIHRAAGPELVNECYTLDGCDTGDAKITKGYR LPADKVIHAVGPVYRQLGRERAEAALRGCYRKSLELAVENDCRSVAFSAISTGIYGYP SRDAARVACETTREFLEGKDGESLRRVIFVTFETKDVVAYKEAVPQFFPPAEAS
SAPIO_CDS1544	MQDQNQNNPKPHSKLYRVLPKNLSIGTRKFPAVQVEVPQIGTAN AGKYWVTYAPDYLSPGYIPPPQSGDALANLCPVLHPGHVLKNPANPAADIHPTPYAKR WGLHPEALPGRSMTTPTRTDERFFVEGDPQNAVPVTYKWIMEYFERAAAGESMDISDW IEDSVRVKRLTDTDRKYLGWFDKLWEEEMWQGLLFNDSTSSELRDLDVFNMRDDIPAC NINVPLHPVLARDKWIAPVQDADCGNYEDEPRWFYSLGDNVHGEWDPKNNDALWNAML PAFKLASAILHESPEFLFALPRLWEYQQMDALRDPRELKTYPRFATFDLPLPPGQRPF NPIQEFQFLRTPYYQNITNAVWAHVVQRVRWGIQGGAKFSGVSTAQVWDPSTDPMEHH FIMFSPDDIFPLLVPSYSGSEKLMTSFFIASTILHELAHTTYAALKAFFRNPRLLGPR ATGADWPRIQKARRLFCESTMREPYFQNEPIAELGYSFENWLFGGKPRSIISAHRNMP YRLHLSVALGTERYPFAHASDGPRAGKSDSRTRMPLMTGPPTEPDQWLINKPIHLVQP MFTFEFWDTMIPAYGLSALKMQAAHHPMKARIPMHENRISHFWSFYAGIEGSMKELEL MLNELETKGFPIAANYLVALMGERARFNIAAHRWAKHEAAYRARAVGMQKKIVEVRCL CLEAYTVSQFNLMQATGVRDWEITQRLTPTLEKWAEAARLYPGGTVPAESEHMAAAVG NIVAFIQAARGAFGTVWRRILSRLPDILNFFNWEVAFFENVIFDMYSMKDTSRAKMSE RFGSPWYGALGNMLVVVRSLIVQLGVAQKHYPLLPEWLTRFVETSHRISLLRRYLVVD QKKLNPVEWRKLLSTLGRAKACRQTHSKNWARFVNSSMAKMTTELKTRFENTVQSLLG VGSSQHPHYAFQKFQNTGLPVLDPKVLTGPFSDLVKVMQQDNDEVVVNVAMDMIQEGT LNPLDPNSIAGRIEAIEQEVRRRRATAVDLQNIINKIEDIYALQKDNQDLYETEMTLQ EAQRLRAGLLSQLDELERWHLESHLVDDQQKVHKGFNETKKLLEDQYKAISEAEERRE ILQRAAEAVLSPEQQALATQKLMQDLLRKQQEAATMPAGNVPHTAWPAQDPNVFTPWG DHHPTSILTSADWDLRDTKLQEARERLLKKRKDRDSDISLLDPNEFVLPPRKKRQTNL QSASLASLIQRPPSLRRPIGIANDPHPFATHNQRRAPFGGPPRCDQPLETHGDTPEDV VMAMVNEVENYPLRGLGETYLLPSNARESLIEVARRRLSPKKKTNKRRRP
SAPIO_CDS1545	MSSSGPVRQTALRAGGACVRCRKGKTKCVYENGRAPCRNCAKGM HDCYLPSESNAHMHGQTPARAGPNRPARDSLPGSSASADSRSALPGALPSRGAPSNTE KSSPAIIRRYGGQNGATAAAEHFASKALARINETLDHPTLADIQALCLIVIHEWGSRN AVRAYIYLGQAVRMMQMHRILNSHHAQPEAERFLKDESWRRTLWLIYILDCLLASTPG RYPALSPGDYAGVPLPCSDMNFAFGNAVYVKTLMLHEPLGLPPGAQVGEIGEFGHIVL ATTIWRDVVQMLTSSMQSFNAETCIPLIASIDQLRASLPMQFIDKPGQVNLHITMGSG LTFAMLHCILHCATIFLHRRRLLHSVTTEGVNIDEFKHTPVCHEIVDRLMASCHSTTA LLVALEQGSDKDSVVCYPIFMLFSAFTASATIAYLSLKGLTPPNSIETAGNIVKDGLH LMEESVEIWPLITPWLRHLAVMQRVLKSEASQAATSGTIGGVVAQERTPVSVKDEAAS NPDSAMETGDADGAAINNANSVGGSARGDSEPPMARKSGFTTINGGGSATPATGTPPP TLGAQSDSAAAAAAAAAAAAAAAASAAVQPKSEADAPADMTAAELCSAFERQLMELDD LAAFMGGGV
SAPIO_CDS1546	MADEKAHSDPAPAPTPDAGAVLSDGSANAILRDAKAATDKERNM TLWQGIKLYPKAIAWSILISTCIVMEGYDISLVNNFYAFDQFNRKYGEQLPDGTYQVP ARWQAGLSNPKGANVGEILGLFLNGIVSERFGYRWTVLTCLACIAGFTAIFFTAQNVQ TLLVAEILCGIPWGIFQTLTITYASEVCPVALRGYLTTYINFCWGLGQEIGIGVIMSM LDRKDEWAYRIPYALQWMWPLPLFIGVWFAPESPWWLVRRGRIEDAKKSLLRLTSVDR ETDFDADETVAMMVHTTALEEKITAGASYLDCFKGTDLRRTEVVCMVWAMQNLSGNAF SNYSTYFLEQAGLPTNKAYSFALGQYAINMAGVFGAWFLMTLGIGRRTLYLYGLSLLC TMLFILGFLGLVPDARREQASLATGSIMLVWALCYQLTVGTVCYSLVGELSSRRLQIK TVVLGRNLYNIVGILNSVLTPYMLNPGAWNWSNYTGFFWGGICALCVVYTYFRVPEPR GRSFAELDVLFEHKVSARKFDKTEVDVFAESVEDGVMAGYRETLQRERRGGEEGVDEK KVVGEVRFE
SAPIO_CDS1547	MLAKSTHVFAQHGGVNLECDVYEDADSYPPDSPVFLFFHAGGLV GWAREHIPPWLVQVCYQKKWPLISADYRFLPQAKAEGLLEDISAAYYFAWTWRSDSRR VIVGGASAGFFLATLTAHHLTPKPLALLSITGISTFQHPFFSSSTLLTPKPLTDENMA PHLNMDGPIATRTAAGPTPFVLERLTSSGAKNTDYVPPKPEDEDARNGPGYSRGMLYD YYLYHNKFPVLVGSIDPGYGPVFVESGEEKKLAPGKEDWPPTVIIHGDDDYDVSMDVS EHMVNALGEERVSLFLAGGQGHLFEREWFLEDEEDAMGAVRDAVERLVAIVQGTS
SAPIO_CDS1548	MSQPDPTGGPSPSSGRQDHLPSQPSGLRQSHTPSTTPSPADEHP PHLDGAESASHAEQLARIAGRSMPILPSETSSLLEQLNGGPSRGSRHGTFSPGGLSTR TGTDLASTSEYESDCQGIPGDSSTARGKSWRSRISKRMKSKKIRRSKQLAREAGVEAN MIMYFSYYIPCLAWLREYKWSYMTGDLISALTVASFYLPMVLSLADNLAHVPPIHGLY SFFFNPLIYAIFGSSPALMVGPDATVSLLVGTIVKRSGEENDDALQAQVCGIIAGIAG ATLLAAGLVRIGFLDSVLSRPFLRGFISAIGFVIIVDQLLPQMGLTEAAKKAGVSHGS SVEKLTFLIRNWRQAHTLTFAISATSFVVIMVCRQIKHKLQPRYPSVAYVPDRFVIVV LASILCWRLDWDHKGVAIIGAIKSASGSLFTFRWPFVPTNMKHIREAMSTSFLIALLG FFESNVAVKNLGRSVSFPAMQLSANRELVALGVANLVGGCFMALPAFGGYGKSKVKLA TGGKSPMSCVFLSLIALCTILFLLPYMYYLPKPTLSAMISVVAVSLIEEAPHDVAFFL RIRGWRELGLMTIIFLATIFYSLAFGIAIGIGLSVLQVIRHATRPRIQILGRMPGTRR FENAETHADRVELIDGCLIVKIPEPLTFANTGELKTRLRRLEFYGTSQAHPALPRLRR EESNKNIIFDIHGVTSMDGSGTQVLEDIVRSYREKNARVFFSRGPKDPKHPVRKLIER SGIMDLIGGEGHYVDDVHEALKMTELEESVEGVVAAERRRIGDDRSPLLHQ
SAPIO_CDS1549	MATERVGAILNHLNPSSGLDRILAKNPDDIVITLAVRTPLCRAK KGGFKDTSLEYMIYALLKQVRERSNLDPKLVEDIACGNVGDGKTSYKLRAAALAAGFP NTTSAYSLNRFCSSGLKATADIAHSITNGSIAIGIAMGAEQMTVGGDAITDPFDPAVL ALSQESQDCIQPMGWTSENVARDFAISRQEMDRYAADSFGKAERAQDAGQFDDEIVPI TTKVKGKDGALEEVTLTKDEGIRRGTTYEGLAKIRSAFPQWGPTTTGGNASQLTDGAA ALLLMKRSTAEQLGQPILGKYVSSTTAGLAPRIMGIGPTVAIPKLLAINNITLDDVDV VEINEAFASMAVYCRKTLGLTSEKMNPRGGAIALGHPLGATGARLVVTGLSELRRQKK RVLITSMCIGTGMGMAGLFVNEVL
SAPIO_CDS1550	MLLQHLLPSSTTLLALLLALSSLPTPTTSTRSPKRGLVFISQPQ HPEDNAVWVQKGSSLTWYYNYRDTPSTAFAGIPQDRFEFVPMMWGVDQEHPEATTFLD KVKAMLDDSVNITHALSFNEPDGTIASGGSDLSPKLAARAWVKNFEPLRELGVKVGLP ACTGAANGLEWLRNFLDACAELVSEGAEKKRNCTYDFLPVHWYGDFDGMASHVAERMA VFPKIPIWVSEYALPHEDLPATQRFFNISATWLDNETIVERYAYFGAFRSTESNVGPN PVFLNRDGALTDIGSWYLGGNATGVDPQSGEGGAGSLRASLGLMVLGIVAWAPALWGG LFDEDYGY
SAPIO_CDS1551	MSSVKFGGSYKLFEPEVHAERPFIVGVDERLDSPETAALKYEEA VARANGGDIRGVTPPSLLSMATYAKAPAAVHGFDPTRSFQDSPYTHQYSTQAYPTQAS QTTSQLSQDSFDSTATVGSVASYVSVGPTVLSYRPTTGSFGTKVQLRVSLNATGSYFF IAFGSQKCEAQIESSSQEGSELVYTLSCLAPQHMATGCHTANVPVSLVIEGPGGEEIS RTELGQFLYCDAQPGGAGGRQDDITRKVSKSPGQGQTESPPKSPIQLRSDSTATTNTY DYPVASQQPAQSPYATAFPQGGNNTMISTYRASTTFTDQYSRVAPPALRTPAGGWPSY SAHLDANRSPAAIHHHTAMTRPSLTPMPLSAGGSMPQLIRTSTLQAGAHGSGQGYNPY ALYPNKAVLEIVGKLDTMAENWTPEEWANRRRIVRFTKTQHGSTLTTKFRPVGPNEKP ANTTCISCIWWEEKGEHFVTSVDTIYLLEQLVAAPSRFTVEEKNRIRRNLEGFRPLTV SKTKADSEEFFKVIMGFPHPKPRNIEKDVKVFRWKLLEPALKKIISKYSASPATMVPS SHMLAPTPYHALPTPPLTASAVAPEAPGAYAVQAPSHHDSLASPRSLSGSSSTWGQYA PTHGPLQTIPGARTTMSPNVRTTSPQSSLRLSSLPSVSSYDSRTMTSGPYTTAGLHSS VGHAAQAAAVAATAASRWDATPSTYAESYPSLSTQHSQAHHQIYSNGHYDGTHRS
SAPIO_CDS1553	MSPRSALLSLLLSALPLVSAQECTLQFDGRIPDGFAAADFDQAN NIFSPDNVFGQGLAFSQLIQLPAIGASLFDDATVPFEVTISDDSIFAPSADNIQTGFR RAELLPASNDGTDPSTTGVKTLHFSLMKDNARAFNLSHEYQLVFLESADFSTNQFVLK TGTILGGNTADPDTLQLFGNVNQGQLLFSTPFTAGVFHNFGVVLDFDALTTQVLYSTG DDPLEAQTDAIDNDVSGEGQFHFGVLKKPTDSAGDITKDGFQEAGIDEGLIYAGIFQE DSSDGCISLSL
SAPIO_CDS1554	MPTAHVRPGDDEALQIIANALVKARKVVVVTGAGISTNSGIPDF RSENGLYQLIQAQYEAAARQATQSQLPAPALKPADSNSRDDASNVDEFPSHRPSKRRK LSTATKEEIGAPGTSETSEANSSAESGGIGRHRADRKTRSRLELPNATDREKLGSLLI KQEPSELPNTSSTAPAPTCDDSFNTSSQDDQRTRVDNDDQARQNPELPQDNGDATFST RPSGPAPSAPLFSTPSRPRYGKNLVMSPQQPPTSSSDICETEASSSDATPKTLTESKK KSDSPRPSSAGRDKRAAFQHVAYPYPELTLRERAKLFPRSPGMANLLQGKNLREVNEE RPKVSEEEMELATETMEMIGNIKTCLVNLHLHAREMNSPFAQEVLRRPRLNWMADVIQ VMEGDIQQYLGNQAETTKNQPETPEAKDDETLEEPSERATSPSRTEIPVMVPSPSMTE ILLDALERQKDKKSPLQKPMSEQTVGDEADVSTTRPPKQLRQSSPSSGVECVFLHGSL ESLRCFVCRKLCEWEDADRENETLSGRQPECPHCAGATAARQERGKRALGVGKLRPDI VLYGEEHPNAHLISPVVTHDLAIGPDLLLILGTSLKVHGLKVMVRQFAKAVHTKGGKV VFINFTKPPESTWGDVIDYWVQWDCDAWVDNLKERIPIFWLPPGTVLPEPPKKRKRES VGGETKKKAAKAASEKKSKKKKEKEQNGDEGASESKSLKDAVKIGASLATNPTVVAEQ ANTSADINTTATGSLSDLATTTAEEQSNETKAKGPTTAKPQTPQKPNANRPKGIKEDK ANGAYLSWKIMDSLRSISGRPAPPPMELPSVAATRAAAAATARIKRNEAAKARRQRKS APAALTRPAPSDLDPIPETTPVQFTTPQGVNSISQSSPPPPVTTPPSMYVAQPQPRPQ PDAARLLLAGTILEAVKSNPRRRKRKTIDGEEVVLPCVNSRGPSKQRPGAKNPKPYQP RGKENTRARISTTPVPIPNIPHATHPPTATRPPRLENGVCPPASLPLLKPAPVTAYTE SLSLPPLRQPISPTSSRFPKPVPMEPLSSPRGPLTSISANLRSPMSEFRADPFFLADP SVQWARTSWGPSGWEGGHAAWG
SAPIO_CDS1556	MFRAPLRRLRPVTINAATRRTVALPRRAVSTNSSPKKATWKGSA ARWGAAAAALYWYNTSPLFADDPAPQTSPAPQGLNDEELVTVDKVIDRKRKEIEARAK EREAREAAAKQAEAEKEAAQQAATQQAAATEGAESVPTSDGEEGSAAGAAPAAPGSPE ALEEEAGQQGAFDPETGEINWDCPCLGGMAHGPCGEEFKAAFSCFVYSKEEPKGMDCI DKFQGMQDCFRLHPEVYGAELMDDEEAEGGERPATSDAPAAAENVTPEAAVDTKSAAA PAELEAATPAPVEKKAKLETKTTGAAPVKAEKAETKSQEVSA
SAPIO_CDS1557	MSREAESGNTTLGFTPPEERPLEMEPVSAVGLASSIITFVEFAF KLVTGALEVYRSVDGTLEENARLERVIGDLNSIVDDLGKKGGGGCRSERAIRDLAVEC QADAELLVDILKSLKVPGRRTLWKSVTAQWKALLRKDEITGLKERLQEYRSEIMLNLM LLLREDWSNASHIADRIGDDFGNLRNELERVKEDVVKEILAYSTPPAPANKDEESLEN ISKMLRELHVSARAIPVKQRILRQLVFNEMRWRREQIYVADDTTCGWVFKDYDASRND EGNDGPADSRTGSKGRSGKGPESRHEEASMKIRSWLRHDHHILHLSGKAGSGKSTLMR YIARHKATQEDLKQWAGQKTLVTADFYFWNSGSDLQRSLQGLYRSLLFEVLYAAPELI AEVFPRQWNRFQAEVGDRRVESVDFGEEQIRDAFEILMNRTGEASGCRFCFFIDGLDE YHGDMMAHEELALKLRQWTKGRGVKILTSARPQQEYSGILEFTSNTIHLHTINRPDIK AYCKSRFANDRVASNTGDTYEEIISKISSYAEGVFLWACLVVNKLLEAIRQGDPHWVL QKKLDETPRELNKLYTDLRNSIASPIDRVRCDRMLLLAAKNPTSEELSALSFSWLDEG ELQNPDFLSDMLREPYSPREMYQRVSRVNKLIGSLTKGLLEYSPKTFLTIAEQKQYEV LQTHNVAFFHRTVKEYLLESEDRMRELEASYPEFRKAAVYGIIFLADYVFDPERRSDA RGHHSSLSRVISRLNRLGTDAFPFLEKLRAMLDTAPTNKRQNNHALQGCREKCRADGQ LDQVANDDLSLLISTLSQTNGAWDEDMLNTTTWLHEDNLNKPFLVYWILSDDEDTIRE GFRIPVFLVAMMFILVYHSNWYEWVEVPGPNKPLFKETKILSLFNRLREHARKTGQSA LSSLRKSDKVVADMLGHYEHRAWTLFGVCSLEGRLPALPFLLLSLTMTRTFEWELASL LKPKDPTKAVTFDLIVLNQPLKDTPTLRALWEGANVRVAADGGANRVYELGKEAERKG EENIFVRVFPGRPLRPPSSLCSFYSDRKAKQDSLSVIIGDLDSLNEDSRAHFSPTTQI IHDEDQESTDFGKAIAWCRRATAAAPAEQPVDILALGGVGGRVDQGLSQLHHLFLFQP GSGYDEGRIYLLSEECVTILLKPGRHILRVREKSEGEGGGGGGGGQGEVFGKHVGILP IKEPSVITTRGLEWDVEDWPTSFGGRVSTSNHVRPEVDTVEVETLKEVLFTIALKDTQ A
SAPIO_CDS1559	MALGTGSRTSVAIIGAGTQGCRLAYMWSSTGYDVHLVDAQASQL EGSHKVIEGFRSAASRKPRRWGEVITHFPKDLSAALQTAWLVVECVPEQLQLKRKVIT ELDSLAADEVIIASNSSSYTCSEILETLTLKNERRFLSAHSYWPPETPELEVMGHETT DPSCITLVLDRSKAHGFLPFHVKTPSMGYLYNRIWAAIKREALLAASEGVATPEEIDA IFKGVLKTPKGPFELMDVVGLDVVLDIEQHYADARGNIPSEPREYLQSLLRSGRLGVK KCQIAGTSSEPRTTRSHSGRAAQRWGLVTTRSTTLRMRDAVDRICSSRALDSSRKMAT DDMTELADETTDAG
SAPIO_CDS1560	MAESALNDQHLAAGDTDEQEKGQQDQKCEYSLQSTIAAFSARWL PVTSPGAPPGFDHCAVVRALWRYARRDMLRIINRPSYRSMLSLFLFALTPIPSGISEE EEADGISGQACVHAALQQVQTLRARQRYLQFNGSKVSPLLESQGRMPATTEDAMDTSG FINAESTAYWAALTFDTSASLTLNCRPLLSSGLFGFEHELPWRLVRTCSKVFRETADG WRRENVEINDERANQIIAAGASWKLLGWKLTAIFKEAVRDGHSESEVRRAFTAVLDSI REFNAIYRQPLDECHKRLQFLGQQTKLRWFSLMLHYHLSILMLVDVIEATDRHDLLAD LAEISSNTEITVMNTLAFGLHTTVILKRDSDSEMALVGSPTRTVPLLSIDPYPHHVVA GVQLMRKAIDRDFGVGKITEETYRSLLSTLELTLSHLPQSSKSVQAARVKFSSTMPDR ICLQS
SAPIO_CDS1562	MASPTPSDKKSDPAETTPDHNSDGYGKGEIAYIDAAETTLESFS HLDEKKILRKMDLRLIPLLALLYLLSFLDRGNIGNAKIEGLQEDLNMKPDQYNWCLTV FFFTYAAFEVPSNLLLKKLRPSIWLPTIMVAWGVVMTLMGIVKNYHGLLIARIFLGVT EAGLFPGVAYYLTMWYCRHEIQFRQALFFSAASIAGAFSGLLAFAIAKMDGIGGLEGW RWIFILEGIATVLVAIMSFFALHDFPETAKFLTEEERAFVVYRLKYQSQIRGQEQDGH VRVPEADEFKWAYVWSAFKDWQLWVNIFVYWGIVCPLYGISLFLPTIIRSLGYTSSKS QLMTVPIYVTAAILAVIVAWTSDRVGKRSPFIIPLLCVMLVGFAMCISTSNPKVVYGG VFIAACAIYPAFPGCIAWLSNNLAGSYKRSVGMALQIGIGNLGGAMASNFYRQKDAPR YITGHGLELGFICAGILACLILIFGYSRSNKSRERQMAAGVADQFTTEELSAKGDKAV TWRYMY
SAPIO_CDS1563	MTTSSPALFSLYADLRLGGPRETSSNIDSDPTEASNGPTFNGRL CDITQIWLSDNEPGDCHLTDQIAAPRSTVNKRFGPNSLLLRRNVHKDKKPVLELEIQS DLLQNVIRAVCPHLSTLNIHTSPIVVKAPYHELYHFRKEIAATAAVADLPILEEKRLK TEINTLENFLHESLGRAIDGIESLTSAGQISMDYLWAIFKPGEHVLLRRQDTGGRFET LCGVLRSFFNKKDADGNVKWCLKVLHMTFERGRFGAIEEVFQFPAFVGQVAISALPAY PLAYCADRAETVKDLVRRGKAFIELCRNAKGLGAPTHMTYCGSIWIQRERNAWKLTVK QIAGRVMIDPVGFINEHGIFAKRIIASSLSNARSKSYNFSSDAGDARDSDSESSNSDA NAPIEAPDHLRDVDVTSLTPDELMTLPSRIAGYSLVTKDAGYFQVDGFTSIVWEEDRA EHIRQSSHRMRSVLRVTSGFSFLSEQFDYQIENKGRGLLILLYGLSGSGKTLTAECVA ELLHLPLYRVSGSDLGTETWEVESRLLKAFNRTTRWGAVMLFDEADAFMAKRADDSLE RNAMVSVLLRLLEYQSGIVILTTNRRKDFDPAFYSRIHVSIEYGKLSASEKEAIWRWE IEKGGWGSDALSRDDFAGLAKLDMDGRTIKNVIHVLKLFTGSEERDSLSLASLKQVLD IATGNLDDDARKKVDEFINSGDQASPSGR
SAPIO_CDS1565	MPSAGQSLLEIDSLEIHVLVNDEIDQISPSPNPLVKHTQSFAGV PLSPVPDPAARGGAKLEMPMRNICCVNSGKADDSPVVVALPPNRPDYRGVMFDAPVSL EPDPTLQEIQDAGGNIEVIDQGRTVLDGMFFISGDIPRETSYEGGIPGGIRYSIESGK WTKDELIMEERYVMCNIKGKGLVIFTGCSHAGLINIAKNAKEITNNPIHSIVGGYHLA DASPEKMSHSMRDLKELKPALLMPGHCTGWRFKGLIENQMPGQMAPIFGGIKYVL
SAPIO_CDS1567	MKVRNFDSKKTLDVITYRLEVLSSKRIRQKLAAGEDVKGYWGTA PTGKPHIGYLVPFVKIAECIAAGIDIQILIADTYGFLVNYDATMEVVERRSQYYSLLI TSILRSLGVPESKIWIERESTFATTEAFRGNFLRLCAASTQDDIRSVGLEVATTSMLS PLLCPLYQALSEVHTGCDFQLGGMDQRGMFAYADKLLPRVGHRPVSHLLAPMIPGLKT EKMSSSAPEDTKIMFFDSAEAVRAKIAEADVSPTEPTRNALLACLEHIVFPVYALGIM APGTLPEAALAGGVAKRYESYKDLEEDFFRGLVDVDSLRSLVADTLNHILEPVRQEYA TSKEWQAVDRFAYGTPDGH
SAPIO_CDS1568	MSATSIPHGEPVASLKTIDFEKILSNDPQTAQELLEAARAPGFF FVDLRGPNSAGMQNDLEALFELSQEYFAQGKAIKNTHFRADVDRGYKGGVGHESFEIA RDELSNETLAFPGVLAAHSSTLTRFSQQSDFVTKKLLASLTRSLNKQWAQDLDAPSPS GLKFISAPTSETRSAAPDTTHTDGGILTLLWCPQLSSQILDPQTKEWGWVEPREGCTL VNVADALQIETGGVLHSCIHRVSQPGDGVEERHFISYYLRPGTA
SAPIO_CDS1569	MSGIFCDDSGQDWSDDVRSIQSAPTERTALIKRPSLSNDAKEIL AGDGCGKPTAGNIPLLRVALIMGAAWFGCLIAAIDSTIMATLAGPISSEFKSLSLLSW LTTAYFVASATVQPISGRLTDIFGRGPGLVLSDVVFAVGNLICAMATNQYVMIFGRVV AGAGGGGLLSISTFLGSDLIPLRQRGVAQGIGQLCYATGSMLGGIMGGLFNDHIGWRI AFYVQVPPAIFCAFAIYFLVKAPPEKESDTSYLKRIDFVGVFLIASFLILLLLGLSAG GNIVPWSHPLPLTTIPVSLFFLAGFIKWESTIAEPVIPVKLMLNRTVFSGCATNLLAT VPFMAGILYLPLYLQVRGSSATDAGLQLSPSPFGIAVGSLGAGYIVKRTGKYVGLGIL SQAVLTSGTLLLNLLSSQSPNWLLSGAFILVSFGAGSMSTITLIACTAALDHSDHAVV TSAIYLARGLGSTLGVTAASAIYQNILNTRLWERFGSETNAAERIGRILEDLEELKRL EGHWHEGAMQSFMEGFKGVWVMFLCVAVLALLCISRMQQYELHSTLDRKSRE
SAPIO_CDS1570	MDAETILRPPSLSDVRPKMARSLLPPAAQDIGSSTNEGHAINDP KELDAEPSGPNNTQPRETESCPIQLVSLAAANPTSPGEELPGAEGAETTNKPSRGAIA LIMAPLCLSVTLSALDLTIVTPAIPAIVGDFESPSGYIWVGSAFILAYTAITPVWGSV ADIWGRKPIMLIALSIFLVASLLCALAPTMDALIVGRTIQGLGASGIGMMVNIIICDT FSLRDRALYLAITSIVWAVGSAVGPVLGGVFTTELSWRWCFWINLPIGAAVFFVLFFF LKVPNPNTPVLSGLKAIDWTGSVLIMGGTLMILLGLDFGDVTFPWSSATVINLIVFGT VVVGIFLINEWKLARNPVIPLRLFASRSTVAAYGVFAFNSYVFMGLAYYLPLYSQSVL ASNALSSGVHLLPLIISSSLAAAFAGVFIQKTGKYLPVMYFAQVALTLGIGLFLNLEF EKDLKKLFVFEILAGIGVGMNIEGPLLAAQAAATELDTAAVIATMGFGRSIATAVSVV VGGVIFQNEMNVKNPRLVDQLGPQLAANFSGEQASAHVDLIRTLPEEQQDVIRRTYFD ALRTVWIMYVAFAGFSTILNFLIRGHHLSRETKEVILGVDRSKASQQPEQPESSIRQP GDQAASVEGDGVRRRQAGNVLAS
SAPIO_CDS1573	MSSFGTLRALAWVVSLGLVQPVLADGCSGLEEHECCFASWSSYW SSKDEYEAPTTTTVYYTESWTQDAYNPSLTTLCDGFPRALDPVPEFSTVTVSLDEPKT TLLRSTYASPAPTCTIADEHCAPYLSDFESAFSSYNGSNATPHSPPCTTYTPCPRGDG VCKMMATEGVKVYYWPATVTGDLCGERTTITHPEPARSTIVDGTTFVSPSVYVSISHL QAAQYWGQYKKTACGKWMTDFVVSLDPSDVNTHWGPRQRRTAVFKQLNLADLNNPIPA TAFFGLTELPNCAAAPESCTSTIPYTNQPRLSAPYDQLRALNSELDFCSIHPSQFEMI GPVTWIPLEPTGDSNWPEPTEAPTEASQPVVDEPIETGS
SAPIO_CDS1574	MSGVEAAAGISLLCNIIQIATFLRDVITAYKSIEDNHTPDPRLA QMCEAVRGLYGRVFDEVNDERPLRQGQQALVDAAQKCVERTEELNHMVSRLAVRENSP RLRKTMTKISRSVLSMWQKKDLEALEKDLGRYQGLVDTQLLLHLSSQTRSSEQQHLKS FSRLDDRLKQVLESYETENSTIQQFILTENGRIKTCVTETGSDITRQLATVESAIRSD INKVENNRLDERSKAEQEKRHKRLLESLYFPERNARWNRIEENYPRTFRWIFSTPGDS LDEMEEDDSDENEEDESDEDEISLADVATFVPWLRSDSKMFWISGKPGSGKSTLMKYI ITSPETLEHLQEWNPDVRLIHHFFWKPGVPMQNSVDGMLCSLLYQMLEHQPSLAESFS GNGFNSTSKKTYHDWSTKELREALFRLLGTANTHFCIFLDGLDEAVEHLRKFDIKSLL DELAELDCVKTCASSRPEPKFMTYFVDTDQLAVHNLTRLDIEMLVLERLENMQIQPYF RQHLAHIVVERAQGVFMWVILVLNSIQMGIECQETPKACLQRVSRMEQDLDSLYREMW TRATHHGIDTSTAILYFDLVVGRRLFFEETKFSKVSLLELAMMADGGGLLESSFENNS VLTAENLLELAEKTMKQIEVGCAGLLECTPESALDSFQVRSDSKKLGEYGKIQVDFTH RTVFDFFTDSEYGRSILSKSSLTDEECFMRTLKAIMLECRYLDIIATGIFAEDTAGTV MKSTTIMEIVKCAQNYEADRSETLEAADWIIQVLWKWHLEGYWSDNNSTATEKNYFLP KEPAKIHLLKFVEALTAFYPRMACKFIQDLQASDLPDLLPMILYRACPDKPPGSGYDG QRVVRHALSLFRKLSDNGESTIQGGLSQTMSLAFSFLLRQMTLNLDETHQQGRLLDRY RNPLRSAVVTIESFAKLETFDHPWDQSFLLMLGFDFERGLIKWDVTVDDYELASMVTV L
SAPIO_CDS1575	MAFLGSYIFVVETTPSLLLYPALTRLRTWRLFRHIPGPPVARWA KFWLSRHALPGTLCRTLQEVCNKYAAGLDPTVDNLLTIISNKGHQRLAHARLAGGIIV DTQIGKYISLIERKYLSTAKMTRLCDLSRIMQYLTQGVITVLGFGKAVGHLDVDGDVI GFLGAADSMLLPGHIVTLLPFLRKLIEMPLVRPLLPKPDDGSPVGKLLGFIRAQVDVQ YGVNKTRNEDALQAFVDSGLDRTQVEAEALVLLFGGTDTTATALRNTTF
SAPIO_CDS1576	MALDAYTYIFAIGTLFALLEAFNNGANDVANAWATSVSSRSVTY RQAMVLCVIFELTGALAVGARTASTIKNGIIPSSSFGDNAGVQLLAFACASAGAATWV MWCTRNSAHVSSTYSLVSSIAGVGVAAVGASKVQWGWNKGTGLGAIFAGLAMAPFISG CFGAIIFMLIKVTVHMRKQPLPWAVWTSPFFFLIAGTVCSLSVVYKGSPRLGLDKKPA WYIASVSLGVGFGLFFLSALFFVPYVHAKVVKKDYTLKWWMIFQGPLLLKRPAPPDAE NTKAKVPNYAVVQEDSDSDDATAPSTPHQGVLESKTTATGNDVKIASTSSVDDREKGL VVSENPHEEYKRLLAEAQEKHHANLRKTKGPLGWAMRHLHRNRLGAGSIHETHNLIAL VKRIPAQIVVALLYGTHYDIHTAQIGIRGSPEGKRMERVYSYAPKYSNEVEHLYSYVQ VITACTASFAHGANDVGNAVGVWAGMYAAWSTGKPSASKAEVPMWQLGITAAMICIGF ITYGYNIMKVMGNKLTYHSPSRGSSMEMGAAITILIFSQYSLPVSTSMCITGATVGVG LCNGTFKAVNWKRFFLLFFSWIMTIPIAGLIGGCLMGLAVNTPHW
SAPIO_CDS1577	MENFTSKKGFSHMGSVITGTVNNHGLHMSGNHFSGAVTILGKHS DESKEDLCKKALFLTNPIDDKTQIEDNNDQLIPGICQWIHNHPSFRSWHADTSNSDLL WVSGAHGMGKTMMSLFLIEEIKRMQMQARGGGLVLYYFLDSCNEKRNTAVSILRGLIF MMIRQYSEMIHYLMSDFEYQRESLFSQSSLEALWRIFEAMLSDPRAARVICVIDGLDE CQQDSLKPFLRKLSNFYENQQNIQQLTQTHNLNPALLNPVASMKMVLLSQEEPACLTE SLSHFPRVRIEEAALYSGQPRPAAETKMRPQAGSAKKATAGKGVKGGLSSAVQLALKK KRVEEAKAAIAKAEANAEAKAQSQAVAALGQQVSKLTVNDPESSPESSAQGVTVPADQ SQTVVKATGEYVFDEEVVDDVGSIQYEEDVAAEEEAELVLPLALYIRAKVSELVQEQG SNPAEVSIAEILKARGDGTFLWVDLAIGELKRYAPEHSLQVAEQLPSDVNEMYCRILR QIPPHMVQLVAAVLRWVVAARRPLTINELSAALTHMGFSTNDPLGMTKQGIAACNPLL KLNKDGVVNITHTSFKNLLTAPSGAPWIDANFMQFHVNVADVDGDISNFLLSYLERGC LSDGSSSVVEDPSKYNQRCVQFPLFSYAVVFWPDHLRSASRPTLNLGAPFFAKKSVSR KNWWLSYYPETTKKGPMLAPRDFGLLHLAAYLNLPILAHHLEQRGEVKPRIDSRDSHG NTPLALAASTGGMDMLVFLMQRGASHECLAENVFELACRKGQAAVVEYLLNLGYNPNV PARDVGALESLGKSARWIHGIVSEGVELDIDLWRLMTRDTGTGGTPLYSAALGGHTEV VKLLLSRGANVHAATTKRWTALHGASWTGQTECVKLLLDHGAKALEVTDNGWNPMHCA AARGKASLVQFYLKLGMPIDALTVKRKSALHLAAYNGSAPTLRVLGAAGASANLQSHK GETPLHLATRRSKPEAVEALLAGGAERTIPNNVGATPLDTVKMIKGTLSEDNAEVLRI LETFGTPGYIPWAPKVDPNAAAVAPTQNQVTGPAGPAITGIQASFQFTSQQASYYQQG GVGVQGGAAMPTTPIPNPYMAPQSYCNTPPPPYTQSPAFQVNPQTGYLPEKQAQASAP VIPSQTGHQANIPNMVYRNTADTGASFNGAQPGGAQDYTSTPTTVYPGMGGGTSQQQV ETPMYQNTTAPGMSAITTPLSPYPQTQAPGLVEHPARATTANLMYQSSAVPGFNANGT TPVATFPQSNGLAGKPIESPVHTAVGSGPALLTPSYQQTTGPSQQSVTVPVHGAPQAN AAYAPAAPSHAIHQPPSSTATVFSETAPSSLFAEQQPAAELQAEVAVPQQDASPSAPT RQPTIQIQDLGSHQELPVEHSNTIQGHQGQPANPTTISPPLRRDTQPNAWNINSAPAL PQQQTQVPVASLTPTPSPQQHSYQQNLTGVSSFPLQGAFPTGVSNITPVPAAPQCNAQ PSISPILPSQQPFQQQQQQRQWPASTQIPARQQTWPTTAVQPIPQAQTLVTLLPTAPH QPWSQSGVLSPPSVPQTTGVGYQSQPSHMAPAPVPSYNPSAFPTAPTASTLSTPQTTG QVVFTPPGSTGYGAQQQQAWQNPQYPQQSGYVPQQYGPQGGSLAVSYTPSQYWATEQM QFRPPTGQTGMQKKRSIMNLGGLLK
SAPIO_CDS1578	MFSRNAVGTIDDTCPPTSGEGSTTGYSTKGVYGLKKCHDSEEAV ADIVFVHGLTGNRETTWTSKNGVFWPVHLLKNDVPRTRIFTFGYDADVVHFWAVASQN RIRNHATNLVNALVQLRERTDTEERPILFVTHSLGGLVFEDAMIASRNSAEPHIRRIY DATYGVCFLATPHCGSALANWASVLGQITGVVKRTDASLLRALQPESEVLALIQTDFH NMVRSRQDQGRPALRITCFYEELPVRGIGEIVPKHSAILPAYNAIGIHNNHMDMPKFS SDEDPGYLSVSTEILRWVRELQRSTAAQQPLHQGNVGAQNPVPGPALYGGMPGWAGQA PFNSVPPPGLVWIAGDSDATPVFAVTVTGPPALYWECDDGDD
SAPIO_CDS1579	MHREHSNPPSPTVLPSKANGGNMSFGQENSVAMPVTAPATQSSQ CSSQESQLFQFSQIAAMQEKLDDSDTVPTRKRMADGKMKSQDFSAMSPMRTGHSRNTS TVSMASTTGSRINELTAELKTRLSYAMVKVNHGWQGHSIDEVESLASHAASPTSSSST LPRRRGSSASPALSQGAVGSANNVTPPASQTHSPYEPSWPDTSRRPYASPRATTNNID TAKPRSGLAPPAPIQPGQAVSSHYGTSKQTYTPTLLSQSHTASPRTPIYGSPYISHSQ PTPRVSRPVDPILYSPHQNIRDQDAIEALISMSSPGNSSRLKHAFSPATSPNHTVTRT PGGRHALPTSQPRKSLPTSRPLHNNKRVGFEKSASMVALGSPDDMDVDIDSPPIHGSP HTGVVRGTPRRRTNGHGAVPSLRSSLSLPAALGAGLAKERPRLRDDEIEKMLERAAQD DSEDDEEILLPTNSRRSHSGVVGS
SAPIO_CDS1580	MSESIKLTYRNFLTPIPPPTNLSNISTTPPSTPCSACQSLVPDR SAATHDVIPTPYARTDTFPDFPALLASAETGCGFCELLRSTLRSTWGTGTYPAQNYGV EVRAENDSIGECLEEEWDGSVRLEKARFGFVPFSGEGGKMFWYEVEGEEVQKGGNVVS LEVDVVPVWPGKEDEGAFPVLCRTLKFDVFDSVDAGPTIPAAAQRRLPSPETLSERNT HLMKDWIAYCQTTCSSHCSPRSNWTPNRLLDLSDPTGIRLVESVSPETEYAAFSYAWV DDKEVYCLIQADGSTVDELREGVGLESLDRTFVDAVAACRSLGIEHLWIDRLCILQDS PEDIFKEESLIHKIFQHAKVTLAATDVPSPESGFLYRTTSQTPATKLSYSSSTLILSP SKPLPSESQTPRTLATESSKWSTSARALIQRSLSTRLIYFPSSSSSSSSPDIFFECRW GLRGETLGSESALGRISPLCARADDHAAQEYAEVKGRMRSYAYLAFRNLLVEFSTKQI SKPKHRPLLMANVAAAVEGTIPDTYIQRAGLFQRDIPQSLLWFPLPSAPRTRISSSSC PAPSWSWTSLNAPISFQAGTTDYELPKTLRKQKLAFQILSLAGSPTSLPTPSTGEEAK ETVTPLRLSVKGFLKKLKHLTAIEDTDPSQAEYRAEYPYDLVVDCGTSADAGGDRVFA HGDLDLGDAEGLVGSPSGREFAYLHVETETYPTGLILVRVQEEGGDGGEGEEVYRRVG VASIVGSIGGILVDPPFVLSEHGAISLV
SAPIO_CDS1581	MLAKALTAVVTAAAAFSQLGQAQTTPADEKWAAAYEKANTALAR LSQNEKIGIVSGVGWGKGACVGNTGSAGSINYPSLCLQDGPLGLRHARSVTAFAPAIQ AASTWDVELIRQRGQYMGEEAKGIGVHVLLGPVAGALGKIPAAGRNWEAFGPDPYLTG IAMIETIEGIQSAGVQACAKHYILNEQERNRETMSSSVDDRTMHELYLWPFADSVKAN VASVMCSYNKINGTWACESQSAMQKLLKDELGFPGYVVSDWNAQHTTAGSANGGMDMT MPGSDFDGRNILWGPQLQSAVQQNAVSAARLDDMVRRVLASWYYLGQDSGYPQVNMNA NVQGNHKENARAVARDGIVLLKNDGNLLPLKKPAKIAVIGSSTVVNSDGLNACPDRGC NKGALAMGWGSGTVDLTYLVAPHEAIKARADKEGIQVSHSPSDDGGAGAQAAQGADIA FVFVTADSGEGYIEVEGHGGDRNHLDPWHDGNRLVQQVAAANPNTIVVVHSVGPILLE SILQNTGVKGIVWAGLPGQENGNALVDVLFGDTNPNGKLPYTIGKRAEDWGAAVVNGD DNFREGLYIDYRHFDKQSIEPRYEFGFGLSYTTFEYSDISVSSTATPGPATGTLKPGG PEDLYEIVATITATITNSGEVDGAEVAQLYVTYPSSAPETPPKQLRGFQKLKLKAGEA GEATFNVRRKDISFWDVGQQKWVVPEGEFKVAVGASSRDLRLDGAFTVA
SAPIO_CDS1583	MSDDEFGNDTRGSAADRDKRAPRFSWTPAYENTFFRSLCESVQL GLRENHSFKAEAWDRAAAALREQHGAYPTKSHLVNKSDNARKKFRLWRGLREHPDFLY NPTTRTVTASDEAWKAHIEKEPLSRALRGRTFEHEEFMEILYPDVVGSGGAPKRIMKP KRKGPDALPGCEDNDMPGTAVLNLQVDRTVFQHNSAMANARHQPTPMPQPNVARSLGP SRPSSTALPPRTNMTNTSALTPPDETNHTRQRFVPQGPNAGAVPASDHRLSAGNAASA AAMQQNKRRRISTQAGFTVTANGNGVDASVPLSAGATPGRTLLEDGVLTIAELLRARA PPRWPEQALDIFFRDFADEDMDLQLKIAEKALADENKAMVFCKMPPAVRKHWVRRLRE VHNRAL
SAPIO_CDS1584	MMSYAEVAAKGPKQTAEEAAAPALPYLSTEDTTTTTEATTPSVH TISSTDLASSQASASAREGPIPSSSPDPNVTTSSFADLAASTEPHHSHSHNHHHSGSS KKRHAKEAAKKIANYGDGTPLALANLSLVVGFSAVLGFKAWDLYERGQLGWKELGIGA GIVGVVGAAEAVIGRYLYEEHLKKK
SAPIO_CDS1586	MLITHRDSHLRVRFEHSIYERGCVGGIGIASPFVDHPDQVSRSI YAEAVCTTTDHSRVAIAGHRAFSLFDFHGAKVQPRAAVARRAVRQAHVDISVLQAVPF IYFYRGAIRADPTTILEEQSPYNSDGLILRKKKALICRLASDAHVSPFWETTHRPHLR VVFVATRAPAGESIIVVTILALDGIRILFVALLYMLASRRRKADCVAESVSRLAEVLA IERKPWIVHIFSLYKVSPCTVVYNTSERQKGSSSSSVAGKGGLWVSWLVFQRAFVDDN FSSPAVIRSLLRIFIRASRPTSVPARPPWHPPGGPIYEEFCGSQKVPRPSCKVTEVPL QRVVGYYEGWAARRYCDTFRPDDIPAGVYTHLNFAYASIDPATFHIVPAAEGDPELYR ELTGLKSPNPSLKVFIAVGGRAFNDPGRPTETTFSDIARSRDNQRAFISSLISFMNTY GFDGVDIDWEYPRAGGRGGRDEDFVNFPIFVRNLKRALNNNGFRNGLSITLPASYWYL RHFDIISKKMKNSVDWFGFKSYDLHGAWETQESRLDSRLNSHTNLTEIAAAMDFLWWN DIPPEKVVMGLAFYSRTFTLSDRKCQKKGCVFDGGGTPDRCSGEVGFMTNSEIESNMV GTSAGSTLDADAAVKILVSGLYRDQWISSDDGETFKLKADFARSLCLGGVMVWAVSHD NGKGKFSKELQQATKYKSFSVGTTTSYGMTLRGDKIPVEQCFWTNCGKSCPYGWTHVR RRDQWSYGNTHMVDDSGCKPGQDSRLFCCPPGPEPYCGWYSLNNGRCSGTCPGADGLY EWHEWSEIASSGGGCTNGKSQKACCRRDHPEVRPPIQAMRLWDKCGWAGSEPRCAAST CDGYYPWRNNLVGSTNLGSGATHCYYDSAATLRGDQPYCCSADLDDEKWDNCAFTTSG GAYVEKYYGEGYCDGNCPPGRVKIGLDGSLDSCSGGNRALCCEPVVSRPAKSHLGSYE DLLAAFQQWANDPSSRDCGGSTPETNLGNSGRRGRRRSADKAP
SAPIO_CDS1587	MGPLSSPAAAPPAAAGQPGPTPRPRAYKARRPRAARACELCRAK KNKCDEQHPCSYCRTKNVDCVYSGPDMGWKRHTLDYVRRLEDQVKTLSASLELHEREA ATTASACFSDSPLAVSLPRPFDCVAHTPRAYDAAGFRSDDYRVLMLPSTSALPSRKTP RNEVSGVNRHTRNVEFYGSSSSIALLFQVQRTEGALPLVPSSSSSPPSEPDSSCSDGG AIVSSLHNPAFSPPPSSHPHSPPFENSARNAADSPGFTFSNTGNPAKYRVFLNGFFST IHHIHPILDKPTFMQRCEALWAGDRSDEAAHKGSFVALYYSVLSLGALVGAREEEPLE GVSNLEWSRRFFDEAKGMANRLGMVTDLEMVQCYFFLAKVCQNEINPHLSYLYTGLAV RASLAMGINREPGPNTHKDPTQLRAESRTWWGLYSLETEMAFAMGRPDTLGSDLYHNR NYPQFQPIDSASSPSASPEYLDPPNCIVIQAMVDFSRITRSICHNIYLADTALLKSVA LAQQTETELEAWVDALPEAIRPARTLAEANSLRRARDAQWMKRQRLVLTIRYFNLRIL MFGSFLLMSSLAERASIPRCAEFIQKCLDAAKRTIETIYQTYQHHDFFRTWFYNTTYT VFAASILLVYVTQEPPSTSTTSTLDFVSMAIEILETMDECVVAVNAAKMLQRVLQRAK ARCADISPATTTDATASSSSPPVSVVPLDLSVGDATDAGLTTAPMHTHGAEALMAMNH CWGPLGLGNGGVDPGFPFQIGDMDGINSLLAGLDGGGHV
SAPIO_CDS1588	MNIPHGQVGASHLTVTAASTISPAGCYDAMSQRNEESSFEDFQA ERRRQLRLQRDREERELRYEIEGATRVAHQRFLARKQNADAGTVQSRPAEDGQNLPTE DKITEDEPSQQERKPNEHRANLNPQSGPNTRQEWDEYLRARAAMTPAEVEEEMRCQCV DHWRQVSEGIDLVEMALEDPDAVERSTGLSGTLLGREPWRKDEFRFAAKLLGYGEDFR YGERLVERHSHESPDRAFISPEVCKFFICDRRVPKGETTVDDLYAEQLRLEAENDPLE RAREAVRTESDKILRHRARLFLAELEAAAERGEKAKPAEIWERLKPKRPRWIQALVET WTGFGFVFYKSKEARARPDALGRWMRIFNDMERTDNFGGYRTCFDGRKAVTDGFLLKH CIDAVWIEDELSEADPSSLRSHFKSRREEISSTKPGFLSNTFVVVTSDCVPSELEHHD PQPIDEFVYSDEETDEENPPIRWSANNVEPDDVPTMFVWAYDPDWEPPSPGAASDEDG YEGRVKVQIRSLCSWFYSARMEGIHDMKSLWRKAQRHHEKVWVCEAQFLNRSEPTSLI 
SAPIO_CDS1589	MDKPQHPVSPVRLDVIVVGAGLSGLAASIAIALSGHNVTVFESA KELQEVGAGLQMTPNATRILERLGVPSRFWDLAAEPTFVQVHRYSGEILMTDPDFNIG MRSKYSAPFLDVHRVDLQLSLYERAKELGVRFHLNEKVHNIDFEIPEVITASGAVIDA DLIVAADGLHSRCRDCFLATLGSADKPRATGDLAYRIVLDVNQITDPELADWVRNPSV HFWVGPGAHAVGYSLRAGTMYNIVLLVPDDLPAGVYKEPGSVEAMKELFKEWDPILGR FLALVDRVDRWKLMHRSELPSWVNKESNLVFIGDSCHPMLPYLAQGANSAIEDGTVLG LLLGHMQSKDQLPQALKMYEALRKTRGEAIVKEAFKQRDSFHMYDGPEQEARDAILRA PIKAPYPSRWSCPQVQSWLYGYDAFEEVKEAVSKNPFEANKPALDGSRVEEEVPKASL 
SAPIO_CDS1590	MPIPVQTTPPDARTNYIIDQLEGERISIPGSKGVFRILASEQQT GDGMAVFLSAAVLSDAPGFHWHEEAHDVFLVSKGFLKLWNGDKCRIMGPGDFAYIPPK IIHNPEMLGPHTEQFGLISPANWVDFFRYIAEPYEGIIAPAKDPRDLRGLLIPKVIAA KDRFDVHFVQDPNYTPPEVGEWLPEDSELPKEKLTPYYLKANEGPRWMVGGVMSRPFI RAGHVEGRFAISSIESSDVYEKSAFARWFTFPKVDHCFCVLEGLLKIRVKSEGGWDTV REGQTVVISAGETFTLAFASKFVRAILFTSGPGIEEVVHTAGAPCDDVILPDEVADWS EDQFKKACDDLGVLVDSL
SAPIO_CDS1591	MSNPTSFRAVYKVLDHQEIDVDVYLPPARTDPGARYPAIIDIHG GAFMLGSSDLVNKDQIRDCIDRGWIVLVPNHRLCPQVNLLEGPIQDCRDLLAWIHSGG LEKAIAGQTTTPYLVDLDRILAFGTSSGGTLALCLGFDVPKPVAAIYSMYGPCNFSHP HWTTPLPQVAPSLPQDVPESFFRPMWEESPVPIRGVVSLEGQKSTPTGAPDFNDPRQA FAFKQIKEGAVFDTIFPSREWKKVDPLLNITPAFPPTAIVHGSDDVMVPIQLSKDLFE ELGRKGVKASMITVDGEGHTFAAKMKVGSRTWLAQREGFDFLERVIQ
SAPIO_CDS1592	MFGLAGIQRRAFSSTARNLSKVAVLGAAGGIGQPLSLLLKLNPK VTELALYDIRGAPGVAADVSHVNTKSTVKGYDTSGSGLADALKGAKVVLIPAGVPRKP GMTRDDLFNTNASIVRDLATACAEHCPDANLLIISNPVNSTVPICAEVFKSKGVYNPL RLFGVTTLDVVRASRFVSEIKGTNPKDENITVVGGHSGHTIVPLFSQSNHPDLSSNAE LVHRVQFGGDEVVKAKDGAGSATLSMAMAGARFADSVLRAADGEKGVIEPTFVDSPLY KDQGIEFFSSKVELGPNGVEKIFPVGEVDATEKGLLEACFGDLKTNIAKGVEFANKK
SAPIO_CDS1593	MALVENPQIKSAELLKPLPQHFHAYVWPFTLIWPIFLRYYLTPS LYEKHIGSSEWTYVWCGSIVTLQALAWLSTNWSVNLKARFTASRVKSIQDAQLIKVIP AANAGAADICTLIREKVGDKTNVSFLFQKRRFLYDESRKTFSTLDYAIDADPKPAIGD FQKSRGITSKAELTRIEQHYGTNTFDIPVPTFTELFREHAVAPFFVFQVFCVGLWMLD EYWYYSLFTLFMLVAFESTVVWQRQRTMNEFRGMSIKPYDMWVFRLGKWTEIQSDKLL PGDLVSVGRTKDDSGVACDMLLVEGTAIVNEAMLSGESTPLLKDSIQLRPSNVPIDPE GLDKNAFLWGGTKILQVTHGNPDEEKPKLASGVPAPPDGGAMALVMKTGFETSQGSLV RTMIYSTERVSANNFEALLFILFLLVFAIAASWYVWDEGVKKDRKRSKLLLDCVIIVT SVVPPELPMELSLAVNTSLAALAKLAIFCTEPFRIPFGGRIDVACFDKTGTLTGEDLV VEGIAGLGLTHPHLSGTSTPQASDGAHTRMMAVKDVNLDTTLVLATAHALVRLDQGEI VGDPMEKATLASLGWTLGKNDILVSKPAKGASTPSSFITGTVQIKRRFQFSSALKRQS AVASVVGVDSRTGHKMRGTFAGVKGAPETIVKMLTNVPEDYEETFKYFTRKGSRVLAL AYKMLAVDNELGAGRINDLKRENVEADLTFAGFLVLHCPLKEDAKEAVRMLNESSHRV VMITGDNPLTAVHVAREVEIVDRDVLILDVPEEKGHQLVWHSVDDKICIPVDPAHPID PEILKNNDLCVTGYALSLFKGQPAWKSILRYTWVYARVSPKQKEDILLGLKDMGYYTL MAGDGTNDVGALKQAHIGIALLNGTQDDLQKIAEHSRNARMKDVYQKQVDLMKRFNQP TPPVPAMIAHLYPPGPTNPHYEKAMEREAQRKGVTVEELARANGTANNAIETVTSPGA QRLLQNQNQTPADARKAEAAQKAAGFAEKLTSGMLEAELGDDEPPTLKLGDASVAAPF TSKLRNVIAVPNIIRQGRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDGQYT ISGILMSVCFLSISRARTVEGLSKERPQPNIFNFYIIGSILGQFAVHIVTLIYIAQLC DRLEPRSGEVDLEAEFSPSLLNSAIYLLQLIQQISTFAVNYQGRPFRESLSENRAMFY GIVGVTGLAFACSLELVPEINEQMKLVPFTNEFKQTMTGVMMLDFAACYVIEVVLKRL FSDYRPRDIAVRRPEQLEREEKRKEEERKVKEAEEEKARMAQVEEFERRLAERTARLR AVREGAR
SAPIO_CDS1594	MAPISNKVVMVAIYPDLSKKQLDGDMVNRRINDFIDAIRKDAMI IIDRKSSTRWCMCADLRNKCECKKKKKGQSCCSKIICEPCKAIARINPDEYCRKLTEI YIRHTKRLQMLEAKFTRFYSMSSIQEEFAVATKRPASMFRRIWRHRGDCPNDCRGLLH LWCGHKKPWTTLPSLETFLKYKLMHQGAETDKAWKLFKEELVRSRLFEGIYMDLPPVG QDAWEQGKSESESMVAQLGEPMTQADPEPGDETTSEGEEKEDENWI
SAPIO_CDS1596	MGEYSDDDLDDLPESVFQELENNAIALTQRQQQDQQQQQWQQQG RHVPVKQPPRQPALPHAGRTLDGHALGDDVFELDDVEILNGSAAANMPRPPIVRPAAP PQVRRTNTYASRPSSQHSQQQWNRDPGQDTLQGNMTRPGLAPVMASQRFQPPVMAPNR APPSQFPRGFAPPPPRYAPSQSQAGALHPNAISALELRVRTLERELNVAKGEAAILRS NSTKAQQAHDAEIARLKKQTAEKMASQERAVQQALEAKRQAATELQFLQRDMQEVTNR ARRKEPGVATTPKKSTRNWGVADGFDGMDLVPSPSKSKSAVAMAALSERTPTKGKRKR PAADSPVPPLDTHTTDDIVMLDDGVEVGSVPASSARPVLVTSIPSSSTASINALPFDF LPLILDHASAHDQPPTFDSLSKYFFPSDPNTSFLSIIFQSLPTKSDPSDPMKFPTDFS SLIIDLWVRCVEEGFVAPIGELVSLLSFILQLHSVSLVPRLLDKLLSIAQATLFVLGD LRTQTSDATPEVELAESSADSTFTATISLLSLSALISATSIDGIDDDSNLRITFWKGI ALDTVTHILNPKQPLYDIVGMLDMLSTSVLPSSVGPIAVDKEPPAVAAAILDKLSKLL VHPPLHAKSPAQRHAITQLILRTLLSFTASDFGLQQLAVHDNVVLRLVAALSKSVDEL YDQDLSQDVLSLSKLRIRDATDKAPTVDDALAASDMDTNVSGLCKVISLGIMLLHILV TDPRTAEIIGLEDKFELWRAGRHKYLIMLCRLNFAEDDLVLEAGVDTETAERAHELLE ALVTPDKGKDIGEAFGSPEE
SAPIO_CDS1597	MARGRRGVKFPHRTTNGDGRRSSFSDVSDTGSPVRSKSGTQLNH VDEKPAPEPTPAEKEAEYNKKKANFLTRTFWTFVMMAGFFSALFMGHIYIIAIITAIQ IISFSEVIAIASIPSKARQLRSTKSLNWYWLATTMYFLYGETVIYYFKHIVLVDKILL PLATHHRFISFILYVFGFVFFVASLQAGNYKFQFTQFAWTHMALYLIVVEAHFIMNNI FEGLIWFFLPAALVITNDIWAYICGITFGRTQLIKLSPKKTVEGFIGAWVMTIFFGII LTSLLLRSSYFICPVTNLGANIFTGLECTPNPVFIPKTYKLPQYFFLKEDTTFSITVA PMQFHTLVLATFASLIAPFGGFFASGLKRTFKIKDFGQSIPGHGGMTDRMDCQFIMGF FAFMYYHTFISVHKVKLGNVMEMAITGLTVDEQIELVRGMGRYLANQDVWGENVLKCL DDALPLRR
SAPIO_CDS1598	MAVVGIVTGANRGIGYAIVRKLAIEYPRKHPDRALIIYLTARDV KRGEEARVRLQMDKELAAAKVLVKDGGWVEIRFHQLDVKDSNSRLRFVMNLLQAHEEI EFLVNNAGVYLDHPYFEPTREAIQETLHCNYYGTVHLSICLLRLLSPTARIVNLTTAQ ANFSLFSPVLARRFLEARSVDDVTNLILEYCEAVARGTEQEEGWPSSAYVVSKVGVIA MTGLLKWEEEVYRGWDALSCCPGWAGLDTIGGKGRTTPEEGAELPVRLVVDGAPAEMW DGASLDGWESLLSGTQSYGSQT
SAPIO_CDS1599	MGKRAAPPPDSTVTYASGRAKDGTPPDLRIFHYNDVYHIDPSSA EPVGGIARFMTVLKEYRDGKQYRDQPELIPLFSGDVFNPSVESTVTKGSHMVPILNAI GTVCAALGNHDLDFGVQQFGHLASKCNFPWLIANVLDPALGECVPLGNAKATHMFTTS NGIKVGLIGLGEREWLETINVLPPNLVYKSATLTAKELVPKLREQGADIVIALTHMRE PNDDKLARNTDGLIDIILGGHDHYYAHSFINGTHVLRSGSDFKQLSYIQAWRRPGEPG KWDFDILRRDVISTIPEDPATLKLVEDLTSKLKHSLAKPIGWTATPLDARFTTVRREE SNLGNFVCDIMRHHYAAECALMAGGTIRGDQIYPPGAVRVKDIVTCFPFEDPVVLLRV KGKAIWDALENGRFPQVSNIQFEFNPNLPVGSRIVSVRINGEDIDFERKYLMATRGYM GRDGYTSLLVEEAGGEVEEIVSEENGILISAMLRQYFLALRTVGKWRYLDANHWDNVI GRCRRLSQHYELPPCVKPRQNSDARKEQRPGAWAAWLARRSGINVKPENDDSGFEEES ESDGEDDKINDEVLLMRKYFGRWAAAANVTRVACDSVEAAEINVDWTRVIAPKLEGRI KKVE
SAPIO_CDS1600	MKFTLPFLALSALTTAQFGGGGGGGGLQQVTNFGANPSNAKMFL YVPATLAEKPAIIVAIHYCSGTASAYFSGSPYRQLADQKGFIVIYPESPYSGTCWDVS SKATLTRDGGANSNSIANMVKHVIGEYDADPEKVFVTGTSSGAMMTNVLAATYPDLFA AGIVYAGVPAGCFFTNTVNGWNNTCANGQVKGTPERWAQWVFEAYPDYDGPRPRMQIY HGSADTTLGSANYAEQMKQWCGVFGCDPDAPEEKAENKPLQGYTTSTYVGGQLQGIWA VGVGHNFPIRGDDDMKWFGL
SAPIO_CDS1601	MSRVIDRMPKPTTPREKKVIVLSYPRNGTLGLYKAFTILGYNPY HLLTTWNNGIEHIKIAREGLEAKLDGKGKPYGREEFDKWFSENGTMMDIAAFFAPELI KAYPDAKFILTSRDPNAWMRSVENTIMKAEMMSYGFPVWYMKQIDTYTYEMAKLTSCL GRFLWKRTKDDPVDLHSRERAIKGYLEYNEMVRKLVPPGQLLEVKLEDGLGWEQICPF IGEDIPDQPYPRANDPKEFERLIGEILKPAWRRTLVKYATVLIPVVGGAIWYLRQRK
SAPIO_CDS1602	MTGRGGGGGRRVLLPPIGARGTDVLAAGGIRLNAHLPVEHYTTE TLQSGDLGGAQLSVWLYEQLSIRIEGKIRGFDEFMNLVIDDAVEVKQITKTNDKESRR LLGQILLKGDNVSLIQSLSG
SAPIO_CDS1603	MATIPVARPAAPAPLPNPPSTQKTIQFLHPGYQARWNRLFFLPR VDPTGPGALKGVHHETALTACQIVAGSIGNGQLCIDKRGERPVTVAQEPILTLDEYYF VLNDDVIQSPVSPDGDRCAVTNHNYALKKAHIVPKEEHEWYQKMGMQLYSFLNLEGNR IHLRADIHRCYDDRDFVIVPKRLRNPQGGGLARRTFVVHYLGQHPNAELETLYHNLPV QHICATTRETHFARFAWAILQFVKDFITVGQRRVVRRLGSDGVVRVEEVSGLELQRRY GGGGEHIASPLHPRKRAKAEMEEEEEEEDEE
SAPIO_CDS1604	MPMLKEPSKKYKPFKGPHLPNRQWPNKTIDKAPRWLSSCLRDGN QSLPDPMSGEEKWRYFKMLCDLGYKEIEVSFPSASQTDFNFTRRLIETPGAVPGDVFL QVLSPCRPDLIRRTVEAVRGAKNAIIHIYLATSECFRQVVFGYSEEQTLELAVECTKL VRSLTKDNPEASDTRWLFEFSPECFSDTNPDYAVRVCRAVKDAWGPNAEAGDQIIFNL PATVELATPNIYADLIESFCNNIGDRENVCVSLHPHNDRGTSVAAAELAQMAGADRVE GCLFGNGERTGNVDLVTLALNLYTQGVSPNIDFSDLNSVIDMVESCTKIPVHQRAPYG GSLVCAAFSGSHQDAIKKGFQNRKRLGLTSEDPWNDPWNGMPYLPLDPQDIGRNYEAI IRVNSQSGKGGSAFILQSKMELDLPRGLQVAFSAVVQNRAEELGRELLATEITDLFET TYFLGENPHFSLVDYSITPDRSQSPLPTTGKTQDTKDLIRIFDGVILVDGKEVKLRGR GNGPISSMVAALKEINLDLDVNDYKEHAIGEGRGVKAASYIECKPAGSKKTVWGVGIH EDVVQSSLIALLSAASNLITTQPITLNLKSAAPQGTPNFISVLEEKANGM
SAPIO_CDS1605	MQHGSSLADGITEAPSPRPGSPRDSYWTIVDVAESDFSTDDDCS VVEISRASSPLAIEFNKISVGEPFGVQIDWPTRLPWFQFQDKYFTGENLFFRSLFSRS IIERYPDAFKKAVKVHASRAGDLGYSAHSGIMTLGARNMQLLATPQAAGRVAMELSKY MPERREGDNLAIVQTLVRGDSTEARQKEIENFVYQLSNNLIEDEDEEFMDDFGKYTKI INMFRAIDLPMSVWKDYFKKTLQEPTGRAFVDNLYEAALNTISLDILEALLWLGVDPN RIFKTWMTGERERPVQLAVDCRVKNLDMLQLFIRFDADVNLLTDEDERFPLHRAAREC SVEHVRAIVEAGADIRAETYDSELIISVLDCATEADRRLDDYTDEEWKEKFGEEERPG VATVKYLLSLFDPTIDADLIQAGLVSAAASRRRDMIPLFIEAGANVNARSTKGLTPLL AAVIRSYQDNALQTATMLMDLGADPNQGLVIRSRSGSTVFLPIHAAAARGDEKMVNLL IQRGANVNARVRLKYEKDAWLVGSHFTSWKQRQSDLLMNLARCDTPLRLALFRDKRGE WEHNPKQPGAALALMRAGASLRGGEFPRAGCFDSVELLRELISRGADANEMDWTRRTA LTNSLECGNFGTVRYLLEVAGTRLQGGELTKAARGGSREAVELLLKHGASLDSGQRSE ESLIEAAATSKNWDLLSWIMERKGEKDNSYYSSSALCTAICAGLGSDANWERHFDMLL RRRPLGRPVCVAEATALGCAAIKGQKQIVARLLRLGRPPACVLPLSGERAIHILANGH HGTLVREYDRPFLPRGASNLRCSVLVPALASDKSSCAGMLLRSGCRPDRFALLVAVEN AHIQDWQKEEMDDEEVEELVADTLAMIRRLTRMMSLEDVTYAADKLLYTPLQGAARFK RLDVVRHLVSLGVDVNVAAPPCRNPLEADDEWEPLMPRTALQAAVEHGDIEMIDLLLS AGADVNGPPARSSGATALQLAAGTGQIGTARRLMSLGADINAPGAEVHGRTALEAAAE QGRLDMVQFLLESGAKVTGEYKRVYYRSVRFARRNGYGAVERLIREWKWEREQEEGLA VADDGSTSSDMFDSSEEESEEDSEEESEEDNEEASE
SAPIO_CDS1607	MTRTQTETLVLEPIAYPSLETISQLSAPRHEVPSSSSSDAANDD NDVTSLVARRARRLRKSLVTFLLSGVNFANSSGNGLVIIALPRMTRELNLPPTLAFWP ASVSGLATASTLLLAGAVADVLGPKAVNLLGCITNGAFMIGCGFVQKGEDFVVLRALA GVGLAMHLSSSVSLVTKTIPQGRGRNISFACLGLSQPLGFSFGLVVGGTLVDTIGWRA GWYLYGAITLLLATMGFWVLPQTGVTSLHNAIHDMKTKVDWVSAGLASAFMAMLSYFL ATLSTDIYRIKQANTLVFLFLGLLALPLFIIWVHRQVRLGKPALIPNSFWRNSSFSSI CATIAMSLAVLNALELFASLYFQEIQQLSALQAAIRILPSLVVGTVLCFTTGLFVHKI PANWIVVTTSVLTAGAPLLMAVIQPHWPYWSNAFVSQLLMPISGDVIFTVGLIIITDV FPEDKQAVAGAVFNTTSQFGQAFGLAILQVVSTLVAKDHDGMSHVNALMEGYRASFWT MFALMVTCAVVGGLGLRKVGKVGLKQD
SAPIO_CDS1609	MALSILPKHLALRETFNRRLTLAILLITFSQFNFGFDQQGFAAT QAMDSFAKQFGEYNPETKKYFLPPVWLSWFNGINYLGQATGVILGSWVSKRWGRRMCM FTMSLWAVVCATIIITSRTKEQILVARILNYIYIGMELAVVPIFQSEICPPKARGFTV GTYQISLMLGGLCVNLVARGTSSLTGNLPWMIPLGLFYVVPTTVAILIWFIPESPRWL LMKDRPEEAMKVLRELRVGKFEDHEIEAEFAMIVDGLKNTVAQGSFMDIWRGTNLRRT YIVIGANFFLQATGQLFTALYGALFVKSLGTVNPFTITCTIAAVNVSTALLSMALTDR LGRRFMIHFGSVVQIAALMTMGALGTVTPTFGIKSGIIATMVIFNFGYSFGWAPTSHT LSAEVPNMRARDMTYRTASVLNIATQCAVAISMPYLLNPPYAALGSKVGFIFGSIAVA SLVFAYFCVPDCAGRSLEDLDWLFEQRIPARKFRSTEINRQQEAEARKLSNDVALEQV EMKQV
SAPIO_CDS1610	MPPPASSATVQQPVAMPVSLKRPACRECRARKVRCDRASGRCGP CTRFDLGCSYSATIVSASNSDAATNTRVTQAGLVRRRSRRACSTCRALKARCSGDLPC TRCQSKSLSCAYGPRVVDVNTIAGPGPDAGSADATFASPSPSRAVDIDEAPPAIQSNS ASAPAPPSAPPDPHSQHHRPATAVRSDTPHPRPPFLPPPSPASARLIEDSSRAFKDLE AFFDSPAGSPIAIQFLHRSSILADWSQGRLDSTLAATLCALGRLNACEAASSLIDPRA GDGDGDGDGDDIGIRDARAWLGEAQQVLVGKFGAATIAQLQTLILIMQYRVASGDVMD AWSLVALGARLAFTLRLNYELPGWDHDPVIQETRRRMVWSIWLIDRLLAGGIEDLVVC PTERIHILLPCDDYTFQRGIASRAESLADPASRVDAAGQDALGYYLRLLECRHRILRY TKRVVRESTSPISSYAELNALQSDLESLKNSLPSDLKLSPERLRLRAHSHDAGGYIAL HLLWNQCHCDLYRFLVPGMREAVGSGIFKRTPAEYVVHCQTACLLAAVRVADLLADLH RLGFRKTCGIPNVIVGIYQVAQIVYRLQHLLQSRGSGLPSIDTVKRSLRDAHHMVSVL QPSTRLGLKAAEMLVETDTLIQGLEGEPPHQDLESNGPQAILNTARSGTGHDPWPSSA QHLASKGSFLDDLERQTNIARQTALGTEVHAAYTTSSCNTDDDASNSSPQQAPDYVRD DWASLERQAGQGLVSLQSGIVDAPSGPIASTANLWASTDRFLGQRQPTETNIPWDPFE VQLCDYHDPELEMVLFSLSAA
SAPIO_CDS1612	MLPSLLISALALASTAHSTKLCQKKPNIIFILSDDQDRRLGSTD FQPVLHREIFAKGTEFTNHYGTTAQCCPARASILRGQFSHNTNITHVNAVATLPNSGS SGNYDKWLSSKQDEDYLPLWLKEAGYRTESDVGKFLNGYNTANWANAPKHWDWMDTLL DPYTTFFNVPVLSQNGERPIWYKGFHQTDVLRIKALDRLERLASQDTPFYLTIAPYAP HVQNNAHRPIPLKRHMDLFPNAKTPRNPNFNPADEYQHKKGSWLRTLPLMNQTAIDFA DFTYQSRAQSLQGIDEIIEDVVRMLEKKGIIDNTYIVYTSDNGYHIGQNRAPAGKALF YAEDSHLPFAVRGPGIPRGIKSNLPSTHVDLAPTFLEIAGLSKKKYPAFLDGTSLLDQ WHHPHNSSGREHGKGNARETLNIEFWGLYGRILGDRDGWLFTKWCTGDTEMYHTTTDE WELRNIADSKEPEHQRAFTRLNAILMVTKSCEKGSCRDPWAVFQLPSGKKLSSFEEAM LPEYDSFFNKFPRVSFQECLQFQSAENEAPFYPPLPHRGQGGLGRSYRAPTDSFVETD DTLSITDENFYGSEEQRHATLEDLYSEARELTDEELGKDFEASKKRWAATEEIDMRAY GYD
SAPIO_CDS1615	MLSLARSRYTHFVRLGLTATNIIGVLSGVSYKHSTPDLYPGSAH SAVGWITTGIAAAQISHLLVGPMTKLFNRVAGRDESKTGGYTLPLMRESYNSLQGHDG PSRLSRQSSFDVEATHGGMEDRDTSSDSRLYREDPHDSGSTSGDGAFYGESDSDRALH DDASAATSKIFSEPILTRMRRLILLTYDVMDRAILIVAFVAFCTGIVTFWGLFKGNSI FNGIAHWIKGGIFFWLGIFNLGRWCGCFAEIGWAWNSRPGTSKRQFWLSFEFVESSLI FFYGSTNIFLEHLAGWGKAWTARDLEHVAITVLFIGGGLCGMLVEYVRVKGPGEKKAT QQMQTIPAWSNGIADENTQHKSNGISINPLPALVIFLMGASMASHEQASMVSTMVHKQ WGNLLGAASVARLLTYMIMYLKPPTSSTPSRPPTELLASFCFISGGILFMASVRSAHR FLPVKLIR
SAPIO_CDS1616	MKWSAGWGSACDPCAKAKTRCIRSQETNDAKCNRCRSLNLSCPQ QVRKPRKKRLRHDASTLPDSTTIPQLGHKHGSFNGTTNSAPSRGTLFPTERTNATTSE GPRNDAAESEPNSYVAQVKTEPTEAFSAPTSLPGFHPFPTPTCTCVSELDSKDDPDPG TPESDETLLSIYRTRLSPQFPFVVISDGLTAIELQRSRPFLARAIMMVASLRHRRSMW NQSRLLLRQISEALFMGPDRSLDLLQSIIVFLGFFHYFCFAHGHFSSLAHLASSMIVD MRLDRPRSRPAFRNKGLQGIDPEEPRATSNDERRAILAVWYLNSSFAVAFKKVNSPAR HFTQHMERQLQELQDGLEYETDKVLAQLVYAQRINEMIAQFQQSDQSVDVRLSSNVCA ANLDNLLADLGSLRRSEGQQKPHCYLVSSHHNFALLQLLESQLFDADHNQNHEAVAAL QHAPDYFRIPSTRKTDAADTALRAWFEDWMTIPVCHFFYMPMSGYLHLTNATVILLRR ARLVLLTRYRQGDSYAPETHMNNNGAASTSADAGGSSNDLMLDLLDRLASRFEEARKE MAAAHCSEWANDFLDLISWKLKERKACIEKWVDVIANEAHANARGGVEAGESHRPGES GGDGGDLTAFETVEDPSLWLDPLEALLLGGGNPYESWL
SAPIO_CDS1618	MPEDNSDSQRRRLWSSTTATSEPSDISNSTVQIKIELADPEDTA NSPGQLDSHQVTTEGTTEKTNAIATTSTAEQSDSTNVVFRVEVPDDKASHGGKDIWTV AYREAVESLDEDKRDMVMKSDRINQLLMELRDRDAENAENSPFRKGLEKLQKPLQYVK LAVDLAKPFAELGPMAAATATGAVKSFTVGLVRVYVDLLEFYLAAMKFFSHRHVALGF LSEALNEKLPPIITRFFQHVSLLQDFIRNATLTLVDDIKRLLLDDKILKLLGVDKDRD RSEHHCNMRNAKADDACTWITQNPAFLKWYNASTGEFLVMFGAMGYGKTVTTAFVIDH LIHLNKNFLPRPLICYHYCNTEENSDTVYIYSSLLLQLFRQRPGLKLKFDKWHADRER AQLIDPAQSSKELGDFFAECIKSLDRIVIVVIDAIDECNDQSRRELIALLQGIAKDTL RLKVFFSSRPNEETEGLLHGAAQIRLLPDRARDIAIVNCLVSNNLPGLSKQTRDLVVG KLCDAASGSAIWIKLTVDLIRKRKINSYGRMQNFLEEMPLPSNLSELYLKLFHQASEG EEENETLATRALETLAVAKRPLSILELGWALAFEDIPKDDASDMKVQELEGWVDEKRV LNLVQPFVGHVDFDDVKKRQVMLVHQSLKLLILRRPPSAWERLGNLDKMSKTEKMQME QRQSELEAQLLAICVRYLLLNEFDDGEMALFSEDQQQAEILTHLPQGDGLFDDSDDAD VPDQDGGATAATNAAMSHVSDTDAAAESSAPLYFDPAESGFGEFFVYASCYWLEHFQL ASWEHSPDISDIVRLCRAKSNRLRSWVDQHCRPDCTILPKLSWASEVLDPLVIISTFG PAAAMEAILAKYNVNTSPEFLGTSIATTIKHLVSYRRTSRLCNFFRQQHVGLTPQTLP LYMNAMGKWANLAAEGCDKDEEEGLVEFFDLVYTMVPLLVTDSWGNELLCEAARSGCL PVIERLFDAAEQNPELRTELLRDRQRQVDPKRHDRHQSVGEAVWSNHIHVLRYLLQQP GIDAHLRHRDLGGANVFCAAARYGNPEVVRLLIEHHPEGVNELDEVGDAPLSEFAFAR SSVEVVRILLEAGADPKKGNAHPFWNPLRIAVRNMDVEMCRLLVEVGGADPLEALRVD DDGYGVGLADPISNDEVARTMLDMFCRLGGVKKVEAI
SAPIO_CDS1619	MSTDLSAALAEAKALVSALEASDGSQGDHFKLLKQVDKVRSAIE QPYDTGLRWLENMSTAAALYVLLRIRVFEKIPAEGSITAEQLAKEGNVDVSVITRAMR ILVANGIGVETGPDEYASNALAQVFQPLALGSFICVCVDFMKTWGAFPDYAKTHSPED LFDIRKSPFAFAAGHEGKTYYEVLDLDPEQRNWWNHTLQNMESNFPILDMFPFDSLKE QVEKEPERPFIVDVGGGRGQALRAIKNHLGGSYGSKLILQDLPIVIDSLKPEDIPDIE PMAYDIFTPQPVKNAHVYFMRRLLHDFYNPVSIEILKNTASAMGPDSRLIVSDMLVPE RVEVGGAIDLYWLDFSLLCISGKERTMAEFKRIFDEAGLELVKVYESAVGRTIMLETR LKRD
SAPIO_CDS1620	MFDPSNDPIQPDEGAEVGSTRSSLTSISESVLKGVVGEGQRTYA AYGKEEYGFPMDEKELERIDLCHVKYGALLDKRLFLAPIVEDPARILDLGCGTGIWCV DMAEEYPGAQVVGVDIAPTQPEWVPPNCQFELDDIEQEWTWKENTADFIFCRDLILSI RDFPRLIDQCYKHLKPGGWAEFHCVTGVLQCDDDSVPSDSHFQAMSDNLMTACNNFGT PVDDPMRWKGWFEDRGFENVTERIFKLPCNPWPRDKRLKLVGAWEQHNLLNNLEGMLM RLFHKGLGWSEDEILLFSAMLRKDIKNLGFHAYWPFITVYGRKPLSEETAADTSTQMA QQPAAETS
SAPIO_CDS1621	MDPFNALSIAAGVVAFVDFGAKLVSRYLEVRKSEDGRPAALSAL QTESEELSANATHARDKIASLRARYPGQSECLDRLATECTQAEEELRSLVSSLTPRPG GGLKTGGAQVLASIRGLLKQGEIDSLRGRLRSIRSIDQALSFPPFWRGDVAESRAMLN NLDNGVGGVLNNLDVMKNAIDDQQPDLHNVSNRRPLPIMPDRDGIPSGLWTSITAADW ICSHATSGSHTESHDPSDNNAGICSRILEGLKFDDMMARERQIDDPFPDTFQWLLGDG SPDNGERSSALQTTGFKDWLESEANETPFWITGNPASGKSTLMKFICTNPQVEGHLRV WSGGRRPLICSIYFWNPGSSGQKSQSGLLRTMFHQLLRQRPDLCQIAAPTRYIYFQLA GIHAPAPPAWTVEELRDGITRIASKIEGTDCVAVFVDGLDEYEGDLEKLVIFLKRLHR EHKIKLCVSSRPWNVFKDEFDTYPSLRMELFTKPDIEKYVRTQIGNSRAFQELRVLDS NSVEELESQITQKANGVFLWVVLVVKKLVITAQDNPDLHNIREVFETLPPGLEELYNS MRRRLSKDLLNGASRMYQLLFQWNETLHYSISTLDFWMAINCHDPTKPQPPITKDEMV GVIPLLERQLAGHTGGMLQVSRPESEDDASPSVEFLHRTVFDWLQGIKSTIVSDGPVD YDPSLVFASVVVSRLGTPAMAFSFGRTCNNSCESRSKLLRVIERLQHHSLVSCVRFMR YSDVIEVQSDTAIRSYLAIKFACAPYLQAKLEDSSQTTGLERPRGVLRMAPSILLVVV EGPYNTYMVVELSTIFTPPCSFPIGFEAYDTPSCGPPQYISVWYDGGYYSPAICPSGY TIGCSPTKTMKPSQTGGICVPSGWVCGPQQIHATTDLPGGGTTSAPAFQIRWEAGDLS ILETNPLTPEAQVTGGGADETNRGGTGDSRSSDNNSGSDNEDNGGGSGSGGGGGGGIS PGAAAGIAVGSALLVAIILLAGFFWYRKKHLKARAAIQGQANDQGDGGAGEKPTDRPG AATETAGVSGIGAAGVLGGAVTGTGAPIIPSVPQEMDGVTKSKGFGIENIYDPSKLPP DASHIPPPVYNGPIQQLDGLPIPGHPSVAGHQIHSQNRNTVPSQAGTEQAYSGLVNHA AELSGGQQAVSHYSDLSATGTPRFPNAQSQIISSASELPSQYHGPAHLPPELASHQQP PIAYASELPSQSPVYATQQPGGNPP
SAPIO_CDS1622	MHLTSKLDHDNALCLDENYSSISNDSPSCPNYCPDKSLNSRPPL LAIGVHMEVDNPQQALQSLLSAVFEDNPDLKQRIDNLDTLSVSDAVRERCSLEKEFPK SVERVLTAPQHRSLEPYISELAEFNYKDTGESLAEFISPLVLSHAIDSPWILEVERMH SFRLRCQSKAGKSPREALFIPSTHNEEDPFAYDDLLPRDHADPAVPVRQSKTVVLPRP SMLPAQLNSAHNFHGDPGFENDLSFQLDCLLGVAGTNQLDPEALQKVDYTDPRVHETV LRGFRSHVSFERRVESKVGISKGERKIRAVIFSNRDSLDDHEVFLRITKVMDTLETAI LLCQKKGICLFGIPFFTRHSLVPRSAESEHLSLTLVAISTVERFFTGFRSLKGFYMRS RETVAPVAVLAAASLGIWGLAITAGLGLAALPLIGIGAGFAATGASEWPESKERHRSF EIVVEMVADILRACGIKTGLRFPPTKEGFSRLLHYSSLAVQCLSLGIQLSARGLTLPL DSALLEHNVDEFVLQGITAEPAVYVIEQEITCLGGILPSHRVPVFGARRHSVSDSVDV VTSVRDLLSVWGPGAVEFTETPPEGNSQPWRIIRINIGGGVLFPVENQGWSSVYRWHW TDGNGTGSQKATMDSYFAVDLNTKIRVGGFGSDVVTTSSTSTVTRAVLPLARRPNATP PGTFMAIGPSSINPACRFSTWTADATRRRYMSHHLRDIGTHRPFTAFAGYDVGPQIGS YLLLQLMRKYERRPGTTLKDTILQKWDGMPQFLRGLDKPCGLFVSSCTKVIARARLRD LIAFAGPLVLPEAFPLLLGQGQEDSIASWVHALRGSEDLYDWARNQRTDQGNGLASAD GSAKVKDLILGVLRILEPTGITASGLLSTAWVSPHEVINVVSSRCRRGSWLALLADSE FSATFACVTPLCLNTRDRRCLSETSSPWHCSFESGLEDFTLSTRISQYADLPSGNTSE AYNGVELEVGQSYLINTQDFETAAKLLEVDETDEPFYVFEVKKAALVTVFVKRLYMKG RGRFIRESNDENSRPCLIIGNN
SAPIO_CDS1623	MNWASFQRAIQDHLGSWKELRPIFTSLNGDNSWLMSFPRPEEER RRDAKAFYHVVFEPWLAGPASLLSNWFLSISLSVAPALSQAHHIEAVIGLIETTVSSC LQDFTRHISRYSAKSEGAQEVEYDGGMDAILLGFHYLDHTHEATLWLFNKAIPTHISQ DLDQNGEEIHETILSSPHGTQLDGGPLDTFLNASPPTQKLAMLHGLKESRAAGKQNTF GVKGGLTLYRKVGGVKHSILSHHSELQYSGLLMKLLRTNDTQRTLDWGDRGGSEVHAS GGPCWASETKGCRGGKWELLYLGFLG
SAPIO_CDS1624	MTAPTHITEPDQEKGMSKSQPPQMSDIEDAPPAFDNTQVLEDDE EAEWIDYKTLTWWQGGIVLIAETVSLGILSLPSVLATVGLVPGIILILVMGCLSTYSG VVLAEFRKQYPFVRNFGDAVEVIGRSVGMGPLFQEIFGWAQVIFQVFVMGSHLLTWTI CLNTLTNSSTCTIVWAVVGLGVFWVCNLPRTLKYTSYMSMASCLSITTAVLITVVDVA VQRPIGSGSIHVANELGFTSAFLAVTNIAIAFSSHSCFFAVIAEFKNPDDFPKALAFL QIADTTLYLLAAIVIYVFVGPGVPSPALSAAGSPIVRKVIWGIAIPTIVIAGVIYGHV AASYIFSRIFKNSKHLVRRTKLSTLVWFGVTFATWAISMIIAESIPVFNSLLGLVAAL FVSWFSYGLPGIFWLWMHWGNWFTDGKQIARFVGNATLVVFGGMLCVLGLWSSIEAIA EGGSSKPWTCASNAAV
SAPIO_CDS1625	MTIGIALLGAGIFARTEHVPAIEACDLLEFKAVYSRSQKSADAL ASSIESKPDSYFDDPANTDKNLDALLARDDIQAVVIALPIPSQPAVIKKAITAGKHVL SEKPIAKDTATAKDLLNWYGSLSSPPIWAVGENWRFLQTVVYAAEQLKEIGGDVVTFS FSMYKLVRDDDKYYNTEWRKIPEYQGGFLLDGGVHFVAGIRHFLAAVGQEIKHLSAHT ALIQAKLPPVDTVHGLLTTTSGRSGTFIVSFGSEFKSGFTIELVTTNGAVRLTPGVVN VTRKAGDGERVEETKTFEFDSGVKAEVAAFAQSINAGKVDARQTASEALKDLEILQAL LESGEDSGAIKSF
SAPIO_CDS1626	MTSPPIYSSLRNNVHEIRLLEVDRDSLADPTQPVRISLAQFLLA DAPPYIAVSYFWGDPNDTVPALVNSVTTQITKNLESALRSVGAGGWADLAMSGTHGPW RIWADAICINQHDLSERSHQVSFMAEIYRHSASTVVWLGIGFDEGERTRAFIEEWAEI QERGAVEEYAVLIGTLPNTLDEKSVKAFHKVFEKPWWTRVWVLQEFVVSPAVFFWLGS TAISFDRIALATKADRRLAVLNIEDLIKQGTTNSVCRIF
SAPIO_CDS1627	MRPGAVAPKGGRFSTVPEPAAPFSFLFAEHYGIADEPGVKGHHI RGILGLPRSMLSKNLQSSLSDSESLNFKSDFDRLWKSSFFRLTAEPPDVSEEKLSRCT SLFFLLVELDRPELTPHFLHNGIDDRALPLDRATLCGKIGDASATGPQRCNFTADAWA KFTNDFLAKQYRWCPVRLELNLERRDVGRQVLPFYEKMPITPQDRPSANGSALWMIEV PEDFVGEQLANKLKDAKRSRFLTMPSGESGEETVYRFCLKQFPPDRSEAFEKERVALK ALRGLDGIVQYIGWYECIAQAAEMPPQTLPSPSPCILLELATGDFNEAMIDELPPTLP DEIKRFWEELVHISEALVSIHTFKIDGTVYHGWHGDIKPENILYFNSKYKLADPGESR IKRETTTDARSHPPRTIARGGTRTFAAPEKAAYFDTKNSDGVRTRILQTSDVWSLGCV LSVAATYVTHGVQGLRTYSKLRAREIKALTDCTPTAGDAFHDGTRVLPAVTEWHAFLR SIRGHSDVLTDKVLDMVDEEMLLPEDKRSDAIRIRDRFKRILSEAVLPPADFRLVRRF LQDIDMEAEVLPRRRSSLPGRANGSIEDQPAGLDLDAKNDPRVGTSEEKLHSIPVKPT AQRLHPRPMSPRQVAGEIQPLRTVYTDPPDARITSVGLRDAMSQTTTDTTKPTLAIVT NGQERLPLDGHVFSPNIPVQNLHQAQAELEKIGMGRDLGRTKSLLNLRKNLRKKEVSV RGKMPLDPIEYCFKGRDILFLVDNGITMEPHWPEACKLLRALTWRLLKYDDDGMELYF TDPIAEVVPPRRNEEVNDFVRAMEKACPSSQRAHKTDIVPMLKQLMNKYAIDVCGHGL HAKKKTIIVLTDGIWQGSSHTRVFDFFQYELKSLEQWYQPPNTIGQLSLEARREALRT ERPISVQFVQFGSDAAATSLLQQLDEDFARAGYIDMIDTEPSGGDFYKIIMGSIDESM DSKLSGATLPSRITSPQSPYNGRTNLTGGPNHGSAPYTPIIRQRSFPYSLGQVPRNLP IIDPASILLGRPQNSHGRSNSTLGDYYRHSAETTTTLSRPHESRPASHTPFQVAHAEY RDEVENTRRYTEGDNSSSSVEHNNYP
SAPIO_CDS1628	MSGAGAQARFGAGRARRRWTDDIIPESSAKAQEDDPTPGSLLRA STTYSKVHDGFKMQISAYGLPWEKLRDYLRKHVENCEIPEKLAPESDLFSVPVPRKLK QSELDDIYRMRDVSRKEEQRIKDRPEKLPQPAPLPSYSDSE
SAPIO_CDS1629	MPAAMTPIPKGCERLEDREKSLKLFVDEAKLQVPILEVNHQGFV SHRNLRSDAEVEEFFQADHSLDESGCTSPTGECREDPAYRAVYLLSASSIRPLEITPE SLKWLLTYFQVMPGFLDFLYIFGNPTGMDPELKFSGFRTHSVLSGPHKGLAIDAMNRS GLRYQMCYTLKGIERKDDGKEAGAGRMWKIRHGAFHHQFDVVKGTQLWILGDQKLTLW NLGSGQLHGERKYPKHFSTFAQRFKTSLDMHLSYCQWAALDWRWYICSSEDRAHQLTI PFLDGDITSEASVGPQTLVAVQAEEEAVNQIIMVLEANTDIVQKLRDFYHDLLKGGRF PIDDTEKSECDDTVKGFTAQLDEIIYDLNTQIARARVLSKLITDRKNILIQHLQTQAA ARQENSAQSMEGLAEKSAREAIATVFSTDMVKYQIEVDDPNAPPERRSKLAIERFFQV SIPLMVFTFAMAALWYQYEKRRRRTSGRSKQLV
SAPIO_CDS1630	MSDPEKPPFPIEAEKADLERVNTYSGDEKADNSGIDIERARLLA SLPDPDAGKSEEERREIDRKLMWKVDLWLIPWLSFLYLLSFLDRTNIGNARLAGLEKD LGMVDGDYNNSLTIFFISYAVIEPVTNSLLKKVTPRIFFTVVIIVWGTIMTLMGIVQN NAGLLAARFFLGVAEAGLFPGVNYYLSCWYKSSEIGVRSSIFFSAAALAGSFGGLLAA AIANMDGIGGKPGWAWIFILEGLVTVIAGMFCWWLVFDWPETARFLTLEDRVRVQRRI IMDRQGHNADDFDKRHIYVALRDWKTYGYMVIYMGCLGPLYAFSLFLPTILRGMGHQG TKAQLLSVPPYAVAAALTIAVGFYADRSRHRRGYCNIATVMLGIVGFIMLISTSNPTV QYVAVFLGAAGIYPTIPNTLSWVNNNTEGSLKRAFVLGMVVGWGNLNGVTSSNIYLTR ENPRFWTGHGVILGYQVFFLLTGSVGMHFALRKMNCDREAGKMDEAWGKLTDEQKWIQ GDLRPDFRYTL
SAPIO_CDS1631	MDPVSAVGLASSIITFVDFSWKLIAGSLEIYRSLDGSLQENARL EDVIDDLDSIAEDLEKTTSGRTKSERAIRRLAEDCRSDAKVLLDILKQLKVPKRSIWK SVYAKWRALRKREEVRDLKERLQEYRGEILINLTLLLRDGQSEICSQINAISNDSSEL KTEFSAQLHNLQSDLIAAIQSNAPSTLDAPPTHDSPPSDHLEQNQETLAEIGRILQDM QSLTRTIPLHHRVLRQLIYNDMRLRQAQIPLAGEDTCKWLLEDGYDTEGQEDDSSFVS LNSENAFYNGRAPKPLSIDPEPGPDSVIEQAFVSPPATEAGSGIPRLDPDASHCKAEI ESRRDAREVFCSWLNSGKDIFHISGKAGSGKSTLMKFVSQHETTRRELEKWAAPKTLV VAQFYFYSAGTYLQSTLQGLYRSLLFEVLRRCPEMIPVVFPKQWARLQKGPGDRLVES LDFSDEDIKVGFENLTSYAGQDIYKFCFFIDGLDEYHGNVLAREELALKLKRWTERGD IKICVSSRPQREYLELLASPAHQPLHLHLLNRGDIYTYCQNRLSNDREIVKTGEKHDD LLVDISGNCQGVFLWAYLVVDILLAAIRQGDSRKILRQKLEEVPMELGDLYDQLRKSA TPSRIDRIRANKMLFLAAQNPFPGSLSAMAFSWLDSGELEDRNFPIHPLREPYTREET IKRLALVKKQIYSLTKGLLEVVPEIDWEHIGTGGSFFHFFPHDGQPDERVLFFHRTAR DYILDRCNSDAEMRELASGFRPADIYGRIGLAEIVLSIYVCSHTSVLTYTVSSCLRSL DMTFGEQVSPLYDDFRVTLEARVASDTLYCRSCPDLPIHYLFGLHENDFLTRSGSRVS FVALAAYSGLTGYVVREVVSNPALKRTVDDLNLLVPALHGSSLDLAWALVNLGCDLDD SVMHWSDGPEAAPTGVQWPVWLVALVIIASVAGAYYDLLRLESLDALRPLTTVLVRHA SRVKGSFSISGNPHGSVVPNEVSSEDFTDILDEEGRADEARTEELVSRILALCPSGSP RASWQSYRVDALRLRNYGSHVGGHG
SAPIO_CDS1632	MDAITDRPNWESDVFNSQTAEALRQEMTTREPLLSPKAWAWCLE ELQDKARSFVRTGRVLVYDAGIRVSKSSLQGSERMERLILAPTDGVDPNSMWAHSGQG VVSEIAPHVKSRGPHSYGYHSPGEVEPNFNLPYNITSPSELDTDSDSEPEPGKLAKKR APRTISNLFQWLPCDIVIADADACTSTEISLRARITSYINGLHPSTHGSMYSLIEDAI SASVFPWNDVLMHAGTRKRENYDMNGNFYRELCSLSDDWDRMSQSQRDDSLDDIITRM QTAPALCNTFEVDFVRAESKIHETIRARLEEFRYPEPGTYFSFQDWKTGKNALYPVQD ISNTIRSDIYLSAQGCPHPLLTPNPAWPWARISEMDSLPERGPPFRNHSVIPLLDPNS QQPGTACSGELLRSIGEVFDAVQTCPRCYVANKYGEDTGSRDWCRENIRVHPRRRRNH KYREVQLHHQFRHQGLQVYVKMQTIELTPDRPHFPGSDWILEGMLNEHIVATSVYTFE QTNVAEALSLQFRVAADFTRENYWLHRFEFQRAFTTFAVDQPIGEVVTRISHFQTVGS VAAPKERLVAFPNVVQYRLNPVELQDPSKPGCVRFLTICLVDPNYRVISTKRVVPQDF SWWRRDVFPDDFLSSRGLPVEVRDQIALDAFDPSIFTPEEATDFRARMQKEREDMMAD LDYEGFSHEYFNQDLPS
SAPIO_CDS1633	MATSTVGGSPRLAWVPEGPAGIESISSSSCEGCETYQKPRLSCK PCRARKVKCDRVEPSCAPCTRLGLPCSYGNGLDPILTTDVRDPSDMTQAGTKRRRTRR ACVTCRLMKSKCTGGDPCERCLARGVRCEQRSDGNSFQIQSSAQFANSSTPPTILNLN LLSDARTLFSDKPTVRRYIEAYFDLSGAATCVFLLKPLVLADLARETLDPVILKVLIA SGLHLCDDRPHSQAIVGTMMQEAQRDILSRLGRLSINQLQALVLIMRYHVAAGKLPEA WMMISLAARVAFIMRLNLEDGNLNPIAQESRRRLVWAIYLSDRQISGGVDDLAVCPTD KIHIRLPCDDHSFRRGIASRAQYLEEKHRQDDGHMSIIAYYIRLSNFRDRILRYTKRV RRENVSPALSRDELDTLRHDLDAFEMTLPEDMKLNSQNLLVMVHSTEATQYILLHTMW LQCYCDLYRFVIPGIREAVGKEAMAQSPPDFIEYCQRACLSSALRLCNFWSEVYQLDF RAPLEDMHLAVSIYQVSQILHHLHHLLDEEGPHSILEIKPKLIEALRLASSARTIFPR VARCVKDTESLVTVLGQDPAPSRSGGEEGSDDRVHFPSRYAIIPKPTVGEGPLEDNDT VGSFLPEPLSSNVLPQEESVQAPHQEAAFQMDSGVPEMSIGGQFLLGSGSEENSASWD VLDLHLNGYYDPDLGELLMPMTA
SAPIO_CDS1634	MGLFTFEKFRYFNRTLFASVFVIAVSTFNYGFDNQAFATTQAME HFTRQFGDYNETTGKYAFRSDWLSLFNSLNYIGFAAGKASYCLLIHEPEQIMAARILN YVYVGMELSVVPTFQSEIVPAPVRGLIVGTYQFSLTVGGLVINAICYGTSKIDDNRSW RIPLGLFYVVPSIIAAAIFFIPESPRWLLRKNRIDEAKEMLVRLRHGAFTDEEIEAEF TELRVTLEHEQESGNFMELFRGKNVIRTVIIVFVNFFQQATGQAFASQYGAVYVRSLG IFDPVLFGLMNSGINAVVITLVLFATDRFGRRTLLMASSIAIMGSLFSMGGLGVQEPV SVPRMKGIMALITIFVSSFSLGWAPLTYVVATEVSNLRLRDHSSRVGFTVNVIFNFLI NFSIPYLVFPDRAGLGSKVGFIFGGIAFCSLIFVYFFVPECKGKTLEQVDYLFMTGVP LRKFGSTNASELMEAVHHQIQEKEHHDDPELGQAHHVENK
SAPIO_CDS1636	MISDLVPGAGSRASDLGAFYCHGVNDNKYDDRFKIYEEAVRGRD EVVRPMPLEKYDPFSDDSFTITYSLRDEDGFYKTIEEEFGIPRDYVAFDNIAEDDHCI GKAPPPGSGPRPISDPSIESRQCMQFRRERKGYPITKEDAKVINPKEIIQSSLGNLDE LYFEVILCSIEISLGIWEGDPNELVEATSLSVFLAVQSVDSMEQVQQIGQEVEDAERE QKRKNIILGVVMAVLFIIPIVGEGAFALGWTTATIARIATSIGLAGDVALSVIDVIDD PSSLLLTALLMMVPGLPGSIKRSDSAVKDVIKSKRQFGDANLHRLGEVFKKNDTIVNL FRLAEKVTGERVEKRAAEESLKNLMDHGGAASSPGEQDDETALLEADENADAESSPGR GGDGARSPSIPAALPVFTTMHRVRGLVIASIDDPYTLDQLTSPRINTLIVRPLADRLY DLDDISLVYCLLANRVQFLSEQSSPIHRSVNVSRATLCELVGARILRRCHEDSPGGLL HLAKMLVQGFDPFQGAPADVERNGRQLQWPIQERGGHERKFTALELAILSDSKTFISS SACQRVVDAVYRGQVVYTALSFVDIIPDHYKHHPVSIYDPRKAPLLNHYRLVVPRYRN LIELVQFLILVGLYIFTMLHRHSDNLALFEFIFVIYATGWVLHEVAAIIEHGWAVHAQ NLSSFLDSSFIAIFVAYAAARVADLSVSRVHDGHALSILCIAAPILLTRLAFHIMPDN IVFISLHILMKNFLLLTILAVWCFIGFFLALQWLYPSDASTSGTAPGWPTICKWLLWI WFSLDGTGIEESVQFHVVLGPALMVAFAFLGNTLFLTVLVAMLTYTFSKIVADETAEI NFRRAVLTFEGVKSDAVFSYPPPFNLLALALLLPLKFVVSPRIFHSVHVAAVRVVNAP TLLLISLFERRSVWAKLTSAVKKSVFNWQFTGFSPHGDIQAVFRAAAPPEIQEEIDEL DGMSDAGFLDNDAMSRSSTEMRRQAPVFRLGKAGLRGRNRSPGED
SAPIO_CDS1637	MLCSACYAALTTDIEGRMCWNDSHPQDLVHHPTSDDFEQAVVGK CHICLTLAYQWEHKFKLRLSFPKHVNKGITHRSGEKVTSRHFTRFYLSRGERSFTPGP WYPISEKSFFITFYLDETFKEAIMTNEIRNQILLTFVANPYPEFERSIKLSQLQRVYR EAIEITLRLGVSYLWIDSLCICQDDKQDWNEEASKMFDIYRNAAFNIGATGASDGDGQ CFVNHNVDLLHPCLFNMVLENKASKKPRLRDRLFRRKNKTEDTEPPASQETTWHVVDE TFWTGRLYQQPLNQRAWVLQERLISPRMIHFGADQVYWECHEHHACEAYPKGPQAMHE LRARKAVHPDVIQEVLATQLEESRKRRRGISLTPTSDSPPREWALFGWSDIVAEYNKL DLTLPKDKLIALSGLAKQMQPSIQDQYVAGLWRSAMLMGLLWRINHRERSREGKGVAK RLKGAAPTWSWASVQGNTHVFMVPDGKLKGLGFEIHIDIQNIALNPIHDEYGALNLSP LQIKGQLWPAAFLSDNMRNAYRWKYSKGQHYQDPDVRFPFFDLGSGALTGGWGEIDCT PDTAMEPGDLDVTANMHIMPIVSVKFPGNDQHAKSMTQGLILRKIEGDGGYAQYERFG YFQHSENEDFLHLARKVGEGIWQVIEIV
SAPIO_CDS1638	MPLLPNLPSLLSLPIPLIALLLNPLLPTVSAAEGAAEAVDTAGF WVGFTLVFVISAVESAASVIWTRFRVTSDGIHPIELSCRVCGREIFLSVIDEDAATEI ANNGMPAGCYSGSDSSVTASNMHSTMTRSRSDGCSCCGGFESRHTETHGRMVEAVIDK FFSFMMAPTLLIRRFNPATGLSAPAGLVSNVKGLLKPDIKRTVGRMLEHGVHLFVGLV DISLGVAGLALKPQSPQNLYDTLKDPSAPMTFENYLTLFLLYWLLGAVLLLCMIPLHS SRRSTQAAQGGVDAYAELLDRRRERD
SAPIO_CDS1639	MYSSAQNQDTDGTVASVSESLPIASSPGGKRTLAPSPSESGAKP CDNCMRREIACEYDAKYSRGRPPTPPPPPASVALPENGSEVLRVDDMRTSVLPNSYNN SYNNSSFQSHPRRPTNVLAAESSNAPSRTSPDLDVAEIEGQYFDTTSGLTFLHRAYKK LSTQRSNTVPSASSGTEKQQRLMTVGDRRFDVGADLLKVPDRATALALIRFYFDECVV TYRMFHRQIIVGWLEKLVANSENNLPLHCRLGHAKAATVLGILAVVTFRREKLRNPTK PGFDEDTALARSDPLFRAAVNLTDAETGLPRLESAQARLVQVLYLLQTSRMNEGCVAF GRPSIYRDEDIDQDFPDCVNDEDMTAHGPSGEEPSSHR
SAPIO_CDS1640	MAPRRSSIDRSDIEAPLEKMETGALHKEHEGMASGLTPEDANFL ENFPEEMRKKLMHKVDWRLVPMLLFLYLMAYLDKTNIGNAKIEGLLPDLHMTGEQYNI ALSIFFIPYVLAEVPSNMLLNKFKRPSQYIAILVIAWGIVMTCTGFVRNFSGLAAIRF FLGLTEAGFFPGAILIISKWYLPNETQTRIALLYTSAATGGAFSGLLAFAIAKMDGLA GYAGWRWIFIIEGIATVLAGLLCFVLLADSPVLASSWLDADEIRYLELRQAAQRVQAP QEYREKTFDWKALLAVATDWKMYLLILGSWSNAVPNYAMKFTMPTIVGSMGYTSAKAQ LLTIPPYACGAISAYILARFADRSSWRMPFIVGPQICVVTAFSILFAKAAEIKTNVAL CYFGVCLACAGMYPIFPGVNAWNVANTAGPVKRAISIGYLVCAGNIGGIIGSYIYIER EAPRYPTGFGNSLAFGAAGMVAVLALEFGLWKINKRDAKFSEEEIRAKYTDEQLERMG DKSPLYKYTL
SAPIO_CDS1643	MAESLPPVEEWPDYNVQPLGANPLEQDVNAPYNKGDLCFLVIAT MLCWQITPAIGFLYAGMHRRKSALTMVFQSLFCACAVAIQFWLYGYSLYEAHTTNPIL GDLSKGVLYNVLAYPSLANPDIPDILYAAFGVTFVTATAMILAGAMLERGRLLPSTLF ILCWTTFVYYFLAYWEWNPSGWLAKLGVLDFAGSGPVHIASGFGALAWSLMLGRRKDP FQESHHPKLPHFKPHNPFLVGIGTIFIWFGWLAFNGASTANLSIRSIYAVMNTNLSAS GGGIAWVILEYAYTKKCSIVGFCSGIISGLVGITPAAGFVPVYTATLIGWITATGCFY VNKYKYLISIDEGLDIFAIHGMGGVFGDILTGFFAAPFVPALDGVTANNEGGWYAQNF KQMGYQLAAAVTCASWSFVISCLLLFIIDKLPYLHLRVREEDEIQGLDRKYFSDWEAD GFVSPTQGTPSGRLAGGHKPGAVTPEEETKRE
SAPIO_CDS1644	MGTWLRYFLVGVGARVDVDVRDDHGCTALALAAKNGHAVAVAWL LARGANRNLVDLDQAMPLWYAARHGHTAVVRLLLASGRLSDLNPSVEGQTPLSIALKH GHRKTAKLLAHAGGIDPFVKLRERGGPSTAISVVGLAIRNGYEDVALALLNKCDPGRD SSHGSSDNNAAKDAVEPASKLLGFAASGGCCRIVRDLPAKHGADVNALYTYTEERDTS YNYDTFYQRSPLMEAARRGNANVVRLLLDTEGIQPDLKGDHRELTAFSLAAEGGFVEV AKMLVADVRVNPDQTDKNGRTALSYAAERAHETVVAELLVTGAVNPDSPDDGGRTPLM WAVDPEDGYRPKGWQPYEGVVRHLIASGRVNPNSRDSLGYTPLSLAARNGSLGLVEAI LQHPEADPESGHQRTPLVQAAERGYADVVRVLLDTRRVDVNAISVDHRHAEEGTALQC AASRGQGRTALSLAAEASEVEHVNSLLAVDGINPDRTDRRKEVLRRLLRVPAVDPNAE DAEGLTPLLRAFRFHNSREFVELLLARADLDVNRRGRNGLSPLALATKKRRPTKLSWS LQQKLHSEIAREYRLPLGAQQEYVGERVAESMASLCSVCAAIDLGAAFSSRHTKHRGR VIAKLGRVDESWEVRTCPLCRLVVAVRPRAGAEGGHSLVSFSTTQSWLRHGELLCWHM WFREPWVDTMVLAVVAADSMDSEGDDFLSLQVRSGRQSRDKLGIEIVDGVLSAGFIAR LGSNCPDKTNAVTIPRLAVGESDLGVARGWISCCRQNHSQLCNPHKLATVPHFRLIEC ATRRIIEGNNYKSGSPRYYDEGSGNVEAVIEDAIRVTLELGYAYLWCVGRPARRTQLP GALVQGHELVRIPPDPTHYIRLSSTWVTRGWTYQEGLLARRRLYFSEYEISYECRDML CREALRLPPTVEQGMSGYKPRLMEPLWMYKPYIVAGMASDDDGTGLFGLLAEYTARQL SLPSDALNAMLGILQLLAEQRNTPVYHVCGVPVLHITDSKPNSNGKSTVPACLALDGF INGLCWRLQKPAHRRSGFPSWSWTGWHGVVESKPFHFWGRPPIRHAYGFNVDVSIIPR DQGPGAVPWSRYYNQLSTAADSSRDLLSAQYHALEITASAAKVQLRKPKGTYLEPGEW TGTVCAGDGVWQGEFSLTQKDYDNAGARYKKAGFSRSALLQKLWTGIVLGNSDASLDK HDTYILVVQKQQQKSTTYKQTYWERVGLLKLRDCALKDGMLERSTWRLA
SAPIO_CDS1645	MDKVQPNFLNGGPTFKGVDPALVNPDATGPLQGSTLATRIATSS SVITPGPDAVEIPELCGFKDRTTPFMCASGETCMFNTDIYAAACCTGDSCPWKTTCCG YSMSDPAPSVCGNGLSYAACAASGAYSLNSRCITYRFESGFTMYAACWTFPAYSESIH FTTAGATTAWPGLPRLTGSNGPPMKTTSTAAVETVVVKSTNTGAVAGSVVGGIVLGFL LAVGGWFFYRWLTAKRLARLAPTSHNQVQQYYERQEMDAAQAPGGYIQSYSPDHAYGV VPSPQQPYAGPEANAPARHELGTTSQ
SAPIO_CDS1646	MTALASVRDSLLTKTDVEPGQLTDENDNSNANSRTTTLEHSKLK SYKLRGFPLRAIAAVLGPLVVLAYYVFICRLLRSRNKAPGKILYGYSSEQWIHYSWFV VGVFGLNFSKYGLQGVEASMLHERRWQAKNTMKLLMHCESAWSGPGGWLKCGKAFIQR KENPAGKLWYALAFLSVCPFIALPLSRLTLELSEGYVQFNERSTMSSPPIVIGHTPDN FNQRPRTTAEQDAFWKTGFPPAIPGFGLVYTDPHVQRDSFPFLREIPNILASDISEGN PDLFLSPQVAVPISGNTWGLRIGYNCSIVKSFSEFTILPRRPTFRLSPTSFYGGQELQ PDGGNDMIIVFHNGDTDKQSNMMAHAELGWEHPGVYGSLTGTAMLQNTSGFGLRPSVL EYALWQRLSFVGYSLGENLPFNKTIVSPIEDAPSPYFQTEDGSVHKNETFFRAKSSDF DGDDSNSTILISRSLVSDPSSLLRSHIAPPIGVRCVHHSKLGYADIDWDGTFRSFNES WVLPMTYSHGSGTQFALGLIASTPVEKIQELLTSTNSPPPLGLAHGVYYPGYIQAETP YSAATKAHAWNAIARPRTYDNTHTPEPAQAPPNTTGPRLSKIIVPGDVPPIVPLFFFV PWAVGSLLLGCTYGFRRRWSETLDGHSMFRFGADFSSEIRREPDFVSTRGFAQCESLR RLPGLVGDSQPMMDIGHISLVNRVKGKWGKKG
SAPIO_CDS1647	MPKIEESQIHPLGWENDPEEERFKLSTLDYLSARTYNNYALFFQ LDDADKSKVAAVLKEGLERTLSQARHLVGTIEKDDDGSHSFVKRKDSTVKFVVQHLDS PEDNFPAFDDIEKAHFVATTLGDINVLSNAPMTYGEKPEAIPDGSPAIASYKANFIPG GLIFNMHSHHYSNDVMGWGSFTKQLAENCYAIINKTEFPSFDPKCLDRSRFITPSVPE ESRVNAPPQADRHPQHKVCQSLLFHLPKSKAAELKTVASPDDGSWISTYDAIAAFTWR VFSKLRAPVYNPDLTSNLIWGEGVNMTKRLTNPTMPARMQGNIFFAPLSSMSTVPQPT CAEVISEAPLAKLASYVRQMTNSVTGEMLDGLVQMLAPIRNKADLSVRVNSFPPLSMV VTDWRDADVCTADFGFGKPTAFRHLFDTVTEGLVIVYPPHNTARDDEGIELQVAFEKE LVPQLVNDPEWSKYFEFRGVDAEEADPRQ
SAPIO_CDS1648	MSAVDFTLLTPEELDAVLEMPAMPPPDGEVSNFVNPPNQNGMAI AIMTICLVSGVLCLSIRAYARVILLKRVQAQEYMIFTAFLVHLAGVFYSICLPLLKIS ILVEWLGMFVTQGRRNWFFWVSWAMIIVQILFGVAVVIALNLACIPTKKKWEFWLPGK CINAHDIETVSATFQLASDCVVLLLPQKVIWDLQLSWKKKLGVSVIFSLGLLACVSAA FRLAVTIRYAGATDVIYNVGPVCFWAYAEMTCGFIVVCVPCVPKILLETGIWRKMKMR LGLSVTTGTPSNTRNLTGSSAIRSRNMLSGNKSGNKSYLQVDDETELKDFGSESTEHL REQYVPKSENGIVRTTQVTVTQNSDISNGGDEARYGNHQVQWR
SAPIO_CDS1649	MGDTGPVVRFVREEAPNVHPAFTTPTNYDDQRGIFEPKKAAFDL EDALVRYQELGCSPALKFDPKNCTWNDFFEQLSDTKVAYEAKAVGWKGSLHKVARKAG DKADLIAPGLQLLPEKYGLSIVRAALGWMLVLAKESAKKREAIFEAFKDIPGIIWMAE AKRNNFPKDTQLKILADELNDTILDSTNKMIRYLLPKHQVAKIFPVLPGAPSGSEVDQ LIAKVRRAAANLTRRTDLLVEGTIVATRRLAEKSILEHEATRQNTMSTLIEARGISQQ VLEMSEAVKPLPGQIKELQHTVEELKDKMSHLEREAVNALTSMANHAGVDAQNWTKRL LDEIWQGVEARMRIMANEAQKREQRLLMVMQDLETEIERARTPQLPSNATLSTSEILG ILAVSPERITADLKLIIQGSALLDPASQLEAQSLLNIDRFWQWFSSASSDLLFVHGSL VDDPTEARISPISPVCATMVAAIIKTHPSAVSLYFFCGFHMDFGDNLKGPQGLMRCLI SKLLVEASTRHPMGLTYDFADLSSTDGLRHHDMSELCSLFRRIIMQLPRDAIVYCIID GVSWYERLEMLEDIFLVMQTLSGLVDDPYLRPALKVLLTSPFPSKRIAPGIPTQRRVF LRPMAIANEVVSERMIFANLTSRARQVPAYATANSTAPGTAAVALEEERTAEDYL
SAPIO_CDS1651	MPLPADFKLGFATASYQIEGAVAEDGRGPSIWDTFCHLEPTRTK GANGDVACDHYHRVEDDLDLVKKYGGDMYRFSISWSRVIPLGGRDDLVNEAGIAFYNR IIDGCLSRGLTPWVTLYHWDLPQALHDRYGGWLDVEESQKDFERYARLCYERFGDRVK HWITLNEPWIVAIFGYATGGNAPGRSSINPQSTEGDTATEPWIVGKALIMSHARAVAA YDKDFRASQKGQVGISLNGDYYEPWDSSDPKDIEAAERGMLFHIGWFANPIFLGQDYP QCMRDQLRDRLPTFSAQEMALLRSTACDFYGMNYYTGQFARHRTLPALDTDYLGNLHE LQYNNAGEPVGIESGVHWLRSCPHLFRKHLARVYKLYGKPIVVTENGCPCPGEDKMTR EESVNDDYRIKYFEDHLGAISKAITEDGAVVDGYFAWSLMDNLEWSDGYGNIPDQRTK TILDHIMRERDGQPSNCSPETEAMVKDFREISQRKARKKQDVAGAPRSEYAVDGMSDE DMDRVAIYMEGDKSSTGDDGPEGEHDSRENRTEFQKYKHHHYIRRWGLLEDSDGLDEE WFIPSPPVLPEDDPEYLCDMCRHIDFTALFTLRGLPGNDQPGSTSIILFGLAKVMADS LCSFCTMLRRKISEDKFLNSASPEDLEAFELKINVLDDGPDYPLRLEVEIPEVNNQTC RIILQKMEEGEATRQPLRGLFVSQNVVDISRLKSWLQLCDEQHGPQQESEHKKLDHFA PTLRVIDTVKGCVTEVTTPFEYACLSYVWGRGSQTQYTTATKEKLEVPGALTDDDSLD LPQTIKDAFRVTQELGLRYIWIDALCILQDDDMDKAKIISRMGTIYGSATLNIAACTN SDPTDGLPGIGPPRSRAQITEKLQGMTLGVAFHDSRKRHFEIEQSVWNSRAWTFQERL LPRRTVYFTDSQMCFQCPHGTFFEDTVPVLDPKYKPTPLNEQTRYDARAYDIWRRVLA DPTQSKFPNKAFETESARVIMIGQDLEVEEEIPAPVYHYRPIPGDQFVNGRFLEGHTP WDMYKQAVNAYTQRRMTWQTDALNAFIGITDLIIQGTNTKFWYGMPEFAFAQSLLWQP LEPLKIRIHDGKPLFPSWTWVAWEGHVSYRGRGWHNAISYPPASVVQWYQKTSPECLI EDFKASGNRTPQEIEHFTARAHSAAFHLLSQNSADILHTDYEGRGWTVYFDEARNQHL FSHEAYPGIPFTYPIYLPDQEVADIPADDGSLYFEANVVPARFCDMATTEFVQAPIQD TFFQIGVNDESRSANYRPPWKRILYHQGYRAGFLSLNVSGVWLDLDGQEEYSLVAMSR DGLSHIAPPPINAPSPASFTLSIHAIMSDNHLEKLFPEEFNNSPLDICYAQWLMHCQK IVLDESSRPRKDGPGGDKEYLYPDFSVPEIVSAALDGCSFCTYLMDEEWIHRRSIVEQ ASSWHDDIGRIGNIAGSSRIIDLFAKGWICGNESTMPPSPANTLRRIIAEDEPQWLET LRLAVFLRDCEITFFGLWDTNTGHIAYRTRGGFSVFAEPGNAATRAISTKPIEKEASS DAKFTMVKSWLNECLHSNVRGKKIHEACPLPDSDYMPTRLVEIVPSDDGGCQLKLRDT QGHNIQRYAALSYCWGGDQLIKSTRQSIEQWRVEISWEELPQTLKDAALVCIKMSINF LWVDALCILQDDQDDKAREIADMPNVYRNSIFTIAASRAKSVHEGFLADRTATNFPDL VFTLAYQCRGIARRGSITLIKTQTEPEPLDRRGWTLQERLLSPRTLEFSTRQLRFICQ HNPRGKTDGWRLKPESNKSRQDVLGDITLLQDGFGALEDMKHNTTEPEFLKAMNNWYQ LVEVYSHRQLTFGIDRLPAISGLAERYGRVFGDQYCAGIWRSTFARALYWKALPPLRP RPRIWQGPSWSWTSIGGPVVVPEEKLDDMASYSEMQKDSDLKIRDLEKLDDFSDMEPQ VVDYELQLSNATFPYGSLVEGSGRLTLRAKRLNAVLNFNQHTMFGKKVVTASSFAKNG SSLPFCILVWLDTLDENEEDRDEPVVLLELSSKFTDFLWASRGLVARNVGGDTYIRVG CYKRTEQRNEGEDLENWEQRAHHYLCWFNGAPTTIVDIV
SAPIO_CDS1652	MAPKAAQATEDILSPIDLATVPPFWKRKNGILLYFLLTSSLFAS MALGIDGSMTNALQTLESWQDKFGHPTGSKLGFFGASNAIGGVIPFILLSWIGDLAGR RLPTALGSIIIIAGVIVQFFSTSLNMFIGGKIVLGIGSSLIQMGAPVLVTELSHPKER VQITTLYNTNIVLGYVIGAWATYGCFRIQSDWLWKLPTLVQIIPSAYQLGLIYFCPES PRWLIAKGRLEAARAILIKYHGECDRDSELVKFECAEIQQVIAEEAEQNMTWKDFFSS IPNLKRIGLCFATALFSQSSGNLLVSNYLTQILKDTGIEAEKDVTLVNGMVTVWQYIV AISVTLLVDRFKRRTFFLTGSGGVLVTFIMWTIAAKKYLDGSLAAGRVVLACIFLFQG FYTFGWTNLVVTYPLEIVTYRMRAKTWAFVLLTIQVASIFGGYVNPIGLEGIGWKFYI YYCAWVAFIFVIVYFFFVETSGPTLEELTYLFEGKDVKQEMAKVVEIKKEEQEFAHGK ENEA
SAPIO_CDS1653	MDPRGATPSSPHSPATHTPSHNRRSTGTRACDRCRRRKARCHFA DTGGLRCTNCCESGSTCKFDLPVARRGPKARRKKSCAGGEAARYPLSPETNPPIAELA AATSPQVYAANSSARWDLTRTPLNDDLNLSPSAIRSPPVANDAATNYRVSALQRWQRL SRDLDFTTGNLEQLVTRCFDLFFEYLYPLTPLVHEPSLRDSLLHFTGGFHRPEALDGD LGLLEIWPETAFALITAVCAEAAFLLPTDLFPEGQSVAYGFLDASRACLNHYLEADLE NPNANSITIRYFHSNCLHAAGKPKYSWHIFGEATRLAQVMQLNQESSLEALLPIEAEL RRRAFWIVYMGDKSAAILNNRPITIHKFSFESGITTAYPTGVEDEEPILSPGNSLSAS SEVTRKTCIEGFNANLQLWQSASDLLLELRFLRERKQSEHAISNQQPLLSLTAEEKSR LDAIYIRFITCLDNLPPYLQSYTFASFISGSQEATQAKQFLIQSANLQVSAHCLRMVI TQKLEELPFCYSSGIEHADLRKTEIARDMLRVIQEAPFWSLQVNGEPYVEKIRLIGAS LLEIMHRSRSSPIAARARSDFAVLLDLLTRLDSKASDALRNTSIQNL
SAPIO_CDS1654	MKFLSLSLTGAALVSGASLKEARPNGKTPRAPSCRFGLQWSQQD VLERTDDFIWDLLYWEGKFHQNDVAYNAENGMSYDGTQLDWETGERTRKHDFSAASKE ALQIMLYAQAIAGSEEAARFLTPGNLSSAPAFAASIMETKLKSYQQFNQTYPGFGGYL PWIKTNEAQVTVADGWNDRVPGLDNGELVWAVYACIEALQRHFAPNFQKLATGWQEWL DYVASTAAEIFYIGKGRVCAVTAIGDQSLPVWHSNQTYDCESEFYLDDPYEGETFTYF LEFFGKMPKKDKHKLWEYKRAKLEKAEYNQGGIGPITVRKGYWFSSHEIWNQMELPYY DVDIVRRLFLNGERARTCNSVVTKSPGLYASVNNSTDPETDTIIGYISPAGIPSIASQ KDQYHDVVTPYGAYPVVLFDKAVGLAWWRNMVIGKKMQNPYGSTESTQIDGKLVSALV TWDSKVTTVVALLGGVTDLVRDRMRSDGIYERFVEITKREHVRVFGHHLKGEDIDLCL PEEQVPDAGLEDFTSCEAQF
SAPIO_CDS1656	MAAIRMQPPKLTHVMNMRAYMSKKSGISGAYRGGATRGLVPLTG GFLKGVEGTRAEGLDVQLMEGGSDWPMVDEASGMVHVDVRTHGTSKSGDGFFIHYTGY LGLDKAAAMFTSWDPEAKTTKGGDHYWFTNPTFETSCKDFQWLTTTSFVGRGHWWAGD DGMQAVEYEIFEVSN
SAPIO_CDS1658	MHPFVALASIALLGSGIFAQDEATNTTLSEEAIESAPIISVSNV TTGIVVVDESGGNSSAALLARDLNALLRRIECPGGAFATPVIASPNVQALAQRVCDIF FPPNTVSFVPLPLPGGPIKVQAQLPGNLKVDFQYETRSCQNATNVFTPVRNTDCSDRF NAIIAFAASSANLNCPGGGFVHAGTDCDEATRPICFTFTLVETAIKCGTAVIEVYNSV DGLPNAHKTVEREADGIADVVGHLRDHQAQLQSPGFDSEIRNLTSRIVGQCDELRRIL DECRPRKRGNVFSAGRATLKSLDEFDDSGVNESHGQLVDKLLQWTENSNGNVKLCVSS RVQEPFVGRFDSNQRIALHRLTERDIERFIRDRLEEHRAFRKRKEQGDSEGEGLVQDI LRAAEGVFLWVALVLKSLERGLNALIPIPHLRAQVARTPSDLQALLTQILDSIDDGYR GSVDILLATILRSYGILLSPEGRDPGHAAFDTFWSDNVDSAGPRNEEFYLMPFGCFSI LAAGDARKQISAQLGIADLEFHKWFPDINMSDDEVPKTIEIAVLSRCNGLIEVTDESA VKFIHRSIPEVLEEYLKRQAAPPRQLDDHQITLAMAWAYRMDVQWEAAMNSTPAQSSP LISRILSVVNGVSGIDNGANGVHNGFNELEHDELHFISFSDASSFLRGFLHRLRQMRF EDRSEEIFRILLSIDQTQYETCGFGRIGIQGDPTREQPLCLLDLSVFVGYEEFIDWAF RGRRVSDLETRLFLIMSRAIKRETEPNACLDVMKKIFRHGFPGSAVFPEGVHFAGKPL WHAVLMKTLRSAEPRASSKVLELWMRNGADPRVRFQLRKGLHMILMQGIMNSMTVAPA VAYLRGLKEGSIADEDLPQGMSLGTFFTLWKFPNSRALLDLIDSVIETDGSKKIDMMP EGPTDEKKAESEFGPAQNSGDISQQPLELAALLLANPVVMDVPSSTRRLRCWLFMVGI FRGV
SAPIO_CDS1659	MASNDVVHAETDPAVYDEYREKWSALPEDEAGWLQRAKDVGAVL DVDAAQREREKKSPRAEVALLKHSGLTKILGPKKYGGGEQPLSLGYKVVREVAKSDGS IGMLLGYHLLWSLTGNIVGSAEQADRLQELIITNNYFLGGAVNPRDGDLKITEEGDNI VFNGFKNFSTGGVISDLTVLEGVLEGTENHIFAVVKSDQLQFQRNWNNIGVRLSESGS VKIENVKAPWTDALGWNVEKKEPDLSILGIPWATLLLPAIQLIFSNLYLGIAQGAVTT AAKYTNSTTRPWPYGGDNKEKATDEFYILSNYGNWHAHLRAAEALANVAGQEIDVFYK KGTPDRTKVTAADRGELAETIASLKVVTTDTGLRVTNGVFEVTGARATSFRVNLDRFW RDIRTHSLHDPVAYKNRELGRYQLLGEIPEPTWYT
SAPIO_CDS1660	MAPINQPKLPTVQTQLLLHQPRSPYETFAEGDIPNLKDGELLVQ VEAIGLNPIDWKSADFGFALPKLPCLNGREFVGTVVAQNTPQGSRISVGDQVLAVATD YRDFRKSAFQEYAVVCSFNAIKIPKGIDPYQAASLGVAFVTAALSLGVCLGTTLPQQG KLSDLDLLSILQRQEREMVPKDCFEEIFNPIPLGSRPSKGEWILLYGASSVTAQLCIQ LAKATGLKIIGVVDVKKHGERLRALGVDVLVDRADLDLAATEVRQHTHGSLRFAMDFI GKETSTWCQNVLAACASSRYPTDIDDPDAIPDPFTQKGSTLSHLVCLTGAPKVKAPNV RIHQVPVKMFHENEELGCVLSYWLYHSLKNGVITLPETQIVDGGLEVINSCLELMRRG EISGSRLVVKLK
SAPIO_CDS1663	MAETQGPTVVAVAITFAVISFVTIFLRLWARVFVAKTFLRTDLC GGCDPKLRRLATVMMAVIFCQAGGNVMACVFQCSPVRAAYDVTIPLVDKKCVNINAFY LANAAVNILTDILTYTLPFPLIARLQVPRRQKIGVGIMLCLGLFACISSIVRITYIPP MLSSDDTTWVITNAMYWSVIEINIGILAASIPSFKALAARYAPRLLASYKNTGSNRSG FKMMRRNPAMDNEDTESDNPGGRKAEVQTSIKTGLEASSSEEQLFTVPGRIRVNTRVV HCHSVDARSTNGV
SAPIO_CDS1664	MTLLTLPNFVLLITSYITWKILYQLVYYRFFHPLSKFPGPFWGS VTRLWITYHNLKEDECSTFRELHRKHGPVIRITPTMLLVSDATKLPVIYNRNASKSKH YITGSFGKDESLFNMQDARVHAKFRKIAAGPYNFTNVKKMEPLIDAQIQHWIHRLDEK FATTRESFDFSPWAVYVAYDIISEVGFGAPFGFVAQGKDVEGLIKGFHDGLVPFGIMA RLHPMTTWIKSTFLGKYMVASPEQESGIGTLMRFRDRLIEQRFKDLEAGATNGRVDLL QTFIEARDEEGKPLSLDYIKAEILLVLLAGADTTGTAFQALMLHLLSNPPVYDKLMAE IDAATRAGKLSPMPQYDEVAASCPYYIACVRESLRLNPSAPNIFPRLVPEGGMELFGK TVPEGTEVTCNPWLVHRDVNIYGDDADTFRPERWLDADKAREFQKYNMAFGYGARGCL GKDIASMELYKAPLQFLRSFKVDVVNRDRPAEYLVKGGVSYFENMWVRIEKRAAVV
SAPIO_CDS1665	MSSAIQYNWIDGAESLENYTVGGYHPVSIGDKLHDRYHVVDKLG FGGYSTVWLARDLLAGRYVALKVGISGSQAPLREISIIEALSSQVSSDPLNTDRGLLP SILDKFEIEGPNGTHSCFTMAPARGDLREARCPGRGLFSIEVARALCGGLALAVAEMH SQGYIHGVKLPSSFDELSVDQFYKEYGHPQTVPITRRDGEPLPPNVPERAVLPIYLIT PARDFTLPDARVILSDFGEAFSPSESRLGKDCHAPLPMQPPEARHDPNSPLSYSADTW TLALSLWGIVGMKSIFSDFLTPDELVAEHIDILGPLPNPWREGWLAQEAERFDQTGQR RNVNQRLWSSLEVAFEEGVQKYRRKLNAGAFDDEEKGAFLSLMRQMLVYRPEERVSAE ELLRSEWMVKWAMPAFERGLGERDGKKGS
SAPIO_CDS1667	MAHSMLPKQPEAQTDGSPDKTSNTEKYSTNSLKRRVRQRKIERG LPVSDEEEPPTLEDGNVAVKLGKSIQLGEAAALRAAQQAGVPVPRALGTETIQGINHL KMDFIEGEALNKLWPKLSSEEKRDIVQQLRSILTTMRSATPPPNYIGACDNTGIRESR VYHRYTDPPCVDEAAFNRYLLSGLFPPTPQALRDAFASKLRTNHRIVLSHCDLAPRNI IVREGKIVALVDWEDAGWYPEYWEYVKFFQRNTAGDREWWSYAYEIFPELYPEELVDY IALLKWQMP
SAPIO_CDS1668	MQFTKLSITALFTILAATAMAAPAPDSSAPDSVAAREAAPEPEA YDAPVGLEKRGFGCPGNEKKCHNHCKGIKGYKGGYCDGPYIPFVGRPRCKCY
SAPIO_CDS1670	MSSPTTKKALRIGVMMEGVQLSDIMGIDILGNLSETYINAVISL DPSGEKYKEQAIPMEFFYIATTLDLAFMTPSVMIKPNVTYDDCPRDLDIVLTGGSLPS TRTPEATKFIQEAYPKVPVWFTTCIGAMWIAEAGVLKGSKATTNRGSLPMARKLHPEV EWLDQRWVVDEKPYDGLDRKGELWTSGGAGAGMDMIAHYCLEKFDPEFVNEISLVPLE FEVNRSIGQFYNA
SAPIO_CDS1671	MIATTTGGWLFNASKILEYINRERWDPIAAEERDSRKALKLEGS AYTAKGEPTDSCLVGIPRRDQPPVSGRRHIIDGAAGWINVLCAFETMRSAPDSHEFRL ENGRLRYVHTMTVMQDLDAGVDEE
SAPIO_CDS1672	MSTNTTKRANQTLSQHHPNHADPQSQPAIKEPPNLPTINPKQKR TVSDVLEMAEIIATMFNQIREGNCTHKFFIDTLSKAYEILGGDRLTTFRTDVKDEATE STWEMVSENRFAVLALGDEEDDLDEPTAEEQATTDPSTRTRTKFRPSKGKSKGNKARR HWPAKDDHPRVEWWADDLAELPSETFGHHHVLDQTDRTGHFIDLSHYSLSLAGWTRDS PKDITA
SAPIO_CDS1674	MLQTSLRTSWSLIGAHAHETWAVIPHRRAVITRGYFAGEKKPSA DKLNPSIDTLGSKGSPIHRTQSAAATPNSQATPDAAANTPGLTPTLLGKLKRGIHRRL GNFGLALTILGTLGFGSGIWYSCVNDKFYNLFIEHIPFGKRAMPYLLDFRKGPPNNID RMPEASVTGVTVHTTSGDSGKVADAGEPANRQSRAVSKGKTKTKEYDAKLSQTKNGET KLQSINPATKPLKVETKESVVELRLMTGGEARPQGVKSPVSSPLNVEDTTDTPAKVAP PAAYSAKPVEPEPKLGRKPAADSESKVSEVN
SAPIO_CDS1675	MAFKAKTDLEEVGRKLGAIREDTERKVTTEVKVKIDEYGQAACE LVERLKQKVYWTYHRKTHEPDDSTASHNATTRMSTKD
SAPIO_CDS1676	MSARAEVLREGKREGNEASPVDDVDVENHLLFEVCSEVGRQVGG VHTVLKTKASVTTPKYGARYTLVGRLVCQTRASEFSPMQPPSREMACAMEALSNDGVK TFYGRWLIDGDPRVLLMDVEAAYPFFDEWKHDFENISGILLPSDDDKTNDAITFGYLV TRFFREFLNHEKNKAVIAQFHEWPSALGAFLAKEEKFTLATIFTAHATVLGRDLCDRG VDLYNVISSLDADAEAQKSVVYHRHGIERLAAQSCDVMTTVSAITSLECEYLLGRRAD TILPNGLDPTAISSPANSSALALRHNMKLRIRDFLHGNFYGQLDRFDAEKSLYFFTAG RYEFSNKGVDLFIESLAALNERLKTSKDTMPTVVAFIIMPAEVSTIPAEVLHRQATLR TIQGALESIQRRIEKRLFDRTLVWKPDSALPTETELISDADNAALRRCLYGIRIDDVP PLATLDIINSHEDLILNRLQEVGLHNDASDKVKVVYHPAFLSLSSSILPLDYDEFVRG THLGVFPSRYEPWGYTSAECLAKGIPSITSNASGFGNHIENVLRGVSGPEHGLYIIDR RTKSFDEAVEQTVDCMYRFCEMNQWERVAQRNNANRLGQFLDWNELHTEYSKARLMAL RKRYPQARYLGDECKEVTPKRRPQIRQRSELSFSFPPRPMADV
SAPIO_CDS1677	MPMISDAIVKDHRELEQYYNEVIGSTDSDHQQRYGNQFTWELAR HSVGEELLVYPAFEEYLGSQGKSMAEIDRKEHHRVKELLKEFQNMRATDSEYVPKLKE IWRPLYEHMKEEEDQELPMLEKVLEGEKSRALSDEFDKTKAFVPTRSHPSAGENPPFE TAMGLMTAPIDKVADVFRKFPTLATVPNDYPVDDITDCESGAYYDFVQERSGGDPEVI KNAAAAASPA
SAPIO_CDS1678	MGHRSLKSVQRDSLFDLTGHVALVTGGGSGIGLMIAQTFAVNGA KVYITGRTKEKLENVVATYGKEVPGELIPVTCDVTKKQAISELYDYISSREKCLCILV NNAGIAGSRLDIDDKKRSADELKARMFSADKSTFEDWEDVYRANAASTYFTTSAFLPL LHHSTERHEGWSGTVINIASVSGEVKTGQHHFSYNASKAALLRLTRMLATDIVTNGLK IRVNSISPGLFPSEMTTGGSDEGQKSHMPKEKGSNYPAERPGKEEDIASAVLFCAVNQ FVNGQKFTVDGGLSLVAGQ
SAPIO_CDS1680	MSKNLRSQADIASNRDVLGNAPEGQVQDDSYTMGNRNKEPIPVL KDDAVIEDPVKPSSADSDEMLERDEAEAIDKSNIMKDKTRHAKPRGTYQEPDDKKLGI AD
SAPIO_CDS1681	MIIFKDIISGDEILSDSYDLKEVGGIVYEADCAMITEEAVNVDT GANASAEEAEEALEDAAVKVNNIVHSFRLQSTSFDKKGYLAYLKGYMKAVKAKLQEKG ASADEVKAFETGASKFVKETLLPNFKDYEFYTGETMDPDAMVVLLNYREDGITPYVTI WKHGLKEEKV
SAPIO_CDS1682	MDSIKEKFNSVRGDGVRNQVSGMLHRDKRSTPPAYNPRPINELR DPSTFEPPPRRRLDPSAPLPPPPPRTKNPPTSSASSAAKPGPPALPPRLPPRTQPPST TDPYLNQSAVSRLGAAGISVPGLGISSSSSSTTQQQPQPPAEGTTWAQKKAALRTANN FHKDPSSVSLQDAKSAAETANNFRQRHGEQVAAGARAANNLNQKYGIADRVGGMVAGQ NTGQQHAAGEGGAAASPSSSLSSAAALVTKKKKPPPPPVPRKRLGAAAGAGTGLGTTD DAPPPLPLATKPRFS
SAPIO_CDS1685	MAGHAPLDPAIVRAAEMHSKRHLYFRWTPRTARITLMYVVVVPS IIGYLAYKTDGLWDFRAKRRGDTVYER
SAPIO_CDS1687	MDFDSLLSKEISKNKPDQSRKFVRRADLEASRRAAYEASQRALE EKREARAAEKRKAEEDEAAENRAREEKRRRLAEESRKRREEEEREEERKRRKRLGLPE LVEEKKGEDEEVGEDEEDISEEELVEKLRDIGAPAVLFGESHLERLRRYRRLTTVVTA GPIPTTLRLVEEKDMKVHGTVPKDKEGRKYLFRQLASYFTLVLSEYEKAMEKERRDTL TSKTAYNAMVQTRENMKPLFKKFEKGELEDSILEPVVEIVKAAQERRYVDANDGYLRL SIGKAAWPIGVTMVGIHERSAREKLHTGERGHVMGDEVTRKFLQSIKRCLTFAQVRWP PEDITQLMG
SAPIO_CDS1688	MATEFPEIKLHWLNESRAQRIVWLLEELKLPYTIEVYHRENMLA PISLQKVHPLGKSPVVTISSATTSEPLVLAESGHITQYLCDHFAPTQNPSLVPRKWQP GKEGQVAGETESYLRFAYLLHYAEGTLMMTVLVSLILGILGSPRVPFLVRPVSGFVAN KVQNAFVFPNAKRNFEFLDELLRTAPDGGGYLCGGELTAADILMSFPLIAARRRFAHI GKWEGGSLEKAFPRVWAYLDKLEAEAGYLRAVEKIKELDGGKFVAI
SAPIO_CDS1689	MVLFKRKPVAIPPPQDIKDKNAQVWYIPQTGEIFATYEEYLSRM DFYKQPRFICQITGQSRLTFFDALKSELAGAKEVEQAFPEALKGPVLRKVQFQTVSRI DTLVDKIYEEFRADYYPGEAVTVEIYTGEKLQGVVRDKTRFGSKQHPDGSISDPFSRY FVSLDDRPDEEAVVDDHHISRDRKIFTKAVLRSFIKRTVTREAWNGAPWLVKHEYATM YHIDTRVPVHLRHDTKLQERKILAAQKKALQPSGTNGVSTNQEPVRLPELKPKSHKAK SQQTGGGMDQHQVKKTKDSGGKFLHMVSPDSSFKFPTGLRGNVPQIVRPATPPPPPPP KYPIEDLQVGPRAGVVRPKLRFLCSDPPTSTDAVIPLADKIRMESVGQLLETWDTLNV YCEIFILDSFTFDDYVEALCVTSEETPTQLLEEIHCSILKVLVRSEQDGGKVEITLPE IEEADDEDEDDENADATPTPEPEPQPTKRATRSSLAKLEAERLAAEAAEAERLSQVAK LAHRAEELLEGYDWIEQLRKRDFKDGGWEMILVGLLYQLSKNERKTELCEKLLGQLVP PNIDPSQQTVVEQYAAMDVNFRVQIIQLLCMLTMETKAVRGYMEDCSEQMTSYRKGKI EWQRQRKQALEELKGLNEQRKILLPDNMPPSPPPELDKSTEDAKVTDTPSSPAETHAT EDSGDDATSRRGLRRARDRAAEREKRRLAEIEKKKEAELAAKVPKQSKQFLRLLKDIQ QKEEFIKSCEDEIAVFDNDIREADCPRTRVLGKDRFWNRYYWFERNGMPYGGLPDSST AHAGYANGCIWVQGPDEMERQGYIDLPTEFQNEYKAKFNMTIPERKAREEGATSVYNA YQWGFYSEPGELEELLNWLDPRGFNELRLRKEIVAYKDKIIQGMENRKAYLTPAKKEE EAEEEGKSTRMSTRTRTRQTQTPELPNYRCIGWENTMAIDQLGHLHIDPPPPPRPKKQ ARKRAASSGGGPPRKSQRR
SAPIO_CDS1690	MAFRLPQQEEKVGTYMEDPEFEWLIQNRLVAGQSEAQTNRVWIM ILTDIFKRANGYSTGSEMTFGDGRADLFTAHVVVRTRHKEMKFLIVECKPPNQEGQDE VWETAAKQLHGYLMAIASPNRKFGAISVRKYVRFYELVDDEYSVDCKGDGNVYRLDRQ CQSVTAKLLYFQSHHLPAQF
SAPIO_CDS1691	MSLAQDSEKKVPLPSEEELSASIDPATIPEPLCTSKVLQRFKVV RQRNRLIAATKLRDPGAEDHRRHTETLKRLRKWKAVEDGGLWAKARAVVNVKERGAPK RPGDEELMGLVLHHFPTPGDVTVRVVDFYGDHSRRYDVPMRALISEYIHEKPEGVNVR WIYAPIGQGLIWSCLQDLFLNAGKGRKVTALGQGGKAWPYLSVEALSFRAREDYQQLR DIYGILSDDTASSNEPLRQLLNDAAQKAMGVPLAEDLRWRSEHLGMRSEFWDLVFSRV PYHLTQMSTQETTGGPPDIVNPNNAGHIYQTLWGHPSYRNAQLVRTMVRNYHRPDGML LTMGPPCGVDYLDKDFNTYLGHSKSRREENEEASVYAFLYKRFEKSGTTDWPEKTVEW FLIYLITEIAAAPHNIRQGRSLTRLTVAYKQVVEKLKLRRYDRFRRSESIGLVRDFLT CQDELTCICNVLRGRLSMLETLKLSIDQREAEMARDGAVDVDIPPTTPSHETMCDSAK ERVNWAISLVKEPLTVCEALLVDLRLSTEALFQLKSIEQNELAIVADSQNKAILVFTA VTVIFLPLSFFTSYFGMNLEGMTDLTRNEKWFWQVCGVLAFVIIFFTTTWAFREEIRT RLFGKRMPVSPHGTVM
SAPIO_CDS1692	MSEQPPEQQEKAGTYMGLYVVEWLIENCLVPGETEAETARVWST SLLETFCRPDGYTIHWTVENGKANLITTHVVLDTRHGEYEEKIFFVIQCKAPGLERQS SVWHEAAENLREYLGGITSDNRKFGAVAIGKLVRFYEFDEAAGSLVNFDGDGTVYQMD LQCQTITEKFIHFREHHLI
SAPIO_CDS1693	MLRKRWGAAVSRRRWVSTIVLYMVALVASLTFMGMGIYSITGPR DAKSLWSLGMGVVSETALINDIELPASIGINNLFCIFLANLPQLIFSILYFQYNAIFT CMLAAKEWSEFGVRRKPVRVSSSPRGCTTDTVLFTASIRI
SAPIO_CDS1695	MSEPYPSIAQCALVAACLKVLLFPAYLPLREWYFEHTSEWTLDY PPFFAYFEWVLAQVARLVDMSMLKVYNLEYDSWQTVYFQRSSVIVTELLLAYALQLYE IAGSSDTPRHVAQLTYLHLYSFVDSATTSKRAAHAAAISIILSPGLLIIDHIHFQYNG FLYGVLVLSLVLARSKSTLLASGLLFAGLLCLKHIYLYLAPAYFVFLLREYCLSPRNI FKIRFMNCLKLGGGIVAVFAAAFGPFAALGQIPQLLSRLFPFSRGLCHAYWAPNVWAL YSFADRILIYLAPRLGLPLKTEALSSATRGLVGDTAFAVLPEITPRTCFILTLFFQVI PLLKLFKKPTWDTFIGSVTLCGYASFLFGWHVHEKAILLVIIPFTLIALKDRRHFGAF KPLAVAGHVSLFPLLFTPAEFPIKTVYTIFWLVLTLIVFDRLAPASSKPRVFFLDRFS TLYIAVSIPLIAYCSIFHSLIFGKSYEFLPLMFTSSYSAVGVVGSWLGFLVVYFGS
SAPIO_CDS1696	MYPSKSSLAALLLAAGAAQAQYLINELSFGYDGRISPNNDGKVP NFYLQGTPNTPEILSNRLVLTPVAQGNQRSAIWADNPMNSETWVADVDFRASGPERGG GNLNIWLANRGSSDIGQSSVYTVGRFEGLAIVIDTYGGTGGMIRAFLNDGSIDFTTKP VLDNLVFGQCYYSYRNLGRPSQIKMRQEQDNFKVEIDGRLCFESSRIQIPAGYKWGIT AATPDNPDSFEIFKMVVMSDKMGNTAASKPKTKKAASKKQSKKKGDKEGDASKFFQRD NGEGDSEGDDDLIPDDPLDGAGDKLDVLQISRSQFNDVNARVQSLGRHITTMYRTLTK QTQQGDERHAEIAKLLTDVRNDLRRLDAVDEMQKKIAVLERDIKALRGDLTNKLRATE NNFKSTLADHHASVKDNIVSAHPGLGKLVGVFVLCQAAVTGLYIVYKRKERNSVKKYI 
SAPIO_CDS1697	MTSPTRTPSNSSCTDDQTTFTETFLLGGTPDLPTLQALRGPGHE TRKKRAHRKSRWGCSACKRRKVKCDEKAPCGNCVKRRETCSLVDTQLANGAAGLSLSV RPEPNPLLPGVDSRVNLLHMELFYHAFHETVPGLVMYEEIWKDLLKECFKFEYLMNAL LAMAARHLSIINPDVPRYHEAAMVLLAKSCEEFRSVLDLELTVENRDAALGTCILIHY LCWCDLGFLEGQQRNLDGTYRRLDLSQDRLFLLSEGVRHVRYMSWQLPDPSGSIFWRL IHQRKCVMLRDSLAERGIEYGHLRSRIIDLYDDPRFHGKGPNLSSPTTPEDEAAASAP SSPSPSIRDLCQQQGQLPIWALPCAHMFSDAHILSIIALRVPADDDQSEIEKELYKRL SFEIVADRIALMIHITLLQEAETLEGASKVLDYSDPQLRDEVERCFLSFPLLTYRPFN DLISQGDSRALVAHTFLSDYLPLNFTAVMATSVRGAAEALEEFDFGLDLIDEFDALLV NVKNEEDELTSLGKYPAKLHARKVAAELSSEHEISSGLVFLPGEPSTVYEDSDQAPPF RQRRYFYYLSGANFADCAITYDIKTDHLTLWIPYVEPRQVLWYGFTPGIEECRARYDV DSVRYVDQMEDYIAQRLLYGSSNTLYILHAHHAPRLSPETSSRISIDAYRLKLCMDAA RVVKSDYEVAMIRRANSVSSAAHRRVAEQLRTFANEREIEAAFRSVCALKGAKNQAYP VIAGSGKNAATLHYGENDEDLKDRELVVIDAGCEWDCYASDITRTLPISGRFSKEARE IYAIVDKMQKECISAVRPGVLYYKLHLHAAAVALVGLMRLGVLGGGTVGEIWNAATVT AFFPHGLGHHVGLEVHDVPGRERLLLLEADDEVAATTAKPRRKLMKRQFVTPEIMKSF APTATPPPYKGRQRLEKNMIVTIEPGIYFCREYIEGYFLKDPTHKRYINTDVLEKYYK VGGVRIEDDILVTDDGYENLTSAPKGEELLRIINGED
SAPIO_CDS1698	MATDPEKGVEPRPDSGSRSSRDGSTTTDVNPPSKNQNDEASKPV AATPPREKTSAEHLDLKKVDTRLVEKREDNPDDVYAHLPPNEAEILKRQVVTPDVKAG VAALYRYSSKPDLAIMAVSALAAIASGAALPLMTVLFGSLQGTFQDYFTPGTSMTYDD FMDEIVKLILYFIYLAVGEFVCVYISTVGFIYTGEHISAKIREHYLASCMRQNIGFFD KLGAGEVTTRITADTNLIQDGISEKVGLTLMAIATFISAFVIGFIKYWKLTLILFSTV VALVLNMGGGSKFILKYSKQSLDAYAEGGSLAEEVISSVRNAIAFGTQERLAKQYDSH LARAEFFGFKVKGAIGVMVAGMMLILYLNYGLAFWMGSKFIVDGESKLSSILTIMLAV MIGAFNIGNVAPNIQAFTTALGAAAKIFNTIDRVSPLDPTSDEGEKPEKVEGTITLQN IKHIYPSRPEVVVMDGVSLTIPAGKTTALVGASGSGKSTIVGLVERFYDPVEGAVLID GKDVKTLNLRWLRQQISLVQQEPTLFGTTIFHNIRYGLIGTGFEHDTEEKQRELIIEA AKKANAHDFISALPEGYETNVGERGFLLSGGQKQRIAIARAIVSDPKILLLDEATSAL DTKSEGVVQAALEAAAEGRTTITIAHRLSTIKDAHSIVVMSEGKIIEQGTHNDLLERR GAYYNLVSAQNISAVPDLTPEEEDAIEAKEAELTRELSNKNGGPTADPDDNFDKLHRT ATSKSASSLALQGKGGSEEEKKYGLWTLIKLIASFNKREKFLMAIAFAFTVICGGGNP TQALFFAKEIVTLSVPITDANRHQVKKDSDFWSAMYLMLAFVQFIAFAVQGVIFAKCS ERLVHRVRDRAFRSMLRQDVAFFDRDENTAGALTSFLSTETTHVAGLSGVTLGTLLMV CTTLVAALALSISIGWKLSLVCASTIPVLLGCGFFRFWMLAHFQRRSKRAYADSASYA SEAISAIRTVASLTREADVLAQYKASLDRQQRASLISVLKSSLLYAASQSFTFLALAL GFWYGGTLIAKFEYDMFKFFLCFSAVIFGAQSAGSIFSFAPDMGKAHHAAAELKTLFD RKPEIDTWSDKGVAVDSVEGAIEFRDVHFRYPTRPEQPVLRGLNLDVQPGQYVALVGA SGCGKSTTVALLERFYDPLAGGIYVDGKEISTLNVTQYRSYIALVSQEPTLYQGTIRD NILLGSPRDDVSDEAIEHACREANIFDFIMSLPEGFNTLVGSKGALLSGGQKQRIAIA RALIRDPRILLLDEATSALDSESEHVVQAALDKAAKGRTTIAVAHRLSTIQKADVIYV FDQGRIVERGTHTELMVHNGRYAELVNLQSLEKR
SAPIO_CDS1699	MAPLIPDSLHTQSRCSSSRLPPSRPRLKLQWLFLLGLAAIFSLS TATTPRVTPADGVAAPTDALIIDNAIPVAVDGGSPLVLADGEIDLRKRQKKDEEESTP APTTTPSRTARATDDGSSSRISSNAGSTRTGSSSTTTSTTPSPLPSPFDSGIDFNFTS NGGKSCPKFLNDLLTDETFKGCYPVSLMLETSRSWFEATKELPSIVRVLDAACSADVD FCTTFLKDKAKELISDENCSQEYNSGQPNIMQAYVGLRAYNVLYKATCLRDEENDDMY CFANAVTNTSTPANAYFYYLPLNKALPQAANPACSKCLQDTMAIYQAAAAYRKQAIAN TYVAAAETLNSICGPQFANDTLPAEIQDAAGRFAISSLAVSTAVFAVVFTWLL
SAPIO_CDS1701	MLRKFVPDVDLSDPDLDPAVKQEFRNRELARAQAAKLKQVQSGF DADVEDGQIMSMIESIGQLDLDDAGGWDFHGASSGAVFLRRMKEHFRGMLGPTEKVPF LPRPERPPGLMHIESPQSGASSPFDSTSSLSLELPSKDEIKDLSYYSLNCATCLIRIV HIPSFYEQLDAVYDKTYDTLTRDEYHFLGLVYAVMALGCMYRNLDPEKPQKAAYREAL QEGLRYYNCAKTVLRDLAECRDLTSLQALIFMILFLQATSNLSACYAFLGIALRSSLR MGLHRHLEHRLISPIEQEVRRRVFYVVRQMDIYVSTLLGFPLLLRNEDIDQKYPSELD DEYILADGLHPPPGDSPSLFQAFNAHTRLMEILAKIVRHVYPTQGFNQGQPSMGKGRD PLNTTYIISYARIREIEKDLHEWYEKLPEIWRPSPEGHVEVVRIRHLLRFAYAHVQLV LYRPFLHYVSPRLSRGKNIDELSYACAAAAISVSRNIVHIGIEIRKQGVLIGPYWFML YTEFFAVLSLVFYATENPQKNGTAEVLGDAIAGRNMIADLVDGSLAAARVTKALDVLF EELPKKMDLPFPRQAMSKKRPMTAKDDSAGPSTLHRRPEELPPQQPNSLPRTSISQSS TSRGSFDSASLPAGGFHPDAFPANLQDLLPLDMASRTTPDTTSNGPAGIPQGSGYVGG QSASSASLNKLDALMFPSEDPFDYPNQPMMELGLQQKLGQEHSMQNQGQGSHDSSQFF VPGPFDDVESQLLGQMPPFMIDQAQQGMDLPIPMFTNDLTSHGHAASPNPGQAQAAQA SQQSRVEQLRRQQQQILAAQRFRTDWAAYGRGTGSFH
SAPIO_CDS1702	MGSGENLEKVEAFFAELASEGRTIVREQPKLDLELYLQNYKGRT RFDRLYHIGRTSVVLSVDALKAAVAEAKAGKDVERYRDVVDALRRVSLSDPETELDKA WIEKTSSANKKETQHLESQLKVYRSNLVKESVRIGNEDLGRHYEAIGALAKASEVYGN MRTDASTPKHLIDVGKHLVRVGIQMRDWTTVTLQLGKLLSLQTQEVPEDATATLRYVN IAKGLAHLEAEAYKEAAQCFLSVDNNPRLASEPDIPSPNDIAVYGAILALATMDRSAL KTKVLESQTFRPFLELEPHLRKALGLYVNGKYTACLEILDSCKPDHLLDIYLYKHVAS LYKQIRTKCIVQYLIPYACIKLENLEAAFGKPGQSLGAELCEMIRSGVLSARIDSIDK RDTLEAVKWHQKRTLDDIRRNGLLALHVDIALKRHGSLRLGDSQGLDEVWYTEGRDPM DLAT
SAPIO_CDS1705	MDKDKIEDRKRPAGTSDDGAPPRKKLAVNGGVAKDDYEGQPEEV WIELLQEIELVATSTVPSDAISPDPPYLTGVTFEDSTDFQKHLDEKAKGIKTKAEALL NRLAASRGSIDPNLSALEERINKLLASQKEYFVKLDRLSSEKEQLSEQLNTATLRYFK AEKKLDRVKSAQVRELEKQALANDTKQSAPASETKGNSEPNGVSPEVVQKYEEERAVV ARQKEQIQSILEEIKTLQEENSALKLRRETLTDEDYIRTDVFKLFKLKSEELIRQVNH LEATNAQLREEVEKLSAERTNFKRQVEADAQALTQELDTDLVARDHDLARLRSARDEL LADNTMRKASQEQQHSALEHMKELVSVKDDRIAALESEIARLKPAESEDQEMTEPDAE VDGLERDALLTKYRKLRQDYQAVSNELPAMEKAYRKAMALSHKKVMDFEALENRNSIL MAEKSKADQKYFAARKDADTKMSEIRTLRLQSGKSTEIIAQLKEVEAQNRILLVNLEK QVSDLKQSNMTLAAENKKLETAASEATRKSDSYKKEISDLTNLVKSKDSTVAATRERN MTLETETDRLKVRTETLQKDKESWKTKAESNSSDVEKGLRTLMVCSICNENFKNTALK TCGHLFCNKCVENRISNRMRKCPTCSRAFDKMDVMAVHH
SAPIO_CDS1707	MKVTFKDLKQNKFTLDIEPTDLISAVKQKISEEKGWEPKQQKLI YSGKILKDEETVESYKIEEKGFVVCMVNKPKEPKPAPAASSSAVPATPAQPAVSTPAV PAAPAAQAASQAAAPATPTPARSAAPTTSETSAAFNDPSALTVGAERDVAIANMEAMG FERSQVEAAMRAAYFNPDRAVEYLLNGIPENIQRRAQPAAAPAAAPQGVPAATGGDEG NVNLFDLAAAQQGGATTRGSEAPAAAAAGGQQGFGNLDFLRNNPQFQQLRQVVQQQPQ MLEPILEQLGAGNPALAQLIASNPEQFLSLLGEDGDDDAPLPPGAQAISVTEEERDAI ERLCRLGFSREEAAQAYFACDKNEELAANFLFDQPEEDDPPQ
SAPIO_CDS1708	MSLYHETAEILLSETSSGGSLRTRVFGKKGLKSPPTQVYALAME SARWSGVLKEVVEASEILKFERKLTPILALLLVHDFLLAKNGIALPQSHGLRSTIERH KGRLTSEFTRARIRRKQPTLEALRAAIAEETRTEGEGYPRWVRVNALKSDLDTQLETT FSAFKRVFSIADVMGVAAAPEKKVIYIDEHVPNLLAVSPGVDLTKSEAYKSGALILQD KASCFPAYLLDPDPGHGGDVIDACAAPGNKTTHLAAILHSRVAEHEKLTRKVYAFEKD ANRAQTLAKMVDRAGGKGIVRIALGQDFLKVDPREERYRDVVGLLLDPSCSGSGIVGR DSVPELHLPEPPLPPGVKKKSANDNKGKKRKRGPESSVKDGGPVLLDDDGNAVAVGSE QELAARLRALATFQLNLLLHALEFPAARRVTYSTCSVHAEENEEVVLAALNSEVARRR GWRVLRREEQVSGMREWPVRGEVGAARGDEVVAESCIRSYKGDGRGVMGFFVAGFVRE GDERRKGEGRGKADEEEEPFVRDEEGMIVRDALGMPLLKATGEFMSVVPEEDGEDGRD GQVDAEEEEEDVSSDDSEEGSVGEDEDEDEDDEEWGGFDD
SAPIO_CDS1709	MRSPQEIAAELAYLVGQLYEVDVSTPDTVPCKYKVEGDPESCLL ILPRSSQMVQLWGKYKQVRAEFLEAKVRARAKALEATTSVTTTEETLQTLEATSPEGA SDIATARVSQTKARKVRFKEPSDRRRRSNKSNKRRGGGPPSQISWVLVHCPRTLEV
SAPIO_CDS1710	MAKSKDPKESKTDRLNNLDRDIRELREQLAECDRRIEELNVQYE KQSNSRSIFAKSTGKTLSEIYDEQAAISAKLRQKEVLFEAVMGEVESSSSDESRRSHD SGFSWNSGSSKSSDPATVGWYCGNCGRLNYTIFDGPDNWCGCGHQYCEAPIPIQVSNG VDRDGIETFQEITVWHCTAQYTFSPNQATANTPVISIRKLPPSLSALRSAQDVASELA YLTGRLNETDVYAPEAVPVQYNVEGDPESGLVVLPKSAQIANLGRKYRKVKAELDEVK AKAMAEVKAAEATVADTAIEEMTKSEETLQTTNQEEIPETTKTEETLESTNLSDTLGT TTTGETPETTTPKETLEKANLKETLETTATEETPETTATNVALETTALQITAGALPTK ARKVAFQEPSDDGNPIS
SAPIO_CDS1711	MGEQVLSKKPSPAVVASVSELIGNTPLVKLNRIPQSLGIEADIY AKVELFNAGGSVKDRIALRMVEEAEKSGRIKPGDTLIEPTSGNTGIGIALVGAIKGYK TIITLPEKMSAEKVAVLRALGATIIRTPTQAAWDAPESHIGVARRLQKEIPNAHILDQ YTNPNNPLAHEFGTAEEIWEQTGGKISAIVAGAGTGGTITGLARGLKKHNKDVKVISA DPQGSILALPASLNEEHRDEPYKVEGIGYDFIPDVLDQQIVDKWYKTEDRESFQLARR LIAEEGLLVGGSSGSAMAAMIQAVRDYGFGKGDVVVVILPDSIRNYLSKFADDDWLAA NNLLAPSVDDKEKAAGKPAGVPTSDDPYGGATIKALRLKPVTSIAAASPCSEAIETMR DKGFDQLPVLGPGSKLVGLVTLGNLLSYISRGRATPQSPVSDVMFDFSRLDEVVTDPR DLPSQEAGHGKHRKYVEITVDTPLKTLSKFLEWNSAGVVTERTPGEEAKFKPVAVVTK VDLLTWMVQQKA
SAPIO_CDS1713	MDRKVSRTNSSNADDHPHLPIHLSTKSSAAAPIINSEMELGELT NDATPAAEALGAAPAPVENDIMQIARIGDVAGMEKLFESGEYDATYTDEEGITPLHWA AINNQYAMCKFLVEHGAEVNKKGGDSVATPLQWAAQRCHYYVVNFLLQHGADPLITDS QGYNTLHIATFNGNVLLLVLLLHQGIPVDVADSYGHTGLMWSAYKGFPQCVDVFLRWG ASVDAVDEQGFTALHWALVKGSAACILKLIEYGSDRFAKTETGKTPAVTAQELNTTAQ WHRALRECGYDSDGHAIIPPWPWASYFLKDRRGFVNKFLFVFPTILIWAVISILAHMP IFAGVPIALAVGYGLMWCANQVLEHAPSDMRHYQRTPWLAGIFAGSLFLIGLNWLYPV FGATTFSSATETHWILNLAFLSCYLFVAYFYMTCMVYDPGFVPKMKGIAEQKAVIDEL LKEWKYDDSNFCVTCMIRTPLRSKHCKRCQRCVAKHDQNFFFYVVGLTGGILFYDWIL YYYFSALTPKASDSCNLLGASLCKVINADAYTLILAIWATLQLTWVSMLLFVQVIQIS RAMTTYENMFGIQDADITMAFTSTGTPLDPNHPAHSATEAGPHGHHHRKRHGGGFIKN WARILGVDPFIETVRGRGAATGSGKDRRRRKNPYSKGCVTNCKDFLCDPAPVFGERRS GQACLGGEVVNYSEMYESPRMMEVGRRRRGGYEAVAGEEV
SAPIO_CDS1715	MYTASLAFFEALWAAGVTHCFVNLGSDHPSIIEAMVLGQREKKG AFPRIITCPNEMVAMSMADGYARLTGKPQCVIVHVDVGTQGLGAAVHNASSGRAPILL FAGLSPFTLDGELRGSRTEYIHWIQDVPDQKQIVAQYCRYSGEIKTGLNVKQMVNRAL QFARSDPQGPVYLCGAREVMETDIPQQIELRQEEWEPVKLGGLPVGAAEGIAKALAGA KEPLLVTGYSGRNHKIPDALVRLADKVKGLRVLDTGGSDMCFPANHPAWVGLRYGIHD AITTADVIVVIDCDVPWIPTRCAPKEGARIFHIDVDPLKQQMPVFYIPAQERYRAEAL TSVEQILAAFEATPELQAQLDSDEAKAAGKAREESYNALLKTMDTTYRPLEDGSFGTG HLCRTLRKLCPEDTIWAVEAVTNSQFTHDGIMPTLPGSWINCGGGGLGWSGGAALGIK LASDAEHGGKGKFVVQIVGDGTYLFSVPGSVYWIARRYKIPVLTIVLNNKGWNAPRHS YLLVHPNGEASRASNEEINISFDPVPDYAGIAKAAAGGELHAARVDRAEDLEKVLAEA VKVVEGGVAAVVDVKVVKGC
SAPIO_CDS1716	MGNQPSTPLQTCLNAICAGRAECVAYPSTPLYQLAWVKPFNLDV PVEPVAVIRPQSAQEVAEAVKCAESVGAKVQAKSGGHSYANYGLGGEDGHVAIDLVNL QNFALDPQTWEVTAGAGTRLGELDKRMHNAGNRAVAHGVCPGVGIGGHATIGGLGPSS RMWGTCLDHVVEVEVVTSGGNIVRANEQENSDLFWALRGAGASFGIITEFKLRTHPEP GAVVQNTYSFSFGRHADMAPIYESWQALISDPNLDRRFASEFVVQPLGIVITATFFGT QAEFAATGIPDRIPSGGSLSGVVNDWLGSLVHEAGNEALYLGDIPTAFYSKALAFAPE DLLGGASIRDLFSYIDRTDKGTLLWFIIFDASGGAVNDVPLDATAYPHRDKVLYYQSY AVGLGSLPASTKSFLEGVHTEIERGAGAGANSTYAGYVDPAIPRAQAEAAYWEGHVPR LRQIKGIWDPQDTFSNPQSVRVQG
SAPIO_CDS1717	MATAADRSRQRRERTFVGSECAVCEEPLEHTLRGERILQFSCGH ISHEACFYEFIREFESQYCPMCNAPLHLDTSRAGNVLDIEKITNLVRSASSHDNRSQS TPTPTPNTWDPSRAPSVDSNRRQSGPHQNRGALRDSRDAPSSNDRYGSRGHARSDSEA TGVASSGGYPETMQSGPARRHDYDLQAMETTPGSPRSVARNPIPPPTVTVRSEFPTLT KSRQQQTLTCLVTVEVPDNKWRPDPEDLAVTPSVPPIGARLDENFARPPSPARSAPRF YPYEPREVLDEMTENLRNRVDNWHGLDFSRFGKLRLYGTLKVGKDKMSWQELECFLFA EMLICVKEKRIAQAQQWDDNGLPKKSTRCTLKGSILIKKHLNEVTETGSIDENVLTLS LSVAELPQFHLRFENRNQLKLWQQALLDLNAIEGSPVRSPDYDRGDFSETDEELDWNR ASRQQRVSSVASSWGPKSVTTAATEYTNFAKSPLMAPTVHVPIDVVVVVPISSSMQGV KINLVRDALRFMVSSLGERDRMGLVTFGSGGGGVPIVGMTTKAWPGWTNVLSSIRPVG QKSHRADVVEGANVAMDLLMQRKYNNPIATIMLISDASTSDVDSVDFVVSRAEAAKIT IHSFGLGMTHKPDTMIELSTRTKASYTYVKDWMMLRECLAGCLGAMQSLSHQNVKLKL KLPEGSPAKFHKISGALQITKRATGRDAEASLGDLRFGEKRDILVQLVILPDTSSQDQ LPQDTWDSLVSGLEALGGSMDSDEQRPASVEEVPLIQADLTWGDILRDGTVVHLPRPS LLAITMLPTSHNKKSWVNTPPIPPHPNVVQRRMELLTSDMLTRALTLVTRGQHDRAFT LLNETRSILKGLGKGGLPPVPPMPSKSPMTPHGLPDGSPIPATPDRKRTPSPTTSAHS SATNGPLGRSRSQDGLALGAGIDADTVAALDAELEAALEWINHPAVFSRDSRKAVLQA IGVISSQRAFTYRTPIESLWAARVGGVRKLTNRSREWREEGGGEGGIMEEA
SAPIO_CDS1718	MVTTRSGTKRKAMDKVEPAEPATNAPSSTPKRQRLPLRKKNAEG ATDSPAPKKGAAKKTPSKKKQAEDAPADKEGEVVEDAAVNDEDGGDIMAALQRQLAAA HPSPAVLKKAETPAVVATNPQESDEDSDDEAPEAISNVQAAEAAKQVAQASQKAAQQQ AAQAKKKRQERDALFKAQAESRKQSKPEEEKPAAAELTAPTETPSEDVVTTEGETQQE QQVTQSGKAKLPEFLPQEFLDSDDDEEAYQGDLSSVGGEPKPKRRKSEQKKAPRDKRV GSTVYRVMSEVDKRLAPRKAKSSNEVKARLLKRNRSGVPQQKGFIVGRPMPKPDWGSS RR
SAPIO_CDS1720	MRPPQHLAALLAAGLIPLTHASPNCALRGPAYPKPTDLSSHPLI IEAAQQLTASFDDLAANETNLIATNNSWSLEAWSIHENAEPTALLWSHYHAAMNLDAV NSTGTREVDGSTVYRLGSVTKIFTVLTWLAEVGDEHWFVPITNFVPELKDIQERSRGE GDAIRYTDWDAVTVGALASQMSGIPRDYSLLGELTQDYGPDLVYVGFPPPEKLPETPE CGIFVLCNRTQFFEGLEDLMPVFAPDAAPAYSNVAYVLLAYALEEITGKDWITLLSSN ILEPLSLERTFFNTPNDTTLGVIPGNASQVGWYNQLGDGGPSGSMYASSADITRLNKA ILSSELLPPAMTRRWLRPVTFTSDPMAALGKPWGIRRINMGHPYLWTYGYQKAGRIGD YSALIIMLPDYGVGFTLLTAGNMPGNMNFDFADVIGATLLPAIHNVSREQARENFAGN YEFGNVTTLNSSMVITVDDDPGLKVERWISNGTDMATVAILLQLSTRGPVNPRIRLYP AGLETVKEDREVKKRIAFKATFEDADSPARENRLFSTDCATWLSASSNLWAARTLDEI VFEVGEDGKAVAVDPVALRALLGRVDELTPPPPPPAVEEEPPVDDEGEGGSEGEPGED GTGDDSGEGSGTDEGTGEKVPDEGEDSKPEDPVDEPPPVEEPPADGKEDSETPDAEAI LGKGMQMRKSHSME
SAPIO_CDS1722	MKSHNSLDSAALDELNNPEAKELLDTIDNLRALHVGEIVNLPQI IVVGDQSSGKSSVLEAISHVRFPVKGGVCTRFATELALRKARNSSVDVRISYDDPVSE ADPTRKKFHETAFDKEALPGLIEAAKEAMGIKVGSTKGFSKDRLRVQITGPDVPSLTL VDLPGFFHSETADQSPEDKRIVDQLTTSYMGEANSVILAVVSANTQHANQIVLGMSKT LDPERSRTLGVITKPDLADEGSDNERAYLQLAKNKEVAHQLKLGWHILRNKKENEASN TYDDRDANEAEFLSKGVWSDIPDRDKGIASLRKKLSKVLLDHIRETLPGLIKDLQVNL EEREAKLRQLGTARSTPGEMRSYLIDIATKFQRIASDAVNGTYNDKFFGGLDTPDNKL RAKVQNFHCAFALTLSTKGAMQKIVDDDASSGLFPAPDEEPVPDHLRSFVGCYKFPKP QRVTYSELTKSLEKSAAMNRGKEFPGIPNRDLVVQLFRKQATPWQGIADFHLGLVTDV VNDFVYTLLEYVIGGDESTLAAILRTLVAPFFELKRDLLKQKLEEMLTPYTEGYGLPL AGEYHTKMTSRLEERLKQQVTSVVEEENPKGSEEAQPKRTKRNAGTVLKIVQAISDEL LASEFGTEKIVDMMEIYYEMSLRTFTENIINLVVERCLITQVSKILTPALVGEMDDDK LRSLAAESEDIRRERKRLETQTTMLRDGLKLCRQYKPFSRTTPIPHVSVAQQKNMEPA NGGTSAALPLRDGTPVGKAPAPAPPPAAATPVNPLLAAIASSPGLFPKPAPSQAAATP ANPPPAGNGSASDLYSEPLFGGKKGTTVPPGGFAFGSTPSPRPSTGGLFGGSAFGSAS SAAATPSSTSTTPSQPSLFGQGSSSLFQRST
SAPIO_CDS1723	MKLSTIMGAAMFGAALAAKDSRTFSVMRFNGKEIFNGRLDPIVN PGTTSIHEHTVFGGSAFGPDATGESLSQSKCTSAKLKGDKSAYWAPRLYFHDKAAGTF EAVPVFYTNIYYFFEATDDDIVAFPRGLSILAGDASTRTAPSTGSIGNLDPSNGPVNN VLWTCPRANYDTPAWPAGSDGTTAGIQDPNNKGQGVGFPTQYCDGFASPLRADIHFPS CYNPKAGLTNYKENMAWPSSEGASAGGRTNCPEGYIHVPHLFMEVYWDTPKFSDRWTP GNGEQPFVLSNGDVTGYSLHADFLAAWDEDLLQNIIDNCNSAHDGMEACPGVEAHINT EECECENAATFRTTGSGAISELPGNNPLSGFSYGAAPAQSSPAPVDTPTQNSSEDESS EEETVDDSDAESQPEPESPVDDSPAPAPATTLAPKPTKDPLCVTRTKTVVETVTVYED VVPTEAAKKRRHMHMHAARHGARMS
SAPIO_CDS1724	MATTELPLRPAATSADAASAAETPSPLPVDKLESFPNCYPAVNP FDVYRSHLTTLLHEVTGVDKAIIYPALQWTQSSDKGDLILAAPALRIKGKKPAELAEE WVAKFPESPLVNKPVAAGHFIQFWFKPEPLTKLLLPMIQKRGPKYGTNAAFGLKDPSD PSKGTKRVIIEFSSPNIAKPFHAGHLRSTIIGGFLANLYEGAGWDVVRINYLGDWGKQ YGLLALGFEKYGNEEALTADPINHLYEIYVKISKDQAEEKEKMDALTASGDTAAAEDL KNNGVDERARQYFKRMVDGDEQALGQWRRFRSLSITRYQDTYARLNIHFDDYSGESQV PEAAMANAAQVLADKKISEDSDGAVLIDFSKHVAGKAGKSLEKAVIRKKDGTALYLTR DISELLIRHEKYKFDHMIYVVASAQDLHLKQLFKIIELMGHTEIAKKVQHVNFGLVLG MSTRRGTVKFLDDILKDVGAHMHEVMKKNETKYAQVENPDAVADILGISSVMVQDMTG KRINNYTFNMDAMTSFEGDTGPYLQYAHARLCSISRKAGFTPEEIATANLDLLTEPHA VNLIRFLAQYPDVVTNTLKTLEPTTILVYLFKMTHVLSSSYEHLQVVGSEKEVGKARA ALYEGARQVLNNGMRVLGLSPVERM
SAPIO_CDS1725	MSFGFSIGDFLAVYDRADKVRRAFAGAPSQFKNISDENLSIAIQ DIDVALCEFELEDQQRTELQKLATSCDQVLIDLVKTLSKYSELESSHGSFVSKGKRVW KRLKWEPDDIGELRHRITSNVTLLNNFHGRITNQITLEIRKGVDRLNMEQDNEEKRRI LDWITPVKYSTQHNDHIKERQEGTGQWLLESSEFKSWLQGDRKTLFCPGIPGAGKTIL AAAVVDHLLDQYRADQSVGIAYIYCNFKWTEKQKLDDLFSSLLRQLAEGQSLVPDSVK ELYRRYKAEQRCPPTQELLKVLHNVASLYPRVFILVDALDECQISGGCRNAFIKELIH LQASTGVNLFMTSRFLPEITGKFDREGWLEIRATKADVERYLEHHIRKSSHTIQEMEE EIKRTISDTVDGMFLLARIYLELLTSEVMPKKIRSMLRRFRRTPTGSGEEQRRGILHR AYEDTMERIKQQEPSRWELAKQTLMWITCAMEPLRKVELQHAITVEEGDSELDKRDLV QIDDIIAVCAGLVTIDEESDIIRLVHFTMQEYFESTHDQWFPSAQEEITTLCVTYLSF DKFKCGACKEASDIDSRLDDNALYSYAARNWGHHARWTWKPPTERILDFLKERGQVEA SIQALFFITRPTSPRNYPERFTALHVVAYFGIHKGVQYLLDRHDADIDLGDGDGLTPL SWAAMNGHQSMVRLLLENGANIESRDNNGYTPLALAAEQGQEGAIKLLLKSGANIESK DNNGRTPLSIAAFMGEEAVVKLLLENGANIESRDKKGRTPLSIAAFIGEEAAVRLLLE NGANIESRDNKGRAPLSIAAFMGEEAVVKLLLENGANIESKDNNGKTVLSWANESNER HILELLLEKRPEANSKNAIHKRTPLD
SAPIO_CDS1726	MNHNHGGSSTTGTGAFKKKNRELAEAYWYIVAGVVGFCGVIRLI DYVQVVRSPPPLGRVLILFAYWAVIIYMITYKAIIDDVYFWERIGFRNAWITVTQMPL VFLLSMKTNPIGLITGISHERLNWLHRWVARTMFVTATMHGFHFYTEWVIADFVELEL KIMPMVKYGLGGWGILLWMFITSFYPVRSVAYEVFVIQHILSAVIFIWVMSNHLPPVA MYNLWLAVGFIAFDRAARWALLAFRNFKVKVGKSPCQGRKRIGHEALVRTAGDSTTVV TVRDAHFKWNPGQHLYLWMPTVGPTEAHPYTIACAHKVAGECVCNSIEFVIRKHSGFS KRLHTAARKAQEKGELMRTTAFVYGPYGSPPSWDVYDTVILISASTGASFTLPILEDI ARSKKKTCLRRVEFILTSRQSEETGFYVQQVREYLSRERTSDIELHAHVAITGPCKNS LPATKGSTIEAASSSTSSVNHGDEKKSVDAVTPQQNTPTPANINDAEKAVAVDTVTAT PTTPEISTGDIDIIREYDCRLDIAALLKEPVEAALGETLVVVCGGRPLVARVRNCVAR LSDQRAVHKGTGAQGIHLHVEEYSF
SAPIO_CDS1727	MARLTTTLLSLLATTTTAHFTISYPPFVEPANENLLASGPCGGA ELDFEKGTVTDFHVGGDAVAVIGGHPQSNWLFRVTDDITGATNWTKAFPIVQQNGAGK FCEPQVTVPEAWIGRQGLLGVVANAEDGVLYACSQVKFVEGAAGQPPSECQNTTKTAS FVDDSTLDALVGDGSSSSGNSSSGSGDSGSGSGSGDGSGSGSGGASGSGDEGGAAALA SSFFGVLSAAAVVAGVFVL
SAPIO_CDS1728	MADMDFDFAGGPPPYARRRRSPSRPSHHRASDFGVIPQNADPDT CRICRGESTPNEPLFYPCKCSGSIKYVHQNCLMEWLSHSQKKHCELCKTPFRFTKLYS PKMPSTLPVHIFVTHMTKYILSNVVVWLRALLVACVWLCWLPYLMRRMWSLLFWLSDE GLGSLLLAKNMGSSTSADTAALGALESVNSTSSLVASTTQSLQDAAATLVASAFNTSS NETVTGNPNEPARLFFFILRALFPSITRKNPATMAIQDAGFGIDPTQGSLLSNVTFLR NLTRQPSINRFVIMVLEGQIITVLVIVCFILIILVRDYVVQQQPEINMRAAFAGQEIA RLDDQVPDVIPRREPEAQAPDAAGSDNEGNEGHFQAPHIDDNDDEPAMRPPRFENERP IATFRRRAPQQLDAEPEGEASSSHGAVQHGGTRSPFDDRASSTEAIGASHSQHMPGPR IPEDGPSDADQYLREYREAQDDPEAIMRLLERDFQSFPRAPTPTVDSAEQSTNNDDSG SSDVAEASSSQVTEQSLRRDSYGARSGIEDVFGPTTMRSSNENEREEKQEEEEEEKEA MSSKARSKQPIWNEPSSTERGTDAPDHDPLLSNGNPEPVGYSRRRAFSDGAQPREYVN PLANNSWSFSPIPTEQSSPDQDESNDKNAPTFIFTANDILVASPNGEEFGPTGPSNPD DRVAREEDENDRESTPSEIFGRHREETPIAEGPRMEDARGQAPVPEAVRDAADVPAHQ VAQERPVWARVADFLWGDIEDVNDDAAARNNEDEGEDLDDDDAEEENGEEDDDDADGG EGGGGAGFDQDALDDIEDFEGIMELIGMRGPLAGLFQNAVFCACLVFVAIFVCIFLPY NLGRTAVCLVANPMRIVRILFALSKFVQDVAAAVAGVLSWATLSVMALLGRVTGRQAH LESMNLSIAYTWELTANASSRLSTGFIQELPFHASEIQNFSAVSHSALLELKGHVATA FAAIWNTISFALDSPAPEVASALLNNTAYYADLVKHTTTSTLTSVLTPGAWIIDLNSN DPLVPIDPALASWSGTDRFWAISAGYIAFALLATLYVTRGAPFSSGQLGQDWEASIVD LINQASGVTKVILIIGIEMLVFPLYCGLLMDAALLPLFEHATIKSRYEFSINYPLTSI FVHWFVGTGYMFHFALFVSMCRKIMRKGVLYFIRDPDDPEFHPVRDVLERNFFTQLRK IMFSALVYGGLVVICLGGVVWGLSFASSVLPIHYSSNEPVLEFPVDLLFYNFLMPLAV RVLRPSDALHSMYTWWFKQCARTLRLTWFLFGKRKVDEEGTLVLAPDSPHQALPWYQK LFLHIDRNEEVAPVSWNDIASNIFDPKPSPKRKMTSFSKLKKKLVRKGQLCPDGRFVR TPASDQVRIPKGRRVFLDVHETTQAPLANVPNPSQYFTDQYQLVYLPPNFRFRIFLFI FLIWMFAAVTGVSLTIIPLIVGRRLFKFLLPAHVRTNDIYAFSIGIYVLGTIAYSIFR AAALAKKLRAWAGVAAKTISGPGAAKRIGSLALHGAKLTYAYLNVFVFFPLLASALVE LYVIIPLHTYMSPPKVPAMVGEAVPAAGGDALVIASASSIHTIRAVQAWTLGLLYLKL ATRVLNTNFAATRLATASQAIFRRGWLRPDVKILTRAFVIPAILLCLVAVAAPPVIIV PLIKKVLVAAGVFERVSDDPVSMALIYRLSFLSAALVALSSTMAWSLVGVFRGWKGRI RDEAYLIGERLHNFGCGATVAARA
SAPIO_CDS1729	MVVRNLSPPPQPPSEELNGLAKKGSRLSNGNATIPARRKLRSAK TSSWRAWLFNTAARLFTWYAILTILFRCPSTLEECDETSPVICKAYFHTKAAIIPHVE PYLDEYAAPYVEIINPYFQAVSNSVITPTRAVVERYGAPKAQQAKEYLQAHWATTGQP QVDKVRELANEQYQRNLAPYLDQISQSLAPYYDAARTSALRTYDELLLPSYEFVKPYA LIAYDAAQTVTTETIIPSAVWTFNKTYTFLDDNVWPHVKGLYVENVEPQLVRIGQRLG RYRDAPSTGIPKKSVPPSPDTKSSSFVVKPSESTPPQVSSSVASAKTTSVSTVPAPES SAGGNSNASPETQSEAEVEVDVKPKTSEKPSQEEIRRLAAETVAEDLNQWQTKFANAA DEGAAEMEDKVHEISESMIKSHVRGQGDRLVAELKEAAEEEIAKLHKNIISLVQSSSK VTRSETEESAFAAVRQAGLIVKEKAEKIRTWREDFEADLHAAVTAAAQEHFNILGNMR DLALQKIGMKWAWMDGVTYKDWAKYHDMKKRFDEWTEELQQLIVTHPALEAAMNEAAY VEDDGMEAAQNAARELARLKQVAAWKIGAGDASDNFDSDDMRRAAEAADANPDTIPSH VVDNPSAEHPDADTELGTSPEDAPEPVPEADVEDEVEGTPKNPQDAQTEAAADPLPAE EDFETETRGDAELPPLEKDESADVPIKAEAHAIVEGESDSEAIIPEPAEEDENAVEHA EADAVPTADSEDTAVADDAEADSPAASVIPPVEEMPPVQPIIPGAAAASVEGGSEPIL DEAEETEEEIEESVTVSEASASASEAPAAEHSRGAADLEEESAPVADDKPEEPMSEQT DKKDADSLLWSDNAEATYATVKDEL
SAPIO_CDS1730	MSSLIPRGGFQLPFQKRLSNLYNDTKKSSDFVKEPVQNAEDPEI KALHRKLRIQKDRLVTWGVEWSDPTQSAEIDESLSKAGLSEVVGSIMSTIKDILAEAE PLWQSYKGRGGASGGGGGDGGAGKGNAADRKRPIIIWDRGRFEDLVRDLTMSIDTLYD LSRTRSSTATSFRAPAGGSGVFHAGKSSGEEAKTFESTRMRTPQLIAPSTLKPLRYIS QADGAYSAVSELKERDIVVMNKQAFSDLPRAGDAQPWAPLLLEFATFDSIYSTTGIMP PMARFEKLSAGLQRGPQRSPGTWTGLPRLLGYYEDLERSRLGLVYQFPTAFNPVSFDP QTRAPSGQLYTLRDLLSRPDYEPRLEAKFRLAHNLANTVFDMHTRGITHGNLVDSAIA FCSAKIGDDTDEPDVRRPLVTSFELFPDTPSDETSTLRQPLFHHPLDPYITKHSPLGN WTDLRVLDLYSLAMVLLCIGMWSNIEMLVPNPSVPSVPESIMEKLAIQCGTLYMKAVQ VCWRAAEQEFSGEGPTGDELLASVQMDVSRCLEACCIIDGVSGLELRVMEERMREESV PAPTPTPIPAAAVPTPSPRMRSLPPKAMMERTSLKTLTPKFSPDVATSYTKVDRKPEA PRTEPKEKQGSKIGTKPASKEKSSEPKERCRLYPQVEIPAEYIERWNKDIMPKINTAL RQFYRKYPESVEISLEAIGPSPTSTKPTVLVVCTSVGKVKNILNRKMSDLFEGTTPEF GLKVCKGKVIRASKGEVPATGADAKRSMGHKGRQDPDDDEDSDDEPAAVNPFYQERPL NGASIGAWIGDRHLPAVSFGGLVMIDDRPYGMTVHHMLDDPGCNQSAEEPQRSVAYGG WSGSSSGESDEDYACEFSDSDSDSDTELTSDDEEEEEEDESDEEGEYTEPGDIPGIEP GCGDGYIITQPAFDDVEDWFFPSPKTKNEDHLDSFSLGEMYASSGLRRRHEGGLIHEV DWALFEFQSDRLPNTNSFPRSAGGDGSAPLMLQPTNVVATDLLPGLEVQCSARTSGVQ TGKILPALTSIKIYGRKSMSHAYQITSTARNSSSTSGRRHPLGVPGDSGAWVVDKNNG RVCGHVLAYSQRKQVAYICPMEMLLNDIAETLEAAEVKLPGGEAVVAGKAKDEADFVK ISSLKVDDDDFDEDEDDEAESEDEREQAPIQSEKVKRSRAALRKVKEEIAEKKKKKVT RSSNLEIPYLSEKVEEKSVAGAQVPSGELLVCMQPTPRAYGNRFL
SAPIO_CDS1731	MVYYFTSTATDPPAKIYVGKDKFENEDLIKYGWDEDVWFHVDNL SSAHVYLRMQSHQTWDSLPQALLTDLAQLTKANSIEGNKKDNVTVIYTPWANLRKDGS MDVGQVSFHDQKKVKKVFVAQRENPIVNRLNKTKVERKPDFKAEKDERLKELRRKDQA AQQARKKEELKKAQEYKEKKWQKEHAYDDLFDEDALAATSNQNRGEDWEDDFM
SAPIO_CDS1733	MSEEFDSTPAHEVALMGGDTEMVEIHESTEVGGVGNATTSGELP FANEALTEKRTSFVSYLMSPVVTLLVGSDGNGAILTAHQALLVQSPFFRQACAGFTED DIPRQIDLADENVDAIGSFLEFLYTGDYFPKKIPGQRTLEEDPSLPAVDDDGSQLLRH ARVYTLADKFDVPTLKQLATSKIHCVNSTAKGEIAYARYVYGYTSADDAAIRAPIANF WATRSHTLRSEAEDEFKALCLEFPQFGYDVLTRVLDEKLKRERSEKLHPQTVSGRKRQ RQSLV
SAPIO_CDS1734	MDEPVPTQVGGETMDVDTVSRILKDYGIDIGRSELKAAFNYQDG DTSFSEWAAVHLGHETLLTPDELALYSALTKSGFVDRFNAASKDSSTVGLPYRDESEV RQAIDELNRSTAAISKQTETLRQQQEALARFAKSRIKDEVARSDFDAVRMHKLALEIR NAKVHVEELSRSLEIKISELEEQDHTKNQDFEQNISALLESDDKLLRSLEKLSNEFTL TDDRNDDAVDKLQETCMKLIKCTVEMMRCKLDRTYLEALSNASGSQDDAGSASREDVK ALQEELDSLYSEILPVVQMSVENQYMRPAMRNITAKTTQALDHASIAVDYIQGCLDYL LDHTNALLSRVETYRSHQAATAIVISTAKAELSNPVPKPRKRNEENRSPTRRRRRKSS NHGSPVRTRSRSNTGGLVLRRRRSSTTITDEQPIETLMHMLSVSLPDHAGAREQVAAL SNVLAERSAKADDVAASAQQGFEEGAAMHLADAKRAVTLLRDCVLADSPFGKVRLVDE EIEASIGVLEEEIEKLRRQVDGVDPKNVKVRSEKREELLRRWGSSRR
SAPIO_CDS1735	MDDRGNEPLGRFLDVLGQLGHLLRQLLRTPGSPAGLALQTLFLS RYPRQPPLDIRDTLESPAWRKQAVDFINQNLGLAFPIPLVMMSDKERLSGATAGRVVG TFSVAFRQPWRSDRTRHLGQPEDHGPIPLHQLGASTAGQSQGSQLDKDSTRLDLARGR GLVLVDNGAVSPAASARPTSPNESTTPDAEGRTHLWYSVMLSGLCLLVIGVPVSVATK DDRVLDVCALWLTWMVAVKMQYTIKTLRAPKLPPAIGSALATLINPVLVSAGIMMLYT HAKAGAQRRSLDEVLTIFSSSTTLSQVWSAALRGGDSNSDSDNWFGAGDAAISLLECG IIVWGFKLYECRGQLCSRRGLAVVLISTLLAGLNAIVSLLLARAIGLASPVALAFASR SSTLALARPSTAALGGSIAVNAGLVVGNGIVGQLVSPYILSAFRVPDERTDMEDNDGP GDHNVDGNADKIVSRQRGHQDSAIDVAVGTTIGINGAAMGVSYLYERQSRVAPYAALS MTAFGVATTMLAVLGPSKGLLKTLATGDV
SAPIO_CDS1736	MSAPETGLPPNWEVRHSNSKNLPYYFNTVETISRWEPPEGTDTD KLKYYMANHHSANARGYVKPQGVHVPEGSIWAAHLLVKHRESRNPSSWKEPRIERTKE QAREIIEGYRDQIRAGKSLSELAKQESDCNSAHKWGDLGIFGRGVMQKEFEDAAFALN VGELSDVVETASGLHLIERLG
SAPIO_CDS1738	MIQLKTMLNCIDNSGAALVECAMVVGLKRHARIGDRLVVVVQEQ RSSADAGGMSSANKVKRGDIRHAVVVRTKYMTQRQNGSVVKFDDNACVLINKSGDPVG TRINGVVASELRKKKWHKILSMAPMQV
SAPIO_CDS1739	MPPKASLPPKKQALTLAQISAYDDILTDALVDHVYYWTTIPKNR PSYHPSRGIREEDVAKIIQEEIVLNKDVDKAEERLLKTDGLRKFLISLKTDKERDDFR KHLRRYLSIYLPDCPFEVSSTNRYTIVTHEASINARRPIRKNETIKYLTGIQVIITPK EEAEISFRKKDFSIVVSSRNKCTSLFMGPARFANHDCNANAKLKTAGQAGMEVVATRD IDVGEEITVTYGDNYFGEGNCECLCKTCEDARINGWASGEGEVPLAQSIERDPPQGYS LRRRRRDDSTCSRSRTPSLTPDVRPRISKTRPRGSRKNASVDSPSDELAVAGTKRPFD PLATPPFTPAKRLRVEDGSALHPVPLILYGPIMPSPDEFTDNSARSSPAPSTSTESTP PTDISSPPKCSPSAAPGADSVGKAMDDWGTRTKNEDADEVQRQLLDEAAPCTPRNEKN HPPAALANGGLTGLRTPSSVPSRPAPVVFADREEPTPSATRGEVNPPSTESPSPSPNE VLDQQPGKRRKNRRGEFQKEPTPPPRKRIPGDYTLTPLLLSEPETAWIHCMICSIAFV QHNAYYTKSSCPRCERHSKLYGYIWPKTDKVNSRDKEERILDHRVVHRFLDPESEARV RGRKTLASESRSAMGTPDAESRLESMDGGRPRRSGRVRRTGYV
SAPIO_CDS1741	MSVETILQGKYPAKAHARRVVDHIRGKVPDATGVLYVEGRMMKL LEDSDAPEPFRQRRFFYYLTGCQLENCYFVYDIAADKSTLFIPPIDPEDVIWSGLPLS PAEALEQYDVDEVKFTTDVNPTLAHLGSCEASKSTVYAIADQVSEHITFLGFDEKNFS LLREAIEVCRVVKDDYELALMQKANNISAVAHRLVLERVRNAQNEQELEAVFLGASVS QGAKNQAYSSIVASGRAAATLHYVRNDMPLKGKLNLLLDAGAEWNCYASDITRTFPIS GKFSKESREIYEIVLRMQEESIAMLKDGVLWDDVHYRAHEVAVEGLLALGILKGTKET ILQNRTSVAFFPHGLGHYLGMDTHDTGGNANYADKDTMFRYLRVRGRLPAGSVITVEP GIYFCNFIIEPYLKDPTHSQFIDESVLERYWDVGGVRIEDNLIITATGSQNLTTVVKD PDELERVISAH
SAPIO_CDS1743	MAGCVSWARLCINVATALTRPQVNRYLEPSFPDSLDLLSERDLK RYRMQRRLIGPLYNITNLKRYEAAIDGVIDKSIAQIRSLGSAPVDLKEWMHIITVECL GAVVLSWSPGLLKQKSDGGSGAHSYLGWRKKSVFGLFPLIVLLECLWKSVGRAFAVCW GITYQAPKNFKPFFTGVHRQTKRRLARVAKGESARTHQDLLADLISLHESRPEFNEEY LKRMAVTNFGAGHETMTSTLTSVISMVCSNPDSLRRVVEEIRSAENPRRYDARTDLTY VQASIKEAQRLFPVIGMSLPRKVPVQGLNVHGYYFPPGTTVGCCPTSLHRNADIFGPD ADEFLPMRWLERDNIRDMEKYNLIYGGGSRTCPGRQLAELVVTKVIVALFNEFDIRVD VPQEDKMPVYFMAMMSGVKANFSPKQAS
SAPIO_CDS1745	MGSGCCSKDKDGSRALEGMRDSNAVLGESPVGGENCVLAVAAFE CEKDCDDDSAHNEAVGHKHAHGNDGKHPTSACSDHMNKAFEKYAAYLENARCICRSIL DRGFSSTCCASHKKETVPNSGPSTSADKEGHGLSSAHGLRTRRHHHHPKSSGVKYRGA HLHGIEAHNQDCISAAHGHDDHGDHSDHGSHDDHPHCSGNGMTCSGCANKVERALKGS QGVSQGVSHVRVNFVMGNAYFAVDPRIGNVNQIIRDVEKSTGFGCVKKAAGGQTIDVL SSGASAKALANMDIAGVTQITITNKKMVQIAYDPNTKAIISLVFATLVQLIAVPVFYR PAIMILVRERTLEMDMLVTISITAAYAYSLVAFGFRMADKPLETAEFFETSTLLITLV LLGRLISAVARIRAVAAVSLRSLQATTATIIEDGVEREIDTRLLQFGDRFTVQPHSRI PTDGRITKGASEVDESMLTGESVPVSKKPGDVIIAGTINGSPPLQAQVTRLPGKNTVT DIAQLVEEAADSKPKIRDLADRVAGWFVPAVAVIAVIVTVIWIVIGLKGTKQPAFKAV PDAVTYAIAVLAVSCPCALGLAVPMVLVVAGGIAARGGVVIKSAECTATARKVTDIVF DKTGTLTDIKPAPLSNVHSVPGAGVEATDSGGAVFRAGNPQWTNSESNPTVSAQLSAG MTLLLVTSNSNPVALFSLRTSLRPEAATVVSPLTARRIAVHIVSGDQTQAVNAIASLV GAPPENVAAQRTPAQKRDYVASLMARGKNVLFCGDGTNDAVAVAQANVGVQLSGGQGE AVSASDVTRGAADVVLLSGLNGIPFLLDVSKASYHRIVFNFVWSAVYNVLAILLAAGA FVNIRIPPAYAGLGETVSVLPVIFAAMTMLALNFRS
SAPIO_CDS1747	MADTADNQATGNTETESNQRMPHGESALPPADHPIWGEAQLMDG ISLKGKSYVFNERARALRRPANVYGHNGIQVGAWYPFYVNALLNGAHGHPVKGIFGTH QGVISLVLSNAYSGEDGYDADDGDVIRYVEMGAHKVTPLTQNAHDRARENLSLSASYE YGNPIRVLRSYKAGGPWAPSVGLRYDGLYKIVGVRGYVNEKEGKYFQYRLERVAAQTP LNDLATIPSEDQVASYRQIKAGY
SAPIO_CDS1750	MICPTYTVLLTVLFALTSFGSSSHVLFDGGTIVIFSEQARAVQV LRNHSVLIEGDRISAVFPAGSDHRVPSDTEVIPANGKIISPGLAESVDWNATPVELGI SYDEFYNAPKSDVDGVIGLISPTLLNELGLLNGSTPVIFSHATGITPDDVALLRQYNH HIAIAPESEMHFGHGYPHSHKVMDQAALAIDASWAWSGDLVSQARLWLQSVRLRLYQE ALDNWTIPKNSPMSVSQAFLLATRAGAQALRRSDLGIISAGAKADIVVFDGNAFNLMG WNNPVAAIILHSHPGNVEHVLVDGQFRKRDFKRVIPRVASRSLEDATDRFLNSARRLQ TQFLKDPPIILGGDHKPAAPYITLNEVDALPGEGTGY
SAPIO_CDS1751	MEVVGVIASCTAIANVVAKAYEFGDKMRDAQGLWEEYITSLKML EKLKCLNVKEELGQYKSLVDVCAKMMEDIAEEVMDFLKKYALDKSKVERMSQWFKRQF KGYLFVYNKEDIRRRIENVHRVVDIFQLAVTMAAIALNSFDQSTTEQMLRDLSGKVVD QGLAMGEQPDSSTAELPAEIQTREDLVSIDGSEGIIRASLVGGAPGSADMPLTDAQEL VNYIVETDNASELSSSASETLGASSTPSIPILPEVDVLVPRNTAYREVDDVLGFCIQR ILLDSNTQTFILHRVFVKTSHATTLFY
SAPIO_CDS1753	MFARQTVTRTVVHSTRLLRLPAQAGRRWIMESRTATPSSAPPPY DFPAAWYSSAAEATTPSVTSAATAAAAKALPRAYPLGPPMPPSPAPQEREEASAATEV PTPKQTSAATTTTSTQEQKPKPARRSFRALRPRKAAMTLSPDAVRELRRLLDLPEPKL IKVGVKQKGCSGLAYDLEYVDKPGALDEVVEQDGVKLVIDNRALMSVIGSEMHWQEDM LSQKFVFRNPNIKQSCGCGESFMV
SAPIO_CDS1754	MEPSPLTRQLPPEVFQPKVVHLYESLFKDDEDDGEKSEGFWREF FLLRPDRPSLKRILDALNPTDLLHLETQTRSLFSTAVAVAKDGRNDSDIHALETLSVF LSCVLSKKYAHPSSAIIALLAGIDNVDAVLTEFVGAMDGIARSGRKPFELRQKAVEVL LAFTAGAHQTTLLTYLIQRDLFPSIMKFIQDCDSAQSIVQPFTLLGLLANYNKFEFQN PYQLRLSDFVNEATIQKIIRCVGATCQKLRGQYVDVQDDIPEAWSLSSTLTMIGLGAI APGKKPERKKVYDAETVKRMFTELPGVEAAILLATYDFTHVNKLFCINLITLPPEKDG EQPFASYLSLTSYLLHHAYLSSRTTHYSHLSLMVFRLLIEDASICRRICSDDSKTTVR LCRQRQPHLPLVKGERPLAAALLDTMMDGINHNLRRRLDVGLYTLCVGITLRILSYMS RTKTRLAYHWAELFRSLLSLIRFLTTYTADVKDLPNVGTLLDHVVNVLALALSAGESF LPTPAAYDDLFYKVVETGDVLVKFRDNFGLGSRNSNSIDTLVSVSTHYKQMLADGGGG GAKKGRQQLTSLQVAEVIKQGYETLSIQATEGLDRWERFREADERTLLKKMAREAVGD VRLSVEVDV
SAPIO_CDS1757	MADAITEAAAKLQLDEETGEMVSKNELKKRLAKRAKKAASAARA KNQPPATGADGEKAAPKPAKAKTEGPQLDPEAMFKQGFLAQVYKERPTKEVLTRFPPE PNGFLHLGHAKAIAINFGFAKYHGGKTILRFDDTNPDKEKHEYFVAIEETIRWLGFKP DAITYSSDNFQQLYDLAEKLIELEKAYVCHCKEAEIKLQRGGKDGKEGPRYRCAHADQ DVETNLAKFRDMRDGKYEPQAAFLRMRQDLENGNPQMWDLAAYRIPKDQTPHYRTGDK WRIYPTYDFAHCLCDSFEGITHSLCTTEFILSRESYEWLNKTLGVYEPMQREYGRLNI SGTIMSKRSLNRLVDEKIVRGWDDPRLYTLSGLRRRGVPPGAILSFINELGVTTAKTT IQIPRFEQSVRQYLEMSVPRLMLLLDPVPIVIEDLGDLEGQELDIPFSPKNPKMGSHK LRLTKTVYIDRSDFREVDSPDYFRLAPGKTVGLLQIPYPVKATTFTKDPTTGNVTEIR AVLDKEGKKPKTYIQWVPEGSRKLEVRIHKPLFKSDDPTAVEGGFMADIDRDSETVYP GALVEAGFEEVRRRAPWPEAAGESTGHVGPESVRFQAMRVAYFAMDSDSTEDHVILNS IVSLKEDSGKKKN
SAPIO_CDS1761	MATPEPNAPTLEQKSASPDVSREQKTELNGLKPPSSPKAAESEA AAPKVNGNGTKSDSNDGPNGVTATSEEGAASRKSEEAAPATETIPADEAGTKPDADSV TLPEAKAEAEKEEEKKEPEAPATVNAKKSEDVDMTDADAKPDTTEAITTSTTTATEPS TIEKPSSSSDAQPPKTEESKEAESAGPKSSEPEEKKPADTGDAMAVDEKRESPATEQE QDVSTQGPEGMSQLNITDDAIVQSPVDEHVDVAMDDAAPTSSAKIARERDEDSGDEPL AKRAKTEPQEEVQVSTVTAELKNSKGLQGIENWNNTDLEKRVLTPYQMREMRRIIAGV KKTKNGMHFKDSVVKLWPTLAAAYLDKVEDPTDLGELERGLRDQHFRTLGDFKGRLSL IYKNTLTFNGEYHDITHAGLNVVETIWTKSLSVPEEEPPRSKATSKHMPSRHHEHRAS AHHVSPPAETPTAKAAATPAAAERPPPKSKQAQANRRASSIATSPTDGPESQTFALPP GGVPQIRRASTNADGDRPKRAIHPPKSKDIDYSSKSSVSKKNLKPEIQFCDEVLREIM DEKHFHLNAAFLVPVDPVALGIPTYFNIIKKPMDLSTIAAKLNAGEYTHPKQFQGDMD LMFNNCFKFNTAGTPVHVQGKQLRSLFQSEMAKKDQWLAKHASSKAGSTASDLHSDEE SEAEAEVSVGGGDAAVLAATIATLQEKLQEETTKLNQLYMEDLPNEALIRLQSTVLST VQQSLLAEKQKFAAMRSEKPAKARPSKPGKAKGGAGGGGGGRKSTAPAVPKKSGGGAK KPAKKERSWGAAERNQIANALSDPSYPSIEQAISIIKKDTGQAENNAGVLELDIDQLS TNAIQKLWDLCAKAIPGFGRDGGASADAGLGGGSSPHMDNAPLSRPPKPKKKNKPMNA REQEERISKLKGIRDQFRTGGAGARAGSPKTMNDSITAALTPTAMEDSSDSSDSEEE
SAPIO_CDS1762	MHLDQDPDLVDHNDEETDIDNDSAFDETASAMSTTASLTESILN YRNIHGRTYQRSPSTAYWGPNDQKQMEGLDLTHHWMTLLFDDKLYLAPIGDNPQRILD VGTGTGIWAIDVADMLPSAEVIGTDISPIQPTWIPPNCRFLIDDAQLNWGWKPDYFDF VHLRHLVGCIDNWSRFYSQAFSRLKPGGYLEHCEFDIKTRSDTDLVGPDHVFTKWYEV LIEASEKTGRSFRYPSQEGATEDLMRQVGFVDVVHQTWKVPIGAWPKDTKMKQLGLFT FEFIDSSLEGFALYLLKEIMGWEFEDIQGIVNGMRRAIRRNKLMPYFVLHLTYGRKPD 
SAPIO_CDS1763	MRNLLGFMSARVFRGRLKLILGLVLLVNIIAMFTLPDRLSDVTS GEGAGPLLRWHGGSSGEAEPEGGGLRLVVFGAPDLAMGRENGESGTSKSWTEYLCDEL RCSTHYSFVPSIDGSRPDPALLSNTAYQETLENVLSQEFDENQPGFNYTYIADHYPVP THDDLDAQVARFLQSKPLKNPPKETLWVFNFGMWDAWTLAALPREAAVGVVDYMVLRL FQQIEILYKASLADTSIAFSDFWGYADADVIEKLKADKLEDVRGEREIFRVLIPRVVD ISITPGWNSMRPRPPLPHSPAVHMTNAAYLTKRWNDGVASHIAEWQNLPNPETDETVD PDRAEARKRHASAPNQVQKSENQRKRRGADDDLEALLAPFPLRKALQYDAPYFVLEAI VERQLRNAKLRDELGRGERPPSDPLRFDEVWRPCSEGDAEPQQRIFGSRVKTDADANN ANQGESERDGSWHATCSNPDKYLWETPFTLTDKATREFARLAAAESDSKLELREQTSV LSLAVTKKSEDIDLAL
SAPIO_CDS1764	MEEPHLHPRPPQAPLLFTGTPTSILEEADRAIAHSRQIQRQLAE DIRPDAVSFANVILPLAQAHNRLMSCTRHLVFYRSVSPDPEVREASIKAKCLFDDFAV ETAMFEKLFALVDAVVQKDPCLDEESRRLLAAIHKEHLSNGLKLSAGQRDHFKEIRAR INRVTADFRQNASASEVDGNTDGLWFGPEDLSGLPDEFLARLEPGTGENEGKMYVSLS QMEAGPMRSSENGETRKKVSMALARRCKENIPLFKEAVLLRDEAARLLGYHSHATLRL EDRCAGTPDTVITFLDSLRDRLREQGMKEYEVLRLLKKADMESQGKHFDGHLFAWDAP IYHTQRRRQAAVDSEKIAEYFPVQATLGAMLDIIEHLFGLVFVEVGSEERHRLSPSGN GKDMSWHEDVQIFSAWDDEEEGGSFLGYLYVDLFRRPGKYGHVSVFNLMPGFTREDGT RQYPSTAIVANIPKPEPGKVSLLRHGLVVTLFHELGHAVHDLVSRTTYARFHGSDGTP VDFGEMPSQLLENWCWTPEVLGVLGRHYSYLSPEYLAYWQDNSNGGPRPPERIPANLI DGLISLRRLNDGINSLGQVFRAVFDMEVHHPDSRESIQALDFTALWNDLEHDIDPLDG PMDIGFDNQWGHGYSRFEHIMGEYDAGYYSYLFSEVYSTDVFHAVFKADPMNAKEGRR YRYAVLEKGGSWDGVKILTEFLGRRPSSDTFNRELGLE
SAPIO_CDS1765	MKTFSILSVAAFLAAVAAQELTECAETCIRQAIAAGREGGCRNA RDLECLCASKQAGDTLRDCGYSQCEEEDIESILAYVVGLCEEAGVPITHETGRPEPTP APTATGEPEAPAEPTDAPEEIPEEEVPEEEAPEEEAPEEEEEAPEEDVPEEEEVPEEE VPEEEEAPEEEEEPEEEEDP
SAPIO_CDS1766	MFGGLRLAATVALGLVASFPGTLAQNVTVPNWLIFDAEADGLQL ASAGKPPTLFVSADDFTGVKRATDDLAEDFNRVLGTKATVSDTKTLPEAGSPRIVIGT IGKSALVDELIAASKVDVSEIKGKWESYVAQVVSNDADEPVALVIAGSDIRGTIFGIY DISEQIGVSPFYWWADVNPTKRESIVAPLTPKVAGPPSVKFRGIFLNDEAPGLTNWAA GNYPRSQYGNPFNSDFYARVFELILRLKGNYLWPAMWNSMFYLDDPKNGPLANDFGIF MGTSHHEPMARADKEQGRFCQGSWDWGSNRNNVQKFMTEGVDRAKDWYTIYTVGMRGS GDAASATLNSQSMEQVIKFQESTLQSVTGKDLVDIPHSWMMYKEVPGYWQKGMDVSDT VTLCWTDDNRGNIRRIPTAKENQRSGGSGMYYHFDYVGSPRNYKWINTMQNQKTFEQM HLAYERGIDRIWIVNVGDLKPMELPIYHFMSMAYDMSKFQDPASTDKWTLEWAAREWN ADVAVETADIMNKYGMLCARRKYEDLSISPFAFHATNYDEAEKNYKEWEDLVVRAQAV YDALPEDDQVSYFEMVLHPVLAGKTVFEIYSKAAIGARYAREHSYRADEMAQEARDAF EQDKAITARFHGLLGGKWNRMLEQTHIGYNNWQEPAQNSMPPLTTVGGGGGGGFGGGF GGFPGGGGGGGAGGSGKLLGIGIQYSDNNAATDAATITLPPLNQYMSPDEDRYIDVFM REKGSLSYTIKSNASYVTVTNDSGDISTDGPSSRSRSIITVDWSQAPEGNSAAELTVQ VTTPANAPGSTVIVPLRKKEAPPADFAGHVESEGVVSIEANHFSSVTEGANNASYAVI PNYGRTLAGIKLWPVTTPSQDPATGAAVTFPFWTYTQAANVKVTVYLSASENADSEHA NRYAVAIDAGNPTVVQPTPWASDAGQEPPGWDNAVTRNAWIKDSQLGALSPGKHELKL WLLEPTMVATKIVVDLGGVKASELGPPESYRVVGE
SAPIO_CDS1767	MTGRSPSSSGLLPMPGTPGSSWAVYRARIQLLLSNLDVAVVVAF WLFGLINNVLYVIILSAAQDLVGNVPKGVVLLADVMPSFLTKLIAPYFIHKVPYWFRI LVFIALSTGGMLLVALMPGHKPVAVKMIGVVLASLSSGGGELSFLGLTHYYGHVSLAG WGSGTGAAGLIGAGFYVLMTDWIGFSVRTTLLFSAALPSIMFISFFLILPHGPLHAGR QKGYDVLPELDLEEEEVEQLSQSAASSALLAPGPSVAATAYSALTHPKHHPSGIKAHL QRTKALIVPYMAPLLLVYVAEYTINQGVAPTLLFPLKETPFSELREFYPFYGFLYQLG VFISRSSTPFIRIRHLYLPSFFQLGNLIVLALHAMFFFIPSVYIVFIIIFWEGLLGGG VYVNCFANILEEVPAHDREFSLGATSVSDSGGICVAGLISIFLETWLCDWQVSRGREW CRNIQASRG
SAPIO_CDS1768	MASSAARSDSWAAKLSADEMQAKARKVRRFDETEAALPVIKVQA SLPAQLLNVPALFSHLGSRNPLARQVTYGDVVWMLDNVAFKPGLFSSWQAEFVTAVFE KESKEKLVDLVAVIARIIGLADEDHEFETLEERLLPFVWDLRPGRKLLAAHGDKQFIL GPTGPNGIAGHLVKLPTGTPGALTRTKAVVSNGITGILSSWTYFSEPEGWSVVSDVDD TIKVTLTNDPIGILRETFINEPRPIRGMPELFATIKSMLPEDTPWFYLSASPYNLYPF LREFRNNYYPPGAILLREVSWRTIAGLLRALTTGTEQYKSERLAKIHGWFPKRKMILV GDSTQSDPEAYGELYRLYPGWVKCILIRKATDISSVGIEEKNAKERFDVAFKGIPKEV WHVFEDPEECPPFLQDVISRN
SAPIO_CDS1769	MSDLDIWGTIPFPPGDNDTDTQIGGGHLDLDTLREFEYHLFQNQ TLSNGTKCYLAFEPYAAAYVYPNGSFVNSTSCYRSLNPVGARGKTGVAFAPLFALCLV FIIVNLRKHGRLFLPKTKRYFPISRRWQWYWGSMVCAFALISLFTNIDVERYYVMQIP IILTVFFWYLMQMAIIALVWEAVRHWGSWCERQISDADIYALKDDDPRAKFEFYAPLW FYLWWWLNFFLIVPRSWTSIQLQRSPEQTLIRAKPAATDTRFKVAAFCLLVCWITIVI SAFHSIKHYKARRSGMVAKIFDFFTAMPLRFALILPLALVVIAFQALCAFDFDVSPLN ATGNVVSIYIGGYLPSLLILAVQCAHGLATPNEDRELLRQRRQRGEDINRELGIVPKP SWWRRIHGGHSMHMRDIIARNVAEVNGKDGKDGVKDDGTALKDPAKKDPFADDASQNG STISGSTVTANRASTFTPYAGKSERRRAERTLQHAASLLFPNAESSTPSREEREAELT LDGPPPSYDETTRRASRMTTNSDSTVDMANGKPQRVKSMLDI
SAPIO_CDS1770	MATTEEEEPSYIDFDTFLAPDFNAKSFANSLVLLTNNPNDLPLD LSTPLSRVLFDIQEIDSHIDVLTTRSAIPLLEYTREQNEASKRIITELDSHVKSLNDS YRQLEKEVIDRHAEAEEVKVVATRLWETLRLGRSGSTSGISSTAANKKDDHKALMRCS HTILLLREVMERNKPGEEGHGLDKVDVIRSLREAVIAPIERSVRETAERILRDFNIPD SATFNQVDEAKSRLLSALSTLYLLSPMNWEKPEKWTPKFLLQSLEAYLRSSLQTSATT LGRALGQLPTLDRALAEVAAKCQNILALEFVLDTTKAPPHPLLQFHPAIASNTTTTTT TVASSQQKQQNLLQPLLAYLETGSLASYFWRSLAGSMVTRVQEISTRGGAVTRTLKAN KANVGEAIRECVIKGSKLPRALATSKHRKGAKPEEGKWEREIAVMVGSVVNNLGR
SAPIO_CDS1771	MLANSLLTTLALAITTAAIPIENPISVIKRAPEAGIVITKCAKP GVIALAYDDGPYQYTGELIDILDKGGAKATFFWTGTLYGCIYGQAAAVKKAYESGHQI ASHTWTHPQSFGNMNAQQLTTEMQRVEEALVNLIGIKPAYMRPPYLATGGQVLPTMKQ LGYKVITDDVDAQDWNGWSAQQSQNAFQQAGAAGNGHIPLMHETYASTVQQLTPWLIN WAKQNNLEMVTVAECLDDPDGAYQAGNFTGTGKSTC
SAPIO_CDS1772	MHMLDVDASGNGPSTWIAPNFSTNGDIHQINRALYNAGWSAESR DYEAIKIVNSGRHDAASLIKQVATHLQNDIWPTINTGTTQQMAARNAIKDMALDSVVA NRQFRSDSWAYCAIPNLQNKGVLYTTGTVVVAGRTLTYVDISASRRLNARGVWDAEIR SYNTDKINLAHWNIIGADWKAENVIQGSALRPIAKIETHLIMANSFPQFSSLPPEIQA QIWEAAVFMDKPLILEAYLDQQYHEDHGDCIDYMFSSDTAQFTARGERIDRPPPCAIT RRLLVFPWAAPSQIQRPAILDVCRESRRIALKCGALSDVWLDISHQKPRSAYFRFGID DIVLWTELRQGYSEFHLDLEGPPPPLENPHHLARIRHLAVEWAFFHARQDTNSPEYKR FWTGAIRELYTYCPNLTDLYLCVPAVRYAQTIDMSQSGLHFAEPRDCRRCPIRFAPMV PEQTLPGCSCFPSRPPTWAESKDEINRVFRSRWLRSVVQTEFWDAGIKITFPPRIHGR ILLREGLDVEEVKKRVEMQHEGDSEAELEIEEGFDLERPLSAP
SAPIO_CDS1773	MTPSSLLATLCVAASSVAAHGFVLSSPLKACPVPCSQAKLRDNW SVYNSLDRLKFCPLPILFDTSLYTSLDNPGQTFSIRACSVGNLPEKNATMAEDAPGIL VLKSGVGTQRIATPAACSNKASDAKAKAQLIPWSDGGSNSVGIGVAVSAVRDFIKDNH RCEASTAVFSYYEGVVVGAYAGSKITADSAASSLQIKGPQLLQICGDGRNSEHVLGIA VDTEADFRRMQEAVKSWNEATCVQSGRDGGSSVSEVTLSVYPEGVSGRESLTRRLMPR WLERRADCRTIQVVSGDGCASLASRCGISGNDFTKYNPKAGLCATLAVGQYVCCSAGT LPDMTPKPGADATSHQITVDNLVSFNNKKSNTGNPPMPAPISNAVCGPTMPGTVQPSD GRNISTLNPCPLKVCCNVWGQCGMDKDFCVISPSSSGNPGTSAPGKDSCISNCGMDIL MNTGPPSEWRAVGYFEAWNGNRPCLHMDVDQPPSQEYTTIHFSFAVIAPGTWDVVIPP DSQHQFDLFVTREMPGNKKVLAFGGWTFSTDPSTYKIFRDVVLPGNRDVFARKVVDFI NQHNLDGVDLDWEYPGAPDLPRFDPGGPNEGFNYLITLLTIKSMLPAGKTLSIGAPAS YWYLKAFPIEAISAVVDYIVYMTYDLHGQWDYDNRWASPGCPEGNCLRSHINITETKL ALAMITKAGVPNNKIMVGVSSYARTFKMVDGNCAGPNCHFVGPESAAEKGSCTDTAGY ISNAEIYSIAANDRVTWSESHLMNVDTSMTDIIVYDGDQWVAFMLPINKWFRVGIYSD LNFGGVADWAIDLRVFDEGRIGDPDPDGEANIQIGCDETFNSLEDIDKAKDKLTTACR SVYVLRLLSKMQRSALDSYNDLMAHGYDHKYDLYRDQVKKLAPAALAAFIKKRGNDFF DCYVEEQMLCCDWCEPRYGKAKCRYCDRTCSGYRDTTRFMHELCPPNVSQRGVLVLYL EQATARYNVRWTLKPGKQDAFLAAALSEANIPNDWIGMVKDQQAPVPCAGIWDCECGP SANPETCEHTGYWYNAPYIARTDDDGVSNPKDFVQEAVSKQGDIGTTKEELADQIEAY FYMGEASISDIIEAAALPILMLDEAVSTMKEVAKIGEEIDEKNQKNFIILLLTSLLML VPFVGQTVGTIARLGTLARTLALIGEAGQAALDIYSIVDNPDNAPLAIFGLVLGAGAL RDITKVAPAAKAARGTKADDLAKLGGRVESRMNAVKSIAGHSCAR
SAPIO_CDS1774	MSNVDGRIPASAVSQGPGVGSAARSRMPQTTSESGPKKRNRIRL KCNRSQPCDQCVKRNITSTCVYVPYSYPRDVLRAHAAASQRGGRSPPIDISGQERLRH LEHLVAVMRRQLAERGVNTDETPSNKSGGSRATAESKLPETPGDLTGVTVTTPAGSLV GDLRFVDAANWEAILDDVTTLTNELKTTDDDPDDIDASADPFVSASGPVLLSGSWVQA SVTDMLYDYMPPREVTDRLIARFFRGKEPAWLMFHVPTFMKQYEEFWEAPHTYSLTWV GLLFIMIAHAALFCLRGDEEVPGNLGPPMNVCDVYRIRSAHCLALDDVTKPGKYKVEA LILYFGIEYLRLSDASRGTSILMTMTVRLAMHMGLHRDPRHYKDLSIFETEMRRRLWT LLTEIDLLVAFQFGLPANVQPRYFDTGLPRNLLDEDFDESTTELPPERPQTERTPALY TIVKSRLVAAFADILSTVTSRDQPTYGEVLRLDKKLEDAHEAIPPLLRMRSFSLSIPD PVDLIMQRLWIELMYQKARIVLHRRYFTIARTDNRYRYSHFACIDASTMILQHQFDIH NEMLPGGRLSKERWFLSSLSTHDFLLANMMFCLELSYLLRKEDNNDMDTTPEPINKER LLSIIRTSRNIWQVRCHESSEAIRAFKILSRMLTISTGVQYDGAPDTGPMADIRPEQR PSYQFAHGINLRDFAEQHSRQQFVGQTQMSWAAPQVAQPAMPVPWPEQPTAMPMAEPM GETVPGLDWVRLIFPSTLTFAQG
SAPIO_CDS1776	MTFNFDDIPTGQLLNPYLNFWFSEGFLVQRASESPYESVAGAQL AEFIPAPLSNGRFNSTHDLAMISVGPQSSNTCFQFNLQSLSLGCASNRTFQDCHFWIW GLRHNSTTGREENVVASQDVPTLACTRPRCNLTTKEFYGSYKNLTSIIIQIRSGGKSR LWWADNLVVEWADDSCAATKCREKGVFALEGISS
SAPIO_CDS1777	MDSPDPEKKISPGAISNSDKSTDLEKFGSDPEKHSGRDDARGHH HHHDADDDDDDDDGDHDSDTSNDLDAGEIQPVQSRAQSIINRVLSTVASTHSINPGPP PDGGWSAWVTAICAHLIVMNSWGFINSFGIFQTYYADLLNLPPSTISWIGSTQVFLLF LIGTVAGRLTDAGYFRIILAGGSILQLLGIFSASFASTYAQFLLAQGICMGLANGFLF CPTIATLSTYFSSKRSLAIGIGACGSATGGVIFPLIARFLIPRAGLAWTLRAIGFVQL VGLVFCNFFLRQRVPPRKAGPFVDWAAFRTLEYTFYAVGAFFLFLGVYFPFYFLASFA TSQIKPTMSYENSLNLLLLLNAVGAPGRLIPNHIADKVGVVNVLIPVCLISAIVVFGW IAVVDSAGLYAWSVFYGMVGGGIQGLFPAGLSSLTDDPRKQGTRIGMVFTIVSFATLI GPPIAGALIQAADGRYFGAQTFAGGCLLIGMGFMIAARMARSKKTRRGWKDKM
SAPIO_CDS1778	MALPPNARGIDPAISLFNHLVLPGQLPGSLDPNLPELETELADR ILQVSCFMRVNAGDKSSRLWEAIGRSITSSRTINSNGRIESAILEEAMAKMEPSDFLL LHIPRQNAAVYIYFPSDSELVGNVVFEAFEASPRNKDVQASLSALKWEFPGSAVAVPI STLEDESFRKNLSSFLDNASVEIPLEFSAHASKAGSRTHEYRDSDDPSIITSLLMTIL EGNGRRISTKKLWKRVRDEVCWDKGPKPWRRMPYWLVLRVALQRYLCHHLGDEIGRVE YKFAVCLLLSEFAHSVEAFSDSLDRIALLRTKLCRRLAKLDIDRARSQCPSVSAHCEL LFGTVGPRIDKDIASINTFIGDTWSGFLADTRTRLPLLQKHATPGELKMSLDRSRASL TQMIENHRARTLEQNGKDSDDPVQSLSVSRSVVGNEFARKHLDLSKLEVQIQDLLANL DADHETTCRAISRMTSLYIESSLPLYQGNAELLSVMVLNVMDLWVELDKTACLLYPLL NEYHPIFTAPMFDGLLLPRLLDMIRLQKIQLYLRQRVDASSQHRHTILDHPRPGCFAE RFVADEPLKSSYVGLYQSIEEYAAGRLEEKRREWERKTHEYQEMIRIINTTSCTIQFL DSADSRWPKPIHRPSECLRCITKEKAEGIRIELYEHPLPSDPSFAKAVVFELLLPRSF SLYRDTTWLIIHRLGTTGSLETKLPKCTLLDYGPLKRFLSQDTIPSKVTLASTSKPFL RTHYRHAKFPIEWDKICKPNGLTMGYCDVTSSTWMGRHRIEPSFHQHFRVSFPEKFPC AQLLQASLSPGGSRSSYEIMAIELGSTNLNFSSEATASLVTYLAVQAGPAHGTDEPYR ALHGIFRDETFGEALANQLSSRLDTMVSNWREVYLMELVISLALRLFELAVSREKAAQ LILRARSICLKWVRILRGETFRSKTIEAAQRLQRYTLRAALLCRRTFEICRGCSIINV DDLAAYIEASISVNDNLTSELDGLPEPLQYAIARDLKLAFDLDHSVITSMLSQPNGLL LALSEIWPDAGDDERRIGGPITPFSGFRIHIGWEGDELVVLAVGSSMSLRFVPGKILA GDLPQPLIDGHVHWLRRNTQEIVHLIRNRPSKIKTPQDLADYLSKSDTIGGRNSSIED MALSDRLGIDLRKHWGSLVDLARESTNPFTLMFKLAPMAYNREADMELARTVAAFAIF DELKRIEAPDWPEYHNFKPGKEPDLNYLLEMVQPYRQPLPTTDVDVLENLASGKQRRK AQKEADAHRRRVDEDCKKFVTLLMEQWPCLQPRIPNPDKDLLLDVEQAYRAISLEWIS LYQNRDFSNYLVEVQAVLNQRRAEDVFEPEQFIPVDEYIRERTRGGEVLSLIDLLRKP FKPPMSPKELAPSGTTPLTWQQVLGKHNRTSPHTRRHIPSPQKRYFTPSASRAGVMPK PNYESLNELQTIATRLCASGSRVRQRYGEDLAQSIRGFRYRVHENSNHGGRSVQTNGG AGDPFSEVDTQFRSIQTSFETPDASLTSPQIRWLKAGHLWPAITQCTLLGYLRSEESM KLLHQTMKSALVGYGILITKCQRELRIHSYLGYNSHRASEEEANIGHTNWSPEQHPYW LLLEIEADVLIRPDQVDVALATINPASGENSVLQMNMGQGAYQVDMSEQRETRYTNSI PKGKTSCIIPMVSAALADKRNLVRIIVPKPLLQQTAQILQSRLGIFLNKRLGYVPFSR KTQTDRDTITAFFKFHKDIQESRGVMICLPEHNLSFMLSGLQRLLDGKLADAERMIKI HRWFGTVCRDVLDESDYTLAVRTQLIYPSGTQMTVDGHPHRWKIVQALLRLVDLNLHD LAREYPHSIEVYRGHQRGFPFLYFLRRDVEDELINRLIADVLKRGSALLPMDDLATTE RHAVEEFLRCTNVKKASVKVVRGLFRDKPSVRHAIYLLRGLLIGRILIMTLKKRWNVQ YGLHPSRDPIAVPFYAKGVPSDQSEWGHPDVAILFTSLSFYYGGLSMPQLKQALEQVL NSDDPSIEYEKWINGCENYPGSLKSWNSINVEDEVQLHQVWNALRYKTAVIDYFMNTF VFPRHAKQFKVKLQSNGWDIPVFPPPSGQHENSSTRSKAKALSTGFSGTNDNRNMLPL NIKQEDLARLSHTNAEVMTYLLQPRSRECVMACDADGRRLTETEILELMSNLKIRVLI DAGAQILEMDNKTLAQKWLEIDTSADGALYFDNDRPLVITRSGAVTPLLASNFADDMA KCLVYLDEAHTRGTDLKLPPTACGALTLGLGQTKDHTVQAAMRLRQLATTQSIKFFIP PDVYQSIADLRGKSVNIDSLDVLVWLIHNTCDGIEQLQPLYYSQGQAFCTRMQASLDN PDFLSNGEQRAKFISAIRQDELQTLQQLYEPKQRPKITQGTQARDCRLKGFVEELEAR RAAFQDTGQAVHSSALQEVEQEREVAFEVEAVRQLKKPINYPALSFRGLSEELKKFVE TGRLPAAPSSVMGMLDAISKTHLGAKFQVIQPGRPSKLFVSMEYNRTVQGQASLAIDN FLRPVNWVLWGVESKVAVIIIPEEAEAIIPLLRKLERSRRPPPTHLLTYSAPVTRKMI EFDTMKFFAIPPMPLEWEGPHWLKTQLGIFSGRLYFEWSEFGHICEFLGIVHNAEEQV GSSGQAADSNDCSADPVINKENRRFTKRPLTFLQEWLALRRRGQDFIHTPMGFVTQGK SLYEDHAFFKHYEPPKEEDFEFPLAGDQEGGSEDDSDDVISDDGYIQGEEVGVVPDHL PTGAYDGVEGRS
SAPIO_CDS1779	MSADFWSGYVSGAVGIIIGNPLDLIKVRLQAKDVIAVQSASSYA SQFQSTSSLVSGTAAPILGYGALNALLFVSYNRSEAALNKAFGTKKSLWSAWIAGAVG GLATWVVSTPTELIKCRAQTATPRVSSWAIAKQTWRTEGLRGLYLGGVVTALRDSIGY GFYFWTYELCTETFSGLDKGESTLAKEATKVLLCGGLAGIATWASIFPLDVIKTRVQT QPLVAARSSERAPLLSATSSNIESQDGALQQKKPQRHTLMTMVAQPHPPSTMAEQATK SLSDINKAYWDEKADQAFAQEWIKPIANLTTRILREHATQLGLSKDTPRESPVKMLDY ACGNGLASWSLAPYVDTIRGIDIAPGMVTKYNERAKEANLPPSTMSAVEGNILSPSEA LQGPDWSGFDLAVTCMALHHFEDAGETLRAIVSRLRPGGTIAITDWVPNGAWPPKGHS HHHHGDGHHHHRGDHHHHHHGHEHEEKPEAHATINTEVLSSGKVLDMLEAAGCDISTA YYIVVGEKSHIPEDVVKRPGGVNTNMFLALAKRK
SAPIO_CDS1781	MQPSKSTYIIPSTTIRRLLDSFEVVGPHGKHIALALQASQMSLG DLNAVFMDGRGFDEDFVKAAIKELLEAVEFLHTKVQAVHTDIHPGNLLLGLDDNSLFK TMEDTELSSPVPRKELSDRTIYFSRLMKPKFGPLLLSDFGEVRVGPGPHAGDIMPIQY RAPECLLWIQWSYPVDIWSVGLTAWDLLEDKTLFSACKEDGSLSDGAHFAELIAALGP PPPELLNRHHKRALEYWDKNGNWGGFVPIPAERTLKMAETKLKDNAIFLQFMRRALAW DPSKRPTAKELLQDPWLSWTSIERLALANRDPNKFPVFQVKDKDTIFRKRFSVPLINK QVGGYNANRPPPTLGLRQGAVFVPRPLHDPSGEFAIVLYDPTIHDKPKPKPAAEVEEK KQEAATKLDAPLVHKSLAEILGIKKKVEGEHPRVPVVIDPRLAKVLRPHQIEGVKFMY NCVTGMIDEKANGCIMADEMGLGKTLQCITLLWTLLKQSPDAGKSTIQKAIVACPSSL VKNWANELVKWLGEDAITPFAIDGKASKEELTRQLRQWAISSGRSVTRPVIIVSYETL RLNVEELKHTKIGLMLCDEGHRLKNGDSQTFSALNNLNVTRRVILSGTPIQNDLSEYF SLISFANPDLLGSRLEFRKRFEIPILRGRDADASESERKKGDECLSELLGIVNKFIIR RTNDILSKYLPVKYEHVVFCNLAPFQLDLYNYFITSPDIQALLRGKGSQPLKAINILK KLCNHPDLLNLADDLPGSEQCYPEDYVPKESRGRDRDIKPWYSGKMQVLDRMLARIRQ DTNDKIVLISNYTQTLDLFDRLCRARGYGSLRLDGTMSVNKRQKLVDKFNDPDGPEFV FLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCFVYRFIATGT IEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQYRPGTASDTHDTFKCKRC KPDGKQFIKAPGMLYGDTSTWNHFVNNGLASIQDLLLRQETGENEFESKSSRAKGIAF HPKRPWILVSLHSSTIQLWDYRMGTLIDRFEEHDGPVRSVDFHNTQPLFVSGGDDYKI KVWSYQSRRCLFTLNGHLDYVRTVVFHHELPWILSASDDQTIRIWNWQNRSLICTMTG HNHYAMCAQFHPKEDLVVSASLDQSVRVWDISGLRKKHSAPTSMSFEEQVSRANQNQT DMFGNTDAVVKFVLEGHDRGVNWVAFHPTMPLIVSAGDDRLVKLWRMSETKAWEVDTC RGHFQNVSSCLFHPHQDLIISVGEDKTIRVWDLNKRTAVQSFKRENDRFWVVAAHPEI NLFAAGHDNGVMVFKLERERPASAIFQNTLLYITKDKHVKSYDFKKNAESPTLLVLKK LGNPWTPPRTLSYNPADQSILVTSPADGGSYELLKLPRDGTGAIDSTDSKRGSGNSAI FVARNRFAVLNTAAQTIDIKDLSNNVTRSFKPPHGTTDIYFGGTGNILIITPTAVYLY DIQQKKTIAELTVAGVKYVVWSNDGRIAALLSKHNITIVSKTLEQLSTLHETIRIKSA TWDDAGVLLYSTLNHVKYTLLNGDNGIVRTLDQTVYLVRVQGRNVYCLDRSAKPRVLH IDPTEYRFKLALVKRNYEEMLHIIRTSSLVGQSIISYLQKKGYPEIALQFVQDPTTRF ELAIECGNLDVAVEMAKELDKPKLWSRLSTEALAHGAHQIVEMSYQKLKQFDKLSFLY LCTGDNSKLARMAKIAEHRGDYTSRFHNALYLGDVEDRIQMLKEIDLYPLAYVTAKSH GLEEECEAILEATGLTEDQLSIPSVGQGLTPPRPVVPTFKSNWPTKATSQSFFEKALL GQVEGLSLEDEAAEGEAIDEDDEDAHKHNGAAADIVDDEDVAGWDMGDDIVPELENDF VNVESTEAVGSGSSEAEQWARNSPLAVDHVAGGSFESAMQLLNRQVGAVHFAPLKPRF LEVYQASKTYLPASSGLPALVNYVRRTIEEDDPRQVLPIVPRDLEHLATNDLQRGYDA MKTNKLEDGIRYFKGILHAILINAVSSESEVEEAKKLIASASEYAVAMSIELGRRQLG TPDEVSKNPQLLKRSLELSAYFTIPKIEVPHRQLVLGSAMQQAFRAKNYGLALSFANR ILANGGSSRIVENATKTKRQCERNPSDAIEIEFDQFAEFDVCAASHTPIYSGTSYEEC AFDGSKYHTKYKGQVCRVCEVCEIGKHGSGLKLFF
SAPIO_CDS1782	MAEQNLNQIISQLKSSPLPYPEASSLLSRAKIHLLHLNALTPTP TTPSHLLSAARSLYEQGALASIRARDAAAFSRYVQHLQPFYDLPPSVLPPEPAERNKV TGLYLLLLLTQGKYGAFHTELESLASREGGSDETDKYLGYPIRLERWLMEGSYDRVWN AMQSREVPCEEYSVFSEILTSQIRSEIASSSERAYPNLPLSSTKSLLFLDSEGAVIDF ARERGWVIRDGHIYFPDSAETTSEQDAAQDKDFSRTIIENTLGYARELETIV
SAPIO_CDS1783	MANYYNPQGRDAHGATQQQYAPQHPHSSNPPRTDSLEPPQLGAT FVPGGFDDYYMPEVYAPSPQRIMPEVPQNMQDDIQRLESGARSAEFHTVGEPTQTSTL PGGGYTSPPPAAAPPALTTLPAAPAKATPDHPPSLPKPSTISSDAPTFSPFPKVKGDG IPPSFEEIEQTLWDNREHTLHSNNVTLQLEWAKQVLSWVEVIMEEQDRELDGKPRPPT PNAEHQMRIDALTIINHLAGQEHPDALFLRSKWLEFGKFGHRIDKREAYNGYKRAAEL GMGRAEYRMGMLFEASNDMRNAIQHYQRGLDLGDSAASYRLGMMSLMGQHGQIKDYHH GLSLIEAAADSADEDAPQGAYVYGLLIARELPDITIPEGLLPYQLPLAKRYIEKAALL GFAKAQLKMGQAYELCQLGCDFNPSFSLHYYGLAAKQGQAEAALGVSRWFLFGYEGFF NKNEQLAFKYAKQAADGKLATGEFAMGYYNEIGIHVAKDVREAKRWYELAAEHGNKDA LGRLESLNEQKTLTKHDHETTTLTRIKSQHGSMRGKRPERFAKKASAMPSVSEGDAEQ PQPGVSPRVSPGMPPTGNAGGTDVPDVSRLSIKDARQPAFALNVEGASDKPKPSAPYP EDDRPPPLNLARAQSAAPYPEDDTARPPLSPHFDPKIRPSQGPTADRPMSAFGIRPLS PGAPGGRPPQANLGPGGDQRGRLSGAGWEPQVPNDYRQASPGPQPMGDYRRDPYRQDL PRPATTQPYDGRQGGPGANPAQNRLQKPYPQGQRPVSSMAGNQYPGPQGPREPAQPGR DFGPRTSSRPSLPGQPQLHRPVSEAYPSYGRGGPGPNPAGRPDRFDSLPAHPSPQQTH GGPRPVSHIDRPRPLDDGPGRVGSAPPVQQRPQQQQQRPSSPAASTASAPPRPTGQGP ATFEEMGIPQGKNEGDCLEMQLGGM
SAPIO_CDS1784	MKFQGLSLSLTAVLLAAVPVSAVPSISVSMRAAFQAGPYLLELI ETAAAENSTSYFPLLDRVASGYFSGAATEKELYDRFLKLLVQDGHISEPEVLSTFKLA LSMRSAAPRIEAHYQYYSTGVQPRFLEPLPSACDTWVLFNGSQYCDYGFGEPRRLVAD AIHTSTSLPFDRVLGHGPHAILYADVVSSPSFAPFHNSLVEKARRGEISYTVRYRRTN GPDENDTPLPVSGYGVELALKKTDYIVIDDRDAASDGGGRGHDANHGSGSQVVLDEQE EVADLRPLSKAEVSSLGLNAASLIMKGENPFETLIRFTQDFPAYSARIAAHNASLEFI EEQRDNLGTVVPQGVNVLWMNGVQLIERQIEPFSLVDMLRRERKLITEAKSIGLTGRE AVALLGHPNVTSAKVDGSPQRFDWRDNQEGGEVIMWLNDLEADSQYDDFPTSITALLQ RTYPGQVPRIRRNIFNAVIPVDVANVDDLKVALQVLAYVNRLLPVRFGIVPFSSSEDS RNLRKVLYYLHDNYGLEGLFTYVELAIHGGQTVADSAIFEEAIAAGSPAEGFKPSTFE EVLASKPLTERLQHVDMWVSRLNANSPTLPVFVNGMVVPRVDNWLQGMGMKINSDLQM LRKGLQLGDINEDTRILGLFLDEAVKRRHPLIYADDEKALNMIDLGKVYREHAALLQS VPVLDAYSDSSKETWAALTVIADMTTEDGLNLLYSALKFKANNPGVRMDILHNPKASS NAAAAAINAALATNLDKLLEAGSIEEIRSLLPAQDPVPDTQYGARLSAYIASTGLEPG TKGLVLNGRVVGPISQDEAFAETEFQQLLDFEQKNRILPVYAAVADLGLGAVLSGPLA AARLTCLAARSTISDLPEGIFESAPTLRTSIFNTWKSKHTTIEVGEAESATVHIIGIL NPASEQGQRWTPILKVLSQVDGVYIKLFLNPEERLEELPIKRFYRYVLDSEPTFDESG RVKPLDATFRGLPSEALLTVGMDVPPAWLVAAKSSVHDPDNIKLSLVKGNVDAVYELR NILIEGHSRMVTKDAAPPSGAQLVLGTEKDPHSSDTIIMANLGYFQFKANPGFYNIQL QEGRSSQIFEIESVGAHGYDASPGDEGTEVALMDFKGTTLYPRLERKPGMETADVLSY GAEEAKPESDSLLSRGFRAAAELLGGSDSKHALEKKDKSSDDQAEINIFSVASGHLYE RMLNIMMLSVMKHTNHTVKFWFIEQFLSPSFKDSIPHLAKEYGFKYEMVTYKWPHWLR QQKEKQREIWGYKILFLDVLFPLSLDKVIFVDADQIVRADMMELVNLDLKGAPYGFTP MCDSRTEMEGFRFWKQGYWANYLRGLPYHISALYVVDLRRFRELAAGDRLRQQYHTLS ADPNSLANLDQDLPNHMQFVIPIHSLPQEWLWCETWCSDESLRDAKTIDLCNNPMTKE PKLDRARRQVPEWTVYDDEIANFERRRKGLPAVGDQKETPSVQEQEQIKEKEKEEEEA RGKEEKDGSDNDQPKPDEEPTFERNTKSRNWEEPLTRIKDEL
SAPIO_CDS1786	MMEFGTSRDSPQPFQLSRSPLQPEFPDSNPGPGQSRNAFDPPRN ALQSISADLMSNMMPDSFANMRVNNPLVSEHHGLGLIEQSRITPFSRNHIAPPDCDFG LRAGANGLPLMEMDPESGLVGGAEAVNSQTQRFTEPTSIDTVASSQSSTSKGDQILAI RGNAFVDRNSGNSVASRRPSHSRTPYLNSQVEPNYQNLPQETAVPTNTADPHPLGTKE KAPYSKSGFDMLRALWYVATRKNPEIHIGAVDMSCAFIVCDVTMNDCPIVYVSDSFQN LTGYSRHEIMGQNCRFLQAPDGKVEAGVKREFVDDATVYTLKQKISARREVQQSLINY RKGGKPFLNLLTMIPIPWDSEEIRYFVGFQIDLVEYPEAISSTPGRGGVQVDYKHSDI GQYIWEPPQSNHWVSDHGQTLGTDDVSTLLQQYISKGGLSSDWHRQSWHKMLLENVDD VIHVISLKGVFQYVSPSSKRVLEYDGTELIGSSLSSVCHPSDIVPVTRELKDATADTP VNLVFRIRRKRSGYMWFESHGSLFSEQGKGRKCIILVGRRRPVYSLSRSTVHRYANGV GDNEIWAKISTSGMFLFVSSNTRALLDIQPAALVGTSMHDLMRKESRPEFGRTIEKAR RGKIVSCKHEVHNRRGQVLRAQTFLYPENTGEGKPSFLLAQTKLIKASSRSSASAGDL ERAASHELSSPSRPGVQCSTEPTGLNDSRPLPSCIPAVDEIMFSELGTTKCSSWQFEL RQLEKVNRILAEELSMLLTNKKKRKRRKGVGNVARDCANCHTRNTPEWRRGPSGQRDL CNSCGLRWAKQMGRVSPRNSSRAGSAGNADSQSRLSNSSVRSSPLLQEVTSDTAADFD NDSSERVSRGSRSPGQSPGPTGGLSLAQQVQPLPPPSQPLLEGGSGVEFEMTSIREE
SAPIO_CDS1787	MSMLPADVHAELTQLLQALQSPDNSIRSQAEEHLQNHWTLNRPE LLLMGLAEQIQNTPDISTRSFAAVIFRRIASKSRKNEKGETVDIFVSLPQEQAAVIRQ KLLEILTSETERGVRNKISDAVAEVARQYTESDLSWPELLGALFQLNLAAEPDKRETA FRVFATTPDIIEKQHEEAVLQSFNKGFKDDSVAVRLAAMEAFASFFRGLGRKAQPKYY PLLPEVLNILPPIKESHDSDDLSKALVALIDLAETSPKMFKPLFHNLVVFSISVISDK ELDNLCRQNALELMATFADYAPSMCRKDPSYTEDMITQCLSLMTDLGEDDDDAAEWLA SDDLDQEESDQNHVAGEQCMDRLANKLGGQTILPPTFNWLPRMMTSMAWRDRHAALMA ISAISEGCRDHMIGELSQVLDLVIPALKDPHPRVRWAGCNALGQMSTDFAPKMQTDFY DRVLKAIIPVLDSPEPRVKSHAAAALVNFCEEAEKEILEPYLDELLSHLFQLLQNEKR YVQEQALSTIATIADAAEAAFAKYYDTLMPLLVNVLRTENNKEFRRLRAKAMECATLI ALAVGRERLGQDAMTLVELLGTIQANITDADDPQTQYLMHCWGRMCRVLGSEFLPFLP TVMPPLLEQASAKADIQLLEEEDHIEHIQQEEGWELVPIKGKMIGIRTSTMDDKRMAI ELLVVYAQVLEGNFAPYVAEVMEKIAIPGLAFFFHDPVRYISAKLVPQLLNSYKKAYG NPSNELTGLWLGTVDKLLEVLTAEPAIDTLAEMYQCFYESVEVMGNGCLTETHMAKFI DGVHSAIEDYKDRVAQRAEENEGATADDVEDEAQETLLAIEDDQTLLSDMNKAFHSIF KHHGASFLPSWERLLATYESFLVSQDPTQRQWGLCIMDDVLEYCGPESSRYANFITQP LVNGCQDASPAIRQAAAYGIGVAAHRGGSPWSQFLGGAIPCLFQVTQVPDPRSEDNVY ATENACAAIAKILHYNPGHVSDVQGVIAQWVDTLPVTNDEEAAPYAYAYLAELINQQH PAVISQASKAFTFIAQGLEAETLRGQTASRVVAATKTLLQTANLDPTPLLQQFSPEAQ GVIASFFA
SAPIO_CDS1788	MEPVPPSSGERRARREPSTSSLASVASSHASTNLTSSHRQSFVD SLRNVPPSPRGQRHPSFTGIPPAVIQDLLSIPLSRKAADPRFAGRDWRDILVGELVSS SDVRWVTADTSVEDATKTLIKDNPENVVLVRGSEANQLEPTISTFDFNDLNAYLLVVV GLAKPDEDSIALYDRIASMAREGAIIPLRDIQPICRKQSLMTLSSTDKLGRAIEILGS GVHRVLVSDSSGSIVGILSQLKLTEFFWSEGAHFPTIDRLYTTNIRELGIASKQVLAV NSDNALADALTLMYNEGLTSVAVVDNGYNVVGNISTVDVRLLTSAARAPLLQSSCMHF ISVILNERGVEKGRDAFPVFHVNPYSSLAHTVAKLVATRSHRMWVVEGSSSPSPATLA TPSQTAAVLVPNTGSAPQSPAPGASFGSIPASALPGAHLSGRLSGVVSLTDILNLFAK STGLNPSDPSEQRARRRRSSSSSVRPSIDSSRPSIDIRR
SAPIO_CDS1789	MGLSKLLLLLGVTSLAVAHGTDHHGGGMSMPQAPGVGSNLTDSL PNYFAHPEHRFSIYCHITLMTLSWVIVLPTAVMLSLARSRYTLIAQLVFLAIHFLGLT VGIAYNASTPDLYPNNAHHKIGWIATVVVTAHVLLSLFNRAAGAVWASRASSPSYQEL RSFIPVTRSTLDTDQALDGNDPSFQRPNRTSYDSGHGTDRASESLRSNSISTIEDHAT VGSTENRRNLEDVAFDDELLRQQPTPSRWHWSSSKLPIRSLSHGVAIMRLWYNIVDRI VLPFGFVALCTGIVTFGRFFAWNIRRQKFGQKWRPSAEFVESFLIFFYGSTNIFLEHL GGWGGEWSAQDLEHISITVLFIGGGLCGMLIESTKIRELLNTSVSEVDEIDVEGAYDG EGKHNQLTSPDHYRVSLNPIPALVILLLGIMMSSHTQESMISSMVHKQWGNLLAGASF ARGLTYVIMYLKPPKSVLPSRPPTELLASFGLISGGIIFMASSSDTVRGMENYDLDAM FMYTVTMGLVGLLMAWVLFLLALKGWALATKELADDLNKLREAPDFKPDSVALLIHTI RQGSTLFHTKASNGDSSSHAPGLGMNKSFPTKTSQ
SAPIO_CDS1791	MATPASPPKTAKINSPTAGKPMFGCEHLGRLLTSSQDNLNQSFG HYRLMLRKIFDSPTGPLQATKDTNGSASYTLTSNYLCLQCPTIVTEEDRIKHGTKKAH RFYVDSRTGYLYCQMCDDLIWDPTFEELRIRKMGTASFWSKRDRKRKYEEPADPVKDD PKYITSNTTTPSCRANGLRGIYNAGATCYQNVILQCFLHNPLLRNFYLRDGHTNCNVP HCLSCAMDDIFQDFYAVESTNGYTAANILSGFWISDKKAFENLVTTKEQDAHEFFQFL AEELHERNGDGKRPEVGSEHSCNCVIHQIFYGKMLTTTTCQKCNTSTDAVQSFLDLSL GLESLSQKRKKGDPKPLTLRECLDAEYIKSDKCEYRCQECRTSQQARRRTSIKCLPNV LAIHLKRFEFKHGRHEIAPSKIETPVQFPLEMNMLPYTSKGRDLGLQNHQLHNYPELA RAHEYDLVGVVVHVGSIDTANP
SAPIO_CDS1792	MASVQTAVRSSRKLARNITRNIPRQQLLSDIAITRTGKPILRTQ GGRSSLGGPARQGCTVIVPFRDEMAKRHLKVSGDLGRVVFIEYDLRNTQSIEESIRHS DVVYNLVGRNYPTKNFSLEDVHVEGTERIVETVAKYDVDRYIHVSSYNADPASPSEFY ATKGRGEEVARNIFPETTIVRPAPMFGFEDNLLLKLASVMSLTSNHMQERYRPVHVID VGHALELMLFDDGTASQTFELYGPKEYSTEEIAALVDREIYKQRRHINVPKPILKPLA GLLNSVTWWPYLSADEVEREFIDQKIDETAKTFKDLGIEPGDISNFTYDYLQGFRSSA FYDLPPATEKERKEERKYLHVLDDQ
SAPIO_CDS1793	MSYDTIPDFLAEQRDAAPEELQGLILEFENFWERKLWHQLTEAL LQFFRDPRSEPQRLPFYKAFISKFADKINQLKLVELALAASTQCPDDHEKLHFLEALA KKVDNENTQDALVYASVAVARVKLELHDRDGARRDLSNCERILDSFSSVETVVHAAFY DANATYYQMITDFAAYYRNALLYLACIDVNSLSPEEKESRAYHLSVAALVSASIYNFG ELLLHPILDALVSSKEYPWLRELLFAFNRGDLDAFDRLANHITSNELLREHSAELRQK IYLAALTEAIFQRPPHERSISFSDVAESTKVRPNEIEHLIMKAFSLGLLRGTIDQVDQ IAHITWVQPKVLDIKQIDNMRQRLLEWDSSVNDLGHYIENAGKDVWAV
SAPIO_CDS1794	MPLTRHVFSAAQRAAVPTLGSGVRRMATISSNPLDRKVRQNNWE EGNFINYKKMSENLAIVRSRLNRPLTYAEKILYSHLDDPHGQDIERGVSYLKLRPDRV ACQDATAQMAILQFMSAGMPSVANPVTVHCDHLIEAQVGGEKDLARAKSINKEVYDFL ASACAKYNIGFWKPGSGIIHQILLENYAFPGGLLIGTDSHTPNGGGLGMCTIGVGGAD AVDVMANLPWELKAPKVIGVKLTGEMSGWTSPKDIILKVAGILTVKGGTGAIVEYHGP GVAGISATGMGTICNMGAEIGATTSVFPFNDRMYDYLAATKRKEIGDFARVYAKELRE DEGAEYDQFLEINLSELEPHINGPFTPDLATPISKFSQAVKENGWPSELKVGLIGSCT NSSYEDMSRAASIARDALNHGLKAKALFTVTPGSEQIRATIERDGQLQTFEEFGGVVL ANACGPCIGQWDRKDVKKGEANSIVSSYNRNFTGRNDGNPATHSFVTSPDLVVALTIA GSLHFNPLTDKLKDKDGNEFMLSPPTGDGLPTRGYDPGQDTYQAPPKDRASVQVQVAP TSDRLQILSPFAPWDGKDALDIPILIKAQGKTTTDHISMAGPWLKYRGHLDNISNNML IGAINEENGEANKIKNFTTGEYDAVPAVARDYKKKGIKWVVIGDWNYGEGSSREHAAL EPRHLGGLAIVTRSFARIHETNLKKQGMLPLTFSDPADYDKIRPDDKVDILCTELAVG KPLTMRVHPKNGASFDVELQHTYNEAQIEWFKNGSALNTMAKNNK
SAPIO_CDS1795	MAVRNKRTRTKTRRRRRDLDQIKADLTCPKHLEGYKNTKSSEDL PGSGRWYCVECAKWFETESTLLAHQRSKFHKRRVKRLQETPYTQKEAEAAVGLQTDNT DPLKVKHEAGNEIEMMI
SAPIO_CDS1796	MRSHASLKYRSIALQLTIHTSTRTCGYTSPLSSICGRLHHNLWN RRVDIAPVASSYSVLATHSRAFSSDSQLFQHFRRGRTSPPLASVPVLFIAGSLVLALF FCESDATQGAGDLPQPRYMAQPQTSAMAADILPGRPGNLTQDQEDNLRRLWTSVLHIC RGGEDNPSGVMSSTDVNSDSLQRRSEKVRKKRSTFFGRLGNATSKADTTGSVTSGNAS SGEFDADDKYGQNKQFVETLARQSPESIRQTIWSMVKHDNPDALLLRFLRARKWDVEK ALVMLISTMNWRATEMHVDDDIMRCGEGAAAEAEKGENESAKNLGHDFLAQIRMGKSF LHGSDKAGRPICFVRVRLHRQGEHSEEALERYTVYIIETARMLLKPPIDTAVQTVLFD MTGFSMANMDYAPVKFMIKCFEANYPESLGTVLVYKAPWIFQGIWRIIRGWLDPVVAS KVHFVNNADEMAEYVDLDRLPKEVDGKEDWEYRYFEPIEGENTRMADAVSRDRLLKAR WRLIEQYEQATLNWVQSDDAEAAANYKATRAATAEKLRVNYWELDPYLRARSLYDRLG MIRPGGVVDLFPSESAIAVNTVNTTGETSPDDVD
SAPIO_CDS1797	MASCIFCRIIKGEIPCFKLFESERTLAFMDINPLSRGHALVIPK YHGAKLGDIPDEHLSEILPVVKKLAAAAEASDYNLLQNNGTIAHQQVHHVHFHMIPKP NVEEGLGIAWPSQETDMDKLKVLFEDLKAKMT
SAPIO_CDS1798	MPRFSSTPLYGGALVCDLPEHFVDVNKIRQVPDNQEVWIDENGF TSIIFDITERVGPKGRGPEIDGRALTQHLEDIVGDDIDTVKVWSSSATQFSRLGNDDI PAYTLIATQTPKAARTEQDSAPDFTAIILTLVRLEQESTDIAITINVPHIKGEYVEAE IDLELGKQGVLIGEAVEYAARIWDTLKIKDWGLFKEV
SAPIO_CDS1800	MSGYTGGNRQGYEDGYGHGNDQQDQYYQHDQQYYDSNGYNQGQH GGDGYYDESGYYNADPNNPYHQDGGYYDSNDHYQDEYYNDGTYHDQDYNQGYGGAGAR QGSHEDSETFSDFTARGADMDYYGHGDERYNSYNEGQMGSRGYRPPSSQISYANRSSG ASTPNYGMDYGNALPAGQRSREPYPAWTSDAQIPLSKEEVEDIFIDLTSKFGFQRDSM RNMYDHFMTLLDSRASRMTPNQALLSLHADYIGGDNANYRKWYFAAHLDLDDAVGFAN MKGKGLRRTRKKKKKNDEEGQTEAEILQGMEGDDSLEAAEFRWKTRMNRMSQHDRVRQ IALYLLCWGEANQVRFMAECLCFIFKCADDYLNSPACQNLVEPVEEFTYLNNVITPLY QYIRDQGYEISDGVYVRRERDHNKTIGYDDCNQLFWYPEGIERIVLEDKTRLVDIPPA ERYLKLKDVAWKKCFFKTYKETRSWFHLLVNFNRIWVIHLTMFWFYTAHNSPSLVLGN KYEQRKNNQPPGSQQWSIVGVGGGIASLIQILATLAEWAYVPRRWAGAQHLTKRLLFL IAIFIINIAPSVYVFGFSEPILKETIAKVLGIVQFFVAVATYIFFSVMPLGGLFGSYL TKNSRRYVASQTFTASYPQLSGNDRAMSYGLWLLVFGAKFGESYAFLTLSIRDPIRYL SIMKIECLGDFMIGKVLCENQPSILLGLMIFTDLVFFFLDTYLFYVLINTLFSIARSF YLGASILTPWRNVYARLPKRIYSKILATTDMEIKYKPKVLISQIWNAIVISMYREHLL AIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDHSFKTEFFPAHSEADRRLSFFAQS LSTPIPEPLPVDNMPTFTVLIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPHE WDCFVKDTKILADESSQFNGDYEKNEKDSAKSKIDDLPFYCIGFKSSAPEYTLRTRIW ASLRSQTLYRTVSGFMNYSRAIKLLYRVENPEVVQMFGGNSDKLERELERMARRKFKL CISMQRYAKFKKEEMENAEFLLRAYPDLQIAYLDEEPPLAEGEEPRLYSALIDGHSEI MENGMRRPKFRIQLSGNPVLGDGKSDNQNHSLIFYRGEYIQLIDANQDNYLEECLKIR SVLAEFEEMKVDNVSPYTPGVKSPVKHPVAILGAREYIFSENIGILGDVAAGKEQTFG TLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLHLNEDIYAGMNASLRGGR IKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYYA HAGFHLNNMFIMLSVQMFMITLLNLGALKHETIACNYNPDVPITDALLPTGCANTDAL TDWVYRCVWSIFFVAFLAFIPLVVQEATERGVWRAATRLAKQLFSFSLFFEVFVTQIY ANSVQQDLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIYFGARLLMMLLFATVTI WQAALTYFWVSMLALVVSPFMFNPHQFAWNDFFIDYRDFLRWLSRGNSRSHASSWIAF CRLSRTRITGYKRKALGDPSKKMSADVPRAAITNLFFGEILVPLLLVVVTLIPYLYIN AQTGVSEGAEDTNGPTNSLIRIAIVAFGPIAINAGTFVLAAIAHGVAVVMLLVFFEVM FFLEGFHFPRTLAGMIAVVAIQRFVFKLIISLALTREFKTDQANIAFWTGKWYSMGWH SVSQPAREFLCKITELSMFAADFILGHILLFLMLPVILIPKVDMIHSMMLFWLRPSRQ IRPPIYSMKQTKLRRRRVIRYAILYFVMLVVILGLIVGPTVAGSKITTNLSMLKDLKL VQPVNQNNNNTSAEPTGGSTDAEQADVTQDDEEDNLTKLKFLLI
SAPIO_CDS1801	MDLSDAQEFLTTALNTATAAFQKLPGSAVIVRYVRSSYQNDPIR SAIELILVLFFIRYLLSPSYSTQKQNFIQLREDEIDELVDEWTPEPLVSPQTTLEATE YEKLPVIVGASGPKARLSNGRTVTNLGSYNFYNFNGNEQIKDKAIQTLRTYGVGPCGP PQFYGTQDVHMKTEADIASYIGTEACIVYAQAFSTISSVIPAFCKRGDIIVADRAVNF SIRRGLQISRSNIKWYKHGDMDDLERVMRKVVEEQSGKRLTRRFIVTEGLFETVGDSV DLPRLVGLKEKYKFRIILDETWSFGVLGRTGRGLTEAQHVDPSQIDMIIGSLAGPLCA GGGFCAGARDVVEHQRISSASFTFSAALPAMLAVTASETLNMLQSNPDVIGLCRDNIK SMRAQLDPRSDWSEVIKSRGLTLDDQERLLQECTEEDFRYAKQGGPQGRVVGNTACTP SLCDDRLE
SAPIO_CDS1802	MVLPSSEFLVANLRQFPHLFCFERLDALGQQRNSTQYTGMDEER SRNGSVTTGACQIGLLADQPWWEVIKGNPLKNVLDPFRASFNTIYGGRSTSGAPDALD QFGSQGTGPGKLRSYLLKLNSAVSCDDFDFDCIKPLLNAALADPPNDALIWDQVCSVD GPVQQTVVPSLPQATWLRNPNSFATSSEYQKYIDVVLKEDLRLTNVALPDFYECFFGD VADLELVSQAVFEKCMEGRNPLYSEGWRGWAANANQEDVLTWFAELSENLAAFAEEYK PNSTQRRRPVARPQKPVNGLTAGRAMDVAFVSDPEAGKDARCPLQQVLVPGELESNSA TAMLSNSWLDLGRYAREVLAAQDTRRFVLGFTICGPLMSIWEFDRLGAVASEQFNINK DGLRFLCTILGFLRMSEEKLGFDPTIGRAGSQRFIEIERNAGRATTCWKAHRKEDSRK TPLVIKDSWQLIGREEEGKLLREVTDRGVTNVARYYYHDTVRVGGRTDDIWYNIRAGL DAAATTNYRLEPPSTSKPGNLRNSYGSQTDTPLPLSTRSCSVYPHQSSSNALPNRVHR RVILRDYGKPIYEASSRAALLAALEGCIVGHESLRKAGFLHGDISIYNLMVNEDKENP SWPSFLIDTDLATKESRGEASPTGSKAMKAFRAIGILLGEPRCFMHDLESFFWVLFWI CIHYDAPGQYTGPTVFDSWNFEDDSSVRILREARKDNVVLAGV
SAPIO_CDS1803	MLSTEDRTILSEVVDILEPFKKLIKWSEGRDLDFAEVLSSTYYL IDQLKVQPTRTDFSATTSSAADDFTQQTSKKRRNPAVVRGLRGKPTAAAPQYDTTTNY SRAMILHPGLRTRWIDRNLPTERAARIISQFRQFFETYYAQPPAARPTKPSQPPASTR HTFLTPHGFYDDPTESDTIGEISVYSGSNGELQSPETAMLSIAEPASSVQQPATSL
SAPIO_CDS1804	MASQDKSFNLFQPPIRCSLVVKDLREDPVYDALSYTWGDPCTLY SSADEISPPEAWSTRAFDILVNEKPVSLATNLYTALLSFHFFSSQPPSRTASRDIYRN LLWIDALCINQSDVQERNSQVQMMSRIYRQSTTVFVWLGGGDRFSKQAILNLDQLFNV KLGKAMLERMKSLDILKKATYKELGLALMNRDSWIGIYMFLSRAWFQRAWVVQEIAFA KNAVALCGMIQFNMSMIIGSRANPFRLEADKRRLSLVKVSRITRRIEFASSELKGLAE FLHDIPESSVVPCPRSMNLDDWEKLSPKAMNEQKKLGLHMIMAILILLCETDNLIMED TGNFQEPLDRFLNYEQARGEVGQYYTELQKILGQQAVVDGEIDFPPEFVRFETVRASS V
SAPIO_CDS1805	MTMLIRRMVHCCAPLHPLNSYLEIGAWGGGMASSTTGGQQRQPG STARGLHVAHDAVVVDRPITGNTPSRPPLYYFCTQACLNGLRTSGLLDPHCPNVLLHL GRQPDAPSSQAHYAPHPLSNQEFLNKAQEQLSVSLVDDWEALDKFGMYGAVGALFKFT LRDYGYTLMAKGVQSIDKADVSRILLMSFGGLNLAGYDDLDIDVEAEANELLDEIRQK V
SAPIO_CDS1806	MADGVKTHLGDDQPCDVKSLDEGAVLYRDLTPEEDRRILHKIDR WLLPVMATAYMFQFLDKSCLSYAAILGIKSDLRLSGNDYSWSSAIYYFGYLVATYPVA GVLLVRFPIAKILTISLVIWGGILMLTAVCFNGGGLLANRFFLGMAEASMAPGLSIMI SMWYKRSEQPLRQGAWFLGNTCGGLFGGLVSYGLGHITSIPPWKAIFLVFGGITTAFS VVTFFLMPDTPHNARFLTTQERDKAIARVEKNMTGIKNNKWKKEQAIEAICDPNAWFV VLIYFASNLPNNGLITFSTIIINGLGFSTLKTLLVNMIAYAFQLLFVLITTIGSSHLP NSRLYFMMFNLIVSIVGAAVIREVDSSHKWGRAIGNALTVSFTANFPMTMAMTSSNFG GFTKKTTVSAMVFLAYCAANIIGPHLFFDREAPSYPSGFLAIMVCFSAAVVLCILQRI YLVRENKKRDRSCEALDIPPETLNLMDKTDREIPQFRYVY
SAPIO_CDS1807	MSVITEPASRGNFPKATEGATDAVASDTTIWSTEKPKPTSIEVA REIINSTLGSLDPALRQVNIDLHSNPETAYEEVFAHKVLTSFLEGRGVAVQRHAWGLD TAFEATVGSGGRQVVFCAEYDALPDIGHGCGHNLIATSSVAAFVGAAQVLSELKIPGR LRILGTPAEEGGGGKIKLIEAGAFNPPRDVCAAIMSHPTASGARRNDGQRYDGLAGTR LISSYKMRVEFRGSTSHAAAEPWKGINALDAAVAAYVNVSVLRQQIQPDERIHGVFEV GGTVPNVIPDYTSMKWNVRSPTISSCEALVARVKACLEAGAAAAGCEISYDVAPTYQD LRVNSSLCKAYVQDMAKLGLRIEQEVQVPLEASSDMGNVSHMVPSFHGAFAIPTSPNV SLHSRNFAACAGTNEAHEAAMNCARGMAILAIRLLTDDALAEDVRRDFEMPDPLP
SAPIO_CDS1808	MVAGLAEERRSNVTRYPTGSSSSASIAADYCAVLDLSTRQLPKE GARRVDTALPDGSECNTLAHEQANVGSAAITCPPDSPKFDTAGGVALGNQELEAIRHF RDSFAPLYVTKNPDYSVFSVLLQIAKDDAILMHLVIAIGCREIDFRRQSWRKRDCRHR EDDQGCGSAQRSLGLRHYSVALRAMSALVANGQASTTNIDLDTLTSILLLMIMYEQIH GDPRCRGLSTHLLGASLILERYYSNVLGDVTFLPQAGDSQHQRCALARRARPGTRTHL SLYSARLLVRISGMDVIASFYGLGGQVTGTLLKMMAEDNARRRKASPGLMDSLSWLHR YSNPLYRAVWGDVYPQTEMVDDLENRTVYDLLGACTQLRFRISQLTDVLSRDDHGAKA ALIAGVEAAIQDIGHRFADLLEFRGITQSSSYSSV
SAPIO_CDS1809	MDPFAAIGLAGNIVQFIDFVWTLISETRRISNSTEGLSNDNRLL ETIAADMARLSESVLPSPGISPQLRNLARECGSISAEILGALATLKTKGRQTQWESFL VALKQVWKKSKIDRLSKRLAAAQSQIASHMQITMVKDVSNLNKAIRELQETNTKLGIQ TRHDLSFLSNRVVNLSEATQVLQDISAQLQQLSQSIKKPEGSDLDPMYEGFFVTMEEY IETLQHSEVVPAGLPKEISGYLREFSRSVQNHGCETPSISQSILAKMEEYMKYLDDSE RIVVRTAKEVSTGLAQFAQSLRGLEHAGRDTTIYQALLKRLYFPNLNSRHRSIETALF KTFEWIFTDIMPQNPEGKGRHHFVEWLLRQPGMGPFWVQGKAGSGKSTLMKFISSHPE TMKHLRDWAAGKKLIVASFFFWNAGTILQRSQEGLLRSLLFEILRTCPEMIPSVTPSV NIFDDFQEEDYSWSLDELWKMYRAIFKQDIHVRFCFFIDGLDEFQDGKRSHRDLIQTL HKLEASADIKLCLSSRPWMVFEDDFGENPTWVIKLEDLTKSDIRQVVEDRFNAHPQFG KLIKSDPGYEQLVDQVVGLSQGVFLWVHLVVRDLLDGLTYNDSIKTLRARLESFPKDL DAFFKHMLDSIPEIYQARASRMFKIAISADKPLYLVFYSLLEEVEENESITLDEPVYG MPRREIDRRRRRLRRHLDGRSRGLLEIVSDEAVSHIYFRYKVDFLHRTVRDFFRESGM PFLRGSGTQVLGQEGPKTDADIQILACKAALAMMRRAPADVYQPAESWEKMFELFFSC CTKASEYPNTLTQLRDLIARAEHAFDLMRVFRSLGLPSFLVLGYACQQNVLFYLEWKL AETWFYERNAMLNDGLYPVLDFALSRSQRPCARTVDLLLRYGANPNQVYENSTVWRRF LERLPKIYADEMSGGKQELFQITRHLLLNGANLNGPVKPREGTKGLITACDVIKQCFP ADEATVLLASRPGWFGAITTGSWW
SAPIO_CDS1810	MAETSATLNCSLNTTECILETLAAVLEELRAQRGEYDWDPLTFG VTAAIGILALIIAILTVGQGLLAAGPGRLKSSRNALGPWAKFSKRTFDWSEMRFRTVA YTPLLVAPPPLRFPSWFGLTVNINITKDTEEGYWYKKIKDEEEWAEMDSSGFLFGATD HFPATWLALLTSVGLDDVELWERKHTGADYIPAEFPAVPAYGSIGVAIALAASYSSDE VQLSRFWAMRQREWENLSPQFEDGFTPGVRYIAEKAMTWARRVVGGPTIPLDLFLPVH RVVLVCIADLYSAKSNLDRDDYYTLSRSMLEEIKKITKWLSSIRWPGDESWAEEIRCR QRTICGVGSGLGSVCKNWDEANTPKPEDTPEMEIVPSAVFVDSALHGVLRALNSYIVS KKADYSLFASTQGEKERLASLYKVHILWELDGSSPPKFKRPDDVQHPLDDLLIYRAVL IAVVYSSAQDTSSLINNNLFDMIVPIA
SAPIO_CDS1811	MPVISQLRSLGASATSDSSPGSEHTTKGIPWADIPKTYQDAVAI VRLLGLRYIWIDSLCIVQDSTEDWEAESAKMCRIYSGGFLTISALSSPNCAAGFPTIT SKSITGTTSEGKPYHYFCHSACRHPTDVNTPEGQYSSRDNYDVTDWPLLTRAWVFQER TLSPRVLHFGKDEMIWECDGDCWCECNESNVQSFAPSRQQFRSALRSGTPGVPYIWKQ MVSDYSRLSITKESDRLPALSGLAQAIRANSPSSEYLGGLWRETLEMDLLWHSVSVTN MGHPVKHGGGCAPSWSWADRAAYVEFPLCFVDFGSGKEERSMGLLEQWFKVIDVRCQP ATADPTGKSQGGRLTLEVDRFAAILRHIGDKQCKPETTWTLSESKKGRRFRSVELFCD SPMHTFLYKASEARITCARLALVEVLGHDDVARRRGVEYVLLLVPSKRDPSAYERVGM LLVQRYLDMNGQRYQNFESPFAGGGRRDIIHIV
SAPIO_CDS1813	MSKCRVFTFYNHGSGPVPQWSQYQNPREEERRLKDLGKSFAIIR RVSRVNGAWVASAIEVQCPLIRTALDVVFKDYPDWYPDASPYVFTPPFAPFVHRWYEF NLYCDTVRQRAPASDILKHLLLLRDELKGRTTNDLATLKLVEETRAVSFNNIWLVLAP GRLMLSERGGKPEVFKLVQAVFRPGSHGEEDQYMLTLAAVDWNGSSTAVRIVAQGIKK YENTISVGKLPVVPADLSKGWDKLRPLVLSRGRRFEALRGFHLKAYTGSKYSWRLAPT GTRMVEMASPVSGRIVIDTYAYHRIQQTENIVKEWAPLQILREPMNGMSVGRLRGSLM LDRAQGAANPSMEGGRGEEVFTPLTDEECIITPSRVKGFDLATNEWCEFDIDSIRDIV WDPAPYDNLVLPEGEKDLIRAFVNRPKIDNFGFDDFVAHKGQGIIILLCGPPGVGKTL TAEALAEKSRVPLYTLSAGDLGTKADTVEKGLMKALDCCQLWNGVLLIDEADVFLKSR DMAAASHRNELVSIFLRRLEYYRGVMFLTTNRLPDIDTAFKSRIDLIIPYSDLDAPSR RKVWVNFIQKLKPGTAQVSERDFDQLAETPLNGREIKNLIKTALVLAHRDLPLRLKHL EVVLDIRKRVADMDVADAQRDANGGDNEDDGYDDYDDYDDYEENDTEDPCHCE
SAPIO_CDS1816	MVKILNSVLLLAAIAHAHYRFSKIVVNGVEEAAEWTAVRMTKNY QGNQGVTDVNSPDMRCFQMRPGTTTATIAAGEKLGFVANAEVTHFGPVQFYMAKVPDT ADINTWEAAGNVWFKAGSISAVKSDQRVVDFTIPTDVPSGKYLVRVESVALHQAQAPG GAQIYLSCAQVEVTGGGSGTPGPLVAFPGAYRASDPGLLWSYYPVATTYTAPGPAVWG GAAA
SAPIO_CDS1817	MRAYFLAALVVGANAAVHKINVGQGGLTYTPDSVKAEVGDILEF YFVGGNHDAVMADFDTPCAPSTTRDDAFSSGVIQGTPNNKNVFHVTVNSTEPTFYYCS VGMHCANGMVAAINPSDDQTVDAYRAASKGKQPKAPTGVYGGEFGDPLP
SAPIO_CDS1818	MSFGFSPGDIVLLGKFLLKVRQSLKEEDGSQVQYLRAIKQCNDF DAVLDSIRRLDLSNSTESFKEQLAQSAFDTQGVINDFRQTVARYEKSMGAGSSRGKIA SAPRKIQWAFDAANDLDDFRKRLQSQIDRIQLTLQGNAWEMLAKVYERTQFLEGPPLA NAILAPRAVSMRRNTWMVGGAAAPLTLEGVYQEVGFIRDLFFRRFFSNEAYSQIREMG RAFTLPDNASDTPPPPSPESVPGPGKRQTHAPSSAKQPPAPAQPPNESATMGLGDEIN ERLRSWHLEELSPHEKRQLQTPSSALQLLLEPPTTESTESPASGTQPTPFKEESSPAS EQGAPPDEKPRKSRRFASPEFSGSSMDPMASLGIASNILQMVEIAAKLATTYRSLRNN NRELLRLASTLSRVSEILHMMFEISQTSMSGPIQDLSLRLTAEIQEDVRAAEELLHQL KPGPSTALAHLSKTIKFVIHRQEVENVTQALERLDGKFAFVLQIHQAKMTESFIERMK IVEDGIRNLSYEVYQQGRHSMD
SAPIO_CDS1819	MASSDIPIAIVGMACRFSGDATGPEKLWDMLANGRCGWSEISET RFATKGLYHPNGERIGSTNVRGGHFLKEDPAVFDAPFFNLSAEVASTLDPQYRLTLEI VYEAMESAGITLSDFQGSDTSVYAGCMVRDYHDTLARDPDTLPRYFMTGNAATMASNR VSHFYDLHGPSMTIDTGCSTTLTALHLACQNLRARESGASVVTGASLMLNPDVFVSMS SIGFLSPDGISYAFDHRANGYGRGEGVAAIILKRLDDAIAAGDPIRAIIRGTALNQDG KTPTITTPSQEAQEELMRTCYRQAGVDPAETGYVEAHGTGTPTGDPIELRAISNVIGA ASRANDRSQPLLVGSVKTAIGHTEAASGLASIIKVVLGLEKGLVPPNCNFKRQNEKLD LSGWNVTVGQNTPPSSPSSVCIATDLSRFPQPLVPWPLKGSVRRASINNFGFGGANAP VIIEEYRPEPTQPSTLTINHDPIPGANGAGKSITISNTTNGKNGTSQDTSRVFALRAR DEESSYTLGERRSVFPWVAAYSASCVPSLAAALACDKFAPVRTPPQPRLGFVFNGQGA QSYSMGRELIHSYPVFKTSLEALDGYIKEMGARWSLMEELCRDEDTTNVRNLEYSLPL CCAIQIALVDLLRSWGVHPTAVTGHSSGEVAAAYAAGALDARSAIAIPYLRGALSAET ENIIGKGGMMAIGLGREKSEAYISRVRDGKVGVACVNSQNRVTASGDISAIAELEDLL AMDEVFCRRLRNHLADLQRLATPEYWNDNMLGVVEFAASFRNMCISGQSADRSGSSQN VDVAIELGPHGSLGGPIQDLLTLPELNGSKISYLNCLVRKKNAIETMQTLVCELLRKG YPVDLKAVNFPGLSRDVRVLHDLPEYPWNHTTRFWHEPRVNKAWRQRNDPPHDILGTY QSSANSSTPTWRNILRTSDLPWLRDHVVGSNIIFPGAGYVCMAIEAASMLTRRKCPGR EIIGYNLRDVQFVNALVIPDVEGQEVQLTMSQCSESSLGTSGWQEFRVYSVTGDNIWS EHCHGSIRVQFTTGNDTDSDLIDDLKFTTTKRDTKSTTYIRRVDPEDVWAALRSVGIR HGPAFQNMSTVVSNRKESVVTFSVAGASSPSSGKLQTTECLVHPTTLDSVFQAAYTVL PGLGTNLDSPFVPRSIKRLCLRQDIKNDSGHQFETHLHKGPQSSQSFQTNLAVFDGDD PSSDAVLEIQGLAYQSLDGAGNAPKHGEASNICSTIRWGQDLSFSKSRHALDHLKYPT DDLEIATMMKLRRAVVYYIQDAIRSLTDEDAQNLEWHHAKHYEWMKTQLALASKNNLG SESSNVNGEMIQRIGPRILPLLRREITPLELMLEGGLLYRYYLRALKWDRSTRQIAEL VKTVAHKHPRAKILEIGGGTGGGTQAILDALGRDGDDEGALFGQYDFTDVSPGFFEAA RKRFEPWKDLMTFRKLDIENDPAQQGFDCGSYDIIVACQVLHATRSMDNTMGNVRRLL KPGGKFVLIETTQDSLDVFLAFGFLPGWWLSEEEERKTSPSLTVLFWDKVLRRTGFTG LDYELRDCEDKEFYSFSVMMSTAKPNPPIYHHNVVLVCASDSPPLDWLHSIQAELSTV IGTPPEIKTLNSVCAQGKTCIYLGDLNKVILADMDADQFAAVKEMATACEGLLWVTRG GSIESEEPEASISHGLLRTLRHEYRGKRYVTLDVSPQRSPWTPETAAAICDVLSAAFD SSSESLTRDWEYCERQGFIHVPRLFTSKTPNDYVGRSDTVFLEPTIEPLSTLDSPVRL RRGVLGGQDTPLFIEEVSRRPDDELPPGYLEVSPKAFGLNTHDPVATGILDPLEGGAS LEASECSGVITCLSSEAANRGFNVGDRVCCLLFDGWTSRSRVHWSSAAKIPDDMTFEV AATLPASLVAAYISLLEVAKLDKGEAVLIHSAGSELGQSAIKLCCWIGADIYAIVDTK RTCQLLMETLQIPESNILSSRHVSFSSAIMEKTNGKGVDVVLNSLPGPDIQESFDCLG DFGRFIELGKADLGYHNQLSKATFRPNTAFNAVDLVAWASRRPEIVCRALNEVVRLAH EKFVAVTESITTYPISCLAEALSSMQTGQHRGKVVITVGDSDLVSLRGDVSYLIVGGM GGIGRSFCEWMVERGAKNLIIMSRNASMDNFLAELQCNARAVACDVSDETQLARALAS CADMPPIRGVFQAAMVLQDSVFEQMTLDGFKAVVAPKILGSRNLHRQFPDVDFFVMFS SILGVTGSPGQANYTAGGAYQDSLARFRRARGLHAVCIDLSMVQSVGYVAGDHRISNR LSQNGITMLQEEEVHRILDHALLHPHNEQIVTGIKPRSSGADGHDGGWLQDSRFDALR CRESSHQAAATPVEKDAKLRGLLSSEMSFGEASSSILGGLSKEIMNMFGTEEVDVSKD LTAHGVDSLVAVEIRNWLVAQTGVELSILDLIQSKSLTELAELVAVQLAYRAEGRDVV VNGLKDGVHT
SAPIO_CDS1821	MQTFYSPKTLVTWDVRAPGCMALQSIITAFLLCTTPKGSPLRIG VLPILLYLSTVSIRIASAFSLQLMLYLGFAVCSYVNVFHCFNFLWLRPFDDADIRREM SRWKANSTNPGLLERVHFTTGMLWSFRGVGTSYEIKSMPKFPGGVVPSKRAFLLQQVG WIAFQYLFMDIVTSQPQDPKIAETWAVGKEWLWLPMNPYPVTREDLISRLMGTLMSWY LIGYMMLDTWYRLFAFVFVGLGISEVRQWPPMYGTYSQCCTLRGYFNHFWHQCLRLPL QGAARFITRRLLRLRPSLMESYVTMLVIFALSGHFHILLDYCSGMT
SAPIO_CDS1822	MHLIQYALSSVGVAACPLLLVAVVELLAPGYVPSVLRDAVSSVI NAYLNHRFPIKHRDGIRDLPSCPYHWPNGQGDEAKFLSGVENSPAWKERYGSIYRIWS GMKPEIVLTQPHQLSGVFSDSDKHIKAAANDSGAYMNRLLGKCVGLISGEEWRNVRSV VGMPFGYQVTSTRIPNVERQILDHLDRLHTNGSLGNEILHPVKDMKMLPFWVVAEIFY PRLPPLLAQELLGLAPLREKIFRHVVQGGLTRFSWAQWLPTTANAELREFQGRWKAFN DAAHAHALAHDPSLPIAQMYKATKSGQMTQNQLLQTLDEALYANLDITTGALSWNLVF LAAHPDVQKRLRSEAQLASEEGRMDAYLRSDSTYLAACVMESSRLKPLAAFSVPQAAP TAREVDGYIIPAGTNFMVDSYALNIDSDTWAPDNTTYRPDRFLAKRGSELRYRFWRFG FGLRQCMGRYVADLMIRQTLVHLVLNYELSWLDKEDWSRNRDSWITHPDFLLRCVKRA Q
SAPIO_CDS1823	MDSTQTSTQAPTVKPTTGEHTEPSLDSSYQLEWIKKLLAQIKDC LKQNSDWLGVDPSKPQRILDYACGNGTVSSVKLFNEEASKLLGPNHQDRMHAIQGDLN EPEKTPVLTRPEWFGFDCAIISFALHHVDDPIELLKLLKARVKPGGTIVVVECLKQDG AETDSATASSGIKDGEPKYNPANMKAVPMGRVWPGFSVEDVHEDYAAAGCTDVDLRIW PEPVELPKMPTFGGRCTIPGLGSRKKDENTSDLCLKVYRVAAQW
SAPIO_CDS1824	MPVTSSGAVNSASQNAQGEAGPTMSTTSKPPRMRTACTECHAAK VRCSVGRTSKRRNDGSDIGAGRQRRSPSVTGALGSGRALGSPTPTSASSTTVTSTSTT IHALVPDTSEAESTRSMSPISYFVSTDKSDYNAPPIDFSDETFGWNWELPDNTNQPLP EEPGSATLLDGRAEKSHSSSSGDSTIVIEPSLPHSLFRGESDEGRERMAQLLSLCRMT YGLETHLQSRMGTLDEIMKLNKACLAEVVKLTSGKQKGQVCRCSFIMIATCLDIMLVL FEDVVRSSGLDPRGGGPRSDTRMPSLQFGVFELDPQEQLVITRRIPARELQKYRDVVR ALAAEFQDPSADFFRKLMGQWCMSLTSRLGQLRVIDVEKSGESTVVVGTSYSQALFI
SAPIO_CDS1825	MPLASQVLTLIKENLPTDSKTRVSIAVLGFTCAYVVYKLVKPSK KAGLQVFKITGNNVVEVLEEAQRQCPNEPFMLSLPGMDMAVLPTSEIETIRSLPESEV SIKKHHYDVFLGEYTYMGTRADEFDSAMRNVLTRNTPAVLASFVAEVDYAVRQNIGSC KNWTNIKPRHAMSRVASLMSGRAFVGLPLSRDEEWVEATVNYTQNVSWAWMVLTILPK PIRFVLAPFLPQVKALKRQRTINEEKLAPLLAAKYQKESNGGPVGKKAKPSTNDARDG EGGAVLDWLISQYSHPPSVRELGRDQLLATFASIYNLSNALTYVVFDLAATAPEDVEA MRQEVLDVLGDKGGIIDKNSLVKLRKLDSFAKESQRLSPPSLVNIPRIVTNPKGLKTS TGHIIPAGTRMTINSHAINQDPKLYKDPTKFDPFRFSRLREIPGNEQKYQHASTGLDN INFGHGIWACPGRFFANAEIKVVLAHLLMNYDIKHKPGAQKSWQKHYGLATLPDSELE VLIKERS
SAPIO_CDS1826	MENSLVWYFAYGSNMSSAVMARRGIKPIDAKNVVINTHILVFDV FGVPFTEPAMAGIKQRPADHSEPSVHGVAYLLSASDYNRLKVSEGAGTGYREVMLDAE VVGGLGDLDQGPAKRRGTSDVPGTVDGVVSRRILRGEAAVSNPTLTVSTLVARHPFHP TPPPLPSLRYMNLLIDGAVERAFPETYLLYLQSLPTYTAPELNRLHEFCAVRMFLGFW RPILVFVMKRIKAKADPDEDNASAWAAATVNILFRTMWVYHDAVHRKIWRCDGGRSTA Q
SAPIO_CDS1828	MVESSIDPEILDCVSVALARRVDEVDVKSSFIANGGDSIKAIIL RSSLVARGYDVTREGILTSPCLSSLLRDIKLKSPRVQGTKDDRSHSTSPSSILPTPSQ SSVTSPSLKDIMTRNGDVPVQPTSAVTEKFPLPPHRMELASNGHSTIAQTKTVPAFRQ AADLLTEMQLSLIHGTFTQSSANMITYVEYHPSENIPALLSAWNTVLEFESVFKGSWF KDLVSTRPIDSSKERVSTQQALSNGFPGAPETAAAMGSSLKVTTCDTGKDGKPMSRVS WKIHHAFIDACSLQGFMTKVRGLASGLVVEPGPSFWDWASELRHYQQTNKADGDAFWR KTLNQHPEAKGEFLLPVPATISTASDQKEEDLGIETLNFDIQDFADKLMSTARLANVT PAAFFYAAWALVVATFSDTEETVFGAVLNGRNLTIPGSLETIGPLINVLPLHVKLARH TSVEEFLTDLFRNLVELETYSWTTTDNGFTRQYDSALSVEMPEPVEPERHPFSPLETH TTQRSQVPISITVKGFRTVKLDFHSDRFNQHDMVSVANCYEQALSLLMNPETTIGNVM KSLLSCPSHARLMKWGNSISGLTTMPSVTQDLVTLFEGIADAHPDVTAVEKGDTKLSY RELDILSNQVAHKLVSMVSPGDVVCVHADKSFNWICAIWGALKAGCVYCPLDPFLPPK LRDNMICSAGATAIITSTDEQLLILRASPCKEGSTFSVESASRSEPTTFARRTSPQPW SAAYVCFTSGSTGVPKPVLCTHAGLVAFQSDLTVRLNAKPGVRISQIMSVAFDGSVHE IFSALTYGATLVLPAGADSLEPLSRSDSAILTPSVARALDPGDFPNLQWVYLVGEPVP QSVADKWSAFKALYNMYGPTEATCGATIKRLLPHHPVTIGVPNPTTRLYILSKGRTLA QPGMIGRIHLAGVQVSQGYRGMPQQTEERFLPDNIMGNGERMYDSGDLGYWNEEGEVV IARDICEIQAVAITRRGGAGDELVAMVQPADVDLIDLRVRLADTLPRYAIPSHLIAVD RIPTTLAGKIDYKAIASAEASKNVAKGPALVTDTERAVASAFLAVLQKAPSDVPVNRQ QTLAEMGGHSLEQIRLARYLTKNLGVAIPLRTVISNSTIEALGRAIDEIIKAKKPSPP ELSPAAPANVAPIEQEWLEKSQVGAGTSCFNVAFLGRMKPDRVDSRRLEDAFNVVLQR HEVLRSLYHPDRPTSRAKHCRRLTGHCPRAQYLEAINVWTELNRPHKLDHEQPIRVLI TGKEVLVVMSHIVADYTTMTLILREVSLAYHGHHLCPPRAVYPDPALWGATLSEDHRN FWCEYLKEPPQQPKCLRNVERRTSYQGTSTIFRFNEDTSRTILRYGSSGNISLQQLAL AAVALALALDGHSNPSDPHDRLDVILGVPWMNRESAEHADTVGLFLQPLPVRVKHEWR PTDNRQAFLTAVRKSTQSALAHGLPWHQLLDLMSITPDYPDHPLFDVMVTFHEPQMLR ELCLDIPGVESCLVWSSGAKFKLMCEFMALSDKSILLRLEYDNKCLSSQDVGGFLQRV SAWMNILAGGSILDGSIALDGVSEPCHGSVLDEAWVLGRTLAELG
SAPIO_CDS1829	MGDLNQLSSRVKDTIASIVPKIDSALSDKSSRIDLATAENWLLR PELEEIYKTAIQNGISSKSLSYSHGLGGDAELRSSLSDLFTKYFCPHQPIRPDHVVAT PGATHCLDALLTTICDEGDSVLILVPYWSGFSLHFQLRPRVNVIPVRLDWVNGSFNEH SRPLNQSLLPALLAALDTAPDKAKVKALVITNPHNPFGQCYPAHVLRECIRFCRERGI HYISDELYALSQIGRNPFISALGLGPNGESTNGCRSLRKDVTDGGHVRNSKKRSLTAN GTEPPPSKRRIGSYGPNGTSPEKEKNVEDGSEDAAMIHVIWSTSKDLCSSGLRMAALV SQSPQSRLILNRLAKAHEMAAARFRRWGTPFITPDAGPFVLVRLGRKEDESDILQKLR QVGVMVAPGGSFGGSGWCDGDGGFWARVTVAVPREIFWDGLQKIELALGF
SAPIO_CDS1830	MSINPLFDVIIVGGGAAGLATATGLARQLYNTVVFTNDNYRNAR ASHMHNFPTWDHQPPSAFRAKAKEDLLARYKTVQFESADITKVRKTDAGHFEATDAQG RSWTARKLVLAHGSEDSFPDIPGFTDCWAYGIYHCLFCHGYEERGRQSSGVLCIGPHS GNPAMALHQSHMARRLTENVTLYTNGNADLAAQLKELLEQDKDAKSGRIHVVDRKIAK FARGTNGESEVVLTFKDGESVTEGFLVHQPSSQAKGPFPQQLGLELTPSGDIQTTQPF GEASVPGVFAVGDWRLCDADQDRRAGGGDGCFGCWGAFVPTWGGVG
SAPIO_CDS1831	MSEVQTRRAADLLGPETFGSPDNGIFLAQLPIMSATPADTSASR LGHILRGLEASLQAFHGLLTEPTIKEELFKSLHDDTGLPNKEISAAAEKVVDLIGLVE HLLEPAPLRLADHFLVAAVDLNIADHLSQGPLKLDDLAALAHAKPDRLKQILRSLHTN NIFAYNETTDHWANNHVSKLLRSDHWTQWRNWVDLYGNEFYKIAGGIPEAVKADGEKK DRSAAHIVFDTDEDMFTYFQRQGWVPRLHRTLGGGAKAMAPGILTDYPWQELADTTVL DIGGGGGALTASLLRAHPTIRGAIFDLPAVIDHVGPFFKPEGQFSDVGSRVTHLIPGN FLEEIPSFTAYTIKWVLHDWNDVDAVKILRNVRTAIEEGPGSRLVILESVLSGRRSGR LSVYGDINMMMTANGQERTEKQWRALAAESGWMTHSIHSLRNAWVQAIDLRPAPLPKD IKVCDGGAEGTEMVNRDADTEITKAGSIQVIKEGNGFRNVREEIRVENDKAADIHEVQ AGEESKTAGIPRGPNGDSGEVPR
SAPIO_CDS1832	MELGKFIQGDVESDGQNVVLYVIKADQTSYINYIKPLILAVELG APHVLSIIDTKDEWYFRIHPQRMVPALKDKDPVTGEEVIVFESTACLQYLCERFDEQG TWAGRTAAEKGSVLAWTAYQTAALGQNPVQLPRTIEQLHKDTLRQWDILEKRLAEPGQ NYIALKDRPTIADLSYLPFSMPYMFKLFEVNIEDWPHIDKWSQDMLSRPAVRLVLDRA PKIGHDL
SAPIO_CDS1834	MSKIWDPLADMPDLQGKIALVTGGNAGIGYATVKFLALGGAKVY LAARSESRAIAAIRRLCTENPAIKGDRIVWLPLDLSDPKAVIKAVDEMKRKEEKLDIL INNAGIGLSDFQVNDAGWEMVMAVCHVGHFVLTLGLLPLLKKATSEEDADVRVLTVSS IITTALVPLDFDFGFDSPTFLTDHKTFTSLQWKILSKLVVKVDMPRDAMAKTANVLFA QELQRLLDAKGIPILSMSLHPGGVGTEGARAVFTNLVKPLIWLNSITTDQGAVTSLFA ATAKVVRENEDMYGGKYLLPFGEVSPTHPVTKDERQPNFLLSRAVLLCGLAGSKLGGA PRGFSVSLSDRHGQAQTLKKSLVGRGVIAPSARPGPIRSKTQPMF
SAPIO_CDS1835	MTQEFCCNISYTAAANEGAKPEERLTHAELWQGVKRGGRHPDEF ATFVRSCEVLSGGRNEFVRELVIGDGAVHTGDGEKIVQDVFIQDDLYACLVAATTQDT GAKSTIIVSYGGKTETAQEEDEPYLTLFYELRMGEKSPAPGSKEAEDIRTNYRALAKN MCNDSIRLIRGWKLEGKLAQWAEDDKNGVLNRQPDLSAPSLLRQVRARDGRQWRPVSS AEERLREAIRKDEMRRDVCDSLYETLTRTDILRKAIREDEMFKHFIVNIDTSREAWKS KKRFLAGGAPERETADGWPNVRVLLREISENREERGADILEVGDAGKQGKEGTKIADD IGEGTKDQVLPESAEKATVSPTAISSPGGAAGDHATTEDEDIPRYRIERDVKARVLRF TRIRDGGQQRFSGVPNSPEDKPFKGVFPDQQIAFCYCVGADGKFSRKKHSEKCESKYE TLTHYHIPCNNMSWVEDVLATYYRLPQRYPNLKGRALREAVRRDVMDLPVMQHSRWLG QVHGSNDTTVHTRHLRPFCDRFPTWTGDVSEFHDKEKWVTVEDSALSNTVLFMPYLHW ETDRARVKVGDMIERYTTRHNDKEIGKFKDYVHEIRKMQKRKWGELRDPVDVAARSRP ASKEQLTPEEQEREEREKSRYYANAITKNLEDVLWQEVRTAHWKKNEKQQEASKVFTG IRIDEWRRFIPRGPKKALAQYLLDAARLFETMSHYPEFKLFDKYLFANPPVHPRRSLD QSYLWRLNSTRRRDRDQVVYRHTKPRIPHKFQPMPSNPGGLPSRLLEPMGNDCIGNLK EPHPLAWIDHGEAEKDGCYECWEDSLAVARSIMVDQLWMWVLDDDTILTCFPQRYGSG KEDPSEVHNVIARRLTPPGETSIFSVWDLAVIILDACFDSFFDRTITTDRRPQVLDIF SESIGNIAIALRHLWQLSDGLSNKLNSGLFRTEQNVDKLILPLLDVTKEGHLQQEIRD IIDELDMMIHVIREQREVIETFIDQTSDIFQDEGFDNGSWRGADTDGGRESDDNSVNG PNYAQLSFQDKVEKLTRKVDRRMDELQSLRQSAEGVAQNVKDLLDLKQQQASVVQAYQ AIVQGDETVKQGQAIMLFTVMTIIFLPLSFMSSLFGMNALEFEFDTDPSDSSALDFRN AMRKRGLDRIQDFYPTTIRRQLWIIFTTSICILPFIFFPGFSRRFRTLVWIAIRYARE KIRATWRFYTPDLNFPTIDDIVERVEGEIWEMQVQAQRARSQKLWDAAMARDANRRPP NPGGYRAPRESTWTTQTSGAGKDSLLASKVTRGATFDRSPPKGTPGDLEKGPRESRTI 
SAPIO_CDS1836	MNTDELEGYFLWHWEEIVGKCMRSPGLDPDDRERILNGGDWKDL QANLSSSGDYAASLPPHMILQIKPELEHISTFAKFFETRLAPNLDSGFLRGIIGILVQ ATFGNEEAGVRIPRMIRSIAYKAETFNSLCEQFGPSQELANSVKEACFKMQVQIVEFF VEAIEIIRGEHLKCKEEGVNTDDLWAILELRYTEMNQELLENLRRVERRVETDALLRS QVLSPGDDLQSLAQAFRCLLLPKAKTPLFDRVDVFKKIDEALGKADSLFSSVALYGLA GVGKSAVASTYVERLAEENRVDVVLWAHSETSEALRQSFTDIAMKLKLPEAKPQEPDN NLLLVHDWLLTTDSRWIVVFDNAESEKLLAPYWPGASHGKAIITTRNHKLALRPANQG FEIRSWDSHDGANFLLFLLKQNIGRDTEAETKSALQLSEILSGHALAIQHMAGLIHGR SFSITDFMNHYLKNPRRIHKPGEKNPARRSELEMLWEYSFESLRNTKSTQDNNLAHLD FLFDEFDLADSVESLLSLSLVHKNRDTRVFSIHRMVQQYFRYHLTAAERQTTFDDAVA IVYQAFPKQDNMKAQLYEQWTECNRYVQHVLYLKSHFRIERKLNPDFKATWQFCELLK ECQRYMYESNALQDLRELCECNLIAANTLDDGERTTDLVAVIYSHQANLEESLGNPLK AIELNKKGYEMRLRESELKQGLLAGFESNLGYNYNTANDHKSALQWFHKARDRWYQWM ADQGKEPDWPTHMKANTARCLVYLDNLAEARRILDVCIPEFKVAAPLNWGMLAYAYHI LGTLERRESKVQGRSGNAKLGVQKLVLAEAHFMEAQNIWLKGDNSRHHPFNGGCMHGI GICCLEQGKIEAAIKHLRDSCEVTKRYSDTMPIEHGRCLFKLSEALLQSEQDDDEEAR RLRSEAEELLKKRRSDTSHIVDASFDDLIPIFWRGPDYESKLEELL
SAPIO_CDS1837	MAQRRRTWHSLSIRGRDLRDTDDDTTNSRDEMIRSLFGLSDEAI SVWPGLYAVCDQMEESFRELVAGGDALDLGVINRLVPGSRDNCWQFCRAVIGAVGELQ EGEASLEKIYQMLPNRQLSFSAQVGTVERSSQGGRRPVAKMFRDFRLQPQSREADATS HYGPEDQNVLYESSLNYFTLHKIGHVRIEWVNTLIDHLRFDRITKELFPVPGDVFADS PRDDPATVYREVLLSYRLLFGQSSRSRDLARGLLAEAGKKGQDDPFLYAICTANLSRS VWQRFCKFGTRSSSLPPSIFPPYILDVDGSILESDTYSARHDFPVFGNRLLVLQRYSL RQQPSRVRDLWRDRRNPLQWYTFWAVLWVGGLSLILSAVQVGLAAGQLYYASPEARQI RQ
SAPIO_CDS1838	METTMNYIARSPIFETEKAFDTDFPVDNIEGARTVIVHPIQDPT YWDLDVHGFCILKEKTNLDPQDAFSRKREVQEDYWFEIEAILHEAFPRYSRIESYDCT GGAYMNLEHAFPRQNQFWEGKDFDILNVWRPLVGPCDDWPLALCDWTTIDPEADILLN DALRRDRVDENSLLHFNPAHRWHYIDKQGTDDLLVFRNADSTGRRARGFHCSVPNPNA TSEPRQSVEVRLVAFY
SAPIO_CDS1839	MRQTSPESISVFELILQIHRSCEGQWHSLTDRCGITEDELEAFL EYAALFLCNLGNYYGEGNQKIVPELSRESLWKIAAISAAATNLLPNTIDAIFSTPPYS LGFPANDTISNYYPGNERITRQEIALVSSVMGSHFLEPENTRLRKIVDNGNVAYHILQ ASGHADGVFELKHSGDLDGVVRVVQGDHSQELSKVCFELEQARNYAANDRQIQILGDY IRSFQTGSLQAFRESQKAWVADRSPTVENMIGFVEPYRDPYGVRAEWEGVVCISDPDE TVRLREFVEKSSTFIRLLPWAVDGDNDGKGPFEKALFEAPEFTSVHALAFCASVVWEA ANLPNYNDIRETSGFKNVVIANRMSTNHSPTSPCHYVDPQEVESFRTCTHIVRFITTA VHELIGHGAGKLLSESAPGQFNFDSNNPPLNPLTNEPIRSWYRPGQTWTSVFQDIAPS VEECRATLISEYLMDNKELLSIFGYDENSSVTADDLTYNTYIQIGVEGLQALEHFNVK DQTWGEAHKRGHFVILKQLVTDGGGVLTIEHDGNAQNLTVRVDRSKIISHGKPALGRL LCRLHVWRCIADVDSCRALYEDLSAVSGVYEEWRRIVCSKPEPRWKFVQANTFIKDSE VELKVYEESNVGIIQSWAERDV
SAPIO_CDS1840	MTTTVTVSYESGPKFDIDYYVTKHFAIVEEKWGPLGLLSWEVLQ FDEGQQYQVQAILRWKSTEAFEAASANPVTQEVIADVANFTTAKPIFIKGKSAGASSA KL
SAPIO_CDS1842	MAIGVFSEEKAVKADVADGLSPEDPESQTTPDSWEVRKMARIGK PIIKAVSHADGGAVTGDTASDASVWIGKQIELEADNAIRYRTCGWTKTAALLFSEYIC LAIMSFPYSYATLGLVPGLILTVVVAGLVLYTSLIVWEFCMRHPEVKDVCDIGQLLFW NKKWAWYFTAVMFLLNNTFIQGFHCLTGAKYLNTMTNGHSAVCTVGFSAITAVVSWVC SLPRTFGTLALIATFSALFTFVSVMLATIFAAVEDHPGAGWPSLGDPTVFYTRPPPGT TFVMGLNAFMNISYTFIGQIVLPSFIAEMKEPKDFPKALWAITIAEVIVFSLVGSIIY AYTGTNYNTAPAFGSLGNITYLKVSFSFMIPTIIFLGVLYASVSARFIFFRLFAGTRR MGENTVVGWSAWAGILGVTWILAFIISEVIPFFADLLALMSSLFDSFFGFIFWGVAYL RMRRATYGARFWEARGFRCWLGLVFNIILILISIFFLTVGTYASVDSIVQGYKGAGFG GPFSCGNNGLLG
SAPIO_CDS1843	MRLQKLLTSAIALSGSVFAQSKEGVDPLDDSGNDLYEKDLSKCP GYKVTKSWKTRSGFNAHLSLAGQACNVFGTDLPDLNLEVEYQTDDRLHVKILDTNNTV YQVPDDVFPRPGFGQWCSPKDSKLKFNFNADPFSFTVSRTDTGEVLFDTTGNKLVFES QYVYLKTHLPQNPHLYGLGEHNDPFMLNSTNYTRTIYTRDAYSTPEGENLYGAHPVYF DHRNTGTHGVFLLNSNGMDIFVDNKDGQQYLEYNILGGVLDFYFVAGPSPREVAMQYG EITQFPLMVPYWGLGFHQCKYGYRDVYQVAAVTANYSVNNIPLETIWTDIDYMDRRRT FTIDPERFPAHLYKDLVDTIHARDQHYIVMVDPAVYYKESNPALDAGLEHDIFMKETN GSLYQVVVWAGPSYFPDWFHPESQKYWNEQFLAFFDGTNGPDIDGLWIDMNEPANFYN RPYPGNNTTPEHFAEVDGDPPKPPPVRDGPDAPIPGFPDSLQPNFASTNTADIERRDV VAKPRRSSSRSKSNRGAGRWRSGRREWGQGGRGRPGKGWQHGKKTGSGCGPNECKGLP NRELIRPPYMIQNGAGPTLADSTADTDVVQSGGYVQYDTHNLYGAMMSTHSHNAMRAR RPDDRALVITRSTFAGSGKDVSHWLGDNISGWSWYRRSISQILQFASLYQIPVVGPDV CGFGGNATETLCARWASLGSFYTFFRNHAEISANPQEFYRWPKVAEAARKAISIRYTL LDYIYTALYKQNQTGTPTLNPLFFNYPSDPNTYPIDLQFFYGDGILVSPVTEDDGTTV NFYLPDDIFYEWGTGKPVRGHGEYVSADVDVTEITLHYKGGIIYPQRVESANTTTALR RKGFNIVVAPGLDGSAEGSLYLDDGESVVQDAVSEIDFKYADGRFSMTGSFDFDASVN IEAITILGVEHEPNGVEDADYDAENRKLVLHVDVPLTGSYEIAIA
SAPIO_CDS1844	MAPNYESALAPGSVVLLTGVSGFIASHIADQLLAAGYCVRGTTR NADGVSWMTSLFNKKYGEGRFEIVTIADFAKPGVFDSALQGVSGVIHAAADTSMGADP HKVITPAVAGTIELLKAASENADVRRVVLTSSCAAAANPGTARKIDGNTWNEEAIAAA WAPPPYEPSRGFPVYAASKAQCEQEAWKWYGEKKPGFVLSAVLPAANFGKSLDPVAQG HPSTSALVQALFNGDTDTVSGAPQYFFVDVQDNARLHVAALTHPDIREKRIFAYAAPY TWRGVQRILRELYPGRMFGADIPDAELDSSEIVLAGAAKGWLKDLGQPRWTSLEECVR LNTEDLN
SAPIO_CDS1845	MARHRRFATENDRWNALQKREPGAALAFIYGVTTTRIFCRPTCP ARLARRANVVFFDTVSDALSAGFRACKRCKPEEVFRRAHEEAVKRACEALRAANGKTI TLETLAGEAGLSSRYFHGVFKKIVGVTPREYAAQLRIEKEHNQGEDERTGESNYQSQT LLGENAEFEVLAPETRIMEQLRFDWSQLTPSAEPELLIDMDYEAMMSNLDVYS
SAPIO_CDS1848	MATTRLIKGEYPIPPVAIPQESNLLIEFHHPAYPVRYSTFLSLP RVDPAPHGGNGPKSGVSHSIALLACQLIADNSFGGRLFLDREGREGVVVGADGILLAD HYYFIADTNNPKSKYPIVPSFRDWQFPHGQVPASWPEPSATSAPRSAADGQSRCSLSN HCMGLKSAHLVPKEEADWASRESMGRYGAHPITNIHNRSKNTLPLRADIHKCFDLQRE FAIVPKREIYGPAAQNSQRRYVVHCLGNDSPEFWGLYQNVPLQYIGHLSKEALLARFA WAVILDVKPFLLQGPARNIVRCNVGGQQEAREMTIAELTELYGGGGSMAATRLPSRER KRPRVEGEGADSFGDSEVMSGDESDTEQELRGRRGFSEQLEDKLLSARFERGEPTELS Y
SAPIO_CDS1849	MRLSQSLVGAAIIAVASARACGKPHKPPHHGHSHSHGSHPGNKP RPTTAISSSYALPTAESSIIVFTSTASEEEPEPTASSTLETDEEQSTDIPDVSSTDVE SSAAYTEAPTTEVTPTVTESESAIETTSSSETPTETDVEEPTTSAAPAPTYTRTFPAD LLDQLQDASMPKLAEYNAHRAALSKRQSTKCTLENAMVRREWADLPRHEREEYINAVK CLQALPPKDDKAPGSRSRFDDFTATNIRNHNETTKDYGGKFLPYYRYLVHAYETALRD ECGYTGAQPYWNWDRTAGHLNTSALFNGDSASLGGDGDGTENHCVTTGPFVGMTVNLG PKGILDGSHRRCLPRKLSEGCAAYTTIDHTYPLVKDSAYMSTLQDGLEKSDSVFNTGR FTAFNDRENDPASFSSDPVFWVHQAGIDRLWWIWQIQDIEARQYKVSGGDEYLTDLVD LGVNGEKRTLRQLTDTLGGDFCYIYY
SAPIO_CDS1851	MSDNNPSTRTSYLNEAAAAIHSGVASVTGSSEDKTKAEQAKKKS EDQRATSQSAAKIGPYSVGPEGGVAKDSPERTQGRYDQTVGSVNEATGRLIGNDRLVQ SGRQRSRHGEEQEAKGQLSDLGRGVSERVQGAVGGVTAALTGDRAEQQRHADIHDEGK ARQRGVETELDRKAGI
SAPIO_CDS1852	MGLRRLVVAALSATAFVGTHAAIVPRCETEPPTTTATTTTPEPT PPVCTNFIENYSFEDGGTGWIIATGAVKAGDVSIPAQHEDNYVELKLHRWATWGYIRQ TLTPLEVGKSYKLKFYYGLGQTQQVNVPGCKISIRLSSAGVGAEIPLAFQTPGVYTEV ERTLVPTVANPLFEVWALCNPALPADIDVLLDNFSIVEDPCVDEPTPTETPTTSSAPA TSTTAAPACTNRILNPSFEDGVDGKDHWTLPPGAWVQGIDGAQTAYDGNGYMFVTLPS GWTAAFTQTLSDVEIGETYTLELHYALGTFQNFAISKCTFTVYLDGVQLGATISPTPG PVSQWISVVRTLTPVNATPVLSVSSTCNGGQVNFMFDAFSLVEEACT
SAPIO_CDS1853	MATDIPKQGWGAVVVNEGPDFTIEVQKLDVPEPKDDELLVRLNV TGLCMSDVHYMLNDWGLPKMSASGVKCAGHEGAGVVVKVGAKCGDAWKVGDRVGIKPL LDVCHNCEQCWNGRENYCAKGNLTGLTSPGTYQQYMVTPAIYTSRIPDGVPDEVAGPI MCSASTMHRALIDSGLKAGDWVVFPGGGGGVGVQGVQLARAMGMRPIAIDSGEAKKTL CLSMGAEAFVDFTATKDVTAEVIRIADGVGAHDSISFVGNRIGAKIMCIALPPAGIVQ LGAEPSTFVFKNLHIIGTLVGTMQDTAACLDYAQRGLLKGISEENDHFNDSHLQERER AEQRGEPTKCYYPKCRDPECRDMKFEHLGHFRNMSNEGNLTENLYESQGTQPDMMVQF CSLHNHCSNCAVSKPFATMIRWLQRKRLRPLRLRAILTLADDPNFLQLIGRYASNYEK RLLTKDPSAFGNVETGDQASAFPRDVLDFEKWNAKLFNRISATQEM
SAPIO_CDS1854	MASDGRKQPPWRPPKAQPDAQLPRLKVDNSLTRSKDDFVPVDPT GKVVTWYACGPTVYEDAHLGHAKNYVSTDILRRIMKDYFGFRVKFVMNTTDIDDKIIL QGRQQYLLAHFKQEHAAEDDSVSDSVLAEVRAAFQHYIGKNLPSLPSDTAAEAFSEAV GKVYKEKADPPLADAATAQQGQAVTVADLLLRAHIGTARLAAEALQAPGKLPEFFAKT DDILRPYLDALHGAEMDSNNHKIYLELSQKFERRFFEDMDALNVLPLDQLTRVTEYVP KIVGFVEKIVANGFGYATPDGSVYFDIDSFEKAGHSYSRLEPWNKNDRALQADGEGSL SRGKSMKRSENHFALWKASKPGEPAWPSPWGRGRPGWHIECSAMASEVLGKTIDIHSG GVDLRFPHHDNELALSEAYWSTPGCQVQWTNYFIHMGQLRIRGLKMSKSLKNYTTIRT VLSEKEWSARSLRICFLLMPWQDGIEVTDEFMKAVVGWEGKLNNFFLKSLDISKHSSP ETTTTDDLSIADHQLLSSLAKAKDDVDTALCDSFNTSAVMRILSDLVTESNSAEALSS QTVILLARWVTRIVTIFGLDPEGDLSNQDRIGWSGLDIPAPAKPYVYPTSQLRDKVRT VACSGSVDHTAIAKFADGVAVAASAPVVESSKPYDHVLQQFRADIKALAALQAPAKEL LALCDQLRDVHLWNLGIYLEDRISPQPALVRPLDKLLIEVRKERESASIARAKAKLEQ EAREAEKERELREGAKVDPLLMFRASGEYSEWGENGIPTVDAAGNVVSKNRRKKLVKY WEKQKKRHEEWLLTQRAA
SAPIO_CDS1856	MSSPKSPPKSPSPGVASPLGDGNAVIEVDAADADSVYAQSSPND ERQQEAEDLMHEMIRIILDDKLFTSPLGDKVDRVLDVGCGTGQWAIEFADENPSSEVI GVDLSPIQPSFIPPNCKFEVDDINQDWTYPKDHFDFIHIRYMTGCVPDWVEFYKKSMD HVKPGGWVEHVELSSIITSDDGTVKQGSAMQRWFEIFKEVGDKIGKTFGVSETAPEQI REAGLVNIHERKIKIPIGTWPKDPILKNWGLWYRQFALQGLEGFVIRGLTDLLGWSFE EAQLFLMQARSELTDPHIHAYTYMTVVSGQKPIPADES
SAPIO_CDS1857	MSSTRDRVDTRQHSTEKHAERDCSTMADNNEKHDEGETSQSGSD NDLQYATSFKLAAIMATINLSTMVAALDLGIVATAIPAITNDFQSLNKIAWYSAACFI LVGATSATWGKLFTYFSAPITYMSALVLYLIGSVIAAAAPNSTALIVGRAIQGCGCSG TLSGSVLIISFTAAPKNRPLLIGVWMGVFMGSTVLGPLLGGVFTSKATWRWCFWVSLP IGGLALALQLLFLRVPKQIKPMPATWKEIILQLDFSGFIILAASLISYTLALEWGGLT KSWSDGTVIATLVMWIVLTIGFFVNEWLLGAKAMMPLYLLKTRMTWASCLYAWIANCA NFQVLFYLPLYFQSVKGDSAIMSGVYTLPFVSFYALGSLLSGFWISKTRLPIAAEIVS PLLALIGTIVFYQMDINTSKAWYVGAQIPFGFGIGLGNQVPVTALQAFAKPAEVAATM GIIFTFQTISGAYFNTAAQSVFENTIYKRLETTAPGVSWSSVSEAGAAGLTNTFSGQE LTLVLEAYMAGIKNVFIFAVAGAAATVLVALLIPPTRIPAHEERKTDEKEAANASIDN CLPVCLGGRAEASCSGRPKPPPVKFGVILFQAFEILDVFGPLEALNMLSRTRHLEMFL ISETMDPVATKPVMAPMNPFDSTFWPTLPPTHTLETVPGDLDVLIIPGGLGVRSPNIN ATLTYLADTYPKLQYLITVCTGSALAARAGLLDGRRATTNKAQWDSTVAFGPNTTWVS QARWVADGNIWTSSGISAGIDVTLAFIEESYGKENATWVSNRMEYERHTDPDWDPFSG IFGVSN
SAPIO_CDS1859	MSLKVGTYSEWLEARKALLKKEKELVKLSDELAAERRQLPLVKV DKNYTFKGPNGSTPSLSDLFGDKQQLIVYHFMFGPDAERGCGGCAFLGEHIPDLRHLR SRNTAMVCVSRGPFEKLDAWKKKIGWEFPWYSSEGSDFNYDFHATLDEEVAPVEYNFA SKEELVGKGQTWLKGEMPGLSVFFKKDGEIYHTYSSYARGLDKLLVTLQLLDMTPLGR QDVGKEGPAGFKLKYEYEEGA
SAPIO_CDS1861	MSPHPDLHSKGALQSGDPKLSGGRYHIECLKPCEVVSDDGEVRT RDWHGFQTGPFSEDLVKRIRRLQLVTKSKNQRVADKPREGNWSWFELGIVRGQPSTTD AKEALCWMSHEDAFMAEESEWREGKVFSQGHALFESLRGGDAIQVRLCTRFGCWGISA SEGYLVFDIGEEGVSRTPPVALPQADGGAGCHLLIAGADGVSGLLTGYDRRMKISCLP SVDIKNGSIEYSQSLLMRRETSYAAIKKQPNGLSGFPPKRSLVVLDANNLPWSELDNK IDNRRRLSWDQTVEDFVKLVEKLQLDHHLLIRFGHEGAIYRDPTHPQDLVLIFEPRSV EGCFLKETCSAQAGLDQETLKTLETALDTAFMAGLAASLAKESHKSIENLCETQIKQA VITGLQWCRRPAARNRQPSWVDLMLETADDPVFIPVRLSRRDLKRGSSLIFRTLPYDP PTKAATELVLNVIPKGQEDLLSYVPTATFGNLVTPDRREVDGFRTIARKIEAYSKDQN QGQPFSIAVFGKPGSGKSFGVKEVVQSIVGKDKILKLEFNLSQFQKDEDLWSAFAEIE AVPEGKLPVAYFDEFDATCKQQPLYWVQHFKQLLEAGKWATETEAPKSLERRRGIYVF IGGTAETFEEFRLQPSPRVEVIQHQCLNEVVTQYPQSCHHLKPVIGCYSTPNTTLLRT VTFSSNSSETHQELMKAFRWVRDTREPNLPIVFFENFGESRDSDPLGWLKYFLSPMQD GQFFDSQDNTTYELGRAIFVFIEGNMGHFEGFLDNPDMPDIFRNAKGPDFVSRLSGQV ANSFKNENATADDLIKALAGYARGNSSKPLSLGVFRTDDTSRKRFTNLLDAHINVQGP NKVDDGDEMFVIRRAIMLRHMLKKRGLEGKFAADSTVLNALLRVPKLRHGARSLETIL AMSDVPGEGTFFETRHLPPDSQLKLHVDLKSFKEHLTDPTVKGTGARDRGGGDDARRR RAGIEWIILRRGGGYTKERLY
SAPIO_CDS1862	MGLFSRSKAAPTPQETSTTTPTAGESALGADDAAVATFPVGLKI WKSPEAPTVDICFIHGLTGNRDSTWTAPGASAPWPQLLLAEKLGTARLLTYGYDAYVV KKAPASRNRLIDHAKNLLNDLVDDREANDAVSRPLIFVVHSMGGLVCKEAILQSRDNP DVYLQDVFRSVKGVVFMGTPHKGSWIADWAKIPASTLGLVKSTNKSLLQILETDDQML ESVHLRFLAMVRQLREEQRELEIICFFEELGLPVVGVVVSKESATLPPYNVQSIHATH SNMVKFASAEDPGFVRVLASLRRRVVSEKDRAFLSFFHVTNPIYDKERIEGTRGGLFE DCYTWILEQDAFKRWDTTPSAQLLWVRGDPGKGKTMLLCGIVDTLRARPGAVPVIFSY FQASDLERSKASTILQGLLYLVLKEHPFLIPPIRDKFWHESETLSRQAHPFSVLTSMF RHVLQHPALKNGVRIVIDALDECRDGLPRLLDFIVSTSASFPRTKWIVSSRNNLEVKE RLALMGDQRLLLSLDAQDKHISDAVTAFIQWKVGRLAALKGYNEKTARQVREYLGQNA QGTFLWVALACHHLEQSSSRVMERLRKLPPGLASLYAQMLAYVGETDDADVCLPILRL MVISYGPLKVSEIQSFVHGLLDMPLDEVYAIISRCGSFLSVRDGSVDFIHQSAKDFLD SNECYDAIFPRPPTEAHMEIVQSALDLMDRSLKANMHNLLTPDATIQDAVMPSTSPVH LLKYASSLWVDHALATLQEASTCRLCIFRPGHVCDKSEEEKLCQMLFSDDGPVMSFLS CHFLHWVECLSFLQRVPSAIVAIKRLLTKLEGTRRGGARLDQFLYDAARFLVTNRPII ERAPLQIYGGALAFSPAKSVIRGLFWDQRLPLLQEAAGVEEEWDSCLQVLEGHTETVT YAVFSGDGGLLASVSVDKTVRLWDTHTGVCKHLFEGYKGFYGALSISRRGTMLAFPGP DGAVHIRDCETGRLLSSCGHNTGLFSALALSWDGNTLVAGLLDGTVHIWDITSGVSEV VTVAKTSIHTVILNFDADTLAFGCVDGAVQVYDVSSRTQLHTFACGPFPVIRFDDDGT LVAISIFGVVTVWDLGTGVCHNTWGDWDVGPLKVDYSPASKLVAVARDATTEIWDAIT GKATSLGFYCHGRDVYYTSFSPDGQVLATCSQDQTIRLWDTGMPRSGSNQQTTWDPIT CLAFSPDGKTFASGSMYGEVKAWNAKTGEVREKVEPRSSGGGPIVLSVAFSADGKDLA WTAYRGTYTCIYSLSPTTRRWELDTPGAYTISVLFSKDGDLLRADGRHRRITWFKSRA DPIEPISRAECTLDYILHEGLYVDLDTPLPPAHDSPPRFLKKDGKHYAMDWGHLLPLP EAIRKRWAERLFVRGEWVTQAGKNVLWLPPSYRPNCTALHDSLLILGQKSGSVTRLTL KSTQG
SAPIO_CDS1863	MTSHAEFSATTAATEVAGAFKAQIKGRNVVVTGVSPGGIGAATA TAIASQQPASLILASRNQSNLASVVAEIKEKFPSISVNTVILDLSSIDSIKSAAAQIT SLVDHIDVLINNAGITLQSRSPVVTPGGITVDLQLFTNHVGTFLFTSLLLPKITAAAS RGGARGSVRVVNVSSLGHRLSPIRFSDYAFEKDMYEGVPESEQPPKPLPAGFLQVKGD YPGFLGYGQSKTANILHAFELTRRLQAKGDDVFALSVHPGTINTGLSRSLDDQARATL DGTAPSGVWKTLDQGAATTMVAAFDPKLSEGDITSAPKFLSDCQLADERMAPHTRDLD AARRLWAESEKMLGIQCL
SAPIO_CDS1864	MSATKTGIKVIVVGAGFGGLTAAIECQRQGHDVEIYENFPQLKV LGDIISFGANAGRIFYRWGQGEVVSRLKPLCINLKDYGFRIHKYDTGEVVYHQPSAPD TPDAPPMHGHRGELHKVIFDYARDDLKIPIHLDSPVTEYFEDESQAGIVLKNGKRVTA DVVIGADGVRSKARELVLGYFDKPKSSGYAVWRAWFPATEMAKDPRTKEFCEHGDTFN GWIGQDVHFLFSTIKGGKNCSWVLTHKDEHDIDESWSFPGKLEEVKEVLKEWDPLCTA IIEKTPPTALVDWKLVYRDPLPTWISKHARIALLGDSAHPFLPTSAQGATQSMEDGVT MAVCLKRAGRGKVQAAVRTYQDLRYERVRKVQKTGETTRDLWHKTDWAKVRENPSSIA FPREDWIHGFDAEKYAEDNFADAFKAQTEGPAPKAETPLGMTANASPAVVA
SAPIO_CDS1865	MTQFQPRMLINGQLVEASDKATFPLFNPATREKVADVPEATEDD VNAAVAAAKAAFPAWSALDPAKRGSYLKKLAALIRQHNDELAHLEASSMGRPVTEYID GFAAAGHYDHYSEAWAQIQGQASLNTPGYVNMTLRQPYGVVGAIIPWNVPLVFFAGKS APALITGNTVVLKSSEKAPLASAKLAELIHEAGFPPGVFNVISGHGLPSGAALSAHMD VRVLTFTGSGRTGRLIQEVAAKTNLKKVILELGGKSPAIIFDDANLDRAVAETMHSIQ WNSGQVCMANSRIYVQENIASQFVEVFKQKFSAVKAGNPIEKDVKHGPQADEIQYKNV LSFIEEGKKSGSLALGGKGNLESTGGFFVEPTVFLETPEDARIMKEEVFGPVVNINTF KTEEEAIKKANDTHYGLYASVYTKNIDRALRVAKALESGYVGVNSTSPATGRDLPFGG YKMSGQGREGWLHSMDNFLETKSVMIRIEDA
SAPIO_CDS1866	MTMPSVSPKPAQNGSDRPARRRTAMSCDRCKSRKTKCVDPIPGP CHFCASIGAPCHLDASRRRQRPYYRVSEEEFRYMMRILEHFVPNTEFNLHTLKALAES LTSTSSNNSQASPTNDTVVVSVNGNSPSYLQPVGTPKDGPDIVVDEIEELHKELGWLR IDSNGVYRHVGANSTYLFLDAVRSLKRPRLSASSPKSEVLAPLSAAEACPPLTPETTH RLKPPRQINLPRRDLCDGCIARFFREIQSVYWFFSAEQLHARLDRIYAGDSAAATPAT LCALYAIFAMTCETQMQKESPGLDMRPSLRYLALSKALVPALCDSGDIDSLRALCLLA LALSSSMFGNTAYIYVGSAARIAFTLGLHTKGDISARHSLHKQVDLRLFCSLYLLDLD ISLCYGHPTAISEDIIPGVLKLPSEDILSPGSNMPLNYLEVSCRLAQLKRTASRVIYR VPTPNTLNLALSTVSTLMNDLQNWYSELPPHLRDFNQVAGFHKRSVAVLHLRYWRTVM FTTRPFKLYNVSREGRKLADATKQKWFDDFGTMCLGAAQKAMEAIAFLRDHDLLTSRI PFDCTSILECMQVSLLALIETNAAEQLENVKTCTRTLQGMEQILWTKHALTEVMAQLE EHGIWDGENVLYPASMETPNLMFLDIVPNNEFAVDSGTYLSGVGLGDMNVQLSHSPQF QEL
SAPIO_CDS1868	MNVLVMARVIQGISAAVVWTAGLSMVQRTVGSENMGKAMGTMYP IISVAELIAPILGGELYERAGTLGMFSAGAVALSVDFVMRLLVLDKPAAPATTSSSVK SRSGSALEAGETDPLLRANGRIVHEEFKILKDPRARVDEAFPFLHCFLDPRIFVGLFL SFVHASMVGTFNATLPTEAQSLFHFSSRQVGLLFIPLIIPYLACGLPAGMAVDRRGPR IMAVAGFIFQAPCLLLLGISSRDAVPGQARLALFCVALALNGVGMAVISLPGFVEATN VTQKYAEANPGFFGKNGPYAQLYGMDSLFIFSGLAFGPLGKELEKEITDAWIFV
SAPIO_CDS1870	MTGPRTRFYTILTVVAGVLVLAAVIHAMDYNTAFEISRMMRSGK PGQGNADNANNGGYPDHPDHPDHAAQTVNYQPNQQKTWYQIAAAAGTDKVTTHEYQYM YEKYLPPMRNRHVKMLEIGLGCNMNYGPGKSYNTWLEYFPDVDLYFIEYDAKCAEKWA HKTQNAHVFTGDQADAAFLEDFISKAGMDFDLIIDDGGHTMDQQITSLKHLWKAVKPG GYYILEDLQTSYLEAYHGDPSAHDPTIETATKFIYELIDDRMTGKNKHEISSDMRSID CMREVCGFTKKVA
SAPIO_CDS1871	MSPMIAIAMGGENNGALSSKGVSIKDSLKAITSDARIMAILLAT TSFESAMYLFVFFWSAALKSARTSTAHSPDEVEELPFGLIFACFMCAMMAGSCIFNLK RPGFQGATLVLMSALLIACVGLSSASMMSTESYVFYAFIAVETSIGLYFPAINLLKSE VVSDEIRGSTYSLMRLPLNLFVVITHSLDEEGDSHRNNVFVILAVLLLISSEVVRRCF 
SAPIO_CDS1873	MSQQVYKVSTPPAEPHLPIEEVDETAGVGSPLMQTLSKGVPRSR TPSRSSSPQRPPSITGDPSLVSAPSPSNIFSANLCLNFKLDLPPPRQIVAAPELPPST RPDGGLDLPDFRGYRFLSDLPLDDSPPAMRYKNFKAPLLRRFRKHDQPVWFLKRMGQG YEGVVYKVLVDRKRFALKIGKDMSFKHWPFLNECQTAARIEKIRWAIGHAESPIMVNA NILDDTDMRKNMWCFSEEGQENPVEFENAVPMTPADTIVRCHGWLKIPRKQLPPDFHY ARILGRPDWYEETTHYFALVYDYVKRSPRDREHYVLQENIDFFYYSGFTYWFRHPNNW RGERLVDFGDLASPFRFRPKWYGAPPHSTSLDFFNPPKGDTSEDERDGNQPDGKHSND KPAEKEMGKDEPGVAASEPNGDCQD
SAPIO_CDS1874	MAKGTIAIEEAVIDPSDLQWLGDSASMYAPAAIVTGSSSSIAHA LTQKLADIHTHRLAQMDAEGVEYMLLSVTSPGPQGEPDRAKAQQMAVSANNWLAGEVK KNPKRFGALASLSMHDAAEAAAELRRAVKELGMFGAILNDYQSTSADGSGKKYFDEEE YRTFWKTVQELDVPVYMHPRYPCNPELEKGAKYGDRKHLVGAAVQFHLDLSFHLYALM SSGVFDEFPKVQVVCGHLGEGIPFNLWRADHWYNKPVKKASRPSKEDYSYYFTHNISL TTSGNFNTKGLKYCINEVGVERCLYSIDYPYDDIKEAQDWWKDIDLPEDQKEIIGRTN AIRLFKLPLEL
SAPIO_CDS1875	MGDAIIKLEQTVEALRKEVIRLSDQEEIRKLQYKYGYYIDKCLY EEVVELFSESPDTYVQFLGGRYRTKAGVRRLYVNRFAKFFVGGRNGPVHGFLLDHPQM QGIIDVDYSQADNGTGAGAIAKARFRSLMQAGVHVSQAAKHPRGVCQWFEGGVYENEF IKDADGTWRILKLRYFPFWHGDVEHGWSYKVAGFVPFMSTTFPEDTMGPDELVGEDQC MMWPDTRVVPFHYNHPVTGKPVVDVDMQAPQYGEDPGSYKPALKLDV
SAPIO_CDS1876	MAHAFTLFPDDPSGYTNGLKSDDRAKYPNTPFFQGPLKVSRVEC DVFELETSGEIPKDINGTFYRVQPDPQFPPMFEEDVNFSGDGNVTAFIFQNGHVDLKQ RYVQTDRFKAERQNRKAMFGKYRNPYTDSEMVKGIIRTVSNTNIYFWRGALLASKEDG PPYAMDPTTLETIGRYDFEGQMKAPCFTAHPKIDPETGEMVAFAYEAGGDAHDASCDI VVWTFDPVTGKKTEETWYKAPFCGMIHDCALTKNYLILPMTPIVADLERIKKGGNHWA WDPTKDQCYGIVPRRGGKPEDIVWLRADNGFHGHVAGAYEDEQGQIVCDLTVADGNVF FWWPPDNAGPDAVQKSLPQRQRLISDTFRWVFDPKSPTDTRVTPFKLYGTNGEFSRID DRFVTKRYSHFWQLQSDKTRHYDIQKCGPPAGGLWNVIGHYNWDTGVKDEYFAGPTCT FQEPVFVPKAGESAEGEGYLMALLNHLDVQRNDLVIFDALNLAKGPICALHLPVRMRM GLHGNFVPQNDIDDWNKRRQGDEGPVRIATEPLAWQAKLAQERGANGVNGSG
SAPIO_CDS1877	MTTANADNWKAHWKVFLACGVMILSPFQYGVDFGLIGGLQAMVG FLKIFGYEDPKVPNGWNIQTTRQQLISSLMTLGAFISSGLAGVAAAKLGRRHCLWLAC LLCAVSNIIMMTTTSIGALYAGRFLIGLANGYFMTFSQLYIQESSPAKYRGLFLTVFQ FFTSVGTLVGTIIDWATAKRPDKSSYLIPLGIIYVVPTFLTVAMFFIPESPRWLILQD KYEDGVKSLSWLRPVGWDAEGEAREIKSAIEKEKETTSGVGIWDMFRDPVDRRRTILA VCAVTLQAASGSMFIIAYKAYFLTMAKVSDPFAMSNVLSTIGIIAILLNSLIVVRYGR RRVLLATGLLGCCILQLIIAIVYHKNPGTSTTGKVVVALSCLYMMSYNGMIAPYAWLA GGEIPSQRLRSYTFGLAAAVGFFGAWLTTFTAPYFINPSSLNWGPRYGFIWAPSCIIA AVWVYFFLPELKGRTLEEIDEMFYAKLPARKFRTYICTGTSSSESEKKSIEVETMEKV 
SAPIO_CDS1878	MAGTNLRATLVALFAIFLASTYGPDVYRCLKTVGLLRKLENTAV ASSADFVRIEDTAQCEDVHLHEPSGLLFTACEDTIVPRSKWFPPLANFDDPSIAATSQ GSIHVIDPKTFKSQRLAIDNFNGPFITHGFDIVADPEQPEDAVYIFAVNHVPNPEYVA AKASKEGSQDPNTIPDKAHSRIELFHYVIGDSSVKHVRTISHPLIQTPNDVYAQSPNS IFVTNDHYYREGHMRAVEDLHYRAKWSTTIHLQISELESSDATAGVTGRVAAENLHNN NGLGHGRTPDEILIASCVSGTMHIAKLPADPTTSNITVVEDVIVDTIVDNPNYFADPY AKSTGENKSGYLLPGLSHAINIGHNIHEAEAVDGAMVYFAQKDPAVPGGWKKRLIFAD DGTRLRSVSASLMVAIDPAENDGKRQAWLFATGFVSSNMIAVKVDL
SAPIO_CDS1879	MQSPLQLRCIQARKTKSVSARPILKSTYHSYAGAAFLEFKNPLI FRHPLRTLEIPLPEGNFIRLMILEPGEDGDALSCTMKTMNLDNPVPYEAVSYVWGSTH RDHPIKVAGQTTYITTNLDSTLRRVRSRSRPRTLWVDSVCINQDDLPERGSQVLQMGK VYGKAKKVLMYLGEDGNSHGEAVKSLVSEIEDMVLEGIRGAGESWNSFPTLNSDDRER FLADTRWNSLIVMTHQPWFTRGWVIQESSLAADGLMLWGSSEIPWRAFLRTYTWMIRR LPHVRVKYGDGNHGMNRLHLELYRMHSQTETMPLYTKQASEFDFLIIPHDARALSVKD QRDRVHAFLSVASAAGLELRIWPDYSDGKKPQDVYLDMAREYINITGNVNILHCVQHT DDSLCDGFPSWVPRWDLNLFDNIITHTSAPTLIPADVRPSVSGSNVLNVKGVIFDEVI FTSEPLSRDVSIYDLKRIWDRVSDAHITSAYKSTYKALAFSQILSVGRSWGAEWPEWT IRSTKG
SAPIO_CDS1880	MHFSKITFFTFVASVLAVDLLTVQDYADFQISDGVAGNALAEVA AKFPVEEFRADLAAVSENDLAILKAARETAEDAETESGGFNDAIDAAGGKNTEEGAAL QIGKIKNKVLKLELFSLVLQIEQAQGADNQDKLDEELAKLAKNVATDEDSAGETSQSV NFQGSSQP
SAPIO_CDS1881	MATQAGEPLSQTVQSLMDMEEKYGAGTFGATPGFIVSGKGSTLI DVDGKEIIDFICMLSATNLGHCHPKMVKAMTKSINKITLTNFATHSADWPLVAKTLCE RFGYDKCATMVSGAESADAACKFASKWGIKKKGIAPEDVLVLGTSNNYHGVTAGIWPI MEPYSQRDYGVINRNITNISPHTGQPLRYGSVQDYEDAFKQFGHRVAGVIMECIHGRL PTFEEEIQFAIGVRQLCRKYNILFIADEVRMGAGKTGKFLCSDWLGPENKPDMVNMAK SITGGSYPASYILGNDDVMGPDMIKPYETGSTFCMAPAANIATLTALQIYDEENLLQR ATDIGNKFAEITKSWKHSFIKYVTNRGADASIYIKPGNVVTPRRIARLAFQRGVFIYP HGERLRIGFALNITDQEFDKGMEILKSVLDDIESYGEIPGSTHEAETPKQ
SAPIO_CDS1882	MPTRVIDVGDPANGKAIRLIYTQGMREQYIALSYCWGVIASDIL TLNAKTYDSMTHAVKESDLSKTHQEALQLARALGIQYVWIDALCIIQGDADDWERESK TMADVYGNATLTVIAGRSADSRKGFITNNLASKGRPSPCILPIDSSKNSGTLMVDLPR VSDVGPVSTRAWCFQERLSTRRSVIFGDEQLLFECVKDFNLENGVSKPNVRRPAFLRP STPVAPSSELQTVRDQVLKEWYQLLNQFTLCRLSNPHDIFAAIASLAQHASRILKSRY LAGIWECDIVRGLLWRPCHHFQQGPAGKIPTTRPKATQLTKETGVVVRAPSWSWAAVQ GPVSQASFSPPRIARHKDPGYTKVRPKYVNPQGKWTLDDRCGVGTLHMPSCELHLIGH IAQAAVLQKPVSGYLESRPRWSKIGKWGALRHGVLLADSKEIKTSEGENDETSSQIVA MGFFDVSEERHGVEEVWCLLLVLDQGLMLKRNVNGHAWTQASRIRGYGDKCDTKDRAA QYHASAPTLPLIANMLSELALLI
SAPIO_CDS1883	MHSLSRPSLLLLSLVSLFTGALGNPGCRPRPPRLNTARAIYFIT NEDNNGVAALPIGADGTLSQGTVTETGGAGSVSVDSNGDQALPDALIGQSALTVVGNH IFAVNAGSNTLSMLTISNSDPTQLALVGEPVAIPGEFPNTVSASLKNHLVCVGTTGAV SGISCSSFSRRGLGPMDGLRAFDLGQVTPPVGPTNTVSQTFFSADESLLFTTVKGDPP TNKTGFFSVFPVEQASSRRGRGRGRGRVATLGTTDTRSVPDGSAVLFGSQVIPGTSNV FVTDAAFGAAILSVDPQTRQATTAARVAIDGQVATCWATISSTTGTGFVTDVANNRLV EVSLQDASIVSQLDLSSNGDPGLIDLRAGGDFIYALSPGNGSTESAVTVVDLSGGPGS AKMVQHFGLGTIAGPRAQGMAVLQ
SAPIO_CDS1884	MTGGDDENRPSFSKFWKRTKAAGKQTQFVFVSPEGSSKDEAQTP TAEEKAQARRAQVRKAQTEHRQRKVNYVKKLELDVNRLRDAIAEAEVDVAKLRKENAE MKSGLASIDPETAWKNKPLPSLPGIAEGGAQQLDDLGDLADLDLNAFDFSLPLDSASI SSSDMLACLGMDDTMQKPCYRIASSPSRSLPTSSGSPWTFPNSPPLSTQKEDLAINFI LALEHVCWDHLHLTNFPAHSDDADDQCGHTLLASTFCLASAPSSVYDDRAQFQQTPSE APSSPWPTAGLTLQNLHRLAASLHLDDKELTPVQGWFELASRYTEDVLLNKSVMESLK LDIHDLSIAELQRHMIDGKFSAWDLTECYLERIRRLNPVLKAVIEVNPDALTIADERD IERKKGTMRGPLHGIPYLVKDNICTKDKMQTTAGSRALIGTAVDSDAVVVAKLREAGA VLLGHANLSEWACMRTSYYSEGYSGRGGQCRNPHNLAEHPGGSSSGSAVAVAISMCAF ALGTETDGSVTYPSDRNGVVGLKPTLGVTSRRGVIPESHNLDVVGPIAKNVEDAAVVL SVISGECDQEAPVGVKGSEICNALDKGAIDRIRKSGAEVFEDVDFPSAEDIIPPVGWD WNYPREPSKSEFTVVKTDFYNQLKAYLASLAENPWNLESLEDIIEFNKKNAEDEGGLP GLHGAWPMGQDNFHRCADSRGIEDEAYHSAVDYIRQKSREEGIDAVLRSKGGLLDGIL VPIQADGGVANQVAAKAGYPAITIPVAVNKDDDVPFGLVIIQTAGREDLLIKYGSAIE HLIRGRPRARFLNIDADNYMYVGVPPDGGDDARMSSSD
SAPIO_CDS1886	MLFKGKQRTTTCPHLPGGASPAKLIAGAGAETKNGAGEAVQTAQ TCEVCAQEKKAARVYRAKIILGLVLPFTVQAFDMTIIASPLPWIAVEFSEITQLNWIV TAFNLTSAAFIPAWGHFADIFGRHWSLQAAVFIVMGVGAAGIGVIIRVILADKVSLKE NAKNNSIFSLVAGVSSGAGPVLGGVLTRANWRWCFGINLPACAVTFIIVFLIRSVLLG PQPIPGLDEHGTGGQSPLARRLKTIDVGGEILSISGVGLMILAFTWAGATYDWDNAAV LVPLIIGALMTAGFILWQYMMATGKLLSQLFPLQRPMLAWELLRQRNISLLFFINFAT GVGMYAVLYFVNLYFTMVRGHNASSAGVQLLYYTPGLGVGAYLAMYMCNVWPRNTWWP ILLGSTIECAGVAALAYAISIDHKRTVYGMMALAGVGTGLRFMPGTIHAVGFCPGHIS SIVAFMGIAMPFGGILGMTIMSTVFNNTSHLSRNSPYRDFSALPQLPADILRQVREDA KMGIVWAFVAITPILFMCMITALALGNVNITKDDIHSGDDAYKANLTEESYLYTILGR RSQKTTE
SAPIO_CDS1887	MSTNDDTQVLLQMVTLSKRLSAKAGENVTNELRSLRQRDQENCA FINRLTKDKSTLLQQSEAAVDENEKLKKEVESLNVRVTQAENDLDKHRRSLREATAKV EELDQYRVELKDETEDNILERWIQIWNLVNQLATDYFSENLDPDTLRSHSDWEPPTSH NFPKKFPAPPTNLEKAKQMRIVGVDSIIASALTRHIFRPIGRDDDFVAALSELAVSNP NMESFCRSSHFAVMEKLPRYRDSVIDKAVKAAVRDVIKGVSPILSDATIRQFRIDLED TCRFAEREWQVFQRYKKRYEVDMEGDKEFYFPVPLWQPSEGNDSREVNRSETKSAQDQ AGNGQKGKQLPLTERRPDLGAIVTSVWPLLRVVEANGANPLFSGWALFWDQAKVAQEQ VKKANREAARSVNKQDETKRSPRPLFHAAFSAGSPKST
SAPIO_CDS1888	MEALGLVGSIIAIIGAVSASYGTISKITDLPQAFKKVKEALPLA QKLLDDVETTLRNSRLSEEQRKSVQDILNACNKDAKEMERIFRTLERKFKEDRGATSW SVSVRGWYRTALRSVKAHRVEDLMKSILGGLEKLALNQVFQLATTGDLEAIKTAIDEL STVPPSLDDSEFDAFGQMHAHMDVSDNATAQQINAQGGCHTFNSGRNVFSGPIQSLSF PSTQRQEILELLSSDYEASKDFNVERTRGTCMWIFDDPKFKQWRDSGSSGILWISLGP GCGKTVLSRVLVDDRLGCTTTARTICHFFFRKDDERRIKASQCLCAILHQIFTQTPGA NLIRHAVHAYEQHGNMLCQNFSVLWKILVECIRSPESGKIVFIIDALDECHGTARHQL LDKFQHLYREEQSSPRANLNLLITSRPYDDIISTFEEFPATAASRIREDEKIADISRD IDLVIDRQVENLASRLHHRDRQRLSKQLKEMKNRTYLWLHLIFGIIKKRMSAYGKSSS ILLLLESIPTEISEAYEEILRRNQDEKLTDTLLRIVLAAVEPLTLQEANIALTLAGKK LWRSIDELEEDMWPSEDFKRIIENATGLFIRGRGPADRQIHSASTPALIGGFTIVHRK PTRQRRGGPKLAFSAPEKEGKLWAGFPRLGTALMGFNRWNDLAAVSHPGLQPVVEEML STEGAGDINAPMGSSGIASISHLARAIET
SAPIO_CDS1889	MWDNCWILFFCCFGEIAAPPALSLENAVNVGSYILTPSGGGSLP IDLWTDSQCRRGDFEGMVVAFLLSESLLREMRDDTQVKLGQGNFHAKLPSSYGRCGVE GTCQYGRCSTVYEEAQSKGVGGPVIGKMFLFYGVAGGAWPDLTVAYCAEILPYNIRAK RLAVNLAMVSLAAVVKQDANPVRLSDLQWYSTLEEIADRFEGEDPYVAHIKDH
SAPIO_CDS1890	MLTALTASVVTLLCTANGAASRDLTFISDWGENPSGLEMRAYFP DPLPESPDVILALHACSLSGIQYEEENNYTVYADETGFIALFPSTLNQGNCWDVANPD SLTCGSGGSGSAGLINMIQWSISEYNADPARIFFTGSSSGCMMTNVMCGKYPDVFAAA SRYSGFPAGCLAGAAGSSPGTADPACALGEARSSEEWAQAVWGMYPGYNGTYPRTMIW HGTADEMVLYPNLAETLKQWSTVFDVPFTKNVTDDPYPGYTKMLYGDGTQLLGYSAEG VGHMSLQMWNRS
SAPIO_CDS1891	MLCPGPPATIVPAGSMKWDATQRKRVVFNFTAADAVVAAAEANG QMMRCHTPLWHLSTPSWVTEGGFDNETLIDIMTDHIRVVLPHYRGRCYAWDVVNEAVY NNGSWHNSVFYDTIGPAYVPIAFAAAAEADPHAKLYLNDFAIEVPGPKADATYEIAKM VRDYGVKIDGIGIQGHIDLSGMPLDDHYELGSRPTYDDLVEVMTQYASLGIELAITEL DVAVLTPVTAENATIYETQAEVYYNVTAACLTFEECVGITIWDWTDRYTWVPDVFPDM GDALVWDKDLKKKPAYGAS
SAPIO_CDS1892	MDFSFSSNLEHPPTTFVEGDELFPDNVETPQQNEPFPSADFDPT AFDLSEVFSLQDADPDPLQDFMALDRSFADIPMLDALSVEGNNEALIDFIDPESLTSF PFPSIPGADGSWPGSMDALNLGLSPDQAMDIDGADLTFTPLPPTYGDSAGYAFQPPLT SFDSVLVPSLSLEEQTANQHLGNVNLPSLMIDSSEGTFAQPGPNRLMAKPFRGGTLFP DDTSPCHLGEEAEDVVPFLQPRQRRKRKRGQEAFMPSVLLILANVEKKLVEIDPSGGL VGLPVPVHPEIPQKEHWVRLALLFCGSFGALGDASYNYKAQIADILGGGENTRRGWEI FVEEAVQSLAWFSCRYIELCLFRYLQCAANNDGEMTQGTKTNISCMMLVLISLTENPM DDGAPLNPTQIEFIDRAKRVRMALWVYASIAIDKLPAWSNFWQTFAPKQFNPLHLARL FQDNLTNFDTEMGSIQEMASSHWKEYTDSLSLIASLLEQSHHQYPVYHNVPEWWEDDM TNGMLGSIQLALLDPKKLSDRRMELERALSGGVFSANTPKQQRVAAIRMFRFTEIFSF GHADSINAVEIRNLLSLAVIVRNRYCDMDTYQTLRIATPTELFADVSLLIDAVLAEAD VARPNLDDMAQCSAGPSNIQPDNTSTERGLGAYELRLLRSGKRWMELKDMLGEGVLLA LSYPEPRPPLLRLDIDSIVDHGTNDEFSQLKNLLKTKCPWLKTACSKLNGLVLQLVSL DSVPGSDELRSLWKEGTEAVERAFGELSLLREAAIELSGEDRPADRMISLLLSLLPRR EELSERSNQMRNNFVASFRHSMLKLNGTENWDGEIEIRLIREALDEASDKFLTAYRSS LDEGKVGKECAINIMRARLRRAMDLFSATLSDMGASAIQSSGSETEDVYRRQKERIHS ELIPALSRIFA
SAPIO_CDS1893	MFGFDEAKDRHGDLYGDQHQGKFSHEAVAGGAAFEAMKLFLDRQ RKQGGPVKHALAKELLMGVAGAEVDKVMETKGMDYLDRESVKRDAQRQAEQMYDDQYG DMDEFDPKRFA
SAPIO_CDS1894	MHTSGSEATLGDLVSVAQGQNKHKNTETFHQGGKYHASSSDTDV EVGEQQDVGNNEKDFIQKRLTLSFKSLLVLGRPGAGCTSLLRVLSNHRESFSEIRGQV SYGSMTHKEAKRFGQQIVFNTEDDIHFPTMTVNQTMKFALRNKVPRERPEHVEKKTQF VQDKRTGILESLGIGHTQKTLVGNEFIRGVSGGERKRVSLAEVLASQSPVQFWDQPTR GLDAKTAFEFVAMLREEADRNSRTVVATMYQAGNSIYDSFDKVLVLAEGRVIYYGPRQ RAKSYFEELGFVCPRGANVADFLTSVTVVTEAMVAPGFEGRVPATPEEFEKVYKESSV YQQAVEHMRDPTTLSDETEELEEAVQREKKRRHVGGKRSVYTVGLKEQVVNCTIRQFQ IMMGDRLSLAVKVVSAIIQALVCGSLFYNLPETSVSIFLRPGVLFFPILYFLLESLSE TTASFMGRPILVRHKRFGFYRPTAFCIANAITDIPIVMVQVTCFSLILYFMSNLQVDA GKFFTFWIVVNASTLCFIQLFRMVGALCGRFGTASQVSGLLSTIFFVYSGYLIPYKKM HVWFRWIFYLNSGAYAFESLMANEFGGVELQCVAPQYVPFGGAYDSEPASNRGCTVLG SDAEGLINGSAYIREQHHYSTGHIWRGFGVLIGFWIFFIGATALGFELRNNQGGASVL QYKRNLRNKKRNGDVEATASGLADREQRAPPTTQEVKQSTFSWQNLDYYVKYHGQQKQ LLDKVFGYVKPGNLVALMGSSGAGKTTLLDVLAQRKDAGEIYGSILIDGKPQGISFQR TTGYCEQMDVHEATATVREALVFSALLRQPRHVPDREKIEYVDHIIELLELQDISDAL IGVPGAGLSIEQRKRVTLGVELVAKPTLLFLDEPTSGLDGQSAYNIIRFLRRLVDGGQ AVLCTIHQPSAVLFEAFDSLLLLAKGGRMAYFGETGEDSDKVLSYFAQHGAPCPEDAN PAEHIVETIQGNSEVEIDWVDVWSRSEERQRALEELETLNAKALEGSQGEEDTADFAT SKWFQFRMVLHRLMVQLWRSPDYVWNKINLHVFAALFSGFTFWMIGNGSFDLQLRLFA IFNFIFVAPGCINQMQPFFLRNRDLFETREKKSKTYHWVAFIGAQAVSEIPYLILCAT LYFCCWYFTAGFPVEARISGHIYLQMIFYEFLYTSIGQAIAAYAPNEYFAAIMNPLLI GCGMISFCGVVVPYTLMQPFWKYWIYYLDPFHYLVGGLLGTVIWDVQVHCRPEELTTF DPPSGLTCGEYMSDFLGSNSGYIENMNATSQCQYCPYSTGADYAKIFNLNEEYYAWRD TGITALFCLSSYAVVFLMMKLRSKKTKSARME
SAPIO_CDS1895	MSKCSSKIYDDQHHSILPLWHAANEIRRELHEFAEQQRKDMNFG LVGDPNTGELGGIKYHLFFLEGASYVLAFDMLQDKPSCDRNLPWIITALKCIKSILQK GNSTSVTMNPLMVAIERMITSVLPDFRLDAALASIQDGGSHTVPPTQHESAASEVPGP NLTYPVPDSTCLYPSMPFGFDINGPLVAQSPVMGSLDDQVDITAADMGWNFDLGTMDM EAFLSIDANQQFNFST
SAPIO_CDS1897	MVNLRRAAIFLISLVPFAAGAFVQSEGSEANASRSEKSTGIPGK YIITLKEGTTDATTASHLAWVQNVHKRSLDGRNLKGVEKTYKAARFRAYAGEFDDATI EQIRNHPEVEYVEPDQIFRITAVASQQEAEWGLGTLSSRTPGSKIYTYDSSAGKGGYA YIIDTGLFNQHPEFNDGRAQLGQNFVPFTRFVDNAGHGTHVAGTIGGKTYGVAKAATL VSVKVLDNEETDGSIVLQGLQWAIRDIVDKGRLGKSVINMSLGGNRLYALNALVRTAY DAGIPVVAAAGNDDTLASQSSPGSQPNAITVGAIDENWEEAWFSNYGRTVDILAPGVN ILSAWRQVNATGPNTLTLNGTSMAAPHITGLSVYLMIAENITTPDALTARLKALGTKN KAKKLKYETPNLIAYNGIA
SAPIO_CDS1898	MRFTTGLLATAALGLPALGLAGGKGGKGGHGGQCSKPLVSSKKL QQHIKLKDLLAGSQKLQDFADANGGNRVFGSGGHNATVDYIYDTLNSLNYYNVVKQPF NEIFFDGDATISVDGKELNSTIMTYSPAGTVSAKLVLATGLGCEASEFPAEVEGNIAL VSRGTCTFGAKATNAKTAGAAGLIVYNNVEGTLSGTLGDAFGEYAPVVGVSQADGEAL VAAVGAGEVTVDFKVEATVEDRVNFNVIAETKGGDHNNVLVLGGHSDSVAAGPGVNDD GSGSIGILNVAIALSKFSVKNAIRFAWWGAEEYGKLGSYYYIKQLNTSSTELAKIRAY LNFDMIASPNYIYGIYDGNGDAFNLTGPAGSDVIEKDFEDFYDHNRVGHVPTEFSGRS DYAAFIENGIPSGGLFTGAEGLKTEAEAKLFGGEVGVAYDVNYHQKGDDINNLAHDAY LLNTKSIANSVAKYALSFDSLPAVNKAKRGWSEERTKFVKREDGAGHGHYAHDHVGPC GGGAAI
SAPIO_CDS1899	MRPSIISLFLAAGATALPRRPCKRPGQGQNLSAFSAHRGEVDVP EVEVTRARPSVSKGARPQTSPEVSIPKTSPSQTPATNDEPESDVSAPAPSAPVATVPE ESTSEESAPAAPVEAPASGGTSSVSGLPSSWKPGAKWQIVIDDPVDITKPLNPPDAQV WVVDYFHSVENPDIIPKLKSPSAGVDNTVICYINLGAIQESESDYPSFPKDAIGNDYD GYPEWWIDTSRSDVIDFMKKRLAKAAEVGCDGIDGDNIDGWDSGSAGDKTGFALTKAE SINYIRTLATYAHSLKTQRGLPLLFGQKNSQQLIPDVADYVDFAVLEDCQGSGDWCGE FQGFITGAARSDGAKLPVFDIEYPDSGVDGDASIAQSDWDHYCNRDPAVVGNAGFSTI IKRTSGELDGWVQYCEDSASAGVYTTNMIQWN
SAPIO_CDS1900	MTTARVDFISDQALNQLKTLLHVGQDTLNDVAQDRILRGIKAGF QDMSYRYQVVDDPFKGTFEWIFDLDGESPEASQFTQWLSSGDGIFHICGKLGSGKSTL NAKGGRKLVMANFFFYALGSDPQHKSLMGLYRSLLYQILIKVPDLTKNLLPGQWTAVL SQPKIQSAYEILDDDIKLAYTPLSKQGDDDFLGEYCFGFFIDGLDEYQATTSVDHRKM VHCLKDLANSASDMFKLCISSRIENPFMDMFSEDARLYLHKLTRRDMKKYVQGNLKHI GTQERRRQLASAIT
SAPIO_CDS1901	MVSRLSAATALLGAYLSVVSAQTFQRLGACPDLGCVFPPDQADF LPGQFFDLRVEVHAPVNGSEAFNDGVPDKDFSVTVTKKGAASQKVTDFFGVEEPELET WKFSWYEDLFAEDAKQKSLVNVASKIYRRIVLKEPGEYEVTLSYYGNKKTVANWVVRP ISHKKKAKNIIFFIGDGMTTNMVTAARLLGHKSINGKYQSLLQLDKFPVLGHQMTHSI DSYITDSANSAASLYSGHKGTVNAMGVYVDSSPDAFDDPKVETITELISRIWGSAWGA VSTAALADATPAALTAHTRQRALYGPIIDQALNGITNYTWTPMNGPDVYFGGGAEQFY PGKGSYQGKDYYQEFANKGYTVSLNKTSLLAADPKEKALGIFCQSHFPVWLDRNVYTE NLKAFKNDPLGGDAPALDLPGLKEMTVKAVEILHNRGGDKGFFLMSEAASIDKQMHAL DYDRALGDLLELDDTVKATIDKLEELGVLDETLIVVSADHGHGFDVFGSADTKYLASQ TEDRKKRRAIGTYANSGLSKYTEETPGISYNTGANFPLNWTPRYAIAAGVAANPDHRE DFHLHEEGPRNPAKSIAGEYFVDPKDSPHGFVVNGTLSPVESVGVHSLTDVPVFAMGP CQNLFGGVYNNVDIFYKFAECFGLGLGKGNGTDNCTPKPPKGKGKKTH
SAPIO_CDS1902	MEPRAETGSHLPERTDPLTPGQEGFLAGSNVDTDIKMEDVSDAG AMRPPGPEAERPPVPPRGYGCEGARLDGNCKHLEDLKRHIENGQVLQLQLDRMREECN VLRRENNRLGELVEDKRATISSISSNASRERVAKESLARQLEVTKTNMSRQLEAAQTS LATSRSQLEHAEAEKNEFRRKWKQSAKELGQLTRTPQSASQVTDSDLDKWASQLRTAI RDFAIRYFESDGPFLNFQERFEMAQGAAGFKLMRETTAAAKDVEGYRKFKAWVVSTTK LFVELDEIEGEKEAMEKASKAAMQRLAKEILGIIGPFAKVDSGAENYGDFLREMEGVL ERAIAMDRDICRQAADVTWRFGPDVPCQFDAATMAVERGEMAPSADSAVIMVIAPAMY KKGRSNGDDLEKPRQLILPMEVTCRPVENA
SAPIO_CDS1903	MASDALVNLLSRARLGQSEDEDEILIIGIDFGTTFSGVAWATVD DLESDEIHLITTWPGTGREEGKVPTELFYEDGKTMWGFEVPRDGDPVRWFKLLLLKSE DIPSDLVESEFLIRARKMLRENGKTAVDLIADYLRCIWAHAMASIEKARGKSVVDAYQ FRVVITVPAIWKGYARQGMKDAARQAGILDYRAGGETELVFAPEPEAAALATLCEKGR KLNKDEVYVVCDAGGGTVDLISYQVASLDPIRLDEAVEGTGGLCGGIFIDEAFERICK ARLGRRWDRLSKAGIKEVMTGEWEHFVKPQLKADPANATKEYIISIPAEAFVDAELDD TSREPFIKNGRIHFKGDHLEQAFVEVLGEIGRLIDEQIDKSTAKGKKVKSVILVGGLG ASPFLYQFVEQRYAKKGISVLQSGGIKPRTAICRGAILKGILSGGTGSSIKVNGSASI VAGSKISRASFGDIFRTPWIDGMFPNEDKVWCPLEERYFAKNRMEWYIVRGSSIDEQE PVRHSYYRTYQEDFGGIFSVDVHQCEDELAPDRATKTVKHLCTIDCALDTPFSSLPNL KSSSGRVCKKLDFEIEAIPSGASVEFSVYVNGKRLGAQEAEIKFQ
SAPIO_CDS1904	MVPPTTPALNFTWDKFISRGDQIASTLLQSFVVLNATAAESYSP QDDTARNDGVQVEVEDVVNIKLDFAGCPIDPIPEDYHFDPQKRRDCSVACHNATHLFS SFSLYNCAVLATSAMLVQNGSLDADDKALKRYDSELHFGSLETFAWADVLENMARCTA ATCETGDYGECRYDDYYDALLETSWSDISVKDGLRAMGLLLREFDWLCTPRTEGSDDS DVDIGGPGVLIGYFIQISIAILFFMFANVLIYWTKLPDFLISTLSKKPKEETLGGLAQ VQSRRPIGTVLDYIRNSRVATALFTTMADFQEVQSFFMIAIQLATIIIYGPSWAPKEF TSARSSAFFAATHVLLVLMIQSSLQRLGVRWWYTFLLSLAVYVLGVIIDQSHLAKYAV DPLPECGGNLNMHPLRLSPPDGDDTTIPSIYGRRLARQCIAVSHLFISILAIDQLIHS SLFGKLRQKMDLERYPIVTVILHLRWAVLDLIMVVFLLRYIISIDYSIGLTFIAPGVW DYGQIIAILVWAPVFAKWMYSSICEYSTPPCSSGRAHDSS
SAPIO_CDS1905	MFIYICDNKHTTPRLFTRYPVSVWGLWFAVPLLAVACISEIALK LAAPRESMLDDYISESWVRFGPQEEIEPKMELWPSSITQQELVFQVVAAGIALFEAVM LAFFVARVVWRKPFNMPFKGRIALLIAMALSELLALTSMIFIFVLNHKSARFDEAYAF QEASRADKTFVYDKGTFTTEMWACSVKRMHTFDARFRGLPSQACRYEMAAKWLTVAIA ALLLLVFELVFRDRVDGRGLFAVPRFGASARPGGAAPDGLNAAPATAIRSTEDDVVRL EPLSDTLLDSN
SAPIO_CDS1906	MESPKRILFFTNSDYGQANVVLATAHALLHLAQDIEIHIAAFHA LKDAVDATSNLALQDLPEPRVAKPIVFHGIEGISWGPASFRPETGVAAAYDLKPGLIN SATCISRIPAIMLPWRPDEFMGIYWEAKRVMEEVKPGLIVVEPLFTPGLTLCHQLKVN WTVLAPNTIKDFAIPKQPKLAMLWKYPLVCSAMPFPLPLSLIPRNIALNLVAGYALLT DTRIKDITHALHRDVDPSITLITANELGVFKAPPPGLRILVANSPDIDYPFDVLPEYT IPCGPIVRAAPPVASVDPALAEWLGRGPTVFVSLGTHLKASPAEALEMALAFRAVLDR AEGEGRKPLQILWKLGRKPEIEGEKLERDVYDGPWKLVVDVLKPELDAGRIHITEWVT AEPKSVLESGHIVCSVNHGGASSFYEALCAGVPQVLLPPWSDCYDFANRVELLGVGRW ANKKAKPRWEKTELAAYLEEVLFGPESANILARARELAARHPEGEGREKAAREILALV 
SAPIO_CDS1908	MSVRFDPASLAILDRINHAINLLESQQQVSAVIPHRPGPGLTDA SPASSTQLGQSVVSNATPLPQSELDEDSLDVPGDPATLINCEAILRWPIFRSCVPPDL QSFILDSDDAYSDTLGPSPAPQRGGVREEDFVKLSGRFLAYVHVKNPILDCSEFRSSV KEAADNGIGWDGPSCLVLIACALGCFEFGDYTIDERSANPRHRPEMKHCEVLGEEINI WCSHIPPPISSNVIEHPPNEFAHFIRNRALACREWIHRPFLYYAIHQPPDDPYIPHIL PLVEKCLEVCIQGQFEAYAFRRHHGTCCEEPKVEGPRRMERGGAVIGGNTQILGGGSI RFEMGVHSFRKNSG
SAPIO_CDS1909	MRATVDTEAILRSLTLEEKISLLAGGSFWETVAIPEKGIPSIKT TDGPNGTRGEDFDGNTSAACFPAASSIAASFDPEIARAVGFALAQEARSKGASCLLAP TVCIHRHPLGGRNFESYSEDPLLTGKLASSMIRGVQSLGVAATIKHFVANEQETDRMT VDETIGERALREIYLRPFEIAVKEAGPWALMSAYNKVNGEHCDGNEWLLSKVLREEWG WEGMVMSDWGGTNSLAGIKAGLELEMPGPAVVRKLDVVVAAVKRGEVQEEDIDKRVRT LLRFLVNLNAFENSGSPMREKAIDRPEHRKLIRDAGARGIVLLKNDNDILPLSLSKLK AKKVALIGFAKDALAHGGGSAAVNAHYKITPWDAFQNSFGNDVEFTYAKGAHRERLLA PLGKNDRRGTVIGLDDQPGFTLSFYDPSNPTSPLATKHGHEKSGYSPLGTQESLQKIL ELVGDFSPAETGNHCLAGSGLGETEVFIDDELVIKQEGNFSDPMGVLFNAQTEAEIRH TFTAGKTYRLRIRSYPPSNVGLKILEGRTGFRLGLSLASEHDADLQGEAVKVAQEADY AIVFTGHDPQWETEGRDQDSFHLPRQGSQDALVSAVAAVNSNTIVVNSTGVAVAMPWL DQVAGLLQTWFPGQECGNSIVDVLTGSVNPEGHLPTTFPRRIEDAPAYGNFPGTYDGN KQRKVTYAEGVFIGYRHYDRLSKDKVNFPFGFGLSYTSFCLGEMKVSRGYEDTFTVTY KVSNTGNTAGGVAVQVYAGKTQQSPEHPIKSLVAFQKVRLPAGADQMGLMVFKAKDFA YFDEARHAWVVEAGKYDISLGKSAADIAQVVSVQVEREIVYAP
SAPIO_CDS1910	MGLFGKKEAELAVGQGLVTVLPPNPKSWYRTPHLIKLNLVLLVP LFSSASVGYDVTYLCDRYGRKFPLYIGFAGAIGFAILQGLSNNVAIGKFTALYQTCYY FGAIFAAWCTYGTFRLDSTWSWRIPSILQCGIPVIQACGLYFLPESPRWLASRGRREE ARQILADWHAGGDLDSPLVAFELNEIEAAIQEECEVLSQVSWLELFRTPANRKRTLIA VLVGWFAQWNGVGVISYYLTLVLNTVGITEVKDQTLINGLLQIFNWLASVFAGAMMVD RLGRRTLFLASSVGMLAGYIVWTGLTSSFVSTKSESTGRAVVALIFIYYFFYDIAFSP LLQAYTVEIFPYTLRSRGLSAMYITTFIGLIVGNQVNPIAMKAIGWKYYIVFCCLLAV LVVIVWFLFPETKGHTLEEIREVFEGKLNASQDKLGSAETGEANEKGHEEADEKEARI EKIE
SAPIO_CDS1911	MSEKPTIRWGIIGTGLISSWFAADLSIPRPDAEANHIIQAIGSS SHEKGKKFVETHIPGTSPAIGTYEQVYADPNVDIVYIGTPHAFHHQNCLDAIRHGKHI LCEKAFTLNVAEASEVFEAAVKKGHRGRKRVFCDFGMKQDTSALPADSRLKNPALGAG SLLDIGIYSVTWGLIGLGQQTEKPKIAAWQHLIDGVDIASSMILSFPQGQQGILTSTT FTKTPSSFCRIEGTRGAVVVEGFVTSLPQSFTVYLDGDEEPKKHEFKQPGKGFYWEAD AVAVDIAAGRNQNEVMPCAETIRVLEILDEVRRQGGARIPQEA
SAPIO_CDS1912	MPRIPSNGVSASSKPRILRVGIIGCGEVAQVIHIPTLNFLSHCY RITFLCDISLQALEHCAKKIPGAAPSTTTDPEELCSSPDVDVVLVCNANAYHVEHGLL ALKHDRHCFIEKPLALNFRDVDRIIEAEKASEGNVFVGTMRRYAAAFLDALEEVGGFE KILYARIRDIVGPNANSVSQSATYPVRFSDFTDEDIRELLRRDTDIEEQALGTEFGVP VTPKSRWMLRLLGGLGTHDLSAMREIVGMPQSVAGAVLTFPGIFSVLFQYGGFPVAYE SGLHSVPEFDAHIEVYSQDKIIRVNYDTPYIKGLPITMTVRERVGEAVWQERTIRKTY EDAYTLQFLELYSCIINNRTPKTSATDARKDIELFQMILRAGAETYKAEAVASNGEVS GVH
SAPIO_CDS1913	MPKRIISPRTKMQAPTLRAVLVAAAFFFSIQTVGARRGGGSSGG SDSGGDSGDDNDSGSGSSGGGSSTPCVNSGIPLLTNLDITRFDNYTSDPAFGGAYFLG EASVNYTVQKEDDDDCTPVVGAKFPNRLLAAAFIAPQSPWPQGASNPIVLGFKGWPTD AAVEDIMTSYDRCDASPDMVFFRTTSWFSYTYPNNELRGVRDSVPLALSASGDSSKVD FTGTYVGGPQNRWNNISLAQWTQDRFSPPEGLCRGYTILDESIPVGTVVNGSISAGEV TLTAKGVMEGAIFGVNVSFVVDFRGTFAPANSTQAVRVSQGGDSIVSFEVINGKENGN GQGRLTALGPTAMIPLLVAAVLTPGLNAFTSLRHVFDSPRDAFSIQIRLEAGDTSVGN CLLKMSLRDSLDSSSSGLLLEKERNLIRGETMALTSATGLDHFLRS
SAPIO_CDS1914	MSWQRPEIGSRPILVLGAGVLGRRIACSFAAAGYNVHIYDLSPE CRQAGLDYVEGHKEEFNDVLLKTRRSHGSCTAFDSLNEAVKNAWLVIEAIPEKLPLKI DIYGQLDGIAPADCIFGSNSSSFKSSLMVEKVSDDRRALVCNVHYTMPPEVATVELMT DGYTHPEVFPFLTEVLKSCGMLPVTAKKESTGFIFNRLWAAIKREILTILAEGVSDPS QIDLLWKYMFKAQILPCQLMDQIGLDTVAFIEDNYIQERKLDGTLTVDWLRENYLSQG RTGDKSGKGGLYPPPSTANGCNGSSAKGSLYVLDVGLGANLKNIDEVATNGKILRVDP RTGKASPILTGLPMPDGIDVSESEGRIFWTNMGPSTSGRDGSVMSSKLDGSDVKTLVP SGDIYTPKQLVVAEKSRKVYFCDREGMTVNRCDFNGQNHEILVRRSAKTGDVDMTQWC VGISVDEDAGKIYWTQKGPSKASKGRIFRANIEIPKGETADSRSDIETLFENLPEPID LEIDPATKVLYWTDRGEHPRGSSLNRASVGGEGVKRAAPEILARHFHEPIGLRLDRDN GRVFVTDLGGRVYAVDEDTKEKKVVYKDHGSYTGITVV
SAPIO_CDS1915	MTTKRCSKCRDQRHLTEEHEEHEEYKDTGAIYRVIEAPLPGFAT KYGKDQFCLIVMQKIKGRRLDQAWIDLCLEECEEIAQEVVHVILKLQAASMNHRPVGP VGAGPYEMHYGLFFPMEGGGPFVNEQPVADDFNERLVMCIERKVLLEDTPFLRAYDLV LTHRNLATWTIFLENGTHQVYFTGWENAGFYPAGLDLAVLSRQPIGAWDVQFAEMVLG KFPEDKREAYDQLEMFDKAEFEMGLLPERSMHGRWVEDPCEQEF
SAPIO_CDS1917	MATKIHTLADVQKHDKPTDAWVVIHNKVYNITNYLEDHPGGGAV LLEVAGKDATAEFEEIGHSAEANDALVELCVGSLPQEEHAEEVETYRPQFQEIGYQAA VVSKKGNNTSGSLRRVAGAVVKIGLVGGIGAGIWAANTGKVDTQILRQVCQQITIPRS VPTGRFWWGFGLATVAETSASLGLFVWLWSKLDVQSEFTKKPPYRTARRDKIIPLPKG RIQTSSKAGSTSSTASSPSRVLEPQAWKPFKLVRKTLVSAGVYRLVFALPHFEDVLGL PTGQHIALRATINGQNVARSYTPISNNSDLGRIELLIRVYDKGLMTKHLEGMQIGETI DIRGPKGSMQYSDKYAKHIGMIAGGTGITPMYQLIRTICADESDDTKITLLYANNTED SILMRDELDGFALQCPEKFQVHYVLAKAPEGWKGATGFVTADLIKKHLHKADNDTRVL LCGPPPMIEATKKNLASLGFKLPGAMSKMTDQIFLF
SAPIO_CDS1918	MSSQNFYLLGDAITTSRPVAIDSNWKFEDLKRAVALEFHVAQPS GISFHSGDVQLETIKDVLSAPSPVSIKIDNRPVQDPQGPQGLPVVGSFYEIFPDHLGN HYRLFRKYGPVIKTTNMGKTTYLTDDPDVAQVSLIESTYFTKKITPNHPLWGIKDNTA IFIGDTETDNWRQAHKFLPPAMGPKAVKHYTPLMQECGRKSFAVFDELSNKGESWNVY QFMVKLASQAIGRFALGMDFGHFNSVDAPIHPLVTNIVTLLALNKKVTTRGEWYRNLP FGDSARLKQVQKATYHQLEEAMEGAPKAGIENLPLNDAAVKAACVADYLVNAVDEKGE HFPKGLVLGNMLIVTGAGYTTTSALMSWLIYSIVTYPGIQDKLLQELIDYGIGPGTEW SPELAHGLPYLEKFVKETQRLHNASFQPGRTTKSEVIVPGGYRLPADAVIVPALYAIH TNPDIWRDPFRFDPDRWDTEEVKNRHRCAYVPFATGSRGCIGFNFALLEVKILMSELV YRYEFAREGLEAIEYDPEFQLIRPLNFYVTAKRRTQWPSKSA
SAPIO_CDS1919	MDSSTPLTNGPQSPTSSSSSRIATDGTHGYDTAAVVQLLKTKRK PRTTKSCFPCRYRKVRCDGRVPCSSCIRRDHAALCRTANPSTGQRSSAQIPEKRTSSP ASSIEETIAQFGDNLGREAVLRNGQTGQVPDVNNVISRLEQIEERISALKSDLLQHGT TSSDVAHTFLERLDPLNQREPAAAPTADQFGSTYRFPPLSAAMSGNHFVEAATGATIF IGDRSDPPLALGCQKLPASGPLDGLQGPGQDQLTPKTYAFANLWAPETSLEDIGRALP DDSDIIRYWQIYQTYVHPFYPALIDPEHFGTLLFSFLDHRLSGRAERVLPEGTSPSWL GLLFSVLGCGAQFSTDPIKERDLRSKVFVYRTPRGTSVPVDTWSHELREPSKAAWMLI WQDTFISLTYDRPPANTYSHATIPEDYSPGGGQTFANCVLRLCQIALDRASEEMSTTP SEVNTIRNLLRYKQRFNVVMEEAQLFLVDKAQCKTLQDHLERLALHIHVGYLTCRIHR LCLESNDPAADQVTRDSLASEYLSHAIQVVQSFLDMYRLSSIVCRSWAFVHNVASSCI PLRNLTSVSPQVLEELSQQFRPLVQRLVAVLEDEAKQSEWYDSDTNVRQYGPYSRVVK ALKQTYEDI
SAPIO_CDS1920	MKPSYIVSAAVVLLSRPAAAAWRAAGDKTIQYSSVPGFFLQDDN STDPSTFDYASVNFGLINRTYPTDKRFDPEGTATLWERFEYYVASLNSGCRPKDNVRY KVLFMGRHGEGWHNAAETFYGTPAWNCYWAEQNGNGTAVWADPLLTPAGEVEAYKANA YFKDRYETQNMPYFESYYSSPLSRCTITANLTFGDIDVPEDRPFAPIVKEGFREGMTV HTCNWRSNKTYIEEMFPWYKFEDGFTEEDELWKSDKSETSEAQDARSKAVLDDVFRTD DKTWISITSHSGQIARLLTALNHRAFRLSTGQIIPVLVKAKLVERKPDATFDAYEPYS TCDAPPVTSISGQGCVCSATSSGLPTATATAI
SAPIO_CDS1921	MRLLAIDQNGELSFTKDLGGNIPSYAILSHTWSTNAQDEVTFKD IENGTWKRKLAHGKIEFCIEQARRDGLQYLWVDTCCIDRSDPEELRGSINSMFQWYSD SAQCYVYLSDVSKPAGQAGDDQPWKAAFQRSRWFTRGWTLQELLAPGSIQFFSREGQH LGDMESLEQEIHNVTKIPISALRQTTSLGRFDVEERFGWAEKRQTLHPEDWAYSLLGL FGVFIQPMYGEGRDSAVKRLREAIESAPERREYLRKFQTCPYQDRKDRNPLAADGTLE WFTNHSLFQQWNQSPSEHILLVSGEPGSGKSVLARHLVDEVLPSTTMRTTCYFFFKED YEDQRSPAAALCSILHQLFDQYPASLSPKILKDLRLKRDLNSFFASFSDLWAKLVEVT NTARTKREVVCVLDGLDECEVDGRNQLLDAISEFPHAPLVTPSLKFLLISRPDAEIKR RLEHPERDLPMIHLGGENEETGVDTFIKTEVKDISQAFELGKEEQNALIEEITSVPKR TYLWAHLVLSEIRNLTRSTIPSYKAPRTVSEAYDRILLESKNISLAKKLLHIVVAAAR PLTLQEITLALVIGPKHKKIGEIPQMSAEKAHQQIESIGGAFVVISDHEVYLLHHTAR EFLIASLSGASSPAGSSSTMPGSAPSLAPEVSHRVLAEICVQRLSLSDNFDLDDFKAS THPVQYMARHTFLQYAAGHWADHFRQVTWTDQDWELGRAVIYCHPHLQTSVWFEIYRR FTRKEVPGDFTPLLVASYFGLDQVMKHLTQNPILDVNFQDSKYGRSALSWAAMGGYDK VLQYLLRGGMIRRLLGAVAAVDVKDDSGCMPLHLASERGHSAVVRQLLDAGADAKAKD NIDRTPLHYACEQGNETVVQLLLEADADPNAKDGLKSAPLENACKQGHVVVVRLLLEA GADPNAKAGLGMTALHWSAGRHSSQHVAIVELLLEAGADVNAEDGLLRFTPLMVASRE GLKSVAERLRLASRVRPQRSE
SAPIO_CDS1922	MSCQCGIRKIVVMSGIWVMALLSFIAEAHPYTDAAVPSTVARIA AAVIPPLPTVMPEVQELLRRQAVTSSTSYEVWDAKGPTCGYLSGTPGAALSCGGLSTC MFLPHLRAQWCCGLTDCEAYLSCLDAVSVADPQICDDVCHQDEFMLKCQSNAPFCKTL LYPSGIKGMTCVTTSGVRTASVDFTYTGWAREPPLVTATMIVEPTRTTLIYPSSSTIR SSSSTSSSAVSSPVTSTAPPAPEPEKSNDVGAIVGGTVGGVAVVGIIILAAIYFWRRE KRNKEPVERTSMVSPGGTYPPYTPSHPGSAFPQSPSSPADSSQRWSQAQPFTFVGGPE GQDMTQVNQPAGQMYPTNPLPVYQTQFAQPQTTPSPPIQPQPVQPQPVQSHPSQPQPA QQPIYTEQNQSPPLGHPYEVRH
SAPIO_CDS1923	MSTVPNERLNLLLKIYEPFSKLDKDSIEYRELSESGKVWEDYFQ PGDSERYGYLKAQKEGKPLLDAIDCFRDAMKTPVTGLAKDMVHSFALQSVQIENNQMS VTQAGKLDEYLRQNVLSRYPLSEMSAAEISKISLPDVKFLFPKTHVNQINELRNHLVA SHWIAETSPAKAGTGFDEDEIQNLAALTMRDLGYGDYYLAPFGPRVKFGEYRSTPIGV SSNPMRVFPYHDEVPGCMERFFKWRQTAQNEGKLHPIILACQIVAYFLHIHPFPDGNG RVSRMIMQDYMLRRGYLPVYLQALQPGEYRKMISDAQDGHPEQFVNRVLTSQLEQLTT LKTEELM
SAPIO_CDS1924	MAEHADVTIRAHWRSFIACGVAALTPFQYGLDFGLIGGLQAMPG FLQIYGHRAPETAIGWNISTTRQQLISSLMTLGAFTITGFAGLIAIKFGPLYVGRLLI GFANGSFVIFSQLYIQEISPAKYRALFFNAFQFCVSLGMLIGTVVDWATAKRPDRSSY LIPLAVIYVIPAFLAVCLFFIPESPRWLIERGQFDEGCKSLYWLRPVKEDAEHEAAEI QRAIELERESKSDARFWDMIMNPIDRRRTLLSIGAVSLQNVPGSMFIIRNAIGLVGLL ASASIVVRYGRRRILLTGSLFICGCLQLMMAVTYDKHPGTPAAGKAIVALCSVFMFVY VGGVGPYSYLVGGELPSQRLRSYTFGVSSAIGFLLTWIITFTAPYFINPDALNWGPRY GYIWFPSSVIGALWTFFFLPELKGRTLEEIDEMFNAKLPARKFRTYQCSVLVDSREKK VSIDEPVVEVEDCRHK
SAPIO_CDS1925	MEGEVSKINKRPFYFVSEEEYRLLHQLCTKSFPGQDLSIPNLRR LASQTQNATVGTPDFAPTPSTVDAEDGSGEASSEDAISLRAGRSDIPLPEIVNIHQDL GCLIVDGQGEHRYVGPDSGHSFNTAVRSWTLNISNLTDKEILSPMVRVTAPAASPPSE SRALAQNPNSLPTEDIVQSCASRYFEEVHSMYWLYSTEEFYTRLEATYSRSRTQQSGS WLCSLHSIVALGASSIQLPESPHNEELGRKSLEAAKLLVSTVCDQSDLDGVRAYILLS LALQFHGYLHQAYMYVGIATRIAFSLGLHLDKYATKHNVVSKTHGRRLWWSLFLFDHD ISLQLGKPSMSGPTYASWNPPLPSEEIVGPGSFTPNEHLEHCVGLAQLAKEVRHNLYT RPIHEGVQLSQSNFEVSLKSLKQWLDMLPQHLHIAPSVPPFHRRLVAMLHLRYWSTVM LVTRPFLLCSLLRVNRLSDVKKRQYIDELARKCVSAAQASIEIFEDMVRESVVSSLVM MDFFFALQVVQVILAAAAVYCPETYKGQAKRCIAILRAIATSGYPKHLLPETIFQLQR AGVFDDALFSRELRDGLHTSIDGSLQRYTRAVETPDVESDWRLLTDFDFFDVTVEEDF MDQLMRISD
SAPIO_CDS1926	MEESAAGVYQKLNKSQRQFRLLHLQPTNAFNQDDSADNIVGELS VESLDDPPDYEALSYVWGDPGNCVDITVNGFDFPATRNLYQALKRLVFKDQVRVLWVD AICINQADFEERSQQVGEMRHIYQSAKSVVAFLGDPYGGIEIALRYLTLSAEDDSRHM QRGLGSHLSVGDLDAHSDELAIALIKLFYLPWWKRVWTVQEFLLAREVIFYGGQHVIP ASILARGASNLINHGRLCCDPEAGSFSRKFSVDEDDDISHDVWHGINNFLGLTAWSGA SPDSRLFHWVLCVFRMRLATDPRDKVYGLLGLAPEELDGLISLDYAADVERVFETFTV EYVQYYKNLGLLSSLGGQRRLPNLPSFCPDWTIRPGNDASNDETMMVQVANRIAVQQF HCASGVSKATWRRIGPGAIAANGFVFDEIRDVSPECWRQSQERRRQWAKDIRALAGQV ETRTFLRALCGELTFSVERQIFEPVRDGEGDVEGRLEKWWKWILSGENNATRDKDVIS VEQAVFTISAGRSFVVTQKGYIGYADQHCRPGHVVSILGGGHAPFVLAPTLSGEGEPR HRVIGDAYIYGIMQGEAFGLAARQADQLDEIILI
SAPIO_CDS1928	MVDQDSYLHRGDGVRLDVVVVGAGLGGLLAAIGLALDNHRVTIL EQATSFGEVGAGMRIPPNCFKILRRWGVDITYLKKTYSNGNRFLRYDDGSTLADMPHG VPELDFGGSYLMVHRADYHSVLLDRARALGVVIRGGHRVEEYDWNTPAAIVQGGLRVP ADLIVIADGVQSSARAAFQGHELPPTDTGDIVYRILIPGQDLLSDPDMRDLISQPWVT SWCGPDAHVIGYPVRGGEVYNVVFCCSEKSMQDRPFQPGENKLVISDNSELRRRFSDW EPRVKKLIEHSGKEFLKWRLYDLDLVDNWVYPTGKAVLLGDAVHPMLPYMSSGAAMAC EDAAVLRKVLSTATKDSLQTALQKYQSLRQPRASKVQKAGRVLQEAYHLPDGEAQRDR DIWILKDDERNPIYWGHKARRQWLFGHDAEDF
SAPIO_CDS1931	MNRSPLKRVGTGSTTIHLDEMAKQYSQARDHFIQLILPRFERRN ADQRKFYLVKSIIKYLRETGPQQDPILRGWTSAFDRLWKSSFYMIDVSPPQLTATELA PRITLFLILVYLNCPEEIVRFRDGAIDDRALPFTKEILENAVSAHHSYSRLRGDIERD LFIRAFLREQNPWCAIQLTMGMEKIHNGSRIFPFYATDLHEKSSLRVSPHNATIWLVD IPEDFVDENLKKAIPHARRKVPWKGPAFDKQTSQDDPTEDIFRVVVKKFPKDRVDAFR KERDAQRAFAGIEGVIRYLGSIKYTHSTQYFNRNPRSPSLFDAECSCLLLELGNSDLN RVFMEELPPNLPKEISTWWERLGNLLQALARIHEFQIDGVQYHGWHGDIKPENILFVQ GVYKLCDQGEALIRRATSTEERIQPPRAPAHGGTRAYGAPEKSAYLNANGQAMENKVL QTSDVWSLGCVFSVAASFVVLGEQGVVLYKKVRKAALEKYKGNAADSYAFHNGSTVLP EVLEWHKYLRCIHRQSDGVTGKILDVVESKMLQPEGNRATAKEVLACFQEILSNYTHT PGSGEFPEIVRYLQKFDIKAELETQQEPTQNDSGKDGGAGICLNGSGGERGFGSSEDL LNMRELHPTSQRNTVRPMSVVYESDGISNIRRVYSGPRSGRANTHGANIQRLKTMQNR RSVLAAIEIQAETIDGQPALTSDVRNWCEVEDELKRNFKERDLKTIYKGVSEAKTLKQ TGRALLRRGEAPVKGKASGDDALAGHFDNRDLVFLVDNGSSMRRHWNEATYVLRVLVW RALGYDEDGLELYFTNPGPKVVQSKTQVVAQFENAMEKARAKDDRRKTDIVQALKSIL DNGPTEAKHRQGPGTKIRKKTIITLTDGIWENSTEERVKYFFKDQFRRLQMSYGQLDS RPDDRSLRQLDEERPISFQFVRFGHNPEAVARLNRLDDELKDETGVKTKYDSYGEPLS AFLRLANFGQLPSARYGRYHLPLMMTHQARNYAVAAITIKVPQMAESISEGTLKQFTR LVGDFVEQDEEIATIETDKIDVVVNTPEAGVIKELLVNEEDIVIVGQDLARLELGCSS DGSRATPPKQPESDSCGRQGGKEAKEPTPPSSPTSPLSNPSKAMASGTPGSCEDRHLI VELGKKARDGKLTIEDMAGGTFTISNGGTFGSLMSTPIINLPQTAVLGLHAIKERAVA INGKVEVRPMMYLALTYDHRLLDGREAV
SAPIO_CDS1932	MSDLGVPNDFIASYKDYQSYPKCIIDRAKYGGALLEEYDGRLLE RSEALNLFVEHDVYIPYREIGKDHRVIEEILWSDADVSSYLTDPSDLRSVPGSSGDPV FRTISLITAGSTQPLETTRESLKRILAYFQVMPEFLEFLYIFGRRDGLDSELRSSGFR TDVALSEPNPAHIIKSLNRSGRRYQMCYSLKSVSINKDLDEKAHGHGQWKIRNAAFHH QFDVEAHTQLWVLGDQKVKLHTLLGTQLAKGQRYPERFGTFQQAFETSLDTHLLYCRS ACQDWRWFICSMEDKIQDLTIHCLLPPRTNGENPIIWDPEGVRILQQEEERVNATIMA LKSNLGIITRLVKFFRSLVKNPGFPEKEASEQSVFDFTSRLEELTYDLESQISRAQIL SKLVTDRKNMLIQHLQIQTVVQQERVAHAMGLEAIAVRVIAVITLVFLPATFVSTFFS TDVIKFQPDDEVKSGSPPQQYSSRALKMFFAVSIPLMAITFGIAIGWYWFERRQKRMK YERIQLDGKDLEDSYTDSARLRFPWKYWKRGRIPSKSL
SAPIO_CDS1933	MGITEQDRGYLRQCIELAREALDAGDAPFGSVLVDSAGRIRYTD RNKVKTKADVTWHPEFTIVLWAQTHMTEEERATSTVYTSGEHCQMCSTAHGYAGLGRI VFASSTAQYMQWLGELGVGSRRVRSIPIKEVVPDLEVDGPDPELAAEVRKLHEKRFKA MVSATAEDISEEVEGGGGRLE
SAPIO_CDS1934	MAAAQEYEITGLTPSDFDEWSALFHAYIDFYKSVLPDDQYKKTF ERILETREGLDAVVMRETEGDKKMVGLAHFFPQQSPWSEKKFLFLNDLFVDPSVRGKG LGRRLIEAVGDKGRSGGYSRVQWVTAHDNFTAQRLYDKVAESIFKEYRMKLD
SAPIO_CDS1935	MASTAPRPRHSVGLRWNALTALTLVLVSFALWIPVSNATTMVRR GPIGNGVELRVLPIGDSITWGAQSSDENGYRSTLFKLLEDRGNKVDFVGKVKSGTMAD NQHEGHRGFLISEIESSSSTGISAAGNIALVHAGTNDMNKDINVANAPARLKSLINTI FKVNPDACVFVCQIVPARSSSTQARIDAFNAQIPALVDSFVDAGKKVMMVSMNHALTL ASDIRDDLHPNDAGYVKMANAYYDAIEKADAKGWITKPGKAVTPADATSPEKCGPSPS WYRVTEPIASGAKVAYGDGDFKQAWNKMGVVAEGACPRARLHFMDLNGDGLKDYACVD PDTGETEVHINIPDSQGRTSGSWGKGRIIATGKEGRSGKGVKFADLNGDGRDDYIYVD PDDGEVYAWINRLEKDGVWQWESIGRIAGGVGATKDNLQMVDLDGDGRADFCIVGKDS GVVTAWLNTGVADMPDYHKLGVIATGASAQKGDTVILGDFTGEGRADYMYVSEGGKVH GFVNRLQEESIAPRWLGPVVFADGPDGAKQDQVRLVDFTGDGKVDYLLVDEKTGKVTL WENQGTGGRYQPGEGVILCDLDGDGVKDYFWVDHDGKGWGYLNVGKGKNEWEPLGNIA LGTGHKREQVRMAVLTTSGRADYIVVDDETGRAEWWQNMGRKGNWNWQARGVFATGPK NTIASQFGWGFNGRNVRFADLDGDGLDDYIYINEKGATVWWKHLGTDPPSWGLPHLVA NPREPVSPRDIQFADTNGDGRLDFNVIGRVTDNGRLDLWINRCDPISDGGNNDPGDPQ PVEEESWRDVPCTDSGATDALDDADEKWKKVHADQAWKDALAGWKKNISDPDYKDGFS ESIANFFNENPGMECEKLAENNGCHGGAYLKCEDQRGEAAPASSFILNSFMKLESLLW NQYTSTESVKNNIEDKMGTFTDTFAPLKKEDVALSVILDIVTIGYVSFAAPTWGKVVK QMDFARRNGGNFDTLKDFTNGMVTQGMTLTKDILASKKTALDVQNSLAFRTSIIVETY LNSIVAFAATVFSGKGDGLDLLSDIIADGKMIDSGLDTLAVNETSAAINKALYAQLIP MAWRQTDRDLNPFVLDTELSCEDAPKDDQIQRRLTDNALKSEMVCDKKNNRAYYLVAS EDPHANCWDKDGRFASIGCYPFAKLPGTEALSELWGNVYREDFVHGSLATYKANGGKN MEGISGWYIKKDGWRSVLDDLIDYDIRAPFIFDLPVCTWGDAVKTSVKYRDGDRPHHF PCPLRIGEGEL
SAPIO_CDS1936	MVSSKAWSAFATALLAGFLPSAHAMPNLRIMPLGDSITKGSLSS GTVGYRGPLRQKLLNLGLNEDISVDMIGSMRDGNMADDDHEGHSGKMLADINEYWKLS IAARPNVILVHAGTNNMDKNIDLDIAPNIMASIIDGLFEHAPDATVLVAPVIWANNPR MQVNTDRFNPQVEALIESRQKQGKHILAVHIDINVSDLKDDKHPNDKGYNKMADAWLQ SILEADLRGWLKEPVKRSWKDVPGAGLGIHSEREESGMSDSPGPKGRVWEKAGRIFEG FRTWEDLGTIRGAVENGSRDKVRLADLNGDGKTDYIIADDDGTVRAWLNNGGGAWTSI GKINPDWKSLKIKGDMVRFADVDNDGKADLIALYEDDGAARVWKNVDNGKKFEKLDEK WATGLAPGHKVSFEDIDGDGYADYCVVWDGGAVLWARNNHNNGKDGTMNNWDRGKTIA TGPRGVPDKRARIVDLDGDGKADYLVVYEGGAVAMWRNVFGEGRSNDWEDLGIVAAGV RGVTGPMIRFGDMDGDGMTDFLAVNDDGSIRMFRNLGIVGSEDNKGVSMRFADLTGDG KADIISVDGNGRARAWINQGLGFWDSIGEIATGFPDQDLSASRIEFADVNGDGKADYL IIYGGGAVKAYLNNGNLPSMPEGKRIWQEGFEISPGVGEPGRKVHFADLNGDGYDDFL IIYDGGAVKCWLNNKNIPPKNGERIWSEGEEIATGVGEPGSKVRFADLTGDGKAEYIV QYLGGAARAYRNLGRIPGPQKQKWADMGLVALGVNPQGPVRYADINGDGKADYLVRFG DGTVNAYINSNKWEFVEAPEPGGDTNFPTPGDDRSWRDIPCTDDAANDALTDAAGKWK GVKADKAWQDAIAGWKKNITDKNYKYGFPESIANFFNEPPNMECDELAENNGCHGGTY LKCEDDKGEAGPASSFILNSFMRLESLLWNQFTSAERARNNAKANMGVFASTFAPVKK SDLPINVLLDIVTIGYASFAAPTWGKVVKQMDWARNNGGNFDTLKDFTNGMVTQGITL TKDILSSRQSDFDTTKSLDFRVNAMVEVYLDSISDFAAHVFSGKDEGITLLSDIIADG KMISAELDTISVDENVDDIEKSLYAQLIPMAWRHSNRDLNPFCKDAPNDEIVKMRMSE SAQKTEMVCDKANDRAYYLVASEDPNNNCWDRTSGFNPGRGCKAFPKLPGTEAFGSIW GNVKREDIVFGSLATYKANGGKNVDRDTHWYSMSDDDWDGIFESILDNDIQAPWVFNL PICTMTDAVTISLAYRSSGRKYHFPCPLRIGDETP
SAPIO_CDS1937	MKLQLCLALAGLSANFADARLANFFSKCNTEAELLSSYEACSDA MHTSVKKTCDPMTNFSDCACLFGTSMVATGVTILCPPDVTTSYIGVGCATRGINTEDF GAEATPESTGASSSNNGASGLGSVADGLIIPVAVVPAGVIGGLAVLM
SAPIO_CDS1938	MDGASAITGIIGFSIDIGLKLSHYILGVKHAPESAFALEKEVRS LSHVLEQMRALLEKEGPRHTTVFEASSVLFMTLRGCRDELESLLQQLGGMREDSFCCG LFHRLKWPLDEDDTTKEVNRLHQYVNTFQMSLSVSELALLSNGFSETMKKLDGIQASG SKISVNVEAVKGLLTSLSMLPDELRRLREGVDKIKMSRELEEFVLWLPSLEYESKYMD IKVHRLDGSGRWLLESTEFQDWQSKRGSSSLFCQGGPGVGKSFLTSIVIDHLLGFPII RTTHLAYFYFDYKSQDTQTPYFVVSCLLRQLLTQHQTVPNSLNQLYSRFGRKQGRPSW QELIETFTDLCCESGAYVVLDALDECEVECRRKIINFIGTMRRKNVRLFATSRPYPED VRNAFRGASIVDVEASGADLKEFLKLKIREATTLSSIMTRDLQERIISTIVPKTQGMF LLADFQIRYVLGKTSAKNIKAALLVLPTGLFDNFDLTMGRIRDLPDPDQTRFAEQTIL WVVHSVRHLSVDELCHAITASDGCTEFDEGNLPPPAQFEEYCCGFVMVDTKTNTVRLA HYSIDEYFRQEKKHAFLDHANEIITVSCISYLMAPGAVQDDEPSKDSEETGTSKDPRP FLKYAVQHWGDHAAKEFTTKVGRTVNLFLAARFGIRDMVSADPAMLAGNLNRQGMFGD TPLMVAAERGQDDFVRLLLEQEDLRPNIVDVDGHTALCRAILAIKESTVRILVADQRI DVNMCTALARAVEVNNIPIMQELLRRPDSDVNVISKDYFKLGAPLYLAIGELRIDIIE FLLKRDDLCPRFLDQPYRSLENMLNTLTEDEDIMTTQELERFPKLVKVIAEDFWERGR RNPRDAFLSWLWPWLNKESLVGSHLDYFSQWFGGAYRKVTDEEGMTLLHALMATRIYV SGLVQKTIQQGFDIEAIDGEGRTPLLIAVHGENLEYVRDLLEAGANIHAADEDGWTAM HYAALTENLESAKLLAEAGASATSITNDGWTVLHEAAQRGSDSMVKFLLGKGADVMAC DRYRRTPLHAAASSGNLETVEVLLENGSIVDAEDHRKRTPLMMAAGQSNLGIIQVLLR AGANLAAADDFGWTALHEAAQRDQGLILSYLLNDGADPNAMSPVFGTPTTIAVQNMRN CRKELLTRGGDPLICGFTGRNSLDCAAMYGFEDSELQAYRAPGWIPTPEATIRRKLWT NVRSLVQYSITVDYYTAYAILGHGLALLGLVDDAIVSLQRATFKRGNITFHNTKCRCC ESKQITGSRFVCTTCLGTELCMACFSQHADFARLILSLFSAEDVFASRGKDERWTWFV DLNVCRELRYKPLELADEASAEEKSEIILTILKEELGESASEGWKSLKEEVPWCSSSH TYIHLPSFEWIGFPKDVVDTKGHSITEWARDLYDRMPADEEATENGEHH
SAPIO_CDS1939	MGAQVSKTAHAEKGPAKPDDLTRSMDYLTLESEKHGEDTAIERV RWNPQGLATEEMLSWQSSILKDPKNRLALSAFSNANPKTILTSTETTLSNPQIFNVKI PFEGGPITNQRSSGRCWLFASTNIFRVAIMRRHNLDSFELSQSYLFFWDKLEKSNWFL EQIIDTADEDLDSRLVQTLLSDIVSDGGQWDMVYNLVKKYGLVPQSLYPDSWNAKNSR TLNSIIKTKLREAALNLRQISRSRGVTTQDLISEKQKTLKEILLILTLCLGKPPGPED TFTWSFLDKDGAAHEVKTTPKEFAANISSPSLRITSETISSLISLVHDPRNEPLTLMT VSRLGNIVGGRPVTYVNVPLATLKSACVSMLRAGLPIFFGSDVGQFSDTASGVMDLDI IDYETGFNVPLLGMDKASRLRTGESQMTHAMVLTGVHVDKETGKTVRWRVQNSWGEDA GEKGWFVMSDRWMDEFVYQAVIDPRFVSKEVRDVLQQEPLVLPLWDPMGSLA
SAPIO_CDS1942	MLYPDPSNLHYDNTSLEWDDPTSHNAKPDLSNDVPAMFFIAINT ALLLGALPGVCAQYYKIDTRENILKSARTLAFDLMTFYKGNQPGEIPGILPGPPTEGK GDYFWWEGGAMMGTYIDYWHFTGDDTYNQDIMEGMIHQTGPNADYMPPNHTASLGNDD QGFWGMSAMLAAETKFPNPPSDKPQWLALAQAVFNTQADPGRHDHYCNGGMRWQIPLA NGGYNYKNTIANGCFFNLGARLARYTNNATYARYAEDTWNWIYGVNYIDHETWQVYDG GTIEANCTDINKATFSYNAAILTQGAAFMYNYTEADMWRERVEKLLDNLLKSFFPDGI AYEVPCEGGRGCTTDMLSFKGYVHRWLTVVAQIAPFTADKILPVLRKSAQAAVNQCTG GETGRRCGFYWSSGEFVDPSVDKTTGAGEQMNVLAAVSSLMVNEPNPPNAPVTDATGG ISPGDPSAGGGRGGGDITVHKDITTADRAGAGILTFLLLACLLGTCWWMSFERSKPED EKSPENAGNKRQSAAEPPEGTAKRHIRWLGRIIDSPKG
SAPIO_CDS1945	MSFEIYQEKLGWAKANMAPSVSDGDVTELVEGPVVPENIITQEA TTPSPPPIRQKGFKDVTVISSQTMFGENEEIIPTAQYATQPKKRNEEAQKRKHSRWAL LLRRVVQRRQDRDYYLRTELCVQSKSLCNSLRELIPYTYDSIDISTHPMVLPAPFYEL FFKKDEIEQFCQDENKAKPLRDEMKLVRDYIRNDRLTLSQLDKHSSLLSQGKVSFEAA WTIFPPNELLLYVDGDELECWLCRDIAKSAQLPLTWVVHGVRVDFDGNRLGMTKKSCV VSFAQRVDGAMNISQLPLIPVKYLPKGEWASKRSALIARGEKFRELLGPELDSHAYQY YEGPIVGKNIRLDSKIAQPVVVDYPMYLRTKEPDAIQLLDDGTRPSKGSDSDSHADSD SGSDVQSDPEGVEILDNGEAEIGSDGSQPKQAFEKLTTSHQKKYGIANMEDVLLLCPP RIPAYCLKLKAWAWVVVDRLQPVEKSDKAFNSLKIDRNTKSLVRSLITGHGNSEADVF DDIIDGKGKGLVLLLHGNPGIGKTLTAESIAKLQGLPLYNLSGGELSVSVSRIEERLK EVFELSTRWGAVILLDEADVVMSKRSSNELERNAIVAVWLRMLEYFEGILFLTTNRKD QFDDAFMSRINVKIRLPDLDQEARTHIWRAMVEHNKAAVNSESWSDTAFEALGKLSVN GRVIKNILRTAALHARSSGELLEPKHVQAIVLVELMEDKMINEVTKALDELTTE
SAPIO_CDS1946	MSPLYFIAVGVLLVTTPRASSQPVNLDVDLGYGIYRGFHNDSTS LNIWKGIRYAAPPVGSLRWQAPRTPEPTGEVITADEFGPSCPQAFPSMSRPTVTFVPG DEDCLFLNVYSPDVVSEDNPLPVFISIHGGGYGLGNGNQDMTDFMAANDNSFIAITIQ YRLGAFGFLASAEVKDRGILNAGLLDQRFAFEWVKEHVSKFGGDPDRVTIAGESAGGG SVLLHSIAEDGGLGDSLFKNIIAASPWVPTQPRYDDESRAQHYRDLAEALGCDAADAF DCLVEADSLELQRAANLVSSSAPTFYGNWAFIPVTDDTYITGPPSILLAGLKGNVNGD RILIGNNANEGPLLIPPTIRTEDDLIAWIKGNFVNLSSRNISGLLETYSSTSEPVDSA APRFETSGLGPATAVNVSQAATGQQQRAYNMGAEGAVNCLGYWLASAFTGNNKTAWYY QYSVPFALHATDLAAYYGPPTENQGPDLVTAFRRIYGNFITTGNPSISSETANGISSG AKEQMEHPASDWPAWDDEKPTMLNLNQTGGVPYSAYAPNGAQITQFREPGLRNDFSVV DAYNWEGGRGRRCEYWREIGPFVPV
SAPIO_CDS1948	METKAGSKAARDKAATVEYAENLLYAEDGTVRKLPIPSNDPNDP LNFGPWRQRLIMIAICVYGIAGFGVVQSTPLFFGELVPEYMKQTRGTFNPERISDLAS YPSLCMGLGNFFFVPLSMALGRRAAFILSNAILLSAIIWAAKSETFESHLGARCLQGL TAGVSDCLLPIIILDISFLDRRGARLVTYWTCTAAGSSLLLVAVPFIIDASDGNWRIN YWFWAAFAAFSLIAVLLLVPETLFNRGVAHHEGRVHTTDTYGTHRMFDTAEEARAAGF KIDDRDSTASEAPKTFSYRRQFSPVTIQPSAIKRFLGAYRDIFTCLLVPGTFWALLLN SVFFGGLVILSITYAQRLEMPPWLFPPSVVGTVQVGAAIGALFGLAYGQVTEPVSRFL TRRNRGIREPEHVLPNFVFPSVVAGVGLIIYGVVGMEPEKYSWVGIHASFALFYFGFC AISAVTGIWLSELLPHTSGPAIVLVCGGRNAISFGYSSSFTSWIAEMGFRDTHILFAG ILLALGLLSIPLYFVNGHIRKLSHSIPFLMAY
SAPIO_CDS1949	MDYEAELGFFVSQSLPMGKTIAADEAKDYIFGFVVLNDWSARDV QFTEMIPLGPFNGKGFATSISPWVTTLDALEGARCAPSGLDLRGGGSTLAPHLRHGDE NPTWNLEFEVSVFRPRYNATQALLSTRSNLRDIRWSPGQMLAHLASSGCGLRTGDLVG TGTVSSPNDSPSSRTLGCLFELSEAGRHPAATRGEETLTFLEDGDEVVISVWAPDREL GLGTLRGQLLGVPEE
SAPIO_CDS1954	MARVRTALTALVAVAAAVSGAAAQAAGGNAVAVMENKHVQGAYI VELEENENDKIFLNKVASNDRCQSVQHRRTFKSSIFRGVSIDLNASDGDIEGLARNIT KLPGVKQLWPVSLIPAPNAEISWTAEGMPLSEVRRRAEEDTFTPHVMTQVDKLRAEGY TGAGYRIGIVDTGVDYKHPALGGCFGEGCLIEYGADLAGDDFNGYNTPIPDSDPWEEC SGHGSHVSGIIAAMENPLGFTGAAPDVKLGMYRVFGCHDYSSEDLFVAGILQAFEDGS DIITGSIGIVNGWSDQALAVIVSRIVAAGVPCIFAAGNDGAPTAFSVASPSSGRGVFS ISSFDSLTIPSYDNDTGVVTYTNNTSGGGASYFTSWGPSYELEMKPQFGTPGGNILST LPLSQGGYGVMSGTSMATPLAAAIVALVSQARGTKDPETLGKLLSATAKRTLDYSFND GYHYSPIPQVGAGMLQAYDAVHAEVLFSVQSLSLNDTEHFVPQATFFVENTGKSTATY NLSHTPALSVDTTWPIYRMSNPDRHQIFASLSFEPSSFSLKPGSRAIVTVTVGLPDGL DPTLLPLYSGWIDLNTVTGGSEPARTASIPYIGAAGAMRAAVVFPTERNDTIYVSRSD WQEAAWPVANRTTFQLYVPDEHPEWARPVRNTTDTMTPPGVGPMLSMWLTFGSPETRL EITPLNVCVDPGFQAIKDNGLGLQTLGNILGYPIYENAATGWYESWFGQLANGQWVPP GRYRLSVFALRVYGDRDNLSDYDRYESIEFRIRYVNRE
SAPIO_CDS1956	MAKIAENLTVPAAAVTTGGSLPVGEDPGGGVDHQWSPEEEGSSV QGHQEGNANDHRGESKFRSAQDHQWSPEEEGLSVQGHQEGNANDHHEESKFRSAQDHQ WSPEEEGSSVQGHQEGNANDHRGESMSQSAQDLTWARM
SAPIO_CDS1957	MDLLSSIGKTIATVTLATIPVAAAAAATIGGAGVAVVGAFGAGV VWASLHISQQVHDWARMGQKSSRTKPIFEDLILHERQAEGLSPKFRHIAVCGVAGSGK TSLVNALRGLRNGQPEAAKTGVVETTLSRSSYTAHSSIDSLVIHDIPGAGTQSIPAAE YFYTQKLYLFDSVLIVQGERFGEIEIEIIKACIRQRTPFAVLRSRSDELIARVADDEG LEFADAKAKHMRVSVEAVMKELMKSGLPTNGLLELLRLVVLVNKNDLRQYVIKGIEEW PKEPRKNEIQERSLLKYLGKIEKPG
SAPIO_CDS1960	MPADVRLPHPFDPLSKEEIEAAIAIIKKAHGDNLLFNVISLQEP RKAQMTAWLENPASALRPVRVADVVVICPDGKVCDGLVDLQKGTITSWEELDGLQPII TVEELQMAEHVVRKDPRVIEQCKISGIAEEDMDKVYCDPWTIGYDERWGNKTRLQQAL MYYRPDVDDCQYQYPLDFCPIFDPIRKEIIHIDIPKVRRPLSKVPAINYHPAGVQKQG GFRSDLKPINITQPEGVSFKMTGREIEWQNWKFHIGFNYREGIVFNNITFNDKGTERP IFYRLSLVEMVVPYGNPEHPHQRKHALDIGEYGAGYMTNSLSLGCDCKGAIFYLDAEF PTRAGGIRTIKNAICIHEEDNGILFKHSDFRDDSVIVTRARKLIIQQIFTAANYEYAI QWIFHQDGTIQPDIKLTGILNTYSMNPDEDTNGWGTQVYPGVNAHNHQHLFCLRIDAN VDGPKNTIFAIDAVQAEAPVGSPGNYYGNAFYAQRTKLATVGQAKTEYSSATMRTWDI CNTNKLHPYSGKPSSYKLVSREIPGLMPKPGSLVWKRAGFARHAVHVTKYRDDELWPA GRHVPQTSGEQEVGLLKWSADESESIDNEDVVLWHTFGLTHFPAPEDFPVMPAEPITL LLRPRHFFSNNPVMDVPPSYCITPSQVAAKAQGVGPAFDKNSAYAKPEKTCGSCHGEN GTNGTTDGAH
SAPIO_CDS1962	MTEFVNWDNIGATADPETHPDIDLENIDLSGLDHNSVLGYDNEN YDEFCALHPASKVNGPLHHEGDRVEFDSGLVDPELGTKTDDQHIPGNRNRAIQRKVPA LSEDSTSSAKPDSTTNVRARFSREAVGILKSWFSSHSKHPYPNDDEKEMLQRQTGLSN TQIANWLANARRRGRLRATKLASPRPELSSTNPIDIPSRRGTAPAAAEMSMMGPLERW VDSPPENEPVSVAAIARAITASRSSRSSSLENSFSHDYTDDSDGRSAYNQSSASSIGT SRSSSRVLNPDTGRTCCTFCGEDDPDDAHLESHNHFPCREKIPEERSFYRKDHLRQHL KLVHDVKFLDWAMKRWKVAITEIRSRCGFCGKVMDTWTTRADHLAEHFKAGRTMADWK GDWGFEAPVLSMVENSIPPYLIHDERNSPLPYMASQAPPESPRNAYELIKMELLYYAT GMWEAEARMPSDEELQLEACRVIFASEGLSSREVSTQSWLRDLIMASDQIAAQAKFGK LRSHAENLLSTLRINGKDNLFEACPLESQLQEFVRARRVLGLTIMDYELQEEACKIVG LMEETTTCPLNSVADWTVRLINSSTSWLSSFRQRTDPSLGGNPQYGVMDSIDSGRWER DLGGYRNRSVDYYQGQRHGYDGAVLAGPQTTVDPRPLTLAAPSTSSHFVPHYRVSHSG EEPSGLLNDADPFRASAYFLNGTNCYRELSRQLSRYVFQTMSPNNPNQHVPTDEELQN QARWILYDE
SAPIO_CDS1963	MKLLAIVEGLVLLRGVIAGSVPRVRDTTNDVTYEGLLRHGIEVF LNIPYGEDTGGRNRFKPPQRHVPARGSTVKAQAYGPSCPQPLGPGFVPVVLGEITKVS ENCLNLNVARPKGTRAKDRLPVMVWIHGGGFWTGGNNEPTTAPDGLVLESVENGLPVI HVAINYRLGFFGFAQSDALEAEGSENAGLRDQRLAFEWVRDNIRHFGGDPERITIFGQ SSGEKPVPFQRAICESQALEPGITGTFTIDAMQALVDYVGCNRSALNSVETVECLRTF DTEPLLNASIATKFDDLAHNIGDIWLPVVDGDFLPAAPSKLIRGGRFAKVPTMIGWTD GDINLFVDFNLKTAKEMHDLIASYIPDVASENIDALLSLYPASDFAAQETKSLSAEFF RSSRIIRDIIMVCWYAEHLVKYGNDEVYLYDWNQTILEPLLEAVVGAPGLGPVHTAEF AYIFGNLSAYDVPGLPFEPTQEDYALAKRASRSWSTFANLGRPILKKPDTLKGFGPAL PNKRDVYVFVAGGPNEGLSAIDGRHSSPALRAQKLRERCEFINSLEMIEQLEF
SAPIO_CDS1964	MGLLQTFLPEQDRFAALLLYGMIFATCFNGYDAGIMTVILADEQ FNEYYNVDDVKTGVIATVPWATTGELLSLAQLFVGGTLANYLGRLWALLAECSPRTLR GSFVGTVNQFGYQLGTLIAFWTGYGMSFHKSPYNIAWRVSNVIQIPIGLTFFVLSFWY PESPRWLYDKYPDVPERSLSSLCKLRSGSATSEHVQQEFHELVASHEYRKRFKTGYRA IFSSKGMRKRLAYGLFATALQQAGGIAALTMYAAIIYKSLGWDQGSEALVINGVQAVI QLFIVLINTFTVDRYGRKKLLMAGFSIQALALLVMSCLTTAFPNNDNKAAAVAEVVCL FIVGMTYCWSNGPIAPAVASEIFPQEVRDKAFGVSLLGQTVCLLALTQPWPRFNNQVG GKSYWLLFSLNVAVFFILPETKGISLERMDKIFGEVDAVAAGEDEEKSGKIEAPTFSH NEQAHGDVGNLETKE
SAPIO_CDS1965	MSNPQILFRHTTFHGPSLLRNKRSTIRGNTLRTQLEQLRTTGRY HCFKLKWHPAYDEDFPLTWPVPTNLFWDSDVAKWIEGACYFLFEEYDAEIDSAVQELV NDIRSAQQEDGYLNVHFTVVAPNDRWTNLRDMHELYNAGHLIEAALAHHQYYKNDLLL EPINKYVNLIRSTFGPSKDQKHGYPGHPEIELALLRLYSVTGHDDAYELARYFIEERG NPRGQDGMNYFDWEEKQRGDSPWLRPNHYTKAGSHWYNQAHEPILQQKSVEGHAVRAM YLLTAVADLLCIHKPGGEFSEPEKWAEAVGRLWDNMVQKKMYLTGGIGAIEQWEGFGV DYFLPQGTDEGGCYAETCASIGVMMLAERLLQRDLNSKYADVMELCLYNGVMTAMDLQ GKAFTYVNQLASSDRHPSQRCDWFWCACCPPNLLRLFGSLGGYVWDFGCGEGDAFINV HLYTWAKCTFDAGEGGNSITLEQKSNWPWEGNILFQLQAPLSVSTTVRLRIPGWAEGN FTLTPSFSGHKVENGYLVLPPSYTSSHPNFSITIGGFSPRYITPHPYTGQRTLALAKG PIVYCVEDFDNPYETNHFKDVVISADSAIEEEQTVLEGHQESYVKLTTVGWRREGIEE PSPSVGPGCACFNSEQLGRERKLVFVPYYLRANRGGKGQMRVGLLRK
SAPIO_CDS1966	MLAVGFNKMQQELQVVLDNQDESKVRAILSPIDALSFGRQIQKF KEKLDEGYPGTCSWSLSNKDITQWFDRPGEVLLWIYALHGVGKSVTCAYVIENLVPIG SVNDATVKRALRRILQAKTKKRRQNNPPRIFLEAMVGANLGFKSGGLIDDRPGIILHN GTSAQSPVVAAVCYESVESLSFVDFDIQSIILPPKLPNQSGGPIRKDLAESRMLRRMT PDKNIALRFSVEVTEKARREEFEWRKCRQNGLTGPTVKLADQIHKVTEEEEPGRRH
SAPIO_CDS1967	MGGCMGYVASKAGVLQLMKTLATVLAPTGIRFNSIAPGNVPQGR AGDVRDMAGATLFLCGRGGFYCNGALIAENEPVFQEALNIFKQETDARERSWLSKKYK RNQVKPPESLSAFIEFLYDADKRVSNSPFLGIMKFVLKAIANHNDLIEKVANDLIIIV NEIESAN
SAPIO_CDS1968	MSAPQQYTVGWISAIPTESVAAQQFLDERHDGPESVAQNDNNVY TLGRIGRHNVVMAVLPKGEYGTTTAATVAKDMLHSFPNVRIGLMVGIGGGAPSSKHDI RLGDIVISSHEGNSGGVLQYDYGKTIQDQAFHYTGYLNRPPTLLRAAVSGLETQYEAN GHQLGAQVAQILEKKPRLRKKYSRPPATSDRLYRSDVVHPSDSSSSCNEVCNNNPESL VDRAERGEEEDDPAIHYGLIASGNQLMMNACIRDKLAAEKGVLCFEMEAAGLMNHFPC LVIRGICDYSDSHKNKEWQGFASMMAAAYAKDLLRQIPPNKVETERPIVQQNVLHLQD TGNKMWIAVGTMHYDQTKSQLAIQFAYQIHTDSPKTSIFWVYGSKRETFEESYRSIAD VLALPHRHDPGVNVLALVRDWLRREDVGPWLMIVDNADDAEMLFQKDKGKENAHDTIA EYLPQSNNGRVLITSRSLDAAEKLTGSHQTILRIPPMEQSQALQLFQNKLGEDLDIAA AIDLIHTLDYIPLAVNQAAAFISYRAPRTTIRSYLEEFRESDRKKTSLLESDRGDLRR HQGVSNSVIKTWQMTFDQVRRERPRAADLLSLMSFFHAQNIPEYMLHSYDGDSSDDKG CHQAEELAQKATIARKEILGDDHPDTLISMSNLALTYRNQGRWEEAEKLQVQVVETCK VKLGADHPDRLTSMANLALIYRNQGRWEEAEKLNVQVMETRKGRWEEAEKLEVQVMEM SKVKLGDDHPNTLTSMANLALTLWKQGRWEEAEKLEVQVMEMRKVKLGNDHPDTLTSM ANLALTWKDQGRLTDALALMRSCVHDDTFQSGDAGLGPLNLDTINPGVLHVDYAIRGQ LAALAGRISADLAGGDDHHWPFKRIVSLNLGNPQGCGQKPLTFFRQVLALMQYPELLE RADAANLDGVFPSDAVERARALLKETGSVGAYSSNYGFQHVRESVANYIGKRDGFPSS PEDIFLVCGAFEGMLVSMTLLSGNHMRQNGKKVGVLLPVPFYSVYRALLVTLDIEPLY YHLKEDDQWRVPEKGEISHIIREARDRDVEARCIVIINPSNPTGAVSSRDDLEALVEL AAEEKLLLLADEVYQENIFPGNEFVSYKIIRRLQEQRAAHELCYTTLQLISLHSVSKG MAGEGGQRGGYLEAVGFPLEIHEQIRKLITYTLQPATAGQILVDLMANLLDKAFGKMP GVYCAKAQGAIYHFPRVELPAGAVKAAQDVNEAPDVWYCKKLLKSTGVCVVPGGGFGM RNGLQDDRIWFRISFLDDEEDWVGAMAKFHGALLEQYKDG
SAPIO_CDS1969	MTNKPFPLIVDVWANPSHAIIPEVRRLFEQSHSDPKLARKLSPE EIIALMDEAGVSQICLAAWNRPGSTIVSNEDVAEYTRAFPNRIFGLVSVNLHNPVAAV KELDHYVRNEGFKGLRVVPWLWNLPPTDAHYWPLYVKCIELDVPFCTQVGHTGPLCPS EVGRPIPYIDEIALKFPDLKIICGHLGYPWAAETVAVAWKHANVYIDTSAWSPKYYDP AFIQFANTTGRKKVMFGTNFPQLTWKACVDNMYKTHGSGRPGTMRAEVLDDFMGGNAR RVLKLPDWKAPGSKSNL
SAPIO_CDS1970	MATIPSTHKAVAMPGPREPLTIIDVPTVRPSAGEVLVRVDWVGS TPLDLHQADGGLGTVPNQIPGDTVAGVVLDIGPGVTQLKVGDRVASFSHEEPKHKGQQ EYVTLPTYRVSKLPPNISLQEAATVPSSLVTVFHSVTHDLGFPLPWPIPEGWKPEHAD TPILVWGAASSVGTFAVQVLKHWGYRNILAVASSRHHAWLKDLGAAATFDYRDSDVTD KILASVGHQASRPRVPYIYDCIGSLWNSIEPIRRVAESGTRVAILLPVIVRDATDTVA PIYEGDVTKCHEGKWAEGVVLRRVRTHFYAQNEFLKEYLQPEIVPALLEQGIVRPLRQ KIVEGDTLLERAQNALDLLRKRNPSGERLVWRVST
SAPIO_CDS1972	MDPSQQAFKSAMAAFKKTINDNKLHQDILRTTTIEGVWTEAKNI QARQEAKNRIRNMGKIRRFLDKIAAYASTVDTFVQVKPEIMALIWGPIRILLVWTENI AKLADAIFEAMEKIGDALPQFIDAAKIFSDNEKLKQVLALFYGDILDFYAIMLAFFRL SRAEIFFESVWPARRAKVEAVVSNIERHSSLFRNEVTLRHIQEEHEARTRLLAHFDQE TEFQDREKFKALRTQVSPVTYDRRLDWLLNRSCDNSAEWLLQDDRFLAWIDISNRATP LLWLQGIPGAGKTFLAAAAIEAARTHHRTLFAFVSHVYQSSTTALVILQSLVFQLAID STDIQSILTGADERSLVGKTAYVSDLLKMLLKTAGPTYIVLDGVDEMEADERSILLRE LAHLDDCPETRMLVSCRPEDDISRVLETKATSLRVDKRNSDSIKGYINTRLREWNRTE NFDKDTQVQLQYLLSPLAEKAAGMFLYARIILDNVMLLTELDEIKRELKVLPADLNDA YIFSHQVDSFIDRSEANYNLATSSLAYLCSGIFDASLSDEQLRKGLLTGRYRFHTYAA RYWIFLAMRCLEEPGDSSAYPDLLMLLVRLAVELGNPRFESQTEAPNATVVRKLQSDY PEIFRIICGGLRFLEDERRCEWNHTNGSFYMGQL
SAPIO_CDS1973	MTSEASPSADAAPAKAGKSPSTSPKGSKPGSPKDASPQPATIAG ILPAQHWVDTAEEHEVDNSDAASIGSVGTSTDSLTSSILKYRKIHGRTYHSEVGNASY CHHVLTLLIGGKLFKAPLDTSKIQKVLDVGTGAGTWAIDFADEHPNVEVIGTDISPIQ PAWVPPNLKFEIEDCTRDWSFEPETFDYIHIRWLIGSVSDWEDVFTEAYKALKPGGYL ESFEGAAIVESDDNTVSETSALGQWGKIFINFGESIGRPFTIVPDEVQKKAMQAAGFV EIDEANYKTPVGAWPKDEKLKEIGQYAQLVLENDTEGYLLYPATTIGWTAQEVSVFTV HVRKAIQSPQVHSYYRQKVVWERKPLNT
SAPIO_CDS1974	MPHASRSLNIVIVGAGLGGLAAGLALQTDGHKVTILDSAPEFGE VGAGIRVPPNSSRLLARWGVDLEGMKKSISKRYHFIRWQDGNTIVKLPFDKIVETHGA PYYLVHRADLHKALLDAAERAAVKVLTNKRITSFDFDAPSATTDDGEVFKADLVVAAD GIKSICRPLLTGKPDVPRDTGDVAYRILIPGEKLLADPELADLITEPCTTSWCGPDAH LVGYPIRNGEMYNIVVCATSYNETTDEVWVVKGDNSELCKRFSKWEPRVQKLCALTGD FLKWRLCDLPDLTRWVHPAGKVVLLGDSCHPMLPYLAQGAAQAFEDAATLRQVLAQGE DLSAALKKYEQIRMPRASLVQAKTREHQYILHIDDGEEQAIRDEKMKLNAAENPVFWG YDDRRQWLFSHDAENLAKEGANWKDGLNGSAIRSH
SAPIO_CDS1975	MAAQVTEQTPGRPSSEPSVLEKQDVGHIEDNNAVGEAEDPIEAK RVLRKIDMRLIPLLMFLYTLTFLDRVNIGNARLWNMERDLKMTGYDYNIVVLVFYIPY ILFEIPSNIILTRVKPRFWIAILTLGWGLSVTFAGFAKSFGGLLTARIFIGVFEAGMF PGCLFLIGSWYKRHELLARMAWFMVSNDIAGSISGLLGAGLGSMDGTRGYSGWSWIFF IEGGVTCFAAILAFFFLPPFPEDSTFLKPEEKEWLLRRLKADNNQTGKDEKMNVKGAL RSLKDWKILTAGVLYLAVCVTAYSLSVFQPTVLKTFGWSSLKSNLLSTPPRIASGIVS VLVGIWSDKVKRRGIFCVSGYTISIVGNLLVMLLKNGNLRYMGFYFASIGIYICQPLV IAWCSNQVVGSVKRGTLTAFAVSCGQLGGIISAVVYPSKDSPQYVPGISTCIAFQVVG ILAASNMWFWARHENKQRDMGKRDHLRELPEEEVQKLGEKHPDFRYTL
SAPIO_CDS1976	MADSPPDAAARRRLRRVPEQLRKRSAHSCDLCRKRRCKCVPGPA GRGCATCEKHNVECSYALPRKSRFYGSVDDLGDRHKCLEAIVRGAFPGEPTATVADLR KLGERMGYSMPEPTIPSTRPLESSEPTISYPSSEAPIRRPLVPSHEAVSRRNSCPDVF GARVPEGVDGDSSPDDAESLGLIRDPTGRQHYIGPSGSLQFLSQLRRLLISRNQRLPV NNDNSPTASKFTEDDTARALEADSITVDTTDPVVAAADHGGVAGDVVAAQDELSPGSI SSSIARDFTMQPWDAAGDLFRKLPSRLVTDSLLQSYFKNAHEDFPLFHRGTFEEEYES YWALLKQRITAPEPCLQASQMEWGWVATLQMLIVFGSMCDPSIPGIDHTTLRRQCVSV TRSLLPQLVSKCTLSNVRALLLLSLFLHNNNERNAAWNLVGTATRISFALGLHRRDVA AYFRPIEREVRKRVFCTLYSFEQFLASSLGRPSGLNDFDVEIALPREGLLGTGTDRVV ALSLKLQNILGRARISQAVRSLASGNTDTQRHEESAKETISALKAWRDEVAASQSLNI PSISEPDDAFKEDDAPVTMSFTEIKLLLSWQDRTRLRAALVLNMQYRYIAIMVARPFL LRDTAMARVVARTDNKNTTNDTPRSDAHSHLASVCVQNACQLAKIVLLLAEFELLNGV CGMDVFYAYSASMLIQSIRLVVSKVPKSGTMKRFARVMATFEDSVFNHDALPHAATPR KDSGTQLHATGQDIPAIHHLGSTDPLLLAPQPATASAFLDPSFPMMAGWPQGDWSTFG ADDGREFGGWIASLLQPAMDTPMVTEFGDMDSILRNAPM
SAPIO_CDS1978	MNALSAASGFAQVTGKPAAVLVHVECGTQGLAGAIHNVSKGRIP VMILAGTVPITMEGELMGSRNEYIHWIQDVPDQRAIVRQYMRYEHEIRRPHNAVQIVL RALQFISSEPKGPGYLIASREALEEEVDLVVEPALKSQDPLKNTVLEPLGLTPAALDF LSVKLLKAKSPLIVTSYCGRSKEGFEALKTLAELLSIPVHENAPIYNNFPTTSFLHQG HQWNGGGQLPALAEADVVLVIDSDVPWIPAQSRPSPDSSVYHLDCDPLKESTTLWSLP CEKRWKCDSAVALEQLVTFIRGTSLFESGETRAIIDARQENLKARFAARQARLLKAEQ LPAAGNVTTPYFMARLREACRGVRVVGLNESTTNLGNVADHLRHDEPHALIGSGGGAL GWYSGGAVGASLALQSTGRSDDLVIAFTGDGTWLFGVPSCAYWMAKKYNTPFLTVIWN NGGWGSPKNACLRIHPEVADMTKSGGRNLNEELMVSITPSPAFGKIAEGAGDAWWVRV SNAQDVDEACRKAIEVVREEKRSAVIEVTVTLRLYLFFAKHIWNSNLLVLTMASKPEL YSIDDFEAYAAEHLPKMTRDYFNGGAMDGVTLRANQDAYHRFYIQPRVLRDVSKLDTT ARVFPSGNVIPFPCCVAPAAMQRMAHPDGELATARACGAYGTVMGLSSFSTTSLEDVK READRLRRASGKSGDSECVLQMYLFENRATSEDLIRRAEKEGYKAIVFTVDAPYFGRR LTEIRNKFKVPPHLKMANFPDDLGVKTDPTLTWDSIKYLKSITKLPIWLKGIMTVEDA LLAVEHGADAIFVSNHGGRQLDHAPATLRVLRDIVQAVAGRVPVHFDGGIRRGSDIFK ALCLGADMVWIGRPALWAISYDGENGLRNALKILEDEFRACMALAGCTSLDQLGEECL MLVDTPSKL
SAPIO_CDS1979	MATNGGVGPKATTLSQVQELFIGGKHKPSSDNVEFQVINPMTGA NIYSCASATVDDVSEAIESAHTAFKSWSRMGPSARRSIFLKAADILEGYIHGDAPEIL ASEVSATATWVKVNIFSTANVLREAAGLVTHIKGEIVPADRPGTTVLITREPLGVMYA ISPWNAPVNLTARAIACPLICGNTVVLKPSEYSPKSQHLVIKALTEAGLPAGCINFVP CSPDRAAANTEFAVKHPTVRHINFTGSERVGKIIAGWAASCVKKCVFELGGKAPVIVR EDADLDDAVESIIFGGLANNGQVCMSTERVIVHKSISGDFKSRLLARAGALKCGNHHV EKDVSISGLFTPASASRVLGLVKSAVDTGATLLMGDMKLDGPNKTIMRPHILEGVTRE MDLYHQESFGPVMILLEFETDEEGVDLANDSDFSLCASVFSRDVMRAMELAKQVRAGS CHINGPTIYIEPTLPNGGVGGSSGYGRFGGVAGVEEFTERKIVSLAQPGMKYSF
SAPIO_CDS1980	MESQPLHPGIDQDTECTPKSSATRLIALEALKNVNGAITQRLRT AITGEKTEIVEKVESVEKRVIFNDVEGVQDATWLAQGAYNSVWLARLRDGFQKLSITN KLATMIVDLAEVPFDMIGGLEPETFTLGPTVEGSKLFKGRGKFHSHGCYPIGPYRSTK EYILACYDREIYYYSNAPEDAIDQDSFTDVSVQDFVLTLERKKDDLKATYDIPDEPFV LVHGDLHGRNILVRGDRILAIIDWEFAGSYPLSETLTGGDVDVVDVNSEELEDENTVW AWKIREAIRQEVSRRGWEPNRIALLMGDGNFELGRARGEMFP
SAPIO_CDS1981	MSRSEVVGIGPLKPESVSSTGLTVLFEPVAPKLDVVFVHGFTGH PEKTWSTSGSVPATALASSSVEGERPSKFRKVFTSASDSGIAKGGTAKGTVYWPRDLL PGVLPQARALTYGYDTHIRHVVGSPVSTSTLYAHAGDFLAALESNRRKDPSRPLVFVA HSLGGLIVKEALRQSRGYKSQPHLRSVFDSTSGLVFFGTPHEGADPLGLVHHVVALLT KGVGFRVNDKIVEALSPSAEYQLQLRDEFNRMIDERGWIIHSFQEQYALPGLFGKKVQ YLPPPPPLSPNRSAEVVEHIGSNHRNMCRFSGPADKEFKKVASALQRIAAASSGGNSR GNRITRQTRRTTLVASSTAEEAASEAASEEHLEKLVASLKFSQIHNRYSTIDHAHAKT CQWLLNMREYVDWDNAKIGNDSNFLWIKGKPGTGKSTLMKFALSVAKQSRKDALIIHF FFNARGAELERSTLGLYRSILFQLFQEAPDLRSVLKETHGRDPGEALEWHIATLKQLF SAAVKKLGGRPLVCYIDALDECDEDEVRDMVSYFLDVVDETASFGVHLRTCLSSRHYP HITINRGLTFDLESQEDHDDDIANYIASKLYIGDGDYASEVRQQIQDKASGIFLWVVL VVKILNKEYDRGNMTALRKRLREIPSGLYGLFEDILTRDCDNLEQLWMCIQWVAFSNR PLTREELYFAIVAGTDESSLEPWDRKEISVPDMGRYILSCSKGLTEVIQKTHTIQFIH ESVRDFLLKENGLGKLWPQFEENFPGNSQNKLKQCCEAQLRAVPGIDGETSQPVLRDG SSRLAKAYPFLKYAVHNVFHHADRAQELGVSQTNFLTSFDRRRWIILSNTLEQYPVRH HTLTANLLYLLAEEDAPHLLKIHPDFASHYDISSDERYQFPLYAALACGRSRVARVLL SQMIPEHCECGITTPSLDTYTAQYKRADFQPESNDTKDEYALRCIGFHTDLVFLHALV HHGNSCDRFRPMILRNVWKNFTAARDPRVFLILLLHRCPIEDRRALNNYILDYATQRD VVDLFKMILLPSADTALRASNTYAFSECDILRRYLTLSAGWDWEVPLTAAAAAGNITI AEMLLQSGGVDPNGDPEKAYGRRPLCEAVKQGQVCMVEMLLTCRGIDPDATDSEGCTA LQRAILLGRETPDVLKMVKLLLDSGCTPDKTSTLNQPTPLYRAVSWRMLNIVRLLLDS GRADPLCPSRIGGVGETPWNLALKINRADVVAAFLDSSLLGAGGALGKQWKSPLHVVL LYHSPSSNNLETIKLLVNSNKVDPNQVFDGKTPLQYAISFGQWSAVRPLLESGRVDPF LEVGSGKAPLCGLAEEGKLDLVQLVLETYPNHPRLKHCALKAAQLAREMSRKIRLEGH AGTASVCDTIRRILESHVASMGG
SAPIO_CDS1983	MEKKTRVLCLHGQGTSSEILRIQLAPYLFRLQRELGLEFHFTNG RLRCDPHPSLVGIYNGETYQNYSWNDDHGLPTEENKVAVKEAFSELGKVLERDGPFDG VLGFSQGASCTCGFLLNNERIKAGTQTDFFRFVILFSTSGIPEWETEDQETCKIQVPS LHVCGEADTEWFQDSKAVVDRCEDGSAELIVHRGDHSIPRDRPTVDKVVEGIRRLLER SREVNEP
SAPIO_CDS1984	MISRPPETANGTHDDDALPPIAVVGMACRFPGGASTPSKFWEMI ANKRSGRSEIPKSRMNVDAFYHPDPDRGGSFNIRAAHFLDQDISEFDAAFFSISPAEA VGMDPQQRLLMECAYEAVENAGVPMEKLAGSNTSCYVGCFSKDYHESLSRDLEVSPAY VCTGNGAAILSNRISYFFDLKGPSLTVDTACSSGLVAFHLACQSLRAGESKASIVGGA NLIFNPDPMVAMSNVRFFGPDGRCFTFDHRANGYSRGEGIAALYLKPLEDAIRDNDTI RAVIRGTTSNQDGRTPGIMLPSGEAQHALLTSAYQSAGCDPAVVGYFEAHGTGTVVGD PIEAGAIGSALGKYRPEGQENALYVGSFKTNIGHLEGCSGLAGVIKTVLTLENGLIPP NINFERGNDKIDFEGCRIRVPTELSPWPVAGVRRAGINSFGFGGTNVHAILDDAYNYL QLRGLRGSHRTVVNPSLHGKHEALTAVTNGNDNVNGNGVNGNGVKGNGVNGHSHTNGH SDGNGTHFERDAVQTNGHEKATESGSQHRIFVWSAHEATSAKQIVSTYASYLKQEKIV EGSKDEASFLNDLSYTLGERRSSLPFKVSVVADSLDDLVTKLESLNQSPTRSDINQAP SVAFVFTGQGAQWCGMGQQLFESYPVFQKSIQLANDTLASLGCTWSLIDEVIVPTDKE ASRVNDPEVSQPACTAVQLALVDLLNDWGILPQMVIGHSSGEIAAAYAASKLTFASAL KVAYFRGIVSSQVKQEGAMMACGLSAQDAQERISRLDPASGKLVVACMNSPSNVTLSG DLTAIQAMENMIKEEDESIFARKLKVKTAYHSHHMKDVASHYLELLGELEIPTREAST PQKCEMISTVTSASTADVDLGAQYWVDNLLSPVRFSEGLESLLLSGASSRTRKSLETG GGRSPVSILLEIGPHSALAGPVKQIVSSALEKASHGTNRSISYFSALIRGRNACETSL DAAASLWTCGVSVRLRRANEPVQSSSTPQVLVDLPSYSWNHKKFWMESRLSTDYRFRS APRIDLLGAPVSDWNPTEPRWRNFIRIQEQPWVKDHQVQDTVIYPAAGYIAMVLEAAR QITSSQDKERVTGFTIADMVISRALVVPQTDAGVEVMFEVRPLRSSSKTSSDVWKEFR VFSFSTPGETQEHCRGVFTVTLAQQQPDVVDDGREGKEATNWYDENFDSTKKQCTTPV ATSQIYETLTNIGLLYGPTFRNLTNISYGTGKATATITIPDTKKVMPFEYEHEHLLHP ATLDAFLQSFFPALTRSNIKEAYMPTFIQKIEVSNAVAANKPGFELEAVSEAAFAGYR QASGTIYVRDSLSKTPVVTVQGLRCTAVTSTDPGADGVSSGEEKKLCFDVQWALDLDL NGKDEVEALLGDTGVADTSPDYIGTLEMVASYFYQKALKEVGESQVVQPHHQKLYRYM QHHREQLSSGKVAHQTSQWLQFDTPEVQEKMENLISTVESADHEAMLLCRVGKSLSCI LKGDVDPLALMLEGDLLYKYYENALGLATTYAQLGKYITLLSHKHPNLRFLEIGAGTG GATWPILQALGGNKGAYPRFEDYTYTDISSGFFEKAQEKFRDWGDLIRYRKLNIEQDA VSQGFAENEKFDVVVAFNVLHATANISNTISNVRKLLKEGGKLLLLEMTQVLNRGFLP FGTLPGWWMSEEDFRPWGPTMDEATWDSVLGKCGFGGLSLSIPDHRDSRLQCGRLMVA EAIHDTPIPKSNGDLAGSSPSIIIITTEARADGEEEFVAGLQQRLLEKGHSSSAKSVS QLSEKELTSTICVFEAEMWQPLVKDISPADFEQLKLVLDKAAGLLWLTVGGSQEANNP DAALIHGLARTLRGEHPGLPFITLDFSADSPLSATECFDKIYLVLEKVLSARPAAEED TEYSEKGGHLYIKRCLEATGLDARLSELGGKATSGLETKMEPFYQPDRPLTLDIAVPG LLDTLRFIDDPTGPMQLPPDYVTIDVRAVGLNFRDIMVSMGQLVDGFLGCECSGIVAE IGSEVTHLKVGDRVCAWTMGGYSNSVKNPAKLVQRIPDSMEFETAAAIPVVYCTAYYA LVDQARIRKGESILIHAAAGGVGQAAIMMAQFYGAEVFVTVGTKQKKEHIMATYGIPE SHIFSSRNLSFAEGIKRQTGGKGVDVILNCLSGEALKASWSIIAPFGRFIEIGKKDIE ENTHIGMAPFIRNVTFASVDLTVMFKQRRDLALHLITSVMALLRDESIGVVTPITTFP FSKMEEAFRYMQMGKHMGKVVLTPQRDDIVPVVPRKKTGIKFEPDASYLLAGGFGGLG RCLARHLVRHGAKNLIFVSRSGTSRSESQSLVDELEAAGTNVRVLACDVTDDNKLQSA FGAALAELPPIRGVIQASMVLEDRVFANMPYETFAKVIGPKVHGTWNLHNATLNQPLD FFVLLASAAGTIGDLSQGNYAAANAFQDSFAHYRTSRGLPATVVDLGMIRSVGYVAET EGATQNNLSRWGFVLIDEEEFLAMMSIAMEGSNINDGEKRCQFVTGLGTQAYFDRTQH EIPHWFNEPVFSHLRMMRARTVGAKTDVEVSLVQQLEEATSIDAAAAIVVDALLKKLS KALMIPLEDIHAASPTSAYGVDSLVAVEVRNWLLREFKADIPVFDILQAPSLQLVGYK VVEKSGLLSEKFTRAED
SAPIO_CDS1985	MGKKRPQSLPPVATDKVIPLNSYDDNDVNASIVLYFMIRFDDVL DPEKLRAAFEKLLNREGWRKLGARLRINAAGKLEYHVPEQYNEKRPAFAYSHVKHDMP IAKHPLGSQVPSGYAGEGPSVVIEPRKVADLAGVETGPKVLADYVGQDRGQLGLHIES FTDATLVTLNWLHTLWDAMGRREFLLSWIAILEGRDDDVRPFHGFDTDPLKELGLHPK EPYELANRRLSLFQLIIFGLRRFWEEWRHEEGGRVLCVPSSFLQKLREQAMADLKEEA AKDSRKVDEDFFLSDGDLLVSWIGRSIVKHSPIRHSRTIAFFNAFGMRGLLQKDGTLP SDEAYVSNCVTSVYTLVSAGDVVNRPLGYTASRIRQTLKVQGTREQLEAGLALIKESY EATGYPAIFGDATMQMVIISNWSKARFFEMDFSAAIVEGSGDPSREGSKKRGKPTYIQ PVGYSDYSKRCAFNVSGKDNDGNYWLAGGLRKAAWERMEEALKRGEY
SAPIO_CDS1986	MPEDRQPVEIILGTHTIGDSSALPGVIHFDDVKDVQAVLDSFYN RGFRTIDTARNYTGSEDRLGKAGAASRFTIHTKVLDGPPGSHEPAKLEASIDKSLADL RTSSVETMFLHVPDRQTPFEDVAEAMDKAFRQGKFKNFGLSNYSPAEVQQFIDICEEK GFVKPSIYEGHYNAIVRGGEKELIPLLRKHNMAFFAYSPAAGGLFTGNAGNSTASKRW SKDNIIGKVYSDTYGKPTVEDTVAAVRQAAEKYDVTGHAAALRWTAFHSILDGKYGDA VIFGARNMEQLEQSLEAFEAGRLPAELADAISAVYKAVEGEDEPPFHL
SAPIO_CDS1987	MSAADVTRPKACITCAASKRNLGDWAAAATATAPGQDLSFLPST TLIPDDVINATTLLDPFTESTEHGLQLLGSGQFFVGDTDLWFLQDESWAKQHKRQPKP PRKSTDFEMEVFIGAVREMLRSWVTDGHNGFIHEQLYKKGMCACTQDAFTTLAAYTSC TPAVKGTILQIAEDRCSALVLQGLLDAAEGSECDAQAVRMALGRTQALFTYLFILIFD GSVWARASAERQVPILRTWLVQLLSTTHKYCDECRQSLEGRLPLRRTTTAGIFDDNYY EAATELWKHWILTESVRRSQIVIEAVLNIYMCMVTGLAECTGLISFTARRGLWDAKSA VRWLELSWARTPLLASPVSAELCISQYAAEEIDEFAKAVWACAIGTEKMQCWTDKSNR SKEENQFSLV
SAPIO_CDS1988	MSFQGLDPESLALVIELQLADIQSMAKGKHKAGEMRDDQLAMET YRRELTSMSQCIADRRLCDSMARAVEQDADAIAAHTQIEEQAVNDRMEALRLDPELSK TAAQKHVPSDPLRGMFKNLSVSHDDDANSVSAAPESSSWAAKRGAAMNTKRECVSCGE VVPPLQDIRHRRVAVSSSLL
SAPIO_CDS1990	MALTFLKHGKITVDEEEKPVLKAAHRKVDKRLLLWYAFVYLIMR IHVGNISNAAIINLEQGTGIKKQLGGLTSSQWAWTLSIFYYPYMFFEPVATLLLKRFS PSVWMSRIMITWGIISMCQGATQNYAGILACRFFLGLAEAGFYPGVLYHLSFWYPTDK LPLRIAFFYACGMFSGTISGLLAYAISFMNGAGGLAGWRWLFILEGIPAILCGIYTFF FLPNYPETAKFLSEPEREAILNDLPDQAPTMNAKTFSVEQMKNLLKDPTFIPFLMIWI THGIGGWGISFVLPTVIYELGISNTAISQVMTMPPFTLVFVILLTLAYFIHTGRLNLW VAGLGVEIVQIICYILLITIKQPVAKYIFVMIATAASQSFFPIIWPERIRAAKGTTSA GLAIGLTNASCQLMGIVGPQIYQPKFGPTYRVSFSASIGILSATVLSVASAWFFVARG DNKARAQADSALETGESDGTSGFPVPIEKGELKA
SAPIO_CDS1991	MPSAVLPEPAPLPKWQRPAKTTASLPWADIKVLDLSKFDKPGGK EELAEELREAVHDTGFFSLTNTGFSPEEVERQYDIGQGFFSLSQEEKDQPQYRCDFTK GHYFGYRPAHEKTIMSTDVLDNVESFNIAKFIPQYANEAFHPFFEPYRAEIEAFSRKS LDLASKVFTLFSIILELPEDYFSSRHAYSSPSEDHVRYMTYHPRPLSEDDKVEHTWSR AHTDFGSLTLLWSQDVAGLQIKTKSGEWRYVPPVDNGIVCNVGDTIDFWSAGYFKSTT HRVIRPPEDQASIYRQGLFYFVRPGDAVDIKPAPSPLLKRLGLLKEDSDDQAPVTGLQ YVRERVKNYHDHKDYANKKGQKFVVGNLQIEDEAA
SAPIO_CDS1994	MEKSPTASLGVIENAPNGDPTFHDVDVRALDGGSSDLLKNQNQV KRGLKSRHIQFLALGGAIGTGLFVGSGVILSTLGPAPLFMGYISMMIVVWNVMNNLAE MATYLPLKGVSVPYFVQRFVEPSLGFACGWNYWYAYAMLIGAEASAGSILLDYWNSPV PAAVWITIILLVILGLNIFGVAIFGEAEFWFASIKFITIMGLILVSIVIMCGGAPNGD AVGFRYWNDPGAFKEYMAPGSTGRFLAYWSGFARAGFAFITSPELLALAAGETVSPRR NIPKAAGRFVWRLAIFYGLGSLMIGCITPSNDPNLLNPESNANSSPWVLGIQRAGIGA LNHIINAAILTSAWSAGNAFLYSGSRILYSLALNGQAPKFCARTDKRGVPYVAVLLTW SIGLLSYLNVDNNAAKVFNWFMNISTISGYIAWIVVMITFIRWRKAMVFHGMMDRRPY KTRFQPYATYFFLFLLTLLTLTNGFQIFWPSKFNAADFLAAYITIPAFFILYIGHKIW FKTPLARQIEDVDVVTGVKEMEELADMDEERKPKNIWQKIWYWVA
SAPIO_CDS1996	MTAANNDTSAENSQVGKARVGEKASELSKVEATLPESTENATDE SSTPPSGTKRSITGLKWFFAYGSLLSTVFLFALDGTIVANLQPSVVETFRTERNMAWI GVSFVLGNTTILPLGKAFGTFDMKWVFIICLIIFEVGSAICGAAPNMDALIVGRVIAG VGGCGIYAGGLNYVAILTTNHERPLYLAGIYSIWGIGCVLGPIIGGLFAQSSATWRWG FYINLPIAALFAPAYLFCLPRIQALPDMPFVQKLRLQDWIGMTVFLAGSTCFSLAINF GGTVFAWNSGSEIAVLVLTGVLFVAFVLVTIYHPGVPEAHKLLPVEFMRTFDLVALPL QGAIVAGAMFTAIYYTPLLFQFTKADGPLEGGVRILPLICALVVFALLNAFLMPKLGY YMPWYVAGNIAVVIGSALMHTASSNIYGYTVLIGVGIGCFQSAGVAVVSAISPPSEVN HAVSIMTIAQVSGILAALSVTGAIFQNLVVRKVSGVLSDYPLDDIVHLTTGTSSALFQ DLSPELKRGVIAQVTDAIRQVFIYILAVSSLGLVLSFLLSRKRLYLAGGEVAK
SAPIO_CDS1998	MKGLVISAVMAAIWSMAEGMPAVDAQGELEARQGFSQFLLSCHP DYEQDCLGPCATYCDRFGDVKSNCNICRRAACTCDPLLMGCRPYPDLICAKGSEDAAG EDAEGVVKSEKSWPAEIEAGEPAEIDTVDFDLTEAT
SAPIO_CDS1999	MKDHTKSRRGCFQCKKRKVKRRREHCSYLDQFGSAESSPCSCPA RHPVGRRSDIGAPRRSLEPLCYGMDLELTHFYLTHTYKTLWVRQEARLLWRDIIFLDA IMYPPLLSGILAVAAMHKILTCGDPDSAYKSIALRKQTAALEGFVPLLNSVTKETAEI VYSFSLLVSYWAFASLRLPLELSILSTTVDLELSQDANYSLPTGSILTQFLELLKRVR PTHAVLNETRPLVLTGKLSPMSKVPEDGELPGLDEDTKSALSALDRHIHPLPRCLSEY HASIPLLKMDTMHRIALKPEWGELIVAWPIRLANGFIEEVKLRNHVALTILAYWAVAF HTLEDRWWAKGWGISLISEISSIVTGPWAELLEWPRRRVGLDAG
SAPIO_CDS2000	MPRSFAACVEVTPDCPVEATTYGYYPSLGPNAALLAVYAVLFFS QIIVGFMKKVYSFSAAMAAGCFLEMLGYAGRIIMHDNPWSDSGMRIQIVCLIIGPSFI AGGIYLTIKHFIRMNGPEHSRLKPNLYTWIFIGCDIGSICLQAAGGGLAGSAKDDLQL LEAGNNVIIAGIAFQVATMILCGILAIDYNVRRHRNYAAKSYTKTKRAGIRAVLFQGG VVFAYAAVLVRCIYRMVALASAALTIFHPGYFYPYMRTGYIESEGTQLSSVEPSSASI ISTKPDNRT
SAPIO_CDS2001	MDWHIYLDANDNTGIRIDSDKLEIEMHEVLVVVYLIQEEVIKIK QEPNKGKKVKNKNPVQDILKIGDWTGGDVVLPLPMA
SAPIO_CDS2002	MGILRSTLLALSWLAFAHGKSSRSGHAPKPYYPPTADYTDFMIP VSVAPEALQFAFPKWETDYDLVDFLAAATTHQNPDTQSPITGSVVGAKSKTVLLATHG IGQARSHWNSPFRPEEYNFVQFAVDQGYSVFFYDRLGQGSSQKIPGSGEYTEAIGKPE KLALMGFSFGSYIIHAAVASSPEIADAVVLTAIGFNITGIYLNGLVRSFVPRIAKLQN KKYGKWDNGYLIWVDKFAQPFYDAETADFAEEAKQPFGFAEFYAFPLGNGGNYDASNF TGPALAITGRDDYIVCDGKCDGIFDEPARTFYKNAQPFVPYLHPDASHNFNFHHNATE AYKVITDFLAGNLK
SAPIO_CDS2003	MVLIRSIFLCLQATAVFCHQVCRVVPGDRGWPSEQAWNQLNKTV LGRLVATVPAASPCHDPNYDEAACLAKKEQWNLAQTHLLDYKSLEYEGPAMKLGAGVL SGEAAVAAHQYGYRVVSGGCPSVGIVGGYTQGGGHSSLSGLYGLSADNVLEWEAVTAD GRHLIATPSQHTDLYWALSGGGGGSFGVVLSMTVKVHVDGPVSGGFLSFNDSSIGPDT FWDAVSAFHDKLPSLVDGTGASVLQFASYFDFYAAHFGPLSYGAFPISAMITSRLIPR RDMADGSEAIHHALRSSVESGRFYLGCLGFDASLASRAATPGNAVLPAWQEALAHCMV MAPWDWTKPYTEMATYQDEVADVIMPALEAATPGSGTYSNEGNFQQKDWQRQFYGENY EKLLAVKERYDPEGLFYVTTGVGSEAWEADGEGRICPVKTHEGPGKDEL
SAPIO_CDS2006	MGVKTNWFSWSPADMSERFWNTIRQNYYLGFTSFGGPPVHFKIF RDKFVTKLKWIDEQVYQELFSVCQAFSGPGSTKMHYCINLIHDGFLPAFLGFLMWSLP GALAMYGLSIGVSNIGDSLPRPAYALLSGLNASTVGIIALAAVQLSEKAITDKLTRIL VFLGAAAGILYNALWYFPVLMFLAGIATIIHDFRWLHGPVIAIVTWVRNLRKRSRPAE EENMEMTQDSQANGKNQASETQDQQNKEPSSAEREPRVVPQERILNFSWQFGVGLIVF FFLTFIAIMVLRGVLPGKPLLFSFFANMYLAGTIIFGGGPVVIPLLREYVVAENWVSA RDFLIGLAIQQSFPGPNFNFAVYLGSLTAINGGYNSALGALLGFIGIFAPGLITVHGT MGVWSAIRGLRWVKSMLRGVNAAAVGLIYTAVYRLWQIGYMDEGYQQGTSLALEPWMV VITATSYVGGYWFGVSPPVAIIAGAVMGLIWYGVVSG
SAPIO_CDS2007	MQAAANSMLPHVIDLLKHVRGVDLTQEFSSELAPSGDALADIET LVRHLNDTGRPREAAALRTVVGICKTSANYGGLELKQGDISDISIQEIQFLVSTWLES LNSEDRARAPIIPSPTRPVGRRPMTMTEKIFAVHDVDRRDFVCPGETIRISVDWIMAS EASWSYTIMHTEFYRERAQPGAVIIGSDSHTCSSGAVGCLAIGLGVADVTMALVTGEI WFNVPECVNIRFVGKPERGIGGKDVILYVLQQLKRNTVAADRVVEYTGPGLKYLSPDA RFAIANMTTEFGGITGIFIPDSATMNFVNSRKLARHKSSSHYFRPDEDAVYAETHVID LSKAKSFVAKYPNPDDVVPVSDMENTPLDGCFIGACTTAEEDIILGALVLEQGLKKGL VPVSRGKRKVVPGSRPIVDMLHKTGLAAIYTEAGFEIGLPGCSYCVGMSADKAGEGEV WLSSQNRNFENRMGTGSIGNLASAATVAASSFEMKITDPQYLIDLIDQERWDQIKGRG SIREVLNSPEPPYVEPPSSGADNQVPHNDGSVAREGVIDGKVQRLGDFIDTDALAPAQ YLVSSRTDDELGSHCLEFTNPGFRSRVAQGFDIVVAGKGFGCGSSREQAVSALLGCGV RDEFFEAAQDGVAISVDLRKNTVIVGEKEFAFGLSKIEKELIGLGGITPAFMKFGKDL FQGLCSSRHNSGAGNGIKGGGCSSGKLLEW
SAPIO_CDS2008	MSRLGMPDLGVATLNDMRDNAAMIASVDPSVPLIADADTGYGGP LMVGRTVSEYIRAGVAGLHLEDQVITKRCGHLLNKQLVSEDEFLARIKAATMAREKAQ GDIVIIARTDALQSLGYEAARDRLKRAVEHGADVAFLEGINSAEEGRRICRDLAPTPV LFNCVAGGVSPELSSTEAGELGYRIIIHPSFALGPVYRAVSEAAKCLKSTGSEKKYAS VVSPKTAFEVCGLREAVEFDIEAGGTLYSVGV
SAPIO_CDS2009	MASEDVVRRYDYKGDAKRVYDVILAGGVAICPATIGYGIITSDP RKLEKIFLTKQRNATKRHAMVGSYPVHRVLQVVDKLGREIVDHLVLDLDLPLAVIAPF NRDHPMFKKLDDVTMDAASVNGTIAILINAGPFQDELTKLSLADNQPILGSSANISQT GTKYRREDVQPELNAIADISLDYGLLKYNRYARSSTMIDFSGPKPEIVRIGACYEIIK DALWRHWKIETPEDPGLEANPFGHLKHQKPLASLEKLIATPKIAA
SAPIO_CDS2010	MDRNAGAKSSHDYADEKAHEEIRTEVASEMATLPTGERSQAEKK LVKKTDLVVSMMFGLSYFFAYLDRGAIGNARIMGLQQDLGLSNDRFFNCLLIFFIGYA AFEGPTFYLIRVFHAPTVYAVSALVFGVSALLTAYAKTYAHLLVLRLFMGFGEATVQT GFVYVSLWYRREEMSVRASYLFAFTPIAGAISGLISYGIQRGLEGAGGYPHQVMGAKV EFWQIKTAIKDIKVWLMAFIAATHATGLTGFSIFLPTFIHEFGYSALVTQLYTIIPYG VAFISLIAVTRISDRLVMRSIPLLIVTGVAIVGFIIILSQTNPAVGVFASSVITAAVY PGVAIVSGWIPSSNAGYTKRAAATWITQIFCQAFSIMASKIYDKPPRFFKGHGVLLGF FVLTFFFLIVLTYLMKRHNKEKDALAQEWEARGEPNPDESKTLEELCDDHPSYRYMY
SAPIO_CDS2011	MAEEDKRSKRGANSSTLMISQAESDQLYTIFDKRQKIIIVFVVS TAATFSGFASNIYFPSLPTVAADLNVSVELVNLTVTTYLIFQGLAPSLWGPISDVKGR RVTYCCTFLVLLGACIGLAETKNYATLMVLRCLQSTGSASTIAIGSGVIGDITTREER GGLMGIFQAGLLTPVAVGPIIGGALSDSFGWRSVFWFLAIYCGVFLLFLVALLPETLR SAVGNGSRAPSNPLAKYPLRFYQRSTTTQWSSETPETPLPPPKNVDVTGPLRILTSKY AAPIIIFVAMYYAIWQMEITALSSLFKDKYGLSDTQIGLTYIANGVGSMAGTLITGKI QDFDYRRMHARLERLGHSTDVEDFPIEKARLRVVPIFSLLQCISVALFGWTTQFPRRV HIAVPIVSTFITGWTAVSMISLVTTYLIDIFPDRGAAASASVNLARCLFAAAGTSFVM PMVNSIGVGWAFTICVGLQLVATLAAGIQWKFAGTWRAEEEKKRNKRNSSIEAQEESE ELSTPSGGILGRAA
SAPIO_CDS2013	MAEHGPIGYGLMGLTPHHGGIPEEQAFEAMRAAVEAGCTVWNGG IFYGTPENNSLTLLRKYFEKYPEDAPKVEINIKGCTVPGKIEPDGSKEAVFRDVQRAI ELLPPHIKKIDMFEPARVDKNVPLEETINALKECQDKGWIGGVALSEASADTIRKASK IVKVAAVETEVGLFTTEALTNGVAVACAELGIPIHAYGTLGHGFLTGTIKSYDDLKEG DFRRIFPRFYPENFDNNLKLVKEVADLAERKGCTNAQIAIGWVVAISKSEGMPKIVPI PGAATAERVRENAGAVELTEQDMDEINRILARCVVKGERYPVPFLKLLDG
SAPIO_CDS2014	MVSQTQKQWTLQGKNGFDSLIYNENAPIPKIGENEVLVKFHGAS LNYRDLTIPIEGGYPIPTNDGVVPGSDGAGEIVEVGSKVTKFKKGDRVYTLFNQGHLS GALTPSAGMTGLGGQLDGTLRQYGAFLELGVVKIPQNLDFLEAGTLSCAALTAWNALY GIEGRSLKPGDYVLTQGTGGVSMFALQLAKAAGAKVIATTSSAVKAEKLKKLGADHII NYREEPNWGDVAKSLTGDQEGVAHVVEVGGPTTVAQSLKAVRMDGVISIIGYIGGQSN DQPTFQDILMHNCVVRGIMVGSRDQLEALNRAIEANDIHPVVDDKVFILSELKEAYKY MWDQKHFGKLRIKIEH
SAPIO_CDS2015	MILDSVLEKVATFKALFVLTPPAAVAFWLLGAAYSYYFHPYADI PGPSWAKVTRLWLARQVFAGDLDKTQRDLHEKYGPIVRIAPNEVSISDPAALKTIYSV NAGFTKTDFYTPFAPHLSPNEDLFTQRDEKIHAQRRRFVNNLYSLSSILQSEKYIDAC SNVFTARLDEFAASGASFDLGLWLQMYAFDVVGELFFGQQFGFMEKSYDYGGYIESLD TLLPAVAVSCVLPSYVRPLKVLGHLFPPMHRALKGYDDIVIAAKATVASRQKLMEANK VERSDLLDKLFKIMNEKDDFAICDIATETWVSLFAGSDTTAIAMRSIMYNIMRNRDIY DKVTAEIDQATVEGKLGNPITYADAIKLPYFVACCKEGFRVYPSVGMSMPRHVPANGV TISGRFFPEGSRVGMSGAVLHYDKSIFGEDADVYNPERWFRPGTEVMERHMLHFGAGP RTCVGKNISLAEIHKLLPHILRRYTFELVEPEKEIKTLNYWFNKQMGINVRVTRRTA
SAPIO_CDS2016	MSDDGPDSEPTFACSMCPKRYKRRSCEVVGGARPSQSRRRACDF CMKQKKACTEGQPCENCRRKSVRCTFTRRGDSTIDESRDKESKPDFEVSGGTSISISD GPSISQPADPLIFPPFSIYENPSYCVTGAYTLVSDVFGTETTNDSHWANVLDVATESL EDTTIPKPNRYSFDFLYNFTSRTGLLVSFDCATENLRIQVLSAILQAQTAADPLVEAA DPVDLATTPEAFTQTPVLQDPLVLKTYEILLGVKEVTRSNAETAPWIPSSNRSKVQAL QAAYIVCVYQNWEGTDSSKRRVRRYRFSMVVSVARDIGMASARHLDYDPLNMYNFDWE GYIAREELIRTFLWIFLFDYAFVIFNNLPPRLVIKEMLMHMAWPEACFQAQTADECAR EIQQWLQRSALTKSLTVRGAVEMFCKNTMVPEMYIHLADLGPLNLFAILLAFHSLLFL QQNSFVTEDCRIVRLALSNWHLVWETYTDQLSTSPPHAMVLPKDFIPGENMWKRVGFM RFAPEFWLLTNVVVDRIIAANKLPPSSDHAVADGSTESTDPILRKYDQTSMRQVNDLI TEFQKVQIN
SAPIO_CDS2017	MADKEAARSVHHVDLKEKFDNQSLVGKERRNGYQHLLTEEQEQF LNSVEPSEQDKIFRKAWNAKIEGLEADLGMSGTDYNLIAAIFFIPYLMFELPSNTLLL RFKRPSYYLGVIVTAWGLVMTCTGFVKNMGGLAACRALLGLFESGFFPAAVYLISKWY PPNRTYWRVAIFYSASAASGAFSGLLAAAIAQMDGVRNLEGWRWIFILEGVASVLIGL MCFFFLPDSPSLSKWLAPDEARFLNLLHDATRGRMEMEKKKADNRKTLVKVVKDWQLY VHALIFNGVGIPLYGVKFTMPQIVKNMGFASTKAQLMTAPPYAVAALASLLCAWFSDK YRWRMPFMVGPQVLTLVGFAILYVVSDKLKDNIALAYFCLFIVCAGTYPTLPGINSWS SDNLAGPAKRAMGLGFMIMMGNVSGFGGSYIFINKEAPKYPTAYGLSLGLLCTAVVAS ISLDYVYWTINKRRKAMTPEEISAEYTNEELDELGDRSPLFRYQL
SAPIO_CDS2021	MSHYQPRGGRGGRGGGGGGGGRGGRGGGGGGARGRGGFGPGGGG GGGARIFSPGGPDSPPSTTVAKAEDDFLSKQIANLSLKTSPPLRPGYGTGGRPITVWA NYIELVASSDLTLYRYDISTSPGATGRKLTQIIRQVLQAPELAGFQQDIVSDFKSTLI SRRKLGGNQISIKVTYHDERFEEPREDAPVYTVRLLFTNTLSIGTLINHLKATAPSAD YVDKMPTIQALDIFLNHHSRLSKDLISVGRNKTFSLKEGTANYSLGAGLVAVRGFFAS VRAATTRILVNVNVSHGVFYQSGPLDAVMNEYLDRNRNVTGLHNFVKRLRITMRHLPE RKNKRGEVIVRAKTILGLATQNDGQGTEHPPKVARFGAGPKEVQFWMDSSTGSAKPAA AQGQGETGKKKKKGKGAPAAESSKPSSSTGSGKYISVYDYFKQTYNRSVNANIPVVNV GTRERPTYLPPEACNLLPGQNANTKLSPEQTQNMIRFAVRKPWENAESILRDGLNTVG LSPKTNLLLGHFGVSLTPSLIKVPGRVLPEPKVLYKQAKSVQVRFGGWNMTEVQFSAA GNLKKWSYLVVTHPGTRNVYDSVNPVDVIRSFAEVLKKRGITVAPPMPGRKLNLNNPD DPQLSNLMQGAAGPLDLLLVILPTSNTPVYYRIKSYGDTRYGLHTICVVADKLAKQQG QDQYFSNVALKFNLKLGGQNQLVDPSRLGIVNEGKTMVVGIDVTHPSPGSSTSAPSVA GMVASIDRHVSQWPGVLRFQSEARQEMVSDMKDMLKSRLRLWKELGKNKTLPENILVY RDGVSEGQYQKVLVEELPLFREACKEVYPAADQKAGLPRLTIIIVSKRHHTRFYPTSE KDADRGGNNPAGTVVDRGITEAGTWDFYLQSHTAIQGTARPAHYVVILDEIFRDHYKK GVPPPYRNVADVVESLSQTLCYTYGRATKAVSICAPVYYAHILCERARVYLKDVYDST PAASGAGSVAGSLGRAGTVGNTDVEPHLKLRNSMFYI
SAPIO_CDS2022	MIGRLVRREDSSDSSSFTVTIECGPENDYDGRLGLRISAIFVIL VGSLLGTLVPILLARTQRLPFPRTALFIAKYFGSGVIIATAFIHLLAPAVEALGSPCL DEDSPITQYSWPEGIALMTVFLMFFIELISSRYGIPGFSQSLEQSDKEGDVEQDANTT AVVTDPKESVPAAHEHLGHAREHTEFDSLAMQMTAIFILEFGVIFHSIFIGLTLAVSG DEFVVLYIVLVFHQTFEGLGLGSRLAVAHWPPGKGWMPYLLGTLYALSTPIAIAAGLG VRQSLAPGSSSTLIVNGVFDSISAGILLYTGLVELMAHEFLFSTEMRRGSISVTLAAF ACMCLGAGLMALLGKWA
SAPIO_CDS2023	MFRRTFLLALALVPFSLAQVSEDFEGGWDEASWPTYANDCNQGG KVSLDSSTAHSGKNSVRVDGAGGYCGHMFFGTTKVPSGDVYVRAWIKASKALTDSHVS FITMPDSAQGANKHLRIGGQSKILMYNRESDDATLPDLSPQGIAASKALPTGTWECFE YHLGTDGTVETWLNGDVIDGLTSKAGVTNANAGQWQRSSVKPKVTGIYFGWESYGGDT NTFWYDDIAVSSTRVGCDEAPAA
SAPIO_CDS2024	MEAFPPAPQPIGIFDQFIARQTETVVVDEKVMSLSGDSFDIKLA NGFPLLRVQGNALSLRGRKEVFDMANNHLFTIVNKLLSFRPTFLVENPSGQTIMEVKS SLSTLIGSKATITFTSKSGKQEVLVMRGNWRSSSADIVEESTGFVVARIKRDRNLKHY FAGQQTYTVTVAPGVDMALVVAMCICMDEKNNDK
SAPIO_CDS2025	METPSETRSAPIPLRSEQDHAWIEENGEPNLASLQGLKPTDRGW DAWVVLIAGFVFEALFWGFPMCFGVFQNHYSHIPEFQDDSHNIALIGTLAQALYYLGA PFSALATKRFPRYQRLQIWIGWPMCILGLLTASFASSVSGLIVTQGLLYGLGFVILTY PIVSMINEWWVARKGMAFGLISAASGATGAAMPFIIQTLLDTYGYRTTLRACAVAMCI LTGPIIPVFRGRLPPSEQASLARSDWRFLKRPLFWVYGLAILVQGFGFFFPIEFLPSY ATSIGLKSIKGALLLALMSIAQVLGQFAFGYMSDKNLSVGVLATSCCVSAAAASLALW GPADALWSLIAYSLIYGFFAFAFGTLRVAMGREVSDDPSAVFATYAIFVFLQGVGNVL VGPISAALTASKAVSRGHYGAGKYDGVVILTGTTSFLAALIIVFWKTYAKVLAF
SAPIO_CDS2026	MDNLISSVPKGTKETFWVLISSSTVFIFLRFYYKQRRGRGLHRD DLVLFAAWLPLVANGIIITVILDLLNSAQTTPPSVDTLNSMVLLGMFSTTLSLMSQAW SKSSFAITLLYVSDGWMAYFLWFAIISINSLVGTAALLFWIGCTPLEKSWRPLVEGTC WDVRINIVFNIIVSAYSGVMDLLFAAMSWKIILPLNLEIKEKMGCVIAMSMGIFAGAA AFIKCSKFPSIDPENMGDTMQLAIWSVVEPAVTIIAASIPALRLLVRSFAAKKQPAAK DAEEKFASDPSHTFHSRRLRRGTQT
SAPIO_CDS2027	MVNLTRIFGCALLVGVALGHPGGHDRSNAMSHNDRRAFRTRARR SLDACSEKLEQDRTLHRAAVKRADVFRKHGKRGLSRRDTPTVLATDHSVEVDASVLEN PHDLDVTLFESPTACIVFPEGEIGPFWVKGEHIRDDLIDDESGVPLHLHAQFIDVNTC KPIPDLYMDVWNANSTGVYSGVQSPMNGNPADDSNLVKAALRGIQKTDEDGVATFKTL FPGHYGGRCVHVHVVAHLNAELLPNNTLTGGSVSHIGQLFFDQALVDEVEKVAPYKDN TAIITTNERDHVVNYETADSESDPFFYYTLLGDSVEDGILAWITIGVDATQDYETQCG AELGEDGGKMCEITGNFGIPGGFPGGPGGFPGGPGAPGGPGATPPQQP
SAPIO_CDS2028	MRALPLILVIALPFVASPTAAAAALGPRHFETAKHKHISSLDTF DAAPTVSGSPVAFSAAPTFLPVGDADALTDAHDTIDANELPSQSIIARRADAAAGTPT PTLNPDTGGYRLPDSKDADGFTCHGAEYYRPMVEITCNRWDLVSERPFDFFEPDRMHT YMIYIPPIGVAYSDLGVLRWAARFMEEIGRRKPSEAQVMILDSHYRPWREDNWGQGVE IRYVLRDTPKLNVEEATSAIKDAVKEIRAFSYLEMAGCVDGRDIEVDWAMKDYGLLAT MRGLRLKQQIELKGVFDAVTDERMPFSIFFRRYLKA
SAPIO_CDS2029	MAPVYADQPFKLLHTPIYELPKDEKPDFIQSLASDMVLVHNILI RGLNSIILQAPHIQPEDEIAFCQYILHWSQFLEIHHSGEEKYFFPAVERLSGQKGLMT VNVNQHDKFHAQADDFADYAKACIAGTEKFSRQEVVKRVDSFAENLVTHLNDEIPTIL GLREFGLEKMKPLMAVVEKEVKEGMGSMTFTGGLPWFLCSNDVGFEDGLWADFPPGVA PKVISFVCRHLTFGVHADWWKFGPCDRFGKLQPLYAGPKE
SAPIO_CDS2030	MPIVTDFKLPASTGALALPGQSSAAIFIAFITADDPHTNQPWCP DVRAALPYIKAAFSADSAPDLAFVSVGQIPEWKDKSNVYRTKWNVHNVPTVVRFEAVS GVVKETGRLVEGEILNEKKLSNFIRGQ
SAPIO_CDS2031	MARFKRAVRIANCSGAESDSGVHMYNQAKFGQIDVITGDYLAEV NLANFAVDREAFGHPGWAPTALDGLEQALEIVNEKRIKIIINGGALNPKGLAEKTHGL VKDKNLNLSVAYVDGDDQMPKVRQILGDFKSGVLPHLDIANGDVKLARDTLSFLDEPE KMPIVSSNAYLGYRAIKRGLEEGADIIICGRVADASPVIGAAAWWHGWSDENLDELAG SLIAGHLIECSTYVTGANFAGAYRYPADAFVGLGLPIVEVEGDGACIVTKHQELPGFV TPDTVKCQLLYELQGDIYLNSDVKADISSIKVESESRDRVRVFGVKGHPPPPTTKLAT FYKGGFQCEMLMNATGYATSHKWDIQETQMRAKLDEWGITEQLDELDFQRVGVPTDNP DSQLASTSYLRVFAQAKDAAVLGKVPAAWMYNGMAHFAGMHCSLDMRTARPKPFLGFY PSLIPQSELEEAINIFNADSTKSPKRLLVGPPTKTEPLKPRNNFETKDPVPLENFGPT FTRPLGDIALARSGDKGANVNIGLFVQTEEQWEWFRSFMTRTKMQELMGKDWRDWYFI ERVELPNIYAVHFVVYGALGKGVSSSKLLDGLGKGFGEFIRAVHIPIPTKTCGCHIGD VDLEADGDGFTEWRVSSSIFETHSEDIFRMTSHACTKSSPGGGLYQWLPEVGGRQLRV WNPVSKGAEQAGDALGGASPGFENVGGGIDGELRGECHCGGVSFAISRPSSRILQDEK LKKLVSRLDKSKWQAILDICDDCRLVTGTHVAAWVFIPLSCISPSLPEDLELGTLTVF ESTKDVWRAFCGVCGATVFYENKIRNRERSERVIDIATGILRTPDGSVGRGWFTWHTE KIAFQESGDKFDAAFSQALRVGFGSWGKQEYGARGG
SAPIO_CDS2032	MVTSAEYSVPREARALFEEGILNNPLMSTLPTELKPLSKHVTFT GTEKPSIPINWRFAESISALKAFEATMLNHLLTRKYGIQPVDIAINIDHASLFFMSPV VAQYIKDGTPVPLDPFSPDMLKLIPSQDKHRSQDNLHRVLATNIYKTEDARFYHIHDG EEGDTYDAVVERIQNAVLEHEAAPLDDLMNEKYRQAGTIAYTSAEYFDSDHGKASGKV GLYEIAKDVASSQPAAWWEDNDSLLASPKRPLAGLKVVDLTRVIAGPAISRSLAEMGA SVMRVVSPHVTDLSPVFQDLNWGKWNAFLHLKIDGYRPGVMDRLGFGRQAIFDLVKDR PAGIIHCCGVSRAYADAMGVEGAVTPVFPNSDYCTGICGSSAVLEALVALNYYSQWLV RSCGAYPQEIWDELWTRHGSPTFQYYHAMQHLLPAMMKMLYQYDAKTLFQADFFEPRV SKALNTTFIQVKPIAQFPNKEVELGYNIGTRGNGVDQPVWPKNLTVEIVTG
SAPIO_CDS2033	MQLSFVQAMDWFGTYTHVIDVLILLAVFFVGFIVRGSTAAKSPV PLPAQQYGYCKKSSTKQKHGGEAGCPYEYLVGIYGRHHFEPFVKGFRPTLKTEDPSKY TLILDIMDAVHFALILVDDISDNSYQRKNQPTAHLIYGASETANRAYLVLTRVINRAL RERPVLGAELLKALEDILQGQDLSLVWRRDGLKSFQYQGNERIAAYKNMAVLKTGTLF VLLGRLLNDGGEQLDDLLTRFGWYAQLQNDCKNIYSQEYASNKGSVAEDLRNGELSFP IVTALNEESTRQLVEKALESRTEEDIEAAIDALQSERVKESCMKALHDASNGLDKLVA LWGRREKMQARWERSPTVRKNPLDVPVISFICRNRACRTLMSDRPNSSASGTPIKLFV MCSGPVEEGGSMEGAQVARNAARKAEMCVAVEKAKRKRSRNIRYLLTTLR
SAPIO_CDS2034	MEDFKVLIVGGSVAGLALAHCLERLGISFDVLEQGDEISPQVGA SIGILPNGALILDQLGIFDDIEKVIEPLVFGYPLSFLERQKFLAILYRKLQHKERVHT GQKVVSIENRKTHAVVKTACNKEYTGHLIVGADGVHSIVRSEMWRHANELAPEAISEK EKSTMRVYYACIFGISSAVSGVEDGVQLSLLDYDLTIHVFNGKEGKVFWFVIVKTDRQ YTYPDRPHFDQEDGRKICEGLKSKKLDATLTFGDMWSRCDIFTITPLEEGWLSNWHFG RLVCMGDAVHKVTPNIGQGANMAIEDAAALANALWKGDLQRAMEATPALEDMLHHFSS ARLASSKKICTQSELLTRLQSNDGIVKHLVARYLLPAVNDIPAGSSAALLGGSQCLQY LKLPERAQRPRSWWAVIRDLRSFFPKPHGLTLITMLFDRLTTIEPDWPNVKITGAIIF AVALIRYLNYDKTVDVPVVGPGVRFTKWLAAHGDLLAKLLLAPRQVKNLQDEIHNEIE TRRDSRDKNSMQDLLDFSMNWVDEHRSAGWNDDHITDMMANTIFAALHTSSQLVVHTI FELATRPEYVDPLRAEIRECFAAYGDGTKAAMDAMYKMDSFIKETQRCNPLDASALAR LAMKPFTFSNGLHIPKGTFIFTPNSPIFEDERFYADPKRFDGFRFSRMRDDPDLKASA PLTATSEYSMHFGTGRHVCPGRFMVSDEVKLVMVHLLLNFDFAIKDFRPRPQNVAFGK FMLPDMYAKVWLREAKHEVAVGDGTSD
SAPIO_CDS2035	MDMDLIVLPLRYVSELRSITNDKLDPLIASFDDNAGLPKIIPMV IDELKFAFDKVLPKSDDGDWISASVYDMVLELSTRAAARAFVGEPICRDETFLETSAS FSRNTFNTIASFRNLGSTIGSLFGALVPSVSDAREQLVYVQQLLGSEVERRRGCPEEA HDDFLGWCMELARTEEESKPEALAHRTLGILSMAVVHTTAMATTHMLFDVIANPALRE SLTKEQEEVLSLGWEEGIGQKAMLEMRRLDSLMRESQRFNPVGEFTFRRVVREPISLS DGYKLQPGQQIGVLARRINMDDNTIDNARSFDPLRWANQQGASASFAHSSASNLHFGL GRYACPGRFFASYMIKAITSRLLLDFDFKLEDGNGSFRPPNTIQGDKILPNRDAMVLF RRRRRT
SAPIO_CDS2036	MTVLEQTPRSDTVDLDYWARHAVPILASLLKSAESYSPADQDAH LRLLTDHVLPNLGPRPSAAHTGSLLTQSGSPFQPSLNLSAGKPIVRYCWELLGADGGS DTDPFAVDAAQKILFSLSKTLGFSTQWSDELLSAFAPTPEEAQDVRERLPKWLANFTS AEAGVPSLKRLPFSFVAFELKGSKTSTKAYFNPKIKEVATGVPANDMVWRVLRDLKPA LNVESIDIVAKFLSERDVPSTIELVGIDCVDDARLSDARVKIYVHTRSNSFNTVRDYV TLGGRLQDERALKGLASLREIWHLLLQEPEGIPDDDYNKPLNDGSMLCQSLYFSFEMR PGAASPEVKTYLPTWNYVRSDEETVRNYEEVFRKCGQAWGHEGRYKKAFEGAFGPVNH NRKKPVHLDASFLYSEKNGIYQTLYFSAPLVAEE
SAPIO_CDS2037	MMAVRAVPSLIRPLLNFLLPSFWKYKASIRRGKAILIPEIEQRR HLEATDPDYKKPNDLLQAMMDLSTPGGRDSQPSNLAHRQLVITLVAGHSAAAAGSHAL FDLVAQPRYLKEIRDEAAEALQGQGDGWGKQTLSKLLKMDSFLRESQRWSPPSLRFHR VVEDPEGITLHDGVHLRHGTHLCMMPHAISSDPSVIPNASVFDGLRYYRLRRQSPAEA MKHQYATADKNHMHFGYGTWSCPGRFLASNELKMILATLLLRYEFKYPEGSSRPINRN IDEFPYVDTDTELLIRRRRGA
SAPIO_CDS2039	MAHIRLYTVDEFPAPHPICNECIGIFATRRTLGEWDDTHAHHKK VSLKSTVTCKLCCRLWDTFFTEGPSTADVQAKLEVDIRYRIVTRPFHLKLEIPGIGAD AKFDIEGEVCSVDGSDSTSSTMSLCRADEWLKACLDSHDRCRAICDARRWARRVPSRL VQIGGTVQEPHMRLRETKDLDRSVKYATLSHCWGSNLTCRLLQRNYESAKSSIPRSAF PRAFQHAINLARRWGLEFIWIDSLCIIQDSSADWARESAAMGAIYRNGFCNLAATGFP DGSHGLCVQRDPKQLEPIKIRVPRDARDQNGNLEVGKGDYYLVDFDMWQDGVETAPLN QRGWVVQERALSVRTLHFGSSQLFWECPSLTACEILPNGIPHGMRSSGASKVFIHPNA SLTMSWSENDQQWVRPVSPTVASEDDGGWTEVGSDRQRRDAIKFRARMLRSQDCNWGM PAGLNRWVGIIERFSKANLTFASDKLVAISGLATEMSAHMRCDYLAGLWRQDLEHQLL WTVTNSTQLRRRNTTYLAPSWSWASVEGGVSIFRWDMNPKFLDTEFLAKVVEAQVSPA SSRNPFGAVSGGWLKIAGPLGVIRLSKQGFSQEAASVPKRRPGSEEEQEVAISWDAEG SDCVNVRSPGFVQIRAGYATTADEGREYFYMPIRIMNESTISSLHVYLRSLLLLPAGT ERGVFRRVGMMWAFDRNSTWEESLRGRTFKRLMKPAANVDKRSRETGEGVAVFNFDLF QSLLTVVTPLLENLENLILAIDLALATPLSAAVKYAVAFLSHTNLKVLRLNMVRVTDL QLGEMTVTRKLLVSVVEWFSHQPHRLSFFRIVLITEYLDNKGLWQMTLKTIGNLQTLT KLIYFQASHLREEFTDNVSFKQPNQHGRTERPHTRRTRELSLDVPRLIGLRQFSHSLF PVINVHTHSSSTIATSI
SAPIO_CDS2040	MCSITTDLPNINLPSPPAQTNWSCRQRATLSSKPPPSSNHYDSD SSRFLEGGGFQQGNGHSFSFSGLKTPPTDDMSAACHQPPLGYDTHAFPQVRPASESTV QAQRYRSYAPQQHQAPHTSRQPSRLPPLVHSDTPSSQAQLTPASSNSGQRSHPSAHTL LSNAQQRPRSTTPRTDVPITQSRTKESKALSLTGQSNELPDFICAQGGSLPDLVAQLT CFFWFEPIDVLKAAGKFRTNKTTVRRLSSESIPDATFTKWVNTILNTTQVTRNVILLA LLFIYRLKTTNPTVRGRSGSEYRLLTVALMLGNKFLDDNTYTNKTWADVSYLSVSDIH LMEVEFLSNMRYSLMTTADEWDEWLDKMGSFHDYYEAALSLPAPTPLKLSIPSPTAPL YSSPLPSPTAYGNGMIPYAANGMPSANGHNWVPVSSPMGNRPNLKMTSTRKRSVDTDL TEPPAKRISHGPYSMPSIPRAPITSMNSVAIAPTAAESARRPAQVPTLTINTQAMPAQ VPLQYGQAISTPTATQPSMSLPPLVPGVRAMATVYSQAPVTAPSLNPAAPSFAPQLPT PHSGMSGQTAYLVPQQPPAQQLQQMHQPQNQARHAPTMTFTTPTKTQSHAPHAGVYNS SPLSEAYHTPGIHTPISHSPSVYLQQRPSPYKPVRHVNTLLYPPPSASLEEYHLAVPP HQIHYHPLGRRNDLRTGIVPDFMPYRGGYQTPTPASEPRYQ
SAPIO_CDS2041	MGGFGDFTDLCRTAPMPLCASIGPITSVSSNVGIEPNCYARNIE LANTMIFEGAASAMHIVALIMTVIMVLHVRGKFTAVGRKEITTFFYLYMLLTFISLCV DAGVVPQGSDAYPYFVAIQNGLSSAVVTCLLVNGFVGFQLYEDGTPLSVWLLRICSFV GFVISFLISIATFKSWAGLSPTNTIGLFVVLYLLNAIQLFVYVAMQIFLVVRTLQDRW PLGDIAFGLFFFVVGQVLMYAFSSKICVAVSHYVDGLFFATTCNLLAVMMVYKYWDSI TKEDLEFSVGTRMNNWEVKELLPEDERRATVYADDPYGQSSAYDHPYSPTPTAQRFST KY
SAPIO_CDS2042	MSPRAVSPRARDGERRDFEDLEARFFDDLKVKQSEEDDRLEYEY EATKAQISVKLEEALREKAALERKLEAVNARVVLHNESLEKLTEDYKVTLAKLATSRR KAYYDMQEHFKNNRDEGSLDVDLITQLRNLGPKMAVVPGEEDERVVVDGDNDLTGASV YHSDGRLIGKIKKVPVGTPVVDILLDLPIKRDVSIRAGRKFNAVNLEPIYNELHGTKP SKWVAFMVQAVGEIQTPPCTTCAKSTGPFAECIKVRGELFGRCGNCEWARQGCHPIFV IPDSQETAATTDVEMKDAPPHSSITASTNSDVDGRQPLILKKAPVSSQKTETDDKPST SREPQPVPTGPAGAPETTASSHRPIAPAPPRSEQAATLDDNVEARAKSPAGSANIPDI DPAERELLVNPPLALRDNGIVYTEPELMAGVPVEKIDQNHPYWDPVWKDPVANAKTVL AGYKEKHEACLSDVSGTKSNNKFLLGRQVKRGYTILEFLENGSFSPYQFVAKKWMTPG IITYDTLFRLAATLEELEKFKIKVTPAEWMRQRFYEIMLAEGDQFSLTKTLKDFYRDP KLQALRALCGFGNIGRPSGAKAGEGPRSKSPGSRLDRQRRRERGGASANTSSGGTSRP SKRPKIAGPLHQLQVKQQQQQQLDVLAEAAPTAEGGEGKEQDQKEQKQQTATAQDEFA YEGFTDTDSLCGDSVDNDDYKVRQVRTRQHTTPLEGTQYWHFIQGYEDLGTWELHLLQ RTNPPDWVRYEPPFNFGVFLDEIIAITHHPDSLKIHVKLTVPEGEEGTEGYVKGEVMT WFKRERTKRRLLASCREKGIAISVAESVSNLEKMWDELGEHSQQLTGLWDGNGENE
SAPIO_CDS2043	MYPSFRTKGRYLLLGFTLALTFFYLLSSPPKPAYTVPPSLATSP LASERLEWYMNHKPPSYPPSTKSFDWSKVRVANPPPSRLKHLPSGRPKDLPPIQYKFG PDDWSRARAKTRETRRQQVKALFYKNWDSYRKYAWKKDALLPLSSKGRDQFSGWAATL VDSLDTLWIMGFRKEFDEAVAAVAEIDFGKTTSTRVNTFETNIRYLGGLLAAYDLSRR EILLTKAVELGDLLYAAFDTENRLPVDNIDFESAKKGEGLRLEGIVVSAGPGTLSLEM TRLSQLTGNPKYYDAISRITRLFAKHQMATALPGMWPMFVSMYNQDVTYGRIFTLGGN ADSLYEYLPKMHVLLGGLEPVYEKMSRAFMRTATEHLFFRPMVPRGEDILISGTVRVG PDDVPTLDPESEHLTCFIGGVMALAGRLFANDTDVETGARLAKGCAYVYKSFPTGIMP ERYNMAVCPGPTATPRTCRWDEAVWDAEKKKREEYLPHLPKGFTTAKDPRYLLRPEAI ESLFVLYRVTGEEQYVDMAWDMFRAVREGTATEIANAAVLDVTVAKEKVEGRKLPLED YMESFWLAETLKYFYLIFSPPDLISLDDYVLNTEAHPFRRPK
SAPIO_CDS2044	MQAEPRAATKQVDSGQGSASQSDIAAGVPAFESLLSVFKWNDRE KKKVKVVDNHTDKKPSSAPLSGRRNVKIRHNSPTSTRIGQQDKAATKATKTTDSAEAV NARRHSTNVEAYENGRSQLNQGSTAGSSSKAAASPQTTEAGKLKVDPNNAGSSSRAQE QAQQPDPLTERLPNQGETEQSKRRQSQQQNNHNPKELWLQADEFEKISALMALLRLYD TPRERRVDIQVSNIAFPRPIERKYKDFSHQLCVDMSKDESLFVDAFGASMPIFWTRAL GKPLDELNGQPERYICFSGLRNKDNMDKLRAILNMKTYKKYYKGVFKLCYKTDKLVGT ASSRRVHVPASSTPLQTLCGSLVEDGDGKKVQVYTVGGLVILNGQYYAITASHKAQDD EGSEDDKAEETASLEGILRRILENDEMDDDVEPGLELPASPEEVAVGPVEELLHAERN SSTRYIERNDMFVDRESDVTGTHWSLMRLKSLDDALPNFVPFTDSLWQTGADISEIPR VKYIHTIATDPSPQEVIVISGSGGLIRATMSTNTGNLFLSGQAVEVWCLTFSRVDGPG LRQGDSGSWVVDPNEGSVFGHIVAVADETAFVLPFTTVLDEARRRLKVGSITLPSIFR SVADLSCRLASKRMGSPWIGESQEEEKARALATEAVSRQVLEQTRGHPGVNILREHVA SIRRIDGGMDILISMIMEYGSDLFSQSVSGLRERARSGVFGPPSESLSVLRHLYGSAR TRTASPAPPKYSTATSSPKSLEEQELRRVLTIRQLIDLIPGNSSIELEEAMESWRKGM KESPFRRRHASDLPSGPTAKPVSFHRPKLPHELGSELGGDADADPDDDIRAANVDAPS YNPEEDIQAVIFDSRQVPEIDRVPVERLLDGGYLERDNPRGAAGFRLICLPANNMDWI ERLFPHLTDEISPTILSEWLWRGRIEGEALSRSIKPFCAVSSAELPDGDEDGPLPDLA LAFPYMSWEPFEEAKREMRLKVEVDMKHQRLCAARREEERQRLRQERFGSRGDRVGHG DRMGPHEEPSRERIWSVEGLKKEHPSATWSGALRRVFLSAARLALDISSFPQKVLIEK YLHYEESLHPRRSLEEAYHGTPFHSSSPRMPHGVQIRSAAAANALPPECRACEPYARG AILVNQLWLWILGGHTVVACFPQSPGFLGSEEQPSIFREVERRLLRENVASAIDAALV VVEECGNFNPKGHKLTVNAKQAFQNEVHRLEEQWARLEQAAPLPPAHFRSYVPRDWPQ VFAHLETQVASLQSEIARALSVWRQHKVVMGDFIDELSRYPERHGASSPHDISGQSEQ SGSEWSLDSLQGQSPQAFAYGSRFELSRRDDAEGERIFEALSQDCLALLRKIQRRRDL ELSEARMRVSNRLAARQIQLQSTLAFLSALLLPFLIIDGGYITYAVAGGVALIATACL LYGLPSVYASPSRRVSGFLEDHMESLWRGEQIVTDRVVERATRQPSRAPKSSSKLPHH PEIDKRTKSSLDLDEIRIYSTAGPLPEEYHGSWLRRRERRVRDVEKGGKV
SAPIO_CDS2045	MDLMKTLWSLSNPPDSVLRDLERLFVWYEESVQKVRESSRCLDN RPCPKQDVRAVVLFLILILKKNPTVPLDEILEGLEKAPASAQAAAPVALPGGNAIASG SGSNYKGKQVERPRSSRDQSNSKGTQTDESLGHGDSSDQQPLPPRFTLPIAQIPSHLA HINRHDGLSQAMKLLFFLDIDLQPNASPGVEVEHALVGLRASHWEGGQTLEQLIGDLY PNEGNADDESGAGIQASKLRFRYLRLHANLSIKWTSHLPEHLSFDFEEGAKTLRLFGH PLLLDVASFAAVGRVNPAQSMNESIPFGSYSPTFLYETLQTYKLLFPHRDRHWARKHL GQPSLISKAWHRILSLTPDEPEQLTVTDTFANRHKLKAYDRPLTNRRELFKRYPHWAV RLHILYEEALDPSPTWWWQRWTERRKGPRHAYTVACVALLLALLFGIAATALAAVQLW VAYCDWQGDDVPPGCCVRGGGKKTAEGG
SAPIO_CDS2046	MSLFGTSPPGERPSVASPVSRSRGLFDDDVPSRSSKSLFDDDDD AGSGTGSPWDVPTPRRRQSRTDVKTLLRPADVPESYVELFDKALEEDVGGGLGRISLR GLNHLFAAARVSSEQRDRILSIVSPGGGEVGRNEFNVLLALIGLAQEGETVSLENVDE RRKDLPQPKLIGINAERELPTAELAAKPPQKPVEQPKPTTTPPRSKAYGPATMNYPGD ADADPWNTPDVHKHHPHHSDDVVPTLSTTDSTNVPTATNGDGPRSLEPNGGGTDEDSY HPSLAMSMPAPSTEPISYANPAPTSPPRHPSLSASATTGLPSSTPSTGGWGGLYDNAS LSTAGGFGDTLRNAPANPFGGPTLRQGSTSQEPSHPRAISSGRTGNALEETVLVTLMP EKEGIFLFQHHNYEVSSSRRGSKVVRRYSDFVWLLECLHKRYPFRALPPLPPKRVAVN GNHLSNDRAFMEKRRRGLARFLNALVRHPILSQEQLVVMFLTVPTELAVWRKQATISV QEEFDGRSLPPGLEDSVPLTIEDLFSRSRAGIKRSAELYIGICSIMDRLVKRTEGVAA DHGRLALSLASLTEATADTYATDTAEVALINDGLMAMGKHLRTGQSLLEDESRAWEAG VLEDLKRQRDGLMSMRDMFDRRDRLDRDNIPYLEKRITANEAKLATLRAKPEGMVKPG ELEKVAESIIKDKESIVRQHNRSVFVRECIRDELRYFQASQYHVSRWNQDYAQERVKY AEVLADNWRRLLDELEGMPLGE
SAPIO_CDS2047	MPPRLQLTPQIRAALAPLPMRPATPSLATLFTRLSLQNIPAAAT IAGARRGAHILATLSNNPGATRNKQRVGRGPSSGYGKTSGRGQKGRKARAKVNAWFQG GQTPLIRIKGKKGFDNHNAQQLSVTNLDQIQEWIDNGRLDPTKQITPKELIECGILGS VKDGVKLLGRGKETFSHPINIMVSRASSDAIAAIERAGGRIVTRYYTKLAIRRLLAGT SVNTEKPLPVGKEHVEAVLEEARQGPFKYRLPDPTSRWDIEYYRDPAHRGYLSHLLRP GENPSLFFGVPVEKRTKKKVQHKKKVVEEEKIF
SAPIO_CDS2048	MRFTSFLLNAALAAAAALPSIDIDIAKRQAPGAPTKLLVGVPNS VLLVDFDGISFNIVANATEAGTNPSWLAFREPNLLYAVDEFNAVTKLFVLGRDSNTLQ PVQNAAGSAGVVHLEFNLDKTRLVGTSFGAGTIDIWDITDGTLRLLKQIASDDPLGPN ANRQEAPHPHQSVLDPSGRFFVVNDLGTDTLLVIDSQNDQFEVVNRVRVAPDGCGPRH GAFFPRGAQAATHYILLCELLNIVEVFQVTYVENSIQFVPTQVLSSFGQDLPPANATT STAGEIIVSSDNRDVYVSNRNTGNQTDTITHFRVNVPTVEGGPISLDFSESISSGGTV PRMFSLSGDENLLFSTNQNDGFGLIALARNGNNLAVQGAGDVAASADFNAAVEAAGTL VSSPVAALGVDAFGGPNAGPQFAMQI
SAPIO_CDS2050	MIGSLLPMALVALLQATTVLAQTPPSCSLDKKCPESAPCCSQYG QCGTGAFCLGGCDPRMSFSLDSCAPAPVCQNKKYPMNSLDRIVEMGKYLGDSSKADWV YQGTPFAHDGNILLTMKPQSVGTVMASTTYMWYGTVKAKIKTSRGRGVVTAFILYGDV KDEIDYEWVGVDLETSQTNYYFQGIPKYDQSYNISMSSDTFANWHEYEIRWTPDQIQW VVDGQVERTKEKKDTWNATANQWDFPQTPARVQLSIWPGGAESNAKGTIDWAGGVIDW NGEDIKKVGYYYATFGEIEVQCFNANSPPGTNKGKSYIYNDYRATNDTVEDTDKGTVL SSFAATGRDMTKGAESSDDSVSQIPGGTNPGSGSTGHGTDSGSGSDGSSGGGQVSDEC KGGNSFAQSCTEDTTKDGAAGKKGPASALAVIIALGALLWV
SAPIO_CDS2051	MQQWQVGPVPDLTYFSSSNTHQNEMHAPSHSYQQDMARRNERMD FPPYGQPQPGQPHHPPPVQPPMNVPQPPTGPPQPQPQQATPTPPAGGRRNKRPQPPTS ASPVTSAPQIPPTSVTAPPQPAPPGGPAQPAVDNAAAPAPTPPPVKKSRTNTPWTPAE ELRLKQMRDAGKSWAEIAKTFPTRTEGSVKKHWYKDMHYAEFAEDESQALLNAIKEYE NSKWKVIGQKVGKPAKACEQYAKEHFPELFNQPKQR
SAPIO_CDS2052	MAPTIPLAPLSAPYTPTGDVMARREYGVTKNRKAASTGGGRAWS EEEELYLLQTRLQKMPYKHIAAHLKKTELACRLHYHQLSHGSNRRKRTTSVSSGSSTG HSPIMPATTRSPMGDGPTSRSASPPGSAGSYGPVSPNGAGGLGSGVQLPSIMGNHVSP RLPSILPKPAAMTLPPLSTSPTRGYPTPLPEPHSAALPSATFPPLTHPTTPPLRLECS VLPPPSARHPHVDMGRLQAIYNAHRATFWAAVAADYGVGMSPIALEHAWKTGVCCSQH QAMTPISPAASPDHSDRESNSKGQDKTRISAILGIETQPRSTQDQHMVRRIEEERTVS VVTAHA
SAPIO_CDS2053	MANEKKQAAGAVDDTIKGYAPFKVLPPGGPLNRWSHFITNGHDF PGAQAMLYGAGVPNEEMMKNAPQVGISTVWWEGNPCNMHLMDLGKLVKRAVESRGMIG WQFNTVGVSDAITMGGEGMRFSLQTREIIADSIETVTCGQHHDANISIPGCDKNMPGT VIAAARHNRPFIMVYGGTIKKGYSELLEDHINISTCYEASGAYNYGKLHAKCRAGEPG RTPSDVMSDIEKHACPSAGACGGMYTANTMATAIEAMGLTLPGSSSFPAPSPEKAREC ENVADAIKVVMEKDIRPRKILTRAAFENALVLTMVLGGSTNGILHFLAMANAAEVPLT LEDVDRTSNRVPFLADLAPSGKYYMEDLYKIGGTPAVIKMLIAARLLDGSILTVTGKT LAENVESWPSLDPNQPIIRPLSNPIKKTGHIRVLKGNLAPGGAVAKITGKEGLSFTGF ARVFNKEHELNDALINGRITRDDGNLVLIVRYEGPKGGPGMPEQLKASAAIMGAGLDN LALVTDGRYSGASHGFIVGHVVPEAAVGGPLALVRDGDVITIDAVGNKLHADVSDEEM EKRRQEWLANPWKPTITRGVLAKYARLVGDASHGAVTDLDLGW
SAPIO_CDS2054	MDLLRRVSNIAPTPAAQLPSDEKGRRSRAGMIASKLAFFKRPLR LKGNSSISVPLGVVILFPCIVVILILTLFVRHPSSPGRMLMPAGPPPAIRKIAEDYDK VFATGCLEPDTSKPRANAAFVVLARNSDLDGVIQSLKSIERHFNRWYNYPFVFLNDGD FDDNFKATVKNYTSSTVEFGKVGPDMWGFPDWIDEKVAKEGIAKQGDSAIMYGGLESY HFMCRFYSGFFYNHPLLAKYEWYWRLEPEISYFCDITYDPFLKMIEANKTYGFTIAVK ELRETVPNLFRYASAYKRLYNYTSQGLWEMFVEPTEENPRQGDQSLPEEVLRADPHNN ELPPIDPESMEGEKYNMCHFWSNFEIARLDWFRSKPYNDFFHMLDRSGGFWMERWGDA PFHSLAAGILLGPQDIHYFRDFGYRHTTIQHCPANAPSRQLPREPYLEKTTLDPKKRF EEDDYWEHWDAERENGVGCRCRCDTDIVDVEGKEGSCLAEWVDVVGGWASP
SAPIO_CDS2056	MFVARTAVTAARRAAFVAPVVRRGFTTTMLRRDAGKKVTAYKSL NEVKEASDLIGPGAAAGTVPTDLEQATGLERLELLGKIEGVDVFDMKPLDSSRRGTME NPIIVKSAGEEQYVGCTGSPADSHVVVWLGMSRDRPIERCPECGSVYKMLYIGPEDDH HHQHHGPEEPKTLADYVKPEYYYT
SAPIO_CDS2057	MALVVKEKDARLSFQESTELVRIAVNQSQPTSDKVYRYMSTFPS WVPGADLPWDRVDMNGAAGVHKATYGAHVYTMSGVAVFRAVADSGRDRRDNAASTADN SQRGLDLHTIQGCFTSKGLSNRPFVFDVTHVAGGRTFATWYVKVRQPTTGSEVMADGR FPLSDAEKPLGPVCFTALCSLKNPEDTYVDNQQQPAQERLSAILSSRPPEAWPHAPSV DFKWMTSLFPNPGPGKFPIIEMRKVDMTEYNASRPPAERREILLYRLLHPLPSDDPNQ HALVHAFAADRNGLLMLANDYDMDLILQSAATLTWTFFMHTNVENAIMQCDESGEGGW WILEDSFPRGTAGRGYVEGKIWSPEGVHVATAVQDGIARAFPPEEAKLPSKL
SAPIO_CDS2058	MGIWPSSKRKTAKSNSNSKDASRYFSNGSAQSSTLSVVDSQLDK AFDALRSSDDPKDAIGVESSMSYLQDLNVNLENASLFVALELFQAPSIGEITRKGFVE GWKNSGVSVTRQGCSSHIKSLVSTLSHNPSYFKQVYRYAFVVGKETDQRALSLENALV FWPMLFSPPGMEWKSPSYDWLELWTSFLKEKWTRSVNRDMWNMTLEFALRTMSDETLS FWSEDGAWPSVIDEFVTWCRDTQGIGKGREQDHMDTAD
SAPIO_CDS2059	MLYELVGIVRPGNLAEVKEIALTCGQIVLRQGGVIRGLANLGVF SLPSPVTRHQMKHKEGHYFVMRYDASAAAHDGVRATMRLDPRVVRAAHVKLGDGTLAS LAKFGPVKWNTAA
SAPIO_CDS2061	MEDAMPHEPTNPESGRRHFVTDADIVEAKNYCVKQIALADRQAF LIRQFVPPPVQDVYDALRTLNLELVRLPETVSNLTLGQMRIQFWRESIDQTFAGTPPR EPICLLLRKALDDLEARSGPSAQKSLKFWISRLIKTRERHMSNRPYPSLASLEDYAEN TYSTLMYATLAAIPMRSMHVDHLASHIGKACGITAVIRGIPILAAPPPPTGANSGFDN TVRRDPALLLPLDVLAECNVTEESVFRQGPEAPGFRDAVFRVATRANDHLITAREMLK NLQAGRLADHAYEHEGELEEHVYDEQGDGDVARDVRRAFGVLLEAVPAEDYLLALQKK DFDPFAVKPGWKLPWRIWRALRKLEF
SAPIO_CDS2062	MVDVDSPERPAKKQRLSSEYGGHGLDRTGDSSPPSSSLPVPERF FQDPILPSEPALPIAQSSPLPASRLPPLHNGDHHAPRRATPPKSEPPAPTLPHESSSV AFDEGMFETFVGHKVESGVMDIIREHSHGNLERAVNMYFDGTWEKYKKPAAARTKPTA SAPAAPSTMKTETGMLPQRSKQQPRYIGAFGVEGWATRSGYNMLRHGDLVKIERQKIQ LPQTTPKGQTRIGVPLVTARMTAAAARRVDVIVRFTNSAGTELGRLSKETANWVSTLM DQGICKFEGTCVYAPERLRTNDTVFLQLRVYLLPSAFQAKVLHPSDDITVSFREEEET TQEKELRLRQVALVRLFQEINVLPSTLNAADARQQRQGLLDAAELDEKKAKELPKPNG RDGRDGSGTPSTPPDESEEGEELEQDQLDALYRKAQSFDFNTPEAEPSDTFALELRPY QKQALYWMLNKERGEQGNREPSLHPLWEEYTWPLKDADDKDLPVFEGQEKFYMNPYSG EMTLIFPAQEQHCLGGILADEMGLGKTIQMLSLIHSHRPYERVRPKTGLSSLQQLRSP CLGESEVLRAPHTTLVIAPMSLLAQWHSEAEKASKEGTIRVLVYYGSEKNCNLQAICC EDSAASAPDVVITSYGTVLSEFSQILAKKGDKSQHHGLFSLNFLRIILDEAHTIKNRR SKTSKACYALAADHRWVLTGTPIVNRLEDLFSLVRFLGVEPWNNFSFWRTFITLPFES KNFVRALDVVQTVLEPLVMRRTKDMKTPDGKPLVPLPPKHVEIVKVELSEAEREIYNY VFTRAKNTLDNNMASGTVMKAYASLFAQIIRLRQTCCHPILIRNRDIVAEEEEAASAE AAADAAAGLADDMDLDVLVQKFAADIADQSKPGATYGAHVLEQIRKEASLECPICAEE PMIEQTVTGCWHSACKKCLLQYMKHEISREKVPRCVHCREPINMRDLFEVIRHEDEPD PIHGKARISLQRVGSSDSSSKVMVLIRNLRELRKEHPRMKSVVFSQFTSFLSLIEPAL QKANMKFLRLDGSMSQKARAAVLSEFREASKFTILLISLKAGGVGLNLTSAQRVYMMD PWWSFAVEAQAIDRVHRMGQEGEVKVFRFIVQNSVEERMLKVQERKKFIASSLGMMSD EEKRLQRIEDIKDLLS
SAPIO_CDS2063	MKVPHTSSRSQRDSSFGFPGLVDLYRSKMHSNQVNRPTHFPTNV QVYGPNKVAYDFSTDPYGRLDGSSLTEGEELPALVADQSMLNSLGHVNGSSSTKDEEL PALTADQGFVQLPQFSQGNANQDHVDRAKPEGVTFGHLDCGRPAYPDYMLRYPFFDPS LNLVRKRKVFDRDSHRDDATTGIWADPKRTMEHDLRPLSELLTLEEEGSGAKSPTPQR IRDNASRPQVNDIWSDPKTVMEYDLVKDPLWFQLDRVVHQFPWDKEIDSPKPRLHRHQ EVARKPVSDIWSDPKTVMEYDLAKDPLWFQLDRVVHRYSWEQEEIDASDPSIEDDAGH GWDVSTDNGWDTFGDDETAYSDELTDSEQFGGWYEDGANSTWGDWVDSDLQTAEDSEG QSDESQPDEASSAPSDDQEVSQVATAGAPTDVFDEPIGQASHAQHIPQQGTTHGAARD TCPVGTVVIHYEGGAGEAGNRTITVLKAQATGWVIVAQVSGHLNSGEIHLLPSLF
SAPIO_CDS2064	MTSSFSSSPLPAAPTHRKPHQETSLHQKASQASPPCHSSHSHPP ASAQAAASPASPPSSSPSSDTASSPTQLAAVYIYSHDNVAVSDPSTHGTYDESAPSLC GDASSYKYVYSMAEAEVEDEDDEEGGEEEAEDPGYCCFGGGCAAEERLAGFGWVGWEG WKLEGWREID
SAPIO_CDS2066	MALYPLLVLVLAVCVRSWPQISFSDDVVARDTQDHLRALPYTTR SNVLARGQLPVVDNGNDMSLLTLPIPETKKTKVRRTPAERRQLPVEEELGMDAVTLPV ARLRKTKAKTPRALSLPVDEDVGDLVTLPVPKTRKTKAKAPRGISLPIDEDVGDLVTL PVSMGRKNGTSRQTPNDGAGSSGVLTLPVVHSTKPGLFKRAVELELANRSDVAYYAQL NFGTPPQPIFVQLDTGSFELWVNPDCSILPDRDVRFCEAVGYYDAEASTTASNFSGNK TLRYGIGAANVTYIRDSIGLPGSNAVLKDVQFGVATGTEDQFAGILGIGYGEGVTTQY PNFIDELASQGVTKTKAFSIGLGSKSDQEGVIVFGGVDTAKFSGWLAPLPIIPAAQSP DGVPRYWVQMKGMSLEAPNKPSRKYPGSSTPVFLDSGATLSLLPRALTDLIAADFGSA GEDENGFYGVDCKFVGYEGTLDFEFEGVTIRVPYREIIRELSNPPRCYLGIVASDDFI LLGDTFLRSAYVVIDADTKTTYMAQYANCGAMVKPITTPTDIPTFYGLCGLTENSVVP EDSTVTAQADSTTQQASDGSRPAMNAALALGTVAAFVLAMI
SAPIO_CDS2067	MTMNDSGVNIDKTPTMPNTTTSPNGASGSPVTPPITIPTTTNTN TAGTAEETASTITVNTTKTPAANFPPPKTDKPRPHVCATCQRSFARLEHLKRHERSHT KEKPFACPECTRCFARRDLLLRHQQKLHQTTTPSSRPRNRRESAAGANPVQSRARKNS IAGPNAAATAAANAASMRPRANTISHVDVSMLAAANASVARAIPGHNRHPSLAGLTTL HHNMDLFGGMSVAMGQRGINTHGLPKLETNQLNQMDFGGGLRTAPPMPFSNDFDFEGL LFGTQGSTINPNALHYNDSPQSMALDPTSPFPHGLHDLSASQHFDDTIDWLSGFEHQM SFHANENAVDGSSPSAISTTSQSGISDVMLDGSNHPPPVGTSSMWQPTAMGPPQMPNP FALDLNGSVFPDLMNGSPISPQPASQKLNDTYFSTPPSSLTSLSPTLVNGITTSQNLN QALSFSTAPETPTSLNGVGSGGGGNQQAATSPTITEATRNAIVNALNQRPSFGRKYSH SHSLQAPGSPLSPQFQTSPVNVPDNAKNLPSTQDLQRYVGAYLRYFHPHLPFLHIPTL SFDVPTSSSPVNGRTGGVAGSGCLILSMAAIGALYELDHHAQSRELFEMAKKMVQQYL EERRKADVRKADCLRTPGSDVTPQPQENATHTPVWLVQAMLLNVVYGHNCGDKTASEI ASTHSAALVSLAQGADLLRQTRVEAESHDTMMSDDAAWNAAIKSESDEQAEWIRWKSM EERKRTLFAVFVLSSILVSYYNHAPTLTNSEITIDLPCDEKFFSAESATVFQARGGIQ GANRNRVTFRDALSELLRTSETQQKQGVSSSDHHHHHAQGELKPSSFGCFILINALHN YIWETRQRHHNKGWTSEEMETMHRHVEPALKAWQVAWTKSSNGAGELTLGPGELSVDS VPLLDIAHVRLYVNLSQSKERFWKRDWDGVAEEISRCSDISPLMENTPEINSEANSAD SSDTSMSGSGAADSPATQISIPQDFSAPGKFASQHSSSSSTQRREKYLRTAAFYAAES LVSMAKHGVGSGELNGRDLNLQASLCIMDCAQVLAEWVATLQDRVGPYLGILGRDDVD LAQVPAIMLLEEEDVKLLHKVQEIIALIEPNVNLDLAGANVNLGFGIGDYSGYAAKIL QLASKYLLRTGVWPVIHLMAECLETHASHMRSRAEKSILASE
SAPIO_CDS2068	MTSKTTKQLPHEKRKTEAVLSDFADYAAKQEQAIRYGATSAAPT AAPGPSSPAPAPSTAPAHLTTSSSNDLDDLAEWADFGDGDASASAANPSLKDIFLGPE ETSLPLLERFVSKRLEEGGREAVLHLGFESNADSMRLTPDDWAVAYERLERAAKSLNA VCRVLYTKNVGGPEEVEGSGGAVKAEKDKDCSGKILIRKVPARPDENIETRIVVVGNV DAGKSSMLGVLVKGDLDDGRGSARVNLFRHKHEIETGRTSSVGMEILGFDAGGHVVVS DTPGRKLTWEEVGRRSAKVITFSDLAGHERYLRTTVFGMLSGSPDYCLLMVAANNGLI GMSKEHLGIAVALNVPVMVVITKIDICPPHVLQETISQLTKILKSPGARKIPVFIRDR ETCINTATQMVSPRIAPVFQVSNVTGENLDLVRTFLNILPPHGYYNADAPFEFTVNDT FSVPFVGTVVAGIVQSGVVHVGDSVLVGPDSIGQFTTTTVRSIERKRIPVHAASAGQS ASLALKRMRRKDVRKGMVVLPKVEGQPPPKVYREFVAEVLVLSHATTIKTRYQAMLHV GSISQTCAIIDVDRPYIRTGDKATVAFRFVQRPEFVRPGERILIREGRTKGLGIIKSV GYDPEDPIWKGKEVEGLGK
SAPIO_CDS2069	MTRPTSMVALRPPFNYGAAEGARGLPRHRMASARTPKPHVNTEV IPIHKPVASGSGITCSILMAEPNVFLSGFDHDGRARRGNRPSGTALLRGKLQLVVRKN VKIKAVQLKLVGKTRTEWPEGIPPLRMQEWEEESLRTQVLTFFDATSDGWQTEYGNQC SFSLEDGAADPSTTHVSLRSIPLALDSPPFSRRSGLSSKELKRLGLRSVRARSFGQGD APVARPVSNSKGYKVFRAGTYEYSFELPIDHHQLETTKLPFGSVKWELQATVERHGPF RPNLHGSKEVSIVRVPDQLSLETTEPISISRQWDDQLHYDIVVSGKSFAIGSKIPIAF KFTPLAKVQVYKIRVYLAESIEYFTNNRMVTRREPGRKILLLEKTAGKPMDPTLGAVL RTTSGGEVSSRDRRSPARETAVTRGSTRRGSPSASLPEQQSNNLLGDLDLGLENFWGP TEIEAEVQIPTCEMMKKDNNLILHPDSSWKNVSVDHWIRIVMRVSRADPEDPTGIKRR HFEISIDSPVTLLNCRATQANTILPEYSGFDRASSYVQRACGCADSASLSLGDEHVPI SPRFPVVETRVRNPNGRARPHSAIEPMAHSRPIHLIRVPSFGPPDFDADEPPPPVDDH LNSIDASSILTPPPRYDTIIGTPSVDGLADYFTRLAAYSYNRRTSDDDVAVEEDEEES PEEDDDDSDSDDTTLAMVGPTRLTERTGRVNVVNPRTPGGGRVPSRSLEITRPAVDFI LGEPPQRGKATGERGTAAPLNE
SAPIO_CDS2071	MADAGDETNLVSEPLDLVRLLLDEVVFVKLRGDRELKGKLYGYD SHCNIVLGDVEETIYVVDEEDEAETEPKTVSRKAEMLFVRGDSVVLISPHVPS
SAPIO_CDS2073	MLDLLIYVGAALIVIPCLYTFTASVIQMRLPRLQNKRICLLIAH PDDEAMFFAPTVLALTRPETGNHVKILCLSSGDAAGLGETRKKELVKSALALGVRHEG DVWVVDRPDFPDSMTTTWDPHKVSALLSEAFAPANLLRSSTTSKDPPTAAIDVLVTFD SSGVSSHPNHISLYHGARAFLSDLMRGKSGWSCPVDLYVLTSVSIARKYLSVVDIVAT LFSWAGAAGNDGGKKKKGGKERPDALLFVNQLVGGGALGAAWGAMTEAHQSQMVWFRW LWIVFSRYMVMNDLRRETVK
SAPIO_CDS2074	MARKRKDATEPKIKLAHPDRSGPAPSEKTLLKWAEERNLFEEAK RRETATAARTQKKNKGTSDAASQSSRDEDDENVLTPGQERVAESLLWTATLAILHFTL DVLVYQQFAQEIRWDRIATRTAQAFVVFLVLFYTLHPHYSNPNFVPGLPTRFQSPVRQ AIFFVGSVAGGCYLIYLTNSKGYLAVQKKAPPVACIWLWSVIELNLGLAVASVACVAA FLWYGDYDIK
SAPIO_CDS2075	MDPNERDSPLSIASNIIGILTFVVAIAAAVYARVNYLRNSDEEY FRVKASLSWYKTESTWLAELIQAAALDGSASSKLSHAHAFADQNQRTRLPNYQRFLPE YQMYAYVMDDLDRLEKRLLEIVEETELRAAEGDARRAEGWTLVPNGWALGSGVAMAWL PVRKKALELVRQRDALTGRVQFAQMSMISSRVRDLETRMKWMETINYESLTRLEKYVT EQRDDVLRLEELVCLLSKRDDQKRYSLDVQSVIDIAAKARRLSLSQGSQRKGRGHERR PSTPHSLSRSLSR
SAPIO_CDS2077	MDELGSVMKAIELSAPNRDGIPGTEEKTTDLPPPAQKTDDTRLE EERQDDTSSNVEEQKVSRPTAPDLWEQINRFRETIYACEKSVKADYDLFYNTDPSGLT RPVLEQARERLRRNKETLAKMMNEVKSTMKDIQEGRRNVGRQAAAAEAVNNTSD
SAPIO_CDS2078	MLHAVHAFAKAFLDYEAKKSETTTLNLILKAHEAKRACGSAAPS ILDSTRPSPTTPAPSKVRIVSQTPQPERKRTTKELLQALVESNKRVEDTQARLAERQD RIVQTQALLSLTLDRLARNQEDIFEVLKEVRDACRG
SAPIO_CDS2079	MDSTSVGNSQQQDPSSNHQAAAGPSGPQAQVVRRTPPCINCVYR YSLACLQINRWVPWLQGALKAAAKHTTIACINAPVEHFIIDVKDEGEIGEADRHGGGI FTMTEESEAAFVGEEDEDQHDTAANEKFLKSLERAQQAILEALRELHEKMARKQNEGK N
SAPIO_CDS2080	MAWEHLSTTRSHLVYIILGGFTSLFMLCSSVIKERMYIGEATVA TVVGVIFGPHAANLINPLEWGNTDIVTLEFSRIVLVVQCFAIGVELPKYYMERHWRSV VLLLVPVMAFGWLITSLFIWWMVPALNWLDSLVVAACVTATDPVLASSVVGKGKFAQR VPKHLRDLLSAESGCNDGMAFPFVYLALYLISGHLKAGPVTFHWICYTILYECVFGAF FGFMVGYIARHAIKFSEERDLIDRESFLVFYFVLSLFCAGAGSMLGLDDLLVGFAAGV GFSNDGWFSEKTEESHVSNVIDLLLNLSYFVFLGTIIPWQDYNDTDIGLSAWKLVVIA LLVIFFRRIPIMLMLKPIIPDMKTWREALFAGHFGPIGVGAIFVALLARGELESGDSV PEPELPPETHPNYRLIRLIWPIVTFIVISSIIVHGSSIAVFALGKRINTLSLTMSYTQ GGEEGPSWMNRLPRLSSQSRSQMKTMSDTEGEEMPTLPPGTLPPIGLPGHFLRRQREE EGPSRNGSRASSRVSRRRRKKKWDDGIGPGGPVEQSAIFPTQRTSDMLSPTSVVSPGL EGEVPPEERGSQSTSLAASDTENRTPTAVEAARTEAEPEEVPLTLKVSAFEQGNQIVF EDEEGDVLGVTDTREARAPRDSENDSDRPSPGTPGPILTPEAAETLNNKLNPSGQGSK WSMDQIKGKMNEMYRQKMEKRKQKSKEERRHEPARAFQFGNTVIVEDAEGEVVKTYEL PSEKPGAPSNDLMSQGLKYIGLAKAIPAATSPDTGEPAERGRRKSRTEADDNEEEDDR HIRFTIGGEGKRLTKEAFIAEMQKLDARTRKEVVAQSSASLAVKRLATQDAPDPSAAP TRTPKEGSTAGPGPRITTTLPTPQSDMSSGLKGPSQSRRVAGLSSSTAIDDDEDEETP AERRRREAALGMTSHADDSDSDEEGNPRVPPAERRGIRFADALERGRKRE
SAPIO_CDS2082	MNNNFRNRNSCRGDRDVTHRRDALHYDPKACVAEEKGAYSSLGL DDENIPDPRSNIFPEYTTGLQNAHFPTDSGVTLLGWIPIPIYVRDEPRDASSAKGDCH QRHEENNPSFSPRRSSSHSWPLPNCCHAAYEPNPSGGQEDPTMPGFSSFHSSPAPHDF HPDTTGRGSASVNGTGPILLGRECAGRSRKRELLRIAQPKPSPNLTTVKEERVEELAP ERRQASASPRSPFRALSETW
SAPIO_CDS2084	MPPLYHLPGESSPTPHPQVYYSHDAQPSTTATNEPTLATTTRHA HVTEWLPKYEPSPTDSTLSSPSNLPLNVDQWVTLGPPSSRLTKGFLAGVIIGLVFALM RLVTVSKYDLGQNEVNVHRARVEKDGAYDVGCQPSRIF
SAPIO_CDS2085	MKWSSFSLLGLLAVAVQAGPQSTCKCYPGESCWPSQGKWNQLSA EVGGRLSVELPPGLPCFATYEGQVVVGVNDPAKCAEVTSKWSDPDWVCTSDCKQGVVP TYVIKATKVSDIQAGIKFAKKYNLRLIIRNTGHDFMGRSVGYGALVINTWRFKDITLH EKWSGPDTYRAKAVTAGAGVMVHELYDAVWAADQDVLAGECPTVGVAGGYVQGGGQGP LSGIYGLASDNALQFTAVLADGNHVTANSKTNPDLFWALKGGGPGTFAVVTSVTFKTF NRVPVSGMALNIVGSGDTFWEGWRIWHTYGPQLVKHGIYVWYAASEGSIIAQPFVAPN MTKAQLEAVLQPMLANLDAANVTYTRSEVQTFSNFGELYNEMWFTAFHAANGQAGFGG RLISLTDAVANGDNIVAAFREVLQKYPAVGFGGHLVNPGNHVPDPNQALSAVHPTFRN TADILVYLYFLEDCLSAERRAEVLDAVTNDIGGIIRRETPNSAVYSNEGDPNEPNWQN AFWGPVYPKLLRIKEKYDYDRVFWVKSTPGSEKWKLVNERLCKA
SAPIO_CDS2086	MTPRYTNPYHLLREIHRATGEMSSIQDTHAHRFSDIGESEAGQK GDILYTMGLATRLGMIVLGYSAIDGGDDKLLALVSEGTRDDPHWSPWGADGNNESEWE DKMEFVGSVSHALEQALLKSVSTPGTMNGN
SAPIO_CDS2087	MAIYSYLFYQQLKGAPETTLKFLHDYRLHQSQRLTPVRFEPLKF IPDIHAKQIELLEKQEQQRFEVNRRYLTIPYFKQHHAKKVARVKARARARAARAELLR LWEIDGRDQDEEDDGFVLVEKNSLSGIQADEMVQLTEVPDEHFVTPQAGPIEEDDADY TDTDSEISTDSEFDPEEESLADRLYALRDIVPPTARGWISSKVTSVVGFGSSSVWWAG KALWAISSTALLLGVPFAICVTEEQQVMAMEQEYKMREVGSEVLTAGGGEHHSTADRV GAALGSDAKAAL
SAPIO_CDS2088	MSLNGLDDPKVKEAHDAAVSEPGGWFLLKYASRDEIDILGRGNG GIVEIRNAISEYEETSPLYGFLRYRRRSVIIKYLPEGCSRLIQARVTVHFNAVCERFS PHDTTFSITTASELKDTKLSAACSLHAASGSTSSSTSSLRRRRLVEIAEEDEEEQQRA TKRQSLGEIDMPDAPAAPADQPSEETQNSASNLEPPVVLNAELAASPEKGNFTNATDP PDFMGAARPPSPAKSFDTASRRMSSQSTRPDYYSYASYGRQKVKLGPRPSLDVSGRPQ TATGGTFRPVSTIPAGFKLFSKGSKKGKSKDSADNDASSSPEQQHAVPEVALDNSMVP IPEPPESPDVDEFRRPHTSSGPGRPATSSGASFVMPPFKPTTPVKEKMTPEKARLMKA MQLREKKKKMSMMMPPPVPSIPPSVQTPRDEEFEAEQLSPKPTLPEEGAEGKLETEEG DPDDKLSLSQADSAIVMEAGMSAANDQASEATQSDSHPASPFIASSEAAQSTKASSLS ESTDETVQASHDKEEEDDDEGNHVDAEDSIIISKDEREDSSTAPGEDNTEAPLPEVEA EPNSAEKPEGPTVADDQLANDHEDTKLEPATEAPSRTRVNTALPVSKFATANNSNNTH PDEVTIEKDSTEEEKAEEAVDVRQERRRSSLKIPMSKFSTHDLETEDIPPVPVLSMPE VAEVSEPQVTEQGPEVKDGTQLQETKDQDRTSVHSRLSRKTATLDPIKTDLATSNRGS HDLSDDDELLNELQSATVQEAKPITVSKSPLTPIFPAVFGRDGAPPGVRTVSQPNALR GSSHLSPKDLPPPPPSARSISSGAAFLQKITQQQAQASSLAPKKTNIGSSISQRIKAL EKLSGGGGAAPALEPIEPRRPKTPSANFFSVRKSTVREPSRSPSVAERATSFAKGSPP PGTKESSPESSRQRSRERSASLANRLTMFESGGAPPPLPQSRGRTESIQVKARIVRDP SLPVGAMPDHKDPAEYAPLELKQSPLIVDHKKAEPELPMRAANPVPIAAPKETIQERR MSKEKRRSESNDRSGEAEQPTKARRSSLSIVKDFIKERRKSLTSPSVDNLMAPTPSTP SRSPSRPPSVHQNSSFPRRLSISSRRSSVSKDPGTAGTLSPSAFTETSGSGDESKSGT SEKKSKSRAGRFMRRLSSSLHGSRGKNTPTGISPTLHEEVSAEAINTRPPQPKENTGP TIVAYMGDVNVQFPDNLLWKRRSMCLDSQGFLILSTTGATAAPMDKQPSGVGVKRYHL SEFRVPYPPEMEVQELPNSVVLDFIEGSGLQVACEDRAGQMHVLQILVDAHKNHSSFG Q
SAPIO_CDS2089	MATTSNMFLYSLTIQPPTAITNAILGQFSGTRQQQILTASGSRL TLLRPDPNLGKATSVVSHDIFGIIRSIASFRLAGGTKDFIILATDSGRIAIIEYLPAE NRFSRIHLETFGKSGVRRVIPGQFLTVDPKGRACLVASVEKNKLVYVFNRNTQAELTI SSPLEAHKPGILVLSLVALDVGYANPVFAALEMDSTDLDQGVETQLVYYELDLGLNHV VRKWAETVDPTASLLFPVPGGNNGPSGVLVCGEENITYRHSNQEAFRVPIPRRRGATE DPQRRRTIVSGVMHQLKGSAGAFFLLLQTEDGDIFKVTLDMLEENGKLTEEVKCIKVK YFDTIPVANSLCILKSGFLFAASQSGNHQFYQFEKLGDNDEEPEFTSDDFPADHQTLY SPVYFNPRPLENLALVESIDSMSPLIDCKVVNLTGEDVPQIYSVCGNGARSTFRVLKY GLEVNEIVASQLPETPLAVWTTKLTADDKYDSYIILTFSNITWVMSIGETVTQVTDSG FLEQAPTLVVQQLGDDGLVQIHPKGIRHIRNGQVNEWPAPQHRSIVAAATNKQQVAIA LSSGEIVYFEMDSDGSLAEYDERKEISGTVTCLSLGEVHEGRLRSSFLAVGCDDCTVR VLSLSPGSILESKSVQALTAAPSALCIMTMEDSSTGGSTLFLHIGLHSGVYLRTVLDE ITGELANPRQRFLGPKAIHLSQVTVQKQTCVLALGSRPWIGYIDPFTTGFTMTPLSYE ELEWGWNFSSEQCEEGIIGIHANYLRVFSIDKLGNNLIQKSTALTYTPRHLVKHPQQP YFYTIESDNGTLAPELRARLLADPNNSDAKVLPPEQFGHPRGRGRWASCINVVDAMSE EEPQVLQRIDLEDNEAAVSAAVVSFASQEDESFLVVGTGKDMVLSPRQFSGGFIHIYR FHEDGRALEFIHKTQVEEPPMALIPFQGRLVAGIGKILRIYDLGLKQLLRKAQATIAP QLIVSLQSQGNRIVVGDVQQSITMVVYKPDSNKLIPFVDDTIARWTTCSTMVDYESVA GGDKFGNIWIVRCPENTSTESDEPGSELRLTNEREYLHGAPSRLNLVAHFFTQDIPTS IYKTNLAVGGRDVLLWTGLQGTIGVLIPFLTREDADFFQTLEMHMRSEDPPLAGRDHL MYRGYYVPVKGVIDGDLCERYTLLANDKKQRIADELGRSVREIERKISDVRTRSAF
SAPIO_CDS2090	MFGGGSSSSVGKSDNDTFKPESKQPSPEPTSNSTSSSGIDAASA AASHSSKSSEHAASGEKRTGNGALPTRHDTGGSSDKKRRSTGVSGKASSLLASAKNSL NLSQTSRNTNSDSSSHTSGSKLPKDAASSAAIASQHNNAAGESLPGPKSTFRVGVWED RNRKCRRTMEDTHAFLYNFASAALPPGKADADDDGAETDNGYFAIFDGHAGTFAADWC GKKLHLILEEVVRKNPTAPMPELLDQTFTTVDSQLEKLPLKNSGCTAATAVLRWEDRP QPPASASLASKRLSLAPAASDASKAEDASNSRADGAATADVNNFKAKTGLSRTRVLYT ANVGDARIILCRSGKALRLSYDHKGSDENEGRRITNAGGLILNNRVNGVLAVTRALGD TYIKDLVTGHPYTTETVIQPDADEFIIIACDGLWDVCSDQEAVDLVREIENPTEAAKL LVDHALNRFSTDNLSCMIIRLKNEGQEGQQSKGKGSAASGRDEASGTVSDRAGDTADD ATPTASFKPTTLESTLEEEPSSIEELENQAKRTA
SAPIO_CDS2091	MNILEYMFGKRMTPAERLRKNQRSLDKAIRELDQLRVKLEKQEK TLIQQIKTSAQKGQMGACKIQAKDLVRTRRYIEKFYDMRSQLQKISLRLQTYRSNEQM MQAMKGATMALGSMNRQMNLPGLAKIAMEFERENDIMEQRQEMMDDAIDDAMDVGAEE EGEEVVEQILEEIGVDLNHALGETPTGLQAAAVPEGKVAQAVGEDDDLQARLDSLRR
SAPIO_CDS2092	MTQEETSTDGKGKGKSLAHNGARPEENASFSRPGYMTVGNGSTS EHAARLQEMLEKDSGYGGSSTADEMWHPSLHPDTHLPTASPSDASDADRRAQAGAIHQ LWYNQHRGSLGRSITNVITLLKELQDMNATWPAHYPSVQKTETRAPSRPASRQSLQRT STAMSDFSRGPASSPPTPPPGLRRARTSLDDYPGAESSRAAESRTVAEPRLVTPQIAQ EFSILKLDLKLGALHQAELVHSLEKASIASLLDGKISSSIKHLLALRERIEDTSSKVL VTGDLNAGKSTFCNALLRRKVLPEDEQPCTAVFCEVLDARDNSGVEEVHAVHMDEVYN RHDESTYDVYGLEELEQIVGDNTKYMQCKVYIRDSRAIDESLLNNGVVDIALIDAPGL NSDTTKTTAIFARQEEIDVVVFVVSAANHFTITAQDFILTAAAEKAYLFIVVNGFDNI KNKDKCQKMILQQIRGLSPQTHKEAGELVHFVSSNSIPVAPPPPGAPGGPGGSGTASS GGGGGGDDGPGDDSKGKGKDAEKIRDFQALEQNLRRFVLEKRAKSKLAPARTYLLNIL NDLHSLATVNAEIAESEIARVNSELHEIEPQLESSRKAVSDTGDLVEKVIEDTSNEVY TYSRTTLGNAISHAGEHTHDVPYPGIFGAFDFADEVKEAMLAHIAHSVSVCEEYARGK TVSGVNVIKQLGILHVGDEFQNLSFRPDVMFSRKRDALARQVDVEPEFWDFIDVGTLL QRQEKVAGTGMALTVVTAVGSRAIGLNTWMDNAMGVAKIVSNDNLRRLILPGLLVAAV AATAYVLNQIPNSLPRRLQEKISARLTEMDYVHTNSSRISGSVRKVLRYPADNLRVSL DQSVKELGTRREDTLKVRAESEVALKYFGNLVRKSATQRNAVENIDLESPPPGAAQYH 
SAPIO_CDS2093	MNSQGPNDVSPEAMQARIQQARREAENLKDRIKRKKDELADTTL RSVAQQAHEPISKNQMMKAKRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYT TNKVHAIPLRSSWVMTCAYSPSGNYVACGGLDNICSIYNLNQQRDGPTRVARELSGHA GYLSCCRFINDRSIITSSGDMTCIQWDIETGQKVIDFTDHLGDVMSISLNPTNSNTFI SGACDAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRAD RELNLYGSESILCGITSVATSVSGRLLFAGYDDFECKVWDVTRGEKVGSLVGHDNRVS CLGVSNDGISLCTGSWDSLLKVWAY
SAPIO_CDS2094	MSDPNPAQEEFSRLVSNNAADELRVHPEDRDEERFNRTQDLTEE DEYRNNQIDAAMRIPAFDRLNGGGATADIKLPPASFDDGRSTGVKGVIADARSYELAK KQRFRNAIRTARRSIFGLDSHQNAQPKYTSGDSETDGDISGSDFADEEAFLQQWRESR RRELESEANRAVRPRRTSPSVRIYGRFDEVDALGYLDAIEKVGRETVVVVYVYDHECP VSSEIERALVPLVSRHTAVHFVKVHYEEIEFDNAGVPAVLAYKNQGDLFANLTGIIEM IPDDESFGTDSLQSLFRKHYIL
SAPIO_CDS2096	MSAAETTKPEVEGASEAPSVTSSAVFSMFGGGAKKEKKEDEDRG DVSGSAKAQREAAAAAAGESKAEDEEQAPESEDVHFDPVIKLTEKVETKTNEESEEQV FKMRAKLFKFVKESTEWKERGTGDVRLLKHKENGKTRLVMRRDKTLKVCANHYIVPEM KLSPNVGSDRSWVWNAAADVSEGEAEAVTLAIRFANSENANLFKDAFLKAQKENEALF KQSEEEAEKADDEEKPAEEAS
SAPIO_CDS2097	MPPSRNTRSRSRTLGLPDTEAEYRGSVPSTTGPRAESPYNLRTR SHKKSMSDLKIVPILIVRAKSKKIERKIKLVTEQHIIDKPSPVAEFPMREWSIKLFMV DDAGNEQPAECFNKVVYNLHPSFENPVQTFTKPPYTCKNEGWGEFELTIDCYYTEKSK ISVPHDLNFQKNEYEVVRSVSFKNPSQALQEKLRELGPLPTDDDRPKKKGIASKKSSS QKYDYEKIADAMEKLEEDDLLKVIQIINDYKNADTYIKSDIEVDDLTEAGEFSIDLYT MPDILTKTLWDHLSKKGLVS
SAPIO_CDS2099	MKGYSRHGSTGSRQSPPSTAPPSASPSASQQQPSTQHKRVYQAC IPCRRRKVRCDLGSVDNPHDPPCVRCRRESKECFFSATRRKRKTDDGLDVDGYPADEY VIRNGRKRLFTGDPSPPAALDRRLYSEVPLIPSGQIRREPLRRPEGPRSTTSTGHGST SSRHSDFGAGTGDDSNAQLENIEAQTVMRRGVYGPHDALDLLYKAATDGPLTSSDPKI DGPTITTTSIPPPPTTRTDSTPRQNVRDSRSVDERNVKMEAAIDPALTKKNLSSEPGY ASAIKAWNRFRFVRAGWFSAQEAIEYIDYYYEYLSPLTPISPPTFRSPGSHLTLLTEE PVLTVTLLTIASRYRKIPGTGGLSRSNAIHDQLWTYLRGMIERCLWGQEAFGGGLLPP GSVVTDETQTSSTAPWRGLRKGSLRTLGTIESLMILTEWHPRALHFPAPEAIDELMLP SYDRSDPDFAFGEEGGQRPAGVGGKRIESWLEPAWRSDRMCWMLLSTATGLAYELGVF DDIDELLRDGAITRPEYEEETYRQRAYRIKRLLLIYVTQLAGRLGWTNMVPESLRKSD PAVSRRRPTAMDGATPGTNPSSLSNAFNYIPDLELDDQIIHCWAGISNAMHIGNEKLF RSRQHTTDIIQSGKYVDLLKEFHPILRDWHTEFERFRLPPYIRHILTIEYEYVRIYIN SLSLQAVVERCTSNAGNTASGNGSAAGNAPQLSPQTQNYFGKLPLGQLGGFGAADQEY VKEVVSGSRNLLRTVVDGLLPGGYLKHAPVRTYFRIISGAMFLLKTFALGAPRADVKL SIDLMDSTVEALRNCVVDDVHLGIRFADLLESLTSRLRNRFIQAPTIAQAASADARSD HEGAAATGMNGIGGQHAGGDTNGWVADASRLRDGVNGHDRLGSPTMANISATPFDLST GTFPYPSGAASVLNPSTPAPIDNIAENNTTNNNNNNSNNPTSVFDDWNTPGNEMWYLP PGPAFFQNMENTAVAMTAEGVNVGGMDLLEYMVMDQPFTNLDGHTYGGS
SAPIO_CDS2100	MTVQTPSREVLWTPENPRSTVMWQFMERVNSKYGLELKDYQDLY KWSIDNIGPFWEEVWLFSEIKASRPFDKVLPTESMFPRPDFFSGALLNFAENLLFPRD PVDPTSTAVITLTERESELVETTWDQLRDAVRKCSNALRQVGVKPNDVVAGFVSNHLE SVVAMLATAAVGALWTGISPDNGVSAVLDRLAQIRPTVLFSDNGMLYNGKDWSSVHKT QEIAAALKDVGLKHVVVINNLKSAGLGLGELRSLGLDAQEYGAFLSSAPDGPLTFEQL PPSHPLYVLYSSGTTGLPKAIVHTTLGTLIQHLKEHSLHGSVTSKSRMLYYTTTSWMM WHWSVSALATGATIILYTGSPFKPHAHISLPRLLSSLKVTHFGTSAAYLTALEAANVL PVNDPSLDLSALEAIYSTASPLPQSTFRFVYKAFPKRVQLSSITGGTDIISLFGAPCP LLPVYTGEIQCAGLGMAIKAVDSASGDDLAADEPGDLVCFKPFPCQPLTFFGAGGDDR YKAAYFERFADPATDAPIWHHGDFIRIPHPEVGNLVMLGRSDGVLKPSGVRFGSAEIY NVLTRFFAADVEDALCVGRRRETDTDETVCLFVVPAEGKKFDDDLRARIKSVIKSELS PRHVPGVVEECGGGIPKTGNGKKIEVAVKQILSGMNVKTNASVANPEALDWFRRWAET N
SAPIO_CDS2102	MNFDSGTAYSESDADDEYEGAIADSSPVTASEVSQFDSELPSSN EHTPTTFHHRRSADRLPETIISEWTSDETADFISTIGLPQYSDVFIENDIVGEALIAL MHDDLKSMGINSVGHRLTILKSVYDVKKAQDVPIESDHYVPLSADAEAQYATATLKDI KQLVEQLRLRDERMNLFENDLRRLTEDFRRLREDMLPALRLAKDAQQPLPNNPNNQVY TYETSTMSPPAPTPSSSQSTGGLRRQFSTKKLALGSIPKSSNSPTHLQTTHERSIVEQ TLDPSNAAERAVLSSSHLAAMNGSGQTTSPIFPPPNIPSPTSPPTTGMGGSTMLASRS YRADGPTSSSRSTFADNDHSRDKPIQAPRRKETPAPDTPSSSNASVEIFKSFRVSMDD PCYKVLPAALKKYQINAPWDQYALYIVYGDQERCLGLDEKPLILFKQLDREGKKPMFM LRKTNNAQVDLTSDMPGSAGLGGSARGAATPYDPPGGII
SAPIO_CDS2106	MQGSTTSPRRATGEARGSRTRRYLIDNPRRRRQTPVDPNVSDSE SGDELDNSESEDEEYYSPPGSQPGQEGLLQAAPQLPPPPPPPSAPAQPILPSPDQAYP EPSYPSTEQPPPPRLTSTSASQIVQTFTVSAARPSITKSPFGIGVGADLNAAPALNVQ DPESDTETDSPTILPTFTGPLPISASVTATLETVRLTSTTTVLPTEDAFPLPDKEYYK DDDPPFDDDKPKFQSKKDATTEHIMIGGGSIGAFVGVCVLSWLVWRFASRRKKRGGPY SMSDAPYGSPSRGSISRQAQVGKFLASVPWVKNRPWARKWHALEDDNRSIPAMTEKQS TDQQREAGAGLSGFSFNFGRQPPSTSGSLGMPQLDTNVGAAPYNVANISPVSSLQTST SANPFDDVNAIQPAPQPAVVASHHQHHASETSIQGQYGTWIYRTMPDGAGSQPGTYNS QQRQANRLSEVSSLSSGFGDGDIIIQQSWPGQGQGTSTAAAVVVPQPPAPVAPPQSVR ESVGQSSDAGWSRRDTIYTQTSEDLPPRFRNINSWVDQQKGRIQRAVMRAKDGHTNQH SPDDVVPPVPGIPAGSGPHGLPPEPELSMMMPDGEVPRRVEM
SAPIO_CDS2107	MAQTTLKAMRASRLSTRQLRAPTTARAFSSTTARTQSLALVARN NLRRGPSASTAAALQSQILSKQLSRPYSTEGEAPKQRPGAIRLTFRWLWRLTYLSVLG YVGYVGYTVYADRHPPAQSPPDPNKKTLVILGTGWGSVSLLKKLDTDNYNVVVISPRN YFLFTPLLPSCTTGTVEHRSIMEPIRTILHQKPTGVTYYEAEATEIDPDRKVVKMRDE SEIKGTVSETEVPYDMLVIGVGAENATFGIPGVREHTCFLKEIGDAQAIRKKIMDCVE TAAFKDQDPQEIDRLLHMVVVGGGPTGVEFAGELQDFFEQDIKKLVPHFSERFKVTLI EALPSVLPSFSKTLIDYTQEKFKEQTIDVLTQTMVKKVEEKQLTAVVTRPDGVKETVT IPFGLLVWATGNSTRPIVRDLISKVPAQANSRRGLAVNEYLVVQGTRDIWAVGDCAVA GYAPTAQVASQEGNFLARLFNNMAMTEHLQSHIAQLSSSLNLQPGADSAKIAEEIENS EKQLRRIKDVKPFHYSHQGSLAYIGSDRAVADVRWWNANLATGGKMTYFFWRSAYLSM CFSTRNRLLVANDWVKSIVFGRDVSRE
SAPIO_CDS2108	MPKLQIIRAGTYRSSEQDDRQQAVAAPFSHPRYWARLCKVKYTG TKHCTFRDTIDLQDHDRPSAQDHSKSNLRNSNSPSLNIGPHQAETLRDVAHETADENL RSPRLVWDKPTELQGDHDHDHGDDRDLDDLEIDLDFDDSEIKSRARSNSDLDEDHPNS RSNSMMHQQDALAVAQNGGIQDDSDMEMDGDDYDDDMTGGISSSPSIEDVDSLPGAAR MMTSSTPSPNTSRTTPPVIFPAPSETGPSIPDPSPMRATPPQGPIEMIGDDLLVGVPG QRHIENIGRHHHLLLPLQTADPSAPETSDDDPISGTSHDCDRLHPPRARTSSVGDDYP LYYSESLISEMEEELSRWPSGHGPPSIDFHTKRKEFERGEHINNPNELPGGELDGLTI PYIPSEEEEADDDDDGDDESDISFPDDPLYVDSEGQANATKGDTMVLLDDSNSYWWLV RIVKDSSIGYLPAEHIETPTERLARLNKHRNIDLSATMLGDQTAEKSKPTFKTAIRRR KKTVTFAAPTYVDYEDFDYSSDDEDQEEIFAQQQMAARQAREQQQQQQVTASSAEIEE VEIDSESEIEDETAKVEPLKPRVVKETVKTPEPAKTTTSTDDSHMKRASEEMFDGKSD GVSRSRNGTVRNTDSFFKDDTVETKKITLTPNLLRDDNTPRDSTESKELRPRPSLDKV LDKDKDDKKKRDKDKKDKDKKPSVIRNFFSRKDKKKGGADDYDDDPKKSMDGNEPRDS KEQEEVEGGDGWTDKSAAGPQRHPSKLQKQQPRTEPSPTRGKGPTAQKPADIGAYISE TRNDVSNVPPATMRIVDSETQETKKVSSQPTKEESSRTNGSSQESTNVGNGTSTAQET ERGPRAQPQQPNDRQTDSPVRSSPTSASRPPPLMVDTSSQEDHSASPSPELVDIDTEG STTLRKQDSVTTNSTSTAESTATWNDAKLRAFFETGTDIRDMLVVVYDKTDVAPAGPD HPLVGSLFREQNAKLAEITTQLDNMLGDWLARKQRLRGTI
SAPIO_CDS2109	MTEEPRSNYPVPGWEREFEEERVAYTRLESLQGVYVPRYIGIVR NGDRPAHILSDIGGNSLATPAGAVLEPEDFDRLISETLNALGSCLVLHGDLKMDNYHL VGNKIMAVDLESSGMNFKEVPVSKVKIVVDYLGGRYRDHVAGLEWEGLRLPTIHL
SAPIO_CDS2110	MSPLPAPVAKAVANIRDEEEESRHPSPQPSHICLPHQPLRKPTL GYIAPTFAEKPVQKLEVTKLVEEAGLIPASQINDQIEFFYEKLGIDDVYFNLEKPSVI ASQITSLYAAKVAALAREDKREEIRLDMEAEDHAIYIDTSEPGKSGLNGPRYEERLEA KYLDHVGKTKFRVETFRSPGALGANHGSKSTLRCYFVYQCQFRQSPEETDPKESRLEL ISDNGFWQKATNNTKQIYQEVINLAVSRTGPVIEVFDLPGSEEKRLIIAFRSRTARGL FSELSDLYHYYGVTSSRKYVEQFSNGVTVMCVYLKQATNLEGNFPPLEESIHQITKEI SLLYCLPHNKLHGLFAAGELSLQEAIYGHCVWVYIQHFLNRLGPEYVTLSQLLDLKDN AQLSLLSKLKRRLRTETFSPQYILEIIKSYPSLLRALYASFATVHLGLTNANGTNGTN GTNSGRRSPKLALEPMSDDKLKEKISATVTNENDEMVMTAFRVFNNAVLKTNFFTPTK VGLSFRLDPSFLPSTEYPNPLYGMFLFICGEARGFHLRFRDIARGGIRIVKSRSKEAY AVNARNLFDENYALSSTQQRKNKDIPEGGAKGVILLEPKQQDKAREAFEKYIDSILDL LLPAQTPGIKNPLVDLYGKEEILFMGPDENTAELVDWATEHARARGAPWWKSFFTGKS PKLGGIPHDTYGMTTLGVRQYVTGIYRKLNLDPSTVRKMQTGGPDGDLGSNEILLSNE KYTSVVDGSGVLVDPNGIDKEELLRLAKKRVMINHFDVSKLSKDGYRVLCDDSNITLP TGEVISNGTAFRNTYHLRDTGLTDCFVPCGGRPESIDVVTVNKLIKNGRATIPYMVEG ANLFITQDAKLRLEAAGCVLFKDASANKGGVTSSSMEVLASLSFDDESFVEKMCVDAK TGEAPQFYKDYVKEVQAKIQENAQLEFEAIWSERERTGEPRCIISDKLSAAITQLDEE LQHSELWDNTAIRRGVLGDALPKLLQQEIGLDTMISRVPDSYLRAIFGSFLASRFIYQ YGSSPSQFAFYDFMSKVRAKLEGVEP
SAPIO_CDS2111	MAAVDTFSREHATSLDQNDALSFTRNEFIVPTKAEALSKSLSER GTGVVDLGRGDDEGSRPCTYLVGNSLGLQPKRTATRVKQYLDTWATQGVQGHFKPLEG SPLPTWLDADDQIARMMAPIVGADPSEVAVMQTLTANLHFLMSAFYKPDRNGRHKIIL EAKAFPSDHFLVETQIRHHGLSTKDSMVLIEPETWDEPLLATEKILAAIEENASTTAL LLLPGIQYYTGQLLDIPRITGFARERGIFVIWDLAHAAGNVVLKLHEWNVDAAAWCTY KYLNSGPGCIAGLFVHSRNSSVSSKIEDDGSEGGYTNRLAGWWGNEKKTRFVMANKYH PAVGAAGFQISNPSIIDITSVTASLEVYQLAGGLGPLREKSKKLTAYLESLLNNMPQD LRGLFKQITSTNPDERGAQLSLLLSPGLLNTVMEELEKEAVFVDERKPDVIRVAPAPL YNTFEDCFNFVEVFGKALRVAIGSKE
SAPIO_CDS2112	MRTFLYPPVSGPSPFLRLQIIIATVLFFIVLYLVFDPSSTWPPF GRRNPFVGEPTESVSWHRYVRATQSDVIRPVAVLEKLTKGRVRNQHGLIDGTGPTVLV RKNETEEVPSIVVDFGMNTVGILSIEFAHAKGDGESLPGLRLAFSETLKHLSSTSDFS RSYNACSQRFFESSDARDRSVRKRKYTWTNQLGCEESGKVCADGLHGFRYVRISLDAL KKDAPLTTPQGTVAISSISIRLSAFHGTPDTFTGMFECSDEDLTQWWYDGVYTNDLCT DTFRANDTEPRDADSDSLDGKLVLHDGAKRDRDPYVGDLAVAALTTYLSHDTSEAVRN VLADLADHQRDDGWIPPASIFRYTLHLFDYPLWWVVCTFDYVMHTGDMKYLRQYYPNM VAVLDGFYPSLMNNETGLVEKGRRGTGGWGDYAFIRRTGPVTYYNALYVYALRLASVL AESLSATSNDTRSHDAQRWMLRADSVSTALKEHNFDHTEGLFYDGTCSRGKVYCPTHA QDGNSIAILAGVVSQETGGDPALPTAQSILHRWGNITARDWGNAYYSNSFLAGDYGDR TYAFISYFEIAARFETGLVDSALEEMRRLWGYMASRDPGVTFWEGSDPSYNRDSFKSM AHGWSSGVVALLTRYVLGVTPEGPGFTKWKVKPREGDLSWARGVVPVPGSKEGIRVAW SRAESGSFSLEVKVPEGLDGGIIAVPVISRPVDVRVNNEVVWGDGVVGQVSAKLVDGY VEFEAQGSEFSIVVDPAEGGEL
SAPIO_CDS2113	MADSGPSSAPPETEEIDLYELLGVEKTATQDQIKKAYRKAALQH HPDKVPEERRDEAEAKFKAVTQAYEILRDEDKRQIYDAGGMAALRGGPGGPGGPDLED ILSQMFGFGFGGGPGRGPPGQRRPRRGPDEEQEYTVTLEELYKGKTVKFSANKQVVCS QCKGTGAKDKVKPQQCEQCKGHGVVEGLRQVGPGLLTREVLPCDHCKGSGNFYKEKDR CKKCKGKRTTQETKVLEIYIPRGSLQGDRIVLEGEADQFPDQTPGDIVFTLVEEPHDV FSRVGADLSAELKVTLGEALGGFSRTVLTHLDGRGIHITREQGHVLRPGDVLKVPGEG MPLKRGDEKGDLYLIATIEFPKDGWLQKEAEFEALSKLLPGPPPPITAEEVDEVHYED GADLEEMVSAKQHVPIVKKRTKRFTRHQSDRFKCVDPSWRKPKGIDSRVRRRFKGNLA MPSIGYGSNKKTRFMIPSGHRAFLVSNVKDVELLLMHNRTHAAEIAHNVSSRKRIDII ARAKQLGVKVTNPKAKVTTEV
SAPIO_CDS2114	MVNASRGPNGPKCDPGWPGQAQPPRRSLDRPDVGGPYGPYRQSE RLDKYRPFADQLLESGQAYRCFCTAEDLEKHKAQAVTQNQSTRYPGTCRNISPEESAD RAAKGETHVIRFKGGNTFPFVDRVYGLYEKKEEEDDFILIKSDGFPTYHFANVIDDHL MEITHVIRGAEWLISTPKHIALYDAFKWAPPEFFHVGLLTDNAGQKLSKRNHDVDVSS YRCRGLLPSALNNWLVLLGWGLAQGSSKNSELFTEMEDLINKFSFKFTKGNIKVNPQK LDSFQHKHAEYLFQNPEAHYPVLRESILIPTVDFVNQLDASSKNAAEEVKSSSGVVFD RSQHGDLIPHFASPESAEEYILKMFQLNTTRYGHHTDLIVENPQLVWTIPASAYDVGL ASFSTDQISDFSNAIATVTDTLATLSTPTDASKSSEWTQKELQQAISAAISSLSSQYP TPEVARDTVYAALRFALLGDANKPSKPASVVFYLLGPEESLKRLADASKALTRARNPE IMNANQSRRRTDPDPGPTPVSKTRPKIDNTLAYQGRELDENSIRLVEIQPAEHETDPL VCTLCEVAFGRKPKFEALSYMWGTEIADDAITINDVPFEVKRNLRDALLFFRRRLASG KAPKLLWIDAICINQSDVEERNRQLRIMDQIYFRACTVVVWLGSRYTEFQREMIEKRE SEEGEKREDEDPSLSSNSIQQNMVRHLRTDPYWERLWILQEIGHAKKLQVCYGGEATS WDLFMHFIAMHNSDGNTGPLRLDRLLRQEKYNDSHTLKRLLEEHREAKCSEPRDKVYG LVGLASDAADFPMDYNKSLYDVWKDTMVFMNQFDLFKGESQIVLIGALVKRALMEGHG DPLSQISSGHEDQVDSTQLIEDKDSPLVFRLNAVPLGCIAYIGPSASDVISKPSEASM WRMATQRLFPADELGQARWEHDSLLYALLESDESEMEKKCFNRPSTVVWRDQRRLDSF PATAQGYTEKIQQMTPTSILQLPQVQPQAAGRLASVQPRLYLARSYHGQTSRKMGVAS GLAQRGDLVCWVRSSRRALLVRVVELSHQYCPKMRVFGTAMATEDICSRTPDCDYAQR WQSLGRHWRLEVQVDAGTIFTLLE
SAPIO_CDS2115	MSLKQEIETWVAALGRYDNNEFDEALKEFENISDTSKILFNMGV IHATLGEHEKAVECYQRAIRLDQYLAVAYFQQGVSNFLLGDFEEALANFNDTLLYLRG NTMIDYAQLGLLFKLYSCEVLFNRGLCYIYLQQKDAGLQDLQYAVKEKVVEDHNVIDE AIREEAEGYTVFSIPVGVVYRPNDAKVRNLKTKDYLGKARLVAASDRANAFTGFAGSE IKNAGKGDAKDDRPTDNISFAATNLVKPGIQSRRQQSEPPTSRNVFPPTPPPENDRPG GGVSRGASVRNGPKPTLSKLNIDRAVNNRYEKTSSPQDTRRRPQRSNSSTPQRSYSQR QRNDEEEDYADDVYDMYQGGNGGPRGSRQQGRRNVPRYIEEEDDEGSEFDDGSFDEGD FEMVSNRRANTGSMSAGSSRGQSRRPEVRKVRVKVHAEDVRYIMIGSAIEFPDFVDRV RDKFGLRRRFKIKVRDDDVPNGDMITLGDQDDLDMVLMTVKSNARRQRQEIGKMEVWV QEV
SAPIO_CDS2117	MSITEPFQTLFAVPMRCDACVKDVSDTLYRLQGITKVDANLKDQ LVLVEGTAAPSAIVKAIQSTGKDAILRGSGASNSAAVSILESFHEAEDAESQKAQRSV RGLARMVELSKTLTLVDLAVSGVSAGSYRATIREYGDLKDGATSTGPVWGSSALKANG ATASGEGPKGFLGTIEVGQNGEGSGFITHPFQVWEVIGHAMVLTRQDDSAGPLKNDED TLTGIIARSAGIWENDKTVCSCSGKTLWEERQDEVKKGMF
SAPIO_CDS2118	MSQVIGPTQLAYSRVWHHVSAKALHPTLSTKNGTTPPTLGRLAS RIAVLLMGKHKPMWDPSTDCGDYVVVTDCAALYTTGRKKWQKTYYRHNTRPGSLRSVT MDVLIEKYGGSEVLRRAVSGMLPKNRLRDKRLARLKAFDGAAHPYKGNLIRFGDKVVG TPGWEEVAKAIRASSDARI
SAPIO_CDS2119	MPRVSAARYGKDNVRVCKVDKDPATGIHTVTEMTVCCLLEGDIE TSYTEADNSVVVATDSMKNTIYIKAKEHPVNPPELYASYLGTHFLDKYPHIHVANIKI VTHRWTRMTIDGKPHTHSFWRDGQNTRDVEARISRKDGISLVSGISGLSVFKSTGSAF YGFVRDEFTTLGETHDRILSTDIDATWSWKFPDTKAVEEAAPKFNKAFEDATAICMKT FAEDESASVQNTMYKMSEQILDAAPEVQTVTFALPNKHYFEIDLSWHKGYKNTGKDAE VYAPQSNPNGLIKVEVSR
SAPIO_CDS2120	MAREHRREISPSKPKEGFSAAGAVCKGCSGFCAEFFESSGHRYE YKTNHVWGVFRPLLTEKATLAERLSGILTSDMPKTFQDAVMLTRLLGVQYLWIDSLCI IQDDATDWEIESANMAKVYSNALLVISADDAKDARDGFLRPRGEGAYSSVEIPYHDAA TNKNGNIYARKKWLDIGDYEDNIYVFDETIEEFAHTGERSHSRSLLNTRAWAFQERLL SPRTLHYSSSEMAFECRNIIQCECSPRPRREMKSRLFKNQGIAESSIGRSDWMTILDS FTDRNLTFDTDRLPALAGVAAAMEPYTADDYICGLWRQEFRTGLLWEIHHRSSPPARR HRQYYAPSWSWASVNGSTVHGFGRYNGWSESQGEWAEVLSIDIEKTTKNPYGPGRGSV LLRGYIGLATVSDFQRRPPLIRLGAAGTKGGEDIIFNVDIEEKPEVVQGGEVYIFVVT SKPSKEDSTVRTDMSCLVLLKAGEESPNIYRRVGHAEGFYGQNFNSWDQHFQRETVRL I
SAPIO_CDS2121	MAREHSRPADMENFIVGLIGMGDMGRMYAHRLSAAGWRILACDR DDDAKIEALKKEFAGNNNVEICRNGSLVSRASDYILYNVEAAAINSVVEMYGQSTKVG AIVGGQTSCKDPEIAAFERWLPADVDIISCHSLHGPQVEPKGQPLVIIKHRASDESLH KVEAVLSCLGSKYVYLSAHDHDLITANTQAATHAAFLSMGKAWHANRQFPWALSRYVG GVENVKINIMLRIYSQKWHVYAGLAILNPQAKKQISQYADSVTALYKLMLEGNHEKLR ERVYAAKQKVFGLEASPKWERKQLLRPELLDRFSLRDGTTGAGSPDGAEVEEDAARPN NHLSLLAMVDCWANLGIVPYDHMLCSTPLFRIWLGVTEHLFRNQAMLDEAIRIAIEDR TYRSDDLEFTFAARGWAECVSLGHFETWRDRFMSTHKFFEPRFKEASVIGNQMMKAVL EDTGDD
SAPIO_CDS2123	MSSVKFEATQKIRKTMEKVGEFTDPATTIPGTSGKHPITAAIGT AITGGMENAGTKGYLMAYIKELEENPLRTKMLTAGTLAGLQEVLASWLAKDRNKNGHY FTSRVPKMAVYGALVSAPMGHFLIWVLQKAFKNRTSLKSKLLQIFVSNVVIAPIQNSV YLVAMALIAGAKTFHQVKATVRVGFWKVMKVSWITSPICLAFAQKFLPDHLWVPFFNL VAFIIGTYINTITKKKRLAALRKKHFGSGGSGSVAGSRPPEDYPPLGPNPHY
SAPIO_CDS2124	MVATTALTAQDTTAVYDIHHVPSEFVAKQIEICLKDIGTDAVKI GMLASEKTTKAVAETLKSHDVKNIVLDPVMISTSGSQLLPDQAVKTLADTLLPQATVL TPNIPEAKLLAQVIQDDAAPGDIESVEDIEKMAEKIRSLGPKWVLLKGGHLPLTKDLT IAQPGVEGKEPRYVIDVLCGEGQIIRIQSDYQESQNTHGTGCTLASAIASNLSTQMDV PKAVHAACRYVQPAIATAPGYGKGHGPLNHFHSMFQLPFSRGYFIEYLLSREDVKPVW DAFVHHPFVMALGNGTLPLESFKGYIIQDYLYLIHFARAHALAAYKATNIKTISRSNE IVGHIFHEIKLHIEYCRTFDISTDEIESTPEKQACTAYTRFLLDIGHSQDLLALQVAL APCTLGYGAVAKMLYSHPDTKKADQDNIYWAWIENYVADDYVEAVRLASEQLEDEIGR QTPERIEELVKIFIHGTQLEIGFWEMFPSQ
SAPIO_CDS2125	MSYGGGYGGGRGGGGYSNGYDRHERGGRDRNGYGDGQNGSGGYG GGGGGYSGGGGYGGGGYGGGGYGGGGGDRMSNLGSSLKTQSWDISALPKFEKHFYKEN AEVAQRSPAEVDAFRQKHAITIAGKDVPKPVETFDEAGFPRYVMDEVKAQGFPAPTAI QSQGWPMALSGRDVVGIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLVLAPTRE LAVQIQQEITKFGRSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLEAGK TNLRRVTYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTLMWSATWPKEVRALASDFL SDFIQVNIGSMDLAANHRITQIVEVVSDPEKRDRMIKHLEKIMDNKENKILIFVGTKR VADDITRFLRQDGWPALSIHGDKQQNERDWVLDQFKTGKSPIMVATDVASRGIGKFTL PSPSLFPPQQPLATSSKSLLYFTLMSRGFLPAQNLPELFVFPWFIFPAWSLGLQRGRN LEATLVDSPLYRPIGDESMKWYKHVRNITHVLNYDYPNNSEDYIHRIGRTGRAGARGT AITFFTTDNSKQARDLVNVLTEAKQQIDPRLAEMARYSSGGGHGRYGGGYRGRGGGRT NANNMPLAKRRW
SAPIO_CDS2126	MYLEPTQPRAGFIDHQKSLLPPAAHIARTSQDSTQAGVPTPGTA TAMERNTSDYPPSGLPSPYPPPYTDGRSEGSSGDPQSTSQYPAPQPEVRSNAYSTSAT PTSEYGVYPTSARSGSFPEHIQRPYHPHTASNSGSSGDHSIAAPSPTYPYSQAHSPYG PPGDITPNYQHSGSVYAQARPDWAAYGQHSAGPLTPTGPVFPTTPSSAPPQPRPSQVY SFVPIPGSQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNAHVTMQGHGAKRTPD EFKEIRKEWKQRKKEEEAQRKAEEERQRNAAANAAQNQVQEPGPVDGAQPTAAYAGSR ALQLPPIGYQPNAYPAPPSAGVPQHPLSDYSSSQMYPNYPHSPYGQPGQNMYNQSPNG NQPPSH
SAPIO_CDS2128	MSAPQSVQCFGKKKTATAVAHCSVRSLVLPRVVGFLGPSFSEEE TDVSSAKVEGQLEDFGMEERRENKQWCLGRRIPRRGPTPIIKVNGRPLQLVQPAILRY KVYEPLLVLGLDKFAQVDIRVRVSGGGHTSQIYAIRQAIAKSLIAYYQKYVDEHSKNL LKQALVQFDRTLLVADNRRCEPKKFGGPGARARFQKSYR
SAPIO_CDS2130	MLSSAGTPTQKAYQPQQPSVANASRPSLERDNNNGLQPPALLSA SRITTASGVSFAPRASSLNPNPAPGSFSSDFRVLNPTQRAPSRTDTYSRDNKVPDENE TVAEKNIAGLREALNREMKIKEGSENMLEALNNKKAKQSKEQRARVEAELNSSNQKIK ELRQKISDAQQRTRVPQPTTPTRQRTGESLLSSNGFRSPHSVSRSGAASDLEEATESP TFALAELLQALEAERMTPDYYVSRANSLVDLFKRHPTLKYDLVWSLIKDRKADVEREQ ALKFVRAFLDVKDGVKEISRAVVRAIAALAEHGDDRTGSRAALEALEREDRLRPICIE TLAEVLVRDPPLLLASGGLAPLYDALSEGTYKAPEGLTAAFLFLLDSPNKRKFLQPGY SVESLFSPFTDQLLENEGLLKQNAKAIAAALNTWPGLMALSMYEFRTVKSFVECMLYP SLAVKETVIDLIYSLLRIKSPGWAQSYLAGRRLTTYGRVTSLRSATDKIAVATADEES GEQNFVEHYTALLLTVFIRSNLLPVLLKLTQCYDNPTLKRKTTLLLGEVLKLSSRLLL PSWSGELQLLPELFAAATQFDNEDHFNATGTVYQISSVSKTLYRTSPSGSGGGELTPT NTYGDSVDDHPKANPSVITDDTTFRQLLIDSGVLTSSNHSKWNWDVVMRIFEGPLQNG KRLEEAAKASKFLKRIISFYRPFKYKFSELKSTRNTQKYVRAGCALMHSLTQSPEGLR FLAESKLLKQIAECLAQCDPATGYPTQFPMFSKDRLIDTLCGGYFAMIGVLSGDPKGL ALLDRWRMFNMMYHIVDLKQRPDLIKLILSNLDYSINGHPRVLLSKALTGGTMDIRIH ATNVLRKYAIRPLSSQEAVADSKWAIQLLVTQLYDPEIEVCSTAIKILEKACNRKTYL EYIVECRPSLDHLGEIGAPLLLRFLSTSIGYKYLDGLDYISNEMDDWFLGRNDSYVSL IEASLARAFMDSPDDHQNRMSLFDETAVGDFADAHVPPHFYRELTRTQEGCKLLSEKG HFEEFAATIREHGMQSEDPELITKVKGCMWAVGNVGSMELGAPFLESSDVVEQVVKIA QSHEVMSLRGTAFFVLGLISRSVHGLEILYENGWDANTNSMGRSLGFCLPVDITKFFS LTPWRSERVVNITLPESQKTEQVAPPPMPTRPPLEPQEQLTDHSDDAVNQRVLDLVVD LSNSVLFKRAMSELVQVKQLRRGGFTSVRLFRQIMALLEYNHYRLPIRKVVTELFHKS VLRRIVFEEDANDEDEGDEDEDEDDGYGSDSEDDTRTERQRSVSDPTDFEGR
SAPIO_CDS2132	MPVLLFTLSEEGVLVSIFRNRGGSGGDIPGAERPTAIDRCDVAI DDGVNVESRFIVKITFRNGCTSTHKLPFASNPPVHAKFNAEQAIHHWTIPSRTLRGVM DHFGPGAELLDINSEGEFINFLGYTEKAVDLNTACKPLRTSIAMELDEFEDVEVEDEL HIIVPVRDFRAIVQHAVTAGNELSTRYSTPGQPIKIWYHGDAMYCDFLLMTVGERGNP GQKVKRQGRARAKEPTTKPLEAGSRRASAAPSEPPPERVVAAPNPTPQPSVARANVQR RSYFEMRPSQMPPPSRIMSDSLFVGQQDEEDEWEPVRDEEDEEDARLEWDGTDRQEFS TNDTGGGGNGAADQAPASTEPGSSLEPTQRLSDARRLGLFYDGPSY
SAPIO_CDS2133	MAEPTATTPLLGDSRQENGENNDANKKGSGLGRSLKVREIVLFF WALIATAAAVVFAVWTQHRSHAPPATSPGLPAKRNLIFMVSDGMGPASLSLTRSFRQY IDGLPGNDTLVLDQHFWGSSRTRSTNSLVTDSAAGATAFSCGKKSYNGAISILPPNYE PCGTVLEAAKRAGYTTGLVVTTDITDATPACFASHVVIRAMNDDIATQEVGDGPLGRV VDLMLGGGRCHFLPNSTDGSCRLDDDDLVTRAKEKYGWNYINDRLGFDSLNGGEDVTL PLMGLFASRDIPFEIDRRNMNSVYPSLHEMAATAIRALEKATENSDKGFFLMIEGSRI DHAAHNNDPAAHVHEILEYDRTFKSVVDFLEESETEGVLVATSDHETGGLTTALQEPG QGPIYNWYPAVLANSNASAEYLAFLLQKQASKIDPETEKQTLKNWVNTHLVIQGLGIT DARSSELDKLLANAEDATYVFSEMISVRAHVGWTTHGHSAVDVNIYSSGGPRPAALRG NVENTDVGKFLREYLEVDVDTITGELIEKMQKSVETEKVIESSGPAAEYTGGEVDQAA YDHWAAHQVSFEDVE
SAPIO_CDS2135	MSVDPVQTDPPLLSDHSEIKTYTTSKFTYPNLRIFYRRHPKADE LPKVPSPLPLLVFIHGLGGSVAQFHPLLTSLLPIASCLAIDLPGCGRSEFSEKAWAAY TTEALTELLEIIIESYRDKKAGQQVVLIGHSMGSALCAHLSSKELPHVTNLVNNIVGL VGICPVSGPPPEDKVKTFRMLLWIPGWIFNLWRMWDRRGDVNSASVTRFVGENADAES RRLQHIFNCQSRTPVWRRMAYGSLPVYKDGVPVGGLPTSEIWKGLEMPIFLVAGKADK ITPPTEATKLASLFEAGPTIKAQLGSLEDSSASAAASSTTVEDTQAPEDNDADDLKVP RVSADSDIDSPDSEPTTPRTPNPRNHTDEDIPEQPLHPRGVMKTLIMPSPANHALLYM PLTVRILAGNVSDFLSTYVTGRLALSWQLQYLAKEGKWEMKNLAKWKSVQPVSRPIGP ADKPVFVAMKTLREVDEVHCPSEFVKNWGDKIADIIDISRDQPSYDPTGLQKGGIAYH KLSTVSKIPPTDEDVEKFVSLVDSIRSSRPAGETRELIGVHCHYGFNRTGYFIVSYLV ERCGFTVEDAMAEFAKARPNGIRHQHFRDKLCMKYGMLVRNAEQES
SAPIO_CDS2136	MAPANRQKAQDSKAGSNESWDEARLEAALKRLDKLHVQVLLPCG IKFEKYEPNIAIKASQLRTTIPRMTAPLSESRTPSELYRLLMKSVADAHKEIQDFREL MGDAESKKIFDRANKSRKENPLGISTWDPTEHPNCYNTTSNLTRTVKTPGGKLRLLHI KKRGTNPKCGDCGSKLAGVPALRPREYSQISKPQKSVNRSYGGSRCGNCVQDRIVRAF LIEEQKIVKKVLKEQSEKKK
SAPIO_CDS2138	MISKLSVLLAYANAVALAGPAKTSRAVDQLNQASFEEAQQRDET ATRAFSDVQITTSDGRCLFVDLLSGDFRANLTPLQVAACGSTDGQGWDVITAGKHNNQ AGAALLVNTLSQACVSFDPRRAADSQVHLFSCGGRADGSGEVSNSQLFDFDGSAGPLA LTPQNAQNQCLTVNGNVVAIAGCDAANANQAFTIAGAAASAGGRNVQEYAATGDDNKG NAGNGDEAVVDDGQAGSGNQTDSGNNGGIGRGNGQGNGQGNGRGNGRVRGNGRGRDRG QGGNQATDCAPVRVVTVTEIIEVSAPTAADSAVATETDAAAATETAVATDSEEAPETT AEAATTADETGAASTTDEAAAVTSAQADATTTAAVALDDILTVNPTEAVPVSRAGGTL NPTAAAEAHQFDNTATRAFTGVQIRSPEGQCLFVDPTAGDFRQNLIPVQLVECTGSPN EKFDIVTAGRHNNANGAALIVSSLMNGCISFDGRRQAGDTVTIFSCGGRASGEGETNS GQLVPFNGQTELAFAPVSENGRICLVDGAGRVDSAACTGDNSQVFTIVA
SAPIO_CDS2139	MPSVGTYVANGMSDMLFQWTAAGVNVYVLQGSFAGPKVNMTGNR VAGVLAYQQGGEEQLMAATFSGGVGHPEDTEMVLGPEWVKNVKHLAKIMGLSVGSIFD GPKSRGHAGRWHCSHCEKKLAAFAVSTLLARIGQLPEEFDEVSLYDLEKVRRHDWGVG NEPRLEIHITRSPCQLCVKFVRYLAAFMNVDLSIRVGGLLRAVEPDQFPGRRVPELAN HDDEDKDDLEFDQDNAVDVPVELREGLLAALNAANPRNVLSRTPEELREKSWAAQTPE VEEEEDDAGEPTAHQQIPSSSTVRDDSEDPAYRRQGVDPRLLFDPPFPTTPTTPSTEY SDDDEDVDDDHGDYPPSDSDPEEISEEEFRATSVIQPKDCDIPLPSIEFDEEVEDLGE RLVENSDESEDSEDEDTEDAPTPEPAPAVVSSRIRQQIRNHGYTGPVLLRQQTPYPKP RPSPLFSTPSRGQKRSRDESDEIGESPSAPERGPFTNNDESPSAPKRRRLTDDDDTEE VEESSQGAEKQHESHYWV
SAPIO_CDS2140	MPGGVSVRDVEAQKFINAYAAFLKRQGKLPIPGWVELVKTGPAK ELPPQDIDWFYVRAASVARHVYLRKTVGVGRLRKVHGSAKNRGVRPSRHVDASGSVDR KILQALEKIGVVEQDEEKGGRRITQAGQRDLDRIAQTVAEADEEDEDDDE
SAPIO_CDS2141	MREFMVYVQNAFYDATGWSQDNSYSTLNSTAHELLCFSTPQGLR LNLSSLATPHFATSYQLGSVGIVDGSVSYLYSSVPLKDQFTPQSESLSLPSLCRGYRT LRQLHRRDPVQAIQPIVKDGPSLLYGRLYLPQSLLEALVVKRFSSGLQLQLRAVSSKA LRNGGSLLGLAQYDVGKYAVEGLASSDGGLLGLRGVYNFGGDAKEPHQNGSPEPASNG NGADRERIYGRFTAGGEIYYGTLNKSGGISCGLRFATLPSYRGTPLTATLTLNPLMGS IRASYSVMAGRHCSLATMLDFNVYSYESNWSVGVELWRKEFLRHVEEDVTTTTAVQEE VAALASTLDPAVAKAWSRSLQAKLEWRLDEPGAKAPQVVEKKTVPKRRSLPRKKKSVP EKKKEDDDDEYNGVIKARFGQNRIGILWEGRVKSLLYSVGSGIDLRNPDQPFRTLGLE IQYSS
SAPIO_CDS2142	MGIGAFAEPLVVITLLFGGAWFNRTLGRDNVVSNLVSYSPLGHA RKRSDDYGRRNSDSELSDRDASVDWASNSGTLSPLEQSRWRNRKIRLFRYQRTVSTPN TTVFKDRLLSRLLQKFPFLVEAWYWALIYWVYQLGRAFTALTLVEGTVDVARKHALQL VHIEQALGIFIERPIQDWFLHHPNLMRWTNRTYSFIHIPGTILFLVLLYYFTTTRKRR ALVKSNGSDNSIGGSSGPMLYEARRRTMALCNLIAFIVFTLWPCMPPRLLSDPEYEGP DAVEAKSFGFVDTVHSADGEKSVWTTNKFCNQYAAMPSLHFGYSLLIGLTIATFPIAG LRSTSWKRLAIVCLGMSYPAIILAAIVATANHFVLDAVAGAIVCGIAWNANGVLLNLL VLEDYFLWAVRIHKPVNWTDPETAVERTWQGTMVQDV
SAPIO_CDS2143	MHPNLLSVANIFRSRTEGSNVPAKNQTATLRSSRYSIDRVDSHA TGSSANTSSASIDHESDESAYTHRPLPPMDTQQPVADDDSTSQQSRQQQQQQQQTQQR PPSPPSPSLSSIRSSLLHGHFPRLSEDGSRPQIPPKRKRRFSFPLPLPWIFKDNDTPP APASLDFVIESPPVLFHLDPERSTGALVSGQVLLDVKEDSIEVSEVKASLVLRIVQKK PFQGHCDDCTTHEEELQQWSFLTQPLTLSRGTHQFPFTGLLDGHLPITTDTALVTISY HLIATTLVSRPPSTTRAPTSGSSSPGAIPITNSRLIPVRRSLPETDSPHNSVRVFPPT NIRASAQYQQVLYPSGRNNITMSLDGLTSKLPQDDSLECWRLKKASWRLEESIKTVAE ACTRHASVITDTAELAAETNKNKIPRTETRVLGEEVVCRDWKSDYLTADGHLELFFDF GMHPSMRKPPPVHHHHHHHHQPSSRYACDTTTPEGVQITHSLLVELIVSRARAFASRP DDLVDTGMGRILRMRFKVNLTEKPGSSVAWDDEAPPMYDEVPPSPPRYEDDESDAGGA DDEDPEPIYAARDSVDWREADRSFSSSASST
SAPIO_CDS2145	MAALVGTSVNRPTNIKRRDDNIESKLQLYGIYSAFKAGKVPSNE QVDVALNSFLESKALKSPSGRLSAEGQSLVADTREVVRLAKYLFLSKNEGNILQDFIW ESEQFRPTGIEGPHAPVDKATAKKHGDQAVQGLRNLGTLIITNGQFRKLLKDFTVLFR DMAGDAATNVAGLVRPTEEELSQIDKPAEDNTWYEKPEFSKGKFSRESMRERLKGVYR GKPKEDVQGAAKPSDKTKGGPRLSTRDKLKQKYEERVSEKDREKFRQRNEDYRRRLRA YYHEKMPEERKKQTIWRLKKMILECQHHPDYSRSVETLLDLAEEYGRYGRSMSEGGTG TLQQTRSRLQKAQDDLKTIIERFANGTSTDPLWDSVDSLFEASRHDEELRGWFKNMDS YIRRCLLEEGYIVEDASNRDWDELYERGRYLFRDKYRTNTDRIIDETRFVSEQFDKDP QNKEFGLAVQRLFQHLGNDETGKLVFKPHLVKDLTGVIIPAAIESIEYVPIPRIEYSD PMIDAVVENLIVEADNIFPNLVEVKSSHYFKMGRKKIPNDQHQVFDVRVGGIQMDLRD VNYYIHKKQGFPAIQDTGIVNVFLGGSGLTSEIRVSTAERHDMQRFFKVEKVDVDIRN LKIKLVKSKFKVVFALLQPILVRVMRPVIQKIVEKHIKEQFNKWDAILYDIQQDARHT LEDERARAATEAGFKRSTIYNRYYSSAKKYREHRKEEARLRAREREPIPRKVNIAYTM HDSIFPDVKLPGATSERATKYKDMSMEGEAWESPVFSIGHASHSRDIPRAPKIDKKLG QRKTFEESRRKERQRASQAKMEAQQQAQAQKTGNVVYSQQQGTGAGDTYVQQGQGNIG QQQGTGTTSTTYTNETGIDGGGYQKPVGTAPIGSSSTYSGPTVGSGGGGGGVAGAGTG AGTGHTGNGGGVTGGIGYVQQKQGGEFATTTGLKTEPDPTGKQGQQGSLGASQFENGN PAAVRQTAEFPGTGGTTAPLVNPETSRIS
SAPIO_CDS2147	MTAADLPSNVHVSTHPCLQAKLSQLRSASANAREVKALIHEIST ILGCEALAKAVVSTPGSKDKTPLGFEYTTTDIFPKTMSLVPILRSGLGMVDAIQGILP RAVPIHHLGLFREPTTLEPVEYYNNLSNHIADPEDPSPTAASSLAIILDPIIATGGTC AAAIQTLREWGAQRVVVISILGAVGGIKRAAEEWPEATEVWLAGVDEEITGSGMLKPG LGDVGDRLFLTIGR
SAPIO_CDS2148	MSQRIKRPSSPDPEAKDEDERMYGEESSAGDVDAAFPDRPHNVH KTLLFSELFKTLFNPLLELRKNATGPVPGRPKTRSGGHLSVQEQRRHIIERFITRWRN EVGDDFYPAMRLILPDKDRDRTVYGLKESNIGKLLVKLLKIDRNSEDGYNLLHWKLPG QTMAARMAGDFPGRCYDVISKRPMRTEVGDLTIADVNELLDKLAASSSETENLAVFQI FYLRMNPEEMLWLIRIILKQMKIGASENTIFHNWHPDAEALFSVSSSLRQVCWQLRDP NIRLHKEETGLKLGQPFQPQLAQFQMSTTFSKMVSHLGVTEDDPEYWIEVKLDGERMQ LHMMDDPDKPGGKSFFFCSRKAKGYTDLYGSGYDEPSALTRFLKGAFSPKVRNLILDG EMVAWNMKEDKPDAFGSLKTAANKEKNGNVEDDAKKDLRPVFFVFDILYLNDTQLGQY TLRDRFRALQSAVLGMTRRLEIHPHVVATSPDTIETELRNVIAEGGEGLVLKNPRSMY RLNSRNDDWQKVKPDYMDEFGESLDCVIIGGYYGSGSRGGGLSSFLCGLRVGENEIAT GANPEKCKSFFKVGGGFKVEDYAEIRHHTEGKWTKWDANKPPIEYITLAGGEKVEKPD VWIRPKDSIVISVKGASVTESKSFAVGQTLRFPRFRRLRLDRTWDSALNEDEFQVLRE RVKAEQESKDMKVESRKRRPTKRAKRELVIAGTDSAPVRFSGPRTKVFEGLEFCVLSD AVKPTKKTKAQLEAIIKENGGTIMQRPTPETGMIIVADKKLVGVASLMKKGSVNIIRP KWVLDCVAQPNGGYLLPYETHGHLFHATDELKHASDRNTDQFGDSYARDVNVDELAEI LKDMPKLEGLHNPFDKDLFLRQLEEHGHGLEELRGQMFGRCVVYFHDPDAAEGKSTLA SFRLGNYVRFGGGKIVGYLGDPELTHIVTVGRGEKEGVGDVRKEIASRSKIPRIVTSK WVEESWKEGTLLDEEEYPVV
SAPIO_CDS2149	MTIPEKEDLLPSTVVHPAPPERFALKTRRRNIRKSRLFRIVLLS CILLIAYTQFEQLRGLRSSSRASKLSVTRLQANLETCKKLRHKPQDPSGLGREQNGRF VNGTKPTLIRNATVWVGEPKEGTSEEDARAGKGYSWIRADVFVDRGLIQKVEAGISLD SLPSDTQVYDAHGRQLTSGIIDMHSHAGVDSLPNIRGNDDTNELSDNITPYVRSWDAI QPLDHQIQVIKSGGVTTSLVLPGSGNNIGGEAFLIKHAVGKHDGRSELSIKDMFADPN QTWRYMKMACGENAKRVYGRIGRGPFSRMGESWHFRHAFEQASKLVRDQDDWCDEAEA VGIEKISRYLPEELEWESLGAVLRGHVHVNTHCYTVPDLESFVDHTNEFKFSVRAFHH AHSTFLIPEVLKRAWGHTPASAIFADNMYYKAEAYVGSEYAGKILWDNGLDTVYVSDN PVLNAQHVVFEAAKGYKYGLPYHVALSSVTSTPARYLGMGERLGKIKPGFDADIVVWD SDPLSVGASPVQVWIDGIAQFNDPVQLDKPVSAPIVPDESLSQIPENPASLKDVVFTG VSRMLLSWRASAEGSLTVIVKDGKISCIGRCESELQAASESGVKVINLKNGYVTNGFT AFGSLIGLNEIDMESDTDNGASDGRTFSRGIDGLALDSKKLNVAHRYGITKAITAPKH SSGIARHGTSVGFRTGAEHALEDDAVWSEDVSVHYTLTLDAKTGDTPSISSAAGDLRS KLLAAITSADKITDPYSEKAFLRKVVNGELPLVLSAHSASAIAAIIRLKSDVETAIAQ ANSDRSKLRVAILGGAESHILAKELARADIGVVLAPLLPYSTSWEQRHSLTGAPLTNG TAVDALLDAGVVVAIGLYEDWVVRDLALFAGIAYRNGEGRLSERAALDLISANVYKVL GIEEPKGTGTSDFAVFEGNPLEIGSRIKAVGGGSGKRVKSYMSTYLRRGLIGAFVCLL IAAIFQYTSTNTNLALFKRVPKVSVPAAAPKVTMTRAPVIALSHGGGPLPVLGDPMHK DIVYSLKHRVPKLLRLNTPEAPRAIVLVTAHWTTSTPIISSGAKHDLLYDYYGFPKES YSLRYDASGAPDVAQKVAAAMEKEGLEPVLDPKRKWDHGVFIPMMLINPEANVPIVQV SVLKSEDPAQHFAMGRALQKLRDENIAVVGSGFASFHNLQKMGELMMSRQLGGAPAPF KKRSDEWNNALTEAVGKNSTTERLGALKGWRKFPYADEMHPKYGGEHFLPLLVCSAAG GDEATGNYADEFIGIDVFTYYWGNKLD
SAPIO_CDS2150	MSSPTYELIYWPGIPGRGEFIRLVLEEAGVEYKDTAVVDPGAAA QNVAVQIDSSNTGDGNNPPPFAPPILRHGDLLISQTPNILLYLATRHGLAPKEDSPGF YHLNALALTVLDGLSNEAHDTHHPIAVSEYYEDQKEESKRRAADYIKNRIPKFLGYFE RVIKGKKSGDGPWLYGGNLTYADLVLFQGIDGIKYAFPNAVGKLEASGKYANVFKLHQ AVKDRPRIKEYLTSKRRQPFGLGLYRHYPELDSK
SAPIO_CDS2152	MDTSYLSQQVNSIINQLHGLFDEIGVPEHDRENREAELFAALSD TLNNQLRRVTAEKKDLIDEAQTIVTTIRQMEASLDDSRPRRDYHDDDDLQITYPLNQC LLTLKEKHTQISRIHRERFEQVKKLVQALESYSSHLEPTFVKIALPPTGPNQSIPPNF DISPSYVDKLDNEFTRVYEEYTRRVATVKALCEHIIQLWAELGTPQAQTDGAIVKYYR DAPEQLGLHEEDISRLRAKREKLSEEKRNRERRLKDLKAAVEALWNKLGVEEGEQKAF LNANRGCGVRQINEFQDELARLNELKHENLHLFVEDARCKLQELWDSLYLSEDEMLEF TPAFSDVYSDALLEAHEREIARLEALREQRAPLLVLVDKHKSLVTEQKELQASAKDAS RLMMRGQKGERRDPGKLLREEKMRKRIARELPKVSAELRKALEKWEDEYGRPFLVHGD RYLETMDEDEPKPAHAPRAKTPAGPPASASKAAPKSAPPSRTNSGRSAAPSRAMTKTP TATSRRAPPAHQTTANGKGSPSRIPARVPLGNLKHGTNSPERRPESRALPSARNGPPL MRAPPPKMRELVPAPTLETPVNPYSSVGLGSIVRGVDPEDVYDDGAADRFPRPPSNNS YVQQQERQGSIRHLNSSTYPPAPPARQISATSHSSHTSTLVSGSENWETYDDGSEPEK DATDTYYAKVRAARIKRIEPENGHRPYASQSKRPRGLPPSSHPHPGHVMIDQDGNRIV SAGEWTDEDAF
SAPIO_CDS2153	MKLSASLALPLFALPTLGKKCFSPNKIERDITTSALKKTLTDLN GIANRNGGNRAFGLPGFKASVDYILEQVQDNLGDQFDTWVQPFNHTFEQTRKISVTGP DGKDVFAITLLYNPPTPKEGFTAALVDTPVNDENGSGCTEADWEGIDATGKIALIKRG VCTLAEKLILARAHGAVAAILYNQDPGTNYSSATLQAENRDKVIPTAVIPLEVGTDWK TRLAAGEELTVTLLVDSIFEDRETWNVIAETKEGDADNVIFLGAHLDSVQAGPGINDD GSGTAGILEIARSFAKQKGIKNKVRFGWWGAEESGLVGSLYYTSQLTAEEADKIRYYF NYDMIGSPNPAYIVYEGEEIGSKKLFDYLEKAGKPAAYGAFGSSSDYVGFLQLGIPSS GLFTGAGDPEDPCYHQACDDIGNIHWDAITLNTKAAGRIAAEFALSLEGIPQRNTSTT PAKNRRGEISLLNWETALAQVQPVLPCSHEHDHDNLF
SAPIO_CDS2154	MNDNTSHQANNNQSLPFPSSLSRKRQGMKLPLQLKLIPTPPGRY SGPTPLRKTMAPQRTMAQILEDLEEQDELSWEEKELAELTARNTELNKHIKCFASVDW GEEVHSAPKFKFKVVHQLPPGAVSFPLPPGVTVEEALQALEDQIRRYRDAKELAELTA RNTEFNKNIKCVAVPAEFSARDNGSALPGHAASASVLKRQVQMREEYDYIIVGGGTVG LTIADRLTEDKDTTVLVIEYGYLDSSNAITAMGPDSNILSDHEMPRATRYYNITSPPM PGLNNRTKDVAAGCVVGGSSAVNGMIFDRGSAEDYDAWVWAAGEWQDEYAKEWGWDNL LPYFKRSVTFHPPDERMQEEYGMTSDEEAAYGGSTPIHSSYAPFQWPAQKLMWDAFKS VEGIDFPVEHADGHAVGVFWFPNSIDPSTRTRSYARLGHYSNENGPYTRPNFHLLPGH RVTQLILDEVDEEDSPEAWEATGVKFTPRDGDMPAEAYQVRAKKEVIVSAGTLHTPQV LQRSGIGPRDVLEAAGVEVKYHLPGVGWNFHDHQMYSVSWNWGVDVGPDSSTLARDPE YAALASELWEANKTGPHSAYPNSGAFLPLSVLTEEFTTIVNQVLAQDPTDYLPDHIDD TLIAGYKQQLQVLARQLNGTSSAVLELLFTGASSFSPINLRVFSRGYVYISPDDDGAT GKGDVEPIVDYRALTNPVDVALNRVLLKFMRRFFSGEAMVEALDPTERSPTMDADSDE FEDWLRKTLNPSVAHPSGTCALGPVELGGVVGPDLRVHGARKLRVADCSIMTLVPGTH TSSTAYAIGEKAADLIRGRRIGDEA
SAPIO_CDS2155	MGLFDKKPKIFKGVQPTKIRIEKVPIPPKPKPKPAPQHSSNSLK PSSRGVSRSSSSSHPSPRPSPQPSASSSSEAKLDRKRKSSAPHKSRASPAVAIPRVEF DTDSGSEDDDDWIGALNSRKRQKRGRLDDGRYVDPNRNLRHKKAFEGRVEGLKFIHAA HVASLDLKCVPVLGAKNNEVAVRLQYPSLQRPERYELVWGKDKIDAVNSIIAVVQHVA DTYLTEEQAKPFTDHTTGIVRRLEKASNHKVQDLAAFKEALIEYNDRILRLVRDGTVA RNLDNRKELPRNFVSFILEQVYDRTVAPKVELLAKYENGTDNVYGELLHPFISSILVE RLQMTSDQVFVDLGSGVGNVVLQAALEIGCESWGCEMMENACNLAEAQQKEFSARCLL WGVAPGKVRLERGDFRKNTRIHEALKRADVILVNNQAFTSQLNDDLVRMFLDLKSGCK IISLKSFVHDHKSASHNINDVGSTILEVEDWTYPEDYVSWTSAGGTYCISTRK
SAPIO_CDS2156	MPNWKDEYLSSLLQAEKNNPVNMELVEACSQLVDRVAALEAEKA AFEQSVPPPTLSPQPPSNKSRQAAPPTTGGNDYVIAQLQRDLAEALRSKGYYESRTKT AEAELDKTKSDAKNGEKIIRELTAERNKLVTKLKDRDHELREQRKLSSNVQDEMIALN LQLSVAEKQRDKVKKDNEELIARWMESKGAEADKMNLANEALFEASR
SAPIO_CDS2157	MDTALPRATWERLGDKWYRRIQHYTEVFDLDLDLDNYIIAGAPY GGAIALWNDDTKLQQYQATRAVKPSIDIYNYAGKKLRSIPWEKGTIKGLGWSEEEQLL AVLADGTVRCYDLQGDFTQFSLGHGSDNYGVHSCRFYDHGMVAMLTNNALITVSSYAE PRPKLLASVPEGEVHSWGIIPPAYTLSRSVEVLLSIKETVYLVDSTECEDRFLDIGPF SHISVSPDGKLVALYTKSGKAHVVSSDFQERLVEHDSNSKIPPKHVEWCGRDAVIAWE DEVHIVGQGDTSAPFIYDTNRVHVISEHDGARIITNAFCEFIQQVPRDTYQVFGLFSE SSPASILLDAITQLEAQSPKADDYIQLIRGNLTEAVGTCINAAGREFNVHWQKQLLKA ASFGKSVLDLYNSDDFVDMCETLRVLNAVRYFEIGMPLSYEQYQRLTPENLIKRLTNR HEYLLALRIAGYLKLPTDRIYVHWASTKVRVGSEDDDTICRLVVEKLAGKAGVSFEEI AQAAYDEGRGRLATELLNHEPRAGRQVPLLLSMEEDELALDKAIESGDSDLILSVLLE LKKKLPLASFFRVTNNRPAATALVEASAAREGDNTLLKDLYYQDDRRVEGADVFIRES LVQPDARTASDKLALAAKLLSESRDTNFELRALKEATMLLRAQESFDRDLTDTFTGLS VNETMFKLIKLGYYGKAKKIQSEFKVPDRVAWWIRLRALVAKRDWDEIAEVAKTKRSP IGWEPFFNLTLQAGNPRLAATFVPKCTNVEHGETITMYEKCGLKVKAAEEAVKLKNRE AFNKILEAAGRNTHEGREIERIGAAVFKS
SAPIO_CDS2158	MFTQPFSSPATSSPTPVVSSHASVSSRSSRLRGLSYLRNYTQSH LLSRDQNSSSSSSSSATSPRSSRPLSLSALSRSSGFSHSHSLHSPTSASTSTSTTSPT TTTPAAAPTAVANFDDQPTEPAHSSNRFSAIEPLREPSPTSTSPTSSSTSAINIAGSN TGSSSISPIAPVPVESTLPDAPPPAADATNPSAPQTTDSTSPETDTTHPLDEDTMPRS RDNGAAAASENLPSIRFSSYYDARAPRPSLSFLPVSRTLPTGSEVIKVGRYSERDAQP QVPPNTPSAAPVGFKSKVVSRRHCEFWFENGKWYIKDVKSSSGTFLNHIRLSPPGTES KPYIVNDGDIIQLGIDFKGGEEMIFRCVKMRLELNRGWQSKLNNFNMSTHKRLRNLAS NGSGSNSSQDCSICLNSVAPCQSLFVAPCSHTWHFKCIKSLLTSPQYPIFVCPNCRAS ADLEAEVEDPCEEWQQLTGEESANDESNNEPASTAADTTSVDSHLQVPETSVADVGDV TMPVDIATPPRSDQALPANRSQNSSDPVPIPPLLRNQARPLPAGLDVLTNGHEGPITP RNNAGPWVFDGSAGIAGLAPGLAGADVALGEMRSLDSAAMESVGHS
SAPIO_CDS2159	MPLDFPSEGYNLTEDPEVLPENLLRTECLKVFVEFLQTSGAANA KNHVILKIDFKTTCEGYRGTRISMDVKFDLVARGLMTRSRGISVHPNLPLSYIIDTLL HHRLHDFYFTNINARYYGCRDFIAQALTVLRSQTYIDPYIVRSIPTNPEMPVDSVFDA LGMRFRGGGFSAFPIDRGSFAEFQRVEEGLPYDGSWRAAEIESLISSL
SAPIO_CDS2160	MLRSLVSRASSRTVAPWLTRTVKPVAARPAVATRSLATVVGGER EPTELDNITRLPNGLRVASEALPGSFSGVGVYIDAGSRFEDESLRGVSHIMDRLAFKS TSKHSADEVLEQIEMLGGNIQCASSREAMMYQAATFNSAVPSALAVLAETIRDPQITE DEVADQLDTAAYELQEIWAKPEMILPELVHTAAYKDNTLGNPLLCPKERLDQINVATV QKYRELFYRPERMVVAFAGVDHSTAVKLAEQYFGDMKSTEDPVLSRTGSETSLDSLSS QNSFSSSSSWSSSGSSQVSGGAMAAMKSPASLLSKVPFFKNLSTSAPTMASILNTTPS QDIFRAAQYTGGFLALPPLPPSPNPALPNFSHIHLAFEGLPIVSEDIYALATLQFLLG GGGSFSAGGPGKGMYSRLYTNVLNQHAWVESCIAFNHTYTDSGLFGISASCIPGRTAS MLDVMCRELRSLTLDSGFSALNETEVSRAKHQLRSSLLMNLESRMVELEDLGRQVQIH GRKIPVADMCEKIDRLTVQDLRRVARNVFGGLVEGSGSGAPTVVLQESQPVGLASWSQ IQEMIYGWGLGKR
SAPIO_CDS2161	MMPRKRLRPNPPSASSTASSSSKSPPNAENQPSSIPESSNVSQA SQHEMPPPPPQTQKSTGRDIPGAAQNLSKQVHKTRSWYGSWPRVPKSAPSTQVARENI LGGTMKAGSTPDFTRFETGRTPTSSLHRSPSSASLAPSQRTITKAQETSETAQETTSQ EDVPSRTDSKMDLEEDDQIHSNEPTVVADAPSAPDNEEPNATNESKPDILISHSHSTS SGWFTWFGRSPAPPSELPPQEATEITETANADESDVKEEEQQGSEQPADSTPIETSEQ TGEVQSADTTLDQTTTSTSYWFGFWSSSTTIWTGPTPEVFPATARAPVEEAPVPPASE DVVMTDATPPDSKGDEAGPKAEPEPVKPPSAGSTWAFWSRNGRAKSRGTTSQPAEVGE LAVIGEGSESQPQPATTSELGQPKPSDAAESKKKVATPATPRAKNKRNRPQSMDIDVA PITPTTPGPQDGKPTKGAKQAAVATDQATPPSATTPAKTPSQAKEPSIAPLPPNLLLP SFRSTYSMKGNPSIMRQITDFLLRTRQPPANHVYRVKEPPRIKKAISIGVHGLFPAAY LKAIIGQPTGTSIRFANLGAEAIRRWAEANGQSDCVIETVALEGEGKIADRVSNLWTL LLNWIDHLRNADLVIVACHSQGVPVSIILLAKLIDLGIIKNAKIGVCAMAGVTLGPFP DYKSSMGMFMGAAAELWELANPASEVSQRYETALKEVLEYGVRITFIGSIDDQLVPME SAVCSPADHPYIYRAVFIDGRIHAPDFIAHLVGFALKLRNLGVSDHGLIRELSIPLAG SLYGGEGHSRLYYDDQVYDLAVSHALETTDVAANTACCVHKHGALASPNPYLLPWVMR GLLEEDFVKTELSSETEQLLRQFDDWKPTTKALKDVKYRLEAVRSKL
SAPIO_CDS2162	MSAVKRKGGPSAKGTKENPAKRKKFDTPEKKNAKSLGQNGTKAP ASAKESILTNPQATKTSILSSLKDDEPMFPRGGGNILTPLEQKKIQMQAKEDALQEDE LETSSKRKGKKKEKSRKREKKTADEKFDPDAVKVESLNFKKLVKGSLVLGQIIAINPL DLVVALPNNLTGTVSITAISDTLTTRLQEQEATSDDENDEQPTAQEIDLSSLFHIGQY IRASVLSTMDESKLEKKGKAKAKKRIDLSLRPSDTNPELTTEDIVTSASLMASVISVE DHGCIMDIGLEGSDIRGFLPKKEIDESIGQRRLQPGNVFLCLVTGRSPNKRVVQLTTV AEKLGSLKAVPYDATTINTFLPGSVAEVLITDTTWGGVIGKVLGQLDVTADIVHSGVA SDAVNIETACKIGSKIKARVVCNFATAKDPKLGISLLPHVLTLTRKNVSTEDGEVKSP LEALPISSIVEKCVVKKVENDMGLFVDIGLDGHRGFVHISRVKDGKVDALFENSGPYK VDSEHRGRVLGYNPVDGIFQLSFEQSVLDKKYLRLEDIPIGEVVSCTVEAVVIGPEGV KGLIVKLADGITGFITERHLSDVQLQHPEKKFREGMTVKARVLSVDLLERQMRLTLKK TLVNSDAPVVKTFDDVAVGMQVPGTIVKVLPNGASVQFYGSLRGFLPRSEVSEAFIRN PEEHFRAGQVVSLHIIDVDPETNKLIVSCKDPSSFGVEKQTALKNLQIGDIVSGKVTE KTEDVILELDGSGLRATLSVGQLTDRSLKKCHSELKRIHVGQTLNDLMVIDKHDRRRA IVLTRKPSLIEAQKSGKLLVDASAVKVGDVLAGYVRDILPGGVLVQFGGGTRALLPKS RIPAEAREKEDFGMFKLQSLTVKIVSVIPDQGRLVAALPTSEEEEASETELAFGSRVK ATIASVRDTQLNVRVNGNQHGRIDMSELFDKWADIKDPKSALASFNQNDVIDVRVLGT HDARNHRFLPISHRGSSHQVLELTAKPSALKSEPLEAVTIDKIEVGADYIAFVNATAE RHIWVNLSPFVRSRLNAVDISDDVSVLKDIPGNYPVGSALKVRVLSVNVETGRVDVSA SRRATTSTLSWDDVKPNDILTGRVTKANERQVLVQLNNTLAGPIHLPDLADDYSDAKP LNYKKNDLIKVSVVEVDRANKRLRLSTRPSRILSSDAQVVDREITSVSDITNGDIVRG FVKSVTDVGLFVLLGGHVSAYVKIANLSDKFLQKWKDEFQVDQLVKGRVILVDTVAGR IELSLKESAVDENYVPLKTFSDIKEGQIHTGKVRKVESYGAFIELDHSANIRGLCHRS EMAEEPVSDPHKLYTEGDAVKVYVVSVDEEKKRLSLSMKPSHFDGDSDSDLDMDDADS DAGAPVDVEMDDVSDDDEDEDEAMGDLKIEISDGSDEEDEDDQEGGVDLVGGGLGAAS SKYDWAADAFGDGDAESEDEDESGAKGSEARRKHKRARIEVDKTAALDVNGPQNATDY ERLLLGQPDSSELWIAYMALQMQVSELAKAREIAERALKTINIREQTEKLNVWIAYLN LEMVYGTKESLSEVFKRACQYNDEQEVHERLISIYIRTGKHKEAEELFQAMTKKFGSK SPQVWLNYGHFLYVTLEAPERGRALLARARQSLDQRHHLNTSVRYAAFEWRSPKGDPE KGRTFFEGILSKYPKKGDIWKQLLDLEMGSGGDKADATVIRDVFERRTKVKGLKPLQA KKWFEKWADWEAKSDSKGRERIMARAGEWVAAYKARQAAKQQKQEEEEDAEELEDMAK IELWLVLIVLFAKSLLCFLALKIFRVSGYSGTEIPWFRFFATLSPVKRAIPLTLPSHY HRFFLRSARRALSVPSRISSSTTHSRNTADSATASTETPSETEANHVIPTRQFVGTNE RDAEGGFSISKRCAPLYAEFALKGGISPVSTGQAPRTSAASLSGSPARNASFLAATLA ASRSSSPVPPRTVSPVRTGLASERTDTSSLKPATALISMFEGGGKSGDPIKVDSPKRG VSVRKLQTPLGRRSEESLGNALGPKQRTGPGDTALVAARQVARVSPTNTGRSASAIPA PPPPKRAGNAQRLPTPPQPRVNTSTKPGASTRASGTEPSTTTIVRAPTTMSTTRSPLP TNLRDDEDLTLTRRDTNDTTSSHDTFVSASSIQSPSPPPSSRSPSHTPQPPTRTPTST SPAPRPAPPPRRKPGGTAAPSLGATALSNAIVAGSLASARLTPSNTGDTNHIVSPRPH PSPRLLQTLRPPENHHHSGHHHHTSPDEIDHEKRHKRSRLPHKKHAHHEGSRKRWRDG MTGAQKRRYEAVWASNKGILLDRHVDADGNRIFGGPGEGEGEEEDGYVVNVVVREIWR RSRLPSDELEEVWELVDRGRKGVLGRREFVVGMWLVDQRLRGRKIPARVGESVWDSAC GVVMKRK
SAPIO_CDS2164	MDPLRTGTPTPPTLSGGIGNGTALGLGVPDRTSPLQTFALLILF SFPSMALVVVSLRAAGRWAWRQFGWDDGLICISMFMSAIETAASYKFIKTNYVGIRGR DIPLHDPTEGMIWNYAVQILYNPILALVKLSVLIFLYRLFAHKRGVKLYVLGLAGITA AQMVAVAVAITLQCTPISFNWTPTLRGGRCVDQRSLYISTAAFTILTDVLVLLLPAYI FRDLNIPKKTKIALLVVFLLGGLVTAASIARLVILIVGLYGFAPNPDPTYNIGFVTSA IETNLALITASAPALMPLLKSWFPSVFGHNEPSGREKATMRNARTKATPRDSDEEGLY TTSSGGQKQYG
SAPIO_CDS2166	MTDSQQIYNEVNKHYGSVTKSSSGQYEHAVAKAFGYTEEDLVGL PDGANLGLSCGNPIALAKLNEGETVVDLGSGAGFDVFAASKKVGESGKAIGNMIAKAN ANKAKVDAANVEFIQSPITSIPLPDNTANCIISNCVINLVPDADKQAVFTEMFRLLKP GGRVAISDILARKQFTDELRKNIALYVGCVAGASQVSEYETYLRTAGFNDILIVDAKS DLNAYVTVSRDDGSCCAPAASVPCCGASSQTSKASMETLAKTMVEESGVTDFNEWAGS FKIYAIKPSGTTDANSANPVKTCCAGQSCC
SAPIO_CDS2167	MSPQSPAKPRRRQRVSAEHTLERVRNNQRRHRARRREYIAELEE KVDEMSQTISSLEGKVKSLQDELARCDNHDHNHDQDDVANVDTTAAPPSRQLQQGGIE PGSESPVASTNTDPYLDEDEEEPEPVDDPAVGNLPDRPANERGSSATNNEYQNNSCCQ NLKDRACPDPEEQILEDVPGPCVSAAGPSQAGAPCPPFPAYLLRPEFEAQYQQNSEDE STVLCSEAFLLISQQNYKSLSQDEVASWLWSGFRRALRPGEGCRLHSASNTIMRSIAS YALMLLSITLAPSSSFVQQARAQAVPVNGPSLPDGFVEVPMSWDFKFGDEAITLQGTI EEALAQMNVMKPGISQEQFIQAMNDAHPSIVPSDSNPASKTDKATPVIIDCLDPAFTS RPAWKEPIMEGINVLKEVFHPCYIPAHPRNETCARVSCSYHSGIFMCGMNGLRPSPMC SDLAPMAEAIVKACTDDSEMGFVQGTYIPSAQTYLVHVGGASC
SAPIO_CDS2170	MGGEPQDLDPQDVGPRRGRRRGLLPPELVDLLTPALKVGALAGG AGAVTGVAAGIIRDAPPVLFGLVSSIQWFTLGSTYWLSRSIITRSWGGGQPLSTTDKT KASALAGGTAGMVGGLLRGPRNIVPGAIVFTLFGAAGQGIANKLSEWKVAQRGEEGSS WLRSKYSPLRKLTDEEYESFIGEKMLKIEAEIALIDDKISELKAEAQAKIEAEGVLNA TPSSPEASKEDVSPKTLNQNSQAKSWWR
SAPIO_CDS2171	MAPKKKEAQKMSLGDFLNDSSFGGSWADEVEDTIGTQPLPPPEH PRRDMGMGGYGGYGGDRGDRGYARDSAPQQLPTRPPYTAHLGNLPYDATMDAVTDFFT GCGVVSVRIIEDRELQRPKGFAYVEFRDLDGLKKALELDGQSFNGRYIKVKVAEPPRD RDRGGDSTRDLSDWTRKGPLADLPSRGGDRRDFGERRQADFGERRAPREHVDDGRVRD FGNWERKGPLSPLPQPEAPPMSREGSRARGNVESMRHRHQSPSWGEGRQEGSRPPHRE FREKAERVPSAAERDMQWRSSMRPDRQTSSPSQSRDGSEAPVSPAQAPAALATRPRLN LAKRTISDAPDAASPASAGGDSKASPFGAARPIDTSAREREIEEKRQQAIKEKREADE KAKEERRLAKEAAAKEAAAKAEAEAEAAKAAAEAAASAPTEEAKPEATPQAAEEEETT QGVKSDDQGQKPVHNGASKEQRIPGRPREPREPREPREPRDGRPEFKSRASESGNWRQ PSGEHRAPRGAPSGPRRGGGGRGGRHDGARGPRANGAGGQQPPATPVTAEPAAPTQDE DGWTTVTVPRSGRRGRA
SAPIO_CDS2173	MANPADSITTDPVQTETALRTSGVPSENVSTEAALQAEHKIHKP EFEPEPDPKQIVAPADKDAAQLATNGSASSWPPILDKRRSSVVKFADSFDAPRRSSTG SLRFETPQRPGLPTGRSRGITGSRIRNASPPHSKFHPHVAFDTINPDIPKNPGITLSA RHNGFHAERRSKTFMVGVDENSYSEEALEWLLTSMVDDHDTVVCVRVIEKDVKHSHNA TYKQRAQQLMQSIIKKNKLDKAICIILEYQFGRLGHTFDTMVNVHLPSMLVVGTKGKT NQGMQGMWNTRHSFSKYCLERSPIPVVVVRPEEVRQKKKDKRSRDPTRASYAQILAST NGVHESDIMDDVVMLSTATQLSSADEASQVAKALALPAEFDPTIKGIALKPHLYNRPS IALTGDSEDALQSTQGRLANKSHEIDSGADSPGEEDDDLDDDDVDDLTAGPPQLPDPA KKEKLHKMEIGEAAALRKRNTGDLEDDDDA
SAPIO_CDS2174	MSSFQNGAPRARDDDSDVEEEVLVAEYKEQQQQYEDGLDDIDQS SVGMAQQPDDIQARLAAAAQPLDYSATLDVKFQSYDAYCSLFHFILNSEGPVDLEPPS YYWAWDVIDEFIYQFNSFSSYRMRIARQASSSSSNEEEIQLLRDNPNTWGCYSVLNVL YSLIQRSQITEQLAAMKRNEDPAQFAGEYGSKNMYKMLGYFSIIGLLRVHCLLGDFGL ALKTLDDIELNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRMFSHILIYVSRTK NFHKNAQYDSITKKNEQMYALIAICVAFHPTRLDDTIHTALREKYGDQLLKLQRGGPE SLPIFEELFKAACPKFISPVPPDFDKPESNIDPIEHHLAIFMEEVKTNMWSPTVKSYL RLYTTMDLNKLSGFLEVKPDELRSWLLVNKQRTKQLRWNDNSLLDGDLVNVSDLDYAM QGDLIHISEAKVGRKLVDWYLRNLSRTYN
SAPIO_CDS2175	MPIVSQEKLASLQNSADDVRNICILAHVDHGKTSLTDALLATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLYFSMLKRTSPDAKPIPKEYLVNLID SPGHIDFSSEVSTASRLCDGAIVLVDAVEGVCSQTVTVLRQVWIEKLKPLLVINKMDR LITELQMTPDEAYQHLSRLLEQVNAVLGSFFQGDRMEEDLNWRETMERRVAAKGAQTA TEQSDPLAGQYQEADDEDLYFAPEKNNVIFGSAIDGWAFTVRQFSGMYEKKLGINRAN LEKVLWGNFYLDPKTKKLLSPKHLKGRALKPLFVQLVLEPIWTVYKATTGGPNGKSDP ELLEKVTKTLNITVAPHVLRSRDPRLLLTTVFAAWLPLSTALLVSVVESLPSPNAAQA ERVPDLLDDSPGGAKINPAVREAMIKFKKAKSDPVVAYVSKMVSVPESELPQNKRRPG QMSSEEARELARKKRVEAARALAASTETENDLTQALDSLNIHTTPEEVTEELKLDREH LIGFARIYSGTLSIGDELYVVPPKWSPADENAEELQKVTVTNLYMFMGRNLEALDTVP AGVVFGIGGLEGRVLKSGTLCSVAEGAVNLAGVNLAGKPIVRVALEPENPADLDKMID GLHLLVQSDPCAEYEQFESGEHVLLTAGELHLERCIKDLQERFARCEIQVGAPIVPYR ETIVRAEEMRPPANKELGRGTVVTSTGTKQITIKLHVRPLPVGTSEFLVKSASTIRQL YSRLKSDAEKEEEAGDDEGVDDDGEVVTSKGLSLDEFKQQLQDTLEKEKTRERSWKGV VENISAFGPRRTGPNILIDATKDHTLTHLLTTANKTREGADHRNPFSDKIGHAFQLAT AQGPLCNEPMRGVAVFIDDISVTSANGSGEEDQSTNIGRLTGEIIKMVQKSIYAGFLD WSPRLMLAMYTVEIQASMDVLGRVNDVLTRRRGRVVNEAMKEGTPFFTIQAMMPAAES FGFADEMRKRTSGAAQPQLIFAGFEIFDEDPLWQPFTEDDLEDLGELADRELLAKKYM DGVRKRKGLLVEGRNVATNAEKQKTLKR
SAPIO_CDS2176	MPKPKPKHNKGKGKKKPGRGSKHTQTPNPPDNNQGRDPVYNDVA SQAGSVTEPPNVTEVTVIQGYEQFALTHRGKSNSSNIATPSIVIADSTDDVGSPNTGA SSHDEEDSFMTQPKQESSPSTPGGNDRSSSTVNQMNDDTEAFNEPSQSAECQSQVSSS HSRLRQAQDHHSSLAEPDSSKGRAINAQPIESMTSLSTKKPAGHGADRDGIGGASNPD IVGEGQDGDQEMTMDIPLNWLTGTSHTGSLFYAKHCRKTAFPDSLCTLCLRRGGSFCA SCKARYCGDTCRRSDWRVHKGVCSQFKSLGPNDRPSDEHFLAMVLPTAKTTPDLVWCR MIEDCHKLEISHPDILDLAGDGDGGQPIIGNTTHVNNSKGTGGAFLGHGLAMVDVFGL KSSMHRGLVNINSCIHALTAPGALRFHMGPWVVFAFEPDDTGKPKKGIDVVPRDWRHI ADYLIFDPSNPFLREVPRVPLQVLYGTKLSDVTNPALSLVSSIEGEIENVYVPIFDWP YTGPSTLPFQLGLPWKTRYIRAAPAAKPQPHMRYLKQYFRREYGADSSRWSSTEDNNC VTMIVLAIGKDRVVHKEHVVAFNKYLDFSLKRKIVPSRDGFMDYWQKHRRVLLLRDNV NYLDTPYHGPPRFEELVRYDGEDERYRKAMMNLLGYFASKGWKMAVREENGVLVPDDV VAPFDVEGDWEFTEDLKVKTELPYQT
SAPIO_CDS2177	MDIALKSWELDNNVKLIDPKRDALYIHDPAAEKELTNAKPWTKD INYFKHVRISAVALIKMVMHARSGGALEIMGCMQGYITGDTFVITDAFRLPVEGTETR VNAQSDANEYLIEYTELSRAQGRTENVVGWYHSHPGYGCWLSGIDVETQMMQQQFTDP FLAVVIDPDRTINAGKVEIGAFRTYPPNHKASGNASPGSKSETQAVPLAKIAEFGAHA GKYYSLEISHFKSTLDSHLLDLLWQKYWVQTLSANPLLTNRDYGNKQILDLSSKISEA TRNLIRARPQAGLYSGRTGVDKALEKVAKDSSMIATREKAGLLAADVKVKVFDDPSVK TE
SAPIO_CDS2180	MSHYKFTAIPDIFTSFGAACAADPTLKITTQPDLALIARAYETD DAEDESNGTSTQWQRFVKYVEALNEKAPEGTCYKVLYLTRHGQGDHNVMHEKVGTEAW DSHWSLLEGDGTVSWADARLTPEGISQATSLSAFWTDLIENGAPFPHSLYTSPLTRCL QTTKHIWHPICNARNAPFNPTVKELLREQITDHTCDRRSSRSYIVENFPEYRIEESFT ETDELWRADRWEPLREHVERKQKVLEDIFANDEGTFLSLSVHSFAIAVIMAVCRAEMY RVKEGTSIGLLVKAEKVDEPPVIEVGERLVGY
SAPIO_CDS2181	MPPPNAQAPTNAPKASSTAPEIGPPPSGQSPSQEAKRRRTVGSE SRQPSSAPATKRAKMSDSSTQLQRPIPIGTGKEPLGAFGPKSMRTVVKKIVIKNRRLD GSGQQADADTYFNSSWEEISSALKAVLQGERPRIPFDRVFRNVEDLCRHKREQDVYRK LMDLCEKYLTEEVLPSIKASGKGSDVEMLEAVLSEWEVWSAKSKIIRSSFSYLDASYL ARKKELQQINDALISRFKKMLSSGPGAKLADRRTIALVVADGMCQLIHFDRTGDDRFQ PLLLRDAVSMLHIFGVYTRWFEPRLLESSAEYFKEFASERSIGSLKDYIKQCRILLEN ETHRCDAYNLDSSSKQQLLGLAHKHLIHDHLQKLLDLTGISRLIEGGEIDSMAALYQL LKLSGVHDKLKEPWAGYIKDTGSGIVRDTDRGDEMVTRLLSLRRSLDLILREAFDKDE DFQWTLREAFRYFMNEKKNTAVWESGQDKIGEMIAKHFDLLLRGGLKALPRALYANST DRLEAESKGKSTAADEDGELEHQIDQAIALFRFVEGKAAFETFYKRDLARRLLMDRSA SQDAERMTLSKLRGECGANFTHNLEQMFKDKALAKDEMESYKQSCDAGQYRVPFDLQV MILSAAAWPSYDDLKVNMPPDVANQILRFEDYYKRKHNGRVLTWKHSLGHCAITARFK RGEKELNVSFLQAVVLLAFNTVDDAEALTYQQISQMTSLSGDELARTLQSLACGKTRV LTKHPKGRDVNETDTFTFNRLFWDDRYKIKINQIQMKETKAENKATHERIVQDRRLET QAAIVRIMKSRKTMSHALLVAEVIDMTKSRGHVDAAQIKQAIENLIDKDYMEREGNSY SYLA
SAPIO_CDS2182	MSSAKGHTVNQLSHDIGNGSNKTRGTALDGELPAFLPFFSDRVL TSALDLVFLLDKNGRNPTFSVLEDKNYHKLLESLFRCVLTEKSLFFGRGRAAATLRLS KCAKAIRAVVEHGLSKLRRKTTLAVVDHITQTLPGPDEEWIEPLVQDYVKVLLLLISR PANVEQLVRQSADGWESVVHFCIDAISGYLSYVDRDASVLARASPAPGSTPLPRSSGR SSSDVGHKGPGYISKTTLLDLLECLYYLVLTPNSPLTRVAEEASRIVLRILKIKQATL GRIQQFSFATLNTILTRMELENLDLVRKLTTELLSVVSHWWDVQKVAKDEMLKSIRDE MIRTMFAMFLHIEHLVQMDANDQIRKDVGDLLDVLWSEYSRRDASDQLRLEDLNFSSL PVPPDFFKTPLFSLQPHDKEAERKWAVLECLAFFESVFSRYSKKERVQPAGGDEQPRK RRRMAQEPNRLRQKLKSDAESQFTALQLVPFLVHSKALAGDDVWDLVGDLLGLVTSKQ IQTASWAMLSAASCALREDASNSFLASTWKQMWQLVVRSVSLPGVSQDLSFASLHSPY VAAVDLVNLLRAACGASLLPASSAQRVSGGSISQAWKSHCSAVDVGRYLLLLDESELR SRSPLSSTRPTLSGSPGQKADPSSFFTSRKLVLELLSPKLEELQTLCDSWSKKSTDSF SQISSERLQSLFMACICGSMVLHQLADLNTRQSRDVEPALFKLLDDILVAVLESHESQ LFLDVLLRSIRPVLPSTSSSELNYLVTEHEPLLLICSKLSRVLKEHNVRQSSGQNVDD MDIDDEFDSQTSKSSSASRSAEVPRQITNLTLDLSAYYVETALLLHLLEILSNDVGQV GLIPEAFLDHVVALSDEEFLLGQNTILEIFRSDLVTNPGDGFRVVERVGSIIGRVPEY NCCEVALSCCLDLIEALLPVWIDVQHDVAIMVGDLYHHFVKTCLPGNQLSPNTQVALV KLLLSIMKVDTQYADKIGLSSCRSTLLSIEQQGAMAVKFFVGANISTIFGLYVLKLHD DIFVDVLSSLPADPENIEGIAYRLFALAELACHWPTLLRRCIYHIFETPGNIPAATNY ATRCLQNVSSALKLKSPAELFSLFAPQLLYTWLLNNSIDDIPYSIFGFASLQELIKAS GSEAPALMIMRGPGDSIEGLADCLGKSTVDVVSGNFSKIMAYAIAHGIARSKSRSEPS SHDAGAKDSSSRNSNERGEAWVRKLLGSQAFIEAVYTNFTDMVAHFMDLFDQEDPIEQ YFIGPLSYAGDILAEIKKFSHSEVKLPPNQQPVFKGRHLIRQLNHLCNLAPKYEFTTL WTPALVVFVARKLINTVRPALGSLHACSVLRKLRILISLAGPVALDSYPLEMLLHSVR AFIVDSECADDAMGISQYLITKGTPHLEQSPSFLAGYALSTLASLRVFLESSQSSTTQ ESQFKATMSKAKLFHSWFGKYLASYTSPSFKSDLKQEAIFRSITQSAAHIRSSGNADK GTHESTLLLEILRDEGQQDQLLNDSARDLALGLLCGDFKLPESIREDIIETDQEAISQ APVVWKSCMAQALSGQYLAWAGRVAGRSFAASGAVPDELLQESRLSQYLRIAPGANGS QRGLLHLLQGLTINKSPSTAGLAESALRSVVSEARAAQDDHLTVACQEALSETLFLTS DWGEYYPPPSECPSLDPPTEDRLFLKENIENENWLQRLCLYLARSASTQVIVLAVISP LLEEVKGFAEQAFPYILHLTLFAQMDQHQVVKRKISEAFKEWLSVASAKAKDNQKLVI NALLYLRTQRLPNETSIADRAHWLDVDALGAASAASRCGMPKTALLFVESGPAESTRT SRRASAAREHDSSDLLLTIYENIDDPDAYYGLSQNSSLASVLARLEYEKDGAKSLAFR GAQYDSHIRLRDRSSERDGKSLVKTLTTLGLAGLSHSLLQTQQSLDGESASLETTFQT ARRLEQWNLPVPTSTTNYAVTVYKAYQTIHQASEILTIRKTVHDGFEETMRALTTQHY NVASLRQHLGALAVLSELDDILNVATFPDLEAILDKFDGRSRWMRSGRYDDVSHMLSC RATTLSMLSQQTVLRTKANLSPADARQVEIRSMLMSSDIYRFHQATQECLNVSTTLTD MIEPCEKLGLVVDAAIRMEAANSLWDHGEMASSIGILQSIDQDSALKKQTVPVSRSDL LSKIGHQVSVARLEKPQNIQKKYLEPALKELKGKNKGKEASKVFHQFAMFCDEQLQNP DGLEDLARLQNLRQGKSDEVMQLKELIASTKETQIRNRYTGHLAKARQWLELDEQELR RVEQTRNEFVRLSLENYLLSLIASDAHNNDALRFTALWLEKAEDEAINEVVRKYFDKV PTRKFATLMNQLSSRLQDDTSLFQRLLFGMILNICIDHPYHGMYHIWAGMNSRLNKRD DVAVSRHKATEKVAKHLATTKEVASTWQAIDKTSKYYHALAADRNPERYKNGARLRLK DCPAAHNLLSCLVRYPIPPPTMQMELSVDKDYSKVPVITTLEPTMSIASGISAPKIVT ALGSDGVKYKQLVKGGNDDLRQDAIMEQVFAAVSSLLKLHRDTQQRNLGIRTYKVLPL TASSGLIEFVPNTIPLHEFLMPAHERYYPKDYKGGQCRREIGNVQGKSVETRVATYRK VTDRFHPVMRYFFMEYFVDPDEWYAKRLAYTRSTAAISMLGHVLGLGDRHGHNILLDT KTGEVVHIDLGVAFEMGRVLPVPELVPFRLTRDIVDGMGITKTEGVFRRCCEFTLDAL REETYSIMTILDVLRYDPLYSWSISPVRLAKLQDARRDGEERDEIDEQEAEKNRRKGS RINEPSEADRALEVVRKKLSKTLSVTATVNDLINQATDERNLAVLYSGWAAYA
SAPIO_CDS2183	MAEQTTLIALQTLDRIFLSILEGRHENLDLLRDDGSVLDVFEEE LKKVWTRPARSTESRNAINSGKVTVNGEEYSINDEFKQNVLNLSDEVEINEIEAAAIV LDSQDDPGILGRSLLECSIIRFHQHRKYVLDIIRLLIDLDREAETENGPVYDYATQYL REGVFAVKDSQRIVPRCMVAMKDVRAWIQKLNDKITAAAVLAGGQTPELAGELETVEF SRVSLIQQHELMAIIMCRAIETNLGQEDDFKSLLAELKATDKYDSLLVHRIPPLGAFV THLGSVESNSGLNQARKLNSAVCPKEPEQWALPYLQAAFRAWWLAEYSGYYIDDIEEG TIVPPVDLDEEDRERARQFLESLKDGAFDFLLSVAADVKSVEWQDPARMGMRSWLQRK SPNLSSDSVPFSEFFQTALMARLEVFIDGFITNLPDVLRRLRVEQDEQRQLSQTHEHD LNLERFLIIIAYSYEGRPEAAANFWSDPDSNLAGFMHWASRRASTPLVTAFCEMLQAI SENEECATSAHEFLMDEGHHASGKLRKSLSLTWSQIFKELNFFSEKIREKPAPTPQAT FRPGKPTPAIMEAEPESAMMLECYLRLITKLATESETARLYLLHEQKFNLADILFQLA SSQIPPRLRACTFWALGALMTRKSVEECHSMWAHVDNWTTGAYSLPPSGHPAGYPKLS QLGPTVSPERVLEEISNGFEEPYAFTLLLTSLLTPVEGSSPLNDSLPFPENLGSSYRM PGIDGFVDYVLGHVLSRKIDELTDKNQARMLRLRCLDFALVCLNTFNEDLIILANSTN LPIDSAITTSDLATYVRLHPFARVMEWMLTEKAVAALFAAIHQPAAEVGNSSPDSPLI LGILQAIALISRILDLQATYLDIVRPIIRNQSTYQRLPGSASLYSSFEDGLVGHISLI VDLGTFCGLGLPDLTLACLKLLERISVTSRVIAAWSWQGGPHAHRNKAIVALEADGDN EAIARSFMADLDAPLEPGREMDSPSYMIKIYILDFLYACLRESPRKPSIAHLLLGFQC EVDRLSVEPNSPFYNGTSVFHSLITLWNGISTLDEQGIRQWLMALKYKALRILQILWS SPLTAPLVIDELRNIDFVFAVSREEQTISADLLWEGASMVAMEFPLTDGAVTLMDFMS HRAAVFDYIAIELCDVSQANLPTYKRRIFDALRGEIPQSAQEVMPACSVFELFDFILP FESWEISPPLLDFYNGLDVNVCIVDDGDGNQIYSVDQIKEILLLRRSEARDVASLISP QDLIAINREEACLLEYLMSSNRQVQMVSQSLKLLKAWVNLVLIMIESNDLQGSARTSF YLQTLQTILPNLESCASERQPLAYELAKLAKVLLFKLDLTPPAGPESVNGKAAEMSHL VGDKLYQLFQTCLQAIGKWADQSELRTVYYSICYRYLTGIVDEGAAFSERQKTMKTIQ VYGDRLANVICDDAYGGEPACQTAALILLSALVHLGSEERDSHVVDTLNRLNFIGVLV DSLRNIMLESQEILQSGKPEQQHYHDAKLALLLQLCQTREGAKYVLHANLFRAIEASR LFSVDPELQINPNNPQALTNHYALLAKVARIIGAALVSRGSHNLPQGRRFLTDHRMLV AHTLKRSAGIGHVGGADEEALAERIGELADSFVVMIIATGFLEFENEVLPEPKRVSDI LFH
SAPIO_CDS2184	MVYSAARHVRRPMTASFYRIPRATLPHPHLSAYHVRWKSVASVD PSLSTNSNDSLTRTLAVPSQARFSEIGVQHLSSHVHRQIFPDGCKPPPPSLVELAKDH LRRHDLLGKNNDNGKPIAFDLPALQGRTLDEHFYKLGLDCAEPYLSLSKKFALASFPP KPRKWMHRSGWTKYLPDGTSQPVEAPEEQAICFDTEVMWNESPFAVMACAASPTAWYM WLSPWLLGESKSNRHLVPLGDPTKDRIVVGHNVGYDRARILEEYDIKQSRNSFIDTMS LHVAVNGMCSQQRPTWMKHKKNRELRERIASQTEDLALAELLHNSAIRHEEEELWVER SSINSLRDVAKFHLNVTIDKAVRDDFGELDRKEVLAKLDELMDYCAADVAVTHRVYQV VFPNFLEVCPHPVSFAALRHLSSVILPVNKSWERYIASAEATYQKLSDAVEERLITLT EKALEIKDDPDKWSTDPWLKQLDWSGQEIKMVKGKKKGDPPRPAARQKKPGMPKWYKD LFAKNDAPINITVRTRIAPLLLRLAWDGHPLVWSDTYGWTFRVSRGEASKYTNKQMVQ CDFTDEKIEALREDFDGVYFKLPHKDGPSARCANPMAKGYLNYFENGTLSSEYSYAKE ALEMNASCSYWISARDRIMSQMVVYQSDFDKSFRKKKKDNNEYGFILPQIIPMGTITR RAVENTWLTASNAKKNRVGSELKAMVKAPPGYSFVGADVDSEELWIASLCGDATFKLH GGNAIGFMTLEGSKAAGTDLHSRTASILGITRNDAKVFNYGRIYGAGLKFAATLLRQF NPTLSEKETTEIASKLYATTKGKRTNRKTLCKRPFWRGGTESFVFNTLEQFAEQERPR TPVLGAGITEALMSRFISKGGYLTSRINWAIQSSGQDYLHLLIVSMDYLTRRFNIDAR LAITVHDEIRYLVKDHERYRAAMALQVANLWTRAMFSQQIGINDLPQSVAFFSAVDID QVLRKEVDMDCITPSHPEPIPHGESIDIVKLLEKGPEAWLDPAIVPEKHAPKLEGITY TPRKPVMQSLQQDVEDPLAFIKAQITADDDELKELIKSQRKPPAASTSSSGGARRGRP VSLKGVLPYHSQPQLMPMEEPISVGEAMGARYKNGYQKGKPEWEKGVRKSTHAYAWNM 
SAPIO_CDS2185	MPSTQPEAKKDQPDQQAESETSLPFPNAIPQPITKPSGTNSTIY IASFFTPPSPRPKASRHHTSWVPSPDPYKLCADSTFTSETTPDTPYTASPSTEDCLAI QQWGRLNAGHWVVSRHELLTTSADDSNMRWAPLLVLDSCAFVVGVRREFLKNLPWGVT VSSADVAKVVWEASDVTILINNDLQGPHSPSADSGVLFLSEKKTRKQAKAACQALGES LWSPDSETASIQPNLNYLAYQKRVKKSSKFWVAAEGDGARSISVSGEVASEDGSVRLP VLCTQTAPFSNNTAQDTSEQWQITVESNNEKLTGFRDRNTFRFLGIRYAPEPQRFAYS EAYKGNGSDVSALEYGSQCFQYGSGSEDCLFLNIWTPYLPRSGCAKKKNLKPVMFWIH GGAFTGGTANDETFDGANIVSRGDVVLVAINYRLGKLGFLALDDRETNGNYGLADIVV ALDWVRENIKDFGGDPDRITVFGQSAGAGAARALIASPEAKGKFAAAIPLSNLGGLGY GTTYSKYFTISEAAERGGNALVEAANCTDTPSPVECLRGLPVDQVTSLNGDIRYLVVD GKYLTSDELPLSPGEALDIQLMMGITAEDGGPFISFQQDITAEEQEKWITSQGFPYPS SDLYPLAPLDNITMAVDWKGAQLATDAVFRCIDQATVNAALTNSLLPHVYYYEFDRTY QTPGWPKTDLCEPQGRPDGDPSAPPGYLRCHSGELLYVFGNIAREGLPFRDNRDLAFE QLTLDMFASFARTYDPNPDEAFLEARGFESTLGAVRRTGRWEAAVDGDLKLRALDWPG EEGSQMEGFRSAERCEWLELGLDYYL
SAPIO_CDS2187	MDPLSITASAITLIHAASAITTSLRRLSTSLRTVESRVSGLCDE LVSLTSFLEAVERTLKGCKVHDLAHVEGDLWRQIELALADCQTTLDELSVLVNEIKES SRAKGLGWRARAALDLSVHGADIATFRDRIHKSNWALQTMLHTITVSNASQEVILFEL DRLKSSIDEALRASLRPAGQFSQCFESDSRVARNLRNLAAAAKQFHSAASSATISTIH DGSEQIPWPSHSGTRGSFVCDFPAHKREWVEMCLEQTERQSPAVTAPAKSESPEIPRP LPTTVLPPLVIPTSTETITEEDDDEEEDAEFKRLFLDGLEDLARDSIRVGDFWNAIGL LKKALKGEEVSELGSSYCCRLEVQLSLCYLLEDQWRLAEPIVLKLVKTTANADLVVCN MLHAISLDYLKSYDFKSALQHCQKSLQAKKRWLKRQKTNWQNSLDYAETLGLLGTIFS MQGDYISAEIYRRRLPLGFVYEHPASPFEFIAKHRHQLKEVLGDDMPEFFYPYSNHAP CELEAAVPGKYLGLMPKQTIRKSQTIRRSQTHNNGGGISPLRTRRYEWERYESDTTKE AVLPPLYACPEVEVDTDQGSVRLPNQNSPLRRKLTRLFGPRERQNLSPGEDMGDEESP SSSTSPLTRWFMGRHIFGLKVSRAYLRKRSSDEVLPSTLDRERRYQRAFRLLRMEKVT HDDYFASNDIKCGQPSMTSLVLGDLGRMARGEDLQDRSPREGRLQDRSDHQLQNGMRL ENMGRDDSADRHSFPGHDGMPLQPTIAELPEDCIRAELLCDPVRSKRRGYNEPDQPDP PDPPDQTTLPLNTYSSSSSQMNAAVSSDDEAAMPFSAVPIREDRSSTYEGTFAVTGEK PASLSLSPRAHRAEAEEAMNGLGDIEHLDNPKGDDGGNIHSHPMLSSRPDMNDGALST TFASLATILASIPNLKDDNARHFVRLKLGVILSRQKRLGNDDTLGYDLRRIIESLGDG DPPRHREGDDSALEPTNHDKIVPLEAEAECPPLPENGIMDSKPSDERLALKRAFSWVT KDESAYIAMPSYTEIETDTESVGGAAAMLRKGDRKYAAEEAIVSRTLHQQAKANPKLA KVLFSSSSPPQPTSSQDGNIKDAFRRKDPTRSTQTPLFAAFAGPGAPSPLGPKSANLQ SNPPPRFQSICATNDDPFKDEVHGKPWNNENFSIAQDLAWLADNLNDNEFDDDDLLPP SEPTLPPAQEPVKVNAPSVPQQKINTSTVTAKMETQPAPAPRVLGPKIAPPIAERLNQ QRILDSSQGTAISWSSSPDYESLRGTSGTRDTSIDDSVTSNTSFKRKLEDDLPAAAAP KRRSLPFPSKSNVSECDDTISYRHAQAATATPAPKATHAPLEFTASAVKEQRKTHRLQ HMRSETGSDGIVTSAGMKDLSKAEIQEITSSPKTIEPLFLSQEQRQILDLVTQKNQSV FFTGPAGTGKSVLMRAIIRELKKKWSKDPERLAVTASTGLAACNIGGITLHSFSGIGL GKEDVPTLVRKIRRNPKAKTRWLRTKCLIVDEISMVDGELFDKLCEIGRTIRNNGRPW GGIQLVVTGDFFQLPPVPDGQTRTAKFAFDAATWGTSIPHTIGLTQVFRQRDPQFAQM LNEMRLGKISDDTVRAFRALTRPLRFDDGIETTELFPTRLEVERSNSKRLNDLPGRSY RYDAVDTGDANIKDKLLQNMMAPKSMELKVGAQVMLIKNIDEQLVNGTIGKVKQFMTQ NEWECGGLGETTEDGPIDLEKAVKKIKKFQNNQDITKTLIEFPIVEFLCTDGSRRTLQ CVYDEWKVELPNGDVQAKRSQLPLILAWALSIHKAQGQTLERVKVDLTRIFEKGQAYV ALSRATSQQGLQVLKFDKSKVMAHPKVVGFYNRLYSAEQALGGNNKPAGQQTIQSMFG S
SAPIO_CDS2188	MASEFIGLHMVVTLKDPSGMQLKGTISDISISAGESGQRAITLS NVFVVGGKNQWYPRIEVHSDNIHDLAEVGHDHPPSPATFTAPSMSGVSAPVYVQPPQA VQPVFEDPAILSVGLTKPDSTPQQSDGNPAAGGSANEWEYPPEVVGQPIISGLNIAPT QTPELPAALDGLNINSPASLNVTAVELDGTAPDMSSQAGKKKRRERKRGNPRHIRVQD TAGQLDSSPAAGAPGNRGKGWRQTPILESTASFQPFTALKRNGGRGKKGFADNGWASE DVTEEMGEFDFEGGLAKFDKRTLFDQMRKQDQIDDSQRLIAHNRRPKPGTAGGKNLHY TENVLDLPSTLSKPASTNDFWNSEADDGTHGDERHPRSSQNSRRADSKVGVGRRSQSR KASTTGPAQPLSRVNSAARQLSAGDGPKYQILQRPHTPLQQVPQPGLYLVPSDRRVEP VSALQMLNLENIAANEVGLTEDIMTENAGRGIAEVALTALMDPAIGVRMGTSGRSTPI INVASHATIIILAGNNKSGIRAVAAGRHLRSKGANVVICLVGIERERDLLEDMRQQIR IYRNFGGRIFSKQEFFEHLRKLASGNAPADVSLIIDALLGLTISFEELRTGDQASVYE LMEWANRNEAFVLAIDVPTGIDPTSGKVNVIDGSRLFIKPRYVVAMGAPKRGLLEAIS PDNEDGVMSAVAGPDGIVLTDDDPWKLYIADIGLGSGVWKKAGTKMRRGIDFDDKWVL EMRYQGVLEDEEDE
SAPIO_CDS2192	MGKKQTVSDYVNNLDANSMTGNWVPVGQWRDVHGDCKSSTRGKW TMKTMQTSSGEYKVKILERGSEIWGKTYAAEPSFSDLVSDAQSALG
SAPIO_CDS2193	MVRSIRVRHIVAFATSPKQRICNYFASRDVLKPAFSMHTGSSKL CSTCMGFDVRKLLLTAEAQRPGNFNDPSAPDTYENIRPFRNNIFF
SAPIO_CDS2194	MPPSGTDSEGLGTSAAPKRNVEVLVTGFGAFGSIKVNPSYEIVS RLPDQISYDDSNGPSITVRAYPEAIKVTYRNVFRLIPEIYTAQFPEVKFFVHVGVNNS TRRFQLERRGRKGPYSEADVEGEKFDDEWENDDNEWGRVPDEIKTEIDVDAIVEKLSR DGQWEVESSNDAGLFLCEFIYFNSMLIARRLRTSQGRQIRGVFLHVPPFLEEKELEKG RDILVEIIKEIVKQEENQEES
SAPIO_CDS2200	MPSLVSLVGAAICAAVATASFNSNLNYNSPSPRHVRLGIDIPLV YRRAWKRANNAYDPSELSFTHGVSSGDPWDNSVILWTRIAPTNESDQSNAAVEGTVPL YSHETETYIQADANPICVEWKVFEERKGRPSDRVVSRGKAYTTSDIDFTVKVEANGLR AFTTYFYQFSVCGSENKSPVGRTKTAPGRNDRVDEITFAVFSCGNYPSGYFNVYGNAA RKDNHDYVVHLGDYIYENKAGGERAHRPASLLFTLHDYRTRHGQYRTDPDLQLLAQRF AWISTWDDHEIANNGYRDGFSALNNTEESFLNDGPQISVDSRKVNAVRAYFEWMPIRQ VDLDDNLRIWRSFKMGKLLDLVILDTRNYDRSITSLGWNDHYIDLIRDDPSRTLMGGR QESWFYNTLSESKERGATWRIIGNQIIFSRIFQNDKGGMSGDNWNGYISNRNRTLKHL YDHNIGNNIFLAGDSHQNWVSDLAWLGTKDYNMKTGEGAVGVEFAGTAVSSTGQSGPI EPKAGDYSRGMIARNEEMMWQEGYYRGYFQLGVTAKKIEAQFYGSPSVATRNAWEIPL ANFTVVSGENHLARPVAGGKAESGALKFGEVSHSNFTLNTETGQWQVIGFEKMYL
SAPIO_CDS2201	MAVGNLTAPEIGCTDLIKACREAAAVGDPESVGDNGSVNEPCVA ASTVCFGIVQGAYTEVSNRNAFDISLIKPSVYPPEYSFAFFNQRRVKEDLGAPVNFSI SDPNVVQTFFGTTDDPMRRDISSLEHVLNSGLIVAMYYVIWITGVTGLVSKKFRSGWN TPDLLRSGPPAFHIFDLAMGGKDVATGQLNALESYKSEGPPSVRHVTAKAPESLAPVC YVFDIQLTCTPNQIQALADGSAVVHNFIVVEPRAESG
SAPIO_CDS2202	MAPTNSVELIFGTAWISNPPDPYWAQSDAHILEAYAALKKHGIT KLDTSVIYQDSEAKLGETEAAKSHSFRIGTKWPGGFAMDRLQPTQKEVLHEINASLER LGVEQVDVYYFHAPFAGWDLRPILAAVNDAFKAGAFRDLGSPASPRRKSRRKVEDDLF PLFRELGVAFYAYSPTVGGFLAKARQDVESGQGRFGAEFLEIAEDEGVSRIELAYRWI RFHSALRFEHGDAIVSGTSNIYQLEKTLNLFNKGPLSSWASQLIEETWESIKDDAGAD NFAALSGNLKVLGQHITLILPAKLYRGLESRGEP
SAPIO_CDS2203	MHWTSLLALTALAEAAPQFGGGGGLTMLRFGCTQVVIDRLDPLV NPGQLPSTHVHQIVGGNGFNASMTTGDVSETATCTTCQFADDFSNYWTANLYFKARNG TFKRVPQFGSPLQFGDQFSTQTNGGILVYYVSAQPGKITAFAPGFRMLVGDPADRERP ASLKRQNCFRCYTGPNHGGDVGAPCQDAAHDHDALPNKVCPGGIRSNVLFPTCWDGKN LDTPNHKDHVAYPVNGPATFLSLGGNCPDTHPVRIPQLMYEVNWDTSGFNDQSQWPED GSQPFVLSMGDPTGFGQHADYVFGWKDKSLQSAMDTSGCFGAQCANLRRQSIDQARSC TVPTLVNEDVDGWLTTLPGVDVVA
SAPIO_CDS2204	MEAAWTPEGLDRLLHDDKGPSLIAATWALMGFASLFLSLRVFCK FYSNRGLLWDDYILIASWVRSSSTASSIDGVTTNLVFAFGYGKHTWDLPPSNLRDFVI QTLVRFTFSIITIAWTKTAFAITLLRVTEGYIKKLLWIIIATVNIALGLSALFGWTQC RPLSKQWDLDVPGKCWDHKTVVYYSMFAGAYSGTMDFVLATLPWKILIGLRMRRREKF GIAIAMSMGIFAGITTIIKTAKLPRMLTDDLCISFPTTRLLTPLTKFAFLTALYLPNT DGTVDPIIWDAAEGSITIVAACIPTLRVLIRTASGNSTPDIYSVKLPTIGSVTGRGWS ISHAAKNQSPQPPSWSYTQGRRRSI
SAPIO_CDS2207	MLLTIVVLGAGLGGLAVALSVKQESSDHDVLVVESAPVLTELSC SKPSLEKLATSPQEFLVRRYDGCKLLGERQNFAAEMLAMYGSYYWDMHRADLQLALFD RVESLGVRFQFGTLVTDVDPSIPQLITDKGERITGDLVIAADGLWSKARSAVLGRPSP PINTGDLAYRIVLKSEDIKDRELLDFMKKPRIVNFPQCDRQLINPRLQKFLSQVDKVE KWKLMHLDELEKWYNEEATVVFLGDACHPMLPYMAQGAGSALEDGAALGILLSKVQSR EELPKALKIYQGLRAPRSTALQKWSMKQRHTNHLPDGPEQEARDQLAVSQLYDQQPGY PFYWIDPAAQALVYGYDVIAELGKIGVEPAMQTSA
SAPIO_CDS2208	MATRLNTVARGSEGYDQLRRRFFNERVPDIHPAEIASPTTTAEV VIAIKRAREHGWKVGVRSGGHLFFCSALLEGGLLIDTRNLNRDIEYDAATKIATVSPG HKVEAVTKYLRSLGRFFSAGHSRTVAVGGFLLAGGQGCFLRGWGYTADSWITQLEIVT SKGEVVIANKKQNADLFWAAPGSGQGFFGVVTRIWVRTIPDRKLFDMTIIVDSTDIFK PFLRWVLETSSKVPKYGVDLFYCTFYADKDDPNGGHESASKRVLFSINESIFADSFDE AKVLASPWDVIPDEFKSYVVGTIPLVERTWEELWAIQESFQPQGNGERWNVDSILVDP TVSDDALIEAITPALYDLPSRLSSGTFCPMDYYPNEAETALSLPQKTYVSTMCCWKDA KFDSAVDKWLLDAYTKADTVSCGHVGFCAE
SAPIO_CDS2209	MAASVKNVVLIGSQASGNLGVTVQDYLLAQQNSPLRISILTRKN SDAEFPAAFNVIRTDYSPPSLEQSFKGQDVVVNLLPPESTVSHENVIDAAVKAGVKRF FPSEYGVRSSHPAFAEGPVITKKKRLIVKHLEKTQDRMSWTGLLCNPWIDFCVIDGLL GYDLKNREARIYNGGDVPFSTGPRELVGQALYSLLTNPEQFEGAKNRYVHLASYTVTQ NQVLAVVEKLTGEKFKVHHLTSEEVLPQALEEVKKGKNWGLAFHVQSILFGPDANGEF PGDFRPLGIWNEKLNLPPRDLEQDLKAPLSGNWKGIIHWQPMEIPNYNLPSI
SAPIO_CDS2211	MTLIRGLKHALSSGFISPLRRVPGPFLARVTRWWEYRMVLGGDS NQEYIRLHKKYGPVVRVGPNRYSFSQPAAVKTIYELGGKFTKADYYKPLLSPKRDDQN IFAIQDHELHRERRRKVSPLYTMSSMVSYEKAVDEINHVCIRKLCQFAEEGRLIDVPQ WIQLYAFDVIGEITFNKSFDMMENEGDRIGMLSGIRTANDFLGFMGVVPDLVPWIIGL TSLLGKKSNTGVLINYTVDTISKSREANKHSTVKDTKQYDTFLKKVLDREAQGSFKMP NIMDACSSNIGAGSDTTAITLSSALYYLYTNPDKLAKVREEIDSQAAEGRISDPVTFQ EAQGLTYLQAVIKETLRIHPAVGTILPRVVPKGGVEMSGYYFPAGVWTTLPRIMIFLL TKGLQTEVGVNSWALHYDKNIYGPDPETYRPERWIGNEKTSIMESMMFAFGAGSRTCI GRNISLLEMTKVLPQIVRKFDLALEHPDKPMETTCTWFVYTHFKARFKLRDSKHVSA
SAPIO_CDS2212	MLENYPLALPVASTLLLLWLLYHVIAFLRFRSKYNFPNLVPGVP LFGNMFQIPTDTAERRLYLHKLAKKYGEMFTLKVGSNYWIFMNSQRVTNELLDKRGGK YISREKLPMPGDIASGGKRLVFMPYGKLWKWERKVIHEIIGPGNRDVFAPIQDIESKA LLYQYLTEPGLWNQANARYANSLIMSLVYGRRTKLGDPSVNRIIDTSNEIMKMFEPGS NLIDSFPFLARIPLPHSIQPWRWWGDSVYKNSLTNFSAEFEGLVERQRQGKDVTCFIS EFRRLGRDKSLDYESMVFLGGTLIEAGSDTTRVAMNQLMAGAALFPESVARARTELDA VCGANAERLPDASDIANLPYIKAVAKEVLRWNISFPEIAHSLIEDDTFEGYHLPTGTN VIWNSWGVHMDDSEYEQPDRFWPERFLDENLDKPIKGHLAFGAGRRICPGWVIASNSL HLLISRVMYCFDFHTVPGHPIPVGKPFDVGMEKAYEVTITPRSPAHAALVERECASAA NIE
SAPIO_CDS2213	MADRASGSAEHTSDTVPFIINGKDVTSTSTFKVINPATGGKVWD SSSVSNDETLDAIKAAEAAFPSWSNTKPSFRRDIMFRAADLFLSRKQEVLSYQNRETG AGVQFMEININATVQILRDLGGRIEAAVQGLVPVTAEEGSHAMILKEPYGVVLAIAPW NAPYVLGARSVAFALATGNTTVPKGSELSPRVFWAIGDIFRQAGLPEGCLNVIYHRPT DAADITETLVAHPAIKKINFTGSTLVGSIVASLAGRYVKPLLLELGGKASAIVLRDAD IQKAATSCAVGAFIHGGQVCMATERILVHKSIADDFSAALKQATQKLFGSEVPPFVLV NSAAVAKSKKLLDDAVSKGAAVLYGDLTASEDQPTMMRPVIVSNVSKDMHIYHTESFG PTVSLITFETEEEALAVANDTDYGLSGAVFSEDLKAAMRVARKYDSGAVHINSMTVHD EASLVHGGIKKSGYGRFNAAQGLDEFLSRTNDGPINPDEPRTDPPICRRETILALTET ILLCYNTRKRLALSHDATKERHGSAAQLHLSAVQRKIQSFGSPATPSDDPRRRSSHSE VSASTERRNSFVRASSTAATRGDDQNSEERNDATEEPHGSPANNELEGSPRSRGGPLD SLFTSDTHLFPSYLQDNSWNFAGQFVEPDLGNLSEIIDLDTLLPNAQDLLHEMQDDNI GEFPPLVPEPPRPSLPDFGHNGGVVGPPLLLATMACGATYLSEYSTAVSVHAVAIQLM LEHERMTALWATDNESQTWMLQTYLLLSYFARAQEALGDLKAYPVTTYEDWVHQECIN STILLGADIGSNERELFLVSPVIEARFALPSTTAEWLKDEASWEPPTQVLYSNDALKF EILTSAHHPELYANLGREMAGSVQILDDMLNRRIKEAASGLAPEPILQCAKSLLNSVF YHLYGSIPLAIMKKFLSSPAALDRPEEISTVLDEASSPELYKALIRAADQFRFDCQLG LKYIRKVGPSQFGPESAISIYEGGVYLV
SAPIO_CDS2214	MNSHAPIRNSALWLSSFDKPLELVYLPVPEATAGSVVVKVLNTV VFPYAEDIHQGRLPVFNLTLPLVPHPSHIGRVHAVGPDAVLTKPGDLVFFSAPISARD DPDVGIIQGHHGGEGSRGIKLMQGEWRDGSLQQYQKVPLENVFILNEDRLCNQFGYTP ADLHEISFYTISAGALCEAAELRAGETIIVGPATGTFGGITSDLALALGANVIAIGRN KDSLERLAKQLEHHERFSYVVMTGDDEADAAAIRKASPDGRGAEVYNDWASGALTGSP YFSAAIRANLKIIGKVIVSRFGIDLTIKMVQSGVLKLGKRGGSTHKVYSLEDHHEAFH QAKTNGFRVYTNIAPNPWWGPGIRGGAVDGYVELGYVELPPGAAKNAGFAFELFQLAF SRIEMACVDCYRGHDHPGPASGREIKLHGYDVYVTEPQAEGRTAKELIVVLSDIFGWN TTNLRRLADSYAERTGCKVYIPDFMHGTAAPPSIKKVIDRILNERGLWGWLVKPWLVL KALVVFLPCTIRNNVEKRYPGIRNFLDDLRCNEGANSKIGVVGFCWGAYSATRLAHGD LARNGKTLIDAAYTAHPSKIKVPQDIEEIRLPYSMCIGDIDFAMPLPKVQQAARW
SAPIO_CDS2215	MVQWYTDPKLQSHHDHAFGTRKPGTRTLPPGHDEASFQRALGEF RAIVGEENVVADEGLVNFRDPYPLFEEGFEASAGLCPESVEEIQAILKVANQHQVPLW VCSQGKNFGYGGPAPRVAGSVVLSLQRMKHILEVNEKLAYIVVEPGVTFFDVCDHLIE NNLDLWMSVPALGWGSVLGNTLDRGHGYTISGDRQHFIGSLEVVLPSGDILRTGQWAV PNSPSAHACSNSFGPQVDGLFLQSNLGIVTKMAVALDAAPASFMDVKIHCPEIDDLAP LIDMLQQLDREGITQSHGMITNINHFASHDALKHEQQSVPGPLTPESIAALKQKYNTG YWRCVLALYGPKQLVLARWARIKEVVRPTLPNAWVENTLYEGEDGKPVDNRKIGTLAA GVPSMLAVKLADYNLPADGSGAGAHIDTTLILPCEGQTVLTWFRKAKAIMEEQEVDPF VGCHVFSKYILFVQEYVFDKTNPKQREGGRKIVKALLAEAEKNGFANYRSHVQHMDDV QNLYGFNGHIYRRFVETLKAALDPNGIISPGKQGIWPPKTLVQLPPRKL
SAPIO_CDS2218	MLQPVVYTFNDISIPPTFLADPPLTAITTKHIDFASSPLPKNAG RIALVLENVLSKEECQELLRLAEASVPVGNGESPWRPALVSAGPGLEGPAPGYRESDR IIWDQQQVVDLLWARCSQAEGLKELLATVPGGPRCGKGHWQFRRFNNRMRFLKYSPGQ FFKPHVDGPYWYENEQGTFETQYTVHLYLNDSVEADPNSTLVGGATSFLSWDREKRLD VNPRAGSVLIFQHSVGSGDIRVLALKVDP
SAPIO_CDS2219	MPFNPETVDRLRAIVDGACADPSTGVPGTTVVVVGKDGKELFAH SAGKRGVSSNEPMTLENIFWVASCTKMLTGVACMQLVERGLLTLDDGVQLEDICPELK TLKVLKPDGTFEEKRNRITLRMLLTHTAGFGYSFFNERLRDWGFPAGVDEFSGRVEDM MTPLLFQPGEGWEYGVNLDWAGIALERVTGLTLNDYLQKNIIQPLGLKDMNMFPSPSM RSRLAYMHSRESDGTLRPRDHLHRAPLVVDLDDKAEVARIFNSGGAGMFAKPQEYVKV LAVLLNDGTCPRTGVQILQKETVDVMFANNIPKFPDFGRQGIPAAKPDLTGAIPEIYP VEGRPPQGWGLTFMLTNGGPTGRSRSTAMWAGLANLWWWCDREKGVAGMVCTQILPFA DLKVLGMWFELEAEVYKALS
SAPIO_CDS2220	MREETVDTTKKFNTDLTDYAVTPQTNSAGPYANNLEVDALIVGA GFAGIFMLKTLRDRGMNAVIYEAGNDMGGTWRWNCYPGAAVDSEIPEYEFSFPEVWKT WNWSTNYPDYKELRSYFDHVDKVLNIKQNCAFNTVVTGAKFDTSSGRWNVQTADGRTT KAKYLILGTGFAAKRYFPPWPGMDKFKGIMHHSSFWPDDEIDVRGKRCAVIGTGASGV QIVQAWGPNAGSLKVFQRTPNLAVPMRRRYYTEEEQNNKKALYPELFRFREKNFAGFH YGWYEKNTFDDTPEEREAFYEKVWDEGGFRFWVGLYKDNLFNPEANKESYNFWAKKTR ARIDDPRLKDLLAPLEMPHYFGIKRPCLEATYYEQFNRPSVDVVDIQDNAIKEFTESG IILEDGSHHEFDVIAVATGFDVVTGVMTQLGLESIHGTKLEDEWAPGASTFLGLTVGG YPNMFHLYGAHAPTLLSNGPSTIEVQGRWIADCIRKMEMNNIQYINPKPEACKEWKDQ LVNLNNATLFPTTRSTYMGGSIPGKVFEPVCYAGGIPSYAAEIRQALDKVEDLSQPCA YCIRTGKKCTYAWAHAQLQSTRRSRRRSDAEDRRPHMTHGLAKRQRRSDSIDRPVENI VPDTRIAVGSTTTSTTTSTTTMTSMPDPTHTRVLQNQPGFHEDTASHAWLDKLPVLAL EPDPFSSLTPNPCFFLEDHQALQFGMPELLLSEATEKPGAVDIGFGVSPGWETEPTPR QSVGFPASSWGSENTRDDEEDRGVLYISSRNPFSRRSSAWQETQAPSFPFYALNEAIM SQSNNTMISESLFRIYHDVLEHSLSCWLTEETCPYTDKPSMRSLTQGRSRWTAEEQGS TWSNRIYSRVIQLDRYARSTGLIRLTAKENQAATKALHLAIMAFTSQWAQGSHRERER YGPASEFNVDDLGQEFDRNIQISFWEQAQEALQKTSGIECFRVSCAGLIFGLCQKPWD FEDIEHFEIQPRDPRGTLHDSSTPLAARLEAAIAKDGTPTHLESAIRKAHTLKFRWDS QQLGIISSGRGREMDRQTPETSSSCDEVQQHEKTVGLVYWLAVMFDTVSSSMNERPVV VADEDSQHCNATASAETSTNDALSKPGLTRLSIRRWSTPLFIQDDPERPKHIPRWPCS YEAAAEAVTRAGPVKVLLFRHVSWLQNSLRRREHPGSMEEIIQSAMSLYRYWNTTYGA FFKDLVRDFPGVPPRIQSWFFCISAHWHLAALLFADLLDFIDRTQFGNTEAGQARIKN KVAERIRKASANEISDLARISVPPGAADSTYGDATPQSHSQSQSIPSPDFHFAVNKCT G
SAPIO_CDS2222	MATTGLPPQYRSATPVESGLEVVPEPSHLPEVTSYYGKPSPSEK GGVAAAAAPVEKRKFCGLRTSTFILLVLLTLVIVIAAIAGGVGGSIAVDKAYERGRAD VTAERTTSSPDNSSPTNSDNDDDDPSSGATPATGGNIALPATIGLVPVDCPNLDSTTH KVTPTSKTFTFEATCGADSAPGEGDRNIVTILAYRFTDCLRACASFNERGNVPNTTCG AVHFHSDLKWINERGGNCWLKKSVTTLVVDTRAENKNLHVFAVLQDS
SAPIO_CDS2223	MGFKTPFRVVIAGGGITGLSLAIMLEKFDIDYVLLESHKDIAPA VGASIALMPNGSLILDQLGCYDEIIALLQGGVIQTFHTRDTDGKALITTPNFNAHFEQ RHGYPMLFFDRQWLLQILYNNVKHKDRILVGERVQEVIPIADGIEVRTGPGSLYRGHI LIGADGIHSSVRREMFRLAHETKPGHFPVGEEDRVPCYYQCSFGIAQDVDHWQDGDEC FTIGDGKNFLVAPGPRGRVYWFLFRKLPEVRYGKDIPRYTKEDEAAFVKENFHLAINE NLSFGKLYEKRISSSLTPLHEVVFKKWYFDRILVIGDAVHKASILVLKVTC
SAPIO_CDS2224	MDFPMDDLPAFHEPFPTLHPTTFLELYELTGMDLAPRGQAPEVL LAPGLASGEGYTLPSLDAFAALSATSQNTSLPDDAVEVANVSMGPPTRPRKRKAGTLK AEDWEPRRPFIKRLHIDQGLPLKEVKNRMESEFGFKAEYRISQWALDRNVKPREMKAT ARKRPYRRLFERNKRELASTVRGQEADPQKIDRRMKRNDNPKVLDMIPVPLHPRHPQF SIEQFPHTVLRIFVQYRYRPVEEERLREDLTSRRMLYEPGNPTTLRTLLKLGGVFLDQ GRYKSAEKVIREAVNAYSDDSDEKDMDMLLALGLLGRVLRLQGSYSEAATMQKKVLEK RKGILGDEHIDTIAAMSELAKTLTYQGEPNEVATMLNEVLEKRKRILGDEHPDTITAK SDLSLVLGDPHHQTKFETATTMQREVFEMRNRILGDNHPDTITAMSNFATTLGNQGEF ETSLEMARDVLAKRNHILGDEHPDTITAMSNVALSLAAQGEPGEVVAIETMLNQVLEK RERILGEEHFDTITAMSGLALILEAKNDGQIRIFNRIVRLGNQDELGEAVARRREVLE KVQRIFGHEHYHTIEAMANLASSLCHQDEFDEAVAMLREALEKMKRTFGNEHPVTIRA MNNLAMALRDQGEFETAAMIQREVLKKGKRVFGEEDPYMARFTENLERILRDQKSLEG DSESLVPM
SAPIO_CDS2225	MGVSPPAAVKQKILGIARNMKVDPDVGGTARGEEARPSQASVYV PFETPGGQPQLALTGRSREPGNWTPCHIPVRFTRSYMHRELRKVVLSLVLPSDSSQYP FRLLPTDYFLAL
SAPIO_CDS2226	MFIFNVILIVLVVKFREPNHFVESLRSEAAVYVDINATTFTTIT SWASTLAAILGGFVLMLAGDIARDESGVGLAHHSETVHLLHGVQVQKVEAGFSSSISV RNSAAGLLVAITDTWLHLSTEAVNLYRYSPSPGTNLSVNLHERCLINNNSYRAQSNWY FDREPGAPVEPACTLNPAAANTFLVVEDDTITGMSVLGNRSSTLTAKYHAEGGRVYFY LAPAPTEQNLMQDYSAETFAMSTTCIPKSVECGLEYEKFIGAATPFNCTKSGFSGDIQ KIGGIRIQFYDDPEFTQTLSTTGVEGSTYSLLVAAFLEVVWPHPQDDPEVAHQVHGDL AMILGCTTTVYDVSYQYQNGSVVEWNARESNSSVTNALSSPITQARVGVTDAYQAFSY TGDTETAQRYADNWAAEYSRVAVSVSVTALRPVPAFSAQYRTPAIVSRIPIAPLAALL LSNFLYCLIGAILTIFAILAVREPETKEVVERTTVQSLVAAMFEPATATAPVKEVDNM FSELAEGKSQRVGIGRLPNGGYSYFLW
SAPIO_CDS2228	MQLPASLALFIMVAAPALAARPFFDEPDTGLETFLTGEGSTWEP GTLPNLRDIRSLADFQFAARQVLKGDHYAYYRSGAGQEGSYRNNLEVWDKIPFRPRVI RPVTQLAHSFSHSIEIFGYNFSAPFFIAPAADASHADPVRAELNFMEASGDNEILYIT IEELDAVKRNNTRNGRQVIFQQIYTNNNLSATWDAIRRAEATGARAIVWTVDNPGTAS RVRAARYKDADLYDCPQENLTSSTRPNTWETYQEMKTITKLPIILKGIQSVEDAREAI RAGADGIYLSNHGGRQLEGAPSAVEIAYEIYRNDPDIFQKIVVLADGGVRSGSDALKL LAFGVKAVGLGRPFMFANCYGYDGVDKAIKLLKNEIVQDGWNVGINSIADLGVHFNFL GIGVTGFYTAIPQPDVTDRGFLLEFSMAVAKENSLITPHLIRLIATALKPKRDDDDGP PGAAASSLSQPSALDRAPSAEHRTISDFSLLPPASFVNRTKSPNRHAEPLGLRVLYKP HHDRTADLIFVHGLGGSSLTTWAWKGDPTRLWPQEWLPQEPEVKAARVLTFGYNANYL SQDRNTFNISDFAKDLLLQMKFGTDSDTQSLGIGEVILVQAYILGAVDAEFKDLVQSV RSIVFLATPHRGSGLAEVLSKLLSISLHSPKDYIGDLIRNSPPISDINEQFRTYAGKL QIVSFFETHPMLIGLKKVMVVERDSATLGYPGEITSPLNTDHRNICKYKSRDDESYKT VRNVILAVVKTFKSEDRKSHEDSALVAKASSSALDEIFMRLSVPRWSNSDVEELLEDY VQGSSEALSPEFQDILNLKYTIKRLCGDFVVIDKRNTVTIVHHTAKEFLTKESTSILR VQSDISNQTVFEKCLEILSGSQFRVLLKSQGGVGGLLRYSCLFWSYHLVCSDELTLRA NVLKRVADFFRSKACLSWIHAVASTGRIGALVVTAKDLNSYLNRYRVANASDNPLSQP LKEIEFIGNMATELVRIVGKFGVHLFRYPTSIYSVVPLFCPPLSIFGQYFSAPSTNPA WPKISGLSATTWDDSLAKLPLGHGQRPKRVYCRGGHFAILSSDKAVRLYQSSTFQEQH RFTHNEFLLVAQFNREGDKLVMCGIRTIKVWEIRSGANSAEFPNLAGSKIVGGRDGRW QESFGHATRLAWNPATEHVVGINQNGIIFKWYPLDLERLEMDKSPIAAEIACSPNGKF IVSDQRDGSLKVFAFDNFSILYSLTCASPPAALTVSSDGRRIYDLRRSFCNVWEPNAL TRMAEEDEKTSDTPSALNKTSVNMPLDASEDTTSSKDPVSALVGAGVGEAYAFGSNSG NLTYVPGSGVEPVIASCGSLDIISMSMDISGSIVAVATLDKAISVYQFEGYAGSINAF NPAPPWSSRGAD
SAPIO_CDS2229	MSHFAAIIPAGKALLEIQEVKTPQPGPDELLVKNEIIALIPIDA KLAKFGVFPIPYPAILGTSFGGTVISVGSAVTNFQVGDKVAAAKTAGATGDKYGAFQT RVIAREVTTSKLPQNVNLGGPVGLIGNLSTVVGLFNVGAGLERPETNGPASAKGKKIL VYSSTSSFGSLAVQYLRQAGFFF
SAPIO_CDS2231	MSTAPSSSKPRIILGLMTFGPDPSRGGRITDLDDLAKALDIFQQ WGYNELDTARSYLGGKQEAWTRAAGWKEKGMTIATKVYPNPAGNHKAEVITERFETSL RELGTDCVDIAYLHAADRSVPFAETLEAMDKLYKAGKFRKLGLSNFTAFEVAEVVMTC KYNNWVRPTVYQGMYNVLLRSIEPELIPACRRYGLDIVVYNPIAGGLFKREIQDKDQI PAEGRFSDVWKGWQSRGRYYRDSTFEALRLVDKVLEDHGITMIEAALRWLMHHSALKI KDGNDGVIIGVSKLHHVADNLAYLEKGPLSEEVVEALDAAWAVAKGGAANYWHLDLKY TYDTEAALFGGEDGN
SAPIO_CDS2232	MLSRSLAALVFTAAAASATVHLCGDSTMARGNGIIDGWGQYLQY SLNEPVVNHAVGGRSARSFTREGRFDEVLAQVESGDFVVIEFGHSGSFAWAPSRFFYY TWLAASRLGGPAKGVHFVPHGQYTAQAMKNAGAAVVNAGFPQDHTHTSPSMADFVSQA FVLGLACGTSDLKAALKNSTADLTSGPLGQCVSDYNSTVTGLLR
SAPIO_CDS2233	MEKAEGSFLWANLAVSILLRESTLEPFEIAHRLALLPTDLDAVY ETILRRIRDSRDDRLRKAARDALTLVLCAQRPLSILELREALVVTRKLEITQKTQKGD IPRDIAAQLPVLCGGLIEVLPETPTLENSVSGILGQTVTLIHITAKEFLMREASSALV IHWDEGGKADLHFHAALICLSFVNATFEHESYAFPHLPTASKAIASSHFLEYAVLYGL WGENLENINEEDHCGQTPLSFAAAMGHIDLCKALVKLGADVNHRDQIYGQTPLNWAAF HGHDNVVEFLLQAGSDCKNRKSGVSALWIAARGAHRKVVQLLLKAAAKAQTSDERTGE SALSQAAALGHIPIVSLLLKSGADIENRDKHGWTPIHHCVSRGRRKTLELLLGTARQD QLQALRVGPVKTQPSWVDTVLRAILLSLCFRKCNQSETPSTGNTTRDAKTWSGPGQNR NSMISNRKRGRHKLDQESDEDDYGEDQAAFNKRSRRTNSDGRRFACPYHRRNAVKYHT GACNGKGFENIYRLKDHSPCVKRERATDYEEGFDAVQLGKLDSDEMRVGQTSGEECWY AIFKMLFPDWPENEDMPSPYQDDQNKVTLPYQSWIEFREGLAGSDIINEIMNYIANGR GEQGVREILERRTEELSSRLGLPPMTSRGQAYNALATNLAVIPQPLSAANSRSRSTTN ALPYAAPSLPLSMSANLGETHFQAMVNNPIGDHQGYGQYLPLPDQSHFQGNPIQDHHG SFNPHSTAMSNSGLGTSSVVVGNGEA
SAPIO_CDS2234	MVAIQLMQQIVAQFILLPFHSLPWKIVSFYEELPLPGYDFRAVD SNQEELWNFPQVSLHSHHHDLCRFTSRDDLSYVKLLESLRNITLAPSVTDKLTVHPTL SAAEHEVLKSLEFEDAFPNTRDAAQGTCDWILEHEVYRSWKEQSSGLLWLHGGPGTGK STLMKHIIKHDLPGGTTSSTLGAYFFFSHSTANGSVTNLLRSFLYQILKSTPSSLTFE IFAAFAERRELLGSDWP
SAPIO_CDS2235	MRSALYLLVAAILRAVRILAVPIPATLPEDQGAVATSAAIAPPA EFTPNPNVGPGSRGSFKDSAHFRLYNSPNEAGTAMALSVLEAVYGCFVEDLGWRSPGL SFSAGVGDDGPWYKQNIFAAANLGSAGVTVPDFRTGLSYIQISTDYLRGDEAMPAAVF SHEYGHSLTMAERNWNFDLCEPSRGRHGRKRTETEINLRKNIGDSYQTIVDAVGNNAN FYQAWPFLTYMTYNLDNMAGLGTNTIREMVRQYKQGETPLHTLSRIATNNTVSEIVGR YWARMSYVDIGHPTAQPLFLGMRSFLNFANYDSAGTNTWRVKSARAPRYMGSNITPLK KTGAVTVDISIDAGQAIFRATLAVRNTNSGAIRYVTLVDGKGSASIETNEEATLVVAN APTTLIVYDPANLQGSPANIGMPYTVTMTGATF
SAPIO_CDS2236	MSSPGSTDDYGPVLNGTIWLLTALAACFLILRAYLKLRSRRALW WDDYVLIISLITLVASSALQSVCVSLGFGKHNADIPAAEFQSILLLGNAAGFASILAA MWSKTSFAMTLLGVSKGWAKCFIWFIIISVHIVLGANATIQWIQCWPIEKYWEQTIPG KCWPRLVLIEYNIFAAGYSGTMDIVLSLLPWRLLRNVAINKKEKIRAIFALSLGIFAG ITSYLKIITIPAIGSNDIIDAVGLLIFGTAESAITIMAVSIPVVRTVLLECQDSRRQL IQGIEGKLDDSTDSFSGVEEGKKPEAAIDARLSR
SAPIO_CDS2237	MRFYIPLSSLAVLALRASATPVDPPSGYSASYSTFNNLNCDTQS WSMQLIPKDVVGLCEDLSHPAISVDLNNLAEGCSVVFYPDFDCKGRPESVSEIGCYKS DVIIQSTSVTCETVDDGEDDN
SAPIO_CDS2238	MDIEDLVRNTVEAIVSLAAFPVTKFMDRLFNATRNAPHTSRIRP ELDPEPAFGPELRGTVLNGRDESFLLNRLGVQSVVNGISTINRTSDKAKFKDCVDKKN AKDDTFEDMTKLTTLLYAEHVNWALEKNKLPSLEQLHGTSGFAAVYESYISRPRFIGS VIATDLANRTDACSTSLLFVCEYLNQGPGFTPFLDHGFDTSSSNSVLQKWIEALLEVP KLQSKQGVAEIFGFAAAVASIVVAPVDISGKLQSSTGFHMRDLVMSKTFRPAAVKAAK NVTSKISGPKRVPVSHLRSSESARELAADQTQSQGISVINLSVLGVDDISFESLVDGA NDNFKSIVTQCKQNWATKEDKWHAASAGLATVSKQSSRRFSTGQAFTGFSLTHVSSRE QEWLEVTTYTGRPLADWIEQVQSPHPRLFTGKSPKVKEVTTTEVPRASCFRPGTKIAT KADDIAIESIVEGTLLLTNINSRTGICSDEDVRIEVSDPLLVGFNGTGVFASLGHVFH TTTGLRAVDPTIALGENPWLDVGRLQVGHILYQLSEDRTCCELVPIDSIEIERVPSVT TLHGVHLREGDRSYFANGFLVAVNYPEITIKSVAAALGKLSRKQQAQALYHIQELRPL FWSLGVNGVDALLQNELKLAKEDKVKTKRHLKGPRFQEMRRRFVLKADTSRLIQARKP KGYELPEVDIFEGNLFLDGELATRVSFDSAKSRLRWSREIENFGYEHGLMAFHTHGFG ARGAVLVSDDEDPEDLRDGNDYIVPFTCSGPQGRTQNPSVPKPDLTRKIKVGTHAILP SRGLGAAGDGVRKIPVPDRVPGLFEPGGPLTPSDPPAGGDSDGNENDIPIDPDKQIDL SQVGSGFMNPIWDESDFFQTFVDEVAWPKDVEVRTSCVEPKRWGTLGIGLYHTDAEHG LILPEIIIPELDRLLEAYNARVEPYQRFPSFYDAQIIGNPDNTTHGKVQITAAAAISA LSDQFVPAAEGEQQLDYPTKNLTFRNNLDSDITIPLLFAEAEMDFDVHYEELRGSVWE YDPEMTGCLGERYLFEATYDPFGFSMSTSADLMRTSSALQRKRAKVSYLAAKLDDSKM STKPALISDGALIRATATDTDALNRLLERDISQTQAMFQKLMLYYMDDEDREKFTSDA KPDPLEFTPGIDGSLNSNHIEWIRGVYGKAYVTYSFSRLSKVVEDGDGTEDGHGLRHK LTEAEKKKIWYFWTGSGATSLSQSVEYNEINELISLHVTREHLGDDFSEEYLANAQGP VWAQKLVDRVTSSRYLGQALIDPVNQDRNILSNWCTLAFCLDPRLESNANTPENAART ADEDYVDYATEIYLRCMNYFMARNESHPGEVPEDILNYKLEDWVIDAIGAILELTYQG GDAMDAEFRAQFEEDFAALMDHWGISDNTTIEERKAQMAHQMALLMAESTGTLNDFLT ATTGRSDLVHRLVNKITSSATGMGPKTMAGLRGLLSAGLFVVFSVITVRMMKDAWPVM SLADRAACATEFTRGFFQMLSGFSGIWRDYRAATIGNSITSIELDKAIKKDLGLNDAE AFQTRMNNYNRLQNAANPEASGLTDVEIKNANAQHMQMEEGMKGKHMSKETRNKIWGE KWKERMAALDSDGTTPRYAAMKSSTMAVRVFVALSFLASLGALFATSFSLTQQIKDGN LTDKGRRVAIAQITFTALATVVEFIGISSTLLLVPVVGFVLALVGLALSIIFMGDGIQ EPEEPPLSDMELFIRDQAKPLLVPMLDPPPSKLKYTLGQTTWAEGATRTLKITAKNES SEPVEISCVTLSWLGGNDDRCLFTERQFIAREVVTAPESVQPLTNGDHIIQRMPVGND TPMEAALVSTSYSRHTGFDSTVMPLPVRGEVNGEEVTTNVDEEGDMVLVTQPGHSFTW FITGTVAERGTNTVTGDDLPGESVVDVVELLPNGDKTLNRFVIQRG
SAPIO_CDS2242	MASSDELWRTISAESYLGETEYQREIYDTGVDTTTGIAHAQPDE KIDSTAISIKSFYDKTRDPNEKPPRLGLTWTDLSVRGVAGGLRVHENVLSQFNTPRRF KEARQNKAHKDLRIILDRVHGCVKPGEMLLVLGRPGSGCTSLLNILANRRYGYEEIEG KVRFGAMDEVQARQYRGRIVMNTENDFFFPTLTVDQTVEFATKMKIPHDSCPIPADFA TPKEYRNAVKTFILRTLGIFHTSDTMVGNEFIRGVSGGERKRVSIAEVLATDASIYCW DNSTRGLDASNALEWTRAVRAMTDILGLTTIATLYQPGNGIYNLFDKVLVLDGGKEIF YGRREEARPFMESLGFVCAGGANIGDFLTGVTVPSERQVRPDKRASFPRSAVEIEAIY DKSEIRMRMAKEYSYGESDAAVSNTKSFQDSVAHTKSASLAHTSPFTVSFAQQVRALT ARQYQLLWGDKATLGIKQASTLIQALIAGSLFYNAPANSHGLFIKGGALFWSTLYHCL VAMSETIATFFGRPILSKHKEFAFYHPAAFCLAQIAADIPPLFVQVTVFGIIVYWMAG LRETAGAFFTYWLLIFSNSFCMTAFFRLCGAAFPTFDAASKVSGFVINAVATYTGFMI PKPEMHPWFVWIYWINPLAYTYEALAANEFHNTVIPCVDNNLVPSGDGYTDSNFAACT GVRGATPGATFVTGDQYLDSLSYSRSHLWRNFGIVWAWAALFISLTIFFTTRWRTYIG GGIHLVPRERMARNKPLAPKKDEEFQAGSGYQSTARSENSVKAEPNNSDVSGNSLMRN ASVFTWKNLSYTVKTPSGDLRLLDNVSGWVKPGMLGALMGSSGAGKTTLMDVLAQRKT KGTITGSVLVDGRELPVSFQRSAGYCEQFDVHEPFSTVREALEFSALLRQRRDTPDKE KLAYVDTVIDLLELRDIEHSLIGRPGVGLSVEQRKRVSVGIELVAKPGILIFLDEPTS GLDGQAAYNIMRFLRKLASAGQSVLCTIHQPSEQIFSQFDTLLLLAEGGKTVYLGDIG PGAATLKGYFARHGAPCPPDANPAEHMIDVVSGVEHEKDWHQVWLHSSEYEQAMAYLD SMIRTAASNPPGVADDGHEFAASMATQTKVVTRRMNVTLYRNTDYVMNKIVLHIMSGL FNGFTFWQIGNSIKDLQNALFAIFNFLFVAPGVIAQLQPLFIDRRDMFEIREKKSKTY HWAPFVTGLILSEVPYLIVSGFLYYVTFYFTVGFPTEANKAGGVFFVMIMYEFLYTGI GQFIAAYAPNAIFASLVNPLLISILVSFCGVLVPYSQLQAFWRYWMYYIDPFTYLMGS LINFALFGRPIECEESELAIFNPPENSTCIDFLSAYLQGAGSGANLLNPDATSGCRVC QYASGTDYLRTLNLKASYYAWRDAGIVVGFVFSSYGLVYGLMKLRTRATKKVE
SAPIO_CDS2245	MDSSCHLPTERFVQKCESTNCPNATGAARDFVSQILQLASNTGS ANEVERSELRRKLLQNLNHENVSNLLQVFPCTEDTYETLAEYVCPKAGQCSCGEERCT GSKVLFMLLLLIKREECIPLLHAERICDWSLPLRVRNGGIETSSGDTFLVGQVEKWRP HELVPVRLPAEVSLPWADCQSIPDQGHDGNSGIAYHIRQSIVKKVGIRARHHDLVDAP TKDDASYFAVKTQRSPKRKWVDFQAEFNGIKRTSSLHRNIVPLLAAFYHGETPYLLFP WADGGSLESLWKDKVPSSGNPDSKATECYSLAWVLEQCSGLADGLSFIHGYQTDSLLQ GQTPPTPQLHSDITPENILCFQTRVNQRTSYTLKIADFQFARQVNAQGETGSSETFRP QIYYAPEFDLSNTNTEALLTLKGDVWSLGCVFLEFVIWCVLGSYVDIENFRSAREKDF HDTGALEQLGMMTKETTPIFFRLKRNEQADVDAELRKSVIEQPKPKFEAPLDAAPHEG KGNKKTKLPCSRDDSLVPSPVALTVAPVAAAPAPAPRGNKIDSLIDTYISEDTD
SAPIO_CDS2246	MFPTAARSAGLSHEIARNFLAHAQRRGPLIQKQLLDANQLLRLC QTLGRKELLHGSPVTDSPPANGTPVPPGYHLVYFTPSSFESELGRDGTDRTFNPSAPF TRRMWAGGEIVWFGDNVLRVGQTVTETTTVVKSEPKKTRDGADMIVVGVEKKFENENG TSLIDRRDWLFRPDLTEAPALIPRGEAKPFPSGTHTRDFTQTPISLFRFSALTFNAHM IHYSKQWCREVEGHRDIVVHGPLNLVNMLDLWRDSGGVKGSEFPKRISYRALSPVYVG EPYRAVLEGAEAEQAEVKFWTADGRLAMQGAIAP
SAPIO_CDS2248	MRYSHVACEVPVSKRGKYQRKRSQNAPVEVTPPVHSDPSPGNLR AQLQLEIDDGGAAGQSPGDIGYHGVENHIPASTTQIRTPSTLLTPLPASRVGESQTID RTLLVYPISDAINTKAWKLSTTRNLPVSSLRAETARMFEAGELSPLLFQAMLFIGSSY CEEEIVRSMGFKDRPEAKAALYHRVRLLYDADWESNKVAVLQSLFLTSFWRAGPLNEK NTRYWLGAAISLAQTRGFHRTPLYFQVRDRQSSASLGLPSRIRDEDCDVEMLSPSDLE ETEGIGSDIFGTGEPGHVMYALEMAKLAKLLGHIITNQFTPGTGHLDKNQRSSLLDAL VRWKSELPDSLRECELENRPGMIWTYLLHLYYNNLLILLHRRAYVRPQDESDTQAGEV ALRAACRITRIVEDMLSSDLIRITSLFSSLCIHTISLQRSKNTARRLAEHRAQMSLLG LKEIQKYWEVNNLVLELFFQYLDESTAKRLRAAELDPTSDTAAAANNPVVQAAGHNSS GVGDMATMAASTAADDNDDTTLGTYPTMGQDSLCFVTPSNILCQNSTSDPYAFLVDSE ARVNDGLDMLGLQFLQRCL
SAPIO_CDS2249	MYLVSFSYAVRLAAFLFFTATALGTKDIAYNPESNYRPQNVTDL AYWLYAWTGSYYNGTVNFRLTPRDWGQDKKEDEGETACDRFTDQVLEFSYKTLVAIVK PNKEDRGRNPVMFIVNSWPDENIDLWPLGGNTNPYPVQINDDIFHLESIECVQNKPAM LERANPSFVDHGKEGIHLFGTSNSRSEGIQFNMSQCDNATETWWGGDILRASSSSWID RVHLVDPVLNGRFDDQAASWTLNGVFKINNAGLTLRGLMSFYRENNQTGNPSLDFPEV WSQAMPSRMGL
SAPIO_CDS2251	MGNSGSQVSSYVAADYYPAPYGGWVGDWADSYARARELVESMTL AEKTNITSGTGIYMGMNLVILVIHVASNELSSRLCLGDAANGVRLADFVTVFPAGITT GATWDKDLMYSRAVAMGKEFRGKGVNIYLGPSVGPLGRKPKGGRNWEGFGADPVLQAT GASLTIKGVQEQGVIATIKHFIGNKQEMYRMYNPFQTGYSANIDDRTLHELYLWPFAE GVNNSACSQNSMLINGILKDELGFQGFVMSDWLAHMSGVGSALAGLDMNMPGDTQVPL FGYSYWMYDLTRSVLNGSVPVDRLNDMATRIVAAWYRFGQDDGFPEPNFSTTTRDREG DLYAAAWPFSPRGVVNEFVDVQEDHYLVARQVAQDAITLLKNDGPLLPLSTDRPIKVF GTGAAENSDGPNACSDRNCNKGTLGQGWGSGTVDYPYLDSPIDALRKNAKDVTFYDTD KFPGVPTPGADDVAIVFITSDSGENTYTVEGNHGDRDGSGLYAWHDGDALVKKAAETY ANVIVVAQTVGPLVLERWIDMPSVKSVLFAHLPGQEAGESLTNVLFGKVSPSGHLPYS ITKQESDYPSSITDLVTGSGVQDDYVEGLYIDYRYLNKAGIKPRYAFGHGLTYTNFSL TKPAITKVAKLSALPPTRPAKGNILDYSGPVPGESEALPPAGFNKIFRYIYSWLQPDE AKNAVKDRQTKTYDAYPRGYSTEQKPGPRSGGDEGGNPALWDVAYKISVTVTNSGAKH SGKEVVQAYVQFPDNIPYDTPITQLRDFAKTRELGPNESQVVELQLTRKDISVWDVEV QDWIIPNVDGRFKVWIGEASDRFSIVCYTDQLNCEANVEHPL
SAPIO_CDS2253	MAPSAEEATAAVASGPDATVVSSGLASIGPPKFADPHEERQYLK GRLALAFRIFAKLGFDEGVAGHITVRDPVEPTSFWVNPFGVAWPLLKASDLIRVNSKG EVVEGGPVRLLNNAGEYIALPRHLRPRSTQPNLLRKAYMIHHAVHEARPEINCVAHSH SVHGRAFSTLGRSIDIITQDSCAFYNDLAFYNSFGGIVLGAEEGVRIAGALGNKKAAI LANHGLLTAGKSIESTVFWFMSLETCCRVQLLADAAAAGRGGKVVKIEDEEAAYTYKA VGSELAGWFSAQPTFKLMELESGEDYTS
SAPIO_CDS2254	MADTPFQVEAWVEYGLGVLILLIRFFARWSAVGFQGRQGDDYFA VAALIFWTLLLVTAELIGQNGTNVGLNDEIAATLSDEEISKREFGSKVLLAGWVAYVS LIWSLKGCMLFFFNRITLGLKQQKFIKWAGLACAMTYVAVIAVVFGHCTPILKKWQII PYPGGNVLFPAAVLDSWLRIFGGTIFYLTVAALNVFTDILIVYIPLPLLWKVNLILPR KIAIGVLLCSGVFIMVATLLHCIESIKDIEGIGTSTIWGIRETFVGIIAVNAPAIKPL FTRSRWLKGSRSGGSSTRPSGHTYLHSSSRDPSHMLQTLSGRKTAETMPGHNNSSEEM IVTKHGPEFQSNVAVEALDSPPVSGNKVIITTTFQVEVEQDNGSWKNA
SAPIO_CDS2255	MDYDHYIQELTSDSVPQDRAERVIAEAVWAYMNRLADNACDLYE QRLKQRCQDQGQVYSANRQPRENFPLELFTKNIKTNKLDAAKWNATIQDYLASHMPEG MSPPDPNEEQDILNCLRVADQQINAAFPDEKNQGTSSHRHDDKLPDHELFAPAIPFIY YVASAAAMAVMTTAGLTLSTHLRRKRGPENIPRIPFDWDSSQGPGDSTVAIVGPWTGT GGSGGHPPSPPPPAPIPDMIPDRRRKRERREKKKRRQGDKDKRRKDRKDYYFGYVDRV EPAGAGYEIRVEAIAENSLSDEVFMRELEKLAVAAGGNPGFGRYRLALISIYVHSVPP AVEVGKWINFKVETKNKTLATTDSPDVSGAFTDGVSLLEALEPRFSIVNMRIECYFAN NDGFVVQTDVNTITKDITANAFAILQAGFSTFNFVTEAIKNLLDMFMNKDKKEDEEPS DSGVNPSRFRHSGRVFNSDLTEMFANDFGYGRVGTRKATVCSHMIKGQGRVPILLSHW DADHFRIAKSFVAKYYSGSADDVTYRTWVAPGGDHIQGPVTHELAWNIEHHKKLLQWP SDIESLEVGNVGIVNCERNYQYQMPDKNNYGALALVIGRGNEILIYPGDANYESIPGI SDWNHKVSTLIATHHGSTVALQGPRGSVGESIPIASSDQSYALFSYAQGNTYGHDIKT ASKYYANAGYKLVDATAALKPQEDTFEITNFGGDFLSDNFLRASPENEPTKSNRPVAA ATGGREILPGLQATSMPQPQPDWANSTQKQPPVQLSDENSAKQFPAQLTLGSIPGGAA PGPGQDDLLKYATRDEDGDIVIYDIMATKIVLERLPLCVPCNTDYPVTVQISCRDLEI RGVQPQDGFVPLVRFDVANGSEWVQGADAGQDGEPGNPGFAGGRVRLAVAGEWTRTGS GGSSPIRGFTIQYREGWGSSGQNGGNGLPGSNGVNGGVMAIGPTGKVSYSSDRPKAGG NGGNGGRGGDAGAPGTIADSEVLAVSSKWPGWEVIIDTGTPGTQSEYGKAGTAGKGGT GGPGGTGSKYTLAYTDSHGRMTGSNLEEAAMADGAHGRNGEDGKEFGRSAAQSKKARV NLKMVATEDDMLDQMAPADWRFYSD
SAPIO_CDS2256	MTADSRKRDQLDPAVPVTRQQTTAESDKKDPETIFNVPAKGVPF FTPEQNPPSGTAVDPQPSGKPIPKVFTPLKIRGITMQNRIWVSPMCQYSAHEGFHTLW HTTHYGGMAQRGPGLVMIEATAVQANGRITPEDSGIWLDAHVDGLRKHVEFAHSQNGL IAIQLAHAGRKASTVAPWMGWASTATKEAGGWPDNVYGPSDIRFSEGFPTPRAMTIAE IEQLKEDFVLGAKRAIAAGFDVIELHFAHGYLVSSFLSPASNKRTDKYGGSFENRSRL ALELVEAVRAVMPDDMPLFVRISATEWLDTNPQYEGESWTLDQSIELAKLFAERGVDV LDVSTGGNSALQKVKGGPGYQAPFAKAIKKAVGDKMLVSTVGNIATGTLAEELIVGGK DENDTPVDLVGSGRMFQKNPGLVWQWADELQTSVQLAHQIGWGFVGRGGKTVTLRTNI P
SAPIO_CDS2258	MRAFDLHFLNIAACILLLASLFTSALGSPVATRSRRPKPKPRKC HDKHRNFRTVSSIYNLTVYPNQLPILGQGGAGVPPGLFNQDVVGRVDPVGDFEGFEHS IEYFFALAPVPSGNAAKAAITSYKITEFSSGCRDVAASVVYLYCSVVDPGGPDHGKEL APLKQVAFWKFDDEGAVLKYDAWIPNLDDWVEAITGSPTNSQYQAQTIQQICGATQFT CTGNNTQWSSVEECIVALSQKPFGTYDKAWGDNIVCRTIHLVLTQVRPDVHCPHVGPT GGGKCVNVEYPNDFFTDESLYGDPVGETFFCP
SAPIO_CDS2259	MAADPLDNVGTVPHRSLLALVWTSFSAALLFVVLRTIIRFKIAT RLTVDDYGIFLALATLLTLCILETIQLPSLYHITAVIQGRIPISTELMSQTEEYLRYE FAIIILFWSVLWFVKASFLALYFKLFKELPHYRKAWYVLAVFTVLAYAGCVTTLCVSC GPIDNFFKFAQCGGPQQVWASNLSIYFSTAIDVFTDLCIMAMPLKLIFNVKKISLKQK AGLACVFSLCFVMIAFSIIRAKQVLVPQYFVNLTLLMIWSTLAASISVIVGSLPPLKI LITNRASAKRSRNDSRNHQFSNNDPRKNSVVLSSLSSERKHAGVRCPTTSESQEEMLR SGGAQFVIVKHDV
SAPIO_CDS2261	MSPPIPRKLWEHPAPETTEMFKFKRSLEQKAGVTLKDFEDLHSY SVTNRSDFWRHTFESFPLVWHGVLPEKVVDESAKIETNPVWFPGVKLNLAQNILYTGD ASGRATKKHKEDDKVALTEVREGGHLDLRRQLTWGELRERVARLASAMKTRGVKKGDR IALVASNSIDTLTVFLAATSLGALFSSSSADMGIKGILDRLLQIRPAYVFFDDWAVYN GKKIDLRQKIKDTIDGMKSIPEFRGVVAQERFLGQPANVSGIARCETWSNFIADATNT SGEPFFEECSFSDPALIVFSSGTTGPPKCIVHAIGGLILTAHKEGRLHHCVDENSVHL QYTTTGWIMYVQVPFSLLLGAHPVLYDGSPFFPDARVLLNLVGDLKITHLGISPRYLE ELQREKIIPREMLDLSHLKVVVSTGMVLPEALFEWFYDVGFPAHTHLGNISGGTDIAA CFTMQNPLMPLYAGGCQHRGLGMDVQAFDPEGKPLPDGEAGELVCVSAFPTMPIGFFG PGGQEKYFNSYFARFPSVWTHGDFIQVHPHTKQVTFLGRSDGVLNPSGVRFGSAEIYN VLNAHFADRIADSICVGQRRPQDSNERVLLFVLMKEGHKFTKSLVRDIKQAIRTDLSP RHVPAFVFETPEIPTTVNMKKVELPVKQIVSGLKITPSGTLANPQSLDYYYQFADDKR LNAEIDAKL
SAPIO_CDS2263	MDREAAARSLLMTGRARHACVRCKRQKLKVYREHLGSATASGHL DALPDSPPDRVQPTNRPKNAVPIAELIGVELPPVPIIECLLETYIGSIHWYVTLFHEP TLRARLRSLLASGRANADDFSFLMLIVIILGMAARYVSPQQMKQHGVEFNALALEAKL VAAAEQQLLQVLEDPTSMAVSFLDLLASHYLFNRKVRRAFVIMGVAMRAAQAMDLHNE PAWGDIPAVERECRRRLWWTLYTSEKFVAQSYGRPAVIQDIDVEVSFADMDDDSYTPM PVTSKEIFENGTQKFVTASSYHRYKARLYRIAAPITRVMRKARTVAEITNQIRTVHAQ MLEFKRVLPMDLRLETYEESNLDYNKKGLRSILHLQSLALRISYDNIQMLLHRPLISI KDLEKQSTDTSSATLGRNGGSGGSGGGGRGGVGGGQQSGDLSSEISEDMIAASRRQCW QSALQLSHLSRHRGALEFLKNTPLGAHIGMNCFTAGVMLAIFALSKPFTTQAQEAKQA LGRLIRIGTWAQLSIASFNQSTGILKDLLRLIMEKELKALTEPNEHETFSSQSQQQQR RPIGEDVSRAGDNNSDAARVPTENSINPHHVEARVALIHHVDAVQQGIQPQPGAFGGG SGQQYASDPFGGFVDWYGSSANEGFDAALSSLQNAFLGNASSSVLDLEYPDWQLMLDE PPTPRGTGQIVGDCQDVSGNSAGTWHDHEVEQDHIQLDPPHPQTRPHPLPHEGQM
SAPIO_CDS2265	MASTAEEAGAAAGPSPRSPTKSPSKSPPLNPAASPDIEVDPGHE GADDDGDSLLGGSVASSTTSLSASILEFRKIHGRTFHNFNTDTEYWGPNDERQNEHLD INHQMLLVAMDNKLYHAPIGDDPQRVIDIGTGTGIWAIDFADQFPSAEVTGTDISPTQ PLWVPPNCKFELDDAQLTWTYPDNHFDYIHMRLMMGSIKDWPALYKEVYRCLKPGGWF EHQDYDPRVVSDDGTVGSDSPWNQWGKIFIEAGEKLGRTFSVIIDRNNYGWMKDAGFE NVQEKRIKLPLGSWPADPKWKTIGQYNLIATEQGLEGFALYVLTNVHGWGLEETQVYL ASVRKELHNRKNHAYYETASVFGQKPKETGEQ
SAPIO_CDS2266	MEQQSQYQYHYSVHGGQMAPMATHAFSSGRWWSKMSLRALSIVF NVAIVGFSAYYYTKWTVGALLMLGPPAAASFLWDWVDAICLCVRRGQYGVHPAACLIV DTLLFFGLAIMSSVIAHTVSKFSDSGWYFALFKDEQGKEYLQIAAGFGFVNAVVHLVI LIMAISELKSRPASTPRIIYVPVDSNGPVNQQTQMAPWSEQAPPAYSPPADQQASSSQ FQDQIEVLQPATIPAALEKKP
SAPIO_CDS2267	MVEPEQPQGRIAADEDVNSAIDMPIESTASLRSSILKYHEENGR TYHALSSGPTDILKGEQERLDIVHHILLLTFDGKLCLSPKNENAKSVLDMGTGTGAWA IDFADAHPEARVIGVDLSPIQPTFTPPNCAFEVDDLEKEWTWGPGRFDLIFCRSLAGS FASWPKIMQKAFNHLEPGGYFEIHDNLFPVLCDDGTLPVDSALVRWCNLMVEGAAKLG RSLNEAPKYAGWLSEAGFENVTTKLYKWPINRWPKDPKFKELGAWTLTAMDGGLEGLC LAVFTRALGWMQDETLAFCSAVRQDLRNPKYHGYWQIYVTYGKKPETEAS
SAPIO_CDS2273	MADNPDHGPEIAVDTFDGDSAVSVPVSDGLTSLRSSVLQYQFEN GRTYHSLSSGKYAFPNDDSESERLEGQHYMWLLTLEGALTLCPYAEKGAKRVLDLGTG TGCWAIEYADAHPESEITGVDLSPIQPSLIPPNCSFEVDDLEKEWTWPKPFDFLFSRV MAACFSDYQSYIDKAYNALEPGGWFEMQDIVIPYRSDDGTLDPDSALGRLGGLFRDAS AALNRPMDVATKYKEMMEKAGFQKLVQREFKWPLNTWPKDKRYKEIGAWTFQNYDLGL EGLTLGLFTRALGWSREETLTYCAEVRKQLRDRRVHAYLPVLVVYGQKPEN
SAPIO_CDS2275	MDLSKIPIHGKLDRSHELYIVQIIFLSVAAVCVLIRGYIKCIVI RANLLDDYLIYGAMLAYAVYAAIIIDGSYNGATGKHPSLGIKPEQAARSLRAWYLCMV LYPFLALTIRASVCVLLFRLTPKRWHRLVIWINLVSSALFSTAFFFVLVFQCSPPRYF WRQVYGEKGYCHKKLIVTYGTTVYSVLSALSDWCLGLLPIAILWSVQINRRTKAAVAG LLGLGMIAGVVLIVRTKYVTRLEPGVLFLYESIDVAIWSLMEPSLGITAACVATFRPL FKGCGFGLSTRRRGLTTQYQVPRPRDTFGAINPRAAGFGSTFGAITMKTDHDGGSERE LAILKTVQIDVTPASDGPHKSLSLSRSQSTFGRDSNELPGRALSYDGTYQMEQEDMKV YNNNRTSV
SAPIO_CDS2277	MSAPQGSKQLLLHQELYQSLKPNQTRVLRIHPSTDAESQIECDL VIVTLAQKMGATSADGTTIIPYEALSYTWGGPGAPKSITLNSISYPVSKNLHDALRHI RLPNKERYLWADACCINQEDIEEKEIQVAMMFNIYLKASQTVIWFGLPTPEDTKVFKL IGSINSMSAYERSEACRDPETRAAARNFIETKPWFQRTWVRQEAHASRKIVPMCGPHS CSYKAFERTMDELMPDMADPLNSFDPHRDPSEPVHKAKMIYALFKRDCDTYHFPEKQM EDMWFHLIMRSTLYDSTLPHDKVYAVLGIVAKMTKRGGQRWHVDVLDSTETFPGIDYS KSVSIVFQDFTKHAINTSGHLGCLSIFQDREKVMGGDDNLPSWAIDLRRNAPRFKVPL EMYSLSRSLKTSLIDQNYDDHGRLRLWGRRLGTVSSVDSPWKDGLQREVSWRSRSGGL ESCFSTNDRWFPKEGNKGMEETFKILEKLCSYVWVEVAPTPRRLYAELSRDGFEEFSE ERHRCFAFASHQVWESDLIVHLFGADVPFLLRYVQDNEYIFLGPVVLVLGRIKKLKDR DMFRYSMADHDPRGSSEFYVLVYPSKLSSENIPPAQFRPDDPYSMRNTANKNSALLSF KTLQYFDQFVMAHAVFVCGATGTQGGAVTRHLRQASIPVHALVRDPSKASQLRDIGVT IFQGSYDDDKALRDAIKGCKSAFLNFAPSFTDLAEELRHAKAVLTASRDAGVKHIVYS SSFGLDEEKVTRPGLDPDGIVAKVYRIKKDIIREVAGFDSYTILRPAKFMTDLIGPRA AWFGDLRRTGIFETALRRGEASPYVDPDDIGAFASAALLDLKKFAGHRVDVFTAMLTP EELVSMLAKTTEKKMSVRYLSDDELEERKKTNLFLEAQITMRDTSAMADMDFVRSWGV PLGSFQAFLEREKKDLYETYVQLSPGP
SAPIO_CDS2279	MSASDFGRFLHSQLFVKLPSPTESYAGKTVIVTGSSSGLGKEAA RHYARLGVGKLVLAVRNLEKGAAVKDDIETTTQCAKGVIEVWKLDMASYESVKNFANK VNDELEHLDIVIANAGLNPTKYVLVEEDDSAITVNLVSTFLLMGLLLPKLKATAAKTG TRPTFTIVSSEAHRTIKFDLASVPEGKIFSTLNNKERVDKDGQDQYGISKLMQIITVN PGLCHSEMTDSLDSRGFRMMIAILARSTEEGSRALVHAGAQGAESHGKYLSECKVKDP SSFLLTEQGMLVQGRVWVELERKLEGIWPGIRNNF
SAPIO_CDS2283	MTLGRLNGKVAIITGAASGFGKGIAIKFVQEGCRVIIADLSQEA GTAVAAELGCSFVRADVTKRSDWEELLAQAVKQFGGLDIVVNNAGATYTNKPTEEVTE KEFDLVMNVNVKSVYLSAAVIVPYLMRESRPGCFVQVASTAAIRPRPKLTWYNASKAA VVNATKTLAVEYGPNQIRFNAVSPVVGSTGMTHLFLGKADTAENREAFVATIPLGRPS TPADVANACCYLASDEAAFITGTNIEVDGGRCV
SAPIO_CDS2284	MRFLHGGSQLLDQSTTDTDNTPMSYSHDTNASSTPPTSDMSPGA YGSDFATSSAADTFANMDPSLGPGMIESDEYHSPVFSVFSAGNNILEAGDGGMFDPSL GTDNGGGNHFDFRGSAFDWLDFDVSDVALAMDGINVGVGVGVDVGVGVGVPGNAPTSG PVFQELLPGSEPMPPVSDAPPPPPQLLGPAPPAPPHIPVPAPAPSLPPLPRPSVLPWP FEQGQEAPSDRYPLPPLRDVLQNSVRLNSSSGGAKTTELEGLFRLLSNQHLPGPEELV DSNMSMGLDLLQRLLNVYFSDFQIIQPIIHLPTWSIPTSPPVLLAAMACIGAVLSSEP NAAELAATISDFCLPMITWLGVTDTANYSNPLYLAALCLHQIYSLGSGNRQLYQNADR TRGVLIGSLRGLGLLTSRPNPNSQEDSDVHRPPSTDLAILQAEWLAWAAQERDVRIAW ASFEYDCSLCTLTSRRGAVDLSELPSRLPCIDSVWEAPSASAWAALKSRLPPSALGAP LSGVISAAIAGKPPPRHVSVWGKRLCGQAVGRLLWDLKQLEAIATNECFGVPSLFTSH QQSKLSLLRGLDCMAESMNHPISTADLVSYNISSLLCHYSHLYTAEDTMDTVLYIVRN VVSRGSRPNKGLEVARCRLVSALTKDPHRARRLVWHAGQIVAVANEYLVAAPCEIMRL FMSYIFITAFAKYCPRPHRSSRGGTAATTTTARIVRLDIPNHDSNQSQAVSEWIQRGG PARIGSAENIYSDGATLAITQDAQSMLQRLQSWGLAEKFSKILQVFEINDGDEQSKRG E
SAPIO_CDS2286	MVSKTKSEHRRSRTTPYNRLVTVAVAFGSFTYGYCSAIIGSTIG QPGWYQYFNLPMQGEEGYGSKTAQAIATANGLYSAGGAVGSLFIMWAATALGRKVSIQ IGAACAVLGGALQGGAANLAMFQVGRVISGLGIGILVTACPMYLSELSPPDKRGWLVG HHAIFLVFGYMLSGWLGYACYFATDKNASFAWRFPLCVQVLSPLVLLITSIWIPRSPR WLLQKGLTEQAWNVLRDLRRSPEDPDDLVAKEELYQVKMQIALDSAKLKAINCTPWTA VLKKKSYRKRMLIGFLTQWGAEFAGPLVINNYSVILYTNLGQTGSMPLLLSALWLTTA GVIYNPLGAWLHDKVNSRRWMFLVGLFGCLFTTAGLVGCIAQYGGTTNKAGNAAGVFF VFLYLAFQGTGCDTTMYIYVSEIFPTEIRPIGMGFSLFGQFAATIILLQTAPIGIDQV GWKYYLVIIAWCIVFIPIVYFYFPETARLSLEEISARFGDDVAVHVNDVSEAQRKELD DFLRNQDVAHMSADEKAVVKTTEDTAQSDKN
SAPIO_CDS2287	MSKTGLTTIPFTLADDSLAQFDSFVNGKPVTAQSGKRFDVVDPG SGHIWTSCPDSTDVDVNGAVESSYEAFKIYSKWTPRQRAECLSRWHHLIVAARDDLAK ILVYETGKPLAEAYGEIDYATTFTWWFIGEAERIQGSTITSAVAGRRGFTIKQPVGVA AALVPWNFPIALTLRKASAALAAGCTMIVKPSPETPVSAISLAHLAIRAGFPPGALNV LTTSLENTPVVAESLCLHPLVKKVTFTGSTRVGKIISGLCARNLKKCTLELGGNCPFI VFDDANLDHALGQLTALKWRHAGQACVSANRVYIQRGIYDKFIADLIQKTSILKIGHG ISEGTTLGPVTTERGLDKAEELVQDALTKGAKMVLGTGQRLKSSAGSESPILTGYFME PTILVDVTDDMLMSREEIFAPVNVDRLWRMFEKLEAGMVGLNTGNNSAAETPFGGIKE SGSGKESGKDVAVDEFLITKSGTLTVEEQS
SAPIO_CDS2288	MDIQPSKRPLAFNPPLCPRKRIHVSPEAVHIPNPSPASTDPEFG LLGDGISWPGTTSTGTGLQDVDMGHGNFQSLPFADPFPMDIDPVLAKRVSHTAQICYG ALCDVYASFNSLKSSKSLGLPQDGTRYTQFRIVPHNNSFGLSSGKDTPFAMIDTNTCR ALLYLHSRQGFRATAVVERTKLKQPGVKDRPRSIFPLSINIYGRQGDADEVGDNFSDM AVFLQHPFFLEPGYEYFNPQYFHIGREMKCMTHLVGSTETETIAKRISNEVERVLDSL EDPDTPLDPQPDGLNTTLKRGQPFPSYAGEKITMLVKTPAETFAALLEPQVLDIWERE ISIHTEPGILKTCVFHGPDRPQSPEGVVKHDMVLTTYATLAADYKARRVLQEIEWHRV VLDEAHWIRNQGSTQFRAAASLRTGRRWCLTGTPIQNRLEDMLSLLKFLRFEPFSQPL YEKASIELEEGERREYDKIMARCARDIDDVVSTRDKNKKYRILFTAIMKLRRFCNHGT LSSPLLAQPTPSEATDGIDCSVCAINDEDDLALLRQNEICAECGRSVLRAPRSAKSRR VRDASAMASAATVDAGWSTPGGPHPALGGVSTKLSTVVTRLEGLPVGSKSLVFSYWTS TLDILEGILRERKTGFLRIDGRVSYNERLKTLDLFSNSPNISVLLMSIGTGAVGLTLT AANYVHLIEPQWNPAVEKQAIARAVRMGQTRKVTVIRYIAMKTVEENILALQEKKSAL AKFTLDSGPEEGESQKLADLRFILDCHSGV
SAPIO_CDS2289	MDSSFENALIKFRASLTERQARDFATCTREDVAKAIEDIQVRLG SERRLRNMRKIAKFIEAMSELGKVVEVFLNVANAVAFVWGPIKFVLVAANEKLSVVIS EVGEFRESIEGKLEALSVQMKRLQLHEKEQETLELQEQRRHRLEFILSKFNVADYQSD LKRSRDERLYRSSSGAWIFGHRTFKEWADLKSPRHSVLFMSGIPGAGKTVLVSRVVQH LRQLRSDNSTQGPDFSVINFYFRSQLVHQDSVVLEQTYQRCLALNQQQIHSSDTIRDL ASVALNSQKMCFVIIDGLDECRSDSSRSPSEEQEEQEDVINWFRDVMAGQDPEGSQTC IRLFVSGRRNGVLEEHLSSSPFIQLEAAAEHSQDIETYAERRSVEIYPGMFLYAKIVL GNLFAQISASKFERELKAEHFPKGLDEAYKRVAVRVFENPEEQERIAAESILSLVICA QRPLMWREIQSIFCINIDAEIADADEQLSVSCKHLCDCLVDIGRSRVSELGPDDTVEL VHHTAKQYLVESGRLCVARENARMALFCSRYLSSSPFALGLSTSEITKHAMTGYYGFQ DYAAAFWWKHARLVIDKAAEIGKDLYHLTLQAVAEAMTEYGDCKDRLSPDRNRCLTDA VQAILMGSATDAREWESNFKIELRTREIRNGIETLLGERGSAETSDSVLMLAVKTGID IFSSMIGRFDVLWKAAMGMKSDSSHGLTWMNILKDSI
SAPIO_CDS2290	MSVFFHYLNETGGYINYGPEGLDRFDRLVSRCEDLGLKLVLPLF NYWPDYGGAPLYIATYSKTWTEQWIDLPKAQEVYRDYVKVIVERYKNSPAVFSWQLGN EPRCEGCGEEGMGRLVDWARNVSSYIKELDPDHMVSMGDEGWLTPREREEGYGDRGHG YDGINGVDWVSIMGIETLDYGTVHLWPDHWAYPYEWGVQWIEDHDKLAAQFNKPIILE EYGAAKKNDHIEPLLPWQDALVKSGFAADQTWQFEPDGTNFTDFPDPQFAIHYGSEEW LVLAQNHSLRIDAKTKALGIENGEMVKLDFGQFI
SAPIO_CDS2292	MTTPQRLHFVQRLQATMAIGYSAMGAWCLLHPSSVLSLSLTPAY AVHNATTDLLTRCFGAQAMTAGLLLGTAPMNERSFTCFGLAMIPYVAFNVWYGVGPGR GVFTKWLFLDFVGNVVFGLGSAYCAKVLREYRQEKKGMD
SAPIO_CDS2295	MAEVIGVTSGLITLVGFSFKASESLLEAIRSFRTQQDAVRQLGE ELEAFRGVLASLRDALSDSKIDLPALELPILRCGKACQEFEELVTKCVAASKGSRMGI RSWMKLRYLGGDIDNFRRMLAGYKATISIALGEATLRSATTVSRHVVTEYKDLIEATT ENLEVHLEDIDDRLQMLSANPTATSEDDVELQQAQEERESTKRCLEICVTVFAQLEKI QLQIPRGSDSSSGSSSHGGGGVSARAGEITLTALERCRNTMVEAKVQLENHFNFLSNQ LQSLASQERDKSEEIEFQRAQDEMASIKQCLTICARAAEEAAKHRVNTVEDVSAAEDS HQVVVATLGDLISMRKVKAGVRAEQWLGQMSDKSLQRLSADRPSSAASSARMTDEPHE SSATDIKFVGRYGTGRPLATPKRPDA
SAPIO_CDS2296	MVVRISFSGVRIVFSSALLFGFGAFVRGYLRHSELAKALTACEN QLPGDGAATYPQDAIPGGRNVETPYGTIRVYEWGPEDGEKVLLLHGVSAPALTVSGLA DELALRGFRVLMFDLFGRGYSENPAGHDHDIRLYTTQILLALASSPLPWLGSESFHLI GYSFGGGLSAAFARFFPATIKSLTLIAPGGLLRQTTTDWKQRLLYSRGLFPQRLLQYI VRRRLAPPSDPSRPKSSNLSEPSITVPPMRGGHDTTGGDSFDGAGISRFLPTVTVEQT MAWQVSQHRGFVPAFMSSMRHGPIFEQYELWSALAGKLRSRKLESEQGRVSPLRGGKV LFVLGQLDPVIKEEELRADANVVLGDDLVEYLVMNAGHELVMTKVHEITTSLVGFWNG QGI
SAPIO_CDS2298	MAQIEPWRGGDGRDGTTQIRNQALNIMTYCGISGHLTNDHASSE TYQLAMYLIDQYRGAYNASLVAILALCRLHKTTITGLLNGLALIAFSSRLDTTVAAAF QSSTIMDHRRNLPPAPSDAPWVRSDRVVGNYVTPCLHKYETELVARIRSRLPLADDAS EGRDLSADLQRELWAVSAQNRQEIVDKLEAGLRNDLVGLFKYVTDWQQTMSNMAKKTR QFTWLVTNIGVLEGNTSIRLSDTTTTTRSLTGGDGKSDDNAQRWSIDRAQFGLSAEIP AAAIEFAPVSVAGKGMCVSANWADCAVDETFGENIMADLERWLAQLARL
SAPIO_CDS2299	MLVHYQYFTGNLQPASISLSMAIRLLYAFEAHKGPNSAGTSGDQ SSYDKNKLKFHLRDLFWYLYSIDKDISLRTGQPPTINDDDCDLTLPENFAREQDVNLQ RTSISIDKRTLPLFPWDPRLSKIKSEAYSMLYSSKALRKSDSDILSSIRSLDDAIEQW RMSLHPDFRPTLCFSDGMRISAQPNTQSTMLRLAYYHCITVIHQACGRWTLSSPPPES QLDVISSCIAIAVNASLSTLSYLKVALPVIEGECFWVVHFYVITASVTVFHNLIWVPL NPEAERHLDILRQVPQLLRQIPVRKLTLGEVTHLRFLDELIAELARLAGCAISTARQC EGTEGNLTEQYKYFYAPPN
SAPIO_CDS2300	MSSLRFAKRFSSSSRLINFLQFSAATSLAGLGAWKLWSRKCFFE PFSPETDALFHSEYYRKFNPGKHPSLDDSCVRKVALSKIQPELVEDALSGGSKLLEKF CGGLWGGYGYAIQRKILNRLWKNESNSSMLWEKGELLNNSYEKGTVVTNHFVVLEKSP RSIIMRGGPSPTMEPGNPQEKENLAEITVDIDANTGQAEFRLKNIFFNAVEYSTKPMF PGPVVWLHFQYCKLLVEAGVSHCIS
SAPIO_CDS2301	MSSIPQDLIDPKQIALFDAIDRVSGFQGIDPPELVVIGDQSNGK SSVLEAIARFQFPVHDGLCTRFPIKLILRRSKEERTYISITPEPSRTVEEKELREFAR QIPPHDDLKAWIERAATVMNVPSTVKKFSDDVLVIKKYGPDLPCLSLLDLPGLFRVET ENQDRASRAQVEAMVQRYIKSQRNIVLLVVSAQSPFNTHTAPETVQKLLRDDPGLAER VVGVLTCPDMAQSPDEAISLLKGQVYSELSKHPWVTVKNQTNDERESRETLEERDLKE EMFFCEGRWQSVPQAQKGIKALRTTLKEVLWTHTRHELRGLIDEVRREIAKSENAIAG FGQPRDTREAQRAYLSNIVGKFQKLVREGVDGSYRNEDCKKSHLTQESCPDCTPFFPR FGDNSPESQERRLCSRVRALCRAFAIAMRRFGKTKIVVYDAEGGGAIDGASNDEELGE RSLLSPDTIKEFYTHEEPSRTDPRSYERYVDDQIEQWRGGEPRGESSHRVYTGLFEEQ SDKWLNIATKHLVATWKSVRRFVELALAAACSDSELRDSLKKHTIDPQLKELEQEATK DMKNLLHCHDHGMSGFYDSFIDFQRIQPHTRDFAGRLAHSLLDGYETAAAGKSGGFDS WYQTIGEALLNTILPKNGLFINDVVRGELVGMVRGTLAQLADTSSNTSPMAEGAAIKL AAQVGSTASKRVIEHVETYYEISMLAFVGYVNALVIGKGILQKLPDTILTHELVDGLD AETLKYIAGETTDVTEKREKEKQNLEVLKGVLDTLTAFHG
SAPIO_CDS2302	MSSPETLPEASPAEVAAPILGDQGNDAIEVDTADADSVYASSAI TDTTSLRSSILNYKWENGRRYHAYQDGAYWAPNDERQQEAEDLVHEMYRIVLDGKLYE APLEKNIQRALDVGCGTGVWAIEFADEHPSAEVIGVDLSPIQPPFVPSNCKFEIDDIT KEWTYPENHFDFIHIRTMTGCVPDWFKFHEKVLKHLKPGGWVEQVELSTIARSDDETV KPDSAQRKWAEVFGKFGEVTGKSFAISETAGEVIKEAGFVNVKKRTLKMPIGTWPKNK DLKRWGAWNRQFILQGLEGFSIRGLTEHLGWSYEEAQLYLVKLRGELTNPAVHSYNEM IVVTGQKPTPT
SAPIO_CDS2303	MVSNFVYPRLDRFRNEIRLVQLLLALDRESPIACNLVHASIDDD TIRYEALSYTWGNNANPRDILLDGNPISVTPNLHTALQALRLPIHTRTLWINAVCINQ KDVQERSHEVLRMRVIYERATKVVIWLGEAVPDSSLAIRHLEQLSQEFEYLVAKGVAA RARRSLISLSDSLLHLLKFLLIILLVRPAVFVFLRAVAVGILEEPRWRLTSPLWILGS TLAQTCSLCLRVWVNIQVVEERWVEPDSQTVEALAEFFGRSWFSRVWVVQEIAMSRDA IVMLGPHTIPWTRLKGAYYRLRMRVSLSMCGTVYAQTRFQRLTELMHVIFRRHHLSSS LPGVRVLDLLCDLSYLEATDDRDKVYGLLGLADDLRDFDKLQGHLFEPSYDESVDKTY TRLAWFIIRASARLDVLHCVGDLDSSAGLPSWTPDWRDSTLRCGRKLSLIPTRIMDFF LRVGVVNLIRLARRFSRNKPYFDAILESLEIDLNRRPEGPEEEYSYFPINLAETTNSF DRRETASKMFRWDPQPWLESRSDPHRHGNIFLTDWCNVYSASARERDVVCMLHGSPIP TLLRWMGDDTFKVVGPCSLTNMDNMLPKWCQREYDKGRLRTMDFILR
SAPIO_CDS2305	MSAPESVRDTRGFTGQTDYYWGPPGVGFTNGQIKRLVQHLREIA QDDHSQRITLKSLDATHYEMFVLAMKHLLATESALGAFAQIIDGLPIGDISMRSENKE LVQAYRRAPEGSGTFNIRLIELVAAGNPQLGAQAFKMGFKLHQGDVDSIVNWADGTPG VNPPPTLFRHLEYVDYYVYPEGAADMVGYWTEDRMLGGVIVFDRRAESAGEIPNVYIH SSRKRVTYRVF
SAPIO_CDS2306	MSTSTNDAVKGGEQPAKPPTRFATNPPTDDATDGGALADDRLRS YFASYSAGTPSSRGQEPGPGPSPDIAELEADMAAFRDIANAPAGDLANPEV
SAPIO_CDS2307	MSLKLPLIGLVASLLLGEAVGQTEEHPLLPTWKCTTSGGCVQQN TSVVLDRDSKFARGTAGSRTAADYAAMGVSTSGDALTMHHYVKTDSGALNAASPRVYL LGEDGKYAMMKLLDQELSVDVDLSALPCGENGAFYLSEMEPDGRGGAGAGNGYCDAQC QGYCCSEMDILESNSMATAMTPHPCKGDNCDKAGCGYNPYASGQRDYWGPGKTVDTSK PFTAITQFSASGGRLSQVTRTYIQNGRQIGGGGTISNCGSEGATGGLAGMGEALGRGM VLAMSIWNDPVQQMAWLDSGANGPCGGGQGSPSNIQSQHPDTHVVFSNIRWGDIGSTT KAVQSLTDPSIGVPPESINMSGAEVLGVISSIIAIVDGTKKLYDAASNAAGLPEAFRE VAGRLPIVRDILRSAEQPIREGKLDAASCKAIKPLIARCEERAKMLDTIFQRVIPAEE ASRLSRYVSAVKTLNKGGKVETLMKGLLDDVLLLASRHGLESATVHQIDQTTKAIQDV STIKPSIPDSEFQESLVTNNNFGNGPMTNNYVLGNQKFQANYGTGKQFQAETQTFNMD LFYWRSHLTAAGVLDQLEFSGMNERYDDIAEAHKQTFRWIYERRELKFVDWLKAGKGI YWITGKAGCGKSTLMKLLFEDNRTLESLPKDIENTSLSSFFFHDRGQNPLLKSQEGLY RAILYSILSEYRQLIPITLPRRWEAMRRELKDLLTKDPITTKQIVDEILQKAEGVFLW VTLVVRSLVESLENGDDMKTLQKRLSVLPAGLEPLYRRMVEQIDPFYHTHAAQIFRMV QSTAQPLSPLAMSFAEDNPRDALLEGGDIFSVKEIADRHSKVAKRLKARTAGLTEISP LKRKSHGSNWLTNNKGDPPSESISRKIEQISWEPSRIQYLHLTVKEFLRSDSVPTWLA TQISQPDADAHLRIVACCLRQFRATGSFHLYDYSEINRYDSSDSMAYCHATRDGIVFM IMFHALEVERITKASQLPYLEALDTLVMAHKPPCPDRISGYDEYGNEIRESRQWHWTL DRYADWVEPREWLSDYVSYLITIGMTRSVIDKFNRGYNPASKPGRPLLHYATCSLAPG YAVTDFERDTLDPAMVEELLKRKTWTVWEAVLVEICARFSGDWANTEAMYDLRLRWLK TIKLFLDYGADPAQFVIDDYNGPRGWERRYPEARVSALLILNRTFEDFDDPLVESIRN LMISKGATEVDEQDPQSDPRSGNL
SAPIO_CDS2308	MDANPSNTLGELETRSSRQRVTRQHTLERVRNNQRRHRARRRDY IAELEKKLGEAERHATLLQQRVQALEAELSQYRDRSTQFTSAISQFSWTDSGENCPQS LMTTARNRHLDDTQQQPEVFILSEPDGATPPDLLQTTIPLHLTDESNAQEFRWDTSIL WPENIANEPTMPCAEAYLLITQQNYRGFSEEGIAALIQHGFRKSSLPGEGCHVRTDVL FSLLVLISEA
SAPIO_CDS2310	MKKQIRSDLIDFGSNPRRRDTLLHGLGQARAHAQGQALVPRSPP RLDPAHAPRLLYPDLRRDGAGILSRDEAAEYVRCRADRLWHAHVFNHGEHHFRLDVYG LSIDPSASDEERAARCVGHQKKEVAVRLATGRTDIYVPRYWDEDEWHWGRTGFLRGVI VVAEPDDEKWNDGDGGCCRFGGIGPAPEP
SAPIO_CDS2311	MLPHEAAFIFGTSLSGSPATTEDDVFELDGAGLDESGVDLPSPS KVLPFQYEDCGLEDMITMVSTLIEDTILHNDDGATNTCYGQEFPSEPTSLASPPMLG
SAPIO_CDS2313	MFGRLADKTVDSLLLVLLDRRRRRRAEVPHSSEAFRAKNPTCHS ESPAHEAIGRENLTCEATAAGPWEGL
SAPIO_CDS2314	MASLKRIFMGGLPPADFVAPHGPSRKGGSRPLLPPPTDTDDVPR ATHPWEAYDNLLAIWSAVLALDATCLTVIPLGTHRLHMFSYECARDLTYFPYVSPNPR SLRTFADEGECSGTVERKIASVTNTTAPFERKVRQEARYMIDYKTLEQMHPTDPDDPK GHTQGKRDDLGPGA
SAPIO_CDS2315	MDDKQTLPYDTKINVAKEDVEQVENGGHEQDLHDAKFEKRVIRK IDWRLLPILGCLYTIALVDRSNVAVARISGMDEDLGLDQGSRASICLMVFFIGYILFE IPSCVYLVSSWYVRYEVQKRMAGFFLTASALSAFANILAYGLIQISNHHPYKGWRWIF IIEGAITVVAGIGSWFIIVDFPDSDSNTFLTAEERAFVKARLIADRGPEEREKVTMKV FLKTAADWKPWAFSLMYMAGAVGVYAFLFFLPIILRGGLGYSLELSFILSTPPQLFSV VEAMSISWLADKVKLRGPFIVFQGLIGIIGLCMTGFIDQPTPRYIGTFLGVAGANGLV VTTLAWQANNIVGDSRRAISTGRQHQRYRWNLLKHGIS
SAPIO_CDS2316	MEDKNSCQPNSIFELALTLMSSTSDNGERVDLAEYKANVIFFYG IGTFGNRKHERVTTMPRVACKECRERKLKCIVPITGQDCHRCSHRNVPCSLNPRRPRP PRTSPIVSVLEASAYSVEPALPAPDSNGGQHMIQAPLSRAAVVGGTVPLASYHSALDV GSGSAAELLREGELTQSLLLLYFDNFGDIHFMFDQISLLRQYALGTVPNVILLSMMAL GVRYSHAPFRDPSMRMHWGEPLFQEARQLLKDGFDDGSLTMAQAYVLQATYHLTFGGT RKAWIYLNNARILLSLLRLPAGLPEDESDPLQAEMARRLVATVALMDHLFLPFLDIER PMIRLKPLPQLFNYDEFDALRTRSHNASPASAGPNLMQEILVLSDTFFNACRNCKTQG YPQEERWSSVVSSLATWRERLPGELEDSDANLETHRLKFTLRPFVFLHMLYHHTWQLV LLDQLEWTTEVLRDGTSPSHPQVLVLYDHAAWVADMTCRLWEVARLDLHNSCFGLIVI ITQTILVHRLLSSSELEEKIATQSKMRSLRDCMIRVKDHCRLFNWVFHQSEWLLRVCG QEGFWKNDKWTTVLNDQLRTLGTRFERLNLQTAGRRGDLESLADAQSQADHFQNALPR ILREGFISPAYGE
SAPIO_CDS2317	MGAVERPSKDDGVVEKAELSRTSSEIRPHDLDARVQQLAKEAPP FYRNANLRKLYILMIPGCLVPALTLGFDASMMNGLQAVGSWQEYFDNPRGALLGLLTA ILMLGAIVATPFISMVGDRWGRRIGIIVGSTIMAIGGILQGVAVNIAMFLVSRFVIGF GLVFCNTYAPILIGELAHPKDRQVVTSLYQTTFYAGAILAAWVTFGTFAMPNEWAWRI PSLLQVAPAFVQIVGVWFLPESPRWLIAVGRAAQARSILVKYHANGDENSELVTLAFQ EIKTVIEADMANKTSWSAFWSSKGNRRRAVLVVMLGLFSQWSGNGLVSYYLARVLDTV GITDNRDKNIINGCLMIWNWFTAIGSAFLTAKLRRRTQFMISTAGMLAVFASQTLCAG LFNESDNVSAGHGVVAMLFVFYTFYNLAYNALLYSYPVEVLPYHIRAKGFSILMFAGK SANFVNTLLNPIGLEALGWKYYFVYVGWLCVETACVYFLFVETKGPSLEAIAERFDGL K
SAPIO_CDS2318	MPLTRAFGEEPMTYGTDNTLHTLLGKLTLEEKVSLLSAVDWWRT PTIQRGGVFVPHIKTTDGPNGARGGSYVSGIKAACFPCGTSLGASFDREQLYRTGKEI ALEAKSKSANVLLAPTLNVIRDPRGGRNYETYSEDPLVLGVLAAAFINGCQSEGIAAT PKHYVANDTENKRTVLTVEIDEQSLREIYMLPFQLGMKLSDPWCLMTSYNRINGTYVS DSDRLVNGVLRKEWGFKGLVISDWMGVYSTTESINAGVDVEMPGPTDWRADKLVQAVK DGLVSASTIDESALRVLQLASRLGRFENPEEPPEQEAENEERDAFIRGAGAQGMVLLK NEGDVLPLSKGTSVAVIGHHATHASLGGGGSARVDAIRSVSPAEGFREAGFTTTVAPG IPVYGALPHADATLLFDSATKTQCAQPVQIEWFNGSRIGEGLVHKERKPLPEYMIKEK WPEYLSREYCSRITYDVRPTVSGEHVLSIISTGPAVCYVDNCEIYRQQQATDLRPESF YFFKSKIERRVRYRMEAGRQYTLVLESWNTDPEILNAPPLCGKMFQGSALRFQEFVDA QQRIEEAVNAAKGADVAVVCVGTTSEIESEGFDRESIALTPGQADQVLAVAAANPRTV LVNFSGAPVDLSALVDKVPAIVQAWFPGQECGHSLVLALGGDVGPAGRLPFSWPRREE DSSSWGNFPCDNENVIRYEEGLSVGYRYYDRPGSPAPLFPFGFGLSYTTFELSGLHST KDTFRWVHDRAAVAVDVHNVGQRLGSAVVQFYVEMPEEEDGVGSGKALGRGRPLKELK DFQKSHNILPGESRRITASLDKYAFSIYNAHEARWQVRPGMYKVHAGFSSEDLRGFTN VTVPTTMFWDGV
SAPIO_CDS2319	MAVITVLSLPPEILSQIFSNLNPFDLASVAKTFNRQFYHAALQV LKPSIPWMQNAQRMCSLFSTSREGLLMPSFPGHIGTFSPDWTHRNVDIPTGDCERFGL NPAKSPYVRCSPPDLRSWMKLDGTFDWLEPLDEKTAKQMGPHTGPVGARPVAPDWKVD DFIKQTERLGLTLPQGFDTFLRSEHLHYRIPSSSAWYFYLDGIIECPSCIDGGAGGYL VRFYFDQQYCAFSHLYMNKSGNHCILYTDIDLYSFNPAAWGDEDSEQSNRVSLEEMIR RDYGEDHDVRDEIPIVGVTFEEYLASVYFEELLNFRAPPSKALREYVAHVYRPAAEVK PMREYNTAIKSFFDEWQRRRKNDIAGVLGDESIGE
SAPIO_CDS2321	MEESLLSFTSAIISILTFAAAIVVWLNQHFHVAIMLDDELVEMT ITVIQSSRESLDYLSLYDRTARFRRKIFAENLGRLYSLELGSMEILLRFLRRSRVPRI FTWEKKRDQLKQNLNLTNHFKIYLNVPPKSRHKRELESELERQPQSKPKKELNNQASS RLKSRSRSPYKNYPTDKRNEAPRVFSKWQICLNPDRRTKAS
SAPIO_CDS2324	MKAALKSSSKLSPTRLEDGDGKDSDMPPKPEQSDLGEAVEIEDA VFGQITREGPNYRNVGWVGTVALMVKQQFGLGVLGIPDVLNTLGMIPGVICILCIACI TTWSCYTVGAFKRRHPEVYGIDDAVGKMLGRIGKEAFAVSLCIYWTFCAGSGMVSTAT GLNAISSHATCTAVFVVVAAIAAFLISSIRTLSRMTWAAWAGVITVCIAVIMVTIAVG IQERPSAAPQTGPWKSDWKLVGNPSFTEAVSAIATTIFSFSGVPGFFPIVAEMRNPAF YNRALFTCQAFVTSMYIAVGCVVYYYCGSYVASPALGSAGKLIKKVAYGIALPGLLVS GVIVYHFASKYIFVRALRGSKHLTSNTFTHWAVWLGSTFGVGVVAYIIASGIPVFGAL VSLIGALFGTLMSFQPLGCMWLYDNWSRGKTRPTPRWYMMVAWSVFVIVSGTFLMVAG TYGSIVGIIDLNKANGGSAAWSCADNSNSS
SAPIO_CDS2326	MAQPIRAYTPWPTSHEKIAEPKPTNNFIGNKFTVSSASSWIDVH DPATNNLVTRVPESTDEELAAAVKSAQDAFPGWKNTSIIRRQQLMFNFTRLIRENKDR LAAAITLEQGKTLADAHGDVLRGLQVAESACGITTQLTGEVLEVAKDMETRSYREPLG VTAAICPFNFPAMIPLWSIPIATVTGNTLILKPSERTPGAAMILAELIKEAGFPDGVV NIIHGTHRAVNFILDEPAIKAISFVGGNTAGEYIYTRGSANGKRVQANLGAKNHAVVL PDCSKASTINSVIGAAFGAAGQRCMALSTLVLVGPETQSWIGDLVEAAKGLRVDGGFE EGADLGPVISPQSKVRIEGLIASATEEGATIALDGRNYALPKYPNGNFIGPTIITGVQ PHMKCYKEEIFGPVLCVLEADSLEAATALVNKNEYGNGVAIFTNSGPKASWFQKNIEA GQVGVNVPIPVPLPMFSFTGNKKSIAGGGVSTFYGKPSLSFYTQTKTVTSLWRRAQEQ EKHATSTSMPTQS
SAPIO_CDS2327	MANRGVSYDPHVHTVSDLKELGSKKLPKMYRDYFNGGAMDLETL RDNEDAYSRYKIRPRILVNVDNVDMSSEIFGVKTAFPLGFSPAAMHKLAHPDGELATS RAAAKMGISMGLSSYATESIENVTAQGAGNPYFMQFCALRDRETTLSILQRAEVAGCK AVFLSVDCPYLGRRLNEYRNNFVLPDGIVWPNLNSDGKSELSGGKITSESSHKHEFDP SLDWETIPWLRKATKLQIWIKGVYTAEDVSLAIKHGLDGVVISNHGGRQLDSVPATLD TLRECAVAAAGRIPIAVDGGIRRGTDIFKALALGATHCFVGRIPIWGLAYNGQAGVEL ALNILMDEFKLAMALAGKLGEFAQFAEGGEEDDGGEVRDYV
SAPIO_CDS2329	MADIAKGLRSQPDRCQMSLQISESIGDRTAMDVLLGLDAECEKR DSEDQVIRDVRIGPFSVFDSRRPITPNSTTTRSTVHSSLTYSVVTRAETAETAEAAET LASFPCSSLSDGARESIEEIPRDPCESQAVGMSLDALVGDLQSGLCDDDDDYYQGGHN GINFGAFDLPFLDFAFPNDQPIQGEQLQAYPTTLGSQGSLRQEVADSRGLSLTSIQPS LSPQRCGNLSGGTSSIPEHAEPLLRFYRERITDPTSPMQARRKSPWQILFLPRALETF AEISLWNEASHTRSTILYALLANSAYQLHHIQRPDYSGPGWLDIAARHQRASKQHLQM ALKAETSGPRQAEYKDLLMAILSVAMVSLYNGAGGFKYFLVDAEHIIRLRGLSMRKKS FKVRILHHMYTYLRIVAESIAVSGEGAGGDASRQEHQNSLATTVPLRRFSITEDTLNT GLDPAFEKSAEVGYNDIHLEIQGRWNKTLYPDLYCIPESLITLLSQVISFANEKSRLE SIARTNASVSDALARHIKALEDSVWSWTLPDGPVGPKRPPTHTRLLTHGDDAFESGGG DGCVLDEPNARSMSLALHRAIIIYLYRRVYSMNAMILQDHVKKTLDYLQPCIEESIDD HDFAMSITWPAFIASCEAVSPELQEQALKTLLAIDKKGSTSFTSKPVSQIVPLVWERR ASSRDVTE
SAPIO_CDS2330	MVWRRASLFSQYRSFSSARCLQHRIKYFGNEPACPEVKTALPGP KAQAATKNLNKVFDTGSLNLLVDYRRSTGNYIVDCDGNVFLDVFAQISSIPLGYNNPR LAKAASSPEMVNAIINRPALGPFPPVDWAEILETGLLRAAPKGLDHIFTATTGSDANE TAYKAAFIWRRTQERNGAPFTEEELASSMENKAPGAPSYSILSFSRGFHGRLFGSLST TRSKAIHKIDIPAFDWPVAPFPRLKYPLDQHAAENEAEERRCLSEVERIIDSFPNPVV AAVVEPIQSEGGDNHASPAFFRGLREITKRKGILLIVDEVQTGVGATGRFWAHEHWAL ETPPDIVTFSKKAQAAGFFFRDPSLRPDKPYRQFNTWMGDPARALLFRSIYDEIKDNN LVEQAARVGNYLYTKLEELARLYPLEIENLRGKDRGTFISWDSPRRDEILRKAKLHGV NMGGSGDRAIRLRPMTGASGYIGGQVLAGLVRSEANFTISALLRDQDKANIVARAFPN VNLVLGDLDDVDIIDREASKADIVLPYWVQISGASALAAPELASSTFVPGAPSAEVFD DVADVARIRELLRAHPSRAVDNFVLDVMAEDSGIKAAVIFPPVVYGLGEGPVNKRSVQ IPSLVQATVERGHAITVGKGLSRWGNVHVRDLGKIIVSLAIEAASRRPDERVWGQDGL YLCGVGEMSFGEISDRVAEAAAQQGLIEASGSAEELDKAEADVALPHGSILFGTNARS RARRAREVLGWEPREEGLEAEIPRAVAEEAKLLAEGGSS
SAPIO_CDS2331	MMASLSVELIAPNGVKYTQPTGLFIGNEFVPSVDGGTLVSIDPA TENEIATVQAAGERDVDVAVRAAREALKHPSWKLLPVAERGILLSKLADLIEENRELF ATIDAWDNGKTYQEAYDNDLVEAIGVIRYYAGWADKVFGQVISTNPQKFAYTLRQPIG VVAQVIPWNYPLSMATWKLGPALACGNTVVLKAAEQTPLSILVLGTLIKQAGFPPGVV NLINGLGSVAGSALVSHPLVDKVAFTGSTSTAKLIMAQAAKTLKNVTFETGGKSPLLV FDDADIEQAAKWAHLGIMSNQGQICTATSRILVQDDVFDQFLERFRNTLETVSKVGNQ WDNDTYQGPQVSKVQYDRILSYIEAGKAEDATVVAGGGPLSIRNDGKGFFIAPTVFTD VSTSSTIWREEIFGPVVVIGRFKDENEAVMLANDTIYGLGAAVFTRDLERAHRVAAEI DSGMVWINILKNNTPHTRASLLPAKRDPIEWPDKKAPKKHQDSSKMSENNQPKPRPAT PPHHPTHNVRLSEGALERIVSEVFRGASSHSAQQAPQGTFFNNRIYFLTVSHPLARDY IGPGPRPDGESVELVVKVMGQYWGAEKVQNEVACLWLLEKHCPTIPSPTVVAWSEDAR SVTVVSPDRTKLRRSVLQVPQSESDAEHQSLGWIMTTKLPGRPVSNFELSPEEEVAVG KEMARIASTWRTSIPAAEYCGNIRIRFDEEGSSKEEVLSDRTDTPGSNLTVLGLLPDG DHVKDQCPTRLDYFRARFGEKLAKLETAPVFARNLDLSKPVRDLLSVSLPKLPVAQQK GSFVFTHYDLSPRNVLATRAAERGGELTISGIVDFEFAGFFPPLEEFVNDYVENSGDW PDRIYRAYLESLAERGIPTPDRGCDSQVWRQAYLLGLLENNIAPWRLLGGLSLQESRR LLDEARNEVRNALDELSAMTKREI
SAPIO_CDS2333	MVCAFWQRVDEASWDYYTLRYSRESLGKLATYFHFRFEGRCVLT RPCVLFRYRKTGPGPAAKPISVFPYLGYLHSSEIAGFRLDYLRQRSNNFGLPNPVGKG IQSRRFRKIKPKERHHDPYLVAVLIAMAQEQRSRQRLHKGKKSRKKAYDPNYRFTVHL LMAESNNYDNVNLYTAHPTVAFLERFDFPTQAPPVPTDLDILHRRIPHEPHESFLQRL LQSMEVASDPPIVADTTKLQKDAGVSMEANNGDSNAGTDGNGSD
SAPIO_CDS2334	MSAHRNSPSPGGDNPAVLDQGDSSSEFSEPAQKVQSAPKAAFSY FSNVTCRSQFKRHVAKVGGTGKLADIRAARGTDSGSNWSTEHLFGFRVLVKGKGPWKR TLPSLNKYVTLKSFRTVSDEIRNFLAGPSDDYSRMTEYELVHRYGVSLGQTWAAAAKF ANSSLKTPTTTSPLISETMPCEKEEEEDEEDEEEEEEEEEEEEEEEEEEIDKSEESRS SKKRPGEYGDVEEVSTKCIRIQRQFKSYTPSGQIDVGSTSAPPSSSTLPSSPPCIPSP ENVSHGDGPREQATLRLATLAIRHILYHCPPQDGSNVNGACIEAAVDIRDEYLLRRAQ LFHGKWITAEDDGGLLIVNNREALHHQRPLILIEAKRRFGKVADGRPVISNECLAQMT CEALSARLESPESPENVVVINCVRQYMCFVNFKIDHEYIRSLRDKADHLDSFIRVIPS EWLDLMDQSGREGLVRNIHGIMNWAIETVGGAAADPEDAS
SAPIO_CDS2336	MAGFSLPFPFFPFPRSIFVHPNGSVDLSFEPRERQNNHTQTVIP TNAEDTVTLVNRGTINNRVAVPVHQRQATVPHTSISLGVFYRAQFRDNSPENKAILEI LDFKGLARDRNTKLYRGAGVFVAFLAMLWFTGGREIVQDVCKNKE
SAPIO_CDS2337	MVTFGRHSYIPGHELNSAGTREVTSIARKVLSPDDAKNERDILE LIRDLKHPNIIEFLGPYEQGGQISLLFPLADMNLKEFLLYEKPHRMKTRDIYAAAHGL ADALSNLHNFFLEPEDEAPAIRKAGCHYGLRPRNILVYRGTFVRADFGLSKLGPDDQD SKTPVSGCESDDYMPPGTLYYSNGYKKGLIGRASDSWAFGCILAELATFIEGRDVGEF RTSRRATFMLGSIEFSNYEFHLHGKIRPSVTKRLDDLKRQRENGQTAGLLEVAYKLMN PDSDSRAKISEVVPKLKALAVDAT
SAPIO_CDS2338	MSSPKSPKSPAKSPKSPPKSRSPSAGAASPAGDLLGAGDAPIEV DAADGDSVYAQSTVTDTTSLRSSILDFKWENGRRYHAYQEGSYWGPNDERQQEAEDIL HEMYRLVLDNKLQLAPLGDDVQRVLDVGCGTGAWAIDFADENPAAEVVGVDLSPIQPN FLPPNCKFEVDDLIQDWTYPEDHFDFIHVRFMTGCIPDWVEFYKKAMRRMKPGGWIEH VELSSIARSDDGSLKPDTALVKWADIFQKIGAATGKSFSISETAPDLIREAGFTNIQH RTLKIPIGTWPKDRTLKHWGAWNRQFLLQAIEGLSIRGLTEILGWTFEEAQLYLVQIR ADITDPKIHSYIEMIVVDGQRPEEAGE
SAPIO_CDS2339	MTMTSINRRPLYTNAGAKSWRSPTPASDVSKLAAQFHRSMPGYS PSPLISLKAVAEEIGVKDVYIKFEGARLGLPSFKILGASWSTFRLLADRLGLPLVSDL DTVKKALATSESEVTLFAATDGNHGRAVAFLGAVLGIPVRIFVPRGLDSGTVARIREE GATVTEIDGSYDFAVETAFQQAQQMAGGLLVQDTAFEGYEEVPGWIVEGYATLMLEVD EQLQGQSADLIVAPVGVGSFAQAVVSHYKSEGKDTAVLTVEPDTAGCLYKSLTHGESR PIATPVPTIMTGLDCGTVSSIAWPLLRDGVDASLTVSDFETHQAVNILEGLGVSAGPC GAAPLAALRRLSSSDKTALGLTESSIVVLLCTEGQRDYTIPKSVEYDDAVSLCQALVR INSAVPGTGDIKGPGETEIAQYITRWLEHRDIEAHWIEKVKGRPSVVGVVRGTGGGKH IMLNGHIDTVTTASYEGDPLSGDIRDGKLYGRGAADMKSGIAAMLVALVQAKTDKLKG NVIFTGVADEEDMSLGTEQVLEAGWRADAAIVCEPTGEDLVIGHKGFTWFEVDIHGVA AHGSRFDLGVDAVAHAGYFLVELDKYARRLGEGPRHPSLGLPSVHASIVRGGEEPASY PAKCTITLERRTVAGETNEQILAEIEGLLQEAARSAPGLRYDLRLTFSRNPFEIRDDH PLVSLVADQIKTVRASDAVLRTEAFWTDCALIADVDIPVVMYGPHGEGLHAKEEWADV KSIERAASTLIGAVRAFSNEARE
SAPIO_CDS2340	MAESSPIPIICCGKREEVGRLVIDGLKPEVEVVHFVLAGESGTE IIPPLLLGQLPPSHPELSTIGSGNYSQVPQAVVLGGAFDDESVAALRDAVSRVAGTRK VPWIRQDKEKTKVPVMSPGYIPDVIARSKEAVTKLAKEGKLDGTYDGLEYY
SAPIO_CDS2341	MSDDVLAPSQADTVVESAAPSARPKRTPKFSDYLRVFSYATKWD FCTYAIASIASIGAGVTLPLMTIIFGQLVDDFTDYFRESTMMSPDDLHAILNKQALYI MALFLGRWALNTINKFCFRMIGIRLSSAVRLHYLRSLFAQSIHVIDTMPPGAPATAIT TTSNTLQLGISERLGTFLEYNGTIWAAFIVAFVWSWNITLVTCSLIIYTVVVLSVFLP SLVKYQTAMGEADTQSNAIASEALGGIQLVVACGAQSRVMTRYETWVRESLKRAMKMT MPASLQFGLTFFGVMGAFGLAFWYGIQRYIKGAITNPGVVIIVLMSVMMILTSLERVA TPLMAVSSAMVAACQFFTVIDAPLPSSGSEKPDITSDNDLVFEGVTFAYPSRPNVKVL DDASFRIRSGQNTAIVGPSGSGKSTIVGLLERWYSLREQHVLPEVVEAKPSDKEKKTK GKQSEADNEKNDNNNEEPSAPAKPQLSGSIKVGGHDLETLDLTWWRAQIGLVQQEPFL FNDTIFGNVANGLIGTAWADVPEERKREMVKEACEEAYAHEFISRLPDGYETRVGDGG AKLSGGQKQRLAIARTIIKNPRIMILDEATSAMDTRSEKIVQAALDRVTQNRTTITIA HRLSTIKKADHIIVLQKGRAVEEGTHESLMARPLGVYSQLVNAQSLRLAGAAGGEADD VTPVATPDEQPGKLLYDNDKMADPEQPSPPSTDGGAPEKKPRSLMQSFGKALYMERAQ WPFYMALVVAAMAMAAGTPIQAWLFAKVVGVFLLPNEDEMDREGDFWGLMWLALAVGV GLSYFAAGWLSLRTQYFISAVYRTKYFGAMLRQKVAFFDEDDNSHGSLSARVSGDAKQ LEELLGLNLAFMLSGIFTVIGCVILALVFGWQLGLVATFITMPIMLSSGYWRYRHEML FDKMNAAVFAESSQFATEALGAIRTVSSLTMESAINDRYEKLLNGHVREAFKRSRWTS IVFGFTASSSLGCQALIFWYGGRLLASGSYTLEAFLVCFMAAIQGAEGASQSIAIAPS AAQAKAAANRILDVLDAADDEEAARRACGGKISEAGGGVRIELRDVHFKYPTRDVDVF RGLNLEIEKGQFAAFVGPSGCGKTTIIALLERFYDLEQGKGEILFNGTNIKDVDVYDY RDNLSLVSQEPTLFRGTVRDNILMGVPDPDSISDERIHQVCRDAFIHDFIVSLPEGYD TDVGHKGLSMSGGQKQRIAIARALIRDPKVLLLDEATSALDSESEKVVQAALEKARSG RTMIAVAHRLSTIQNADVIFVFDNGAVVERGTHDDLLGKQGVYWGMCQSQALDH
SAPIO_CDS2342	MAETLIERACQRFSKELSPEDDREIKSTSINDVRQAIWLVERQL AARQCLRNLDRLKPYLDGIERYSKAIEILANAVPYLAYTWAPLKVILTVAIDSTQILD KILSAYAQIGAALPRLSMLREAFPDSTGFQQLFGFLYEDIIEFHRRAYKLIRKPAWKI FFTSAWARFESRLSALIDNIKATSQLIDQEASAIDILQAAESRRKSADDALAREKRWE SEQLQAVKIWLEAGEEDQELKLEWLKGQSCPGTTHWIAKNPKFRSWMQRGRGNPVMWL YGKPGSGKSVMSSKVISFIQSTPKQYALFFYCDYNTPAYGISAYICRVFCTQLLKLVP ELVPFFYDEYLSKGRSPSAEVLKPALATVLKSIEFVRLIVDGLDELPSSEHKKLIAEL IDLATSAGDTCKLLVCSQDLPTIRPSLSKRLVLFLGDERDSIRKDIDMIIEKGLADIR DGMGGELSTELMTYMRSRIASKAEGMFLWVRLVLSLLESSSSPHELRSTIDSLPNDLE KMFLLDPSSGPFTTKLLAHRTIAYSCISHLIQALDFATNMPPNELTVRASCLALAHLE LCHPKVQDFFSSVDHQTAQGTDVRIWKLHSLPLVQVLVVRILAFRKQLRTHQDSRDVE MAQPLDPTLFYSVDQRYNETVRSLVEADAHEGLTADELHTFRSNYGSAAFLCHIQGCS KSRIGYRTADELRGHLRRYHQKMLKCYWAGCTYNDIGFVSAKTLRDHLNQFHGEGGNR QVPRSLRRKRAGPQTDKEPADANSKEQQQQQQQQKESTTPAAQVGRLPTLSQLMGPHS PVEEQQWPQPQTSPPPSVTAQQSQVSARMMTNNRDMPQQNGIVAPSAGKETPPLRGQE HQAVHAITDISNKLAQSTHHLLRSPPQTPAQAHQYLMNHVIETILNSDLAPGVRQGLR NLPSRERLRIMNQAVDGFKARQRIRMPKANAGRRITLLPDDQHLRQSVLTILGDIIYA RIDHDHDLSFAWQSRYTKAQRASNALSVIGSATIAFGGGEQISSIIRDVIDAERYWFG VSHSEVSILTPISTFTRS
SAPIO_CDS2343	MEWPQRPAKRPRLQTPEKFSSRNPMVLCEDEYFQPTQEQSSVPA TPYNWGGIGDSTGSHQPGTTRETSLEWIANSVEVESIPAVEPELVCFGSLLRESDLEL CAVLGSTDTEVLELFRREGVEFDLLWVPDDLPNTTRRGTSAGVLWVTLYGDMELAADL GEVLQNLGIYLQEPIYALRDVLYWNPHKFHNDANIWTSHLRKSVHIEGTSERIDAAFS TLLAEFVSEDALPETEGCPLLLTSLKGHQKRGLTFMTRREIGWGFARNEMDIWSREAG QMGQPVNTRQEYPPKPFKGGILADEMGLGKTLSAISLIAQDYQAETACRPTTLAVVPA SLLQNWENELAKHLPRKHFSWRRHYANRRLANAEDVAGHDIILTTYSTLASEWRNMPD SFLFTHQWHRIILDEAHCIKNPSAATTKAAYALHGDRRWAVTGTPIQNRLPELCSLFR FLRVNPYHDRESFDEDVTNLWTSGREEEAVSRLKNILKFIMLRRSNKTLDLPDREDTQ VLLRFGPDEQREYDCARETAIRALDDMADSASSRKAYINALSKINELRMMCNHGLVAR LNSQRSFSELAPPTPMPLQATTPITPITQHSTKVQAVVNDLSAQGQTVKRLTLTAASR VYLMEPQWNPAIEEQALARVYRLGQTRNVTTVRYVIDESIEKYILEIQKNKKELTSLV FKPRETSDVNKNRLRELGNLLR
SAPIO_CDS2345	MMIGLGSARRLYNPRSSRLLSSCLTRVPREQIPSVASCKVRKIS LGHGPQDPQLLPHTIPEHFASIVSQYGDRPAVIARTATTSRDIGAAVPPARETALTYE DLDLLSNRLASSLRSLGVKKGDRVAVSLGNCAEFAALTYAVFKLGAILVPLNPGFNVK QVSAALCHLGVELLIIGAVTDLAYKPCRGRSNEQLLRTLVPDLEASQAASPLVPTLKR IVTLDNLAAHPTTAFPLDKYRALTPFSLLLEGSTSAVVPDAPLSPSDTINIQFTSGTT STPKAAMLTHTSILNNGALIASRMDLDPSDRMVVPPPLFHCFGSVLGYMATATTGAAI LFPSPAFDPEATLRMVAAHDATGLYGVATMFLSVLEALDDGIVPHETMPKGLRKGIAA GSSVPESLMRRLYEKLGLQDLVICYGMTETSPVSCMTTPHDPFEKRTSSVGKVMPHTV AKIVDPDDRSRIVPIGEKGEFATAGYLVMKGYWGDEKQTNEVMITEEDGRTWMYSGDE ACMDENGYVEITGRIKDLIIRGGENIHPLEVENCLFQHPLVGEVSVVGVPDEKYGESV GAFIIPVHGVKASDSPSDDPKVLTADSVRAWVREHLSNHLVPTHIFWVDDYPKTASGK IQKFKLRDMAKEFLSGGK
SAPIO_CDS2347	MVDLNSTKDRNRSPSPDGQAATPDETDVDRLGRSRPEAFSNTIM EVGFVTSVLVSNLLSEYLTSGFNVLLPALLNEIDIAPENRTWPTNIYTLVVGAFLLPF GRLADMYGGNIVFFAGLAWAMVWTLIGGFSNGFAMLLATRALQGLGIAAVLPSGIALL GNTYRPGPRKNLIFSLYGGCAPFGFFAGIFFAGLSAEVLTWGWWFWIACLLFAVIMVL SLACIPRRLDFRPDVKMDWIGCATIIPALFLLVYAITDSARAGWASARILAPFLIGLA LLGVFVYVEGWLAKDPLLPDSTFEAKGTAVLFLQLFIAYGSFGIYIFYASFYIETVLD VPPFLTAFYFAPLAVGGITIALAGRFVLHRLSGTILLFIASLGFIASLLLFALIPSHD PNYWAWIFPAMVCTTIGVDISYNVSNIFITTSVSHSLQGVAGACVNGLVFLGMAFFLG WADLVVAYTSVENETPKYKYAFWLGVGAAGAAFIIAVLGVRVDTAQSDLTADEKTQLR KQQVDLESRGVFEGRLSEANTNQ
SAPIO_CDS2349	MALTTVTIAASRDLRNVVKTVVNLPDCLLLDQSSDEDSGIERVA ESLIHLWYSAFVQPHTIDGIRDRVGPLISEEEWLKLEKITAAPKGLTYEEASRIRQKV TLAPERADYRDRWSFNEATPSARLVSQRFREDGLLLPFGHCRLGFTEPNPSRSVTFEM YCVNATELPKFLEAGGSARIEVSNIVDGAYLGIEKTLSHLCPLLQAPGSNPHATLICV FLNAVMEAAKGTGQDDIENFELLFQYLPITDPLSLVAPHSPDMLRICDARPLVRDVEK YFQMYRSRVGFEKISTKLKVKEKNQNTIFEPWPTQLKIKPGHGGAEEEFRILLGSHLS GMEQNGRGLNLWVDLSAEESER
SAPIO_CDS2350	MTANIPNRGPELLGVNWFFAISALLAVLMRCYVRIGIVKKFGLD DWMMAAATISFELYCSFSNAGVYTGGTGRHHADLNDGQILRAMRFWWLCYLSYAMTMI LAKVSIAVFLLRIVVKRTHVWTIYVAMTLTVLAGVIFFFVTLFQCHPISYFWNRDQDG KCLNTDIVIALAFVYSVFAVISDFTFALLPIFLVWSLKMERRAKLALIPLLCMGCIAS SGVVVRFAYLKKLKSPDFLWDTLDTAIWSTVEEGLAITAGSLATLRPLLRIIAYRFGW STPSSYAHPLSGQNPDRGPASRLTAGSRGASELLGLSPVQKKSSYGWEREVPPGSDMT GEDQYGCVVSVKAERNAAPTDPNNIVVHHSQTVHRTFYASESEERLAQTEDGNSR
SAPIO_CDS2352	MSTEEKKSAQQSQTPPPASTLPEIAPILPPEHWAATVGDTRDED ADSSAGDDLASSTASIASSILKYRTLHGRTYHSEIGSAQYWAANDEQQTDSLDILHHL FSLVLDGELFLAPLKEPKKVLDVGTGTGIWAIDFADRFPDCEVIGTDVSPIQPTWVPP NLKFEIEDCTQEWTFPKGTFDFVHIRYLLGSIPDWTGFFKQAYNALKPGGYLESYEGS PRVYSDDDTLPPTSALSQWTSLFVDGGRKIGRSFDIVEENTQNTAMKEAGFVDIQEKW IKVPAGGWPRDSKQKEIGTFAAYAVDRDIEGFIFFVSNVQGWSKEEVRVYAAHLRREL RSLKHHIWYWQKVVWGRKPEIPS
SAPIO_CDS2354	MESQIVEAWDERDDWRGVASSKERRKRQNRLHQRAHRKKRLLKS VVRDQRDLPNLDSTCDNALVLPAQDPLDITVTLGVNHLQVVIERMKCTPVSEQVLSFL RSAYLNWSLGRPIPHDMPSVTRLNALNALMRNAAILQIPVEFLETDDFNSPFNLYGPV QNNLLSLPSDLCPTTCQRSVTHHSWLDIFPFPGLRDNILRGLEAGAYDEDYLCQELCC DLLNSEAEDVAGVVIWGDSWDAKGWEFSVKFFMKWGVLLLGCPEILEATNYWRGKRGL RRIDLPVS
SAPIO_CDS2355	MPLHEYDYLFAVGTIFAFLDAWNIGANDVANSWASSVASRSVSY LGAMCLAAVMEFSGAVGAGARVTDTIRTRIITIDEFSDNAPLLMLGMVCAVIASSLCL TMATKLGMPVSTTHSLMGGIIGFGIATHGTGGVRWVEKGTGLAVLNSGVVQVFMSWIL APFLAGFFSAIIFTITKYLVLLRENPALKGLIFFPAYFAITGSLIVMLLVAKGGSLEI PEKQMPGIIVGVGLSLGALVAIFLVPWLYQTVVRDDRELKWYDIPRGPFLLCRGEVPP RPEGSAALLDSEEDPRTSLTLGREKASTDTEAAPQEEEEEEEEEEEEDEEDEEDHKPP PRKSLVGPRPSGPWHSKKVLFWALKWAFLHGVDQDVVNMQNEKDALSGNIEDMHARAV QYDGKAEHLFKYLQILTASTASFAHGANDVSNAIGPYATIFQIWNDGFLQNHVRVPLW ILVFGAFGIVLGLWNYGFNLMKNLGNKITLQTPSRGFSIEMGSAITVIVATRFVWEAL PVSTTQCITGATVGVGLCNGDWRAINWRMVAWIYLGWFITLPTAATISGGLMAFIINA PPRN
SAPIO_CDS2357	MAAEILGWFPLCFFLIIGLFVAYLARLNSLLKHVPEKVQVLCQL RWTAEELKKTYTELENRSLDYKAHVPPRLERRYVVTGGNGLVGGFIVLQLLARGTPPE HIRIIDIRKPERRDMTTGLATQVDYVPTDIRSAAAIDAAFDKPWPPSAAHLPLTVFHT AAVILASDRSKHVYDFPKSVNVDGTKHIMEAARRAGADIFSATSSASISIRRVGPFVL FANEPKNFWQVLDERDFFQPLRPHEDFFGNYPASKAVAERLVCSANCASFRTGCIRPA NGVYGNATDNTVGGPLSSTVFPTVLFTEPTWHSRIFNMKLSSPLLPVFHLKRDDPS
SAPIO_CDS2358	MHASSSSPAAFQNSGGSAADAKRRVVENFRPMRVIVIGAGFSGI YCGVRIPERLRNVSLTIYEKNSGVGGTWYENRYPGCACDIPAHSYQYTFAPNPDWSRF YAPAAEICAYLKSVVQRYSVDRFIKPLHKVIDARWDGATSQWHVTVENLKTGEIVIDK ADVVISARGTLNEKSWPDIPGLSDMKIPIMHSAAWDDSVDFRNKKIGIVGGGSSAIQI IPNLQRVPGAQLSCFIRSKTWISRPFGDSVMKTLGIENTDFSPEQRKRFATDAEHYLN FRTIIERDGNSIHDLTLKGSAKQKLAQDDFRALMKEKLATKPHILESLLPNFGVGCRR LTPGPGYLEALSEDNVDFINKPISKATDTALVLRNGEKKELDILVCATGFQTSAPPPF PVTGRNGQTMQQKFEPYPETYLSLATDGFPNYFMMLGPNAAIGTGPLTTMIERTGDYI IKCIRKLQKEGISSMEPKAARVDDFSRIIDEYFKDTIYLDDCSSWYKSKGGRGDRITG VWPGSALHAMETLRSPRWEDFDYVYAGDKDGVESNRLAWLGDGWSAPQIDPRAGELAH FLQPGLIDIPAEPFPEDTVEFRQLAFSH
SAPIO_CDS2361	MSSSPTAKQTVPIVDGGKALKTPNKNANGNSSKTPGDPLVLPPN TSSDHFQSFIKRAREICGPENVFIVEREDQLVDGNYFEPNTTHDMHALLDRSYFVCSA TISPRNVPEVQDMVRLCNEFDIPVWPFSIGRNTGYGGAAPRVPGSIGLHLGKHMNRVL EVNTEGAYALVEPGVTYYAMHEYLEKHNLREKVWLDVPDLGGGSIIGNAIERGVGYSP YGDHWMMHCGLEVVLPNGELVRTGMGALPDKASGHTSGLRADEQPGNRAWQLFNYGFG PYNDGIFSQSSLGIVVKMGIWLMPNPGGYQAYMITFPRDEDLHQIIDTIRPLRLQMVL QNVPTLRSILMDAAVHNPKKHYTDSDEPLSDKELDEIAERLNLGRWNFYGALYGPEPI RNTLWGIIKESFSKIPGAKFYFPEDRTEEHSVLRTRALTLQGIPSFDELRWVDWLPNG AHLFFSPISKITGQDAVLQYQVTRKRCLEAGLDFIGDFLVGMREMHHIVCIVFNREDP DSKRRALWLIRTLIDDCAQHGWGEYRTHLAVMDQIANTYNFNDNAQMKLNEMIKDAMD PKGILAPGKNGIWPQTYDKGAWVISPDPGQLPTSNTN
SAPIO_CDS2362	MQFFKAVLSTILIAAPMCAVAAPAEANSIDARGPSDVDTLISQL LNLVDVDTEVGLETRDLEARAGWTCSWLAGNKGCQIKCFLLKGSGGYCNGQNICTCY
SAPIO_CDS2363	MSNTDKETTAFESVFSSPPFRFSVGPNGREFFIHSAVIASQSQP LYNLVHGDFSEAKANHAVLDTVDELTFVLFCEYAYTGNYGVKTDQPPPLPSPAAPPVI AFWAQEIEKPAEEPVEYLPEEPAAYLLEVPVAPEEPVAYLPEEPDEIAVIPRTTKKSK KGKKGKNLDDVEKPDDCQFAPAAWRKRDSMWAKFKGKTYVGSDVDLNLPPYKETEFNG LKNFLLVHTKVYLLADCYMISNLASLSIHRLHQCLCVYDVREDTLEDIVELLRFTFEE ETPDLLRQLVSLFTACYIEKLWPHKGFKDLLRTYEDLFERVIGSTLDRLD
SAPIO_CDS2364	MTHMKPTVESIERTDDNIEALKDSTLRTAAESGQAATDKYGQSL VYIDPVAERRLRWKIDLYVVPTVALLYLFCFIDRANIGNAKIAGLDTDLKMKGYDYNI IITAFYVSYIVFEIPSNIVCKWMGPGWFIPIVTLLFGIASLGTAFVKTIPQAAVVRFI LGIFEAGMLPGIAYYLSRWYRRSELVFRLSLYIVMAPLAGAFGGLLASAILSLDHFGG LHRWRMIFAIEGIITIGLALISFATLTDRPETARWLNQTEKELAIARVKSERVGTTEV LDKMDKIKLKRGILSPVTLSTSLVFLFDNVTVQGLGFFLPTIVKSIYKEKTTIQQQLW TVPPYAVGAFFTVLFPLFSWRMDRRQIFFIICAPICMIGYSMFLGSYDQSVRYGATFL IASSMFAFGPLTNAQVSANVVSDTARSAAIGMNVMMGNIGGLVSAWSFLPWDGPDYHI GNGLNLATSGTILILSVFTLIWMKRDNKKRDNRNIDAELSGMSQQQIQDLDWKHPAFR WKP
SAPIO_CDS2365	MPRPQEFVHGIFDNSYDPTDAGESDRKLIDLEDTKVIVDLCRLS ANASYGNFFATVGPADAMISVIAPENPIAVEETREFRLDTVKSLPGSDVGGHIVERVA IMKDIEVPWNIRIPRYGDPEIEPEVLSSEERKKREEEWQRKAEKGYDFANQLGVKVTV VCPRTGEGVDEVFREVAREVLEKRKAKDQKIIEEAKREEEKRRKAARSPMSRLKRLFS KVA
SAPIO_CDS2367	MATSNGHGAPRQVDDTIPLAQSKRTLFEFGEFDHSAIPLEKEEW PVIIVGSSMVGMTLGVLLGYHGIKSVSFDRHPSTAIHPRAALFLLRSVEIFRQLGLED HFRENSALNFDLDAGMIIVEKLVGGKTLATMQESDPVKVAEVTPSVRLWLTQNMFEPL LRESAKDFGAVQEFKQTVVHYEELDDGVIVVVQDVSTKTYRKFKTKYLVSCDGNRSAT RRKEGINWSGPGVVGEYISINFKADLTPYLGTRAKHGVTYISNTDIDAGFRLEDSGKA GFMIVSRAGEKDRFPPDSVSGREAKKYFKQASGIEDDIDIEVESISYWSVAGFNSDRF ASKNGRDIHNLAWKLAYVIDGKATPRLLRTYNTERQPVVGATMTQAYSRLQNRVLRQK ADEPELPDITCEIGYRYLSGAIVRGKYMPGEDQLWEDPYAPLTIAGSRFPHVALVDSA DQTRRISSLDLVKTNFLMFAADPASLWVEAIKAIRPDIDAYILNESSTPWTDTEGKLR RVCKLEDGEALLVRPDGFIAWRAEKRDSGHSSALKGILRQILGV
SAPIO_CDS2368	MAIKVYGSMLSTCTQRVVSVLIELGLDYELSEVNMQKGEQKAPD YIKDFHPFGRIPVLDDGEIRLFESRAICQYLVAKYGKQHGLDAARHGSAAEIGTYEQA ASVEFSYFDPSISGLAYENIFKKFMRRGDADADAVAAHRATLSDTLDHYERVLATRQY LASNDFSLIDLYHLPWIPFLSKLGLEGEISSRPNLEAWWKRASSRPSWQQLNK
SAPIO_CDS2369	MRFSILKSVVTAMALTVTTVLGNLAPQQIVDGLDSLTAKAVALQ PVAQSITILNAPLIVIGQGPFPQLIAGFADIASTGNALISQMDGQEPVQPGPSEDVYN AVRRLVQTYQALLNILIGKAGLLERIPIIGEPVAASLRGVQGALDSIFITLINVVESR ANDITSQANSLENTLDLTIGKYQSIASGL
SAPIO_CDS2370	MSQSEPPQEGKTTSPPRPAAYYITSGEPVGRVVQYRTTKKGQAG ANDRSPVELEGRIGSTPKAVTRGARGARRIVERSGSEDARPIVGECEEFEGPPTVANS AVLPEPEPVVETNDRNDDDWYPPSRRTPPGRSRPNHESSRAAMTTQLTHFRPNGPAAY PTEDPETASRKRVTFGDKMPVVIPPPPWPQAHARPRPSLHPQVPLPPSRPPPPVAPPA AAQPPVPPIETVPGKSVPNSNRTSFTSSTDSGYSSAHTASSRSLPSQKRRKSSSFDST EAVVTYDDTDPEPFEIYHASLPDAPDERDPHDPSYDVEIASSRKRLLSRWNRPTVPDL ERTVYGHEQLPYIWDPRYPEGLSSIQRRDRLEEGEVYVPPSEVYSHGSVGRQSEIELE SSEDGNVTIKLSGGAVIKVGDNEIKCEDGGRLTIRNPGKTAGNLPTPRLERMPERRPQ VYNYNCRQDRSPFEPSTENPWQHTARRANQPELSRSRSTPMWLSDWSNPTGRVGQDQE PAHLRGEDHRNLKPTHVRGDSPDGWGIAAYVTEREKYHEEARHAQSYQKQGSAEKLKA EVPGPRPIHVHPQPAHLPLLGRTRNVHYTRHAGLSLGTEKNPSTGLFARRNRVPHRSG DNSALHPPRLVPEAQPSLVPPKKRPLVFNDRTVASSRAFWGDGPGYTRPRSEHGLAHS TAVLDEFSTGERPIVSPFIKRPPSMDANSATDSDGSGEVPDHLKIGEVERAVRLLCSR GELKDVFEVAWSLVSEKTFESNVERLLCQYGKDLAAEASNELEYNVADIVVASAPRIV DQVWAVAHPDNGHRKLAEAKGITDYSKLGKTAHREVEDSQSETEGNDDDSPLNRAKQF MTTSQAHQKLRDGLRTWLKIPKDSDVLRSPRTSLHTLPTPGSETTEGGAADSSLSSND SPKDDLAESVRSGLDMDRKDLELNKATEESELMTSRQEDEDDRRTSVDDDCGKDQEED MSESAGTVCGKRLSIEVPESDVDATTQFAQQAAGSSHSRTVSATRSDGGTVSSSSESV RSSPSRFDSADSTTLSTAPSTAPSEGNGLQLPNHPLGTKRYLLLCANSSMTRTRMRNV DLTNVGHDEVLFHKIREAYREMRYLRYGNPFVIPKTMQYVKFELIVRQRSGECVGNLE SDSIPPIKEVYSGRYSYQPCPPDIGKLPMHPDVFMHSFLEPGDHTGCLAVERLPKKMH RELSCNGDPTCLPVGWGIYITEGFNWKLIQRVIICAIVVTTALVLVWSITNGDVQGGT GIGSFCVAVVALLAAVLSWSSD
SAPIO_CDS2371	MSALFCCDDARPYRPQTLQHEAKFRKFLAWAKFPKSSSKAEDSA AVDLVKLGCPFVIQLVRQVNYGPLESKRYFASVEGQSEDFIEIDENDLIQANFQKLNA YKNFRCGAHNKFFEVNVYEKDPVNVHHWRVNLSRPGSTIDL
SAPIO_CDS2372	MFWPVLEKFRRERKPREPLPNEGSDPKEELPQSTMANFIEHLQG RNKKPSTNPIVQGFTCTACHDLITDPVAGDGGDGVIKTKLGHLKSSAKSGCAACTAIR LAFKHFRATDDLVGWQDHWRLHSEMPEDSESAFAARGEDYVPRNNIKHMWIDAGDLDV EIHRSHPIQLYTWIPSPSPPKGIDVDRDNRLQQALTPLPLFGPSYDVPRRSDDPHCLQ TARGWMEDCLSTHPDCHGKKGLAKPQLPDRVLDISAQGVRILEGTAIEADYVTLSYCW GNPETQRLKTTKSNLDRHRHEISWNDLCKTHQDAITVSRALGFSYLWIDALCIVQDDP QDWAATASRMADVYENSTLTLCAIWSAHSDGGLFSDRYAGRRDLDDPAQKMATPHRVR AKPPINARLAFKQHFYDQNMKEAQDLGIAPTTDSEPLLTRGWCLQERLLSRRTLSFTR EEMYWECKTRRRCECKEADRRQPKSRTVDFSQTSSTSHAVLRNSGTVGGESSDSDAWR DLVTTYTAMSLTYSSDRLPALSGLAHRFYETKGDSWASREGQANFSNFEPDTDFEVLD ASCTYGTDICGHCSEGSLTAYGRLVPATLKHKHINRDPDSLLADKSLSTQKWLRAYWI EQDGLWGYIQADTELGVPGHEDFLPSGSPIFLLRLGWESVDFARASCGLVLRYLEGRD RGATPSPGHSTLDELNSHGRKPRTLLPLPPPRITSPATEACEEPGPSPQRPTDDSSER RPRDSDVRGSSPMPALTLWAEDLQLMHHYTLVTCHTLPRGDEVLHIWQSEVVKLAFTH NPLLHQILAFSAFHMAHLNPDKRHQYSVLALQHQTEAARGLRTSLADLAVEHSEASFA AACFLIFGAFARLSLSSAARGDNPRPMLADLINVFTFVRGMNIVLHESKSIMQQGCLV DLFRLPVYTNPVSPLEEMCEDLESLGSRIRHAGIQYDPAVVRIVDGAIFKLVRGTRDA IRTSSTPELRAISLWPIVLSDEFLTLLQERHPLALIVVMYYCIIVHDSEATAWFTRGW GKSVASDIRRLLSPSHMKLCEWVFHHIGYIE
SAPIO_CDS2373	MEAETKNSESLRPSSHQGTIDTINPNLASDSVILVDWENEHDPQ NPLNWPPARKWGIISTLSLMCFVTPLASSMLAPGVPLILKDFQVNNNQLATFVVSVFV LGYATGPLLLAPMSELYGRTIVYHVCNILFIIFTIACAVSQDMGMLIAFRFFAGFAGV AALTIGSGSIVDLMPQEQRGRAIALWSLGPLIGPVVGPVCAGFFVEEIGWRWVFWIIA IAYGVVTLVSFAVLRETYPPTLLKRKAARLREETGNPNYRSKLEGDGSPREIFVTAII RPMKMLVALPPVTIMCCYIAIIYGILYILFTTFTFVYVDIYGFNSRGAGLSFIAGGVG NILGLIFTGFLSDRIIQNKQAKGLTPQPEDRLNLIITVPGALLLPLGLMMYGWTAEKH VHWIAPMIGTGLQGFGMMGLFMSVQAYLVDAYSAHAASVTAANAVLRSILGAILPLFG LEVYDSLGLGWGNTLLGLILLGMAPVPWAFGKFGDRIRNNPKFQRPF
SAPIO_CDS2374	MAVLSVIFGNKWPAFLSAAVACLSVFLWPSLISSLRIWRLPVVG TEWGGAEKRRLAYLSGAKKVYIAGYRKFKDQVFRITTSRNTTVVVVPGKYLSELKVPD TVVSMEIAVDEAMQTKYTKIETYVPIIPFTVKANLTPALTRLNPTIFEEVQEAFELEM PQCRDWTTVNIHQKLLRIVAMVSGRVFIGPELCRSEAYLDASINYTLDVMGAQRAVER MRPWLRPFLASRLPEVKNLDKRIEEAVKFISPVVEARKAIALDPTAEKPDDMLQWLVN AQSKFPDKNSQNLVRVQLGLSFAAIHTTTLTATNAFYSLAALPEFVEELREEIDSALA DSGGVPTTVALQHMKKLDSFLKEVLRTYPATMASFQRKVLKPLKLSNGQVIPAGVTIE VPAVAINFDPEVFPDADKFDPLRFYKLREAAKEHSVAESALNQFVSVSPNSLGFGYGR HACPGRFFAANEIKLLLIHAMLTYDMGLVGDSKERYPNLEFAHMCIPDPKRELLFKAR DTSK
SAPIO_CDS2375	MSSSSNPATIGPTLEPSRSVTIVFTAYPILKSLASSLTPKDLYH LARTNRLHFSSILGSKELFQAIRRQCICDGRGLQWRRDSQASTQYLWPYWVTGDEYEA YAARMRARVGRAECGESKSFPCFKCGINVCEECRDYPREEAYHPRFFGYPRPHLDAAY QPKNIMYLCPPCDEEAEKKSLETLGSDSSGVYAAQRFLKKPERDALCVDGDTCRRANG EEKAKSANKPRNEN
SAPIO_CDS2377	MTSLSTTSESWEEKARRKRESILAGIPKEWQLSTGDLERAQGQR DLTGAFINSFLTPETVAIISRDPCEIVALLQERDLSALQVATAFCQTAAIAHQINNCL HELFIDQALSRAKYLDAYFQEHGKPTGPLHGLPISLKDQFHVQDVDTTMGYVGWIGGK LGVEEEETRTFESQIVTDLRSLGAIFYCKTSLPQTLLLGETVNNIIGRTLNPHNMGGS VRIPAAFCGVFSIKPTPERLPYRGVANTNPGQNTYRSTVGVMSTSLAGLELAFKSVLE TKPWLRDPSVVPIPWRSGELEEIRSQVDSRGNATGRPLRIGILWRNMSVEPHPPVRRG LKMIADAVREAGHTVVDWEPPNQATAKRIHVSFLYADGAHDIHHHLKRSGEPLLPELR KSFKLRDAIPLLEYQDLTLQGLNYEAEYADYWNSTADEEGHVVDAVVMPVAPHAAVIP GKYYHTAYTEAINLLNYSAAVIPVTKADKVLDPIDNTYVPLNASDRLNWEAYDPDIYH GGPVGVQIVGRKFEEEKTLAIAGVIYSALQSQAGRHP
SAPIO_CDS2378	MAAFRRAIALPAGARLRPRTFIAHPRPLSAPVSRCRTYISTAPR RQDTFHFQLENTPNAAALRPSQPAPTPDNPQTLTEKIVQRYATDLPPGKKVRAGDYVT IRPERIMTHDNSWPVALKFMQIGASKIYDNRQAIMCLDHDVQNQSPANLKKYRQVEEF AAQHGVRLIPPIAKITFTGILPRGVTGKDVIVALCGLFNQDEVLNHALEFTGSEETLA SIPIDARLTIANMTTEWGALSGLFPVDEKLISWYRAKATTAAMFNSPLKERINHERID ELVKNKLVADPGASYAKELYLNLSTLSPFVSGPNSVKIITPVQTLESQDIPVNKAYLV SCTNARSSDFAAAARVFREAAKDGQPAKIAPGVKLYIAAASAPEQELSEEAGDWQVLI DAGAQVLPPGCGPCIGLGTGLLEDGEVGISASNRNFKGRLGHTGAKAYLASPETVAAS ALRGKIAGPGWYQKPEGVEKVILGEGSGDHVVDKARSVEDALDKLLGEVDSMIATAEG AHEGAAEQSSGSSAAPEDEESLTDILPGFPERIEGEIIFCDGDNINTDGIYPGKYTYQ DDISKEKMAEVCMENYDTEFRTTAKAGDILVTGFNFGCGSSREQAATAILAKQIPLVV AGSFGNIFSRNSINNALLGVELPALVRRLREAYKDEADKKVLTRRTGWKLLWDIRRSK VVITEKDGSSWEQKVGEMPPNVQEIIACGGLEGWVKAKIAAEK
SAPIO_CDS2379	MESPSRTRAMEPVNSGSGSDTDSLYGGDMESLDSGTAASSEGES TGSTETTPLLKAHAQEVDPEANAIANEDDETTIIVHKISFTRLALIMSTAWFGVFLGA IDSTIIATLSAPIASEFNSLHQLSWLATAYLISNAACQPILGRLTDIFGRGPGLVVSN VLFAAGNLCCGLARDQYTMILGRVIAGVGGGGLICIATFLGTDLVPLRQRGLVQGIAN IWYGSGAMVGGVVGGFLNDHTALGWRLAFLIQAPPALVSAVAVYFLVDVPPKQSKKSY LGRIDFFGAFMTLGYLVLFLLGLSSAGNLVPWVHPLPLTTIPLSIVLFITFLWWESKA PQPIIPVKLLLDRTVLACCLASLLSVMIAMTAMFYVPLYLQVLGSSATAAGLRLLSSP LGVPIGALSVGYLMKATGRYVCLTVTSVLVVCAGVSLFTLQSANSPAWMTCIALFLVG GGYTAMLTTTQIASIAAVKHSQQAVVTSAVYMARSLGGTLGLAIASAVYQNTLKNQLW AHFGSQPGAADVISRIRDDLDQLHRLPDGWYEGTIASFMDAFRAVWLTMLGWAVLNLV CSFPMKQHKLYSTLDRR
SAPIO_CDS2380	MKRPKVLVANRGEIAGRVIAAARELGMPTVAIYSEADRFSRYRQ EADESYLVGGEDGISPVQAYLDATRIIDIAIKCHASLIHPGYGFLAENAQFAAQVRAA GLTFVGPSTETIEKMGDKVTARQVAQDLDIPTIPGTNGPLNNLQEACDFVDTHGFPVV VKASFGGGGRGMRVVHERGSLEEAVSAARSEAGAAFGNDAIFMEKFLYRPKHIEVQVL GDRYGNHVHIFERDCSVQRKHQKVVEFAPAVNISQEVRRGVLDAANAGTVEFLVEGEK FYFIEMNPRIQVEHTVTEEATGIDLVGAQLRIACGATLEELGLVQQKIQLRGFAIQCR VTTENPSQGFRPDAGTISRCRLPTGKGVRLDHSDCFIGARISPYYDSLLIKCIFSGHD LASAIRTGVRALKELQICGIQTNQAFLIRLIKHPSFAAGNCWTSFIDDTPELFLSDDS VDSAQGLMRFLADAAVNGSRIQGQTKPPGLKRDIEIGRLMDPKSGQEVDTAEPCRLGW RNILLQEGPREFARQIRAHRQTLITDTTWRDGQQSLLATRRQLRKLIPNIPFQMLLRS TNGVAYAALPDNALFHFVKLAKDTGIDIFRVFDSLNDLENLKAGIEAVHAAGGLVEGA IMYTGDMLEPGTKYNLEYYMRIVDHLVECDSHVIAIKSMSGVMKPAAGRALVRAVRAK YPDIPIHMHTHDTNGTGTATMLACIEEGADIVDTAIDSVSGSTSQPAVSAIVASLQST AFESDLHLDQIAVIDSYWAQLRLMYAGFDADLRSPDPTIYRHEIPGGQYSNLIFQARQ NGLGDKWAETLKAYEDANQLLGDIIKATPTSKAVGDLAQFMVDRKLSATDVQDRASKL DFPQSVVEYFEGLMGEPFDGFPEPLRTNVLRGRQQVKKERPGLTMTPTDFDEIRQLIA SSFPGTPVTDCDIASYVMYPDVYMDFRRTRRDFGDLTSLRTPDFLSPPEIGQAVELKL DGGQEVVAEMLAIQPADLATGKRDVLFHVNGEVCFVTVQDDKATPKRKLQKANSQVEG EVGSPMAGRIVRIMAKDEERVEIGQTLLTVSAMKMEVNISAPVRGLIQYICVSTGDSV ESCDLLVRIVPEGANGAM
SAPIO_CDS2381	MADECGFDGDPDLYGLGIRISLYIQLLTMCLIPLLGIPKYSRFF TSTGLCLFAATWIVIIKESAARTTKGVELYFFSWLNLSQIVVVSILPMASFNFRQMAN PKNMANINLAMSIILALITSYYTWFFWKGLDVLDRSGCENDYAFFFSKAPVYGWLRTL QKVIWTIALIAAGIQALMAPYNYFMMRKFRDFPQAEDILQDADPDVQVMFTGFVKQVK FQFSVMLLFGSVFMITFVALSAELPIMWNNIREVNSLKPVGQLVPFIVAIGQLLNVVY QTMKRFAGGTVDDDDDDSMDDDIGPCPLKGGDEDQQGVEMTENMAALRKSSSSSMERV AVAL
SAPIO_CDS2383	MALYGVYANIRFAGSDPEKYWSLCQNPLTIVSIYAGAHVYCNPD DIQPGKGLLAKYCHDYGESELLPESDFAANLTRESINRLPVIKQDEVTPTDNFTTPLL IDRAWFDLSYRTVKTWDFEMKTHTDYGWAMYGFWGTVLLVGMFQNLFHHLTNGRSVTT QPDVEDGGSQERTNINKTKNPIESAYDWTRTHFTMPGMIPDRHKQLTWGCTFPTRADA FVIGSFWLVSLLLCCVNYDAFQGNLYWADTASQVWRYVADRTGIIAYANLPFIWVFGV RNNIFLWATGWQFSRFNLYHRHIARVATLQAIIHSIGYTVFYFLTDPTGLFYHTSIFD GEYDAYLWPLVAIWGFDRLLRIIRLIYCNVHVTMKKCKGVSFSEAIATYDTSGNMIRV EVSLENSHLVPAPGQHYYLYQLGNWRLYENHPFTLAYWGDAPTPATPVCGSRNEEGSS SPTGSASHSNSLRGSDTQGVVGDSRGAKLVFFVRPCDGWTRRLRDECVRSPDNTTTPK ILVEGPYGTQEKLWVYGRVLLIAGGSGIAAILPYLLDHVQRRAVPDAKCRTQRISVVW ADRSETYMRTVAAEELAIVASRSDTDVNLYVTRGKAKVVGSSDEKEVGGAGQKSSSAS ESGVDEEQSAVMYGRPDLQSIIAKVAQETNEERLSAAVLVCGPPVMADKVRASIVEAR REYNSSIDYFEESFGW
SAPIO_CDS2384	MEELELSPISTAHREDTPEDATEPSLPPTDSGRDAWLVLAACCI LEALVWGFPFAYGVFQEYYSRQEQFSGNKHQLAAIGTTATGTMYVTAPIVYSILKRYP QYRKTISVTGFVVVAASLIGASFANSFPALLATQGVLYALGGSLHYFPAYIYLDEWFV KRRGFAYGVFIAGGGAAGIVVPLLMEWILHTWGFRTALRVWAVVCIVITTPALFFLKT YPPRNHQDRAQQHRFDVRFLKSPAFWILSLGNVIQSLGYFMPLLYLPSYAVAQGWSPL TGTIAISLCNGANVIGATFVGWLTDRYHVTIALNFCTLGTIVAVFIFWSFATYQPVLY IFALTYGLFAGGFPATWSGCCHPVRRRYPVETGMIIALFTAGKGIGSVISGPLSGALV ASDSWRNRVGYAYGSGYGYLIIFSGITASFAGIGWIGKRWRVDPAEGAPHHRHQSSLE DVLDFPPLPPLSPDQRDAAQRKFHHIIDHFERLNPDQWLHRRYNWPVLLRLTYEHSRS EESQKHFLRAFFRSISLSLDGEDVDFSRGGVEEILQPLIVGFADYLVDNFFLPMKATS RATPQPTPEFHSSVLMLQGANNEYPGTPNRLSALRGTCLLRDRHRCVISRAFDLTEAF ARRQKYGLNYRDDDGNVLTPPFEALEVAHILPHSLMKAGSTTEAENSRNAALSILNMF DVGVSHLISGTDIDRPMNALTLTQQNHHLFGDYRIFFTQIPNQQPHTYRIETFFPDML PAFPLTRTLYVTEDRTIEPSSPRLLAIHRAIAHILHLSGAGEYIDKLLRDLDSQLVRE DGSTELARLVTLGLGGWLDGRVNA
SAPIO_CDS2385	MAPGATKLLAIIAAVVLVLATTIAGQEDDFADHPPVHEGWVAFG DSFSAGIGAGSGSNVPGDVGSGSSCRRTRGSYPVLLNSSPVVMQDVVDHHFTFLACSA NEIRDVLDTQIPDWVGTGRVGTTDLATITIGGNDAHFGDVVLHCFYNVPLLCDDRLAK ARAAIETLHVGLADVYTKIVRQRLDAGWTTGFLLLVPLYPRFFSIETNECDGRTFGAG RALTQAFRKEINDLVDAVDAKIIEAISITNERVGLEAVRHVDTNPTFDEHRFCEPGST AIETADTWFFVIFDTDTPPEEGGNPPVSIVPPEECNDLLTKFGLDMSEELGKAIWCAV QEYQMPMAGINPGDDFSSVFGHTFHPKSIGHDGIKKAIEEESKKLSRQRLYPVLIVWK GSVNSFRNFIAGSAIPPHDRVTKRWENTESPLQGYSTWVSARTADRLLDNFPDRIMSV SFEPRLLRANLPPDSLLPTDPIPGGEGDPQAPVEIPSLPRRSSQEEFEHVNETLGEEG HLPGGLFRRQVYTQADLVIQHKVEGGVEDWHLPFISEPPQFVGDAYIFYPGYVHRPTA GYDTHVYVVDSGVRRDHEEFNDGTEPLIDHWFTATGLSGTSLPDDEEGPTPIDDTDNH GHGTCMASLVGGHRLGVAKRTKVVPVKLTHQYTMVDDLAHALFQSLQFIYTDVVTKNK VTLSVVSMSLITGCGNWVNPIYNTPPETADPLVPFLTELDKIGVLVVTGAGNDGKNND DPESKSSCPQKYARPGQAGEHNLVVVGALNQAGQVPDFSNKFDTVFAYAPGEGIRCAN MTSPSDIRPGIAGTSLSTALTAGLVAMLIGRPEIHSEILRKGDGTARPFARNLKEELK RYWTFATAYGEGVPEPRVGTYDYVRCQERPSVPMVPDEIPPVPDQPRHGVRAGGVALN INGAYCHGREQLLRNSGYDLVGCVADLPKGWGTSIIGKKAGDFNSPAECAHYCDTDYD YPLVGMRGTYDIYPKCFCGNNMPLSGIRPIKRCNLVCGDGLSVCGGQFAHNEGGDLSI TIWSVTGQDISVIEEVPGWYHFTCGQDPPEGNLFPAFSFRGQDTTASLCASNCTNFEA FGLKNGNECWCGPETVVDNNDLSVTQCNSQCAGNRGYLCGGTNRYTIYLKTATGPRPP SGWAFTGCFSDAEDRTLKGAAFHNQQDLTPDKCATLCSGWRHFGTENGNECFCSNSFP RNRKLRVEQCDVACSGDSQVKCGGGWKISVYEDENWVDTTEENTTGWQYKNCHIDAPE RALTGDSFADPNMDLDLCSKRCCGYRYFGVENGNECFCGDSISSPVVPDSECGTPCAG DASIPCGGGWRISVFQARPGEGQPVCSISTATTSSTPASFEPSSAITSGVTSTPTTTT PRSTLPSSSTLITSTRTSSTASSPRSSSSSTPSSTSPIHCPSAPPRCPATECQGTHVN GQSQCRSTALGGCACTPTSDTPGFTCPPSQSCSANNCAGEFTLSNGIATCRNNLAGCR CTPTDQTCGNPLSCDLNNCAGEWESGTGIARCTNFFAGCRCQPSARVCGTRQACDLNN CNGSFDSDGVARCKNFFAPCECNATPGNCGTPQSCDSGNCVGSFDLSTGLATCKNWWQ GCVCNQTPATCGRAQSCDLNNCNGSFDANGRATCKGFFRGCECEPTPRVCGQIQPCNR NGCNGSYDPNSNIARCRGNFAPCQCIPTTETCGEPQSCDRNGCAGTFDANSNVARCRN NFEGCVCSPTPSSCGAPQSCDRNGCDGTFDANSNVARCRRNFAGCVCTPTSQSSCGTP RNCDLNGCAGTFDFNSNTARCRGNFNGCVCTPVFPGTCGARQNCNLNGCNGFISGNTG QATCRGAYQGCPCNAISSTPRDCGTLQSCNFGDCNGRAEPGQNIGFCQSGNHVGCACV PGNNPPPPPPPPPPPCNSDTSCECHLSGSGYSPSCRTLAESMSTSSQLFSATSSGQCF QQGSRRWCEIQRSGSCAVQVGWNADDYSNGNVPQ
SAPIO_CDS2386	MSRPTTTFPTVTITIDSSVWFDQASYGVHPLTTIFTAPAGCSYP FPLSGISSSCVPPDWSLAAVDPLGYYSPGICPAGYTIGCDAWDSVRISWYEIARDTTY LPGDGTTAKLCVPRKVVPHSSGFECAPTSLWAASVTSTSTREVRAIEIRWEESDLIYL ETHPLSITVTSASSRGATSESGLPSKPDPDNGLSKGAVAGVTIVALVGAMLIAGAAFL LWRRRKYVSTGGPESVVGPAMQGPPAAQASLAQGPQILGQVQIPQKPHNPTWGGGQQM YPSAAQPVYGPHISTGTMSTSQFSQGQKHASQISDLSTGAGPLYFPQQMVVAPQDQIS APQSNTEPNITPQTASSQIATGAHALPTVSGGSQSPNSSSPQDAAAGLSGQKSGVSPM EVSGTESVAAPVELSSVPHPRVNQHIPDYVSHG
SAPIO_CDS2388	MTVELKPINGTDFYLWKYLPSLPAAIASALLFTFVTVPLCWRIK KGHGRSLFFCIPFAIGGAFQVIGFGTRIISYFYTNEIAPNVIQSIFILLAPVFYAASI YTVLGRLIRSIHGDRFSIVRPTRMTKIFVLGDFVALNVQGNAAGLTAKDNLRKIGEGI VIAGLFIQIFLFGFFIIAAAVFHRRYRRHLSKTSEPSLDVPWRQGLRMIYACSALIMF RSVFRVVEYIMGVDGYLLSNEWPMYAFDTIPMWIVQVIFLLWFPDKFHVPPVDDGEVV LLGRIRERYKGLLSRSA
SAPIO_CDS2390	MDHFRATTVQNGALVSTRRGLQVAKVKHRGTRFVNTFAATTPQP ENSTQRPDGSTPVDLPKSLEARFGFVANSKQVRKSSGARKGKNSVPVRKKLMVRRKAT NLSSNDLSDDLALVLAKDSARLPPISNWRPKPWKTHAGYNHFGFEIAEMSGEMVHAYF SLVPPKMYPLGEILLFNPIRTPSFFERAHSNLVTMHCVAMAGSLLESVVRGRRSSTEM AFYIGKVCNMVNEKLQDRFYRIEPTVLECVVAMATLAGLRRMIELCNGLQKSFGYCLN KVRRLVVGTEIFLMTWLKSHFRGDIKGAAIIGALPYLDYPRFYDLISNKLAPEVRADI VDKATSVLNPCALNPVITDAIISLAKFANIVTIARDDPTHSMKFDPDAFIEEWFWVEY KIIKYPGPLRDDTTSTIGIPPVAVDKPARLQTYNAGVPRVPSIKYSPTPDLRPTPSKN LLEPAVRLAATLYIEELIPDDPRSLNAYAILLSLLRYQVDTILKQLQSRIIFGMLLDN NNQKDGLPSFSALKPVIIWVCIVGYITSLIADKYESSQNSDRHDRTVFRECLSLIVGP TPECVDKMTESDFAMCRVLELGWLKMNDWGDRKILKLIIKERIIGELQNYDYY
SAPIO_CDS2391	MPTLIASIVAAATAVSALSYNGASPVRQVIANLKAVSPGDSFIH LGSDGVLRVFTPDFQVSDMAPLDPIQIAELIQILPATEGTPDFTGVDGRNAPESTLRQ PSDRIVAEAAEMVQKTSAQLESPNVNTLQARQRGCWDVLYGYSERFSEQFN
SAPIO_CDS2392	MSPTSENSGRKKSLIVNAFVEMCSGHQSPGLWRHPDDQSWKFTD VEHWVELAKTLEEAKFHGIFIADVLGGYNVYKKSLDPAIITGAQWPVNEPLSVIPAMA AATKSIGFGVTVSTTYEQPYHLARRLSTVDHLTKGRLGWNIVTGYLDSAARNLGRTEQ PAHDDRYAQAEEYVKVMYKLFLSSWRDDAVQLDREKGIYTAPELVREINHQGKFFTVP GPHIVQPSPQRLPLVLQAGTSRAGKQFAAQHAEAIFVSAHAPNVPAKSIAEIRQLAKE EFGRDPNNIKVLALVTPILGRTQEEALEKYKEAEKYASDEGALALFAGWTGIDLNEYG DDEELREVESNAVRSTVRAYAQFSPQDSKWTKHTIAKHIRLGGNGPILVGTPEKVADD LETWINVADVDGFNFGYVLFPQSFKDIIELLLPELRKRGLFWDDYAVPQGTYRENFYG RAGQKYPIDEHAAAAFHWKAGVPASEHVIPE
SAPIO_CDS2393	MPANTSRPHGESEEAPPKDQQYPVRWNKSTFYNMTVLGLCNLAA PGIWGAMNSLGAGGAAKPYLVNTANALTFCLMVVSCYFSGVLVHYIGIKGALIFGTIG YAPFAAGLYTNNRFGTEWLTLFGAALCGISAGVFWMAEAAIAIAYPEPWNRGKALGYW LTYRLSGQIIGGAINLGLNIDRDEAGKVSYTVFLIFIAIQAAGPLVGLLLTPPGQVER KDGKKVNLSIVQNPWLELKEMTKLFFKKEFLLIVLLIGQAVFAEAVFFTYLSLWFSVR ARALGSFLSGIVAVIAGNLLGNWLDRTKISLKTRSRGAFWTILTLQGGWWIWATVLVT KFRTTRPTYDWTSPGFGAAFAVFIFLTLGFQINYLFLYFVIHNLAKDESEVIRYAGLL RGTESAWQAVSYGLSSVKIFSEVGGVYLNFGLWGISIFPAWLVIRHFGAKVVTSEGAP VSLNEKEGVSSDGDVPGKEGRP
SAPIO_CDS2394	MTTYFIAHVLLIRFVCLAGLADLASADDGDDFANNLITDLGPIL ALFGERVTMQFMSRSLGWADNILLAMAPLGIITIIVAAIRVGGQGWMKAVIGRSRETL GSAEMELMSSTSREVCELWNGENLVRVMGEAPVREFVFLEASDPKSAKLVSAELSSMA CEKGRQVGGRDFRLVQPHILEPIPLELPKPKELPKPKDSFIISDTSDDAPNIGLNIYG HRNNGELWFLAVFGIILQVGVLMFGGYTAYTPSDRFLRDGASASAYAFPCTAVGSLLL VSGLILCSHVVESRTNENRYLLEAPARIVWLQRAATVNDQVFDSYALYMDQKPLKLMT SRLDTNQQARSDIGADNDTDEKRKFRGTILKSWTLRELKTAAGVLVSLCGFLVQFIGL RGMHWTVTIAQLVATMVMTAIRVWVRRESSPSVTTVHSRLLDKGFELDWLALSLGNKG ASRWPSRSGDFRSWDGRVATANCRHKEAHGEEQEEEQTEEQKEERKKEEQRQPALVND ETGGPIWDPKQIVEARKSMANLARWPGVASAEAVALATAIELTLHALPPTIQDQNGKN FSWRLPTHNGSGEIEIMATRVGSQWTMLTDGLDAVLSLWLYSVNNQEKNYGSKGRGLS SGRPDAWTPAMAGSLKPSLVLLGLDSSELRHGIERWIPRGPKYIRVKPKIRRAADRVS LEGEPAEEEADSPNGTLHVQAHRVVGCGLGAPDTDTYHRLDYAGKPNSSQLLALESQM QLNVLYAQDIFSAFMWHLASHTPLLSGCEGWNADTGFPMRAGRDTDVMFRTPVLSSLA QDLQRSGLFNIEQAYQNIIPPLSVKRRLPQGETLTTEVWKSAMEAHRSGRYDDAAILV QWILDIAGLFPEVSAVAIHAMAAARIYYHELVFANYAMRFVYNQNRHALYPIPCPKKL RIIALSETLQRRHDSKTHHTLTTLRSWQSPPKHDDGEASGRKSMSDVERVACQYSELH AAVVSNSPEGARAITRRAPASLNRADIVGWTPLHYAAALVSADEDAFFESAIQIVEKE NRLEPVSKRSISAQDLRGWTPLHYAAQNPNGEGVTDFLLEYGADHACTGYDGSTPLHC AAEAGIAANIDILAFWGASYDVRDVMGFTPLHRAIIKGHFEAAEALWGHMPIKAKMGG VVETRNYYHFAAWYGRDDILKLIYNDDDKVPGINAKDTNGSAPIHLAVASNAGNAVHV IETMIELKRINDLKDERSKMEDIDLMATDRSGHTALALAIALSVDEVRIILENAGLGL 
SAPIO_CDS2395	MGGFKDMPTMDDIPSLHLQIVHRCGQGETRWYDSPLLQTVRSPE ATPTKFRPASRASDQPTIPSPDIFRSLTDEPPKSATALPTAGECAVHLELLEVFHALR TRIISTRTLDWALGIRPATKTVYRRTWVGHRKYENKPTTVKDPTFEERSRRKWRVYLE IAATRFIVWSEKIEALMRQVGGPHAEARIPHLPPLDILIVWHSFLLNPGDYQQFCGRH ALPYLKHAPFPWVQIHRAVDSSRTEFEYSMPKPAEEWFTEATGLDGDLYGCISFIGNH KPDSVVHKALKWYCGDAVGGLPTVYQCLSSYDVAAGKEPTDHAFLLLLVETEKRRHEL LPLCQNVVRQGAFVDKMHAHLWIRSPAFGGTLSRAVDRYDNFTQLFRIKSGSIIVPTL DMDLAWHTHQLSHIRYKTAMEQIAGRFIDHNDKLGTQILSHGEEFTRKAYESTFDEEY SRCLCWDCEAMLSAVMQDDEIATIDERWMKETAEKVREDLQYYRCVEIERRRQKGSVT RLPVRQEETYAASGSRPSKYLT
SAPIO_CDS2396	MARLKDIIVAQVSSSSQRHQQCITPGPDLPWEHIGSGPERLLEE VEKLYWHGVRGEMKNIIETLRTWQEYSSKDVDDPIPSQLDGYDTFITNITQWPRKAAE IYNDDLGRAKDLADFPALHWLDMSQYETSTEKVLVQKKVQIMTSKIKKEIKSQIKPVT LEGLEQKAWLLLQSSGFLDIDKIIEHRPPAHKSAKRPAIPQLALSGFDKSGMPKFEAQ TCMQHGCAAAIVSSYFVSKKPEEPKIVCETCYRQHHYGKESYVKTYKHLLCNDIITNE VASQICKCTSVKRHDSTGKAVDLYPYDDTAGHQADCGLSRLSEVEAQAKFEGILAVSG RNHKTKLAEVVHAISMAGGSGSKMQPYLREWKQKSHRAITDPAEDNHIPAFFDRFADK DGLKNVHMSLRVGTLVFQIGVANTKSGALVTIREPPVFGQNAPDPTFVRPCLAVGSSP RELWKQDRPVGVRKRYAMIMKQVVGSAFVNCPENGLERKIIDAVTEAAKSPLSAEKGV TQTQDQNIDAIVEMFKSLVGGHVQTYLRSITALLLRPEINLTWSAANNSCQRFCNSIL DSSLFGPLFARADSSPSVPLYLMSFVCQDEGYNQRVVRSRHDVPPGLAEEYLGNFYFG RHDDSDLIDSCQEYWYDWSGTGPVVTKYDDLFPYDCTRAYAGGDNNLELSCGRCSLSR HLWASPFDSWAMVSMHLMRDSFMYPASTVHHQSRNPRGGPASWMRNRLLALHSSSVLS RVATAMAGSPTFRISTVWLHGKGSPLLEKNPGLSRVRMGGIHRAQPFSHYYEKGTNRL YFVADWASRLGRIQEYTTLRDNRAKSVGIMKLPKEIHFLEPQDRNTKRTADNPYGGFR GAMTQPDVEALESERVRRTTNLANRQMATTTATFTLLGGPVAGNGTGGVLVEGQRAVE EVPEMEGAAAVGVEEEEDVEGGEGVEEVEGAEEEEGAEGEDDVVEVLCLESYPYKYVG NVGVGEFLEAHKFEVSPRNYFQQHFIADKSY
SAPIO_CDS2397	MGAMWKTFFYGLPVALSLGRAVALPTNGTILRVRADVRADVRAD GPEFDPTDLSHIKKLAAIGDSYSAGIGAGDRLGTVFDLFVAESGAVTKDVIEKQIPNL DGDQQAILLSIGGNDAELTDVLNQCIFQWAVMDRAQVLVAKAAAFSRNFAWAADVDWD KLGTGCEAQLGITQAIIHNDAFRKSLDDVLNAAKSKLAKDGKIYYTGYGKFFAEDMSE DCDKVSWSTWIYKSWNIGYPTAYLTRENRSKMNKLVDDMNAELRSAAERAGPSVKFVD YDSDIGRFGGRYCEKGVDESTKESNTRTGLMFYELNTFDPLGNTPWKRTSASELQGTF MGDLNVYAQITRLMDPESRFRAPLVDAGQQQTPAQGQVAAAAGPQEAAENDDPNLRKR EIPNVMPDGYGRVFHPQVLLHEIIANLVLFEMSNDRETAAGFEELPRDFSWDSCPYNP PFPTLPGVDPNPKPQKEKWRMTLYDNVWECNQDENTRSREIWGSETGKCYTFDWDMPG TSCDQYQNGGKSERQDCGGFSLIPQSIRVSKDSDCELYFGENCTQDYTAFVTDKCIDT AMTLQSTRRFRSFKCN
SAPIO_CDS2398	MRFFSAKPLLVAALTFAGLLTAYDLKEEKEPQGGLKIRLDYDVA TKWPKEAKGRDGEESNQAPRNWLFSSHVSYLKSVSEITDGQLWQLAFDAYREMEDECA AYAIGKKSIPGVMTILAVGEEIFLSSSQKGVDYTYKMAQDTPVRQSLEMCRLVWRDQG QEAQDEHKNKGACGEPMVAQQYYSIHEKPLKERDPKPRVGTVGRRGRDYIPMDPCGTA PEEDYWGCNLFVEDQGLIVLDRNISPEPVDYKTVAGGITGIDQVKMCTVNSD
SAPIO_CDS2401	MSDGLVRRTPPSRDPEKSDLDLGDDSKGLAETNIIRDGQQTVHI RGLETSPETSLHRGLQARHITMIAIGGAIGTGLIIGTGKALAQAGPASVFISYVIIGM VVFLVMAALGEMAAWLPISAGFTGYASRFCDPSLGFALGWSYWFKYIIVTPNQLTAAA LVIQFWVDRDRVNPGVFIAIFLVLICCINYFGIRFFGEFEFWLSSFKVIIIVIIILFS LVLALGGGPDHDRKGFRYWNNPGAFKEYINEGPSGRFLGFWSCMVNATFAFLGTELVG VTVAEAQNPRKTVPRAIKLTFYRILFFYCFSVLLVGMNVPYDSEALAFATKAKPGASA SPFVVAAEVAGIKAMSHFINACILLFVFSASNSDLYIASRTLYGLASDGQAPAIFKRT DKRGVPIYALGFSALFSLLAFMNVSDDSTKVFGYFVNLTTIFGLMTWISILVTHIFWC RARKRQGLANEALPYVAPLGIYGSYGALFMCILVALTKNYDVFVGGDFGKEKYKTFIT GYLGIPLYLIFIFGHKAVNKTKGLRPDEVDFYTGKDIIDREEEEFLARKAAERAAKGP SRGGWFYRVFISWLF
SAPIO_CDS2402	MTLFSALFLLTLSTSSVIAHIVPLGSISIAPRDHQPDSETIAAW ETTGSRFSYFDHSDPQRCVRPCVKYCKDVEHKGGPKLDDVLCAVFLSAFDTNIQTGLL LVPGGIEEEIASNGVRLAVQGAKTFTENGGDAANFFGGWVGPACHMPNWNSDVLSMIF GVLNNAPDSTGTSIGCVQKNKAACRKPDRKPDPPPKSKGPDDKPKTEDPPSPTSKPSE PDKTSKVATSTSKSTTKNACAAKSTSKPNHGTKRTDDSGILDLLRAPTGRAAELITQF RNSARKPSGQTSKRNLEHDNLKPRTGPPAGYMEVVPSSMNFTQDGRPNVWSRDYGTCP EVVLVGKPKSSNHYKGNLLHMSLGESGEGFFKVRQAFNGLIDAVTLDGMTDMRGWL
SAPIO_CDS2403	MITTELPGGKVHDLPEDLADALVADETAKTAWLDITVLARNEFI CWINDAKQKATRERRIRRTVEELNEGKRRPCCWPGCSHRERNGHYNSTDLSNIASIRA NPQTIMHLHLLASIWAHASLASAVITWQLQKSPNPTQDQADAYSRIESAMTLAVERYT KFAVRPNKALTVQYVPSVATADGNYNGNIRFGSNRSYMNERTALHEISHTLGIGQTQA FDARCSTNNWPSATELLKSWDGPAAKINCGGGHIWPYGLNYDDEMSETNADRHCQLID AMLGDGL
SAPIO_CDS2404	MDDKPLCLLALDGGGIRGLSELVVLEEIMNRIKYDLDVDEDLLP ADFFDLIGGTSTGGLIALLLGRLRRSVPQARREYVRIAEEVFSLPRYLKKNTFDGQKL EGAVKRLLGNDRSEEKMLEKDGSCKVFVCAVPQQDVKSRAGPRMFRTYRVRENASFNC TIWEACRATSAAPSYFEPIKIGDDGEQETFVDGGLGYNNPVEQVLEEARRIFPRRKVA CVVSVGTGVANVIAFPDSPKTSPVKLISALKDMATESDTMAEKIRGRFQNVKDTYFRF SVDRGLQGIGLEEWKELSNVRTFTTEYLNQHIISEQVNQVVRALLASKVVSQEGPNRL IQLSGQSSGARGPLGLPQTLEWRPNEGSIPSFLYTTEQLASHIVGFLSQRHWIVPFSR NDDFVGRGEELEQLLAKIPPEANKDNCQLTALEGLGGVGKTQIAIEAAFRVRDQHPDC SIFWVPAVDTTSFENAYRKIGQELKVARIDEDKADVKTLVKAALSRDDAGSWLLVVDN ADDTDLFFGDTALANHLPSSRKGSILFTTRNHEVIRRLSIRQANRIGVTAMSRDEAVD LLQKHLRAEQTSDAKSTMDLLEFLADLPLAIRQASAYMDRTGMTTTRYIEHCRSSDAD LIKLLAKDFEDIGRYKSIQNSVATTWLISFHHISRDNHLSALYMKFMSFLAEKDIPRN LLPPGDGQLEMDEAIGLLKTYAFISERAGQESYDMHRLVRLAMRNWLAKERELEKSVT AVIQRLANVFPVPKHENRGVWMRYLPHVFTALEFGGDSTDDASKSRLLYNLALAVSNQ GNYREAEQLCRQTLMLRTEVLGAQHPSTLRVMNSLANTMWNQGNYKEAEQLYRQTLAL QTEVLGAKHPSTLMTMNNIGSAVLHQGNYKEAEQLYQQTLALRIEVLGAKHPSTLVTI SNLAIAMSNQGNYKEAEQLFRQMFALQTEVLGAQHPNTLRTMNNLANIVCDQGNYREA EQLYRQALALRTEVLGAKHPDILYSLLGLADIVSNQGNYKEAEQLYRQILVLQAEVLG AKHPSTLLTENNLAIAVQKQGNEKEAEQSSRG
SAPIO_CDS2406	MAKRLAGHRGNSARSIWIGLAISLAGFVNDIDTGIIASTIAQDT FKTYMYGLGTNSASVRAGIVSAFGSAGSASSIDKISRRWTLLLGFVVRVVGAVLQTAA QNPAMMIIPPAALLGLLTIILPYSPCWLDMKERYDEAKAVMYSLPSHRGSDVIEAEFA EMCSQIQLERNKKTMTNFYNLWTRKYIRRTLLACLTVNMMKLSGSNVIQNYRQKFTV
SAPIO_CDS2407	MVATMAQRLQAHRIDTLKQVASEINQEAGDGVRVLPVKLGVTNP DEVRTFVEKLPTEFQEVDVLVNDAGLAKGVAKAPEIAEDDMKVMFATNVTGLINMTQA ILPSMLNRNNGEGSGDIINVGSIAGREPYVPLTINIDIPDSEKSLSN
SAPIO_CDS2409	MRRQALLNQVQIDRSLRLTQLDGITNYETRMVAEVELYWIIYNK CGSHPVTLSETKLALRDWQQEWASLFDEPRSQFLQMGFHFAHLLANYQSLKAPKSVIH SSILREMIRLSEAIINLAIDTTDERTRHLTDHIYHIVTFAALTLCKIVRTYEPKLSAG DYDAARLDDLVLKLIDWLRSIGLRGHAAHLLGDIVSAQFSRLRPDFHATTAPLTTSYP VAGDNSVGFFVDGELSLPPDLSFQPSRTGENLLAPMAPRHVASFSLDAPAILRPPSDP DSTPPGYLRRMASDTSMAGAHDNGGSVADMLRDERSNAAKHGNIEHEVIRTDADCIEV RGQPHEPDPTFDVNGAGDYLLPPRTLASEGPTDSGFASQCLDGFYTYFFPGHPFVLPK EALLRYAITNPVPELISVMAFIGSLYIQDVRSSRLQEDAEKVLDKDLPSTGFSVQAFL LLALCLEWKGDGDMASNVLERAKSTALELGMNRPTFAMECGHSDSVLEESWRRTWWEL YVVDALFAGIRHWPTFSLLNLGMDVPLPAEEEFYIAGGDLPRPRTIQEYDDRCFEDGE DQSFSSFTYMVDAARILGVTLAAGDIAGGSPESLAKNAEENIMSWHLHLPQHKHDPVR PDGTIDEILFRAHLMINVVAIHLHRPRSQLTYTTLEILCSKRFKLQEPA
SAPIO_CDS2410	MAYHHEKHSNATQIHTSDQYDEFISDEAINVPGYDPTINAQSGE TRKALLKVDFLILPFIVLCFCLLQFDRANIGNALTDTLREDIRIGNSDINLAQTLFTV GFIITELPFNMISRYMGPERFLPITMFLWGVATWAQIFLKNATGLCVARFFIGTLEGG YIPGFALYISKYYTNDELALRYAIFWASNSVAGALGGPLSIGLLSLRGKGGLHGWQWL FLIEGIMTCFLGIVAYLYLPHGAAKAKSFFGKTIFNIFTEREASILVTRVIRNDPTKA LRYGKPVLLSHILETFTDWRLYGYLAAALLSMVMIAPMNTYAPSIIKSLGFTALQANG LNSVGSVCALVWSVSLAFSSDKFRERGFHIAVGYLWGAAGLLWLALAPEGVGKWTLYG GVVWTQMGMGSAQAISAAWLTAKMQDYKRPVALAAYVMSIQLANFPGNQLFRSQDAPR YTRGLIIAASCAIAATAIILIWKLLYRLFDHGDAGVESKFRIALAEERSLK
SAPIO_CDS2412	MGTGITSILLHEFPYNAQWLRYISYICFGLNVLLFTIFLALTVI RYVVYPEIWCVMIAHPAQSLFLGCFPMAFATIINMMVLACSHWGEWLIYTAWVLWWVD VWLSLATCISMPFIVMHRHRPNLENITAALLLPIVPSIVASASGGIVAEVLPSTDHAI MTLITSYILWGIGEFFTGCVLALYFHRLTVHSIPPKEVVVSVFLPIGPLGQGGFGIQE LGKVAAKVLPGTTTFGVIDNGAARAAETLYTCGVFLAVMMWGFGVAWMTLAFITIATM KKFPFNMGWWGFTFPLGVLATCTGSLAKNLDSGFFKITTAILSLLVVSFWLLVAIRTT QLAPRTMTSNFNLPIDLERLQNDRLKLVPLEDNLEEWAGAYVQDANRNPHVYDWLTYG PFADAAEYICWYNETSRNNTSELLLAIILKAGTVTRKDSGTGEMTAIEVTDGTFAGLC GLVSQPERATVDLGQLLISRFQRTFVGTHANALLLHYCLDSVEDGGLGLRRVQWQANA SNVASVNAAKRLGFQLEGVIRWQQVLPIGKRASEGAGLEREGLPRLGLDGRELGPGRH TAMLSLCWDDWVGGGREHVDSLVRR
SAPIO_CDS2413	MKISTLCLVAGLSHLAYAHTIFCQLESEGTTYPVGHGIRVPTYD GPQTNVGAATMACNGPPNPTRPSDKIIPVKAGSNVTAIWRHTLESGPADVMDPGHKIS GIGYNSGTWGTDIVINNQGKQVIHIPECLEDGQYLLRAEMLALHGARSPSGAQFYMEC AQIEVTGGTGTAKPQTYSIPGIYKANDPGVMIDIYNTNLNQKAYTVPGPDAFTC
SAPIO_CDS2416	MLVPQAAAEQTTMQVWIPMVPPGSPDFYDEVEGVVETVTNGQTV LGIRYKASAAPADYYPTQTITVGQNTFRYSLTRPYGASIVDCTMTGCPTATTATCVLI ESESGKGLSTATQTVDATDISFGPLSLATPLPDSTTPECGAENADKTKTPSNTETGTG TGASASATGTGGAGSDSAGLKVVGSWRAIAAAAALALAATI
SAPIO_CDS2417	MQLRHLIVGAALAVGPSLAAKLKSRSVSCPADAPLSCQNTTAYD NTCCFNYPGGQILLTQFWDTNPPTGPEDSWTIHGLWPDNCDGTWEQYCDQNRQYRNLT ALLNASAPCTLSYMQTYWKDYQGDDESFWEHEFDKHGTCMSTLDPSCYPNYQPGQEAV DYFKRTVKLFQTLPSYDWLAQAGIVPSDTKTYTLAEIQAALTAHHGHNVIINCSSGKL NELWYHFNVRGSIQSGEYVPVDTVGSPSTCPKTGIKYLPKKISTSPGTSTTTSKTSTA PAPTGTGSSSALSGKGYFYVRTASSSSDGFLISGGKWYRNGGTPATYTATPNADGSSF TLTTSKGKCAIQSDSSLTCASTVSSASSFGYDGTYLTYEGSSAFYATETPSGTAQGTV YTTPKDVSFQAYWSAL
SAPIO_CDS2418	MCELVDAFHMSSLSIAQVTAPQTRNSKSSWNLNLINTNGMALSP HDGYSAPYQRILDELHRAQYSERESIIHVAHHLILTSRSSFIKFATLSLLATVVLLLP VAGNKDDGYLDLILPSLVEMARQIGNFGAACSLRKFILRRQHEKSKEEKPGDSLRRNI FEYYNDCQSLTRAAAASGKCQLPSEFSSGQNAFPVLSTFGIGWQTLLDGVADGISSTA DGFGRPLLHVILDEMPSSDSPLWAFSQSNDQRLQGLYKRSGVNTQDALGRTALHIACS KGLDSAARSLAQAGASFDLSDSIGRIPLHWALLERCGKALESFCETENRQCLLHRADS TSSTPLSLVLTLCELGDDFSMEASSRLHNLWCTHRRGSCKEHEGWGLLHLSAIQNRST AATWLLDAHFRPFDCYSKSGRTPLSLAAERGHVEVLQVFLQTTEVDPDSQDNSGRTPL SWAAENGHEEVIRVLLGRSRQPTLHPVDPDRKDEFGRTPLYYAVSRRRLNAVILLLAT NNVDPLSETNEGETPFSKAEALEDLLVVDALHGALRAKPSRTHALYSASERKSPGGFF TGFVRGNDENWNATEATGDDALQKCKMKGISDFRRFERTK
SAPIO_CDS2419	MASSTATAEVSVTTTTTSSSPEDNHPHDDLITTFNAVIWVLAGL SGFFFFLRVYCKITRQRGLWWDDYVMGASWITILISCIFGSISTTMGFGKHSWDIDMT SPDWPKTLFIMYMTGFWSIWAAAWSKTAFAITLLRIATAATAKIKGLIWFIIVTVNVG LTLAAIFMWTQCNPPRKVWDTQVEGTCWDAKVIVAYNSWISVWSGLADIVLAIIPWWV ISRQSMNYKEQIGLLICMSLGVFAGLTSIVKVITMRAITSDDLINTPPLNILGIAEGA VCIIAASIPVLRALLGSPNASKARSQGGYLRSTNNKTNISQGLRDYEVLDVESDKIKL TTVVQVTSEIKDSSEHPKSRSDLGSPRDEAWQY
SAPIO_CDS2420	MTWESLAAKKRASVVDAIPPEWRLKEVPSGDSVMSVPKESGILS SEELTITESSATDLVNCLHDFFPDYALARAKYLDDYLAKHKRPLGPLHGLPVSLKDQC RIKGFETTMGYAAWIGKTDEENSVLVSLLENAGAVFYVKTSVPQSLMTCETINNVFGR TVNPRNKNWAPGGSSGGEGAILGFRGSVLGVGTDIGGSIRVPAAFNFLYGVRPSHGRL PYAKMANSMEGQETIHSVCGPLGHSVEDLRLLIKAVLAEQPWNFDSKVVPMPWRGAEE DAVKAKIASGGLTLGFYSCDGNVVPHPPILRAVQTVVEKFRGAGHTVLPWEPYRHPYA VNLANRIYAADGGADIFATLKLSGEPSIPHIAALVDPNMKKADLNEVWDIQLEKWNYQ MEYLARIREFEASTGRELDAIIAPITCTAAIRHDQFKYYGYATAINVLDFTSVVVPVT FADKAVDVKPSDFEPLTKIDAAVHAEYDAAAYHGAPAAVQIIGRRFTEEKIMAIAEEL GRLLNQ
SAPIO_CDS2421	MVEYEVHHVSLGPEEPINLEGSYPLLGDHSRSGLAKVDPRFVDT HARLSRSMRLIELAGKIAPEILSKSPEQPDDSLPQAPPREQRRSLSPGLQPEKNRRFS VANVLGSCLLPLWLVFPNKVRAIVYNALRNLGKYLYGRSDSLTVQRLPFGLYLKYHGD LPLYDNERKALQTVRQHTTIPVPAPLDVVEVTPEDPNDRYSFSNGYMLITRVPGIPLS SCQDILSDQDFEHIGYQMKDYLSQLRDIPKTVNPGMAICNTLGEACRDARVCGDAPVG PFADEAAFSQVLRFSDDPSRRGHRIFFTHADLNPRNILVDRVVRRDGRPGWQISGIVD WEMAGYYPEYWDYTKALFEGFRWGNRYTNWVTAVFSAFGDYSRELDVERRSWESGDGV 
SAPIO_CDS2423	MASSLFKVNSEQIDLVRDHVWELEPWWLYNITSAPNKELNNAQV PLYLGSMVGGSSAVNGMQSIRGTSEDYDRWGSFFGESSTWSWEGMLPYFKKALHFQHP PKQVLDDMPGLQYDESYWGMTSKIYAGWPSFQWPGIKYQFEAFTALPGVEVPSDSGVG KAGVYWYPTFMDGEVSVNRSYARTGHWDNLDRDNYDLITGSKVTNIVLEENKAVGVAF RPRDEEEAELTTVRARREVILSAGSIHSPQILQLSGIGPRKLLKEAGIETKIDLPGVG QNFHDHTAVRQPIEYRNYTLRPNHRDDFNDPGFRAWADELWEANRTGPWSIGLWNAGA WLGMPAVSPSEFEAIAAKADAQNAADYLPEGTHPTVVAGYQARLARLADAIRSENTIF YSHYFSGDEPVFYFTFEHPLSTGTVNINVSSPASEPVVDYRTYSNPVDLDLTIEMMRF HRRVNLESPILVQFDPVEVAPGTNITSREDLIEYLRDNTEPSAMHPSGTCAMLPLELG GVVDEDLRVYGVDGLRVVDASVMSIIVGSNICGPVYAIAEKAADLIKRDWSNGSD
SAPIO_CDS2424	MSPLLYLHFVSLLLALISPTNQSRPSTRPQCKVIPGDAGWPTER EWNHLQNGLGGRLLQPTPPGAVCHAEQPVYDPEKCSNITAEWGQYETHVSDPVSVVWN QYTNDTCLPNPSFPCSSDGYPSFVINATMPEHVKLGIDFARKHNIRLIVKNTGHDYLG RSIAPNALSIWTHHMQSVKTHPDGFKPKGCWDTIPGTAVTVGVGAQMHMLFTYLNALN QTMIGGSGKTGGGSTFGVLTSVTVRTYPSPKVLGMEFVFMMEADQTAIFDVGAYILSQ LPELGDNGLSGYVYVAPRMENPVPTPGAPEEIAAVFGVLVLQDTSDDDDILKLWSPVN NTVHSRWPGMVENIQRLTAFGSYSDWLELYYDNRGSGVNSYVSSRLLDNLALTSNLSA LADAIKSTTDVAGRFTGFLVSGQGVRDAPIRGGGNAVPPAWRDAYVLTFTSSEFAPLN DTAEAQALQKLKDAAINPLREIAPNTGAYLNEASPYEPDWQQEFWGVNYKRLVDIKRR VDPQDVFWCSVCVAFSHLSRFIASDEIIRGKNVAGFICHGIAGFPRSQRIRSRPDKLD IRWGLVLVRLRFREMGWFLHDLEPPFQRELWTDRHTSEEIR
SAPIO_CDS2425	MNPTIWKEFDTSCETVHRIVDEIVAEFLLSLDHKALHESGEERY EKQDRNDDDPEPNNCKKYVFLEELAKETRNLIELRDETLNVLVAGRDGLAIKHRPFRS GSTARYLGQRADGGHQDVYASGLQFRETPARRTQTRICHEAAAQTGSPQCSPELASLS TTMCTPCIDSHPSLAKTATSSVRTSETIQAFGPDGATSRKLQFIQPPYHPLIGAKFRV LETTQENFKYAEAALNKSAMDAGYRLCIFRKYPNSTDPSRVTFCCSKGGAYKPSRGRE VNEDDPPKKKRKTSTKKTSCPFQIALRRVCTAWVVEGIRGHEAHNHPMVDASAIPEYR TAIVKSREEQIIRFGNEKRPPREILEFLRDEDEAFKKVNAQDISNFLAAYRRQANATD EDTRDKDSGDGARDGNARDEGARNQDMRDEETQENKEVADPPKEGA
SAPIO_CDS2427	MSKTLGTFRAVYLVALCCVGSFLFAYDTGIIGGILTFKSFQRDF RYTEDQRATVGSNSTSLLQAGAFFACFFIWPFTAKYGRRWSLILASAIFNVGAVIQTI NTHSLAAFYVARVISGIGVGMATVIVPMYSAEMAPKHIRGMLGSMFQFFFTMGVMTSY WIDYASDLHIADNNSAQWQVPVSIQLVPGAILGFGMLLTKESTRWLAKKGRREEALAS LAWVRGGDSPEVQEEFVEIIASIEEENRIKSGITWKELLEPINRYRLFLIIALQIDAP QIFSLVGASQDKLLLTGFFGLVKVVACLFFLLFLVERIGRRGSLLAGAFLMGAYMLIV AILTVKFPPDPDAGLTPASIASLTMIYLEAMSYNISWGPVPWVYMGEIFPTRIREAGI AIGTSTQWLFNFVFSQATPHAVKNLGWRTFLMFSIFNWALAVFVWFFIKETKGKSLEE MEDLFAQSLGVHRDTEATIAEAQLKKGGEDLRVSQVEEKPN
SAPIO_CDS2430	MAAAVNPRDVAPYLNPSLPPPERAADLLDRMTWEEKIGQLGGVR RAFGTSTGKPTFNRSSFETVHATQNGQVGYGPQANWAHDLLPMMNGLRAEQLNSSRLG IPYIVIADSVNGLWFSGGTLFPGTVSMASSWNLPLYGDVVAAIRDENLAMGVNWVLSP EVDITRDPRNGRNGEMYGEDTYLVGEFATRYVQTMQEKDDGGWVKIATTIKHFVFGAG SGGVNRASMEGGINHILNDLAPPYRKVIPEAQPMSLMASYSTVDGVPMSINKYLLQDV LRSILGFEGLIMSDANAIEYLFTEAKVASSREDAALKALAAGLEHELHPGGHGLFSDL IDPAHGGDPSIASLVNESVRAFLEIKFATGMFDRPLPTVENMKATLRNKRHLGLNRNI TRESLVMLKNDGFLPISRDQISSSEGKIAVIGPYADIINAGNYAPADPLDRRYGDSIR RSFERELGRDKIVYAKGTSTILPNYDDDGSADDEAIAEAVDVAKGADLAVIVLGSGFG NFDTSFINNDRTDTEGFAHADLGFPGKQQHLLDAVLDTGVPTVLIMNSGQTFVINDST MSRCRAIFHAWLAGEFTGDAVVETLLGQVNPSGKLTVTMPQHNGAFPAAYDFLPSDAV GGFGGATLYDWHWPQLTRKAPLAFGFGLSYTTFNFSRASISTQQQRRGNDTSAVSVSV TVTNSGDVAGKEVVQLYYRPQHTIIEFPVMKLIRFVKITLEPGESKEVVLNTVPIKEL GYFVNGKWNVDRGNYSFWVGSSSRGEDLTCLDVYIF
SAPIO_CDS2431	MAQSDIAQDTKKTRDSCEHVEKTVLVDHHKKAEAFITLENLPPL DPAVEKSLNRKLDIWIMPLLTLNFMFAFIDRANLGNTKIIGLEKDLGMQGYDFNWAST AFYFTYILVELPSNMVLKRVGAKIFLPGLCFIFGIITISTAFIQNFQSIVAMRLLLGL AEGGLLPGYAFYLSCLYPRYELGGRIAGMMTSSLSSGFVGGFLALAFGNLAPLANGRL HTWRNVYFFEGIISLVLAVVSFIIFPNGIDDAWFLTKAEKEVGRERLRRQELAEHSPK INKRHIKQGVFNLGNWMAAGVYAIINVPVQACILFLPTIIHAMGYTSSRAQIMSGAPF LVGTVTLAVQGYLSDRFRRRGLIVLVFMPFMALCFMLLLIFTLSGPELLKRTELRYMA LFLGVSLGTCGGPICLAWATNNTPNPGVRAVSTALIVGVGTAGAFIASWTYTNKEAPL YVTGHSINMGFSTVAIGIVILYWWYCSWENRQRDLGRRDHRLINLTQEEIDDLGHRHP NFRYAS
SAPIO_CDS2432	MSTSEPRKLLAPASQRKKTVEACNPCRARKSKCDGALPCKSCIR GNHHCYYDSSTSTDRLTGLHREFDEFNKRLLELERIVFSALPSSVSTSPWVGEENLVI SDSQRKKPTITIPDAAGSLSFDGSTQIHQAEIQFDAVTTLNTSTGSVEFYGSTSILAL ARSLDNRLREFEGEHRGFQTLLKKSRTTTRGLEVDLEGLFEHNDFVPAAWQPGNRWQL RKDVADGHVQSFFNTIHDYLPVLDVDVFMAAYRQFWTAPPADVECVAARQAECLIYSV LAMGALYSDSGSDNAESAASYFSRAQDLLGRLFDAVSVETVQAALFIGCYAQHAIKPN LAYNYIGLAIRFAYSIGLHRCRQQVSGSHAHAQAGLRSWWMLYGVEAEQCLDSGRPTS IQEADAKAPFPRDPPDFSTGVSRVTFITVMAKFSTIMRKVINLVSSIGDNPGTQGFEG RLMNLHVELSAWREALPLHLKFGRDLGRYNEHAWVATKWVKRQRQSVEVHFNHLMTFL HRPLFRKFSTVSSPRSSNMSVPICLGAAQDNVMLIHHVLTEDTSMQRWAYYCYYCLSA TLFLLMRICECPEHEADGYLQVCYRSIEVFEAIDSEPSRKCVPLVKAVLARLGHALDP GIRLSNSAPNRTPMSRQPPPPQDRGITEKCEVDARYFGLPADLSLSSEIFGDAFKDQS AEDVLKLSTRVSGDELFSADLGTLFNTDSF
SAPIO_CDS2433	MILNACHVSDIPIVGSVTVLLLVYLVGSSFWTRYKPGLRSLPGP FIASFTNLWRLVNVARGHHYDTLLGLHRKYRSNLVRIGPNAVSVADPDAVRIIYGLKS GFQKTHFYEVQQNLNQGKPLQNLFNTLHEGFHAAIRRPVANAYSMTSLLQYEPFVDTT SKVFVRRLNELFAEPRNVCDLGTWLQYYAFDVIGEMTFSKRLGFLETGSDVGGIIEDL EWRLNYFAWCGQIPILDKLLVKSPIARRIMPSNHVVRFTLDLVNERLATPVDRHDFLS AFLKAKEEHPGLVDDRQVTSYSVTNVFAGSDTTAISLRSAFYYLLKNPAMLRKLVAEI DTAVGDRNCVREPITFAESRTLPYLQAVLKEAMRIHPAVGMLLERYVPQGGFTISGAH LPEGTIVGINPWVLHRNKEVYGQDADEFRPERWLEADTETLKAMDRSFLAVGLDNLPP LLSSLSFVSVDSISY
SAPIO_CDS2434	MPDFHSNPQGSRPDHAARNNGPDNLALERFKIRELAEGWPCYRD HFEWENFRSIFHPGAFVYTTWTGRTALEDFIKLSQDGMDEGSHIMHRVHGTTVDIAGA RAIAKMKATITQRFVIDGCEVDAEADCRFCMFFEKRADHWGACFVRHFYEKDKLIPVD PRKVPALDDAVLQSLPEGYRFLSYCQMQTIPGFKPLPMPGYRGPEHDRLYWQAKQWLD GENIHV
SAPIO_CDS2435	MGNLTETTPGVVQAAVQYLKSNTLLAVTSSVTFSALLLIVISYL HTSKRPDAKLLPGPKDRWRRTRKFMHQLTMPAAATSYEPAQLLESTRVVYNLLKDPTT YEHVFEQYSGGLIFRIGYGKRIVTGEEDALRRIIQVNRNLERIASPGSYLVDTVPMLK YLPAWLAPFKAEAARLHSEELSLFRELLDEVRQRVRNGTAASCFASQLVVQQEKFGLS DDEGAYVVGTMFEAGSGTTAAVMMSFCLAMTLYPQWQSLVWEEVDRICGDRMPDFQDI PEMPAVRAVIKEVLRWRPVTAGGVPHLLTRDDVYSGFFLPEGSIVHANQWAIHREKAL YPDPEIFNPNRWLLPEYPTYREPLSKFPNLQNYSAFGFGRRICPGMNIAERSLHILTA RILWAGRFSKKKGPDGAEMDVPDYDYGSGFNTQPKPFPFSFVARSPERMKAVEESWEK ARAEDPLRGDGD
SAPIO_CDS2436	MSTRATPSEYASWLAHGSGSLVKALNWKFAWAGREVLPPSLAQT LRELELPELKLYNSYGPAETITCTKAEVRLAENNSASSDDGNPNDADDSRNDSHSSEA AVIPAGFLLPGDSVFIVDHNLDLLPQGAAGQIVIDGPSVAAGRVVYRTGDVGRLQSDG SLVFIGRVAGDIMIKMRGMRVDLQETEMPPSQRLRTRFVRLWCLWESHLLVAHAQFVR NDFYPGGATQKAFLRQLRFILSLPLYLVPALFVPFEQMPTDAHGKTDRNASNLTLPRV ASDVAELKKCSHSLGGIVSLIDLAAKIETSAVAASDVVDWEEKTHLQKEYFEDLLTHT NNLLHPYHQAILNTNPVTDDEKKTDSKVAEEELIEIRLDSGDLLETHLGLSDLSFSSP WT
SAPIO_CDS2437	MVNPTLVNVVNIVPYTPAEAIELVSRAGVKKGNMRADLVFLSAI SAGCLLSFGGAASFVASTAPWFQENAPGLMRVTGALVFPVGFVMVVLTSADLFTASNM FTTVAVLHHRLPAWKMLLHWCLCFWGNLVGSLFMAVVIFGYGGVFDAAPFRENVIAFA TKKQITPDMHQIFLRGIGCNWLVCLSCYLGLQAKDFQSKIIGMWCPIFTFVSLGLDHV IANMFFIPLGIWLHTPGLSVGLYIWKGIIPAALGNIIGGSLFCGGYYWYLHLFREAPV LVDGKDYGDSMHKLSLEEKGSSHGRSEV
SAPIO_CDS2442	MVAMHPQTESLFFRLPAEIREKIQRFALAPPDENDLLMTEITQY PEVLFRTYEQPLPPVMCTCKKMYMEMSPVAFSEIIVHESTKGSGTRTGIKCHGTLRFE RLRRVSMVVAVENPDFISPSWELFLFNLLKRSPNVDHLDIEFHRNEFREKVERELPAA ETRRQNGEEELRDSFILKHYAWPNWLEEVARQPSLRWVSFEGNVPEVWLERLRQESKG RIQVFSDGVRFREKEDETTRRMHANDEQVEAFAEMENASLKFTCPLNEMLARLRTNCQ EDISSLAAQLTHSNDRIPVRLSTIRRAGLRDELKTIGAKLFTVESAMKLQFLREGSAF RAEIVAQRGFITFPVRPAHASG
SAPIO_CDS2445	MTSLESKLTTHGFKFRNWPGPGEQAAAQFGLSHAVIVPANTRTV IIGGQVGVRDDGTVPSDLAEEVAEAFDHVERALKAAGLGDDAWEHVYSMTTYEVEKDG QGIAGIVVPIARKYLKATRPAWTGITLGNVGMNNWIRLLYSPSLTAESTQPSPDSSLG HFPRYATPIRASEFNSPATQIRVFQHNYDNLGKMDLNVEKPQNDDEARLAMLGQKQEM GRHFNVWSLIFLAFCTSAFLRGHE
SAPIO_CDS2447	MANTGMDRSFLTASVEDLVRHMSLTEKVAFIAGEDWWRTVALRR LNIPSVKVTDGPNGARGQSFSKMTPAVVLPDATCLAATFSQALASDAGRLLAAEAKAR SSVCLLAPTVIICRSPLGGRAFESFSEDPTLSGIVASEYIKALQAEGISATIKHFVAN DQEHERMGQDSIIGPRALREIYLRPFQIAQARASPWSYMASYNKLNGTHCSEHDWLLK QLLRTEWGFDGLVISDWMGTYSVAEAINAGLDLEMPGKPRWRQLQLVRQSINAHKILP ETLDERVTTVLKWVQKLASLNPDIVYGQDDSIEWTRKEHQEADAALVRRIGNEGIVLL KNEQSTLPIRQGKVAVIGPNAKNAVIMGGGSARLRPAWCVSPWQGLVDNKPDRVELSY TLGCKGSKFLPILGEEFTSMDGATPGFDILHYAIIGGRQASRPAVSEVWKNSDIMLAD FHHPDLGEDYFTEIRALFTASITGDWQFEVSVTGQAWLYLDDELVADLSKGQKRTSSF FGNGGNGTIITVPVTKDKVYRFRLVHDSRKPPLAPGQDSQPLQLIGIKLGSLPVIDED QTIDKAVELARASDVAVLVVGLDQDWESESYDRPNLSLPLRLNDLVHRVATEAPDTKM VVVLQTGSAVSMPWLKHVDSVIWPWYGGNEAGNAIADIVYGKVNPSGRLPISLPKREL DIAANLNFKSARTKTYYEEGIWVGYRHFNARGIDPMYPFGHGLSYTTFEYSELTVSAS ASALPSSWRINVSTKVTNTGSVPGAHSVHFYTTPPPPTSNSLIHPEVTLQAFAKTAVL SPGHSETVSIEMDKYAISHWDELTSSWRAEPGQWQVQIGRDAQTMCAKESFTVPPGFQ WTGL
SAPIO_CDS2448	MAQQTSSFSNIPNNTHKKWYKDPCLKRNIAEWCGVLLTVDQHGL LANAASSVWDCVSSYSILVVNDASLLNGLQAVASWGEFLDDPKGPILGLYTATLYLPS IVTAYLGDFVSQRFGRRLALTLGTLLVLAGSLINALAVKSEMWVAESPRWLVDKNRLA EALAVLSKHHANNVVDDPLVQWELAEIQAAIEEERAIHQVSYLTPVLRSIGITSPLRI TLLTSGLAIWNLILAFGAALNVERFGRRPLFLLSILGMLISYCLVMGFSAGFDSTKKS GLGIAVIPALFLYYGFYDIAWTPLPVPYTAEILPFKLRTKGLAIFTSVGTMANAFNQF VNPIALQAITWKYYAVYITILLFYLVFAYFMYPETRNYTIEEVSMLFDGPDVHAERLN ELAILQAEKSKTGTFEHRE
SAPIO_CDS2449	MSASPPLSDASSLRQTRKRRNSTAALAGDERQAPKQRTKRTALA CERCRMKKLRCMGGHPCGACQRASADCDFGDRVWDSQQSISATNQRLSQLEKTIADLA ASLSHLKNPQPVGDSCAHASVPPLSGPSLGVQAIPDSSVNVNEPLHLVSSPKQVSPAA QSQGSDATPNSLRSSSANPRMSETLESRWAALQRNVAPFPPLMAHPTVWSEEPAKPSL GGIAAQPTLGLAHYRAQVHLQSEPISEGIIGEMVARALFGFHFDHVRSSSPFLFTSIL AVAARYYPSYRRTQPTLLTLPPISANALEGLADLANAHLGNALPTLVILGFGRPHAMP IAACSRQYLTVARMLGPSAQVDLAAAAYAASLVELSTITWDLISGLRTAHLQPNNRQD DYQLAAWSNVSALLSDMNPRLDEWEHQWTWGGSYDAVTLGTYAKLVVMYGEHARLCLN SLSLNLVTAKGDDEHRQNQVPTAYLTRACDAAVSIVKGHLESSGDEPLIRYGADYVVL ILGQATVFLIRILTARLEQPLPIDRLVLLHYLKKAIELMEANNLSTTNICGWIAQICR DLTRHAGLSVDNEGIIAGSAAPEPNLPEPDWDFDISTFLGQNVPVGEISLDLGGYFDF TQSFLPPSSGP
SAPIO_CDS2450	MALVKWHELPMKTDLMLDGLVANQPQDQASLLSGFQAMPSWQSY FKQPTGALLGIYAASFFLPSIFTSFIGDYLSTKIGRRWCIIIACMVLILGALVNTFAI SIGMWCAGRAIMGAGVGIIKVATPVLIQEISHPRLRPILGSCYQTFAYFGIFFAALMT SHKILAAMHANGDENDALVLFEMEQINDAIKKEMSQKDASFLDFLRTPGNRKRLATLV ALACSLNWMGNGIITYYLAPVLRSVGITAPVQITLINVGLAVWNLILAAIASVYCDKA GRRPLFLTSTVGMFYFGFYDIAYTPLPIAYTVEILPFSIRSKGMALFTSTATLGNAFN QFVNPIALKSIAWRYYAVYIAILLFYFVFIFLMFPETKRLSAEDAARAFDFDRKGHPL GEPIDLERSRGSHSDDKEAFVSMAEGEDKGK
SAPIO_CDS2452	MTAHADPDRSSDPPVSAVTQKTFVATATKTADTDKKENADAYVT SDTNSLAQTPQTLTLRQKFLAVVWDSLDKSPEERAFVAKADWWILSYCCISYFVKYLD QTNISNAYVSGMREDLKLTGNDLNYLTTWWNVGYILGQLPSQLALTRIRPSVWLPTLE LIWSFIVMGMAGAKNVKTLHALRFFTGFLEASAYPGIMTLLGNWYTPSELGKRACIFQ ASSSAAQMFSGYLQAALYKGMDGRAGLRAWQWLFIFDGIIGVPICLYGYFAIPDAPTG SRARWLKPKDRAMAVERMEKCGREPMKKLTWRTIRNIVTSWPVYLFCSIFIAHVLGIR IYSYFNLWLKATRRYTTEEVNVIPTAGYALQICFTLTYAWTSDAIGRRWPIIIVACLV AIIGTIILSVWPEDNIPAMMAGWLLTFCETGAGALIITWINEILSHSAEERALVIGVV ETLAFTFQAWVPLFVYNTGQAPHFPIGYEMATMFFGLEIVLTLVILYCVKKWPQGKRE REEVRDWSRDGGAV
SAPIO_CDS2455	MGWFTLRALRRRSAFGILLVILLLLSYYGLLSKTSHILRSISQP TRYLDPGASEATAESTPTSEQRPTNSSSDSPTARPIVENATMYLHAIMDPDNRELEGL ACPRLNSKRYNYLKPKSPKEPSYFFALDLREIITLLPRLMGSIVEVVRFLGPRHCVLS VVEGNSQDGTSEVLSLLRKEIEALGAQYHFLRSDINPSTSGDRIGDLAALRNLALEPL TSNEVGFNHETTVIFLNDVAICPEDILELVHQRQRIGADMTCGFDWTYVGEHPTFYDV WVARTITGDSFFEIPPDGNWDSAWNLFWNSPEDRVRFQSHSPFQVFSCWNGAAVFTAR PLWDKGIRFRAPSPEECFQGEPQLFCKDLWHAGFGKIAVIPSVNLEYDNEHAIKIKAL KGYASQWVEQEPNDIPVIEWKISPPAKIKCMASYDNQEWRPWDEGLFES
SAPIO_CDS2458	MDSQFPREKGGKDSTPQPRSLGTIQLLDGATNERILVPKPSDDP NDPLNWSDARKRYIFGLSCTSIFLAHCLAVGPSVALGPITAEFYGPENVAANISTVSF LQTACSLMMGVGNLIWVPIAIKYGRRPTYVASFLIMTGCSVWCGAAKTFPSALAARIL LGTAIASPEVIAPLTLTDIFFLHQRGRVMVIYTCALSAGVGVGVVISGLITMHHSWRM IYWVFTALIGVCTLLILFTFPETNYNRQINVLTGEPVLAPNINSDKSRTSDLKDERVE NIETLSQPGFPPQRKTFVQDLKIFTTTYTNERLVDLVFRPIAAIVLPALLWATLVNTM TIGMIVVLSASFSSAFSEIYGFETWQAGLTFIASIVGSLVGIFWGGHAGDWVADKLTL RNGGVRTPEMRLPAMAISLVTGPLSCLLYGFGFGKKLHWMCATVGIGLVNFTIVQSNN IGLVYIIDSYRPIAGEVIITQSVFKAIFGFLLSFYADLWIRRDGYITVFSILAGVSAA VFLSTSIFYVWGDKLRRASWKWRWVTKFLHWNADREVGASSEGTQLKEFSTVD
SAPIO_CDS2459	MPKSDQGPYLKRLGEKYGEMFTLKFGRTYWVVLNSRRVAHELLD KRATIYSSRQSLTMAHDIVSGGKRILLMPYGDDWRRQRKMMHRLLNVSQKATFRPFQD LESRALMFQLLDEPETCVFSKLIDELEGRVRRGVQQPCFMTELIHSNEEEKFSSDEIA FIAGTLIEAGTDTTRTSLLSLIAGTAMYPDWTKRAREELDIVCGANAERLPSFEDYDK LPMIKAAIKEAVRWRPTNAQTGIPHALTTDDEFEGYRLPAGTVVTWNNWGISWSEKEY REPERFEPERFLDKDVDKITKGHLGFGAGRRLCVGYNVAASNLFISVSRLVYCFDIEQ CPSHPVVVDKPLAFDAKEEPYKVTIKPRSQAHQDLIERECRQAAAIHTHERY
SAPIO_CDS2461	MLNDNNMVQSSDGLRELSSLYPPVGRQHPGDLKDNPPIPEHHPT VGYRLTHFMLRIRDPRSTLHFYIDLMGMRTIFTTNTGPFTIYYLAYPQTPAHRADPSV FSRDMIPHSVLSRTLGLLELCHYHGSENQPTSYISNGNTPPHLGFNHLGFTVPNVKSA VDRLREQGVKIVKDIGEGPVEGIPTTTWEKATHGIATDPLDPTFERILQQIAFVEDPN GYFVELVPQEFTIQG
SAPIO_CDS2463	MSGESGRQRAYRSRTGPRAMQACHPTYDTVSPGGVAHRARSRAL SGSSIHAGGVRSISVMASEDGTAPHLSSPPPAPAPAPPPDDSIGLPDEIRRVISYADW DLNLVQNHFNFASSHDFLPAGLLSPLPDLFGFDASMGEPELVAASTVAPSPLSISPVV DDEKSLVVMDAFRKATGRWVPVPAVNFQAEEEKNLSATHGADITTDQPGRWDSRLLPN GFPLAARDRLLAMMASACQPVDVPRVAATFPSCDGLGILVRSFLAWHMSQEDTWIHVP TFSVNDVKVELLASIVAAGAVRSPSHAVQKFGLAVHEILQMQLRNMAQRAPVLTRELQ WLQAYALEVQTGLWSGNKRKMEIAGGTPSPLMPAELSLPFPQSRQLWFAGSAEGWKEA YFALKIPDAMHEVSLVDCLADLSRLRHLPILFDVNIAMLSVLHGIATTVRSHRHQLLV SVSGIVDRWLVATRESVLPDEAQHRSLLRVFDSLHQTFRLSESGADTPCAVILVLELL LLHFYCYVEQMELLAGKEGFEEAQASYPALQQWADTREARQAVWQAGQILKVVKALPL ETMNDFHSVALYHASLCLWAYGTLTSKRCDGNSAFIPLDGVNFSSTHEILLDGEESLE SQRWIAFDRGRPVISHHPHGDEVEVPPRISLQSTDEVMQAFISTIRSKYPMRNILPPS TKCFCYLMHALGMSARKDTNSPVSY
SAPIO_CDS2465	MAPLSDIDRLIQAPEPILRAVLLALCRDDTVRLKATQYMDQLVE CVVVEPKDAARDSGSLKRKAATEPRTCVRCEEVFIEDDNSEDDCLYHPGDMEPDYESD FWADHDENCHGIIDSKESREEYPEGFLWDCCGRIGTDPGCVSFRHEAHPDKRRGSCDT LESNKSDLGEGDGERGGEKSKDGD
SAPIO_CDS2466	MWSSREPRKLHKAPPAGELSSRAWEEEWDGPDLITNLPGNELAT LINHELRFPKLIGVDVLCSYIKVDGLTRLLSSFEALAKRPQLALVRMITTTQHQLTEV AALQKLSSFGNVEIKVVVCDKPTFHATSWLFRRSCDESEHASGCMCRCVAVIGSSNMS SSALTTGFDMNVRLPGTSRSGMVTDNLAAMQDFSITFDHYWDGRNSFWGDPNNVVQFH SSRPSECHLVMERLRQRDSSKCDNPSCQDCIAHADHVRLSRPRRPVRPRLLSASLPIR PPLGESISGMAWQAATYPTDQSATSTSASPQPLLTPSLHRCLLAYYAAAHGYMTDDLA NVDINHQEVELGLNGSTLLHCAAYGTHPETVDALLNQGADPNLRDSLGNTALHRALQS FCPLPDGSEYDPDTLQDYLDRQARVVRSLLTHMGGNALSVENSYGLKPIEYLIALDQS DGRLIDYGILAESPGREVKGEIAEKVFEKHKIPPVWSLDSNRLHEYGEAGSDRQLLFD QCRYPRWEELDRIIENPNDASQPHSDQSSLRLEGRLSTGANPAEPGGGNYWGRGVS
SAPIO_CDS2467	MFTTAGRTVKSGLVGSAVVSSWTWAATLLQSSGICYRYGVSGPF WYASGATVQILLFATLAIELKRRAPNAHTFLEVIKARYGPVAHIVFIVFGLMTNILVT MMLLAGGSATVNALTGMNTVAAIYLLPLGVTMYTLFGGLKATFLTDYVHTLILLIIIT MFSLTAYSTSDIIGSPSKMFELLQEATQRHPVDGNQDGSYLTMRSSDGIKFFVINIVG NFGTVFLDNGYYNKAIAASPVHALPGYVIGGISWFPVPFLTATTMGLTALALENRPSF PTFPNRIPEAEVSAGLALPYAAVALLGKGGAVATLLMVFMAVTSASSAELIAVSSIFT YDIYRTYINPNASGRRLIAVSHIAVVCYALIIASASVGLWYNGISMGYIYVLMGVLIS AAVLPATLTLVWKGQNKWAAVLTPPIGTILAIIAWLVTAKKKCGKLDIECTGSNDPML AGNVVALLVPLVLIPIFTLVWGVDKYDWQTMAAIRKADDHELTEQAGVDLEEVPGGHE ETVTESAEEQRVLRKALKISVSTTIFMTLALLVLWPLPMYGSKYVFSKPFFTGWVTVA IIWIFLSIILVGILPLWESRGTIFYTTKAIFTGKKPPKHPVTAAGTTEQSGDEGPLSE KRLGSKETAVVVTQ
SAPIO_CDS2471	MVRVAVAGGSGQVAREVIDALVASKKHCITILSRTDAPMAITAP GTNWQTVDYSDKKTLVEALQGVHTLLSFVQPLSDPEQRSQKNLIDAAICAGVKRFAPS EYGSAGIARMPWWQGKDRIREYLKEVNSEANVLEYTLFQPGLFLDYLAFPYMTAKYLD PLQTVFDFENRRAMVVEGHEDAIMTLTTVADLAEVVALAVEYSGRWPTTSGIRGNRLT FSQILKIGARIRGHAFAVEKVNIEDLEAGHLKTSWRLEAVHQAASDHDASNLLQAVSI GILLSSSKGAWDSSDEVNQLFPDYEFTQAEDFLSKVWSGKP
SAPIO_CDS2472	MTSPQTAADVVALLEDPNPQWYEPSPAVPAPEGDEVPPYVVVDV PGRGKGVIATRDIRKGEVVIAEPATMISMAPPRGILPRRLDILAHTGFENLAQAQKKR VSEMATLPGHDFVWGRFDTNAFSVYLAGREDHRGLFPEVGLLHIATSRVERN
SAPIO_CDS2475	MFGFLFGQPKPPQRVLTDHVVPVGFFDDTIIFRTFVLYTLFVFD DVLDAQKLHNSLTRVVSRPGWNKLGARLRRNDKGQLEHHIPATFSQDRPAIGFDHVDL SNWAVEDHPAASHIPRPPRDGRPAIVGDPDDLSELVHGPEIPKRLDDYIYTDRPQLGL RVVSFKNATVVVLHWIHLACDATAKRSVLDAWTLMLQGREDEIPEPLAADNYILENVG KNPAEPHVLADRRVTTPGLVSWAFQNAYGLVIRPKEHRMVCVPAAYLAKLREKALAEL ASQAADAGQKTGPFLSEGDVLVAWTTRLAMANLPKDSDRTVAVQQAYQWRPVLTDLIP PNTPFLSNCVGFLVTLMPAKDLLQKPLSYLASHIRRSIEEQGSREQIEAYTSIIRMDS KNKAPPFFGESSMQLLMFSNWQKANMYGTDLSAAAAKPRDTPLLPSYVQSMQGPYNFS DGIIIVGKDTEGNYWLSGYRVKGLWEMMEREMGKEEI
SAPIO_CDS2476	MTETTTTKEHSTSPKEAAAATSPKSAGGSPKSSGSPKGRTSPAV GPSIEEAIEADDAANDDADSAFGDASSSTASITSSILRYRTINGRRFHSETGNALYCH HIWTLAQDGKLYLAPLSPNIEKAIDIGCGTGTWAIDFADEFPNCEVIGTDISPIQPSW VPPNLKFEIDDCTQEWTFEPDSADYVHIRYLIGTIKDWSALFKQAFKTLKPGGYLESF EGCPYIVSDDGTITPQMAMGQWGDVFVEGSKKTERTFTVVRDGIQRKAMEEAGFVDLQ EWNYKCPMSPWPKDRKLKEMGLYGEIFATGDPEGFLTFVTSILGWSREQFAVFNAMFR REVHDRRNHGYYRIKVVWGRKP
SAPIO_CDS2478	MAVIPRLRAGFRRTTAEEAATDIAVHTLEENKNVTGVSDAAVRE NDADLKPWPELPSGDAQQGVKTIEAVTLTWSKASLVAVFLLMWLLYFVNAFQASILYN LIPYVTSDFETHSLLTVIYIVANAMSAAIYIPLAKLLDVWGRAEGFLVMTAFATLGLI LMATSHGISTFCAAEVFYTIGFGGMTYSVDVITADASKLKNRGLAYAFTSSPYMITAF AGPKASESFYTHVNWRWGFGCFAIILPFVAAPLFVVLKVNLHKAKKQGILFRERSGRT LLQNVQHYVVEFDALGVILFASGLTVFLLPFTLADTAPKGWSSGYIIAMIVVGFAVLA MFGVYEAMLAPKPFINFSLLSDRTVIGGCLLCMTYQISYYCWNSYFTSFLQVVNNLSV SKAGYVGSTFDVVSGVLLLFVGLLIRKTGYFKWILFFAVPLYILAQGLMIYFRRPNGY IGYIVMCQVFISVGGSIFIICEQIAVLAAVDHQHIAAGLALLYVAGNVGGAVGNTISG AIWTNTFRKALEKNLPESTLPDVEDIYNSLDVQLSYEVGSPERLGIQQAYGYAQTRML AAGTGIMALSFIWILLIRNINVAKTSQVKGMVL
SAPIO_CDS2479	MGPPGYSTERKEAEGDTLVIALDFGTTFSGIAYAFSTDPEEIYP ITNWPGGEDRIAPKTPTAIRYGRSLDVKWGYQLDLTLSDNIMGLKLLLDPEQKRPYYI PTDIKAEMAKLPKSVLDVAADYMKAIFSHALQEIVSDAIDPSFVACYHKKFMLTVPAV WSDKAKDLTLRAGDAIVICDAGGGTVDLVSYEILSLDPFELKALTAPSGGICGSMMVN KLFEEEIKKVVGDSAYAELKKTPAYRCALRDFDGAIKPAFRGRNDPDRFVSFPMAGLE DNPARGLLSNSMTLSGDTMFRIFDPIAREIEKLVSEQVSSVRMERLQLKSKTKSAVKA IFLVGGFGSSAYLKQVIEKSNPGILVIQPREAWSAIVRGAVMSKLGIAPVVKTTKAPK HYGSSANSTWNSSRDRGHLKIWDKWAEVEKCKTMSWFIYRGEDLVRGKKIKLPFYRSL GGSYPRGRELQFVDHLYECNALLAPAHPNGDLSAAPRTCFTTKQRPSDGEFYTEIHYH LVIENDSSGLMKFSLEVDGKECGTVNAKY
SAPIO_CDS2480	MNSLQKMMLRAKRKDDSPDDGEVQRQFLVLKSDILQFHFHPPCS LLFGINDHLKYKNPFWATEERLRRRRTCEESVIKEWRIATIKAGEALQGKNQIYPNTV ACEMLEDISPFLSDKDDKFKRQGALADLEKICFRVMEMALAFRSSNIDYTWEQLVPAQ EVSKLSPDLYNIIGSEGPKPEESRNFEVSFVVFGGVVRGDKATGSLSQGKTRLSPAEV VVRDGSGREPRR
SAPIO_CDS2482	MSAAREAYYPVGLTFVAINAVAVGLRFWSRGITRAVGYDDFAMA ISFVGFLIFVAFELVAIDNGIGLPPPEAPDMAYLAKAVTAAKFFTIAQIVYILATGIS KLGVGLVLYRLASNADMHLVRITLIVSMTIVGIWSLVTALIFALQCRPLSVAWGVGKG TCLSTETIGRTAIALSAIDVTVSWLYALLPVHMLHKAQLRLKVKISIMVLLGLGAVSS IATIIRLKYVIDVTQMTENEGLASPNIIPKTLEATIYSIVEIGLSIFAAALTALRPLV KKLPCFSGFSSAGGYGPTSKGLSSRSMTGGGQDRIKGPVYRLEDMEPSTGDSEENIIH PKRMNVQEDTRIEISMRRTANQSP
SAPIO_CDS2483	MAQRKFVRKPLSSRDASRRQSQKGLDPSTTPAAPAPAPATATAN PTKPPQARRASTVRVSRRKNPAQPENVPAAAQSPPMTNPEVDEPEIKEPTPTWAEDNA APRDAQDDNQARPSTADKDVVATRSLWSPPFSPVSKPPQRERQATFTPRRNIPRKVAS PKPRAEVSNATTNNHDGVKDTTKDHTQSEQPQEFKAIVNHRISAASPNLFDLCISWDV PRGSDEEAFTWEAEETIQQDAPAALFEYWRSIEGGREAQMRDQGLWHVFDIKDHRVKP KSGAVEVCVTWVGSDVPSWESESKISKIAPQELEAYWARRGGKEAIGGAKKRRGVGST PQAKRVKA
SAPIO_CDS2484	MASRFNGEGGIDSPVAPRQSETTCLASDALQSASASTGQEEGTP GALLGQVDSEVDGANFINFCAGQELTNGRQVATGSCNGIPMGKIPAAANMIGSIIVHP QPGDKLPANENFNISIQTLHLRAGFQANPSSSYYAAPQNLDENGDVIGHCHVTIQDIG SLRATKAPDPLDFAYFTVVNNEGNGDGLLQTAVIGGLPAGAYRICTMIVSRNHQPVIM PVGQRGAQDDCTRFLVIEE
SAPIO_CDS2485	MSRHERVPRRYRLDNQSRAGHDGIGHPSITLFSEDNVFPSSFKF TFDTIRDNVFRLCFTSDTHPLPPYPSVKPPSVVLAETPKIDFNLDRQTKTIKTGKAEA LVEWSSTPIVCLKLTGGHEPIYEDLSFRSYVVDGPGIAHYSKYKKHTLHVGLGEKAAP MDLSGRHFSITASDTFGYDAYLTDPLYKHIPLLINITRHGCVGVFSTTHARATWSIGS EIDGLWGHFKAYRQAYGGLEEYLIVGKKVEEIVRTYAEIAGFPLLVPRYMMGYVGGGM KYSMQDQPRAADAILRFLSECEEKDIPISAFQMSSGYTVAETEPKTRNVFTWNRHRFP DPRVFTRECLRRGVRLLANIKPYVLANHPEYKKLVDAGALFYDPVTKSSAVARLWSAG GGESGEGGHIDFTSKTGFEWWYNGCRALKEVGIDAMWNDNNEYNIPSDDWVCALETVV AGEAQKASERATAGTMRYASCSWGGDNVTSWESMKGGNALALNAGFSLLQCYGHDIGG RYWEIKPPEINVVRSADYQTQGFEGPQPSPELLSHLTAVPPQKWTGWGYESDPEVWGK EITNGETQYWLGDALLIAGVYEPGKTSARVYLPKNTEHSAIDWGFLNTNAPYQHLPSG QWHTVTSAWYDSIPVLAKCGTAVPVGKNRVTTCRRGIQEDEDEFPGMEKDDWRGLEIF PPPKCFGRSVELSSIPNGSFTFENSWMEDDGISAQSKLDICTVRVAYTISSVPGDGII VKVAVEKTKSWEPLWLAHGLDVILPVGEERSNFNESHSVPALLKVETGEGGDGGISNA IRNEKYSALSWCWGSDDTLKHEIYIHEGNDVFSLGVRKNLYDALLALRRTNKNITLWV DAVCINQNAFFERNHQIQLMSLIYGLAESVLVWLGEADDDSKAAMRFIREKMLQLSQF DECFKEDAAPKWRSFLNFMRRPWFSRSWIIQEIALARDAKVICGRQDSDRIQWDDFAD AVQLFVDIETSSHRISNLIQKRTDFHNKPRTFEDVSSLPAAILVEATRSLFGKYDAGL DRGTQREPVMKLEYLAWHFSVFEVTVPHDSIYALLSISSDAVPAGARKSPEATDSNEP VPNAPTNTDILKKYKSELIEWTVSHSRRTHARQFTVDYEEDYVEACREFVEFCIHNAD PSRALDILCRPWSQPQEKIGSPTLSARNYSATGRKPVASRFLRFDSPKSPDYYNMFVF GFELDEIEEVQDASQGGHVPIEWARACGWTSVDQQAPEEFWRTLVADRGWLSATPPKY FSRACQEAFRSAFYTGYLRPSDHISHGHSSVLADFCRRVEVVVWNRALVKTKSNRIGL VPKGVQKGDLVSILYGCSVPVILRRFKKNATEYEREKMEKDKEKKEKWAYHAKNFISA IERRRTRRRESNAQTALSPISPRAQAFFSDSVPTKSARSHSSMSPSKAPMKPPKPDRL LSERSAVVDFDSYDSDPFSALTPTQHYHLFKGECYIHGMMDGQAIQYREKSGIQNSLF EIR
SAPIO_CDS2486	MRSAWALVLAAPLLGAQASTIKKRFSTLDVWRNGDYERDIVDQL ADETFPKIAEWVEKTGSKCTLENAVQRKEWADLTIDERKDYIQAVQCLMKLPPKAQEQ VPGVLNRYDDFVATHVTGIPVLHAPTNLFASHRYYIWAYEQALRDECGYKGYQPYMNY QRHQDPITSPLFNGNATSMGGNGAPATYGGVVMPYPRPYNVIPAAGGGGCVTEGPFAD MVVSLGPLGTVLNDLPRNPRADGLGSNPRCLRRDLNKFSAAGADANHSYSLVMDYPDV DSFYNRYLGQPYLRGDEFPWGLHSGGHYITGGDPGGDFYASPGDPTFWMHHAALDRLW WLWQMQDPENRLQAIPGISFSRMTNADAQKTVVDLKWTAGPRTLGELNDQMGSEPFCY IYV
SAPIO_CDS2487	MGEDEEKKAVPAEPRPSDDVEITPIPEVFSDEIPDGGLVAWLQV AGAFALFFNSWGIANTFGVYQTYYETHFLSSSTPDAIAWIGSLQSFLLLAGGLVSGPL FDGGWFRATIAFGSIAVCFGFMMMSLATQYWQIILAQGFCIGLGAGCMVVPSLSILPQ YFLKKRALVTGFTVCGSSLGGVIYPLIFQGLIDNVGFGWTNRILGFISIITCSFSVAV MRLRAKPRKVRSPFDPKAFREPAYLFYCFAMFCSNFGFFPPIFYLQTYALGHGLTNTN IALKLVAILNAASILGRLAPSPAVGLIGPINTMIVVIAMASTVAFTWIAVHTGAGNIV FAVMYGFTSGGIVSLPAVVLASITEDLSFMGSRLGTSNFCNAIASLCGPPLAGAILRS TGKYLGVQLFSGFLLLGACLFFVVTRFARVGFRLAVNV
SAPIO_CDS2488	MSFSDARFAYGPFAPYWVPRQYIENYFSIHKTDSYLVLNTTVEK LTRIQPSSKGGEDRWRLVLRKYEPATHVDIWWEEEFDAVILANGHYSIPYIPSVAGLE KYLEKFPGRVVHSKLYREPRIYTDKKILIIGNSASGHDLSSELVAYAKSPLYQSRRSK SRWDGDEPPKGIEWKPIVKEYLPNGRIVFDDGTYLDGVDTVIYCTGYKPSYPFWDVEA NGRPLYDYRAGKLIKSYWHSFFQDFPTLGIVGLPRALTFRSFEYQSIALARLFSGRNA TPLPPLEEQVRWEKEREERTRAQRLKFHDIAWDTGETFEYLERLFRIAGLGTLKGEGR IPPVLDKALIWAVEHIVKYPRPGDGNDRKKPLGEIESLDADSSIREGWVLVDKSSLCS L
SAPIO_CDS2490	MATSAVATEVQQDRGVGLALKDGPIQNHVATSLNYYNDPGDGSS PEPVYVKTTTVTNKRATVAVPVIVTDITGQEEDFTLDSHGFQLVRHEVKARCQKDGYK DEAQIEAEYYPEMEQLLKDVTGASRVFIFDHKTRHGPTNWHSLGAGNQALRGPILRAH VDQSYAGAELDLKWHLPDEADELLQRRWQIINAWRPIKTIYKDPFAVADSNTVAEEDL VAARIIYEDHERETWTVKPSPAHRWYFKYAQQPDEVLLIKCYDSLHGVARRAAHSAFQ NPQHVDGAWRESIEIRTMVFYDDGRV
SAPIO_CDS2491	MAAKILKTVEWAGQQVPVYDMETIDFGKLLSQEPDELQRLVRCC QDEGYFYLDLQGIDGKRALEDSQSTLDVMYRFFDQPLEAKNQFGLVSPHLGFEPIGSR NGVLKGTRDGYEMVKVSRDEIQKAKPHVPDVLKDSADLKTLENTIASCNIVSKAVLSA LSTGLGLSGEDRFENRHRNDRPSTTTLAMMHYIPSNPEHDKNIGHQKHTDISSLTLLF AEQWGLQIRPPGTRQFGFVPPKEGSVVVNVGDSLRFASGHTMKACIHRVVPYDPTEHR YSIAYFLRAENDTMFTDSEGRYISAGQWHDEKFMAFKATPEAQALAPKSMLFGGMDED EVVPVAAEPVKEASNPVMAAA
SAPIO_CDS2492	MSTTDKLPPLYTALPGSNATLRAGAWRRMLRRRSYELRVIGVAC LIYIAYVQWRIISIPSSTLSPEITVHGLSTKRLEEDLATCAKLHTKPADPIGFGRKRN ARYIDGQKPTLIVNATVWVGEPVNGAAAAATGEEYVWIKADVFLEHGLIQRVDGSIDL ADLPSDVLVYNAAGRPLTSGIIDMHSHVGVHALPTIHSNDDTSELSANITPFVRSIDG IQPTDHQIQVIKSGGVTTSLVLPGSSNNIGGEAYVIKHAVGRADGRNETSIVDMLADP DRAWRYMKMACGENAKHVHGKIGERGPMSRLGESWEFRQTFEHATKLMRQQDDWCAAA SLGLGNIKTHLPVELKWEALIAVLRGQVHVHTHCYTIKDLEAFVDHSNEFQFPIRAFH HAHQAYLVPEVLKRTWGDDPPAAALFADNMWYKAEAHIGSEYAGKYLNDHGLVPIYVS DNPVLNAQHLIFEAAKAYRYGLPYHVAIASVTSAPAERLGLGRRLGKVKPGFDADIVV WDDDPLRVGTNPVQVWVDGTAQYEDPVELNKPFRGTLIPNQRLADIAETAVPVSVDMV FTGISKILAPSLYAGPDDSETFSVAVSRGKITCVGRCDKEVAAVGESVVHIQNGHLTP AFTAFGSTLGLNEIDSEAVTDNGADGTAFSRGVDGLALNTTKLLVARRYGVTRAISAP KFTVGGTHHGTSVGFLTAATTALDKGAVFNRDVALHYTLDLSVKGVGNTPSMSSAVGE LRDKLLQAVESRNNSPLVTLRYSEPAFLQKVVAGKMALAITVHSADTISSLLDVKTSV DEAISIFGGDVKIRLVIIGGAEAHLVARNLAEAGVGVVLAPMQSYAVSWDQRRALPGA PLTNTTAVDVLVDSGVVTAVGLEEDWIVRDLGLLAGVAYQNSEGRLDERGAVDLISRN VYTMLGLEEPGWDDHFVIYEGSPFEIDSKIRVVGDGTGQVHIS
SAPIO_CDS2494	MSSKLYGSSSILPEEFDIIVCGGGSCGCVVAGRLANLDHNLKVL LIEAGESNLNNPWVYRPGIYPRNMKLDSKTASFYESRPSKWLAGRKAVVPCAHILGGG SSINFMMYTRASASDYDDFQAEGWKTEDLLPLMQKHETYQRACHNREIHGFEGPIKVS FGNYTYPIKDDFLRAAESQGIPIVDDLQDLTTGHGAEQWLKWINRDTGRRSDSAHAYI HATRAVHSNLYLLCNTKVDKVIIENGRAVGVRTVPTKAPRSGEPKARTFRARKQIVVS GGTLSSPLILQRSGVGDPEKLKAVGVDCLVDLPGVGLNFQDHYLTFSVYRAKPETESF DDFIRGDPEVQKKVFEEWHVNGTGPLATNGIEAGVKVRPTEEELKEFESWPTPHFKDG WKSYFENKPDKPVMHYSVIAGWFGDHMLMPPGKFFTMFHFLEYPFSRGSTHIKSADPY ETPDFDAGFMNDERDMVPMVWGYIKSRETARRMDAYAGEVQNMHPFFDFDSPARAHDL DLATTKAYALPGNLSAGIDHGSWSSHLPEPDKKAAANILNSNKGHGRDELKYSESDIK AIEEWVKRHVESTWHSLGTCSMAPRDGNSIVKHGVLDERLNVHGVQGLKVADLSICPD NVGCNTYSTALLIGEKCAVLVAEDLGYSGEALDMKVPTYHAPGEVTLQYRV
SAPIO_CDS2497	MLTTGCNLAGCGTVSLNQLFVCSRVIHPTHTPWARILGYFYDAG SDTEFHNQLPLHVIAAILAQLDTIRQRYLTILSHRIFLQAFNDSHGSIARSVVANQIP ESNLAFDVALLQSTKINPEDGDAVHKVLKSLMAASSNTKGPTLTSLASLSLFDYAFLS QNHAAAESLAQNLAEEVIPVFTARMGLEPPSSGLTTHETRRLVRIFLRYRVMCILFCL DDGENASQSARSRFFSAFALWENEQLFCVHSYLERKVTEAYEDLVTHVVDWGELPVNW YEDQGETDRIQWMRVRLIRLSELGIKWFEKDPFQQLASMVPDESLLGPLGLVDVEAPL RSYTDTQLKQLAQPSAGIHDSTASNAFNLWFDAHANDSIFSSVFNPQDEHLWECGYTL WDHLQVPAAQIEERFAMIG
SAPIO_CDS2498	MCAKFAHERVKKEARMVSNAAKMCIQFLSQNHTREDDGLIDWLD RFNRASTKPSPQLCRMAYELRNEDCMIAITRLGRDPNGNPEITSAFRRVRHCLGRLAS RVRAVHELIQDGGTGSTYERLTAYRVETVPVPECVAPPKPDALTNLEGIVKRMLKPDD PRTESIKQNLQRMEDQIQLEVKIQTSFADKNFVPRVHAEIQMLEHFHQGKRRFAFKDR FIACSKAACLCCKLYIRYHPIKVQEPPSHESIYPNWGPVLLLNGARDRDWPTQHKTMQ RVIEDMREIALDYLEREIAPGYFHTNSLTNITALEDPAFWPSSSVDGEDISDDSDDER EVFKAAGHGGFQLEEVGTGTSGWLEAENDGMDSSEDSDGGVPI
SAPIO_CDS2500	MSSLCGAAFTRRDLLTRHERIALHDADGASEPSAPSLSPTGGVD PNPTRSDLEAAAAVVSLGGGMSLDHWAIQQPQTHAQINQFESHGCVNANGGEQGPQSY QQGLLSPQLFGNGQDINGYDHFREFANFLDGVGLPAEWSPYFHPPERDHELVDPALEE SRTGAATPVGRHGPSRPGTPFNSWLPSAPGINRASENVVNNNNHVDKGYRVTDDERAR LVTCLETFRDVVDPSFKLPSRHTLTRYITSFFEGFHSHMVFIHHTWRVNETPLELVLA ICAVGAQYCFEHRNSERLFYAGKAICMERLRHEGTKFGHKTNTFLNLHGGLSQRRAAD PWSTGPNNTHNHNTPPRDWGVWETIDTVRTLILLMGYATWEPKEVLLRDAFAVQSLLV QVLQDVGLQEDPESEDALNQETDPHAAWLAWVRIESTRRAKLIAFSFLHIHSVAYNVY PVLRSNQIHLRLPCSTREWKALTAAQWQTARRETRKQQLLFQDALSLLLRNVDCTAPL DPIPTPLGNYVLLHGLLQRIYIVRDLSLPIMDQQASLPPDEVEKLERGLRSWTSGWQQ APESSLDPNNENGPIPFTSSALLGLAYVRIYLNLGPYRQLETRDPVLIARALARCPGV EHSDGVISALLYATHALSIPVRLGVDRVARSQAFFWSVRHSLSGLECAVLLSKWLFSL VEPGNRDPTQLSGSEDRILHWVRCIVEEAWAVVDFDEDFDEDQFQGDPAFLGLAVLKI WAHFFKANAQWRFINMIGLSLEKYREMLIKSAGTRLHSQ
SAPIO_CDS2501	MAGVLARFPGVAFITGAGGTGIGAAVAKAFATSGCSRFAITDIN GDSLSRTRDAIVALNPKAHVVLRTGDVSDESFVDSFMANVSSTFRRVDYAVNCAGILG NDLRSTETPVSTFDAITSVNYKGTWLASRAALAQMLKQEPLSAHPKQRGAIVNIASQL GIVARPGAAAYCASKAAIINMTRSDAIDYSRDDIRVNCVCPGVIETPMTTGSEEVTQR LKPAIDIAPMRRMGKPEEIADAVLFLCSSQASFIQGHALVVDGGYTIN
SAPIO_CDS2502	MSVFFKDISPDNPVRKGDAEALLEKHLGVQLDPKESNDYYELLA AVHDCAEHISSLPDYQPIPDEQRYPRENIRRPRGEEQLLGEAWAHKFLIRGSQSSKNS APHLAGKTASIKDCIAVAGVPQFYGSDAFEPWTPVTDATVVTRVLDAGADIIGTSTCE HFCNSTASFTSAQGTVENPHGTGYSAGGSTSGGAALVAAGVTDIAIGTDQGGSIRVPS ALCGCVGLKPTHGLIPFTGITSGDPIDDHAGPIARSVLDVARCLDAMAGYDGIDDRSL GAGKHGSFGFSESLQSSAELPPRLNGIRIGILIEGFEQSVVTSDVKGVVRDAIKRFEE LGAMVKEVSVPDHLEGPAIWTIQQRISGALGIIGKAHGRRGLYLTEFEQARLPWTTEN FARLFPSTKNTVINGLYLADKFPGLYGRTVNISRRIRDAYERVLSEFDVLVMPTTPFV APRHGSRAPPKASFEPSIGLTTNTAVFDVTGHPALSIPVGWAPAKDDSSLLLPVGMQI VGALWQDRKVLQVGHAWEVNFDWKTRVSRENGDLEPKTNGHSVANGAGNGVVNGDDH
SAPIO_CDS2503	MASEINLQSMKVAHLREYNKPYEIQRKPIPDVGDHELLIRVHAA GFCHSDLQVIQGQFPTTLPMIPSHEPSGVVVRVGSKCAGSWKPGDRVGVLNFKNACGH CTGCGLSRRKSGGLDPRFCQHREMAGFRHDGAFAEYMTADPSTTVLLPESIPFEQAAP LMCAGATVWGAIEKATSSLEPGDAVGIVGIGGLGHLGVQFAKALGYRVVAIDSREAGR QLATEVSNPELVPDLVVDSMADDATAKIQQFTDCEGLAAVVVCTDSLAANSWAPTLLR IQGVLVVLGLPPQGWHFDSEIMAFRELVIRGSYVADTESTKRMMEVVDKHNIRSHVTI VAFDEIPRIVEAYRDPSFKGRLVVQISQ
SAPIO_CDS2504	MGKKRVLIGYGVDVDAVSGWLGSYGGEDSSNDISRGLFAGTVGV RRMLKLFAKYDIKATWFIPGHSLETFPEEMAAVRDAGHEIGLHGYSHENPTDMSLEQQ QDVLDKTYKMLTDFVGKPPRGSVAPWWETSKAGAQLLLDYGIQYDHSMSHHDCQAYYL RTGDSWTNIDYTKKAEEWMKPLVKGQETGLVEIPANWYLDDLPPMMFIKSAPNSHGFV NPRDIEDLWRDQFDYCYREYDEFIFPITVHPDVSGRPQVLLMHERLIEYFKKHEGVEF VTMEEMAAEFRKKNDPAPGAVLPAAPGAILRPGLF
SAPIO_CDS2505	MAHNTSEKGDAITPTNDRSSGSGIDIGKVENADDSFEVFKKQDG VVDFRTVGWIHASVIFLKVIFATGVLTIPSAMYVLGALPGAINVLGWQFLNTYCAIIQ GNFRNSHAGCHSIADMANVVGGLWLKEVVGVFFLVTYAIVGASGIFGASVGLNALSNH AICTNYFMLVATAAVFILASARKFEKIAWLTWAGFLSVFIAVFIVVVGVTQLDRPAAA PQEGDFDLGYHVIGHPSFVAGITSVATIFCSGAGTSAFLPVISEMKKPRDYNKAVYVC MGIVTASYLSFSLVVYRYCGQWVASPSLGSAGYVVKKVAYGIGLIGLLVSACLYIHVA AKYLFVRILRNSKHLQSNSIVHWSVWLSCTLGMSIVAFLLASGIPIFNYLLALAGSLT FSPLALGLPGYLWIYDHGHYRKGGFLKATAYWLNWLMILLSVFLMIGGTYGVIQQVID AYANGEISSAFSCADNSNSS
SAPIO_CDS2506	MPNAGLQQFEAHGIRESSLNPEFSRAELVNSIKGGNWNTSRTIS PKMPLEFVLVSGAWHLPVHFKPLIEGLRKAGHFSRAVTPKCVNSSPAATSFQPDVDAV RAAVEELLRQGTDVVLVMHSYGGMVGTEASGAVAQDADKYPGKIRRLVYVAAHVPSEG QNLLDVIKDAPVPLPPGEYVELDHESGHYAVNERAAEIFYHDIPEPGKSECAAALGKQ PIASFTSPVTRAGWQWFPSTYIYTTLDRALQLHIQQFMVEQARSFSSPAGRRTPFSGP LGEFTVETGHTPFVAKTREMVDILCDLVSED
SAPIO_CDS2507	MTSFRVWHISGANVGLGLQLALKALHEGDKVVAAVRSPDKVPET LKVPAVKVLQFDLSWSQERMNEYAKVAFESFGKIDVLVNNAGYAYMGAIEETDPLAEY RDSAVKQQFDTNVFAMLRIIRAMLPRLRAQGSGYIMNLSSIGGFRGVQSNGVYCATKF AVEAVTEALSVEVAPFGLHCVIVEPGYFRTSFLSNPASGANVAAPLAAYEGTPAHEAR KNFEKYNGRQPGDPVQGAARMWEYVAGEGLFSGKKKLLRLPLGTDCGTAMRKHSADLA ETADHYEDIWKSTDFPSGS
SAPIO_CDS2508	MARGRGGGRGGGSNGSGSSSSTCRYGDNPCHFTLTELYGHSYVS LYSNSELYGQLVVYIIWAIVLILLLLLTLKPALKARMLQGAISLFLASFIFLCVRFGL LIGENDIPVGYRYETSVVVLLQRLGAVFLFAAVFAALHTKKLFGFIFWPLLAMYAILS FAYLSLDFVVSAAALRAYKEEGIWLVGDRDFGVTLTTSQVEELKTAANGSGLSPYYIA RKMFSIGDGAYFRDRGNQVKIGVAMDFLSVFMALFLGLLSCFAWIRKNQHPIRETILL LLASTGLLLYSLFRVIVSTHFVLRNWTVIIDTDRWNAFVASYTSLDIIQKITIPEGFL RGYRTTVNGFPVVQAVLEFLGVILACAAIVHLLRLRKRAEARAAAGTVGKA
SAPIO_CDS2509	MSPWRAEMVANEVQVIEYLRKHTTIPLPCIHRWGSAEESPQQLG PFIIMDFIEGTRLSTFLRGPPEDDQAEMILDPNIDDEKLDAIYDQIADYLLQISRLGF PRIGSLSKDGTSETWTVTGRPLTFNMDELATLTGYPPDQFPTQRNITLDKADVLKRFI ARHRFKQLIPKYCIDDNGPFKVFCDDLQPANMFIDPKTFPHCCLA
SAPIO_CDS2510	MATSSLDPSTGEMLKRRFFRRSRKAKENVDHIGLTPNIQTFYEG PSFDEHNPTWVDYAPPTLPQAKKIKQEGAAVQIYKRRNDATKREGFYIQKIRIQSPYI REALKGTFEKFGIYYGDNVFAESTTPHHGLFFALDKIAELSKTADSEPMRNHCELLCN CVEEVFEDTLDQLESFEKEQKITYKLLWTLFPPGSIFATRSDSGPPMAYRVMTYTQDY DRLKLYAKAIVFDGCRYGTLTWILRIYSFDGERDHDSIPGLSQDHERFVVDPYLYARR CEKWSMEPLPGYDQASTDEDIGDKKVVFWRSGFRRRMEKLKLYDVEKLFPVESDQKVF EQVVLDEAKKDAVKTLVESHKKAMVKYDDLIPGQCLLIILSGPPGTGKTLMAEAVAEH LACPLLRADSHYFQANMMEDIKGYSANLGQFLNDATEWGGIVLFDVKNIDPAVISRAQ IHIQFPSLTESSRLQVWGNFLRRLPEDAGTIPPDDVRELARWKINGREIKNILNMSVS WCRRKEVKLTLAVIENLLQTICTSAMKEEEPANAKTNGHSDEFSLLDI
SAPIO_CDS2511	MRLANKEVPRNIKTALQPRGDESFNVNSNFMRIKGDITVVSFYE QVPRFPTQHLVVDKDSAVLHCEFSENFPVARDHEHLVRFENIEDDAFLSLYETLQRKI THLIEERAHTSRNRYTQQMMRACLKSLGDEASSPTNWSNLKEPHHKTLGWLWDNGSDL KRWLQTGSGLFAITGKPGSGKSVLMNEVSNRLRKNYRHAYAAIVQHSFNSRGAPHEHS FDGFLSYAVVQIIRQCPAAFDSIIDDFTWVATDCGLSISELSTLTDISQVKWTTGHLR HVLRTIVREASQKSRVCFLLDALDECDEGVASHTELIGFLNSLCTAAAMDGVCVCLAS REMPTIALSSFPRGFRMEDRNWIDIAAYINDTWQKLLPLVSAGGDSMKNMKAKLISRA DGVFLWAHLALERVHNALLDGATVAELSKAVDEIPDKLENLFALLLGNIEQRYMKEAY VMLSIVLSAHRPLTLAEFRYVMVLHGDVGFTSHELLEKSPNMVQDDDAMRRRIRSRCG GLLEVKEVQDSRSDDTSTAAPDQVIQFMHQSVGDFLLSRAEKSSDTKLTALGTQGQVT LATCCTRYISLKEVQGLGPEIKTGSSSSKHFQAFIRKKFPFLAYAVEFCFHHCEEAEK LKMPLAELIDKHFDAEEKTFQTYLSIYDWIRKGEKHPRGYTLLRLCVEKNLASYVDLR LTKNSTDINELLEKGQSYVQVAVEKGHKQTLEVLLKHKAHAKMPISYFHSANTLRRLQ YKLPHEFPYECLPPLVTACKDGNLELLELLLANGADVNENSVELPGLRLNQSLIAAAY SGKLEVVEKVLNADSEAFSDPQIRLSTMVGLIDIFREPLYAGHRRYGKHTPSRRSRAS LEISQRILQGIDVSLIDFDLIPESLFWYLTGCDDDILRNLVKIGTDFSGGINDSSFLH YACHLGSVDSVHVLLENGADLRMTHGPDMMTYLQLAIHNPSPSVLSYLLSLGRIAVDE VDLLGKTVLHDAARQKAEEFINVLLSYEADKTIRDFKGHRPFHKALENRCLKDQVAML ETLQVDEDDINHIDIIDGETPLHLAAAAGSVHAVQWLLTKGADIASKDFIGKTAIHAA ASSLSPDSPDVLTTLLENNKLAALVGDDARMTPLHHILYSYDYDTPGRQLIDLDPDVA MAKAKILLKNGADVNAQDINGNSPLHLAAWRASPDLFPTQDSSSLQKNFTEKEINSGK TVGTTMRSVKRPNFLIIVADDLGFSDTSPFGSEIKTPALARLAKDGVRFTNFHTASAC SPTRSMLFSGTDNHIAGLGQMAEHMGDRELFKGREGYEGYLNFRVAALSELLQDAGYL TLMSGKWHLGAAKEHAPCSRGFDKGFVYLPGSGNHYNYEPQFKEGEARPSLAVADPTT FWMRDGTYLNRNTGLPEDFYSTKTFADELIGYLAKRTHEEKEKPFFSYLAFTAPHWPL QAPREVIDLYKGVYDDGPDALRKRRLEKLIELGLVPKDVEPAPMTGLLDRGWEKLTPK ERAESARKMEVFAAMVHVIDQHIQRVVNHLEASGELDNTFILFMSDNGAEGTLLEALP MLGGTTSLGALIDKHYDNSLANIGNKDSFTWYGPAWACASMAPSRGFKTWITEGGIRC PCLIRYPPLTAASAGTSRPCAPGTHTNEFTTVMDILPTMLDLAGVSHPYPTSFRGREV VPVRGRSWVPHLAGRAPEVHDKETTITGWELFGLRAIRKGKWKAVYMTPPRGKDTWEL YDIDNDPGELHDKAESEPAVLEEMVQHWNVYYSETGMFDPDVVFHVVKDKRVT
SAPIO_CDS2512	MANFREQLSSVGRMFNKTLAFSVALIAISQFNFGFDQQAYSTTQ AMDHFERQFGTYSEKLGRYTIEPYELSLLNSLPYLGFVLGLLTGSEMSARYGRRIVMF VMSIYALCTAAITFSSRSFAQILAARILNFPVFQSEIVPKQVRGLVVETYQLMLYLGG FIMSLICFGTEKFDDNRQWQIPFGMFFIIPTIVATAIWFIPESPRWLLLKGREEEAVA SLRKLRDGCFTNEEIDKEMDGLRIILAQEEDKGTFMDLWKPINMKRTLITIGINFFLQ LTGNIFANKYGTVYIKSLGSVDPFTMTVINQVVNLFGVVVSMALVDRVGRRPLLLLGS FIQLASLYTMAGLGVGETSAPIRVGIVAMLTVFGFGFSVGWAPVSHILSAEIPPTRLR DMTYRTASTVNILVQFATSFSIPYLLNEPYAALGSKVGFIFGSAAVLAAIFAYFCVPE CNHRTLEEIDELFREGVPVRHFSRVKEITVGGHTFNDGKWDDDENGKATVVTVNSRIS 
SAPIO_CDS2513	MDANFPRSQKRTTQDPENGGEEHRWHVDCAESRVRCDYPDGVTQ DLEPEASNNTVLERVNYAITLLEELKTNSPNTNRQTETIVSVLPLQTPSRTAEPLGHI VEGPDISKTRSAFTGDGFGQLDIPEAAARTSGCESLLRWPVLNGICQDQSAISFALEA TFGANSHTRQQGLIQQDYIWPLCRKFLALIHLKNPILDVSEFSKYARNVAEYGPGWDG GGCLVLIACALASVAGPYDPDVARYRQGRRMLQDQVGTQEGVDVDMGESFFGAAQRRL GLLSNSLIAVQCQYLAGLYEKFLVRPLAAWSLFQSASSQFQAYLYAKGLAVASQGEFQ EGKRARHIEQRLYWSCVKAECELRVEMQLPSSGLLRFKYPDPFRSLSFPSSSALQHLS PPAEPLTSSPQGQMAWSPAAWQGSPRTPAAGAQVSSQDGALQPDEERSWLHYLAEISL RSIMDRVLADLYAGGESQWVSGGGEALLRCQAAYFEELESWHSHLPPQLVFPNPLATP TGQPVDIPSNELSFFLMTRYVGVMEWIHRPFLYLVLHTTDENSLPAQSRVLAQKTLEL SATLIRLVAAQHRHGGIWGLIRRSFGAATLLISAARSIGILRNAALYPTLSLPRDWVE LVELSSETIRSWEGGGGGANDLKRMVGILEAMLCEVKILENNY
SAPIO_CDS2514	MGATLTLTKQHGAFLIAFLAIYVAATGKSAWRIACFAIHRMLSS IEPQDALYHQRQAILRNVCNAQDAAWMLGNSLLMWRNRASRPARRLIPIILLAIFISA AFGIAGIFSSQVTADTINEVLLKGDNCGPLTSNDVEDPDGYDLLFEPYQSQRVISYAN YALRCYTNTSTSDDCNLYVTPKLPTTVERDAGCPFDDSICKSRTGNLVVDTGYIDSDT HLGMNLRPEERFSVRLYHHCAPLVTEGFTEIANDSSSEPVMRYYYGEIGGKAGNFSYQ MPINNSIVKVSGSNTRATAKLDYNIGLLKFYGGDPEMSAMYSMFQPIPQLTRTDADVM LFFLSGPGVLYNGPVEDPWYSAHVKGPEMINFVSNQTRTMFYRDELVTVLGCSMQTQI CNPNAAGDRQCTPLRGMADDTFDRYAPWDTERQKKMIKRADSILGLGLFTISGIVDRL GADSLTSRHGLSNNIQGPLPGNQWQREVEHWVTSSLTSIQGSFVEAANGPPPQMQSFR KAPNGTEEVELCHSQKIISTRYLSFSILGVGVILILGGITMILDFSIESLASRIERLR QTNGPDPERAYARLEWSANTVLQLQRMAHEQVGLGTWSNTAGVNPVTLSNEKLAILDI TDEAHPQLRPPDDSNARPPLKTVSSMGSSISTDTVEEEKRAKLTPDK
SAPIO_CDS2517	MQRLLLRMAHEHWNPAHGDPHRQALATVYDVLDPRVHGCFHGGM VAERWRLGYDVVFPVDEPLKLPITTKPRNGTAIRGAGWWDETTTWALVWYFDEFNNLV HGRYRCDPYTGKFEKELDDVLSDELQSSVKLNKNSGIGAALLGATDGIRVYLHSEEGE IHSLIFTNDRGWKYEKIISPDQDRSSYTMGVLSRVGSSNEITLITPKASKNMEETKLD PDGIWYIDTFPAPLAALNVTSASNRIRYAISERDPGPATELEAWDGNPGNIGIAMGER SRSLFYIGTDRALHQMESFPTPQKNADPKSDPLDAGVWRKVAGQNDTRWPLTDEENAN FAFATWLNEKGSHVRIFYMVEGELAQATYENNTWYQAEKVATERKAKSRPLSDGAKAG IAVGAFFGAFALVAVSGVCFYLKRRRRDRQDYVAAPASSVPTSPHYMNSPHMGDGSQW YLPYSPHQAHMSYMSSHSFDYAAMSEPKFEPVAINQEPRELPENSLHNSRPGTFSHST TETHEMAGDGYTPLSPQPTQRLPLAPTGGVLDKQTH
SAPIO_CDS2518	MEEAKLEEVIYDFIIVGSGPAGSALAWSLAHSANNNVRILLMEA GGLNDDYNLRVDGQRWQTFQNKEMNWGYKTIPQTHCGNRELDYARGKGMGGSSAINFS VFTVGAKDDYDEWARRVGDGAFRWENMQRRLKSLETFHSELPSGVHPKFASPKPSDHG TQGPLRVGYPRELEEDLIPLMVTLEKAGLPLNPDHNSGNPLGMSLMISSAHHGVRSTS ADLLVELPGDVVILTDSPVQRILLEGKKAVGVESNGKRYLVSKEVILCAGSLDTPRIL MHSGIGPSDQLQKYSIPVVLDMPALGRGLRDHILTPLLHKRTVASGSKKGEFYGDQAA MDAAMEEWKKDGSGPWAKFGCGMGIGFFKLGKSLMSFPEFTELPAAEQKHLLRETIPH YELATHFPIHMAIPGSVKDDKESYQYLCLILFLPHAQSRGTVSLQSSDPGVPLLYDPH FLESAFDRRVAVEALRSVLELTKFEEYAKDTIETLSGPKSESDEDLLDYWRQTGCSSW HMTGTAKMGRRGHEDAVVDSDFRVIGIDGLRVADMSVVPVLLSGHTQAAAYLTGLTCA ERILEKYYRSV
SAPIO_CDS2519	MHFATLLAGVLAATGVAAHPGHDHSKEVAERREYLQHNRRDLSH CAEKMKRSGLSARNAKRRAKRTLELAAERAPNSLALKKRQIEEFLQIDHQSSENYTLD TAPADLFSGSNFCVLTPEVTEGPYYVSGEYVRDNNIEDQEGVFLGLDTQVIDINTCEP VSGVYVEIWHCNSTGVYGGVVAQGNGVGSADPSNIDNTFLRGVQKSDEDGVVTFSTLF PGHYTGRTTHIHVMVHANAEELANGTLYSTQATHVGQVFFDQSLIETVEKLAPYNTNT QELTTNEADSILAEEAATGVDPIVSYVLLGESVGDGLLAWLGFGVDTNYIRNVSAAST HFEDGGVANENGGGFPGGEGGFPSGFPGGPNGTFPTDLPSGALPSGAPPDGTLASDVP TESVLASDVAPSGLPSGVHPNGPRPSGARPSGAAPNGPRPSGGPNGALPSGAPPNGPR PSGIPEGFPTDRPSAEAIEASHAPDGSCQRNPRKH
SAPIO_CDS2520	MAVLPEPAVFDDVPKRVNEASRRMSWIRPLLLHTRLDDDVCNHN YSGNGTDDDPYIVDYLHNDREDAVNFSKGRKWTLTVLQSMSTFALTFASSVYAGGIGG VMERFDVSKEVATLGLSLFVLGFALGPLIWAPLSEMYGRQSIFVVSYLAYTAFSVAAA CAPNITALLVLRFFASAFGSSSMSNAAGVIADMFNKTERGMAMALFATAPFLGPALGP IAGGFLGEAQGWRWILGLIAILGGVIWIATTLATPETYAPFILRRRAKALSRMTGSAY VSRLDAGRPPRTLSQDLSVSLTRPLVLLFREPIVLLTSLYTAVVYGTLYMFFPGFPIV FQLGRGWSPGTAGLAFVGVSVGVCLATLAAGVDNKRYVRLSVAAEAEGRAVEPEARLG AAMAGSIVLPIGLFLFAWTTYPSVHWIVPILGATLFACGLVMVFMSLMSYVVDSYVVY AASVLAANSMLRSLFGTAFPLFTTRMYENLGNQWASSIPAFLALGCLPFPFLFHKYGP RIRSKCKYASEAAKVLEMMSREHVVVIQGESVEKDAE
SAPIO_CDS2521	MNILSRRVSLIYLLRITCETIFESPILLESSFSLYLQIENNRDT FIKAYFDHVHPFAPVINRADFIRGYQSGDCSLFLLRAMLIPASLHVPVDVLSACGFAS RSAAQEALFSKAKLLHDFAAEDDPLLMLQGSMILCVVILDHPSDRDFGYWFHNAIRLA TKLDLRNRCVREDGSREVLKLRTRLLESTAAIKPLTEDDWETEDGSEASPGLLPMAMC QQKASLVAHCELGQIFGQCLTIVTNKPQQDLRQIMNRLDAWRESLAAKMHQSRHAAWR EWAKERLRSAILELNTITMRVLTSGIPLQDFPISFITTITALLALQIESALDPAEKDI ARSMARISISQTMLVLTQGKEIPALKRALPVFEEILANENLYLVPPNIPGQGPAQSQP QDHNMTDEYASPHTQVGVVSSQSEQCEDNPSFYGDFLGFDFLDEWQIGQLGFTGRC
SAPIO_CDS2522	MRRCLDSSSDFPFSLESLERASSSVTAGLDMVANDGPPRGFLGS GDLVSIIAHEQDLYADTEPMMMDTSRDWPCRLSELSSASISASLSSSSDQAMTCGPSA VTENSTTATRMLPAVQRDSPGFTEPGDDEHGPGSEDHRGEAQTRERDEDQGVTGPDSR QSPIHSSTTSVPALTEALRQRFFEVISDTRLTHMDGDVPYTDHPHLSLTALQSYADLF FRRFNHCYNLIHSPTFNPVQADIFLLISIWLIGASYSSKDAHQTATCVWDALVPHVIQ QSTSDSRPSLSILQALLLFDSFGTFRAAQKQHERTRLFHSSITNFIHQSVIARLRLKS GESPRGNHDMEGDWKLFIENEQWKRFVLDVSDDAISPPDLDIATWDARCADDWSRALE SEPAPMLFSDLLRKFLNFESNPPPHDSNIMSLVFVLHGLIGVMWLMKCNDAASCSWLD RKNAEWGETWSGRISGSLKAWKSRYEARVAYILVSTHDNTWRHQFLEASTGISAMYHA ANIVLNAEITDLLTAAGARSIFGREVSPEQRAASPAKVLDWAMTTTVQARNAGWHAAS LLHEGISNFKTQDICTPFHYPWCLYLATLVCWALNCPDFACPKALQRQREQQQRLNSV SAQDASDSRHPTGDSSRDVDPKVEMYSLISTMLVHDPSQMSKCVGQHRTEGLLSEMTK LLSKIRWIGACEAVATMRDLLSRNKEAK
SAPIO_CDS2523	MIPLLPLTLLFSLLPNARAAPPDDFPGDGIPYRVIPTGTALPEN FVGTYLYHYAKCKQNFGPGAKGKIDDAYYDAWVISNPPNPGQKPMPTPLAYATSSDPD NRGTPMINFCQGFFDRRKLEDAITYGNGLTSPNNLRLANYDNRASVFLHELFHLYLAA DSPTPNPRVTDLHIEIKLGNGGKTYKTEAYGPLGTKLLARWQGDPTVGTAGYYVQRNA DNLAYYALAKYVMTKNGNIYPHLPIVVNEIDGPPYPMNFPGSLAIFITEGSNFYLNTT EGLSAWELSPGDDYPGCSDNANDPQDITSSLAIDGFAPASAYPDSYNSEVASWIDDLV LTGGGSDPGSGAGAGQQIAIASYINPLGDPASWSRLFTYDTGKLSVLVANVLNGPDYV VDPAWKSVIDQAASQGKTDWVPWHWASQIEQDIDKWYELYGSSLGGIFFNEGWPECGP NNVYADLYSYINNYTKRKHPGAFTVLNPGSPIAQCYENTMDALLTFENSYESYQSSFV PNDWTPKDPRKIWHIIYRVPQDKIAAVAALARSRHAGLLEITDDELEITDDDNPNPYD NLPNDAYMQAVLNAVPGGKPGIDDPAKFTGSYVAGVPSNAAVSSSDYSSVTLTWSSVG NALGYAVYKNGAVVLELPPSLTRATIGMLEPGSSDLTFEVRTILASGGGGSSRLISAS TQGLPESGTITNVGFTQNGDTVTYTADVLVPYAFVRLFIGIKQPFMKVGIGRGWPIQV PGVDDMGTAHHQIVNYLVEGNEFYSGFYQYTGSWYEGTEANADWSWSPIGVAPQSQSG 
SAPIO_CDS2524	MSDESQHGFSCPTNPPLLMTERTAFGTRGCIVYGYPSSGGVLIK EADLLDMLFLSLSRSHVSHRASNPDEEDTFCNLMRRTGATLWPSEQAWIDVQMGIRDA TEEEEKVVVFGWPMDGRVCKTWHSTIATSLSLLQTLFFAPAKPHRGRTPNPLIESVFA AFFSTSLEHKVPRGEALESVAARGRLWAMFRAGASWRRMYPEAPASHKIGFIQVQGRY ELTCLGLPRNVGYFGVYWREKTDDPPVSAYSWKCGTEDVTLSKTVDDVRIVVCSMLGG SGRELATKGKLREEYMPADLERCKVDLEYASSQDWFG
SAPIO_CDS2525	MEPIKVIVASTKATWLAKLVFRGIAAVIAIALIGIVSSVAAQSS YDDYYYSYTDGYIPLAVIGAQLILSVCWTIAEIVCLIVRTGHLGIHPGACVAMDLIIW LGLLIGVIILSLLCWGGWCGWDYDEYGDAVVSHSRRTATVALGVILILTHFTLFVIAC WETSVRNRAVRLILDRNGELLKGPESAYKAYQSYQARSAPAAQPVPQPPPAAFSPAPA PVPGHLYPTAPPSRRPVASEAPQASLNPGRIPSPSEVSSVSPVAPNPQ
SAPIO_CDS2526	MDPQPRRRRRRPALSCVECRRRKIKCDRNNPCSHCVSTKARCTY RVYNDGPALCPYTEQLHSLNETPSPTAPSSSPTLAPDRRAEDSGRPIRNNNSYSSTAP TVVSTALPVMPTPATGTASTETQDDGTLLVDRTGIQPSTHKPNVQSDLQDILQRLRKL EESSSSGPDPSRDILSLHYGPVQDSQIVLNKTRVLRWSFWTGMSGDSPRGAETSDLIA QMGDLLRKCKFVAKSLKAWRPSRSLMAPGLILAPPPRETADAMSTLYFQSFESTYRIL HRPSFWAEYERYWDDPGSASTSLRLKVLLVIAIGSSLHEQDDADSEFRNQVYQWIYAA QTWLSGPLEKDRLSFAGLQIHCLMILARQIFSVGGDLVWISIGSLVHQAMQMGLSRDP KHLPPMSILKAELRRRLWATILEMAVQASLDSAMPPRISLDEFDTAAPSNVNDEDMDE STVELHSRPTSIYTETSMQLILLDSLPTRLRILRLLNDLHSELSYPDILSLSSEITEA SRVYTKLLNENSKSGVTPFHRNLLDYLVRRFMIPLHCSFAHKARTNPLFHYSLKVSID AAMAIISPEPDEAFHRLMIVGGGLF
SAPIO_CDS2527	MRSLDAGIWVLSGPLHVATQSERPLVANFGAPAQKKQFLPNILN GTVRFCLGVTEPDAGSDVAGITTTAVRRGDKYIVNGAKKWITNGIFADYCTTAVRTGG PGARRISALIIPLDAVGVTRRKIENSGVAASGYTYIEFDEVEVLGYNHVGGEGVAVIE PIQGNFGGLPVTKHYEAAAVSHLADEYRNLSYPVAKCALETFQIRDEHVKAQERILCE ASDAWVVEDSLVVSELRHGFRRDDGDGALSQAKQQAWEELRDKHYRAPEAACNNGTRK EVNCSHEIVLISYLTQTLRSLSSVPSPVMDDSSPAASLVAGIGKVCGRAYLVLSHIPT LSEGARNELTVLKQNRVTQMATENDLPMIDLVQSAGVFLPQQFKVFHKRGQIFRDLAI RSAQGKPNCTVVFGSSTAGGAYHPAMSDYTIFVENQAQVFLGGPPLVKMATGEVVDAE TLGGAKMHASITGLADRTASDEYDQTLTPSTVHLRPRGHERLILR
SAPIO_CDS2528	MSEDFSSKYTNANLASDATPYNSSLLCHLVQKLNEADNGSISSA GMLCAQKRGDAVPKIFRDLVEGKSTAEKRDTFLSIKRAMNLTWPFVGLPNSMPACLGL IDELRRDNITVLSEVDRPPFSERDWLAVGKQTNQEIYRAAGNSEVGLMIAQFFPELSY IANASVFGYLIGGSVQDLALPLCEIIVAGAIAAMGATRQARSHFKGSMGLGVSRAAVE SVWEVAQEVADWNGAKLPGGIDVASLAEEVKANLAEIDKT
SAPIO_CDS2529	MAAGSVEATTTGRGKHARGSHALGGSKPGSVGIAVSTPAFWQPL LVFFIVSLHLHLEESGYGSNCEPFKTDSTTSPHRVNMSVNLDIEKSRYRQFVPDKGWA ELRGLDIRDPYTYSEQIVDSPLFQDIWKKWSANLAAPFYGITSDGVRRDGIYRLQYEG APTRNMVEAAKAVIDSLSPSERSLAVLALESEDYRKWSNPEMVLFKCGVRLEQLEQTK VERIMHLLRQSLSEKGFEKLRGAMKTNKFLGELCGCEAILNEKSYSFTIFGEPSETKP WRYMLFGHHLCLNTFVVGQQMVIGPVFIGAEPCLIDEGPDAGIEICSKEGDLGLEFMR SLPADLQRKAQTYANMHDDAMPEDRWNLADQRHLAGAFQDNRVIPYEGILASDMSREQ QEKLMAVAEAFLMLLPPKPLEARLKQVRDWLPETYFSWIGGYGPEEPFYYRIQSPVAL FEFDHHSGVFLTNRQPAKHHIHTVQRLPNGNDYGMALLTLAQMGEHA
SAPIO_CDS2530	MPETPLRTPRAKRVARACDYCRRKRDRDRGRRATTVTASSRASE PDVNPQADAVIQVAVSAPPTVADEPSVDPNLDATIPPQCSLEDDLAAASNWAPDPSYS HDNDNMTQDFGYVLRQLGIGVSSAFWEMERLMLPHLPDMAAPTMSTLGHPNGPGEPNR ALSSHSPLGTGQACTSYFASPPRSTTTSVNGKVSSIRSLTKRDEGVESLERSVPLGVF IRKDETVANYIDAFESQPTLFSSSSMGFLIEAGPHVDEVGLTSLFDVASQQLPSREGA LESINAYFKNLHEFYPIVDEESFRARTETLYSSDRSGFGVLDYSLFLLVVSIGRLSIN HKSDGTGSDDGLAERTYQKAWSMVHDSMAKPYIDLPASQLRLRSRLWWIAFSFDASLS LSQGRPPGVMDATFDEDTMLAEPEVGTNISFPTLSQIYSWSYQLNQIQSRFCNIMHSK DTILFRLDAILKVDSDLMLCGLRTQEQGMRRRSPPVFVPVSAYASTARGLSGAGDLFG EKVFVISFQTTNYKAAMAVLYRNICKNPLHLSARADLEHLRACKLHLERDTPNDVIGP VLKTLFANMVVSAHDLVWRSSSTAMETMGTP
SAPIO_CDS2532	MLCTNFTMTRTHFYPVGNTPAIRLTQGLPPEEDAKLLLLGCGDL RNILYTVSCDDSRNLMDFTACDIQPEIIARNILVLTLIYDDRLTKKLNKIWDIQYHLY LQKASYDLLRSQAKKLHRLSSSLDVWQSTEYSVFIKFCDSGTFKAVSAIWDFYAHSTR FEGKGAKIFADAISNAMKTTSKAARQNLFSSMRAMAPVTGVQKIYLADVHYHYWNAGT TNMNGNCEQPTFPNLMYASPDPGLELHYNTNPLLGFHLSAAYPALRPHSYLAPPVNPE RPRGTAEAQKLVDYVHEEFYHWCFVFRKMVFDRKVKVRFFQGDCLSFCHALQHLRVTG ETSSANLYRDRLHFDKLVLDGRDYGPKVKRARDRAPLSFNVIDTSNLVDHLGHINLFV AAAALLEDTLTSAMYTECITQHEKTMEEFMRNVLCGDFATVAQLVGLVPVEYYTNTSY CNAIEDTLMKLAGKDSKPELSSTQPAVRITWRQPQTMAVPHKRVITAFKDHELAIILS DIYEIMFQRENVIHLVSMVNEVNARQTSFPMNNRVTYVAFLRLVKDRVATESWDNTME ILIRLISGISNLLDSFRLQETLLYMDIFGVHTTDMLREDNLTAFRGDKSLGG
SAPIO_CDS2534	MDTQPHVSGQANKPLPRPPHALPAHEVCQLLEVNEQTGLNGSEA EKRSEEYGHNELLKEKNAQPLKIFIRQVANAMTLVLVLALAASFGIQAWVEGGVLAAI IFLNIVIGFFQDYQAARTIDALGALTSPTAKVIREGKTVVIDSAKVVPGDIVELKMGD SVPADVRLIEAVNFEADEALLTGESLPIRKDPEMTFDDDTGPGDRINVAFSSTVVTKG RARGVVFATGMCTEIGLIAAALREASSGSRVHAHQNAEGKITLWSYIVFGFQVVVGII GTFLGVTVGTPLQRKLSRLFLYIFGIAVICAIVVLAANKFSTRSDVVIYAVAVAVGTL PVSLILVLTITMAAGTKAMVDRNVVVRQLSSLEALGGVTNICSDKTGTLTQGRMVVRM AWIPSIGTYSVNTSQNPYDPTSGELKLTSHVQPKDLQSPKGAEAVADKIDPAGEPAGK PALQTYLNIASLANLATLQRIEDAGAEKPGEWTAHGDPTEVALQVFVTRFGWNRLTLS TEANPNARWKQVGELPFDSEVKRMSVVCEDTQSPTREIHMFTKGATERVVGCCSMIAL GDGEPVPLDEKILSDIHANMEALARHGLRVLALASKSGLAPITEEEAKQGLNRVAYEK DLILRGLVGIYDPPRPESQPSVRACHRAGINVHMLTGDHPHTARAIASEVSILPPESK IAQMPAEVTRTMVMTAHQFDAMSDDEIDALKELPLVVARCSPTTKVRMIAALHRRKRY VAMTGDGVNDSPSLKYADVGIAMGQNGSDVAKNSSDIILTDDNFASILNAIDEGRRIF DNIQKFILHVLAANVGLVITLLTGLAFKDATGISIFQITPVEILFMLLVAGAFTETGL GFEKASPDVLRRPPHNLKYGVFTPEFITDLVCYGLIMAACLLGSFVIVLFGFYDGNLG HDCNLEYSDSCEDVFRARATCYTTMMWVFLFFAWELVDSRRSFFDGIAKSPRQWALRL WSNPFLFWSVTVGFFITIATLYIPVINTVVFMHKGITWEWAVVVIANLFFFATAESYK WGKRVWLRRHGLAPKKGDEEDYLSTGTEQV
SAPIO_CDS2535	MASTSVETDQGAPISLVDLADKGPDAEASPKPPESEAPTDTNSS TPPPHHSRLLTPLDIADVNAASIATEEQVISLETSDSPPSDPAKADCVCATTETAARC ANSWLRLERLISNLENRVQNAGGGGSKSSGQGQERPSPTKPNDDAAEPPKLQTKIIPK IRKCTFSQFVNQFASEDTHAIEVLVTGSRLVKEIYDEWEERCRLGVEGYSKKDDNEKP SSRRYGANSTETWIHGVRIRSPKLMEIFDKVTDYQWGTKPHTFLRPFRYFIHFHDKLK AKLEWMEEHIKNLDSSDDAATASKETKGEEDVFSSESALEEFRCYIKFAETEIVPSYS AFEDADFGASRKIRYQDLWCLFRPGELIYIPQSTLVNLYHTGGVLDQAANNSSTKGHG ATTLQQVWRLIHTQPTHGWEYLMEEFDDNDYFRASCHYLDYDGSTYAGVIYPFNIPYF KDKRDIRDLPFYPIRFAEDWKLCLAEGRRWGAKFTESVTTHPVGSQIVQPVKKPDHIE GDVIIDFQETFNNCPEWKKNFADEEPMAVSRGGFYATMAGNHAIITWSDVHRTKQVSS FTEAVVDEDNIDTIEHDIFLSKSCFLTRKSQALKPIPTGDDLALLPRRLFSYAIRDRV FVPINVYDLTPIERQADVFDKLELRPEHKRHVEALVRTHFRKNELDAHGELRTQDLIR GKGKGVVFLLHGPPGVGKTATAEAIAQKFNKPLFPITCGDLGFSPRDVEEKLTEVFRL AHLWDCVLLLDEADVFLSARNANDVKRNGLVSVFLRVLEYYNGVLFLTTNRVGKLDAA VTSRIHLILHYKRLKGPEILSIFKLNIERLEQIEQQRHEMFKEPKLFILKEEILRFAE EHYHESERSRSRERWNGRQIRNAFLIATSLARYEVEKQGPGFQPQLRASHFREVEMMT REFDSFRRRLNMGDDAQAARRRGERDDSWDEAEDLDDNPVAPPLSHSNRPYQPPLGIS RTGSNLRAGYQPSPRGLSPHSTPTRVPLSGTSPSAPTHMASEYSHGGYPMTPPMRSQD SYQRSPRESHFTLYSAAPPSSSHREYHNPPMERQTAMPISDPPELHPRDIPVFSTPGR TSPGGGG
SAPIO_CDS2536	MLKLSRTSSDLEEILNNWETIEPGMEPQPCRWEDVMAAIETAKE TYAMKATNKGRAVLRNMATIKTLQALSQVVPEQDGLSVLRGGLTLVFKLIERRIENQE RILKTLGDIPFIFERACNDLLSFGADEVLVTRVRDLYSVLLRQIAMLLRILLRKQKGS GEAIKGIERKFGEGSEHLKSLGDRFEAMERRMINIEASVHTCRIEEALFLNTNVFHLF AQNILQAQHITRQITGSSLSYYDPALPPSPGYSQSPQPTVPYLHIEVSIEQIFDIINI DPVIGGRNTPMAISHLPNIGHVADDMEHVLRQSGDLQESALRRTNWLLATDRFLVWLR SDTERDRGPQSDLILINGQCDEDLNNSVSALSVFCATLISMMITIPLSCEDTTSMSSN HPNAVDSK
SAPIO_CDS2537	MSALATSQDTRCTPNISNDAFCMTTAKRHRPSNTIRPRIAWADT TMTTNPLMVIHPPPYLNNSAEICRSSRNDIAGIRPPRRGSMVMALVFTPRDKERRDEK 
SAPIO_CDS2538	MTSSSFGLTSQAVSAGEIPKAEGWDFDLCYTSVLNRAIHTLWDM LNEIYRTWLPVVKDYRLNECHHGALQGLNKGRDGQAVRRRAGADLLYRQLWNPPTLLA SVPTVAMPICSPNRFP
SAPIO_CDS2540	MIRRRLLLGHPARLGLAWKANSADLVLPARSYFRGTTVSKLPRA FSTTTSSRLRDFFPQTETKLIRKTPSAWPHKGWTDEQMLSVQVGHREPNNVGDWIAWR LIRLARWFMDKATGLSTNQQVDKKNPTTAVLAEKPLTEAQWLVRFVFLESIAGVPGMV AGMLRHLHSLRRMKRDQGWIETLLEESFNERMHLLTFLKMCEPGWFMRLMILGAQGVF FNSMFLSYLVSPRICHRFVGYLEEEAVHTYTRCIREMELGATPKWNDPNFRIPDIAVE YWNIPEGKRTMKNLLLYIRADEAVHRGVNHTLSNLNQKEDPNPFVSIYKDGGKPEAAS KTVGFERSEVI
SAPIO_CDS2541	MKNHPLPSFYSGPLLPPLMPVRLSPLSPPANAPSSASTTENPAK RRHSSEPDGEGSPHPTQRPRSDWLTPQSSRPKARTGRRHIITERTATNHLNDADTGPV PMSTSTGPTSARALATVPADSPYVRRKRAIAACQLCRLRKTKCDNGRPVCGSCRHHKA RCVYADGSEADGLQVGFDEAASRHREVLERLDDIRNILARSSPSDARSNSAASEMGSQ LSVLNALTRSGLNHESAGFSAVETETLSDRQPLSSPTAYLQYTKCEAILKWPVFKGVI SDEDAAIDSFIFDGHVRGDGEEHEVGPATVSDSASPASWGGTTKQPKRMASFFDQSMH EQAFVPLCQKFLVVVNCRNPILDDHDLLSYARSVAEDGPGWDAKSCIVLLACALASYS RPWEPPPSVRSSLSPSARRDSAVSPNFMSESDDEAAEAYYEAAQRRFGFLGTSLVDIQ CFYYASLFERYAFRPLQAWMYLQQAATRLRVHNMQRHGQENRLRMGGQGDYPVESEST GAGSAISYHLEQRAFWTIHKAEREFATALGITLASDLTGFKSPTAFPTPPESLNNLAP GDDGDMSDVFSPRRNEFIWFFYLAEISLRRTLDEVLSVIYEKGEQSWIENIDLVCRQY YESEKQISDLQSHFPPSMRYDPASQPDNEMGYYFEGRFEEWNEIILRPLVYYCLHHPP TKPPTPSIATLAQRHMTLCVNCILRCADHGRHGATWVVLRRAFRCTLIILAAVVADGP VRPPENWKDLTSTLIATLARWSVGVRDLQRMRRVLERVFRAVCDVEAARMPVEEGGAW 
SAPIO_CDS2542	MKAASDAAPNLATRESRTTWQQNIRTIIICFIVSFATLQYGLDI SIINGAQAIKGFLKVFGHPNPKAPQGYGISPSFQQSITSLMNIGVIVGSFTLEFFSHR LGRRKNFIVGSLASIGANLILVFSTNKSAIIFGRFLFGVSNGLYIGFTSLYISEAAPS HLRGALVTFVQVSVCIGTIIGAVVNNATKNIQSRLSYQIPLFTLFPIPVFCILMSFFV PESPRWLLLKGRREDARTHLYRLRGSSFPAELIDAEIAAIAEAIEAEKENTLRGWGAV RLMFSSAERRRTLLTIASGTMHGASGFPFISSYKTYFFQIAGSQRPFVDSIIVTTVSL FGAISGVFLNRFVGRRYVLLFGFSVQAALMLVIGTVWSVDPGTITTGKVIVGMVIIFQ CLYAAIVGPTSWVVASEIPSNRLRAMTFGFGNGVGFLAYFLIAFTTPYFINPEALNIG PKVGFIWFGSNLITATFVFFFLPETHRRSLENIDEMFYNKVPSRKFKSYQCTGVVQLP EESEKALAIESDSGTTSHIEKRPSRET
SAPIO_CDS2543	MAGVLYIDTSFTKITVKPVHPTFGAEIQVPDWNNLDDDAVREIS NACNKYGFCIFRNTGLDDKGHVEFSWRLGELDNIKRFITGERKLRYQYFELFDAGNIA DDGSLLDPESTRAHTNRGNQLWHTDSSYNFRRASFSLLRAVELPPKGTGGHTEFADTR TAFVELPDELKKELVKNNYVGCHTLAHSRKLGSPEYFKDLDPSKFPMARHHIAQIHEG SGRVNLYVGAHLHHIEGLSDDESTKLRDTLNKHVAQPKYTYTFEWEQPGDMIMWDNRA TLHRAMGGSFAGKYRRDLRRTTVHDDSAGAWGLNSTAGVTALGYQLSNKTLGTPEMTP AISTANPVAVAAAS
SAPIO_CDS2544	MDPDSEEVETHGQGNRTEELAPSMRSSSSDQSSSQDGDQESLQS SDEILTLRQRLDACKTRLIKYVVENTLCCLERKFVSMQRDGGTSASFNPVGDTGAGAC QTSKGKQRERKRKVGQAGGNAEDDEDNTLEERNPKGLTELDSGGLLACPFFKHDTAKY KAHRACCGPGWSTVHRIKCNRCCIPFDDNESLREHQRSTTPCELQPPTPVDGIGEAQE KVLRSRKKACLGLSEIEKWRTMYNIIFPDLAEEDIPSPFYEYENSHEKYSGGKERSEY LAEYEKYLVPRLINRLEHQFETDLRIFESGMKKKAIELVIDVQLEILDEFRKWAPGPS HQREPQHQARPATMPHDAVVVTRSEDVQSLPLPAFEPQDLQLAYDDLELQFLNPLASI MDGNVGDGWEWNDMCAGPSNSRGRQTSDPGYESQEGAQEKPDYQ
SAPIO_CDS2545	MTANSKMMSARIQIASADELETSIEQSIYWTLIPVTWKISPPDL NTLTSGSRAAESSFVCDKKNDRGYYLVPSEDPKNNSFSRSGRIDAIGCREFEMLPGTD ELGCVWGGITKEDIVMGSLATYKANGNKNVGGSEPWSLKESKAD
SAPIO_CDS2546	MESHSVMAQEDLMASSIKSINAQGARDDKLTLSNGLAETIRANT RRSNRQNNHRDPDRAPRLPSEETTKVVQSSARLIRDSLVPSKKLDGGETEFLPLDRLE EIVTEEQVSCALADMLPASGKPPTFASIARSVVCPVDDTDAERPRHLRTRRQLFAILL LVDKVDTISDFINEGIYDSDLPFILGKDEREGDSIRTLSRMVEGKKQTIELFLRWRDV DMESFHHQQWKVNIPVFHVDEKRNSKEPLHTLSDRAILPFLDVVKAGRGYSGAVHKVE IHPSHHNQPCEPGKKLYYAVKKISSLSQEVNITDINKEVSNLGRFADSDHPHLIKLLW TYKQGDDFHLVFPCADGNLLNFWEKHDSPVKGKTDVGRRAGALWVARQCLGIVEGLSM IHTDDRNGPGETGRKKYGRHGDLKPENILWFQDPDSQAQEGGYNPGALQISDFGFMRF NSSYSRLWINAETVGMTPTYRPPEYDVFRKVSAKSDIWSLGCVFLEFVTWYLDGWEGV SRFRLARADEENHNIIPGDTFFSDLRRPDTGICARAKRSVAKEFEALCEHPDGSDFTD ELLMYIKERLLRLEPDKRAECDEIYAQFEMFYEACESRSDYCLSRSKEPPSRKATDLS LLVSREIKLSPQLMSSLRRGHLWLQRQPDLKEEDLDKDLGLREPGASNRILSRSQSDN PMDILEQAAKRENSPDTHESVERSGGVESESTPLTSSLQAAQPNLDNALAPPAAANQS LRIAPVISANNPRMQANTDHFNPQMQETLNESRQKQGKHILSVHIGINVSDLKDNKHP NDKGYNKMVDAWLQSILEANLRGWLKEPVKRTRREAPGEMACLADVDSDGRADITVLY EDGAAARVWKNVDNGRKFESLDAKWAAGLAPRVKVTIEDVDGDGYADYVVVVWDGDTV GMWRNAIGEGRDDNWEDLGTITPSVQGMFLAVNDDGSIRMFKNLGIICGSDSEGTTMC FADLTGDGKDDVISVVAHDRMCLDQPRPGFWDSIGEITTGLPDQDLSTSRMEFANVNG DDKANYLITCGRGAANAYINVNRWGFIESPPETGSGDDSEGGNGPRQDASAPAGYFIL SSFIRLESLLLNFHSALNDGQGNIGSRMGKSAELFVSKKEPKLDILIVLDITSIGYAS LAASIWNKVIKNRDFSKKRPAHYDTAKDFTNTWHIKASLWPRI
SAPIO_CDS2547	MDWLQDNDILDVDTSGHVFKYQEGPTTLVRLSPVHTTPLVWHMH NKCDWDDWFETEHPGLKGSSSAPGLVLVLAKRAGEMTFPKIKRASSGVWLEKLDQAPS SPTEKSLVMSDPSKSGRRTMQILPFSKQLFGKIAREFYIHSSMARTVSRADVSSFSAA DLVIKDQNGSAFPAFVEESIDELETRILELSSFSEQVESLSAQEQLARNEAKRSAWLD TTYLRNHLITWVAQLEKLSRCLDELSEHGSRRNTAERINIGGNIVLIESLGLEDRPLS RLTLSEKAFGSLKRTLFDTLPEKGIKGTQLQTHCSTDLSGVTKDTLLENRIKGTELQT HSSPDKSPNIPEDTLLEKEIKGTQIQAHCSTDLSDKSPDVPEDTPLDHKVVTTDSNTD LAQEQMKRIGKKIKARVLDVIDEYNDKIRDCTMRVDGMAMSTQWAQGETSVEIATATG RDSRHMRSIALVTMIFLPGTFFASVFSMTFFDWNGSGDGNIVSGYLWIYIVIVFIFTV VTLGCWWYFGVHRRLRRRKVEFA
SAPIO_CDS2548	MTGVSGGTATHPEFVVTVTDTDGPAKPHSPSPNERSTFNGNDTS LLPSNKGNIPLRRFLRQHEISHHGQRHYWTDKLIRHLMTREQVENELSRSEYNKIKDQ AKKAYVDIVCPPEGSSTSETYFKIFAILVLIEQVEAFDGFVRDRVCDQSLPLVPMKGP CGEEELCLEANPESPLNCCTKWKDSDKIAFERTQWGVLTPYFDLKKDGSCKEFDLRPD TILPWKVLPGDVMSGAYGDVYRVEIHPTSHGFSQVLRGMKLSESILAIKKPHKKNEDH FRREFEHLQRFSGRQNPHLVTTLGAFKFQDRWNFIFPSADYDLDTYMSEKHPAPPPWE WDTVCWASEQLHGLMGALDTIHNPVHLHHNPVKLYGRHGDLKLDNVLCFQSSATKWKM LVITDFGHSASHRDTSRSNIPGKEVPGVPGYRPPECDIEGGTVSRAFDIWTLGCLFLE FVTWLLGGKELVEWFNEKRTTLFYTGIKNNVFYQLRRADEGGTTYVVQVKPEVTEWIG NLRNHQNCSRFIHDILSLIEMEMIVVLEANRQRSSSGMLQMRLQEIRQRCENDKAYAL NGCPELKGPEYGPAVEVTLNEMARHLIGDYGSALPLHEGLANRAMSEMQLRDLGDS
SAPIO_CDS2549	MADDKFNLQPLKEQGFAGDEEEAVVATFETAFESLSKTTSEVAL DEAAKTIALKLQNLVSPELPAERKEYLFEIIWEGLIGAAKHVPYRHRGQLLITKALQE LDRVETGTKFPYLGRCLRDNWIDPTFETVKGEEETYSHSEWLNLNSFAARIFGKEDPS LDRTATDCKVAVASEWIIQAAPDLLRRCLLEKNDVDEAVKVSYRAGELFAGHSGLNLE RWGFWKRRLEEVRHDVGEEARASVDQAMKAMTAAATALAN
SAPIO_CDS2550	MAAGRRSRLVTIVGALLASSTSAQTCDYSKRGNCINAEASASLK IDFAPLFTSPPTLVFAIDNLEDKDVQDFLSADKQLKAPTGDDPAQAIAFWFEYDNSTV NFDSSQERAYYAWALESNSTNDIGGNDGGCENLLGAQCVSDLKSLFTGVGDKVGESLV EFFHSPPAKINCPNVLWDDGSGAPRTWFARLSSLFAITNDPLPSGNASYTHTAPRLRY RSFEEQKSTAIVAFTLGWSLSGGGRDNATISTACLRVGDAPSGAWKTGDSGNTDDSGK TGDSGNGSAVNSISSTAVLALLAALWAVMV
SAPIO_CDS2552	MAGIRKWIRSKLHSKPKAKKRVQQPVREHQESLPFLPETRRPLT RGSAHGDEEPDFSLLLQNYGLFGRVPYEIRRQILVEAFGGRTLHVDLSYRHPLVRKPR SAGTSGSGENARAEVAARGMHHRHCDLGSELVSDTSRPRAWQWFGCVCHRRTGYSEIE VEQRYAANKFSRTIEPCDDECLEESESVCSCQVEQSDSSDGAACFVGVMGWLLACRQA YADGVDVLYSTNTLHFSSLDLQLNLTRLIPRHHLERITSLELLWKLNSTEIRPEVPLP NHVKPLWDAGPDFQGHPDSPLHILCQMIPQTFPRLRRLYISFQCWLDSGSHGGADLIS DVETIFLGPVEDMMRAYLLERSRQEGRDHGHNLSHGYGDLELNAAIQWGAWFTLLKKY MQLEGTKLKFESDDFMAWRGRFWKPLRLGSDVVTGSGSDGGGTGYEENGGEGCGYWIC GGWQDADPYGTNYWEFVNWGWKWNWGGTTY
SAPIO_CDS2553	MTELPRSELIQIFRILVEEDTSAKYFFLFDGLDEFEGDKSALVT LVHTLGSHANVKICVSSRPWNVFEDAFRRQPSLMLERLTFNDIALYVHGTLTTHPAFR ELSYGNPEYAAELVRSITTKASGVFLWVVLTVRSLLEGLADGDPAADLQARLREIPDE LEELFGKILHGLKGRYFNDAARLFKIYQAAGEIPLTVLTLSFADEAEVESVLSQSIRP LTAKERFLRATSMKRRLNSRCKGLLEIQYPQNEQHSAAGLLDQRDIDHLLSTSALGPK STSDLENYAQALGDLTIQYLHRTVKDYLESSDVSRIIQSACSNATLTPQVSLCLGQIL RLKTFEACDNSRSLYLDRHWD
SAPIO_CDS2555	MSDSKIGSQPNTTQHEDIEPTEKHVSLEDTSGHMLHKQLETRHM TMIALGGALGTGLLIGTGSALAKSGPAGVLIDYILVGWVVFVIMTALGEMVSYLPLAQ GFGGYATRFVDPALGFATGYTYCFKYMIGTANQLSAFALVMKYWVGDSVNPAVFITVA LVGIILINSVNVRAFGEVEFWLSLLKVLTMVGIILLLFILAVGGGPSGDRPGFRYWRD PGPFAEFKVEGDTGRFLGVWSALVTAVYAFTGTELVAVTAGESKNPRLSMPKAARLSF YRVFFFYVRSVLFLGMVVPYNSEALAFATHASKSAAASPFVVAIKLAGIKGLDHVING CIVLFVLSAANSDFYIASRGLYGIAADGKGPTIFARTTRNGVPWVSVVLCILMCGLAY MSVAASGAKVFGYLTSCVTVFGKLSTSSEPSPFQALTSSGLLVWMSILLCHIAFRRAQ SIQGVDPAYIAYRAPFGIVGSYIALGFLTVLIITKGAEVFVGDEFDYKTFILGYIGIP VYLALYLGYKFITKTSYVRAAGADLITGVPAITVAEEKARYEARQKEREEANPRAYWV RKLYGLVSWLF
SAPIO_CDS2556	MTEPISDRASELVRALDLPWRWAPRGTYSPDGNPGGLVSFATAE NPLVHQDLEDFTREKVSISQAAFTYGYSTAGGTRLPVLLAQHLNEHFKPSRPLDGSEI RITGAATAMHEILGWAVGDPNDGILTSKPVYGRLELDFGNKAGLRMIYADTTWQNAFD PGVVDAFEKTLADSRAAGINIRALLIINPNNPVGRCYPRKTLVEIMRFCQRHQIHLIS DEIYGSSVFSDDLPPFTSALSIEPGIINDKLLHVTYGFSKDFGAAGLRLGCIVTRNAS ILKVIQMTARFHNPSGASIAIANAMLEDRDWCNTFLATSRRKIGEAYAFMTAGLLDLG IDFVPANTGFFVYVDLSPYLIQGTADAEFDLSQRLLDGGVFLHPKEEHGGMGWYRMVY TQDPRIVAEGLRRQVSAALFI
SAPIO_CDS2557	MASLLYLAGGLLSLATLGVAKKCTCGDFSGLTLPNIEVLSLDVA VNRTTVAESPGGHSTSVRFCQVSIQYTHPGQNDAITTWIGLPLREAEWNGRFLMEGGG GWQAGSPRNVLGPVSNGYTSSSTDGGLNTTATAAEWGMVSEGNVNWPAIIDFSSVALD EAARLGKLATELYFGKGLEYSYWSGCSTGGRRGHMMAQRYPENFDGIVAGCPAFNWHR FVMAEVWPPLLAQFLDTRPPSCVLDAFTAAAIEACDELDGVGNVDRFFLQGVDEYTSV IGTDNPDLTGLRKAGGKVLAWHGLADTLIFPNGTIDYYERVVEANKNVSDYYRFFLAP GVGHCSGGSGPNPSAYLLDTVRAWVENGIAPETLPGTGAAVAGTNKTATRTLQLCRYP SVLTYVGADPNDSASFTCV
SAPIO_CDS2559	MFPTAQPSSPTTNTPKQTPGLRQKTLRWFSDRNPSSTPNQHQQN QQRPPPRQQDPAPILSQPQQPQSPPSRVRQRRPLPSSASATASPNPPKTEDSIIATPP KPKSFITALRAPQSEPRPPRPGSSTTSETLNKGRSITVVPSQVPKTRHSMATATAMGA SIVSRPSLPTKKKVDIVDQGKPLIFDPVADEYDVGDDDDKNNFDEEASPEDEIQAQLS ISDAEEEYHEEGENRDEDETESEDGEIEAEIDVEGDGYEDEDEDEQMESDGEGQERPS SPVSGNGEPSVWSPPFSPQVQVKTQPLPRSATRRARSSSLQNPPSSAVRRTFRHSSPI LDVDEEAEEATQGKGESSVVKTNGTKLSIITSTTTATAGASQKGNADQDAGPRRFNID TILDHRPSPDDPNLFELLVRWDLPEGENDEDTETWEPEDVIHRDAPRALFAYWRRVEG GREGQMEDSDLWYISHVKQHRVSSSTNKVDLQVSWVGSPQATWEPEANVIESAPDAVA EYWEKKGGRDKVIAAKSNSKKRGPGGRRGGRGGGAQKRARRS
SAPIO_CDS2560	MAPHASTGSFSESEYTVPVFAKKQVASSPDATLTKPVTDMMGNW DTFTFAPIRESQVSRAMTRRYFADLDAYAESDIVIIGAGSCGLSAAYTLGTLRPDLRI AIIEAGVAPGGGAWLGGQLFSAMIMRKPADVFLAELGVPFEDEGDYVVVKHAALFTST VLSKVLALPNVKLFNATAVEDLITRPEEDGVRIAGVVTNWTLVSMHHDDQSCMDPNTI NAPVVISTTGHDGPMGAFSVKRLVSMQRVEKLGGMRGLDMARAEDAIVKGTREIVPGL IVGGMELSEMDGSNRMGPTFGAMALSGVKAAEEALKVFDLRKKQNRV
SAPIO_CDS2562	MSSTTDTDLPPSENVGPLLIALSGVLIFFVIVTTILRMWIRTAL HTLGWDDATMCVVTVIAIIRYGLQIVQVVSYGNGKHRPYVDEQDYVMNNQLGWWAQIC LFSGICVLKLSIMLLILRLKDSKNLKIILYCAMAGLIITNFGVIVILLAECRPVGYWR GNGECWEPKVRIYSIYFTIAYSIVTDFLCSLLPLVVIWKVRIPFKTKFMAWSLMSLGL IATGFGIARAASLGIITNDLTWTYAIAAIWSNLEFYLGIIAANLALSRQIYLYFFRGE TTPHDTNYGNRNQYLRSSFSNGPRSAHRTDNLRGDKVMDRAATLIEGRRRPSVSRSDG SEVELEAGIRKKTEFWISEEENESHGSYSGGVAR
SAPIO_CDS2563	MKLGLILALSAAVLAKPKKKCDDVYDYIVVGGGTAGVAVAARLS SGLPDARILLLEAGPAAPDELRINIPGMRGTTLGTVYDWNFTSVAQPSVNNRVFSYNR GRVLGGSSALNLMSYDRAAAAEYDAWEELGNPGWNWDSMIEAMKKSETFTGKNTETYG SEGVGDSGPVQAVVNRFVPVQQDTFIPTLNGLGVSSNLESLGGDPLGVMFQPSSIDPV PWNRSYSANAYLPIAGSNLHVVTDTTVAKVNLAKSGKKQRATGVTLLDGTVVRANKEV ILSAGSVQSPVLLELSGIGSSKVLKAAKIKQLVDLPGVGENLQDHLRIQTSYQLKPGY ISFDNFRANSSYAAEHLALWLAGQRSHYMYTGSGYAFMNWDQVLADNGTDIRARAQAA AAADPHPVTQKKIDFLSDSTIPQLELIFSDGYTGVKGYPAATSPLFGQQFFTLIAGLM HPLARGSIHINTANPSATANPVINPNFFNNPHDLQAIVEAVKYCRRAATTEPMASLWD NEYEPGFDVVDGSDEQWKAFALSTALSIFHPVGTCSMLPKADGGVVDPKLKVYGTDNL RVVDASVIPLLISAHIQTAIYGIAEIAADIIIDEA
SAPIO_CDS2565	MTSESSTQAPRLATREEMRDAKLPIAYRDSCAHLLIPLNRCRYD TYYLPWKCTDERHSYEKCQYEEFQKRVAKMDELRAAKGGARSN
SAPIO_CDS2567	MRLRLAVRRHGIPEVKLLWTVGPSTDLTISKLLVAVNEVVPLES GEWGLEDYAVEYRDTQGDGFECLHFQVVRDILKEDDQLLIRPLLEDDLRRRRISGRHQ ISVDGRHLVDGVAFGRPWLKAPVNRPAIDLPPRKRARITYDSDEEYDDEEEDGDYDDY AEDEDEEESDDFSSLEAEEDTEDEDQLLLEAPGSESVVDESTNATPQPHIPGSVNSPG SLKRGRGLDSSPVVSRSGSTNSTTPHRSPRLSTVRFLSPKAPEPHHSRNPAKRRKLEN RLHTPLKPKAGHVVDTSSPAHATPDKEPGSSKSAEPEPNEPCPVGAEVKSPTPEGPVG TACPESSSDSGDSTVSLSSLARSKDGVERQENSTDGEAHLSPAVNEDKPDKSGYIALS NSSSDDDSDSDSSSEDSSGSDDSASDAPDRVNSDGPGNSSDSDSSSDDSSDSDSSDSS DVSESSSDGPVSVEGVGPRDSVAQHEHGVKAQTKAAPAKKSEAVVKKVPLAATPFAAV TPEVTGRDANAGQVHLTRSQKRNLRRRLARLKKEEGAVGSATATGTAAPADTGSAEVV AELLRRKQALLESIGAEKSSPASANPLKRKASDIEETPARGPDCDGTLATAKTNGTAE SEAAATRKLRLDLGAGRRLLFGALGVRNPKTREEEDKVRDALAKNVRPLTTTRTEEQA DLQDKVVVEPPEDEDPDAWRRKINYRAVECCHEGVELSEPPFPFVQRWDPQQRPDVWF GGNNKKNKRGGKGKKKLRNQAHYYDGGYDVVDETQLSYTAAGGVTDSRSLVPPQMGDT VMGEATDVPRPTTPQDKNANATADATEDVPESRDDLPVLPDDLSTLAPLLPGAATPGM VITWKKWLLSKATQWQPQVSNVTAVVAKVDDADANTLKVFLAKRDRALDKPEKDYDPE TGERVYDGFEAPDTDEDEQGDGGEDDGERNVTFAEMMDPRVVQPALPSAAVFGSGFAG QVPDEDGVGYLQDSIESQGGDVADSNEVMGDATEPMSLDLQVPFSDPVESVGLPGSSP ARARARELPPSNQLPQMSGENTSSLRVPVTEYPSQNTTSLDALGNLSVAGSVASGRGQ VDPNFVGVESYDSQEDAIPDSAVALEGDAATEQSGPKEKNDGKVRPLSKLVSSSQPVL PSSSAGQRSAAVPAPQTPKSSPEAPGRVLRAKETIRLPGSEASFFDGEQAELAREKSA KMRKNEMLKNLSASTRKNTSARRLEETVVGGSPNNNNNNVQRRIFSSSQSSAVPKIVK MEKLDLSERAASLSQIDSRTNAVVWGGGKKNASRKNKVAVEKDVFEVPAGSQVIDLTS DGEGAAELGGKQATQGTQGSGGLTEGIPNGGGWVPKKKKERKTKARV
SAPIO_CDS2568	MPAQSSTESSGASKEWPQSNSTAELPSFYSPPSKPTEETTTDNS KANDTTKVISNSNEPNQILSPIPTYDRISLDTPAPSSTTTPGSVPPPPSLLSPSFTPP ATPGTPAADGAPRLKSVPVDSTIPSGGCGAKRPRLLETLPNVECIVRARIPTVSGSEM FLHLYTNDVDNKEHLAIVFGPHIRSHSLDAPRPGETEMDRMIRGAYVGKLYPGRTSSR QTDEASSSSTPAGDPPASAGSSTGFGTPLVRIHSECYTGETAWSARCDCGEQLDEAAR LMGHPDNTSGGIIIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYG LATAILRDLDQKEIRLLTNNPDKIRAVEGPNREVVVTERVAMVPLSWRGQKGIRSTEV QAYLETKIKKMGHMLDF
SAPIO_CDS2569	MTVPFGADNDKKRLELQDEELAAGSLSSNPEGREPTESEKRTLR RVSDSIPKVAYVVAVVELCERFTYYGASALFQNYVSTHHGLDQPRKTATALSTFFIFF SYVTAIFGAVVADQYLGRYKTILVFSGIYSVGLLILWSTALAESLGNQGKLAAFAVSL TIIGLGTGGIKSNVAPMIGDQYKHRPLVTRTDSKTGERVIVDPAITYNRIYILYYGCI EIGSLSSLATPFMERDYGFWQPFLMTFLVFCVAVAVFVWGRRYYVIEPPRGSVIVSCF KAVGIMIANRSLDAPKPSRRAAQGITTSVPWDDKFVDEVKQTLNACKLFAFYPVIWIC FSQLSSNLVSQAGQMKRGDVPNDFMRSLETVTILLFLPIIDRVIFPVLRRHGIILRPI KRITIGFASIGLGVAYAAIVQHLIYNAGPCYDKPLACPAAKQDGKILPNDVHIAVQTP LYVFFGLASLFINTTGPEYAYTHSPPGLKSFVQSLYLLTVAVGSAIALSLVPVTGDPD VLWMYTGVAVAVFVIGTAMYILLRHLDAEEDKLYESKDY
SAPIO_CDS2570	MQNLLRTSVTDSDNVFRMVSSDNHNAGSAIVSTCQPMGPAADHS YLWGSHGADGRHEGTWNCRIATTGADLSVESNPVLDPAIVGEGGWMSDLLSPSFFSSS RGDGEPAGLPGNPPSPSDSRYRVPSLSFDRERQLGQQGRGTMAAASSIAPTPSSTVLS LSGSEDIHASASPSQQSQRSAPAASAISTDSSLSDPVPLICRRRSIQDQSDSLSDLVR MSATSCGGTVLRPGMIGLGEAIKMTAEYPLRMLATTFRSPFIHQKLCRKSPRGMPEPI AVALACVGMKLHSDQSGLPFVCDIFRDQRDKLIRELPALTDNHEQVCARLHAMCIYQI EGLLSENRYSSKLATAVLHHEYLVRATQRLVKRLEERSPENENMRHPFLSRPASQDIS WDIWVVQESLRRTIFLLVVIHQLLGLTRTLDPAYFEPLLPPDVFENIRLPSSDAMWMA ESESEWINARRGSVSDGIEEPPTLGEIVQRFTRGNAGDLGTGSSDLTDGDSRDDGDGR NKDREWSLERLPELTRLIISVASINVK
SAPIO_CDS2571	MTEPSPVRVAIIGLSASAVTSWAADAHLPGLQTKTGLGKYRIVA LCNSSVAAAEASIKAYGLPSDTKAYGSPEDLAADPDIDFVICNTRVDKHYETTLPSIK AGKDVYIEWPISSNKAEIDSLVKAAEESGSRALVGLQGRWTPVVLKIKEILDSGAVGR LLSSEVRAYGGSKDREILPVGLKYFAERRAGGNPITIGFGHVVDFIQSVVGELDPAST HTHFQLQRPQVKIRDPKTNEAIETITSDVPDLLSLHGFVSSARTHHQPATLTFHFRRG QPFPGTPSLTWTLNGTGGEIRVVAPAGISLQADAYQEPVTIQVHHYDTDSIEEVEWQW NEDQQEVPIRARSVQAVLYAYADWKRGVKELGTEPAWVEIKDAARRAEQIGSWLDGFQ V
SAPIO_CDS2572	MRVSYQNILITVTALYSSEGDGQPDQQALLAPPPPPPPQRPPLA EKCGPDTSKIVCINNYSAVLPGNFSRAPPPDSINPLDQASYAQTEIPGDDSWNLVKEA DFIVFDRKRGLEILGNRPTSEFKYGIVNLFHDATVYDPYTNEVYFSQLSGGELSQKVI DLGNEAEGVRRKEANPPLYSPVGGIYRNGLFYFCVGGSSDEVPGPDGTVARPGVYTLN ATSGESKVLLNNYFGYWFSTCNDIAMDGEGNIWFTDDDYSFGNGVSPHRPVLAPAIYR FNPRTGSVRIVDQRLKQPNGIAFSPDFKTLYVADSGAETVPDHPPAVGHVIYNPYNVT DPHVVWAFDVIHDGTALGNARPFHLTHKMKWLPDGVRVADNGYVLVGVGDGIDVLDPT GELIVKIQTNFTAVGNTWAGKGSKDLWITGVGGVQKVHINLPGGRVNGWPEELLREE
SAPIO_CDS2573	MAPIETSGPQAADKQSATNLFAGTEGIYLLPHHVKEIERLRTQH DFILSSTGGVLLTAPLDRPKIKVLDSGAADGTWLLDLPRLYPAHEWSLHGVDIGSALF PPKAGPYASLDLRQFDIRSPTPPDPTWVNTFDLIHQRLLIWGLRGPEWPGVLRNHHSL LKPGGWIQLVEGQWVDRDHPFDAPRYPNLAKMSQMQKWSTSNFGMDIEIAYRLEDLLR EAGFQGVAKTQLSLSYGAKAREEQWKQRSADMWVDKFRGLGSKLPEGGIPGVARNVEE FYAFLDELHSEVLEYGYQPTLNFVIGQKPA
SAPIO_CDS2574	MSVSSHHPSSFGALFETRSITTPNSHGNLSAGLPPATRLNEWRF RPGAYTPRQFIREIAILLESVIVQLGPDGPGDADSRAILMDGLCSSLSHEGREATLPL VDWNSENPSDLTKHILRIGKTLLGYATESNFSVAGDPALTVYSPCEGHKWVPPAGRLL RSDRSSPILMMLYNEWLHQITCLRDNLIVFENFEEVAFLSHVSRGELSLESLVETAKI LTAPGLGAGGYGFQYNTGVVLPAKLFSESRTNLLRYIPARLTCIEPGQKLLEPGGPAW IAGGKIDIGSLKSSPVPEVPWQASITASAAAPNDGLKTQSWLLKLTATSESSIAFSID LGDIIHGLEVASSVSPSEERDLLARGYDTYEASEMLQAGASVVTNSSTNPVIVQARSS IEVMTLLGKLDPKGSRPIPLAFSNLTISAPDPGVVTVKTLPRAIINTFGYDQVVWLIR NVRQPLYLVKPPKHKTLLHDFTGLVRPGEMLLVLGRPGSGCSTFLRAAANRSSLRVTG DIRFAGLSHTQFYEKHRRETIYLPEEDKHIPTLTVRQTLEFALRMSLLEKERSAGNIR EMAKTLATMFGLEHALDTAVGGGSIPGVSGGERKRTRGLDSSTAIDFVKALRTLTDAS QKTTLATLYQAGESVFRHFDKVILLDSGHEIFFGSVDEAKPYFENLGFICEAGQTTSE FLTTVTDPVRRRVRPDSTAASLNTPEALARTFRQSDLFDRLQSDLKQLPDTYTSQPSL VPMDRINLSYPAQIIECLRREIQLINSRRGVYYQKWVNTVILSLIVGSEYANISSDAS GAFTRQGVIFYAIIANAWMQYPELFDAHANRAVLERQSSLNMYRSSAVAVARVLIDVP MIAVQHAWFMIAFFFLAHKTVEYTAVDFFFFYFVLCLSTINFANLLRMFAYYVPNIED CFRFGGIASTTTVYFAGFLIPIDRMRPVWSWLHYISPPRYTYEALLTNEFRRLRISCQ DELIPAVPGASAGNRICPVRGARAGQASVPGLQYVESLGFSDANRWLNVGILVAFAAA YMLVGVVGSETMRFAIQGGTSIVFSRRENPQVSKTSSILLDVEKSAVVRDTSDSGPSS GAAAGRGRPSLVWENVSIDIGTKRILTDITGFVRPGELVALCGSSGAGKTTLLTHLSQ TNPIGTMGGRIEFGNKPLGEYFKKLSGFAQQSDMHDGSATIREALEFSALLRQPSIYS RAEKLAYVDHVLDVLDLAHLQHALIGDYDSSGLGVELTKRVTIAVELVARPKVLFADE PTSGLDSQGAMTIISYMRRLAEQGQTILVTVHQPSASLFRTFDKVVALSSNGEQIYYG SVDNVLPYIEKQGGVQYPEDANPAEVLLEAIGSGIHGKSQTIAGQWVAKWKASPEAQA VKDTIAKIRREEVRWDGGETPGTFNTSTLKQTVLLTRRMLLNQWRRPAYVYSKIWVHT IQAILIGFTFFNLGTSPLDLQSRALGAFALIFLVNTIVNPILARFFTQRLLWQVREEL PAIGITGVVYYLLWYFLTGLPLGEAAAYTFLMVVMYEIFEMTLGLLVTSMSPDLKVAG LVLVFLVTTFNWFNGIVVPYQKIQVFWRSWASFAPSFNPTGSSNSNLNSQLYYINPLT YLFGGMIIAADGGIDVICDPSDLLTFPPPEGQTCGEYASKWAQSAQANLTNPLSTGFC HVCQYTTGTQYLAQFNLHNGQLGNNMWAYLAVFLLFTLSNIVFFYWFTWLTRIKGWKL RRFI
SAPIO_CDS2575	MESDKTIHRGEWTPTSWRLMPIHMQQVIYEDEVFLKQVLARLSE LPGLVSPEQIEAARKHYAEAARGESFILIGGDCAESFDDTKDHIIAQKMDLLNYQAHH IEFVTGLPVHITARLAGQYAKPRSQPMEALPDGRLVHAFRGHNINGPGLSERHEALLL PLESSLTKGGYNTSATFLWVGERTRQLDGAHLEYLRGLKNPIGVKIGPNTTPVEVLTL LELLCEDNPSEPGRVTLIFRFGADKVSAVLPPILQAVKNSQFNPVWMCDPCHGNTISR NGVKTRSMATMLGEVKQVVATLAAHGMHLGGLHIEQTGEEDVLECVETDGFGEDVKLG ENYKSLCDPRLSGAQARTFVARVAEMLVAVERPNLKAGAKKKQSVLQIAAFAPSLTWD VFGARLPNILGFGKTYS
SAPIO_CDS2576	MDGAHILGAEFARAINKKYGIKPNDVVSIVAKDKVTDTPGFPSL HLLITDGALLDVSEVASGLIGGVPIITLDKADGETTTLEDLLRQVQPGVATFDLNSHE DAEAHDAFINRTSGSTGTMKSVLISHGHYIAALEGTLRTIPSSTDPSKDVWLASSSLG YLINAKLFMSLNILLGIPVVLMPEPLDETSASIIKRHLITFILIFPPLVAKLAKSNLD PEDVSSIKWLLSAGAVVPENLRRAISTKFPTVELTLEWGTTETMLIAIQISDAVSRRP GSSGTLVNGMQARVISTQTGQDLGPNEPGEILVRNSLARFRGYKDNVEANREFDEDGW FHTGDYGYIDEDCNVYIVDRLKELLRVGDGYGSRISASELENIIFEHPAVHTVVVVGI WDDISATELPTAFVVPQHQYLDRPGSDLAREIEQFVATKLTGLKSLTGGVYFVDSHPT TGFKINRRALKTLNRDPVSRRVVWEDELNKVGCFVPQGGTGDGSVTPIVLPAGQLITS 
SAPIO_CDS2577	MHKFITKETPAKRANPPSSDIPSSSPAFATPAHPLKSFSATPSS APTAVRHAILPIVLPPATLRPLAFRTFTKKHSLTLNSSSLAELAAFIGRHCGSGWREE GLAEKVLEEVARSWKSRNGGVIVDGASAELKEILTTLEASMSGGKIISGGRGLSRQNS LMLDSSQDSDLANVRMGLPPSRALTRGDSQDSLGASNLGVDDEIDDESLNDVRKWLKA IIAYEQPRLVYNVDKKHFERETCKPSIMPSAPRKALAFKNRFNVIHQRLLRHESFHTS AVTTSRAANKRRTPGAGQALKITQIANMLGRHGTQQMLLGMLVILPAGELAISDLTGT ITLDLSRAVDYPENSAWFTPSMIVLVDGIYEEEDEEIGKGLGGTRGVGGVLGGKFIAS FIGHLSCETRATSLGMTGADGAQDQSIGGGFGWIDFLGLGSERAVGQKMRRVEERLLR RLTAEEAADRSRLVILGELNLDNPRTLPALRKILSIYAAEDQAAPPLTFILMGNFVQH PIMARSRGGGSVEYKEYFDELGTVLAEFPALIKNSTFVFVPGDNDAWISAGTTGASSP LPRKPIPDIFTSRIRRLFTSAASNDQTKASPEAIWASNPSRLSLFGPNHEIALFRDDM LGRLQRNAVVVGGARDAAATEDDGEDVPMMQVDGEGDASMEVDGAAGQSEKERVAAAS LRTAQRLVKTVLDQGYLSPFQPSIRPVHWDYSWALHLYPLPSALVLMDNTAPAFCVTY GRCHVMNPGSVLLPDRRSVARWIEYKIGSLGQVRESAF
SAPIO_CDS2578	MSRVLLRTYGTHSARLCASQPARTSFLRPISTLSSNPKIKVFKD HPAPSVNLLTYLDTTPPSQTLAIGQTTTLPPTPQSFQENPRFLSILNEVLIENAHNDP DLISQARAFAGPGGTSFSLGVAGLYQNQRRKAKKLTGDGGGGGASAQGGAGGAGRGGH VHLSDTRNPPDFGRIAWPEDILGSVEVDAAGEIVGRLAPSGTYRIITNQGILGLSPFL TEKLIARLREEEKKEGSS
SAPIO_CDS2579	MAYAIDGPLGRFCHQYLQLEMKLDYPDADLLRQSSVQEALYEHL FADGAVPFPPPHRYRLRVLKDLLSRIEKSINDWEEQGVSDNLMEAFAEMLDVPVPSET EAVQKMDYVTYYLSLLKSEENPEGDSNSSAVKNCITVFESRGLLATSGTTGMRTWEAG LHLGQYLCTAPELVKGKRVLELGTGTGYVSVLCAKYLGAQKVVATDGSEDVIRDLSDT IFLNGLQESANFSIMELVWGRALLGTEDAEWNGGEEIDVVLGSDITYDSRAQPALIAT LGELFDLFPKVRVIISTAERNVATLNNLLGICKAQGLLVDFIDFPTPTAEEQQGPFYD TSVPIHIVEIRKQ
SAPIO_CDS2580	MADSDAPVTLRTRKFIRNPLLGRKQMVVDILHPNRPNIAKEELR EKLATMYKATKEQVSVFGLRTQYGGGKTTGFGLVYDSPEALKKFEPQYRLVRVGLATK PERASRQQRKQRKNRQKTLRGTAKSKGAKAKKDK
SAPIO_CDS2581	MTRTRFPTRLILTLLALLTFFQPILSSPVTQTTEPTPTRPKAAA ATPQNTSMFWLDYTKSYDPLAPDPTPAPVRAENAGKEFEFAQTTYYTCITRGGNEHCG WHVPILWVAEAGAEKGRSGSGLWGIVAVSVVVILALAF
SAPIO_CDS2582	MSNEISGFIDSRVEVDSEEEDESFDEETGSPRRRRTAHIDDSSE EEEEDDEEEERKVREGFIVEDEEEEEEVEEDEEAEERQRRKRARRDREEEERLDEEDL ELIGEQLPGWERGAPSEPKFKRLKRGHHDDEDRRTERRGLDEIFSDDEEDADHRPYGR STFRAQPDEFADFIEEDFPESEEEIERQRVEREVARTRDKGVSSVIDTSGLDKDALQD MEDIFGDGADYDWALQAEEEEEEREQAEQAIELKDVFEPSQLTEKLLTDADNEIRFTD EPERFQIDRKLFKHLQMTGEQFKEEARWISALMWPKKNLPQESHTPFTKAIGKVLEFF VVDEVEVPYVFQHRKDYLLDTKKVPNPDHRKDPDAPEYIIEAKKLLNQDDLWRILELD IKFRSLIERRNALEKMYDNLKTNAGVQDDMLEDMIPQAATVEELQDLQDYTQFRYSAE LRELASVNGNSQQTKRPGSRTAMMDRIRKSRAYGFVRSYGLSADQLARNALGEGRKVI PEDDAKDPDQLADDLVDDDFPTGDSVLSAARQMYAEELFVNPRMRKFFRMHFYQLGEI DCHRTDKGLRKIDESHPYYDIKYLMRQTMSDLARQPELFLKMMKAEEDGLIEVKLRLR NRSEFREKLDMEFESENFSDLAQRWRNERKKVLDLACSKLERIITKGVKESLRTACQE EVLNACREEYYRRLDQAPIKPKGLVLGTTPRVLVLSNGLGDAMRDPVFWTWVEEDGRI LEHGKFTNLGRDEKQREEFAELVQRRRPDVIGISGFSAATNKLVRDVEGLIGEKRLMG PEYQDPDSDDKEYRTDPLEVVVVNDEVARLYKDSTRAVADHPSLHPIARYCFALARYM QNPMKEYAALGKDIASLNFHSCQKLLPHDKLMKTLETAMVDMVNLCGIDINEAVNDSY TANLLPYIAGLGPRKATSVLKAINANGGVVNSRDELVGDPDSKKLPVVGPRVWNNCAS FLYIEYDPTDPHSDPLDNTRVHPEDYELGRKMAADALELDEEDVKAEIDENGPGAVVR KLFKEEEQERVNELILEEYAEQLESSYSQKKRATLETIRLELQAPYEEIRKQFLHLTP IQIFTMFTGETEESLARDMIVPVNVRVVREDFAIVKLDCGVEGRVEGHEISARHSVRD VLQVGQTTNAKVLEVNHKDFAIKLTMREEALRRPYRKPISHHPEQWDYELERQDREEL KEKDRTTGRAQRVINHPLFKPFNGMEAEQYLGSQPVGEVVIRPSSKGNDHLAVTWKVA DGVYQHLDVLELQKENEFSVGRTLRVGGKFTYTDLDELIVEHVKSMVKKVEQLTQHEK FHKVSRAALEKWLTTYMDANPNRSTYAFCLDTNRPGYFFLCFKSSKSSPVVSWVVKVI PHAYQMLGSEYPDMRALCNGFKLRHQNEVKLQMQKHVRR
SAPIO_CDS2584	MAGSQKPPRRFAPLKGGESDAPKLQGIVFDMDGTLCEPQTQMFK DMRSALSVPPTTDILTHISTLPLPSQPEAHSTLRDIETRYMHLQRPQPGLQPLMDYLD SRSVRKAIWTRNWDRPVQSLLDRFLQGRDFVVVTREWGGEPKPGPAGMFSIAEGWGLR KEEGGKVDATGLIMVGDSMDDMLGGRNAGAATVLLLNEHNADVAGSGMVDLVIEQLDE LIRVLEEGFVGIGDGVEDGAEADEA
SAPIO_CDS2585	MADPFAPRSMKRKNHKGLALTPAAAKPPRPAESKNEESQQLEIG IEYKLDLKPEDLDILKELGSGNGGTVSKVKHLTTGTVMARKVIHVEANKEMRKRIVRE LQIMHGCHSDYIVTFYGAFLNDNNDVIMCMEYMDVGSLDKISKTFGPIRVDVLGKIAE ATLGGLTYLYTKHHIMHRDIKPSNILVNSRGGIKLCDFGVSGELINSIADTFVGTSTY MAPERIQGEKYTVKSDVWSFGLSIMELAIGKFPFSSNAELEDADCAPAGILDLLQQIV HEPAPRLPKSDAFPPILEEMIQRCLSKKPEERPTPQELFDKDPFVQAAKRTPVDLREW AVGLLEKDNRKSHLAPQLSPATQELLRSTDSPTFFHHQQQQQQQQQQQQQQIQLPHIE GLSINPLETPTSGEIPIAGAGIISPRDHYDHPAFHNYARTPNTAVHPMSAGVGNFNLP GRSVAPTGPLPGVPPRNGTPDDGRGESRRQARFGMPPNGSYNYGYPSN
SAPIO_CDS2586	MTSDTPVSSGQWVPRRPSRRNRLQTGTFIIPSTGQRARRHLSLR ASHASNENSPTNSFRSVFTHGHTPIRERIAALIHNLVALASLIWAWLQSPNGKAVLKC SIAYVLGTTATFWDPLADFLGRLDGKHIVATITVYFHPARTVGSMLEAILISIAAVLF AQTVSLLAMATSLVLSTKFGMVALARFVVLIVFIGGGLGFSGWVKQKFSKPLVNVACT LASIAIITSITKEEVVYGGRFSTAKNEQVLKMLILGITITSSVNMLIWRSSARKALRN AMSKAVVSLGDLLAMITRGFLTGSEDEVNSADFSSTLEQYNSADKTLHQALRESKFEY YFLGREKQYVAAKAIVASVEKLSQAVGGLRSACDAQFVLLKEAPPGQEQPPLMSPNTS FTRRPSLNRSVSSFLRQTRERVAALDSITESVELDREPILSPDLDVSESGILPSMFRM PSEIFEVFILTLGPSMKSFAYTLSEILRQPPFASAAQGFEIIVNENFKTSLAEALALY EQARASALQDLYDNIELEKSRSEDVQADIEEVAAACSHFSYSLQTVAHEITAYLDAID DFKFIVDSNFRSWEWLQFWRYLWKSGKSRAVATEDIEADNLLPPAQQRVRRIRKSAVP QGIPDEMLMRRDNYNWDAAQNTSELARKVAKKFMGLLRWLTKDDILFGIKVGIGAIAW AAFAFIPTTRPTYKQWRGEWGLLTYMIVIATTVGASNTTSLARFRGTVIGAICALTGW LLSDGDAYLLAFFCWIMSLYNFYLVFETQSGQLARMTLLTWNVSVLYAFSLARELYDD DQDDLDEDSRPLIFEICFHRFVAVTLGILWGLVVCRIIWPLSGRKKFREGVSVLYLQF GLIWKRGPLAVLLRQNNSTGYLRAGEEAALRRYAQKLETLRTAAQSEFSLRGPFPKEA YARIMQSTNRILDGFHAMSLATMRRAHLSPGERALLEYTAPERALLCDRICLVCQVVA SSIMLEYPLTDTIPNITGVKDRLLGKIHQIRKQQAEMVERELEQRRGSLRNNDGEAPR HKEEEEMEEEDITASPISPTSRSPVRQRRRIPSPYAERSQSRGRRPAPPPLPSPLTRE MSSSAQTPGLDSALTDGGLVVVKERDYALLYIYTLLTAQVADELNKVLKEIEGLFGVL SEEALLLQ
SAPIO_CDS2587	MTPSLRSLSAALALAGTAQAATWTLSETYDKTNFFDKFDFYTVS PDLNHGHVQYRNRADAAALGMIGFDGDDAFIRPESKTVLASNAIGRSSVRLESKNRYD HGLIIARFSHVPVPTCGAWPAFWTYGDPWPTVGELDIMENWHDYSFNRAALHTYQSSA NGTCVLDPADQNGAVLTDNCDNFYQNPPTQWLNQGCTVQDQSGPRGSASNAGIYAIEW TSEYLKIFSFTGGSVPANIGSASPDTSSWGKPALMARNDLCNIDSHFARQKLVFNINF CGEPAGEDQFWKTESQCNVKTGYDTCIGYVNAKPGDFANSGFKIKDIRVFKESPVVSS SSSSSSSSTSTSSSSSSSTTSTSTSASASTTSESSSSSSLASVSETSASETSSPSASA SESSSAVSSEGGVSSTSTASDSAESSSTEAASSDSLTATDEASASETGAYSESTEVPS ATDSVPTDDTSASASPSASDSAAASSTNDASDSVSATGSGSGPATTEATQTDSVAPTS SFVTSTVYTTTVSTITSCPPTVTDCPVGSVVTLTVPAYTTVCPATEGEHQPATTLQTS SRRGRGTTVTTRITTVRTITSCAPTVTNCPVGAVTTEVVDTTYYQSYGTSQVSPQPST PAGNNGGNNGGNNGGNNGGNHNGSGDNNNNGGNGNGNGNGNQGQTPGKNPGNGSQNPG SNGNESASPIGNTPSNNGTSGGQIPNSPSTNGTTTPGCTGPNCNTPPIVSGAFKASLS IGLAVVLGVFAL
SAPIO_CDS2589	MARQFRPRTLLRLIPSHYRFLSSKTSDPLRILFCGSDDFSCASL SALDGEMRKGNGLIESIDVVVRPPKGTGRGYKKIREVPLKLDADKLGLKIYERDTLKG FEPPSGINIIIAVSFGLFVPPEMLRSAKYGGLNLHPSFLPDLRGAAPLHHSLLQQRSH IGITLQTLDEHKFDHGVILAQTPRPGIPIPPQCTVPELTNLVKQPAAELLLSGLRQGL HVPPLVDVVRGKKTTPSEDEVAGTDKAWRYAPKISKEDARIDWEGWTAEEAERRLRVL GQLWMEVLGGRGKDGVERVILRDAVAVAESEGRGGGEEKEIAVVWRPKSKGLEKGEER TVVVKYAELGGGAVLFRFPRGGCLRVERIVVGGMAGARATKALAPFVVGEGRA
SAPIO_CDS2594	MSVSAQWSLDVTANSALSISRGIIHAATSDNIQPLALLACEKFG CTIAMCHETCRKIETSVLPTDKPAPIQFLLQGVVGYSRDDCATQLGTTASGVQFLGLA AALVTTMGAFESATALSLMLRNTTADKSLLPTTKQLRDLLSVLDARLSRARFTDSILG WHSYLYKHPDVDDASRKVLQRASSYPSAEATEKLVYAFRQLHRIGDSSVSKVVIHVTS SSPWVIAFTRWCLGAPPSVYLQDGTPILEQPDLSVDIIINTGHSENGETFSVTIYHDI GDPEELIVEGSGKPWAGMTTIGNYGQWMLKEYNLDQVQAFRALQQCLPYAAKEYLDRC RFTASKTSQETITMNPRQRDAKVVKTYRTVPFGSDSSVAEMISRMLGVEKDGASLELE KLTPGTRITDLPLVKLHLKSLKDNCLCQACARTTSSLLDMVTGRGPMWCLRDQFLYDA ALFLSDALALSLFHLSDNLLIRIENGRDRRRDDYHATVFSVFTRQTVGYGSSGSGLIK PCKIECLLAWALTLIGHDVGTEVSTTRWAMSCFKGQAVYPTIFDTRRVKRRGYLALSW LPGLLQFQSEKYEVVKSEIERQNTPPPCGRVLPSREGRSVLLLYLTVNA
SAPIO_CDS2595	MWSRIRRIGSAAAGALLLAGGLLSQPTLADVVEGQATFVSEDKA IAFGFTVPDVTDDDFFFSIRIPKDYSWGAIGLGSEDMDGALVLMIYRNEEGNNVTFSP RYSRGDYEPTYYPQFEYLTLPGTGITDDHLVFSAHCLSGCRSWPGGYMDINDNNQKGI YALGPRESLRSNDPAAPIKYHSRYGSFGIQMGQTLGHADAPELSDKSENQFTEQHEQK SGKFDAKSTFHAVAMILVFIVLLPIGVFVLRVANSVKGHAVIQGISLLGALGGLGLGV ITSFHYQRSRKFVSTHQVIGFIVIAGLIGQFVIGVLHHLRFKKRQVPGKLRPVHLWLG RIVILLGAVDAFIGFQFALASMLNIILAILILLLTATVSLLTFHKGLRARISGHRASA GSGFVFPSSSAAAKGYNNPPEPWRRDLDDDAVPYRDSVTGGGEGSGKRGGGERGIDLG RREYSPSPQQIGLMDVNATRVARNQDLGPEQSTRDFV
SAPIO_CDS2596	MATSLRSFAVLFALLAVRVMADCVSYGIDYANGGQYYIDAGSNQ YFSFVSVFQGCQQEAINPVLVDPLDNYYSCSTISTQPDGQQVTSTCGIPFSAMRSGNW KIIISGDQVNVQRTISLTVGLPETSTVTATPTIVLGITSTPRAVTVHTTVDQTMTLIL VPSTVTAPCGAGQTQTVTDYQRVPTVVVEETVTRTETSGQVTSYWQTTETTQARCHYP TKKRDLEGREEAAVAAQTVTYTQTTFTVTQTVVTTIPPKTTTELAFRTLTTTIQPPPT TVCQGGGGQNAVITVNPNRPAVTQTNLVYTTIRSSGTVWVGQTAYTTISNSASATQCW RAGGWYGA
SAPIO_CDS2597	MHTRSLFKAVLSGLALGGSVFAEPLPDSARVEPLEPRQTITPGG KPCGEHGPTNRRCWKNNWTIDKENEIDPPPAFNNRVYDFYITNETDWIGPDGVRKHAM LVNGQYPGPTIVADWGDYIVVNVHNMLQDNGTSIHWHGIRQIGESDQDGANGVTECPI PPGASKTYSFHVVQYGNSWWHSHYSGQYGNGVFGAMIVNGPAVENYDIDLGPYVINDY YHDTADNLGRAAEISGPPDSQNILFRGKNVHHADPSKGAYDRIKLTPGKTHRLRLINT SVDNSITVSLVGHTMKIIQADLVVTEPTVRDRIFLAVGQRYDVIIEANQPVDNYWFNV TMEARNNCGRTQNPFPAAIFSYEGADDDALPTDQGVSFAAACENEKDLVPYLERHLDP NDFDPGELRVDLEQPVVDFRGKVFRWEINDVDIEIEWDHPILEYIYESDNAWKPKHNI IEIPHADVWTYWIIQNNFILPHPIHLHGHDFLVLGKGEGRFDRDQHFSQLKFDNPPRR DVEQMPGSGWMVIAFYTDNPGAWLLHCHIGWHVSQGFGMQFLERKSDIPQLMHLNQMV PNCNAWREYAPKSPWLPMLDSGLRQRRRWVW
SAPIO_CDS2600	MSLHPVDQDSDNESYHSLRFLYPNFEAEDPEHYHPGGFHPVHLG DTYYGGRYRIVHKLGAGGFSTVWLARDECDRKWVALKFVAAKHSSSISDKSLRVLSSQ VAVSNRARGPATFMSELGRFTFEGPNGRHLCLVLPVFGPSASELSTLFSCRLKPWLAR KVGYQAAKALADLHAQGICHGDVTTGNILFTLQGFDHLNEAGVYRLFGPPVTQQLELE SGEPTGPEAPRYLVKALDFLSLDFNFISSNTILVDFDQSFPISSPPKKMLGTPAEFLA PEVAVGLPPSPASDVWALGCFLFRLRGGLSPFSAFEVDCPVELVRIVEQTLGDRPAEW GDTLWDDFGYPTKNPRKGRHVAKLDEKRSLRDLVYKIWDDQPEGNVVNTGVPGCQKRL YISEDNEPFPPCFGNMIWKPTAVKVDNVYIYGFDDESDAMLDAMPKIAEHEAALLYDL LSKIFVYDAKQRPTAKEILDHSWFHSTTSPRTTPT
SAPIO_CDS2601	MANPEDLVAVVEQLVQRVASLTDKLGETNKQLQSLAEGSGSSRS APTEPYAIPKGFFIESDVRLRRIVTTQHDVDVVRKRLELHGSLRCKVITILDAPVLVL DHFGSRIQFPYPRGQIPLRGTAVPEFSKDQLRSLLEEELAQWPWQHAADYFLPRAQRK TRLLVDRSPSSHPLLKKQSHWFTQNSQGVIRRRGREELSSSVSSRGSLQPSPSRDFQH PDGIGNVFVLDHHSRCFQVAFYEPETWEDLNLAELRQLRGFLLNRRIVVLTENHLVID TEHLQEPKDGPVVVKGFAAGIHLFQLVVYKSIEHWFEGWEGCLWWLDKECGIKVKDTL DEGKMEGLMFDQSFQLSKKYFLSLQNLRIFRGEVPNQRKSIEAMAKDFSDDIWIRDKL LGLPEMSLEHPFLAEEIRTLQANMNVIVAYHGELERKLLERIAAKAEEIEGLRDALFN ATALREASESSKMGRYIFAFTVATIIYLPPSFIATVFGTDLFHEEVVGDTIAKFRNAT ITLSLGTYVIAFLALWGVYKMHAVEPFLKRRRDLLTKPLEA
SAPIO_CDS2603	MSGEGSPFEDTGQNCPGRSAPSGISNRETFDTIPDGELYDEQPR VISQRRQNHAHPQHPTQNAMPSASNSGTPRFADWQHSAVGHGLATGIPPAIDQPPNDH PVVPGVDSGASQSPDEYPIDFDYLGLMGVASYDNLTPQLLSSGRLQAYPAAHEPPPTP QPITTPAIPTPPTNPHTIPSTPSNNVPPPSSSFFLPLPCSPPPHPANTPQPSAPTAVD NTTTASQVLGDGDAMDVDPPNPQPAATADAGNGAQPSTKKRQRKTTVAAKKPAKTAAA KRPARSTAKRPAKATTTGKPAAKRAKTSKAATKRGKAKKAKDADDDADGDEEAQANEQ EPKESEDKHLKIATSIPELFVFLFNGLLDLGFKDILATGGRHFTIGTLCSGTDAPIIA LKLFQEAGYALGHNKSLSHKHLFSVEIEPIKQAFIRRNIKPKGHIFRDVVEFAGNDKA TTAAGFPADVPTKVDVVVVGSSCVDFSSLNSKKNKDLGALTARYNTRLDDDGIDFEAF MNEAKDATGESATTFLASLAYVNKARPKLAVMENVNQSPWHDIVNVWFPMIGYSAMHV KLDSANYGVPHTRQRGYLVAVDKRHYGEERASKICETWETLIGQAESTARIPLRNLLL RYGDRTVRENLIPIERGASREPTVKATMCQMRHNHARKQYGLPRDVHQYTRLDARGAC KPYDLSHKTLIQRQGPRVCDLLDIVQLRMMIDIAHNFDFRFKNHIIDLSQNVDRSPGQ VGQSPCLTPNGLPFITSQGRMLVGLEALRLQGIESDKLVPSTECDKDWRDLAGNAMTT SVVGCVILALLLAEHNACIGTDYGLQEKANSFSETLRKEGTLRKEGTVDDEGTVDEEG TVKEEGTARPSAVIKNVTKEMYENWDSSVLNNIKLSHLFLLHRFRCSKCLGNPLHNFV DFPINFKLFSEAETLSRVTKYFPPTFTLTSRGGVGIRVPALEDFGDVYLSDRAKEAFD VCCANTTYYFKEFHVGQVLTARYHSARSHVDISIDEDSLTWNVYLDVEGCIISKKLGY LQDPEYNVMRAVLKNPDSMVIPREEDWRLWVDDKHTVIVNVHPGIERLDGIKYDLVSM ADHAGNVVSQGQAFDNVVQLLGDAYTLTEHCGTSHSLLYVQKRSGLYHFLDPDKECHG LNDRWVIAKTNRMLHDFENRDVLLYFDKEYRPCRSKWERMINPATIPQVIGENRPHSG LRCEYPGYWASVAASKDLARALPTSINSIAKLPEVHVGDGMWQHVKDHQCNKAETWVH LKIPLADFPFPNSFYAALWRDSSGNGEGMFVDSSSPCPVRQRDRDALLRTIRFALICL PQGGGHPGSVLLGTDLCGDCVPVTPAVHRIRNVQDKVVEIISDAKHCEQFENRINDRP TTVSISVSASEASTLEVDIKVDLGTLIHRAAGYLPREGVQKGVRRDLSQTQGTAELVH NFHDTPKAFTPFETKLRPVVDTDINKSPPPPPSFPEDLSLRPDQKLALGWMIKREDSP DEYMETEVEEYIEQSVGLRLVGRAKTPNKSKGGVLAHQVGYGKTVISLALIDVQRRLV NESIQERRGWMGDRLVHLKATLIVVPNHIIGHREKLEEADIVLISHTTARLTASARDT FAAASGQVKMAGPDTKRNFEEFYFPGCDKLRDLYRRHVNGGEDFNVAEEALKAVAANQ EILNDACKNHVPPSKRRKGGVVSTTDASTDSNGQSRKSRNASKLPDIRDLSQSSREKV LEQAAYAHKGATLFEFYSWDRIIYDEFSYSDVLATAFIIQSVACSKWLLSGTPPMSCL AKICDVASMINVHVAREEPALPLYLGPITEGPSPTDMSASEQYRALRQLKSEAFAHER HLQGEKFVSMYMRQNSAVVSDCYKVIRKVVFVPSETTSKANYFRLQQCLYDARWDVLS LSGDSSNAVRAILNLKKKTDAFQSLTQQERYDASVKTLLVFSALPMGETCDLLQGRNT GNMTVSDVLHLIVDEAKTSYVKMGRILKSQFDRFMWLAHQFAEPAEEIVDGGAERGDA NGGDAELNGGGSGSHPATTAATRSTKFNQADTYRVGIIRHCSSRDVKEFGGLEIASIV RKILLGPRENDSMYDNPQNWSTETWQARDGGAGTSYFVDWYPDPFARIKSLSKHELCL IIRDCFHALGEDSQMLEDLSMDELRAKAVGLVDRTIAERVVVYNQDSIERECGFLSRP KSLDESGNEKERAMTEGQETELQVLRMKHRNIGLKALQSDIVEHLRKSLCRFEGGNAS DKDFEFGSAVPCRFPIVGQTKVTRGTQLEEGLDDLILTSLSVEEAARNLIQEAFRRYR FLNSISLLAKQVLDGRWCHECGRCDVAHDKAQEYCDCQHLLCHSCSGQNDSCPKCTKQ GKASRRKASMLPPGLFCNVCKVTQIEAPEDALILTSCGHLLCKRCSEEMKDVGPPKDD GSCELPVCRADECNCILTGEAVPGNAFVTSRGDTPVSSLGMGGKLQKTVDIVQAAKNA NEKVLVFVPYPEQMGPVDAALRTAGFDVGRTDRAQPDEELRRFQRGKGDVLLQLLSAP ESAGSNLVNANHIVFVGPLFSRDQRQWEMVMKQAIGRCNRPGQTKDVFIYDLVTTHTL DVDVLEHHLGRTLQPAEGEMEMEIQAGSPGTTGEDEGGDSEVVRSTLSSVLAANEVSM LLRKSFDPKDVDNIF
SAPIO_CDS2604	MSPVDDDMPQTTSSPEPDPFSDLISKCNNSPAEIQHAYSTHRVN RHSQQSLLFLSPSFPGVTPEHFLSLTEFSPTGKDASGQAIDPRNSLVLWGRPPEHVLK LASAIQAKLRLAAPLVEIAFSKTPEEIARLLAPLHPHVSTLVNYTYSHRSRLVKPLVS YDATGIALTFLPAAGEPRTSPDPSCPSNLEDIEESGDAYTYHHLRQDAYNLVKKFSPT PPEPRYQLPSAHITLGRYLTRDDHGTQEQREAWVAVVNEVNRWLEEDVWSGRSEFIGE WVVGQEKGLEMRAGTVWYGGGRTVMAGEGF
SAPIO_CDS2605	MVNIPKTRNTFCKGKDCRRHTAHKVTQYKTGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECVKCKTKAQLALKRCKHFELGGDKKTKGA ALVF
SAPIO_CDS2606	MSGSNSWLRAQRKSDLVEVADNVGLTNYEGLKKAELEIALDQYL SQNSSTFSSDPRVAGFYNSQAKTRSSPIKKEIVSEEPEKPLRATKRRVTKAPEDFSTD DDSRAVVATSNAVLRTPRVASLASRIPLPASPADVAQAVDRSTVAVRQRVASIYQDSG VNEAAHATRESLSTVTSILFTVAAFELYFLRREVLADTYAFTIPAMSFLGTNDYPVHI PDMFLLLTSSFWSPTLLWLATSLVIPATFGYFYNLSVANQPAPGRGRRPSAPTPDYIV DPLTFSIVKALVSYVVYAQGVTFYGLVDETSIARINGALYGGWKGVLVGSAITGLTSL YDAILRK
SAPIO_CDS2607	MGIATLPTVVAVGGAFVAYATYSAIVSLRTNIEAAKRSGLPYII SPLSPIWLPAQITHKLWMPIVRTCFPKSWWENWIIFLTQDWSYIHNHAIFALYGPAFF VVSPYSTMLLTSSAELIRQITHRREHFPKFVETYEILTQFGDNTLTTEGALWRVHRRA TAASFNERNAALVFAVAIEQTQGLVEKWMADQAGERESRPVETIDSDTMRLALNIIGY VGFGLRLLWPGQRLPEGVDGERLEKYSGLEPVGRHSMSFVETIAVVLERILLLLVVPE GILRYLPFKQAKEAAEAYQNYVQYMEELLEEKLQDMRKGSSDTEEPGMDIMGQLVRST YGDDVKKISPINGTNGTMLLNGNKPNDVEKTGKLNKSDILGNAFIMLVAGHETTANAL HFTFIELATNPSAQRALQRDVDALLGRDTDPRTWSYEASVGPLLASMVGACMNETLRK WPSVVEVPKKVAGGGDQVVSLDGRSVVLPEGAAISLVAVAAHRNPRYWPTRRSRVTGK ETDLDDYVPERWFRKCAGGEEEEKEGKLETSTTPSSATTESYEEGENEEDFGGYKGPD TSGELFRPERGAYIPFSDGARSCLGRRIAQVEIVAALAVIFQKYSLELAVDDFVEKMS DVEEMGREERERVYRLAQERCRKRMSEATSVLTLKMQPGEDVPVRLVRRGEEKFVSWI E
SAPIO_CDS2608	MSTSLASGNAGIEDRGPAVFAVTTTTLALATTFVTARLICRKFI VKNISWDDRIIVLAWFIAFGLSFTINFASRKGLGRHDADIHEKDWGALRRSEYVFSVL YNPALMATKTSILIFYLRIARDTQKVLRLASWVTLGIVNLAGTVLTLMNIFQCRPIHA AWTGWDKSGICIPILTEFICASPVNIVTDLAILALPIPVLTGMNLPPRQKTILVITFG LGIFVTIVDVVRIYYLQQAIGTVPTSISNDPDSKLGQEEFAFNASLSLMWSAVEVNVG MTCACIPTLKPLIIKILPAMIMDPKRAGNESKERNSMMVVANGRRSYVGPGASPLIDD PHHRQPSPLSPPGEARTWDSPRMRMVDYNRRSGMLDAPPALAELGSPTSIDDHRRTTL ATLSATSASGTSRRESAIYFGFVNMAKPKSMLRCSVSESWKYCTAVTILFLLWGMSYG FLSTLNNAVFIIAGMSQAQSLGLTSAYFGGGYFFGPLLVGEWILRRDEHHRTPSKRRK GKEGIGGFKVTFMVGLCFYGVGTIIFWPSAVTKSFGGFMLSHFVVGFGLSILETGANA FLILCGPHEYADLRLMLAQGIQGIGSVLAVVLAQKVFFASLEPDTGAKSMTLINVQWT YLAITLACVILALFFYYMPLPEVSDSELDRASKRLPVDPQKRSIGGLQLRTWTLVLAV VGLWTYMAAQEGASSFFRQLLASVLPPDSKGSSTADLGDLTDDRGLTDDKLPGLVMSI PDYLLIAQSGFAFARFILAFILYKGLSNPRVPRPRTLLAICVSLSAIFALLMIVVRPK NPNHAAIPVILLYFTEGPCWPLIFSLGLRGQGRRTKRAAAFITMGGSGPAFFPFVMYA IIKRSNSVQLAYVVVALLQFLTGVYPLFLMLVRDARAMVDPVVGGDDMDDGDDERGSG GSRGSGGSRGSGGGNRNSNDEEEGENSNGDNNANDNNNNNKNNTNNSRGEDEERAVSR QLQEGRRSKSRQSVMWAPGDMVAEYLRRLPEGFRKGSVATTADSTTTAGSSSPKEKEK EKEKEGGRNAPVVY
SAPIO_CDS2609	MIKPRHTLFSQGGRRIESASRRGCVNISDEVTDDEVTDDKVGLN DGHSSRSELERTAFDACEIIRIGRMGDHRVDRLLNQSTRANTDS
SAPIO_CDS2610	MTITKIHILAQHFNPDCKITHSRICWLEIPATSLPRASAFYASV LGWSSDPSQTIPASQIPGTSSLLIFSHPAVNGAFVEVSAPDVSVPKWREESESSEVGG GKVRHRSVMTSFSVESIEEVLDAVVKNGGRVDVPKTKIAAEGMGYFAHFVDTEGNLQG LWSNK
SAPIO_CDS2611	MSSPPASEGYPRGAGIDIQSWVTEPGGAPPTGIISSLFETILDA GIEVAKLAPEAEQSRRLHGELERFVLWGHGASISSGQLDDVLSRSRDLRQTVLPAIYG LGRVFVDDLLRAYRAEDAQLRSPYTSPTIRTLQKQLETISSISEDDRALGWENLSDDE NTNDTVSEVIDIIEFYINCLMDMSSLLDAIEVDEDEDLETRLETFNVDTELARLYCRR IRDQFPELPKFLVERLGKANDLRTSQLKSLQLQVQIQPTDERGRAGKEDRSIQHSENL LSNVKREMTVTSGTYASQMSESDLNRHFLPQSSLQETLTEKRVSQTLAEAFAQSKREI SPDRQAEITRKVLLPTEVTRLKTPKHLATRRQIFAILVLIDAVETIVDFIDEGIYDGD LPFDWVGIEFGKRDDKGGLQPIGLFARWEARKVELFFHQQWMVHVPIFNICRGNGESP PHYEIGPYAILPFINVKVIGKRGYGTVSKVEIESSHHNHPTKLGKKLYFAIKNYDDMP SSPSNFTQQISHLHSSTGHPHLVQLLWTYNNGPNYHLVFPWADGNLRDFWEIHNMPIP DQAGENHHRRAAVWFAQQCLGIAQGLHVIHKRGHDSVGDIKGKEGPGWHGDLSLDNIL WFRDFDSRARGYSLGALKISLSMTTSGSNSVFPNDPYRPPECNIDMYRSIFTACDIWA LACILLDFVTWFLEGGQGIEKFKSAILADADNYFVKSVFFSYVEVCDEHGTPKTFARV KGSVVNQFARLYNHPGGSEFTRDLLGFVRLRLLHPDPNLRASCPEIVSKFETLYKSCE ENRNYCLGRQTMPPQNEDRVREILIPKQVELNQEERERLQGYMHTLPGLDEKYLIDPS THGDDSIPSSGTKIEKKRFWKSLAWRRR
SAPIO_CDS2613	MKLQIKIKCNRLRPSCEACQVFQCPCIYDAVPKKRGPKTDVLEA LLKRVDGLEAKLREKNASASPTTPTSPSARDGSPPTGPARGDSDSDRQPKRLAIDTNR GAEANDTALFSPIPTREPSPPIQTDALLDTYFTRFHAKPYYILDESSVRQRLQLNQLP NYLVHAICAVAARFTLHPGGYRSAVKLSEEYASAARREIDIDEPSVDALQALILLVLA FTAAGKGKKAYMLMTNAVGMAMALEIHREIDPHARVTPVERDVRRRLFWTCYLLDRFL ACGSKRPSLISDKAILLRLPCWSPNQASLPIEGDFFNSGSNLQYLQGSGKKFQGSTGM LIDIARILDITNRYLAAGGVKGDSHFPWHSLSNLSKIRQDLDTWASGTAELFSNPTAL FGQADSTILVLSKLIYHVIHCLIYRPFLPIDLSELAGTGQHQSWQIEATNLCFLHANA IAELIDLGKQAGTVEWPEFVGYCICTAGTVHIHGAHYNKHGISSGSEVFSSSAEFLSM EMQQLSELRYAWASVQHQREVLQGIYNAHSELAKSMANNAMGYSHAFHLEDFFDRYSN IGGPDGQSFSFDAANLSLSDVMVDFTADAYSGHDLYAPRGDNETNQGGRVMLKRKSTG SSDRQRPRLSSLSFTAGQMGGHNGPLSAASMPTPHQHTFPNMLAQPSPMIATPNSLPG HSDMSDHSPHMRSRVDQMNEVAANHAAMAAAEAAGFGIPAANQGAPMSPMTNNTHFSP PYSSYTPSQGPGNNHGDRMNTSGSSGYENMFESMSPGGFANPTSWHGEETGSVNENAN VVMANSDARSTAGSTGQGDEKDPFLSLLEQLAENEQRQGGSDSHGGGEIDFFMTGSGD GRTH
SAPIO_CDS2614	MSFQGRGIDEPLIDIPMMDSKKSNYTALASFLGSYPEVLAIRRF RELQIRNLLFYQAELAHLEAELQEIENQDAQRKYDPSDRANFRWTPCMVREKPAKTRA PDCAGGCQKPEDPSPPTSRETMNSSYLEKVLQIRRTLSSYMEQYKRLSALPNPKRRDM AAIHEWLNDMSLGTAFLAGDVEDVWTVELGDGKSKAADVSDFYGFEDQGGLAFPIGSA VAWLHRLFFRSNGSASRQPHHVDTSVYGALDQAITTVVASVLPVLPIVVLYFVKDMLV RIGLILVFTVVFSAILVVGLQIKPHATLAITTAIAAVQVVYVGSTASDGKSS
SAPIO_CDS2615	MELVSITAGIIRPVIVGRQIVRSWASLGGPAWREADQIAREFEA QSAILQDTLEVLLYKAIAHAEIDELLSDPANPKWQSSEINEALKQRLGEFGNEVIVHH IQSLPETLKSLEESIRVITEKLKGSPWLSWRVKWALMQKGIRQALQDLSYFNRDFRFI AQTHLLHESIQASQGMYARQAIQNVNDVFEKFHCLQVIAQNAEAIATGEITDPVGVDD AFSLYSVETEAGREDEAATLSFLALSLEAANGTAPRLEKDALLDTGATACAISVSLAR ELQLDIEEDEEEREVHTAALNLTLRIAGKAQVKMRWKDSKGVRCGTKIWVYVVYGLCQ AVLLSHDFIYNHPEVWHVARKVIRSAEELQVLWFKKRSAEDQKTQQGLRAKRLEENRA RADASSPRAAGSAQSQGSSSPGASVAGSSSTSDPALALPSVAQSTGGGE
SAPIO_CDS2617	MAAESHPSITLRDAESESSAPSPTSEETPLLSSASSTTLRDSMY AAHPPNGGASQPPGFRLTGRPNSPPPSPPEEEEEESSGGIRVVSISRWRALTITLNTW LLLFLQASNMSGMTMTQSTIAASLNAYSSAMWFTTSYLIAASATSPLLGRLCTIFPPR DLVLPCSFLTAAGCLVSSRAETFAQFVAGRVIMGAGAAGAMTLAVVFVLGLVGRRRRG LFIGLVNVGFTVGLSFGAVVFGAVEPVIGWRALFWTQAPLAALAGLGIFLALPPHVGV DERERSKAGSFWAKARKIDYLGATILTIAIVLFLYGLAGEIQPKPILLSVVALAAFLF VEYYVAADPIIPVKVLSSRGVLLSCLAQLGFMSARWTLLYYAPVFMLAVQGYSPPAAG SILVATNFGFGSGGVLVGWLHIRRGGSFWLPSAISLAVFAATLYLLSLVGYPNTPAAV MALTVLLNGLATGATLNYTLAHILHLSHDGTQFITTSLMGTVRGFGGSFGTAIGGGIF YRLLRAALEKGYANLDGGLTEERTELVRKLLGSPNHVFSGGLGPEEQKVAIEGYAGAS RATWAAAAGLGVLVVLVQLGTGWTSPEEGKEAVVDDEVRAALLENEGVGEA
SAPIO_CDS2618	MSSQSSSSNSAASHPRHSLHPSSHQHYHHPQPHHPLYLDNRYPH PSHGHGHDRRTTPLAQHPSPSSPENFSPASSSSLVSSSHQQATSNPGYRGSNTPDPMD QHGYSYGYHGASSSSSSLPPPPPQLSVHSSSSSSTANAAGSSPKPFTNNAGGNPQIPV KYTPVTHRVSKALKGLPVHICNDCVPPRTFSRNEHLKRHQLSHKTPSHECKIPGCGKK FHRKDLLDRHEQKHEQDRQMSMRRGSLFSAGRRPPHSTSDSGAGIISAPRHSPFPPMP DCVSRRFENPSPGLYDSSSAPIGTSRRNCTAFRTSTTTPPGSIEPSTPISPTGSSSRA DFYGRPLSQGHGQCFGTNNYVFSAPLSHQSPVVQHQQILSPQENLMNLGGYYSNQAPR RPSLQLSFLTPSDNHNNHTNFVAAHDGSGIPSSASSSYSTGSDLTRSNRSTARSSSVD WVDESGFLSPSPMPANHGGNGPVELLSPISLDTPVPTTSISSTSAAVSIDTLEYQSPL SYTSPRGIPRASGSTVYNSLENMPLSSAYTENPALANRRQQHGEQQQQQQQQREHMDS LVCQPPPRSHPRSFSLFSN
SAPIO_CDS2619	MSSPASSSTHSGKRKRPSTTDPNFDMMDPALQPSSRDASGEEGD TTAPESGKLQNRKQLDNATSSSLSAHPPKRQRASSDRASAIAEARSQSAADPGEPSDT TEASVDIAERVGRRGRKPSLITKENENGTEKRAMPPPPIGTLSDPAGYKTNPPPVGRP VRVYADGVFDLFHLGHMRQLEQAKKAFPDVYLIVGVTGDEDTHKRKGLTVLSGAERAE TVRHCKWVDEVVENCPWIVTPEFLDEHKIDYVAHDDIPYVADEGDDIYQPIKEAGKFL ATQRTEGVSTTGIITRIVRDYEKYLARQFRRGASRQELNVSWLKKNEMDLKRHVQDLR DNIRTNWSTTGQELSRELRQFWPASRPQSPARHAYISNGETLSPVSALRSPGQPGGQG SMNDFVAGYAVGLIGGVRSWMTRSRNRDMDSRPVSDDDSEESGSDKVQVQRPVSSKI
SAPIO_CDS2620	MASIALLTRPPAGPGPVTTPNQIAKPAYTGVSEGNEARKEDPLA RFPDKLSSPLCWDARSFPKGTGDYIIQFTADDLASIDNAVTSFRALNLKDVAMVCPEN FPLAPGLAQKLRDASDIVHNGRGFSVFRGLSPNGRSDEDNVIAFAGVSSYIGRDRHAN SNGFAMDHLRDAIRDPKPKGREHVELHPSKMMVPLKFHADRNFADVLALYIKSKAASG GQQYLSSAWTVYNMMKESYPEELRILVQDFPWPGVLNEMPHTTYHPVLFEKDGRILCQ LVYRVFEGTTLLNDSQWRALDTFEKCANECAIELDVRPGDIQLVNNLGLLHARRGWID RPGIERHYYRLGLRDPENMWARPDGYEFVFDNRFVTPKEEQVIPVTDFDPYGLTSLDM DGHG
SAPIO_CDS2621	MLNQQLQLDDFVVAQFTLQPATDTVDRFSAGVVPTESAQFDEFV VPQSLQNNGGWNAWRMTSQSEYGMPAIDIECAPTGNSTGRSAVSSSFPTEVLDTSSGH LAQDPAFSALVQAAFDAMMDESRNDPQGSPSYPAPDWSLLGLPDHGGVDSSPQSTGNT MQPLIYPLDWDQFGDIRVASQSADESSWVHAGLSGDRIATSARDNTDLSADSGTDEQV ILTPSSACMPQSDMSERASEPQITSPGHMETTSPSRSQVSQPESLEPQEPQGRPRSNT QETAPSTTALTNYTSSATSTNSLALSSPRPGASLAILHRQRSPQRVGGKLNEGQRYSQ RVRGKLNEEQREKARVMRKVGNCLRCRAFKLACQSVCDSARSFLDPCYREKLDHVTLA RHGNNNFGQRNVQYIDYIWADNAAPRKLVEIRWNLPGGRPVDLPYFNVTCKKFFPRTN DTHFITWNVGDKVMTAELPPYAADDTRSLKLDVEAFLNKSCSTVLDYIIEDTANELLA STLREAIRFNNKNRSPIIDLALRVRCASFCSQGWGSITGNEKLGILPIDFNELGQCGY AAYDRGRDVPLPLSIDHQLDVALLHTIQEYQRELIKQLKQKIFGKGSKKPWYEIFLTI FVLLSNLEYVHSGAISFYRAQMNTKYSKSCYSLTHEMIDEYNYSAENLLYHFCSILRG NMGFMLGFEKMDELKHRESLDDDAVEYMKNVFGILSKIAPLENPAGSGLDPEDLPRQD GRWIMRLFSRMM
SAPIO_CDS2622	MFPQAPPFKGALRLARLSDIPRIGVVAAASFYHSSWFHYERPYF EKYPRDTLSSYRNSFRNAILDSDSIVLVVEDNLNTTEASKVYEALAGVYPAFDEQIPK EMLEKGKAVVAVASFSLLPNSKRHGQFQPEDDPPPIDDPVLSRDKHPLGGKTMDEVLH PEEVKTFKDRMVIDMMVTHPAYWRKGHATTITDWFLELAKLDGIGLGVAGAPMGKAFF SHKGFKEAKTVEIPGYEAHPSPIYAWLGLLDVKKDQA
SAPIO_CDS2623	MGEILVHSVAQGHGQGDFEAASEMDWNNELTVAVAESSPEEEEE EENIDLFSALEDHLDEVKPLAANERSTLCPDSRNPGKMFIGGLNWETTDQSLRDYFSQ FGEVLECTVMRDNNTGRSRGFGFLTFKDPKTVNIVMVKEHFLDGKIIDPKRAIPRDEQ EKTSKIFVGGVSQETTDQEFRDYFAQFGRVVDATLMMDKDTGRPRGFGFVTFENESGV DACLNAKLEIHGKFIEVKKAQPRGNIREEEEAARRSKFRKGDEQPAGGQQASMSSQMN AAGMSPQVMAQYMQRMQQYFSVMQQQQLAMSRGMSNMNPMMQQMMMAQQMQQMMNAGR GGGGAGGPGGQGPNPMMAGMNPAMMQQMQQMMAAGAGGPGGPGGMDQGGHGGRQGGAG AGGGGFGGGYDQQQMYGGQQQRRGGGRESQYGQGGGGYGMGGGGGAPTSWEGMYDDVP QPNIPQGGGGRGGFHKRGGGHHLAQGPIDPANAPPANAPTGPKNAGRPGANYRGGGRG GSRGFHPYARG
SAPIO_CDS2624	MTEPEGFEDDLFADLYNDDEAPKPPPPTAAAPAPAVSSAPATTI ETKVITPVVEEANGEASPGGAFDQDDEDGDVDFDLGEPETISSPPPPPPQPQRHDIPE SPPSTSGAMMKAGSTKEDGYIQNSEDAYQKEAEGIDTSGGFDGTMTWRWLLGKAVV
SAPIO_CDS2625	MFSGSGSGKKPPQQTKEMVQVGSPFWKAPSQRETTPKRSSRESN IVVGAAYSHADILKLNQLNVSGSSSRPQTPPSSSSNKLRPGETSPPQRQASGRASPPF KHYINFLSTTNDDWKADEDEMMGYEDDDGDDFGLPSLSNMRRRKKKIAAGQTAIDDSP GATLSPRLDGSFGAFHSRRYSNSADIAIERPAPTYPLPKKSEGKILRPQYKEILRDPA NALHLINYPSIPPNATPKEAEAINSKISRIDKFKKLLQATSIPLAELRKLAWSGVPEE VRAMTWQLLLSYLPTNSERRVATLERKRKEYLDGVRQAFERAGGGGSSSTVSAVPTAG KTRGLDEAIWHQISIDVPRTNPHLELYGYEATQRSLERILYVWAVRHPASGYVQGIND LVTPFWQVFLSVYIADPNIETGMDPGQLPKPVLDAVEADSFWCLTKLLDGIQDHYIVA QPGIQRQVAALRDLTARIDAGLSKHLEKEGVEFIQFSFRWMNCLLMREISVKNTIRMW DTYLAEEQGFSEFHLYVCAAFLVKWSDKLVKMDFQEIMMFLQSLPTKDWGEKDIEMLL SEAYIWQSLFRGSAAHLKGPASGRSPLGHLQL
SAPIO_CDS2627	MTDPLSIPGGVVGIISLGITVTQGLVSFYTAARGQKSNTAYTAT KLNRLLDQLNLLEGQLANRKFRSDERDLLKNIERSIRDCEECILELDAENEKFKDRRT YSIAAAARTAARRLAYPFRQSTLQKLEEAVDETLSHLRLALQALDNKVIANIQDDIEA TKTLIERVRNDQITTAIRTWLKAPDPSVEYNSNCKKRHGETGLWLVKGPSFSTWLEKP NSFLWLYGFTGCGKSVLCSTAIQYAFRHRRSNPRIGVAFFFFVFNDESKQDISAMLRS LILQLSDQLKEGSHCPLSQLHDRYCNSTPPDHVLISYLHELVRLFDNVYILLDALDES PRGEQREDVLQALVDLRSWSAPGLHLLVTSRDEIDIRDVLCNELGALNCEMLSMKNEY VDRDIAAFVSQQLRDNRKFQKWKDYHGRIESVFTESANGVFRWVECQFRELSQCPKSK YQLEKLLSSLPESLDETYERMLLNIAPASKESARRILTLLCFAKRPLTIPELIDAIAV ELGDSPRFNPDRRLETMDEIHKVCPGFIEVDEPLVEVDEHLDKVDEFEEFDEHALIIE PRPEPTTVRIAHFSVQEYLESDRILHRKAAEFSALRLFQNDADFNNWVKIYEAEPYRN SASKMASPVYYASLLGLDLILSKILDGNVASSSGPCLQEVSSIIDAEGGSYGNAICAA AGEGHESILRLLIEKGANINARNRSSRTALHEASVNGHKAIVELLIDKGADIHAKLSY YGTPLHAAAQTGHKAIVELLIEKGADVNVQSGYYGSALLAAASRNYEDIMKLLIENGA DINAQIDKFGTTLQGAALESSETTLKLLIENGADINAQGGLFGTALGAAASRGFEAIV KLLIENGADVNAEDASGTALCAAAASGRKAIVKLLIEKGADVNVQGEKFRAALALGER LSGDAYWKGCNTHGGEGTPPPTYQLDDLRLPALPPPAYGLGGALPGDDDPQSVVIQID ATPPGGTNTTDATGLNSTTTQTSTTSGATSGTRRSSKQDTPRGSPGGDDTKETGTNDN NGHNGAAQAQAGMIRSGLDV
SAPIO_CDS2628	MAGIEQLEVHSKSYIVRWVKVEQGFSISWNLQPHKKSLNFGIVK HPGSGGTNLTAALPDVDSSTLTTVADAKSKTFAKKDSSTAQDQLASKGFIPITWHGKC EADKVSMGIFDVGHNQGGMYGLVFDNTFSKQTGKTATFVLLIYPTESPPQTTHHLPNL GITNKASTSSTSLGRHNSPRLNPVAAGSFDSLHSHSATGQNAASVSGRSEPGPNAPAT YHVGNLLKRRRKKGQGYARRFFSLDYSSCTLSYYHSRGSSALRGAIPLSLAAIAADES RREISIDSGAEVWHLKASNAKEFTEWARALERASRIARGLEPAPQPATAIPRLQVEAA QAFRALPVTKINPEEEKEWQQVETLVSRIVGTRDALRRLVKDIANQAGSASSSVAASA PASGHSATSAASPADANGHATPSQQPERRSLFWKRKPSTSALAALAATQAATAPLQSG SSTLAVPSHLGLTTTISADRSTSKSEKRKSRSGSHHHEELSTEEHCQALLTDLDSVLA EFTSLISRSRRRRQLEHPHSPALSRKSIDSTTTDEFFDAEQGGGVGGGEVDSRIVRIQ RSEDEPHSDADYVSVHSSSGSSTVSDDETVALGGTYQFFPPRPKTLAPLPIQEVVKRR TTIPPATTLPPSLIAFFRKNVGKDLSTISMPVSANEPVSLLQKVAEQLEYAQLLDQAA KARLEKERMLYVAAFAVSQFSNARARERAVRKPFNPLLGETYELIRTESDVPGGFRLL VEKVSHRPVRLAMHADSANWSFTQAPAPSQKFWGKSAEINTEGRVRIALRLADGSDEF YSWNIATMFLRNVVMGEKYVEPVGTMNVVNDSTGAKATVEFRSKGMFGGRGEDVQVET YSAEGVHTGSSLSGTWTGGLRIVEAGKAAGVEIWKAGPLAENATQAYGLTAFAASLNE ITPVEKGKLPPTDSRLRPDQRLAEQGDLDEAEEWKVRLEEAQRARRRVMEEKGEAHRP KWFVKVADGVEGDEVWRFKGGKEGYWEERAKGTWGGIERIFDA
SAPIO_CDS2630	MTAPAGAASLVGKPIRFVPNTSAIELPFYAKLFALKLDHDKLND SMRPVLGLYEPLKVEPEYSSKIQILGNALTSDEGPHGTCRAEGQIRNTNTMEEFRNLD RPTILRNSARSIWDAIKDGSIYSVPSLLSSFIIISYADLKKYQFTYWFAFPALHSSWI HTADSAKFTEDEIETLEGKVGTFQRNREEQRQHGYFLAKKVPYSPDESETNDKLAEGL GYSWKIGPLGDFERGFFENVPEKDRYVCFVDPSTYEHSPGWQLRNLLVLIQHRFKLKD VQILCYRDTHETRHLCRSIVLHIAQAPSPGSTVIANGADGEMPNITGWERNGRDLRAK QVNLADYMDPSRIADQAVDLNLKLMKWRIAPGLNLDAIKSTRCLLLGSGTLGSYVARN LMGWGVRKITFVDYGRVSFSNPVRQPLFQFQDCLEGGVPKAPRAAAALKEIYPGIESE GHVLTVPMLGHPFVDDGSQTKADFEKLEALIKDHDAIFLLMDSRESRWLPTVMGKAGK KIVINAALGFDSYVVMRHGAEDPVEGHTPLGCYFCNDVVSPANSMKDQTLDQQCTVTR PGVAPIASALAVELLTSILQHPLAHRAPAPQPTGGVTAERDPPEHALGLVPHQIRGYL STFQTLNILGQAYPNCSACSAPILDAYRKDGWEFVKRALLEKDYISELSGLAEIQRQA EAAEADLDWDDEEDGLGKVEEGDGELL
SAPIO_CDS2631	MLIGICGGICSGKKTVAQYLVDHHGFKHLYLEPPSQDTSQSTPS STTTTSSSSDQKQQPLPLTHPSQPYTFPTVESLLDFVTKRWRSRFVTTNIHNESILDL LSRRPFFILVSVDSPLVIRHRRFQAQPHRLDLTLEQFVAQSDAHLYDPTTGLQPLISR ASVRLLNTSESLAHLYATLGKIDLANPERLRPSWDSYFMSLASLAAQRSNCMKRRVGC VLVGREKRVISTGYNGTPRGLRNCAEGGCTRCNEANSSGVGLSTCLCIHAEENALLEA GRERIRDGAVLYCDTCPCLTCSIKICQVGISEVVYSQGYSMDTDTAAVFREAGVKLRQ FSPPPNGLIHLERLDN
SAPIO_CDS2632	MSSTRSSSPVEGGSPLGAFLSPKSKMKALLATVGSDSEDESPVR TPKIARRSPIRRAELSSDSEDEIVRPRGRFAAQMLASVEETSNTETKTEINPSESARE RVRRLLELGVSDATEDTREDRGNDADEDVPVARRRLRPAAERASTPETADFAAPTSSP GLFVSPGQKSAHGEDETRHSSNEASDDDTMKKLRKNARFQALVERKRKEREAREAEEE AKKTARLARLQQGEGDERPTTLELFSDSEGGNTSSITDDEGGRRLTQKVRPTRKASKR AIEEMNRETQRMARNMQLAHQAKTKKKITKAALFEKFGFKPADGASASEPKTLSSSRP TTPVSDVEMGNGETPPSSPPTVRTPKQAAEATSAEAAAPAEEGMTTSPSKIEVPKASE LPKEVHPKRRIRVKLPVNLVTIDSDDELSITATRKSKLDAIFDRVPKDQAQEPKTFQT FRALAQLTSPGKAANCRRRGEKPCMTPAEMQAMLRARARQQAKDEKQRRIEMLKAKGV VIQTEEERLKEIEEVEDIVARAREEAQEIMQREREEAKKEKEERRKNGEVVDSLDWDD SSDDEDYKEERESGGEEEPDELAELELSGSEEEEEEEEEDGDDEAEDGDDEEEAPNPL FDNEADEDEDEEKEVGDIAMGDDDEEELVMIKTRRSRKTQVLSDDDEEDAVAIDATPK PKAAFSKSPAPPSTKSPAAPMSVLRSATKTFIPGLPVAPAGPAGLGLTQMFAATMDES QSQMGGTPSQLMDTPRPTFDPFARQSFVASTQDMNHDDDVIMDSQPPLQETLREETQG GMDLHYSQTQMRNLDSLVREDTQLSDFMPFTQDGGLEDHTPLKERFIDAPDSSATPGT VFGATPTPDSIHESPLIRRGRLRRKLDVIASINEELSQVAEEEEAREGSPSPSPQKDE FGFNTTTAFGVLQKAARDEERRKRREEKLKEFNRKKSKAKEMIEEQAEESEDEYAGLG GVDEDDDSDGDLASVKDLIDDEKGAATAEDERKLAAFYADRERADDEKQVEKLFKDIT TGMLRRKRANDYDLSDSDDGGEARKRMKRKQFAKMQKALFADERVKKIAEMPGNQAFM RTLEDRASDDEMDFIDIIEDNTTETEDSQSQQQSDDGASARVLVPNSQADVKKAANGG EIRAPAHMRRTKDGKKPSDIGQIRESLSSLLDEPLGSVVPATEVGSDSDDDEENDDER GDSRSRSNKENISPPPGASTTLNRKGPVIHDRLSFKRGNSSTTMTGRRAFSRSASQAG KPPTLLRRATSNSFMSNNVGGSGGISGSSSGTNSPLTTGSDSDMFGGDKIRRGAAKGS SISFERKGARPSAAEGLAMRRTERKLKGAEERLIAARGLLGSGKFE
SAPIO_CDS2633	MAFTSRTDTLMKYMSLDQKGSVIAEYIWIDSVGNTRSKSRTLPA KEYTPADLPTWNFDGSSTGQAPGENSDVYLKPVAVFADPFLGSPNILVLAECWDNDGT PNKYNYRHECAKLMEAHKDRKPWFGLEQEYTLLGDDDRPYGWPKGGFPAPQFQYYCGV GYGNVVQRDIVLAHYKACLYAGINISGTNAEVMPAQWEFQVGPCEGIAMGDQLWVARF ILNRVAEDFGAKVSVHPKPMKGDWNGAGLHTNFSTEEMRVEGGMAYIEAAIKKLEARH ADHIAVYGEENEQRLTGRHETGAIDQFTWGIANRACSIRIPREVGAKGYGYFEDRRPA SNADPYRITHAIMLSCFGDAE
SAPIO_CDS2634	MEPLSIPYLTQRAHELTFDELLSSRKAAGYCTPEGYAITGFVYP PDQPIFWVKFGNRSQEAMFAETRTQQFALDNLEKIPKEEREGIHIPRIFRILKEQQRI FVVMELVNGKRLDEIGQSIENFYESEISDPYFDKITRALRLFLSFPVPPDTKPGPCGW GGIRHVFFKDQQAPVPYISVDELEEHVNNLATWFCKDNPTVTFERELHLVYADLHLGN FMFTDTSELYVIDFEHASFLPLSFMAFVIRYPSIMSGPVSSCIEDKFKDLPGDNLEVM ARVSGLMGMSASRLGHKMNWTEGNLARHTRGRGHTRDLTRQRQNFASARRAQALRSTT GASSKPARAPAEEIQSSFFRDSCLPSKDRPQEDNHVRKPSKAKETTPILTAALEKAVQ RDTNKRKRGLERGQRNDDDLEAKRRRLLAMPDWAGLEMQEEIERLQTLSDRRWDRSAV ERGGDMPSHLMTSQQAWRRRSKDKTPPAQEEVAPGGGSCAPRLRHPRPIRHAGQQLVK ELESSTGALRSSVERGEKDDDNLLPLCDELPDVTVRLDYLDDSSVAEYHAPSVAGGSG TGHVVRDVGCAIDEERGSIPSRDIACGERIRAAPDRPSLEKDEVPRPPLSESDDVSLT ALLSDDDSISADEEPRLDPKYVGEESSSRSSVRGMGSASSKTGSHRPSDDKKVERVKK QHQAADETPGPLLQKDTEDEWLNFIFNGDGADEARKRAFDIAKRDTARLLAPSLPSSS GRETAESAPGDSDAGATCGTDGHPDPDDLLSEA
SAPIO_CDS2636	MSDATEPKAVPVEEVSATATEPTTSEPAPPLTGANVDDAQTPAA DATKDGDEEEEDEEERKSRKVTLADLCAKGTALYVKKKYEESAECYAKAAERQAELNG EMDPENAEILFLYGRSLFKVGQAKSDVLGGKAGGDKQQKKAPAEKKAAKPKEDRKGEP SGSVTVEEGKKDVKEEDKKAEVAVPSTKKPLFQFTGDENFEDSDEEEEEGGDEEEEEE EEDDLAVAFEILDLARVLYSKKLENLESREKTEEEKEGDEDPLLRHVKERLADTHDLL AEISLENERYPNAITDSRASLKYKQQLYPKESEIIAEAHFKLSLALEFASITTDEGGN KTDSVDQEQRDEAVKELELAIESTKLKLQAKEVDLATMASPEDNELTRQEIADVKDII ADMEQRLVDLRGPPIDLNETLYGEQGLGKLGGILGAAVGGSSAEKQAAIQEAKKTATD LSGLVRKKQKEQKDESKPSTPTAETNGKRKAEDDAESEESKKAKVEEATA
SAPIO_CDS2638	MGTFTFKWKPVALSRTSTWKGASPWRWDKRIHGTKLFSFQRSPA FTALALPSTTLYQLLTWTVSVSRNKPAQEVYVTGTFDNWSKSEKLDKIGDDSFTKTVA IPDASSKIYYKCACDEAARSS
SAPIO_CDS2639	MSRGLDYDEFRQAQNEFLATLSNKERETFSTYISADTFLQSLER LDIIAKKGPSGKRWLNIIRKFSDGLEPYFKVVNIYVSSKPECAAIFWGSLRLVLQLAS NYGTFFEKMTKMLSSLTDELPQYGELLDLCQHRGPDTEDEANTLRVQAHIVLIYKDMF AVLHTAARIFAKSDGKMKKKAVVVGSLLWKPFESRFGELLVQMRGHRRFIFEQLVLWH ASEEVKERARAAADRKVESVEREDVALERDLAARERKLIREERDLNSQRGAEVSKCLR EVRQEMRCLENDRKEQARTRIAEWVAPPNFADSLEKELRLRDPGTAMRLFEEKAYNQG VEGREAVAHSRKFFGSNTIWIQDLILLDILRLCLPEDAILVLDGIDECSDNDFLIESL VGLSHNVPSLRMMLLSRINVAALKSSVRANHIFVAAKNKVSRDIHQFCLNQIQDMFEE ELLPESCLGDTEPFADKLCIGADGMFL
SAPIO_CDS2640	MASKALTFALLSGISAALEVGGYGETSAVLNISVHTPAWQDAVA RSNATGTVSLPGFNVSEPWPGASLDGWTIKLTGVDLSRLPADLEAEGKRRVIGEDVRI VAPGSLYVPSANASDGGRPVVDAHPDWLFCAWIWYLPASGNASISNNPDNKSATPDGS CAPWVNDACITGLEKAARLAYEIRPDEGPSWGVRHRCLGIEVPSACGSGYMQSLSVLR DRWGVPIQYLNGSTTFSDGWSLDGRDGPREEKQRWRTVTHSYRPILTLFGRFNEDPTA EVEPGFAKLSCLRAQEQGEVYDPEVDDIDSDKGGSSNGGGGKGGEDESDATSLSCKSV LVWLAIAAILTW
SAPIO_CDS2641	MTARPNYIDDPRFNQTFELPADPAKGRVSPFKVKYADFGYRNKT HPEQENVLLFFAPMMGSRLLHIAKDDIARKHKIRIISPDRPGIGGTDVVDQKIRMSFW REVNIALLRHLEIEHVSVASHSGGTIYALDFVLNYPEILHPERPYLAIGAPWILPSHT SSATMRMAQLLPASIIDHTDKFVSLINNYISPAVAASVGFSQPVIHKLAPPRPESASD VRDGEDNDGIRFEEELLQDIINHIYTESTKGISDDAVLFMQKNEGVWGDWGDYDTLVP RLEQALRTAGRRLSIDVFFAENDFMVGDGGTKGTLWFDQCWDLQHEDGPIKYRSTIVR GADHNEIWNLRWGAAQVVLNRISPSVPDSSS
SAPIO_CDS2643	MLSLIGWWFLPSLVAGWTQSIWYSLTIRAGDPKPQPGHPRFARD RRTIQILVISLYLLYTIYEASWELRRQGNFYTYLGLPPTASDREIKSRFRRLAAVHHP DKAAQGTTSDGSEFIRLKIAADTLLNEASRFAYERFGPAVIEWKDCASRYEYAFRGTI MGVVPHYVIYAVVQYVLGFFGYLDFARYWRWFFLVAMAAFELHITTRPSSTLLSLLNP VLESPLFSHPPLLQFQLVALARRLCVTFYIALSQIGPLAFPSKTPGDSDKAVHAQIDR VTALANEVDELSGRLLDVELSPFKGDEEMLKTVRGKIAEWLVQNTIRADPMVKDAMGQ SFRRRRVDAPAGAKGNR
SAPIO_CDS2644	MRLLHWNLPWPRTPSAFDFERVIIPLEDAHLHSYSARVGNESPD PMKDPDVDEGGKDSEHEETGMLEMSVSEYSIEGLRREVRKGARGIPSDYELRSKVVNK AIQDIGMGSYNWQLFILCGFGWFADNLWLQGISLTLPSLSAEFGVAEKTVRYTTSAVF LGLCFGSFVWGVGSDVLGRRIAFNMTLLITSVFGMLASYAPSWGWVCFLYGALGFGVG GNLPVDGALFLEFLPDASSSLLTLLSVWWPIGQLVASLVAWFFIANWPADEGWRHFVF AIGVLTFIMFLVRCFVFHLFESPKYLLSRGRYAEAVAVVQGIAYKNGARTWLTTDVLD AVVDDAARAETRPPRVSAANVILEKLTSFSADRLRPLFNTRKLGMATALLWFCWATIG MGYPLFNAFLPQYLSHGGSGSSTESTLTPRDDGSSAAAPEISNETYRNYAITSMAGVP GSLLATYLVDHPSPFFGRRGTLALSTLASAVFLILFVTHGTTPSSQLLFSAIEAFTQN IMYGVLYAFTPEVFPAPVRGAGTGVASFLNRLTGLMAPILAANVPGDGRSEPVFLAGG LIFSAFVGVCLIPVETRGAQML
SAPIO_CDS2645	MAVLVDLQPAPGDRQRITPIRNTYSSDMHRKAVQHSNMHIFQEE PTEPKEAPSSAPDSTKSTNLLGTALSIYPIAVGVASHIDLVTLDSLSRTCRAAHDGLI QYRKALLASTLRCYQDEMPVDPSDTFRYRARAGIWHIQRENDASLRNFHGKAGQCARD MVAECRRCSRVNCAIKPPEPKALKERHRRLCQTCTEAPLKDHINPMLDPDTPISSNVI QQGICQCETLGVWLCQPCGRSIRGKDQTYLRYVSSIILKSFCLFRSRGLMKASSIWKW RSKYTEMGITDGDRGVTCGREGDCLGAIETEVEMDSAGEIPTPPSSSPAPASPPHSDR ESPGVEPRSGYNVHPFEGAGGCVTNKTVVKIRVGQSVPEWHDEKSASQILEREVEGKV RSWCGWCWRVLPGEDDMKKNQ
SAPIO_CDS2647	MPPDLGPNNSDHGDFMCSSDECEVYTEAEQRERYGDGCSYYYPV YVGEVLDDGRYRVEHKLGWGGYSTVWLAQDMHENKSVALKIMVPGEEGERECYVHNEI IQNVVDLSGLTICKRAFYIDIPEDLNQGAVLFDMPPIDNLTTAQRYELFGRPRKILLD EVSWKQAELVEPLKFPKGCVGSNVYLGDFGLCIKAGTPVKRRMQGPARFCAPELFHGA DPSFATDMWAYMNLFACFYLGTDAIWGEGLLLTTRIIETFGPFPAHWKGSHYLQHVDK SGWFNPNPDMDYWYDPQTKTVPKMSLESKIDRLRPDISENERYHALKVMYRGFQYLPE NRLTAAQLLEDESFKIVMGFYGI
SAPIO_CDS2650	MSSHVFFDITIGDRPAGRIIMRLYTSVTPKTAENFRALCTGEKG NGKAGKPLHYKGSTFHRVIKSFMIQGGDFTQGNGTGGESIYGEKFADENFEIKHTRPF LLSMANAGPGTNGSQFFITTVPTPHLDGKHVVFGEVLRGKSIVRKIENLRTNPDDRPE KPVVIADCGELTEAEAEAAADDAATSAVADAYGDRYQDYPDDLPDSPSATEILRIATD CKGYGTAALKKGDLPAAIDKYEKALRYLNEDPDLSKEPEKTGQELDKLRFALHNNLAF VHLKLEAWSDVIASATSALNMRDPSGEVITSGDRTKALYRRGLAYLNRKDEESALRDL TEAKKLSPNDAVVAKEYAALKKRSDDKDKKMNAAYKKFFAD
SAPIO_CDS2651	MKAIIQRVLSASVTVDKEVVSSIGKGILVLAAVGVGDTEKEADS LAAKVLKLKIWDGENGSRWKRSVTEIEGEILCVSQFTLLAKTSKGNKPDFHQAAPGEE AKRLYHYFLEKVKAGYVAERVKDGRFQAMMEVALVNDGPVTFEVVVQPKGVEEPQ
SAPIO_CDS2652	MASRKLMQEVEKCYKKVAEGVEEFNSIYEKIEQSNNPAQKEKLE DQLKREIKKLQRLRDQIKTWAASNDVKDKDRLMENRKLIETQMEKFKAVEKAMKTKAY SKEGLSAAAKLDPKEQAKVETCEFLGNMVDELEHQVESLEAESESIQATMKKGKANRD KAERVAEIERIIERHKWHQGKLELVRRLLENGNLETEQVKDIEESIRYYVSDGMNEDF MEDEEMYIDLNLDHEEDVYGMNLDNEKGSTEDTRSIQDDLASEFEPKITGKPRTAAES AIANATGRRPSTQLKSPLPTLATLHTPIPSGGAGASGSLPMKPAALPTRPPGEGLKYA SAAAAAAASDKNNVGIAPLPPPPNAAGVPVNVGISPLPSAAQQARSSAASSPALMMAQ PASSSVYQAQAAQSQGSSSAGHTPASIKATPVPVAAASSQKETTKPSRAAGKAPAEPV KAPAPHVNGVNGIKPIEEEAEEESRFHLPACLNDLLESYELSRKRPAPAASPASMRML AMSQATAPDATDADLPRTYRPDSRLPLPYSTFPQTPLPIFDDPRLYGKIDQDTLFYVF YYKQGTHQQYLAAKALKDQSWRFHKQYQTWFQRHEEPKSITEEFEQGTYRFFDYESTW MNRRKADFKFAYKFLEDDI
SAPIO_CDS2653	MYQDEASSYALSSGEDSTGEGGSQGYTVSEGGQSYYTQTGEGSS TDISKHKPSDGYCCLVPGCKAVPFKRNADLDRHYKNIHRPAESKETYHCDYAKCSRRS SPFHRRDHFRDHLRDYHKESIAKRGKPIQTNWSEGNSGRKEWWRCTRCLRRVMVATHG FECPDCKTSRVQPDEESSKK
SAPIO_CDS2654	MNSLRIIARPAGVCIARASLRTTATSPLAAAAHYYNSSNNRFTN NTNSCFSYYGARRTMAIASKIKVKNPVVELDGDEMTRIIWKNIKDKFIYPYLDIDLKY YDLGLEYRDETNDQVTLDAAEAIQKYSVGVKCATITPDEARVKEFNLKQMWLSPNGTI RNILGGTVFREPIVIPRIPRLVPGWEKPIIIGRHAFGDQYRAKDLVAPGPGTLKLVYT PVNGEPQEVDVYEFKNGGGVAQAQYNTDESITGFAHASFKLALSKGLPLYMSTKNTIL KKYDGRFKDIFQELYDTTYKKDFEAKGIWYEHRLIDDMVAQMIKSSGGYVMALKNYDG DVQSDIVAQGFGSLGLMTSVLITPDGKTFESEAAHGTVTRHYREHQKGRETSTNPIAS IFAWTRGLIQRGELDGTPELVQFAQKLEQACIDTVDIDGIMTKDLALACGKKERSDYV TTNEYLDAVEKRLKDTLAKL
SAPIO_CDS2655	MDDESPTKRDTAHSTPSTPAADDTIRVGDRQANGDKKIKKEGGR GGEDEADAAADTRPKYRSWKKKWRKLRVTFDHKMREAEVLWLSEQRAKATIKRIAIEN DRLLDLLTDINECPQVPPEKRISVPTLTLPTESPDSPSTTPSKSLSSLESEVPHLSYD QAKDSLPDTLQDITPAAGEDDPATFLTVDEIENYLYETDLRLGLPKQNSLAPAAKDGA TLPATSTTSAGLPPSSTTRDFLIKYPHSAYNWLKSNASHVFLQGGESAAAHHHDDDES HHPPASAARKKGASKASAAEKSSKPSSKASSSKRQSKADRILAEQQHQHDLSMGEDDD YATPAAAKKRKRDDDGGYRPKGGSSSRPSKKKRKSDVEGTPLAGKKRKVAAEE
SAPIO_CDS2657	MNPHQKNKVDVKSLSPEEQRLFRLYGKLPSRSDHFAKHLKERKY FDSGDYALSKAGKADSVDTGSVGSEHPVPENIPHLTSPVNNSGPTGHILKHTGAGIQA GSPVKESSFLHRETSAEDVEAEEGKENKAVPVGGVVKSLPVRR
SAPIO_CDS2658	MAIICALPLEADAADVLFDRPPETMTAGSRPAETTSAAVRTRHG GGPTQLYAAVTAAASRAETAIALIPYNKPTDQAPDSFKDQALRVKAYDAAPLSKFCGS DSGGPAEPGRQLKRKYCHPPLLEPGSIRLLRLMPHTDENARIRCQLFSYPLLESGEET CPYEALSYVWGCPDESHCISIDGCAFPVTANLHAALLRLRDRLIERIIWVDAICINQG DDREKGKQIRYMAEIYSKASRVIVWLGGAEDGSDRALEEIRLAAADEIPPETSVGEPA AKQVILALLRRPWFERIWVLQEVAAARNILIKCGFAEIDGYAFSISLRIRPMGELVDM YHSRKATVRHDKVFALLGMSSDDLAVADILPDYEIPWEILLKRIIAFLLGEQVSIETW LETEIAIIRGEGFILGKVSSTEYYDRDDRQQVVVILKDASGHLGAERRWTLPPLAKSV EVGDLVCLLRGATNPTVIRLCKDHFSIIMITAPSLQPEQPITKFPHDFFLLWDWEKAP GESQDRRQYDALMKSRASEHSGGDRKGYLDKMTRLCSVASILEDGEEYKEAEAILGEI VEGYHRELGEEDPRTLAARDKLAAVYRGAQKWEEAKALLEQVIQTRIRSQGTDHPDTI RSRTVLASTYRDQGLLRPEKQRAIMSILEWRGDGTQVAGKEAIKIAGSLDEEVMKLLF DQRGSEVKVTGDVVVAAARNWWRGEGVMRLLLDRRGSEVRITEDVLVAAAENNWQGEG LVRLLLDRGGSEVEITERVIEAAEANKWLGEGVMRLLLDRRGSECRIAERAAAAPPAR NRWLGRVMRLLVNQGGEVKIAERAAATPARTGLFTFPSPTPDWELKHGKPTLQIVAVH GLDGDPFGSWTSVSPTGAETLWLKELLPRKLPNTQVMTFGYDARVIGNTSVATVRDIA LELLAWLRDEREDYDSDPSIVFIGHDLGGIIIKQALTTANNKRRFSDIADRTRGVVFF GTPHFGMDSAKWWRFVAGVEAPVFRAKYKAPLVETLERNSQDLRYLSRDFTSIAPKYD FISFYQAEGDSGQNNVVVSKTSALMMIVHEEVMPMQGSHSSMFRHRDLQPANILTHNR DEDDTDLHLDSFSSSSASFHQL
SAPIO_CDS2659	MPLSRLDLPYYIFGVVEPTLQILGFVVGSFAPQYFALTQAPLLI SHSLLPSEKILSYQLGFGSTTKRRTARHSAVHAILSVVIKDGDDLAAIHKTRMAELGR SVSAPLQVVIDDSSLRRPPTVAMF
SAPIO_CDS2661	MKFGQRLEQESVPEWSIHNVDYNSLKYEIKTHTTRDQARAMAIP GQKDMALQRFEDSFFEELAMQHDRVNLFVTSKASEISYRLNYLADSIDRLASRCARSP MTLKRQRRLVKYQQELLHCGDDIQALTRFTTAQTEAFRKILKKYKKWTGSPTLGERFR EHVLSDPKSFSRRDYSHLRSRYDETRAVLRTSIPDVVSEPTSPESTLEPDRPRSMAGA TTVGAESFKSLPPPQMLYWNEYDHGSDGEGAGTEEPYALYINPEEDVGIPGLSYVQAA FTAPFEKAKSWFGGKKHAPETRANTTEEDPERQALLPERVPATVGSLEATEAAQTAGP TQPPRTGYSTISSSNRTTSESDADDDLTSNEDNTYPTEGYLVHYATLPSINEQSLRRY RENVMFWGTVGCFATSFIVMGIAGVLLFTGRHRNKLEVNAGAALGVITSMFAACAALG MHLCRRDVLSLWHHAVVWASGLALFVLNGLLLVVVMEGF
SAPIO_CDS2662	MIPLDSTSSWLLPCAPGAFLPCYISTNDVLFSGTQGANFSSQPP TPSREFSNMQSQASSPGSSAGAPPQTRASRACEKCTRAKKKCDKGVPSCSRCTRLVTK CIYDYTLIPPTLSIAGDQPLVNLYVSGSSTDLSRFNLLDPSSRVTAEQIHVLLASHGV GWRDIVTDYFQTMHKWFAVVQTECFDAKLDAHSSSIFQDPPVVPNVAGSPSGSTRGAD KADPAELATVFACMYLCAQWAESTANTPNPTGASNSMSTKDLYVSIKRAFSLLKATAR PSVELVQCGLLLAIYEHGEGEVLRAYSTFSEAVAMSSTLGVRPGEYEQIADDLPVSPA DEQLRVLYWALFIWDKVCHLDRAIMPLPIFLPEPSPSALLPLDNYVSGNILNPEQVHR IPMRAPLSAPVSTTPLGDFQRSCQAAALLSRALDWRASCEGSRDETPVPEVFSALDME AREMAEVMIIQECRTPCGDATAMCLSLLILLSSTILESSDIVLTAPDITLKALSTLNF SIRLVLDAYSSLAHGCATPLLHPAGAAQQLHAQSSKLPTLRLPLPSAAHAVHSAALAS EAFTPVGEGEVATLRNMLASLGQRWGIARRMR
SAPIO_CDS2663	MDLLSLLNSSAGTNLKEAEDIQRHQPEESVVEPVPPSWAGLPTP SPEKSPARTCSDEYRPALKSRTPWDAGGYSLPLSLDTKFFPSTQKPAIYSESVDSTSI SALSAVTPSSGHSRSTSLESSPADMVSSALVSPMTISQAFKPAFLGEADPQLEIYTGR RSSSASEMDAQLTAKKPSFLTHQDTPTSPMGSPKSPNHKFSDSHSSLSSYSPSTQSGG HSRISSFSTVGMAQPVSTLFNEIPSIQEKAERNEGERLNHNMSAMNETRPPSRGLPQL NNETPPRFGSFSIEPRRPRSPSDAFLISRGGARLSPPSSNTESQPHPEQPSVEQSSDH LDTLNPLPNTIARFPQHSSHLQPVHKHKRAISAPSFAAFRPQPLSSAYAARTFPPPPA PQPLVHQHHQPPQPQLQLQPQLQSQQTHQPVQGFPPPAPTGQTLPSLTRPQLASIPVP RQREQNLHIRCERVENCDTGTDRRKLISHVFGRNKLCTRAIPQEVWVHYCRKHYQRER YRKGPEYAKLQADLILVQIDRIQAWSDGNVSANDGPVVKDWALSIRKREQQRRKRNQD NSDDEEEEDDDAVALGTAVPDWLAGQAGAGYSTGQIKAIMAQLRDEVHAGNLLQLPDI EILPNIEGAVAPRRPQKRRSKSSVSHRRTQSMGVPVANAAIPSAHEHGMLFNHRRGSQ PAIIITEEAGRAEKRKAEDSLEASLAPPNARRTALVHRPAFQQIQEERSEHLEAHPQP PSLVAPQPRHGRSRSDMSHLLSEPRSYPTGFKPPLPSISNSMGRIENDATGSAYFNAD PFRAGGQTGSIGRQGPSNFEDNPVSRLGLTAQRRRVSTPNASYMAHGPSGSGNSNPAF DPLQQLPNPFATIGTSGPSQTFVHGQGGEGQTPLPSISQVTGEDFRGHH
SAPIO_CDS2664	MAQDPSTRRPTYIVEHLDPELGPWSELEYIAIAKESHAAGCTFC LSSLPPTFKTPPSLAAEPAFKSDSRSVEELYGDDKSRVCLLDPSAAKDLSPEDGKTFD VFLFGGILGDDPPRDRTSELREKGFEGRRLGPKQMTTDTAVRVTRIVVQDKIPLDKIP YDDFPELKFNEHESTEMPFRYVKGEDGKPIMPEGMVELIGKDADKAIDDLF
SAPIO_CDS2666	MEAVLIKVALAILAHSRIRACAVGELALNYYNVPRAIHDIEICV PHDQVSKAAELLCSTGLFEPSPLGEFNLFTEYKKGYPRLRATSWSSSHLGLVIFSDTS FGLRPLEESVVPNIKPLDSACYSKQILDVVASNDLNAIPMPCLGPYFAGLCRRYLDLG DDVAMIAAEQLVDGMNLDQNWVTKNIASAEQDVQELARQLIHGKASRLDDLSGNTVTC FVANEDEAEALRNIPGFETLEVGL
SAPIO_CDS2667	MIQDRLNDAAIALCRVLDNAQIHFGIFGGYAVAVMGGPRESKDI DCLAAVTKEQAVKLLDGVDGFSVIPQTREDYVAFLWSDQPDRKHAVLVEIFCEQFPGA RYSMADISTNVRSVNGQSLGPGDSSFFDPFYLFKGKLRAASQRAKFHDSADLRWLGSH YWMQIKARANELNPLFVGLAMRRYAILERLFEDLGVDVEKAKEAAKDIDLDKLPRPAP GDVQTGILG
SAPIO_CDS2668	MKSRPSVSALRRLGAAVTALSLPALVLGQSAADYFVQNLPGAPA EPPIKMHAGHIEITPETNGNLFFWHFQNQHIANKQRTVIWLNGGPGCSSEDGALMEIG PYRVNDDLTLSYNEGSWSEFANLLFVDNPVGTGYSFVSTNSYVTELTEMANQFVTFLE KYFAIFPEYEQDDIYFAGESYAGQHIPYIAKAILDRNKKPATRSPWNVKGLLIGNGWI APKEQYESYLQFSYARDLIKKDSSDAEKLQQQWRICESRIATDPGRVDYAECETILRN ILEMTTDLNPKGQKQCYNMYDIRLKDLYPACGMNWPPDLKNVEPYLRHKDVVAALHVN SHKSSGWTECSNGVGRAFQNRESNASAELLPEILAEVPILLFSGADDLICNHVGTEAF IGNLEWNGGKGFEVTPGTWAPRRDWTFEGELAGFWQEARNLTYVLFYNASHMVPFDQS RRSRDMLDRFMGVDISSIGGKATDSRLDGEKGPQTSVPPVKKPADSSSSSPASSPETD DEQEKAIQDAKWQAYRRSGEIVLVLASVGFCVWGYYVWRERRKRRGYRGLETNPGGPV GGAPRRRGGAGGAAASGLEAFRTRRGGGRERDVEAGDFDESELDELHLVTPTEAKDRY SIGGDSDEEEGENNGGGKEEKRGVEGSSASSASLER
SAPIO_CDS2669	MAPGLIIDNTPDLDFTPFPTKSLPPKLGPTTTQQRTLLLAAPSL ASNEASLRAAIAGHDRSTSDLHMLDRLSAGLVNLPASTYDLVLVLSDDASLLDRGVLT RVHDALKAGGKVRARDGVEIGGEAEKEFVLAGLVRSSGENGFEKPDYGSEAVVPLRLR RKKKPVVVEKVEEVKKVPSGVGFVNLDDLDGDDDDLIDEDTLLTDQDLKRPLNIPAEC LPKVGKRRRACKDCSCGLAERLAAEEADRQAAADAKLREQVVKLGVNDLAEVDFTVQG KVGSCGNCSLGDAFRCDGCPYIGLPPFKPGEEVRILNDVVQL
SAPIO_CDS2671	MKPQEDCENQSARGSSSRQAPSTRPCILKPLLFLTALLSSLVVV YISTSILRVPEKPVLPGIFGDSKVRLPVVLDDDQYLIGAGKADITGPVVEINLMGYAD ANQVGTGLRQRLYSRAFIVGSTSRPEDRFVYLVLDTQSGDTAVRYGILSGLSALGPEY AVYGQHNLAVTATHSHSGPGAWLNYLLPQITSKGFDKQSFKAIVDGALLSIKRAHESL APGRLSVGLTKVYGASINRSLYAYFANPAAERNRYNISAIDDGTVEKDMTMLKFQRSS DDKTIGVLTWFPTHGTSMLGNNTLVTGDNKGVAADLLEKDMRLLDGVSDDFVAGFSQA SVGDVSPNVLGAWCEDDTGGVCSFERSTCSDGRSQKCHGRGPYFRAKDNGASSCYEIG KRQFEAARDLYDTMESEEVPILGGVVKSFHVFHNMSFFDFVLPNGTQAQACPASLGYS FAAGTSDGPGAFDFTQHHSNETNTMPVWRVVSHLLRDPTDEQERCQGHKPILLDVGEM NEPYDWTPNIVDIQAFRVGQFFIIVSPGEATTMSGRRWKDAVRNRAKELFSSDFDRST EPIVVLGGPANSYAHYIATEEEYSIQRYEGASTLYGPHTLAAYINRTLTFLPYLARSS AAGDSNNLPQPFPPDNTNVSLNFISPVVFDNAPIFKKFGDVLTDVAEECPLGAVVNAT FVAANPRNNLRLEDTFAVVEKKVADDDWEVVRDDSDWYLVFHWRRTNELLGFSEVDLV WETKEDEGAEEGTYRFRYFGDYKNLGGDITGFEGVSGEFRLVSPVK
SAPIO_CDS2672	MASPPVPFNRLKQIANDACQSAIGSAEYYDHSKTEQWNSTIINS ILKAVIAESTTTGTPPAYKFACNSTIVQHLVPTSQLNKAKGSAVKDEEPHVTTSAEEP KSTDGKPHVGRRGMHSATGAYWDEKRDGMWSFKYDGGEGKGMDVVVMLIWIGI
SAPIO_CDS2673	MSSQPLLQTSQGKRIALPTRVEPKVFFANERTFLSWLNFTVVLG ALSLGMLNFGDRPAFISAFFFTGVSVFTLLYALVTYHWRAKSIRLRGQAGFDDRFGPT ILAIMLLLAVVVNFVLRIVYSAKDHSTPR
SAPIO_CDS2676	MKFFLPLALLAAAVSAQQECAAEVIVQTCLESEGNKFKACGATD YDCQCAAQQAISTCYNNCPGDSRKTEAEGQVSIYCGNASLYGNKATQKAATPTGNSAA DSTDAPEASPTQGSDKSSTGDAAASETSKGGAAELAMNAGGVLLAVAGVMAVIV
SAPIO_CDS2677	MSEENQNKDPIPSDTVVTTGEEGHEEEEEISAMKKRVAEMEEEA AKLREMQASLNQQAHETSENKEDVDNRSIFVGNVDYSASPEEIQAHFQSCGSINRVTI LLDKFTGQPKGYAYVEFTEPSLVAQALVLNESVFKGRNIKVVPKRTNIPGMGRGRGRG GFRGGRGFFGGRGFPRGGGYRGGYRGRGRGFTPY
SAPIO_CDS2678	MSSRTDAGLSSTPNLRVTIIAADGLAKRDVFRFPDPFAVATING EQTHTTTVAKRTLNPYWNESFDFRVTEDSILAVQVFDQRKFKKKDQGFLGVINVRIGD VIELELEADDHMLTRDLKKSADNLVVHGKLIVNLSTNLARPARNGGGSASSDNRPTSS LSGPNGVASTGSQAQLPIRPASINATAGPSQQQPQSQQQPPAATAHAPVPASAVASSS SSNFPGRQASSQQQQQQSQQLSPFEDSHGPLPAGWERREDNLGRTYYIDHNRRTTSWK RPSANGTVESRVDREAATQVERQRHLNRTLPEDRTGASSPTLSQAQTQVQQQSPPQQP SSPSQMAVAGAATQPGTGELPPGWEQRFTPEGRPYFVDHNTRTTTWVDPRRHQYVRVY SPHNNSNGFQQQPVSQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLRSSLDQN VPQYKRDFRRKLIYFRSQPAMRILSGQCHIKVRRSHIFEDSFAEIQRQSPTDLKKRLM IKFDGEDGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLN YFKFIGRVVGLAIYHRRFLDAFFIGALYKMILGKPVVLADMEGVDADFHRSLQWMLDN DISGGVLEQTFSTEDERFGVVTEEDLKPNGRNIEVTNENKKEYVDLMVKWRIEKRIAE QFQAFKEGFHELIPQDLINVFDERELELLIGGIAEIDVDDWKKHTDYRGYTETDEVIQ FFWQTIRSWDGEQKSRLLQFATGTSRIPVNGFKDLQGSDGPRRFTIEKAGDINNLPKA HTCFNRLDLPQYKSLEMLQQKLTIAVEETMGFGQE
SAPIO_CDS2679	MMLPTTRTAASMALRAKPSSAVVAYRSILPVASIPSTRQASSLT PHGAGSVTRKPRIEVPLASQEKTKGVVQYALTTLDIITNWARQSSFWPMTFGLACCAV EMMHLSTPRYDQDRLGIIFRASPRQSDIMIVAGTLTNKMAPALRQVYDQMPDPRWVIS MGSCANGGGYYHYSYSVVRGCDRIVPVDVYVPGCPPTSEALMYGIFQLQRKMRNTKIT RMWYRK
SAPIO_CDS2680	MAERENDSDNNPPRKRIAVAVSSRETILRNESNFAYTMDTARTY HARGSVSMSPAVSQFSPDGLPAMASGEMLGSYRQSPGSAYPYAGKPYYGPVPGWSNGY AEDSGDYGLQYAHTHAQSHHSYPCLTLEDEARLTLKCGANNRLSPGGKYSNGAAAAAA NGGIYIDVDPGYPYTTNSPNLVHRPQQVPLSAADLSNFSLTSVAASMPQSHPQQLHGV SSKERMLPSPTRNLAGSNGLASYRSDSSTSLYQLAKVGSSVASSAHTSPVTTIPDVTS GYSAYDGSPIATAYAHSVQQATTTQQPSPMASFKASSASESIFSAAENALRTQSPSTE LAYRYSGSPHRGDSGSSGPQLANGQVYTAIPPPPAGSVGGAGTSYLMPGASSGGPGSG DMGGGSAKVEAHRRAVAGLHAA
SAPIO_CDS2683	MQVPLLRLQCGVNSYAWGKKGHDSTAAKFAAATPAGDFSIQSDK PYSELWMGTHPSNPSKDLTTGRTLLDLVQDNKALLSDAVTAKYGETLPFLLKILSIDT ALSIQAHPNKKLAEELHARDPKNYPDDNHKPEMAIAVTPFEGLCGFRPLAEIAHFLET VSPLKALVGAEFADEFIANAKAQAEDASEEATAKSKKILQKTFGSLMASSQSDVDAET EKLIKLADSEGANFAAGGVPSISGEKMAALVRRLYGQYGNDIGLFVQFFLNYVELQPG EALFLTADDIHAYISGDIVECMASSDNVIRAGFTPKYKDVSTLVSTLTYNFAPIEEQK MKPTEYPYATLNRTGYSSSSSVTLYDPPIDEFAVIRTTLNGDGAKATFEPIQGPSVVI CTKGKGKISVGPKVEDMEEGYVYFVGATAELVLESRGGPDDEFVTFKAFCEVE
SAPIO_CDS2684	MHLPKSRQTSSSIGLRSQERRAGTSDADAQSATGSEPSTPSSKP NTTSSKKKGAMSQRNRSGRGLNRNNGGTHGEEVALWDSVKDKLPEILAQINEDTRNLD ELLKLDAEAAAYRKKGKEPPASLLAKMETHCRKGVKGTEAIKNEIATSIEKIGVLRAI IQANKDQGGTDAGSGPATTARSAASSRPTRDRDRDRDRDRDRDRERERDTRERDAAAT SSLYDFDGSGESPVRSPMGGSARRFGTDRSSNRDSIPPQSDSAEPTSGPGSTSAAATG AGTTSQAKSKVVFNKGDEVAFRPKQVSGGEQPDWILGEVAAVIGDGKTRRYKVMDIEP DESSKQREFRTSASNMIPITPESQAASLPAWEARKVVLALYPQTTTFYKAEVVSTSAD GKVSLHFEGESDSATLQQVERRFVVEYRP
SAPIO_CDS2685	MGGQFSKMMGKIFGSKEMRILMLGLDAAGKTTILYKLKLGQDVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYFSGTQGLIFVIDSSDRARIEE AKQELHRIINDREMKDSLLLVFANKQDLPDALKPTEVTEALQLSKLKDKVWYVVPSCA TTGEGLLEGLAWLSNNVKAPPAPAKK
SAPIO_CDS2686	MGHTNPPTGASCPGSIPGSRSQTLKHSVQAAFEERGLGNITYQP KIRVTDKYKVVGFISSGTYGRVYKALARGGKPGEFAIKKFKPDKEGEQITYTGISQSA IREMSLCTELKHENVIKLVEIMLEDKCIFMVFEFAEHDLLQIIHHHNQQPRHPISPGM VKSIMFQLLNGCQYLHTNWVLHRDLKPANIMVTSAGEVKIGDLGLARRFDKPLHSLFS GDKVVVTIWYRAPELILGSHHYTPAIDMWAVGCIFAELLSLRPIFKGEEAKMDSKKTV PFQRNQMQKIIDIMGMPTKEQWPLLAAMPEYMQLRNLQAPMTSSSSSHHPHSQHHHHH HHHHSQQHRHHGQPVNTSNLEKWYYSTITQGHSSAPTGISTSSSPLASLGTEGYNLLS GLLEYDPEKRLTAAQALEHAFFSTGDRLVASCFDGLPNEYPRRRVTQDDNDIRTNSLP GTKRSGLPDDSLVRPGKRVKDG
SAPIO_CDS2687	MTAPIVLDGGTGFLKVGYAAQNFPEFQYPSIVGRPILRSEEKGD NDLVIKDIMCGDEAAAARTMLQISYPMENGIVKKWEDMQHLWDYTFFEKMKIDPRGRK ILLTEPPMNPLKNREQMCEVMFERYGFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVT HIVPVYESVVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLCY VSYDLELDKRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDCEQPGIAE FLFNTIQAADVDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLGGNPERLS KFKVRIEDPPRRRHMVFLGGAVLANIMADKESMWVTKQEWEEQGPRVLEKLGPR
SAPIO_CDS2688	MSEPQKISVLFVCLGNICRSTMAEGVFQSVARKEPYRGLVDRID SCGTAAYHVGDTPDHRTMLTLESNGIKNYRHRGRQLTKSDFDEFDYIFAMDRSNLADI QNVQRSKQGSKAKVMLWGEYAGNGGQGRKTTVEIVEDPYYGGREGFKVVYEQCTRFTG NFLREVFPDVKSEL
SAPIO_CDS2689	MGDLQGRKVFKVFNQDFIVDERYTVTKELGQGAYGIVCAAVNNQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPENFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQNYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSSRAQEYVRNLPYMPKKPF PNLFPNANPDALDLLDKMLAFDPASRISVEQALEHPYLQIWHDASDEPECPTTFNFDF EVVDDVGKMREMILEEVFRFRQLVRQVPGSGGAGQAGAQTGAGQVPMPHQAGGQWKAD EPRPHEYGGGHAGDLEAELAAGIDMRR
SAPIO_CDS2690	MLLKRFVFLATLALASAAPRRRVSSSCSAAPQPVPPPALPLNGG ERELAAPPTGTKLRHVVLGHGYQNYTCTKTDGSDTLTSTATGALAVLYDVWPFFPAQG ASSLSLNDVWGLATEAAHDISVPLNIDASITLGRIAGSLPAVVKSSPWIAPADISLPG ANAPLKFKGVHYFDVNGVPTFDVGGDFFRAKKLDDLNAPATAATSKDGSKAVKWLLLG DAGGSTGIQYVYRVNTVGGAGHACTSEGDDSSEYATFYYMYG
SAPIO_CDS2691	MSSPKPVTIYGATGNQGRSVALSLLRNKAGAFRVRAITRNPDSD SAKALVAAGAEVVKADGTDRAQMLAAFEGSWGAFVNTNGDDPALDQPGGLTEVDIGKI ILDAAADAGVKHIVYSGMASATEVTGGKYSAPPFDRKNAIGNYASSKSAFETTTIVSP GNYMENFLIEDLAAIFRGFPYVPDEEGYLTLATPHWGGTEHVPYIAIEADYGDLVHGV LLDPVKYNGRFIQGFSQSITSDQVTKDFQEVTGKKARFVFMSSVDDIQTYGMKALETV KHVFGFSQASGGHYFGTPNDVERAAELKRLAAEAQGKQGDETKLLTVKQFWKDHFAS
SAPIO_CDS2692	MSRRPAKGEYIETDTGNKVARKAILVGTQNIMLGGKSVIQPEAM IRGDLVRSQPSSSSGQGSGSSTSVAMGRYCFLSRGSLLRPPGRLFKGIYSHMPLRMGD HVFVGEKTVVRAASIGSHVHIGHNVVIGEFAIIKDYVKVLDNAIVPPNMVIPSFTIVA GQPARVIGEVPEGAHESFELRDLYKTVGNHVPPPAP
SAPIO_CDS2693	MSQQLNPLRLFQIARTAGFQQSKPVLRMTFPTANRSVSTQIYQQ IKTGLRSAPRYTARTAPNPRFRPFAQRPRRPFSSTSGRFRSSKEGSKSDGEPQTLGAR LKQLTKEYGWVTVAVYLGLSALDFPFCFLLVRVAGPEKIGEIEHSVVSYLGQVIPGPV KSAYHTSREWTKSAYAKLRGQSTDEAAEDDFGVKSAQEAYQVQASLATQLALAYAIHK SLIFLRVPLTAAVTPKVVKILRGWGWKIGKKKSKS
SAPIO_CDS2697	MASESYIIHEPSPSEKDEVDSLPSISSSALDSDDDAQEEWDRSL EQLQMLLTMIIIPFAGKFLGRKFAYWSWGRYMEWAHDVEIRWTNKRAFNAAGAAEAAM TL
SAPIO_CDS2698	MSHTPHTPPLSAALESFNHSAKPEADAAAAANGDVPDDVGLDLS LMKKKKKKKTKEAGDEAGDEAAAEDGGLDLSMKKKKKKKVKEGDEDEFAAKLKALDIE GKEEAAEAPEAAVQEGDMDAGTGIWAHDDTRIISYNPLLKRFFALLAQKNPDHALSGT KSYKIPPPQCMREGNKKTIFANIAEICKRMKRTDEHVTAYLFAELGTSGSVDGSRRLV IKGRFQQKQIENVLRKYIIEYVTCKTCKSPDTELSKGENRLYFITCNSCGSRRSVTAI KTGFSAQVGKRKKLP
SAPIO_CDS2699	MTGFTLQNNQADLPSQKPTASYWHRSPSQTLLGHRTTAELPERA DVIIVGAGLTGAFAAREAVGRGLGRVLVLEAREVGWGATGRNGGHCQPFVYGQQPHIA AFELRNYAFLKDFVQKNEVPCDWVTTSGVHGLYTEDLVEVAARNIKKLKQLAPGLASV VQLVKAAPNSSSDGDPLESLGLSGAKGAVVQKNAASLWPYRLVAWIFEQLLAESTSGD SNSFNLQTGTPVLDIQRFKHSWIVHTPRGQVATPTVLVASNGYISRILPEFTGLVVPV RGQVASLYPGERTGDEALRHTYVFLAAKREGESAMDDYLVQARGGERELVYGGGRVRG VDKGWGTSRDDEIDPVVSNHLRRNLHRVLATPSSRDSSDENKATSQQPEAVDNAKPMP ASFEWTGIMGYSADGYPWVGQVPPTLGGGKGLWVCGGYTGHGMPLAALCAKAVVELIG GTKDDAVDLPAEFRVSEERAGRVRVRGPIGMESAEELIGYV
SAPIO_CDS2700	MAPRGFEDEELTMSLSSQVRRKHTGTGRSHDHDGTDPHAQPRRT NSPRGPLREKIKTEQRIGAYRPIRTLGEGSFGKVKLAVHIHTGQQVALKIIARKKLIS RDMAGRVEREIEYLQLLRHPHIIKLYTVIKTPTEIVMVLEYAGGELFDYIVQNGRMKE AEARRFFQQMICAVEYCHRHKIVHRDLKPENLLLDDNLNVKIADFGLSNIMTDGNFLK TSCGSPNYAAPEVIGGKLYAGPEVDVWSCGVILYVLLAGRLPFDDDHIPSLFAKIAKG SYTIPQWMAPGAVNLIKRMLVVNPVQRITIEQIREDPWFMTDLPQYLQPTVEEFLNTG VDPNKAIKKSDIAPGAPPKVQEMLHEEVTNKISKTMGYGKKDVEEALESEEPSTIKDA YMIIRENKLMEVNQTATSPLPSMSSARSTASSVPTPRPYTNKVGILPSSLPAYHKDFI ERAKAGVDDSPSPPDKLEEPSRARPENVKEETARRLNPHSRTSQIRLEEASGRPHSLT PVNPPKKQKPVRWQFGIRSRSAPWEALLCIHKALHKLGATYLPDEDYDKVHAQESEDD RADGESLQSADGSFADDYNGAPLRRYDHSASSTDPSRRYKLPADPWRIKVRWETGTLG KSAFPRTDDQESARDPGAFVAMHLDIQIYDMEPSGFLVDFKCSGYETHDRRLLDDKEV MSPFPFLDMAARLIMQLAESGD
SAPIO_CDS2702	MTSFKPSRILIFGATGQIGYFITEAILSANPAFPHVTIFTSENT ASTKADLLKNWTSRGASVITGDVTDKNQVLAAYKDIDTVVSAVGRNVLDHQTNLLQWA DEQENGSVKWFFPSEYGTDIEYGPKSATEKPHQLKLKVRKFAREQLKRVKPTYLVTGP YVDMYFTLPGFNERIGGFDVKNKRAILVEDGNGKVGFTTMRDVGKGVVAALKHPEASF DRAVKIQSFVVTPKEILAEFEKQTGGQPWKVEYTSLDDLRKLEDDAWKEGKGWATLAT LRRIWAEGGTLYDKTDNEAIDLRDEDLENLETTVQREIAKSA
SAPIO_CDS2703	MSTSLRQCIREVSVYLILLVFISTLGPLQFGYHLAELNAPQDVV TCQKKSIGVALLARAANWFASVKPSHNKQASSQSPWFPDCIPMSDATFATISSVFTIG GLIGALSAGPISSKKGRLPAMRLTALSYFIGSLLETVAGGVFVLGSGRFITGLGAGAS TVIVPLYISEVAPPKERGIFGAMTQVSINVGILATQTLGYFLSYGNAWRWILAIGLFL STAQAFGLVIVPESPAWLAAHGKSAKARKTLQRIRGKTFDISEEVEGWAKDDNDDDDV AAAEDARLLSQAGSDLPTTPTIGSRLAGGPVGHLGFIQVVRDPLYRPAIIACVGIMFA QQLCGINSIIVYSVSLLADLLPISSALLTIAISCVNLFMTIVCSPLPDRIGRKTCLLI SIIGQGSSSLALALSITFGAKILSALAVLFFVGFFAVGLGPVPFIMASELVGQEAVGA TQSWCLASNYTATFLVVQFFPIVNNWLNGWLGGAGWVYFVFAGFALGSAVFVSWRVPE TLGRKDADEVWGRTRRID
SAPIO_CDS2704	MLRKLALAILACSVVFALPRPQGEVGQVSLPEHDVPTRLDKWDE FRVGLCRFERHCHPRFKHKDVNWYDFQTAEGGVYDIMSQVCEKAPLKMSWTGENTFTK EFGNGPRYAKTSFKIEWIPNCVTKVEEMEPRLPLGVDHMDINCSHLLRDNYNQCDNGG AGGWTDVGCLRYTFQPK
SAPIO_CDS2705	MGNPVETSQPGAEAAAEVAVPAGANEGGNAVNEPKQPVEESKKG GQDEDIEFKLDVEKTLERLLEQEDTDKDMQITKADGGPMSVELPTATPDGPGTYTLTG HYNVSNLLQELTIAKDDGLKELTISLSRLNENPVHRLARRIREDFWDKLTRRIDASTV EIAAVDPKDWTDDPRPRIYVPHGEPKQYEFYKKVAADRPEIRLDVQMLPEKITPELLR DLNLRPGLLTVAMEEVTDPKSGEKTLRGVPFVVPGGRFNEFYGWDSYFESLGLLVNDR VDLAKGMVDNFCFCIKNYGKILNATRSYYLCRSQPPFLTDMTLRVYERIKDDEGSKEW LRLALLAAIKEYSSVWTAEPRYDAGTGLSRYLPEGLGVPPETEPGHFSTVLTPYAEKH GMTYDEFVEAYTWGKVKEPELDTYFEHDRAIRESGHDTSYRLENRCADLVTVDLNCLL YKYETDIVKAIRTYFDDSLVVPEEYSAGSNLQPGEILTSALWEERAEKRKQAIQKYCW DEEKGWFFDYDIAKKEQTGYESATTLWTLWAGVATKEQAERLVKKTAVPKLEAYGGLT STTEASRGELGPDRPQRQWDYPYGWAPQQMLAWEGLRRYGYENEACRLAYKWLYMMAT AARDYNGVVVEKYDVTRKSEPHRVDAEYGNQGLGFKGVAKEGFGWVNASFVVGLQYLD PNHELGLEKMLPWENSLDPLST
SAPIO_CDS2706	MAANNMLNPAADFDQEDRAFAAEVEQVKKWWSDSRWRYTKRPFT AEQIVSKRGNLKIEYPGNQQSKKLWRILEGRFQNRDASYTYGCLDPTMVTQMAKYLDT VYVSGWQSSSTASSTDEPGPDLADYPYTTVPNKVGHLFQAQLFHDRKQRQERLTTPKN KRAGVANIDYLRPIIADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGHM AGKVLVPISEHINRLVAIRAQADIMGTDLVLVCRTDAEAATLISTSIDPRDHAFIQGT TNASLQPLADVMVAAEQAGKVGAQLQAVEDNWMAQAKLKTFDEAVVEAINAGQFSDKK GTIAKYLAEAKGKGNGEARAIARRITGFDLYFNWDAARTREGYFRLKGGCECAINRAV AYAPYCDAIWMESKLPDYAQAVEFAKGVHAKWPEQKLAYNLSPSFNWKAAMPRDEQET YIRRLAKLGYCWQFITLAGLHTTALISDQFSKAYSQIGMRAYGELVQEPEMDTGVDVV KHQKWSGANYVDELQKMITGGVSSTAAMGAGVTEDQFK
SAPIO_CDS2707	MTSAITPAHLGFLAIYNPSLSSASQDEDALAHQIVYYASVTTLS RRRRRTGRKRKGRRERSRLAEDVSHEERNERLRQIGLAQGMVEFSRGFSGGDAVDVIE TEKSRVVVHEVEPGWWILSSIDLTRLPLPPKLPTSPSPAQREDVFEYSSKEVKPAALL LQDLLRAHSLFLLHHDVSLSRLFDRLGRRRFISSLTRYWDLFLSTWSVSMHGNPIRSI LGGINIAASGELGVGVGEEDRGSGEREVLEGLVGRIDGLVDLVVSKFGEADGGGDKSA DRDVGEGVTVPWLGTGQDPDAEDGAIFLGVGALTRRSLKDVVHWTEDLYTWGENSYGV IGAPSSTPSQKKQKESPPKSPEPREAHAPQPSTSPTAKDSRGGGVRKDGNEQEEADKK VTHDPAEALELPSAPESAQHPTNPETTAAEPEAEGHMDKFVSMLKLGYGTYWSLGGSE SKPGSPAPPDASQSDKPKSPAPADTQKRNNTKKAADESEGYFLIGLKGTVEEHPPSDS SSDDDDEMSRKPSTHTRTLHVTISSDDDRALTRASLRAIVYINRPFIFTFLFRPETPS LSSDALYRSLHYQLSPLRKPLLISTSYRPPRPENTGPASSSFQASSSMYDLVWDPRLN SIHSTIPNIPAGKPTLWTRTEAIATHTHLLGIFSARKAGDVEKTLKTNKGWWIVWSRV LPSRASVPGTSSPSQGGRDRSASVSDADDASEHAKNSADDGEEASAKEVFLLRRAGDH ARAFSSSLAPRRESVSRLAQGIGVDTRGYVETLLSLGR
SAPIO_CDS2710	MGFTTGFAGGLTLTLAVAYLSSVAHQNAREQQSSLLQQQARVLD AALDRPPVPLRPTRAELAAAARANFVDSAKDRWNSDLEGAVKWLQSADWGRAREKLED KIFGAPEVDESVEKAKAGVLERAAEAKKALADAVENGISKGKDVVDEIKNATGNAVKE VEAVANEAIQTESDVAKALRQRYEKNTAQTKTVAEVLKERYLPLDQRDNTLLRGI
SAPIO_CDS2711	MAGGLVKYRHLSRKSSARKALLRSQVTALVMHEHIQTTYAKAKE SQRLAEKLITLAKRNTVTSRKQVQGMLYTPHALLPRVFGELANRYKDRAGGYTRVTRT EPANIYDQAEYAILEFVDGPRDSRFMMTAMAVARDRARGVESTDITKKNVEKVTRLRG TEEFEKMVNRLKRRWGSALAENWEADPPHIAAKK
SAPIO_CDS2712	MHDSGSISGQENDLDEDHFDDLQSDEEPEPEVKPYMALLHSLSE SSMPKAKRRKLSHSDEVSGGGYHETDDSDEAEPKDVDLAIEDESESEEGTNEIPEAPV DDDEEDPSDPFDSHFSNADEAAISGKVEAVQRNQWRLKRAVTNRSRVVFMHPEDGNSK EFPSSTSIAGPSSVKLKQRLKLSSTSVMPNFDEVQMQLAPMVFNYQDVFYCQRTVDNS ESLRKLVSLHVLNHVFKTRDRVLKNNARLAKEGEDTELELRDQGFTRPKVLFLLPTRQ SCAKVVSSLVELCQPEQQENRKRFEESFIEKDPAFGKDKPADFKELFEGNDDDMFRLG LMFTRKTLKYFAPFYRSDIIFASPLGLRMAIGSEEEKGKKKMDFDFLSSIELIVMDQA DALLMQNWEHVEFIFEHLNLHPREAHDYDIGRVRNWYLENLSKHFRQTIVLSAYNTPE LSELMRSHCQNWAGKLRLQPEYSGEIQRLGVKVKQTFSRFDSPSVDTDPDARFSYFVA AIVPYLVKRAKDITGVLIFIPSYLDFVRVRNFFATSPSLANITFGTISEYADVPEASR ARSHFLNGRHRVLLYTERAHHFRRNQIKGVKSIIMYALPDNPLFYREIAGGYLAKSEQ DMSLEAGQGSVRVMFSKYDAMKLERVVGSQRVGKMLAERGDTFDFV
SAPIO_CDS2714	MPVIANGATNYMRPRPGFPHLGGATSSKATGHNGGNMVDLVGDL VTGIRNATLDPRAPSLVVNGSGSSLPDKLKTASGGDSSPDDSQKADSTSDLGTKPPSL DGKSITSGTTFALDEKESLRPDDSASVKAAAVEDDDAFSIRGSLLAGSRMGSDIAGRR IQLEEHTDRRTSQHNVGAPGAVVPQGPAGPPTPAGPSIPTDALNIIYRKAPDEKLLEA MQSPKDRIFLLRLEKDVIDFVRDSKEPYMDLPPCNSFCRMLTHKLADYYHMTHSYEPS IGAVRIFRTPFCRVPQSLASIAESTSAANTPPPVVIPRKIMRREDSGDKAVSSAGPSK PTSEAGSDAKEKAAQLKEKMTQEEREEAYNRARERIFGSSEKNGESPQDNDNGVSRAS SVSGKDKSIGGRRGRNERRRRDSGSFESRAQYAVYYPPPQQSPWAAQPQYIHVATPPF NGQVQPPFPNQAVPVYIPPGQSFPTMMPNTGYPPTYTNMPQYPPQPNAHRYPPPGNTA PGVYGPAAPPGQSPPTQSWQSPSFNPPPGSFSQRVNVPSAPPAPSQMGSASVGIPYLY GQLPANANPNDPKSQHPIPGSYNRHAFNPKTQSFVPNTGMAPMQGQIPPYAPGGSHHG SPQVGSPHLAYAGSPYQIPIAGPPPGPQQPYGDGYAMARQGSNNSMMAFHHPPQHVQH PPPLSQVPHPAMAQHMPPNHLAQPPNKPATAPVPLIAGQAFSHLPPHYGNPSTLPQKP T
SAPIO_CDS2715	MAPPPSTPAPKFIFKTPGQGGQTSRTGVASPRFTTPRFSIPSAT AASTPALRPSQLFVQSTGRARARDEIESSPPSSVRSSGGRAVDQDQETIVSDVSDVGE DNGSSIAAAPVDREVKRRRLFDTPSGPERYREILPSSPVDDDEGNSPPTIMKTDSEAQ DSPSSVRSSLDLPRENPSVTARQPLFQPPPRFKVPDTEENTFLEGLPSVFSPQRKGAK YVAGGLAAEVQRWLSHIKGSRSEVDGVLRFRVEEMNKDGHSSLSAGFGLYRGAGPELA PIESLFHLRIRPSLYSSARHDLEKGRTSHGSGLDSDDDEERRDLVGCHDSSPGCGSGS DFHRSSGSGSGSGYDCDCD
SAPIO_CDS2716	MAPHAEIDASSANGFEHAASSSSAAPKQLFIVNSPDVVYTDSEI KSKYTYRTTQVKKDANGQYVATPQETVYDFKVERKVPKIGVMLVGWGGNNGSTVTAGI IANRRGLTWQTREGTKKSNYYGSILMGSTMKLGTDAETNQDVNIPFHDILPMVHPNDI VVGGWDISSLNLAAAMDRAQVLEPTLKDQIRKEMATMTPLPSIYYPDFIAANQEDRAD NLIPGTKACNAHVEQIRKQIQDFKTANGLDKVIVQWTANTERYADIIEGVNDTADNLL KAIENGHEEVAPSTIFAVACILDGVPFINGSPQNTFVPGCVELAEKHKSYIGGDDFKS GQTKMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSHKQFRSKEISKSNVVDDMV EANSVLYQPHEHPDHTVVIKYMPAVGDNKRALDEYYADIFMGGHQTISIFNVCEDSLL AAPLIIDLVVITELMTRIQWKAASSDGAVTADYKGFHPVLSILSYMLKAPLTPPGTPV INALAKQRAALTNIFRACVGLQPESEMTLEHKLF
SAPIO_CDS2717	MATLPRESTFLPTIKCSTCGRQIEISLMGDHLCGQPEPQTSSPT TNGSWSRFGEPMPAKTERLPPRVDTNAANGGYMRPGQLTPVSTSSGSRSLSPRTPDGR SVGSRGNDFFEPTIASDFDSTSQDNRRPGGYGGFSERDDYDVDPLYPPSSPKRQQPTL SQRMNTIAPGPFDVAGQRGAPRNAFPSRDVQDSTTYGRAGSSIDRPGTAASNRSGMSS ASNNNSGAGAYSLRAPRKNGYGGFGPPQEQDFEPEPFGTNSRSGTFPRSNNPAEPPTR TPSAPGPRPDRFRSRGEDGQSSLLGPDTSRPPPPRKSLVRPRTSGRGGTPSVDLAAEF GIGNPYHAPSASISSAVSSYSQNSTASPPRTASRRLPSGGRKPSDTSNIDDLMSDLQA SMSEMQSPPRLERNPSIGSRGRSRDGRYSSRSRSASRPRQQGPASYDRPNFDLKAEVD RFNYNASSRDQSEPSYGGSLRTGGTRNLADGDRRGREMPGSYGSSMPRREPSRGPQPY RGMCKACGEEIRGKSISSADGRLTGKYHKACFVCTTCREPFTSTEFYVLNDKPYCELH YHQLNGSLCGSCGRGIEGQYLEDESLVKYHVGCFRCADCGMSLSNGYFEVDGKSYCEP DAWRRVQQAPSMANGYGLMPDPNLASPVSDYSPARTNPMSPMTPAFPRPPPGTFPPSP ASSRGPPTPMGLPQRPGDRGLSGPPGPPRRPIGLPRGQRLPPGMGPVPRPRMNKRMTR LGMM
SAPIO_CDS2719	MKRRASIDDDSGSEVGAPVAKKSKSGPSSSRISDIHKDDDGNPF WQLPKSRRVTVSEFKKATYVNIREYYEKDGKVLPGKKGISLSLDQYREFLKAIPSINA ELRRITGQSFNDPDDNSLPSTKANRSSKAPSKSNIETTSDEDDA
SAPIO_CDS2720	MAEPRKHDADHISLPRSGSEDTPNKSSQGLPSQAPGRNNIAAAA LAGLKDELDLKGNQFPTAVSILFVGYLLMQVPSNLFLNKIGKPAIYLPTAMVIWGVIS AATAGVQSYGGLLTVRFFLGFVEAAFFNMDGVRGWRAWRWLFLIEGVITVFIAIGMYF ILPNFPRTTTWLSEEEKAVAIWRLQEDVGEDDWVSSEDQSLWRGFKLALEDVKTWVLL LLVFCIVASGSITNFFPTVVKTLGYGDVPTLLLTSPPYVLCFIVTCLNSWHADRTGER YFHIVLPLMVAVAAFILAASTTTMVPRYISMMLMRIYNLTGLDIEHTPTTAGKKGSGA RVHQRSAFVHNCVACFVAACAATILRLILMRLNKKLERGEHVKGAINAVPGEASEHGF RFRL
SAPIO_CDS2721	MTKPSGPPSKASASKMSFLVPIVGPIIVASTGYAMWKVQWATLL KAFFTGPGRLQRIFFLLFALFNWKNMPFAWTARVFYAAYYHHFIRRAPTLGPRSLFAP VISHSRAALLEIDYNVHKSNSTYFADLDVSRTHLVSYICGPGLRSVYKNKATQLVIDP RTQKPAIGKLGIILGGVHCSFHKEISPYTTFEMWSRVLAWDRKWLYIVTHYVPSGLGR PSEWLDPRFGRWNRNAGKEPAKDWEKKIYATAVSKYVFKIGRLTIHPALILGESGLLP ERPGGWLNDNPASGNGEVVQNGDVADLRAYGDEWDWHQVEQRRLEGMKFAERFHSMDS LQMAFDGGQGPVVGRFGPG
SAPIO_CDS2722	MSTLDSTPPDRGIRIAIDRGGTFTDCVGERGGETVILKLLSEDP SNYPDAPLEGIRRIMSHFLGRDIPREERLDTSRIASIRMGTTVATNALLERKGEKMAL VVTKGFRDCLLIGNQSRPKIFDLAIKKPDVLYSAVVEVDERVTPEDYAEDPERTRTAA HTGVGSPEADMEALVEGLSGETIRILKRPREEDIRRQLQEVYEAGIRSIAVCLLHGYT FPNHEALVGRIAREIGFSHISLSHELMPMIKLVSRATSVCADAYLTPAIKRYIAGFQE GFVGALGVKGSPGEKGARCEFMQSDGGLVDVDRFTGLRAILSGPAGGVVGYASTSYDE ETRIPVIGFDMSPQLDINTVAAGGGSMLFWKNGLFVVGPESAGAHPGPACYRKDGPAT VTDANLYLGRLLPEFFPKIFGKHENEGLDWEASAKALRELTDQVNRDAGKNMTIDEVA YGFLTVANEAMTRPIRSITEAKGHDSSKHRLATFGGAGGQHAVAIAEALGIRQILVHR YSSVLSAYGMALADVVDERQEPESSVWQDDGLVVERLKTKMERLRVACVGSLEEQGFR SDEIVFEEYLNMRYRGTESALMIVKPDPVEAAQKYGGADWAFGKAFVNQHRYEFGFTL DDRDIIVDDVRIRAIGRSSRPREETVDEQLAKVTRRPVDEKKVHGRQSVYFEGGRLET PVYKLQDLAIGDEVPGPTVLADGTQTIVVPPEARALVIETHVVIDICQNTAKDEKNAD AEVADPILLSIFGHRFMAIAEQMGRALQKTSVSTNVKERLDFSCAIFDADGGLVANAP HLPVHLGSMSTCVRRQAAIWEGKLKDGDVIITNHPSYGGTHLPDVTLIMPAFNRQGNK ILFYAASRAHHADIGGMSAGSMPPHSRELYQEGAAVKSEKLVSEGKFNEEMIVELFYR EPAKHPGCSGTRCLADNINDLRAQVSANRKGISLIESLIDEYGEDMVQFYMRSIQKNA ETCVRKLLREMHDRFAGKDLSAVDYMDDGSPIRLRITIDADLGEAVFDFDGTGPEVYG NINAPEAVTYSAIIYCLRCLISEDIPLNQGCLAPIKVNIPPGSLLSPSDGAAVVGGNV LTSQRVTDVIFKAFQACAASQGDCNNLTFGFGGNATGEKEVRGFGYYETIAGGSGAGP DWEGTSGVHTHMTNTRITDSEVFEKRYPVILREFSLRKGSGGIGQHVGGDGVVRDIEF RIPVQVSILSERRVFRPYGLAGGADAQPGLNLWVRRLSKPTADGVEYEEKVCNLGSKN SAPMKPGERIIICTPGGGGWGDVANVKATRETVDPTAGWRKAGHANREDLALQA
SAPIO_CDS2723	MATAAIISPTAQYPPPLQTLSSRYPHSTNGSDMPGMISPAEPRR HSDPAEVPPHRQSLPSISEVISSTTVNHPGGFSQTPAPVPPPLSTFPPSFAASSRPYA EPQPALDKPSPRPPHHPPSSYSRPEPLPPFAAESPRLASFNTRPGPAASGSFAPHPSP PIKQDQGRADSDKIVPEQHPNGSYSHPNPLTPYSTVQLSGGQLSHSSTYPVSPRHIVP PPPLPSPYDPHRATRLEEADHPHARPRYDMTLNRHIEAWTYQECLSRIASSSRTIFNF AEGYGKIAQEQHTSRPIPERLPTEREVSEMLSNLDYIRRSLENVRDLVQQSNRSEPPR ESKGKAPMEDDDAQIAPPLREDVTAAIGSILLNGEEARMVPERSAMRAGFIMPN
SAPIO_CDS2724	MGLLALGTALEWPDAKKKADQVRSWGIKQLLEIWEKAKGKERDA MLWGDEVEYLVVDYSPSKPKVLLSLRQAEILDALQETEDASGNEHTAPALQDEESNDA KPRPVFHPEFGRFMLESTPGRPWGIGFRELLQVEADMRLRRSIAKQHMHDDEYPITLT TFPRLGTPGQFTLPYYPPSGPKLRSQFVPDEIANPHIRFPTLAANIRSRRGRKVQVNV PIFKDKNVPEHWIDPTVNYNLHNWPEDDDVRNGAAPENYVHMDAMAFGMGSCCLQITF QAKNITEGRQMYDQLSPLGPILLALTAATPIYKGFLVDTDVRWNQISKSVDCRTPEEL GEKPLEHARWRIPKSRYASNSTYISTDPRLRPEYLDPDLVVDEDIKATLLAGGMDNRL ATHFAHLFIRDPIVIFEEDLQELDLTKTDHFENLQSTNWQHMRFKPPPADNSIGWRVE FRPMEIQITDFENAAFSVFMVLVTRAILSFDLNFYIPIKRVDENMERAHRRDAVLSEK FFFRKNPFPPRPSRAPSRTRGGDDGLESATSSLPSTRPPTPVGPVEEEYEEMTINEII NGTAGGYPGLIPIVESYLDSVNVDIKTRCELETYIDLVRRRASGELCTAARWIRDFVD NHRGYAHDSVVSDEVQKDLIGAVIAIGAKEVTGEGLSVSGVPGLSKLIGNFRSR
SAPIO_CDS2727	MPGPNLPEYRHARGNSLSIHNANGVSTPAAPRFDGPRSPPNTSH VPCKFFRQGACQAGNACPFSHDLSSASENICKYFAKGNCKFGPKCANIHVLPDGRRIN YGKHGVTIGPALPTLSPVRPTTATQTNNYHPSTSALTNGLYRAEGIPPYTPTYTSFQT ATDDGHHHLGRQPSLENGLPTIDTPGYSGSVYGSPRDDDASRYGLALSPANAKGLSVL DAPLPASFDSNGISNAARYPAGPWPSSVPSKFGLESPSPSLNAAKDSRTSEALKLLHT SAFGSSEHLSPNIPPSSSPSAGFLDEPFAKRTLHSSRYTKTRVLSSSLPKPATVDRDW EAEFAFLEEDYVPQNLQDLLTPAEKARRGSLRAVDAERPEGITKYGSPIGAASPSRWG PLFQRQREEELESRAIKASAFGHVGSPLRNSSLADEIDSRQNGSHLLSGSRSGGDSNS MSALTQQLQRTRLNEETGSPLLHPNSAVGRSVGVVAPRERDRTIERHVSTGSIGSTLA RITTPIDEEDATFVFRMEEEEDQQPSRSRRNHPSGLGWSLAGSNKGVNVDPKDHREAS DPVGR
SAPIO_CDS2728	MFRTALLRTAPALRTVAARPAVSSTRFLSGVARRPVVIAPTRTA AFAVRYYSAGGSLNKEEVEGRIISLLQGFDKVNDPNNIKPNAHFSNDLGLDSLDTVEV VMAIEEEFSIEIPDKEADAIHSIDQAVEYILKQPDAN
SAPIO_CDS2729	MLSTTRQLQRAAPSVAVVRRTLPVAFGTARRSYATPSGPPPSGF RITKKQTWESHPESTMDYVGNYFLLTEMFRGMYVALEQFFRPPYTIFYPFEKGPISPR FRGEHALRRYPSGEERCIACKLCEAVCPAQAITIEAEERADGSRRTTRYDIDMTKCIY CGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLSNGDKWESELAAAIRADAPYR 
SAPIO_CDS2731	MAQQEEKQQPVVLKGPSALRSIIAGSTAGAIEIAITYPAEFAKT RTQLNRRLADGKKLPWPPFGAQWYAGCTTLIIGNSLKAGIRFVAFDQFKRLLVDSEGK LSGPRTVIAGFGAGVTESLLAVTPTESIKTTLIDDRKSAKPRMRGFLDAVPIIARERG IRGFFQGFVPTTARQAANSATRFGSYNFFKQIAESYIAPGEKLGAAGTFAMGGLAGLV TVYVTQPLDTIKTRMQSIEARSQYGNSFRCAAIIFKQEGVLTFWSGALPRLARLVLSG GIVFTIYEKAIDFMNKVDPDQKYI
SAPIO_CDS2732	MSRPASLAFQRYQKAISLWPRDKVRPGVQIQDAIQKRVDRTFDA KAAAPDEAIELKQASALLTLLNDGYQKKVE
SAPIO_CDS2733	MSGGGYERVNTRDEEDHRTGATGPREMNPIPNSPPPSFHSRASS FERRRQRVNQDLADAFDADGDDSEDESDDRQRLVRNNSLPAEPTTPSQSNEETPARTG RNGYQSVPQVGSASLGGRVYGGGSQADGVFSNMSAKPERSDGEKEEQPPSYEQAAADQ APPYWETTILAPGLGGPDDVFIDGLPVGSFFSFLWNALISWSFQIVGFLLTYLLHSSH AAKNGSRAGLGITLIQFGFGLKTTVGSSPPSGQMPGPDGYASPPDPNSHDFDPSDVTN SDDDAFDISGSEWLSYILMVVGWFILIRAISDYLNARRHEQLVLQSPDRGLPVPIIAE GEPPERTV
SAPIO_CDS2734	MAWRSTGTSNSELVENMARHGLLKDARVKEAFLNVDRAHYAPEM AYEDAPQPIGYGATISAPHMHATAMENLVDYMVPTANRPAPRVLDIGSGSGYLTHLMA ELVGDKGLVVGVEHIKQLKELGEKNMKKSKEGREFVDSGKVKFTVGDGRKGWVEEPRE GEEAGGEGWDAIHVGASAKEVHEELLAQLKSPGRLFIPVDDDIGGWDQHVWNIDKDEN GKITRTKLFGVRYVPLTDAPKY
SAPIO_CDS2736	MAPTRALVLLGTLALASALPHRNGIGRVVKRQVEELRDSYDFVV VGGGTAGLTIADRLSEAFPERNTLVIEYGVVEDAEAVFEPPGGGPGNKRLNIQSLPIP ALNNRRASLTVGMTVGGSSTINGQFFDRGHKLDYDDWAKIGSPEFDESEESWDWDNIL PFFKKSVTFFEPSEENAELYGYTWDVDAAYGENVSHIQAVYAQYQFPVQKIAWDAFIE MGLPSPKECAGGDKHGVCWVPASQYYLDGQRSHAGRGHYLEVIEDRSNYDLLAGHKVT RLIVGEADAPPAIEFKPVDSDSEDDVKTVTPKLEVVVSAGAIHSPQILQRSGIGEAEF LEAAGIEVVVDLPGVGHNFQDHCGPGSSFALAESIQPSQGSINSNETFAKEALDLYAE RPAKGPYTLAMGNSAIYLSLPVITEDSADIVAAIRAQIEDGSALDYLSEGTPESVKDG YLAQLAVLADALENPEHPVLEAPWMSSTPGGGFLLKPLSRGTVQLNPEDHDAEPIVDY RTGANPIDMEVMSRFLPFFRRYYETETMQALGAREVSPGANVTAVEDITEYIRNTITP SFMHPCCTASMMPKEKGGVVGPDLKVHGLERVRVADISIIPIIPGTHTSATAYAIGEK AADIIIRSWPSEEAEEPVKEAPKCKKRRSQKH
SAPIO_CDS2738	MPQDMPPAGGYAPVQYKRNLPFRGFRPRTYLLGIGVVMAYGWYK LVSGIRETNEYAREKMWARIHLLPVLQAEEDRDQVRRYLADQAREKELLGENTKVYHS DRLIASLLYVPHVHKYDIPDQEERVMP
SAPIO_CDS2739	MDGISFDINEALKYYMSDPFSVPTPEADSALLDCENDPESLTNA LINPVLNHIVDAVAECPEAIARPAYLDSLQFLLKFASTFSISSNYQTLGSLAVRQHSK LSGSSRYPSFLSTHALSKVFDLVMSGLSAEADAIHQEHESPDEQESGAHHKQLLEIYG FLLQWTIAAVETKAAEKSSTTAPVARGRGKPKGKGAAKDKESSWDSASQLQAAMEIMC KVLKLKLSKIFLTTSERDTFIQQLLTRPVYMILESEQRVKDTPIRMHAFKVLCMAIKH HGHGYAAQISIVQNLTYFEHLSEPMAEFLHILAETYDYSQLAEEVLRELSNKEFNSND TRGPKSVSSFIVKLSELSPRIVIKQVTMLAKQLDSESYTLRCALIEVCGNMIAHLSRQ DERGENHKTQLNAFFDVLEERFLDINPYCRCRTIQVYVKLCELEQKFPKRRLKAAELA TRSLEDKSSHVRRNAIKLIGTLIKTHPFTHMHGAQLARKEWQARLDQVDAEINALKPP ADAPAMGDEANTTTDQGLIDEATQIESPQKQKPMSDEEKIAAIKKAQEEAATSEAISK LTLQKRYYTDALKFIDVVHEATTTVCQLLGSRNKSEVIEAIDYFEIGDAYNIEQNKVG IRRMLRLIWTKGNSDEGKGVQTHLIDCYKRLFFEAPDNFSPNDAAIYIARNMISLTFG ATPAELTSLEQLLSTMMKGGMIPDIVVAKLWQVYGVQKREMSKKQRRGAIIVLGMLAT ASPEIVVGEMETMLRTGLGAHGRADLQLAKYTCIALSRINPSGRASKESAVKFSRLPN DHAVLLRLAAITEVPTESKEWYGVAEQAINAIYAIAKHPDSLCSAIIRRKTRQVFGSG GAAAAGSRPTSRDETQAVTQAVTTTVDADGTQTVVLAPQPRTRDAAVGLSQLFFIVGH VAIKQIVHLELCEQDFKRRKQEKEREAGSKAGDKGAGKDKDDEGDELDLIGGTTEDDF TEAMTHIRERELLYGPNSLLAVFGPLVSEICANNTTYSDKGLQAAATLCLAKLMCVSS EYCETNLPLLITIMERSTDATVRSNAVIALGDMAVCFNHLIDENTDFLYRRLADSEIS VKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDEDRRIADLARMFFTELSTKDNAVYN HFVDMFSLLSAEKALEEESFRRIVRFLLGFVEKDKHAKQLAEKLAARLNRCETERQWN DVAFALGLLQHKNEEITKLVSEGFKVVQVAA
SAPIO_CDS2740	MASMIRGPVPGQAFAIHEDAPTDEAEMIDESEQRTEEVRPESEG AVSGEEGEEEEPSDETESSDDEVSAPDHVAQDMEKLQRSIPGFKEKYRLIKRIGEGTF STVYKAEDLQYDKYINHWDFDEKENVKWTPPPLKRNRNRSHPSQSEPRRRPKYVAIKK IYVTSSPARIFNELELLHVLRNCPSVCPLITAFRSTDQVVAILPYFRHADFRDYFRQM TVVDTAIYLRSLFTALEAVHEAKILHRDIKPTNFLYDPSTKRGVLVDFGLAERQGTES RPCLCHESPTVRKRRAESSVAGQAGPQQGYPKTDTRPSRRANRAGTRGFRAPEVLFKC TEQTTKIDIWSVGVILLTILSRRFPFFNSADDVEALIEITTIFGSKKMKAAGLLHGCV METTIPTIGLHGFNLEKIILWSTCRTDGGKDGQGQPLDDDEKLAVEFLNRCLELDPSK RISASEALNHEFLLLPERDLHASVEIGDDVDDEMDIVHV
SAPIO_CDS2741	MPTRFSKTRKHRGHVSAGKGRIGKHRKHPGGRGLAGGQHQNTTM VTLLWTLVPAETREAYLAGQKKDTAPVLDLLPLGYSKVLGKGRLPEIPIVVRARYVSK LAEKKITEAGGVVELVA
SAPIO_CDS2742	MAFDNGTSGLAFYRSATKTSAHDLPCKVSCKFCRTPIMDEGRNM ALIFPTLIKFRSEEERQLFKPRLMIKVEPYEEMRIPS
SAPIO_CDS2743	MSLPLRSETANEGGSQDEPVNFHHPYTPYDVQLQFMKAVYKVLQ AGNGQIGILESPTGTGKSLSLICSSLTWLRNFKASSHEAALKDLGAKFADEPDWVVEQ LLKRKSEELTRRWEEREERLEKIRQKEKAMEARRSAKRRRVGEEESLSTRKGPIDEDA EWLLDDWEGDDSKGSDPFSGLSAETREILARMGGLGGVKKQSDTDDKLEDEVKIFYAS RTHSQLSQFISELRRPSFPSSYPKMQSSPKTADGSKDKEHVKHIPLSSRQKLCINPSV ARLGSLSAINDRCAELQQSKTKEKCKFLPNEETLSQTHQFRDTALATLPDIEDLYTLG KSLAVCPYYASRTAIPASEIITLPYPLLLQKSARDALGIKLEGNVVIIDEAHNIMDAV SNVNSAAIKLSELKRARQMLSVYVRKFGKKLKGENRVMVGRVGRVVEGLSDWLDGAMK QKSEHGIVDANALLRQKAIDQINMYKLVQYIQESKLAYKIESYVSYVEDEQQPDSTHQ TAAKSSTPVLHTLLSFLLALTNLSFEGRIFYQKLDSTPRDIELSYLLLSPTHAFSSIA SSARAVILAGGTMSPFDDYTAHLFPYLPAEKITTLSCGHVIPRENLCVWTLGRSRAGD ASPTFEFSFQRRGDKAMINDLGLALLNICSVVPDGVVAFFPSYGYLDEVVQAWQAKPP GAPNSVWDRLGTRKEIFRESKGCSSDEILEAYSTAILSPAANAKTKGALLLSVVGGKM SEGINFSDRLGRCVLIIGLPYPNINSPEWKARIEYIESTTVSRLLPSSSSSTTDAPEG TTTSIPGRKMTKDQAMAQAKNAARSFYENACMRAVNQSIGRAIRHRGDYAAIILVDRR YAAKRIRGKLPGWIAEAMEGGDEGETLGKVMGGLSVFFRGKKSTEN
SAPIO_CDS2744	MAPFDASSDLEEESKAKHVHVPKKLHKRRPKESITSDDPNMPER LRCLDDAGEEDLTVASQGPTMYMDMNQSIFGLIAAAGSRLDFTDRFHPQSSDDEGDNE GKNDLPPPGSRTTIFRRHAKSPKSSEKSRLKITDHKLLRSLPALPRLSKSKLKKDHSK FPTSPTDTTPSDASDSVSRGSDLESDKRYAPVMSRMLEARAEMAARPSFDLERLSGEG SRDSDSTDLGISPLARKLKEIFEFDEPEEVIEEYPCWLLQCVLLQGFMYITAKHVCFY AYLPKKANEVSKSGYLSKSGKRNPKYNRYWFRLKGDVLSYYADTTNVYFPNGQIDLRY AISANITDKEKEGTHFSVTTHHRTYHLKADSAPSAKEWVKAIQRVIFRSHNDGDSVKI SLPIENIIDIEEMHMLEFADTCKIRVLDNDETFVLDEYYFSFFNHGKDAINVLKILVD DAASRQKDMPKISVLAHRPNSPLDPAEVVQATLPRDIVKATLSPHSPPVSPRASADMS RTSFDGFKPFGRSSIDCARNSQDIPRRHFSGTRRSLSRSRDGVDARGGSPWKHGASSE SYVHSVDEPSVSALVLSSSDDPSASQILKGSDVFQSPTIRRSTSSTRLKDRHDKLPSG LQPQSPPLKSIAPKHAASTGNLPNPIPHDPNGQSTPPTPTLQQIAKMGSYPLQRAGAF ANYLNSTSRKMSSLLASESMGYVEKVSGMWKGGRKHYEQPHALRTDDDEDDDDDSDGN KRATANERFRAHFALPETEKLQSTYFGHLFRVLPLYGKIYISDQNLCFRSLLIGTRTK LILPLKDIENVNKEKGFTFGYSGLVLVIRGHEELFFEFNRSEIRDDCTVTLLRALEKT RFMKDSAQLPIAEDPSAEAARAERDALQEERHEVENSNAINALEAATDGPPILFGDMK TSLLDFKPANPLNITCLTIGSRGDVQPYIALCKGLLAEGHKPRIATHAEFQGWIESHG IEFAKVEGDPGELMRLCIENGTFTWSFLREANSMFRGWLDELLASAWVACQGSDLLIE SPSAMAGIHIAEALGIPYFRAFTMPWTRTRAYPHAFVMPESKMGGAYNVTSYVLFDNV FWKTTAYQINRWRRNMLKLPNTSLEKMQPNKVPFMYNFSPSVVAPPIDFSDWIRVTGY WFLDEGQDWTPPQDLANFIAKAREDGKKIVYVGFGSIIVKNPAKMTQEVIDAVLAADV RCILSKGWSDRIVKGGPPPIADEPELPPEIFVIKSAPHDWLFRQIDAAAHHGGSGTTG ASLRAGIPTIIRPFFGDQFFFGSRVEDLGVGIWLRKWGANSFGRALWEATHNERMIVR ARSLGQRIRKENGVEMAIQCIYRDLEYAKSLIKRKPKEGEQPKDLDDDDEGEEESWTF VGRDEPDPEVLTRRLSDLWPGPEN
SAPIO_CDS2745	MPATSAKPLSAFERKRRENRQANIELISELAEVATNILPTPPPP AAHAKHPRRKRSPSAPVKREQPLRRTRASARLAGIEADSEVQKRKFEEEAAAEAQKQA AKRMRVSGDLVLGNIGVEGRKWDNDVQGLKDIFRGAQPGVRTFTEDQIKETTDEVLKK LRVRMGGLTLYEKWVPNDIKLVPQRVYSMGFHPTQDKPIIFAGDKEGAMGVFDASQDA PEDEDQEPQVSAFHTHSRTISSFLFSPADANSVYSASYDSSIRRLDIAKGVSVQVYAP ADVSEDAPISALDMARSDPNVIYFSTLEGVVGRTDIREPAKKTDQWVLSEHKIGGFSL HPLHPHLLATASLDRTVKIWDLRKFSGSGHKKTPTLVGEHASRLSVSHAAWSAGGHLA TSSYDDTIKIYSFADAGKWKPGHTLTEKEMEPAHVVRHNNQTGRWVTILKPQWQQQPK DGVPKFVIGNMNRFVDVFAADGSQLAQLGGDGITAVPAVAQFHPTMDWVAGGTASGKL CLWM
SAPIO_CDS2747	MANGEWVPGSYYFYAPNKGAPIFFVIAFLSTGLFHLYQNVKYKS LIRTSFFPFCSLLFVAGFALRIYGSHHYDNLDVFIASICLTYAAPPLLELQNYNILGR ILYYVPYHSPIHPGRVLSTFAIISAIVETLNGWGASLTANQSLDDDSLAAGHGLIKTA LLLQLVVAAAFLALAFTFHHRCYIHKIRNPRVQQPLYTLYASTALIVVRTIYRVVEYF DLASLRFSDPDFDPKSMTPLVRYEWFFYVFEAALMLINLVMMNVRHPRKWLPEDSNTY LAVDGSTEKLGPGWKDPRPFWQTVVDPFDVTGMVRGNKVGLPPFWLEDGIGGPQKVES V
SAPIO_CDS2748	MESSTAQFASPRRVFTAPLPSTRPSSSSSSTSSTGPSGSVETLY NHPNAKIIAFTATARTVPRSPTKNGGSPTDEDQGTLSWSSQLERTIAVGTFRIYRAPG SVAFLNCGSALQPILPKSQCWCIEEDSSKFVLQIRKPQYWRIELPVTDIEDRERAFLL RDIFDQILLFEKTRCPFKRSFTVQLPEPPQTPIKKKPWTPARRSFVPIRAPESPETPP TPIVVRSLTRPAFYEPKPTTSSTPPQMQTPTKSSRLKDVDSATESKPPTIPEIADVRP ETTGEQTEPSANGREVRYAGGPDQDLDQPGEASQSDAPGTTPETEREAEPAPGPLKET TQVETPVKVKQVVSVIEERIAEEPVPPRFEINNVTTPEPKPEEPRWRQPSTPTPHAKT NFVHTTSAADLRTTTIKMGALTPTTPVTAIPRFESRAIEPVSSYGSYGFSTSSCTLGS NAEEAEDVEEEVATYADITIREEEAESTTEVEPTAAHEVAFDEVHEGSGQGSNILMKR KLRRYGGFSSSRSTMTPPHLTLITSSSSRSVQKTTETVADTQQPEPCQDPSETSGTVS PTESADSFHSVRAWQSPISPPVISLPDTEHSEPQMFPYPHDNIVMPNQVTHQRDVSDS TVTPDTRYTWDAMSTTSSSATSQLSAITAPDTYLDTIEECGKSNVSEDGACFTTARKK DRSALRHRSTASISTNRVLSPLPAAAALFSPPQSIGLAMVGPTRQQQQHQQKSSRSGT RIDVLRRMPLAIVAKTCDVLLGPPAYLVTLMLKVASKIAAGEWRGMVFGFGERGEKIP VQWDYSDGDGDLDWDSDEDADFAPPPSAGEEEEQTREVGSSEDDDDDNRKDGRNTSDE DDTGRTWGVD
SAPIO_CDS2749	MATRRGRPQAEGPSQGQDRQHELAHEPLDFETLKSQFDISNFDL NAIIRGIQLTFVGANRALQNPAIFTNKHYKQAAYAVVAGLVIRLVIAIPIFLVRVLLW TLSFFISMENATWDDTILNGLDFIAEYVLQIPLFLMVLMRYVSPTLDNLFMDSLRWVD TTYVQKHKTERPTTLRPLYYPNLKLYRLTDSATHTTALTDRITTFLARFAKRAAISLT VYALSFVPLIGRFVLPAASFYTFRKAVGLGPASAIFAAGLFLPRAWLVVFLQTYYSAR SLMRELLEPYFARIRFTPAQKRAWFHARAGLLFGFALAFNVLIRVPLVGVLVYGIAEA STAYLITKITDPPPPPQRQAGFAESQTVWRNKQKFLSLSLSNLDALQTAPPSPPISTA ATATGVDLGAEETTEHPPLSELPSYEEAMAALRVEGS
SAPIO_CDS2750	MADSPPSPNQQAYHAYASNGLQVLQAATNAHQAIFHDGQSQELE QHHDLQQAAQQAAAQQHLQQHEHHEHQQQQDDEQHQSQQPEDAKPIVTTMPQTPNQQH LTLPPGISSSPARIISDPAALAAVAAAAGAQDAFAPDALTQEALAQEALAARAAAAAA AAVPIPRVSRDPSVNPKLTRLSRACDNCSQRKVKCDAVRPCKPCVDLNLECTNNRVVK RRGPPNKAVEAIRLKKRLSEVAAQEMGLTGVGVGVGVGGLQQLGYSGSTPHSAAQALV SIAGVNETGIHLDGEAIAPRPILEALVDDFFTYIHPLCPFPHQPTFQAAFVNRDDRTD PEFLALLASMIGALVASFPRTARAHLKNQQSMHIFPRATVMIDKCRDIALQARGVKWP SKQPKTLNDAATSYFLALSASYTLQVNVFRLHMTEALGLINELGFERPKHPNEGPTYG NDISAPAPDKLPFNHIKDQVGKRIFWCIFLGVRSLFQLGGSAANLFILPPTPAFPYPA RPAAADDKDIQANEVLQQEPGTTTLMTGFSLACDIYMTMNPIVSVEMSYGFDSLKWPD QRGMLKDSLLAAKATLDNLPPELQLISPDPTAAGITLFDDQGLEYVPPGNADAPPLPH DVRQVIKTQPFRRRSLQLEIQKANIFLSQLATRSYFVELYFSRRNVNYDPGIQLLATN GEGTEEENLEIREEETVRVIMTQERDQIVEDLLVVLGAISQRNLEPNGNSLITKIRQV ASTLLQSAPCREPLSDQHKEALSSLLAVLTRLEKTSSSGPGNDSMTDKDEEEELSTWA SLRDYQMRFSAPDGFPGHL
SAPIO_CDS2751	MSANPDDGNATEVRETIEAKPPTTATTTSEDVEMKDREEDDGEA DAEGEEDDDVDAEGEEDDTNRDSTQPGKGSRELLQTIQDLSTFLCTYKDEGEEDELAM GFQRIPNRRTLPHYFDIISNPIAFSTIRQKTIRKQYTAFSEFVRDVAQICHNAQVFNR PSAGIFGAAVRLREVFQEELHKLVEKGVITQAEAELPDLGEIPSADESPPPEEEEEEE EEDEDEEDDDDDDDEGGRRRGGRRRQSRRQGSAGEGKKRRGRPPRVLTPMEARIQSVI KGLRKPRDEDGELLILPFEKLPDRQALPDYYEVIAHPMALDNIKKKAKRKKYRDVDHL LNDINLMFENAKLYNEDGSPLFEAAVELQKFARELVVQEKEKPDDSFRDDEGKRPLTE IQFRGETWRVGDWVHIRNPNDPRKPIVAQVYRTWQDPSGQSWINACWYYRPEQTVHRV EKHFYEHEVVKTGQYRDHRAEEIVDRCFVMFVTRFPKGRPQGFPPGKAIYVCESRYNE ERYTFNKIKTWASCLPDEVREKDYVMDLYPVPLKMRKVPSPIKHLLRHDARPTDDLPK PTWGNPNAPPIVGAVHCRPREANESPPPEPSPPPTPAPAIAAVRSNSIPRPSPHQIGR GIPPVVGHNHHPPPPGYHHPMGAAPIPAQSPIHHYTPGHFQPSTPLPATPSPVLRHNQ VASPVSFQYQQQQPPPVAIRPLHPGQHPPPPPPQGYHPGYHQPYAGTPIQHHPPPMQT PVVPAYEAHRAPMPAQPRAPMVPATVPAPAAQVPAPAGTTTRPREVFTLADEQNAAVP EDIRDLYRKDEQGRVLFWTAPPVIRENGALTAKSAALGHSVRYLSDLDEWKKEREAKR KARDEKRAEEAKKRKAEEEAAAAEAKEKALDQAADVLAGYFKAHEETTKKLREEQGLD KWDEVMREVHAK
SAPIO_CDS2752	MIQLLLPPAHTPPRPRIHKSPTTPPPATLSKCAPQPHQARSNIS TSRIPPYLRNLAFSPFPPSFASALHRPIFHSPGISTMSSAFRPVNSPLPNADANTNMT SPTTPRSAGASLTDQARHVDDATTPTRASFNPSLSTQKPLPTSPFPQAVQVPNSALSS NSLNDRDGYSQVKNEDIEMEGSSAGPTDPTMGGTEPSIQEEPGSDEDSVNADGSRSNK KKKSQRFYCTDYPPCNLSFTRSEHLARHIRLDNLRQHAQTVHINEDIPIDSLAATGSR YQRQIRTDRVRPNGRARATTTGTVGGPVRGHSKSLSTSSIASIGSVGSVFGARGEDLR RRPPPLVMADHRVMADHRPRLSMESYGSTGDSSYTSYRHPSPGDFSTPTSATFSTNQS SPRWSTMASPTSSHSRSQSMYADTRIPGGRRLSVPSGVSPFHAQPGAVPGRPIMVPAG MTHPNQGPYSPVGHNLVTPPSSGFSSRRDSFSTAVSTTDEAWRRRTWHPDSRNFAAPT STLNSVANSDNIHLNPPAPLADTPPNRNSNIRLPGIESFDTVAHPVTPPRRPGSPMMV DADMSSPQRPIDDERRNQTQWDMALHRGLHRLDISKNNTPPRDSAGSWANETERAVQA QAEQVRANPPTVRFQVEPAPAAPPPPPQTAPRGYHQHTLSAPSITTPRESKRHGWYHG PMNIHSEAQMHPEHHVDPRQQRVDRMVHPNIAAFSGFPAREPAPPPPNPQQSGPASPD SLRRLEALVAVATSEGKTAAAY
SAPIO_CDS2755	MAPVAFQIMSDLHLETHPTYDYEIKLSAPYLALLGDIGHVGDEG LYKFFEKLLKRYWVVFFLLGNHEPTYQTWQIAKDRIRAFERRLQHLHSNSTIGKFVFL DQTRYDVTDTLTVLGCTLFTNVPPEQATDIRDRMVDFKGIREWTVNDHNAAHQSDVEW LNSQVASISAAEPHREIVVFTHHCPTKDERALDPRHVDSPVSSGFVCDMRGEPCWQNK SVVCWGFGHTHYTCDFIDEHGTRVVANQKAMPVYCITGTNRGLGLEFVRQLAASADNT ILAATRSLESDLTDLKAITGPAKIHILQCDTSDVESIRSFAFKAKSILDASGLKIDVL LNNAGINKKSHQTSLDFDPESLLEQIQVNVIGPAKVVEFLNAGSLLSPNVRIVNFTSG LGSMTESLGAPGNTARKCCTYSISKAGLNMLAVHQSGDLREKAGLHGAVVILMDPGWV KTHPTMSAPKFEIGRLISHFTNRPLEDQASGWAELWDTDQSDLWDRGRPSAPLIELVE SHPEVLPKPTAGRRLKALVPGCGKGYDVVMLALHAFDTYGLEVSSAAIKTAEAYAAHE LGEPRPINYGNKDDPAVSELGLVKFIEGDFFSRDWEKDINFQDAGGFDLIYDYTFLCA LPPEMRQGWARRMSELLAPGGILVCLEFPLHKDMKYPGPPWPLQGVYWDLLAEGGTGV VRELVDESSGGNGAFERILRYKPKESYPQGQGKDMVGVWSLKQGEVKSSNL
SAPIO_CDS2756	MRAKDVDPDNPSASDPKPPPSSIKHPPRPQSISENPFAGNSATA RQNNDVEAYAGDLIFEKDSLCNDGQKRSLEIAAWDALTPANFGAKRPVSAREIATWRA YIGPDFSTQQDRIVVSCKDTKNWCSTRIDGKSVGGYAWTKETWFGSYQHITLCPVYFG LDSLEEKFEMIEKGLASGETKYAEQAEWQKNKGQFFLHEMMHLKAVGDPDIGDERVEE TGRGPMAYGPHLYFYDATGYFPRPPKFRDIADIDNISAEDEDRARDIFPVYLGESQGD TSDEEVQKRFQAELDGMRTAPPTTTNPPADLCKSDNDCSSPLCAGGGVVYSCIQGTCQ CGSPEPPAPAPINTPPAGTRCYENVSEAECTGNYECPIRMKPGMPSSMPAEGTASWGE MTPLDRWRNSPPDEEPVSWDAISKAIGNGGVSYGTSQRIICGNTYAKLTVSAMMKDWK GCRGLEAAVALLVENAMPPYLIGLESNDLEPFSASHKNQEGCGLEEGRPSVHPTRFEM LTAHLGEFVKIKKGSGISITDEMLQREARMILYGEDDPWNQTPADNPEWLNLFKTGYG LCTPWGLHPDPTILSTTNEGTLGTQTDAEAAVFSPFTLDKMRQAAMSGGTINTINFCE AAQWDRPECNLQVPLAWQTPECLAEFRLMGLLPSLSGTDMAINSVSATDSFDVTCGKN PSMSAYESSFMNSCTTGVFADQDVAHSTIVEGDFVPHLDKHSLIALRATSLHFSILAL PYMAHLVAHETHLPSPSKAYLPLLAKSCLHETMSLLLSFNPALQGRTEDGETALHIAA RKGCLICLRQLINSGMDVDCENSKAWTALMVAARYGQVEAADELIRAGARWKLHVRWS MLELM
SAPIO_CDS2757	MAFHKFKVPGVGFSLTPDYGTAAIYFANGSFVEVARIEGSPEYK SFMRKHEPAGGELAEGIPSVALLRNALCLLPRLPFCKRNPDFVSTQALLRSLQYSVAS CLGTSFCFTGLVIPDQTWQYQNDIIQKAIKSIGLRQTHRIFDAPRMVLVANHIDNSKT SGCRSEIPDDRTRAILSIDYSASGLNVVLFSEVDGIVDIMRRIYNQHLGAGHRGHGHL ESVKAALMEVTKPPLGRDFMGTLLTDDIQDLVLYRDAVMDPGFLDILRAVISSDLVEK ASALEPVFAAAMGMAITSYQEMDWMYFNTKPAFGCQWGSSLYDMSSKEL
SAPIO_CDS2759	MAQSVKGKTAIVTGSGSGINLSFATLLLDKECNVVFADLALRPE AKEVINSYSAPAAGRGRAVFQQTDVRYWDQLERMFLVAEQEFGGVDIVCPGAGVYEPT WSSFWYPPETSQSRDSVRDSRYAILDINIIHPIRTSQIAISRFLNSDRPGPKTLVLIS STSAQDTSIATPLYDASKHAISGFVRSLKDIDTAGIRIVAVAPGIIKTPLYTENPDKL AMINSASDVWVEPQEVAEVMVALIERDTMSSTIGNYDPGRYDITITSGTILEVTKARV RAVTAYNDPGPSGPGALASNMTISEDAVKALLNKGWGRAEIGSYDV
SAPIO_CDS2760	MQQVESTMDADQELSRLGYRNDFKRSLSIWTILSLSVAIMAVPF GLSTTLYITLINGQGVTVIWGWVFITLVSLCIAASMAEICAVYPVAGGPYFWSAMLSP PQFAPIAAWVTGWLNLVDNWLVMVSINFSGSQIVLSAATLFHEDYVPTAWQTVVVFWA FISFSTVINIFGTRYLNIINTACIAWTSASIVIFMAVLLATCGKMRSGAFVFANYDTS ASGWPTGWSFFIGLLQGAYVMLGYGLISALCEEARNHAKEVPRAIVTSVLVAGVLGAA FLIPVMFTLPEIEVLLAVASGQPIGLLFKLVTGSSAGAMGLLILIIGIFCFSSIGSAT VASRFTYAFSRDGAVPGHKIWSRVNQRLGVPVQATLLGAAVSFCMGPIYFGSSAAFNS FTGTATICLSTSYGVPIFISLLRRRRDVENSLYSLKPVGTIINAISVTWVIFSTALFC LPVALPATASTMNYASVVFTGFGIISIIWYIVYGRKHFSGPMAAVDKSIEGQIVEVME REVPAHAKKHEA
SAPIO_CDS2761	MANRVPKITRVRTGCLTCRARKKKCEEQRPICRGCERNGFKCEW PRVSPSPCRSPSALTADNAGEVTGKAPHILSPQSESHDPRQAGQASPTEPQEDPHPFS TPLPPSSETSLIVFDSGSPTCDGAVSDSVEFFLPKFSPRRPHAGSFDGKGPMFALPAT LSVFGNGRVWELCGEEGYHLLGHYLTKTSFAMAGGFQRENPFLAQLMPIAMSSDLIMH LILTISAVHKAIIQPTELALSAQTYYRDALTMFRGSIDQYIHHGNSTIVALGLGCLML CFTETVKGDRDGAIFKHISAADAIVSSVLSDPFIGQRSPLSDFLVEFYVYVSTLSLIS LNPERCETLKMNGKIETRAHELADSSYVGSLCGCWIELLLLIPRINAFAMHSPTLENL SPEALRERFATFATLQLEIISWTPYPDSNSVLRSSGLFYKQALLLYLYTSTLGPSNGG ESSTASDLVESTIASALFYLADIPPDTQTNTLLCWPIAIMPYGRTLRSQRAPGGCTP
SAPIO_CDS2762	MSISDNLPTGDAARFDYIIVGGGTAGCVIASRLSGYLPQKRILV IEGGPSDVGDSRALVLKDRVQMLGTELDMRYPSVQQPMGNSHIIQSRAKILGGCSSHN DMVSFRTPEYDAYTWEKLGCKGWSFDMFQRVQRRLRVATRPRAHPQDQNQLSKDWILS AHRALGLPYVSNLNNAISSSAGLTQAVGWTPLSYNPDNGWRSSASVAYIHPILRGEEE RPNLVILTNAWVSRVNVRGDVAVSVDVTTRDGTKHTVHASTEIILCAGSIDTPRLMLL SGLGPRKQLESVNVPVVKDIPGVGENLQDHPCTFVVFDLHRDVPSQTATHSDVLAFLR HKPYNWAGDDGNVPDLELHMWQLDWCDETTRLGYERPKRPFCILPVLLRPQTSGRLYL KSNDPNEKPALDFKYFEDPAGYDAELLVAGIKASRKMAETQPFKSWIKREIAPGPNVT SDEDLNKYARAASHTIYHPACTTKMGDVDKDPMAVVDPRLKVRGIKNLRIADAGVFPS MITVNLMLTVLAVGERAAEIIAEDAGWTGRDSHL
SAPIO_CDS2763	MASTTSVAKEGLKWNQHHDSSDNTAKIDVLELEAAGYTQAMPRQ FSIWSLGALSFTLTCTWLGSGASIGIGLAEASGAGALWSLLVAGVMTLIVSAGMAELA SAYPVAGAQYYWSFMVASEKYKPFASYINGWMSILGWWLAIGSVSNFVASMIMQIASV WYSFETQRWQLYLLYVGVIWLSVAMNILGSRLLPYYSQLIFVLAVLTLGSTMITLFVV ARNNHASPSFMFTDTTSQSGWSNEGFTFLLAVGNAVFGYMGSDCGAHLCEEIANPSKH VPKVIMMPLVMGLLTAFPFAASLIYSIKDLPAVLSADMPLMEIYYQGTGSYVAASILL GFFAFCMFGCVVAVGKLTLTPATSCVIPQAILLCRNRDEVLPERYFSLGKFGVVVNVI SVAWVVFLDVLYCFPVFRPVTPENMSYVSVVLVGLLSIVVIIWFTTKKGKFTGPKINL ELMNERRRAALNAELGEEAWEKGQLSQGR
SAPIO_CDS2764	MGSWRALWLPLAGALLFGNANAAVFSFESTDVPMTYMDVGSFYA KLGEENIPATSRLNLTYSLFKGASFQLGEGSSKGSVPNRIAKLPMVKKIWPVQVGRIP DDELGWDTGYIGALGPTIQRRQDGGGAPDVTDVFAPHVMTQVDKLRAAGITGKGIKIG MVDTGVDYKHPALGGCFGEGCLVAYGKDFVGDNYDENALKVPEPDDDPWDHCNGHGTH IAGIIAARENELGFTGVAPGVTLGSYRVSSCRGYVHTDLYVAGFNQAFEDKNDIITTS TIFPSEWSEDAVAVVCERISKAGIPCIAPIGNAGLNGLFSTGSPAVGHGVTAVASVTN LDLPMVMKKAMYTTEDGKDHSFGFYPGRFGDYKTITKDLWLLDDDATVASDCTKLAGD VPDLSKYIVLASMEGCTPEQKAAMIMEYAWVGNRNLLFYSSNFTTVELNVYPKAVDGL GMVTQDQGKDWVERLKAGETVTAHMTAVGAAENIIISATNDASRGYLQMSSSWGLGLE LDIKPQFAAPGGNILSTYLTNRGNYTVMSGTSMASPFIAGVYALIAEARGTLDPDEIR NVLASTANPNLWWDGKGLHDILAPVAQQGAGLVQAYDAAFVKTLINTAGISFNDTDNF VPEANFTIQNTSDEEVKYALSHVKAATVYAYNENSTQLAPFPNPTVDEAATLDFSEDS VTIPAGGSANIVVTPTPPQGVDPSRFAMYSGYIAINGTNGENLSIPYIGLVGSMRNVK IFDLNPNNTFLQYWDAGHRLTPLPANTTYTFPKPAGEPNSNPDRLLPYVSAMFNRPAG TAALYVYVAALDDTTTLKTTEFKGSQVVGLVPGYPQEFVPHGKAEIAFTGMLADGTVV PPGRYQFVFKALKILGNRENDDDWETVTLGPFTLEYGEATLLPVDDGFPLPIDFGK
SAPIO_CDS2766	MSRLDSLRAVMAAFIAIDLVVVIARLWVRIKLTKLGYDDYVILV ALAGFIIMCAFCFTALSYGFGITDPAVIATLRNYDQMNASKYFTVSQITYVASFPIVR ISVALVLLRIVQESMPRVKRMLIISMVIIAIYALGCLLVDVLQCIPLKVVWGDGTGKC MSFKHLAGLGFAVSALDIASALFYAVLPIFLLKGLQIGRRTKIAIMFLLGLGAVTVVI SVVRLSSLVLIVNATDVETSLSLQLESFIYSVLEFGVSILTASLVALRPLVKYLPFGS HGHSSGKRGPSAAYAPGGIRSGGTTDFELNRRAHGYTADAIRLDSDDAESQRDILREG DGHNKVWKHPKITVTYDRDPKGA
SAPIO_CDS2767	MPDVDSIPKPLAVGIVGGGISGLYTALLLQRQGHHVRIFEGSGR IGGRVHTHYFTTEENQYYEAGAMRVPKSVFHRIFYQLVDYVNSQDPANNLDLIEYILT SPGNDVYFGGERIEIQATDTTPAILGWEVPPEYMHKTAQGLLTEAVGGFVERLKQNFE TEFNNIVRDLDHFTFRFYCQSVMDWPDIIIDFVETVMSQTNQFSLSVPELIMQSMDFD EKEWRTIKGGMSRLPQAMAKLVGYKNITFGARVTGLHMVKYDDGRSKARITATGYNGI LRADFDRVVFAIPPAALRMIVDRPRWSVEKEMAIRSMHFEALYKMGLRFKTRFWERVE PNPSVGGQSTTDLPIRWIVFPSNGIERDGIDGRGTRDKGPGVLLVYAWMTDASNWLPL TALERRALALNCIDEMYRGRTYDGGTPVNVYDLLIESSDAVWSASTATGDAMFLPGQF HRFKEACKPEEHGMVYFAGEHLSYHHTWISGAAISALNVVREMLDKPHLPPLQRPLPQ SAEQPVPRDSDGSDHAQDVVPGATVEQIVSLSGKNDHEKVEFVFRVHNPGFSPGHDII RRWPPRSDSERDQEYAFPTQLGTSGVAMGAVVTELKAASATGKAQQEIRKRDTGN
SAPIO_CDS2768	MADDKTKKSPPGSKPSSPGKSPSGSKSPTTGKSPPRKSPPGSEA SSPPKAGSDPLSSTSGGILPAEHWAQYPVDDDAESALGESINTSTASISSSILNYRTL HGRRFHSEVGNAKYWGTNDEQGNESMDIKYVPLSSIHTQRPSAHYYASHHGLTLGIGG KLFLAPLEKEKIKRVLDVGTGTGLWAIDFADEFPEAEVTGTDISPIQPSWIPPNLKFE IEDYTREWTFAPDTFDFVHLRWLLGSVPDWNALFVEAFKVTKPGGWVQSYEASCYYKS DHAVISEDSALGQWGKFYEEGGKKMGNTCLILEQDLQRKGMEAAGFVDIQEFNYKNPM GPWPKDPHLKELGTLSQVALESDIEGYILFMASTVGWTREEIQVYISHFRREIRSGKF YPYFPQRVVWGRKPEESEGDA
SAPIO_CDS2769	MSVQENGVCIPTFWGTSGRKLQMLVTAVATADFLLFGYDQGVMS GIISADAFTEDFPEVVTGGSAYEGFVTSIYAVGCFLGAVFILLFGDHLGRRMSIYLGA TTMIVGVIIQVSCVPVSGGTTAQFIIGRCITGVGNGINTSTIPTYQAECSHSHNRGKL ICIEGGNVAIGTLIAYWIDYGAIYGPHDFTWRFPIAFQCVFAITVLILNTRLPESPRW LLTKDKHEEAAMVLAALAGKPTDDYEVRSQMTAIVESIKASGHSGGVTPMSALFTNGK TQHFRRMILGFSSQMMQQLSGCNAVIYYFPILFQTSIGVSHNMALLLGGVNMIVYSIF ATTSWFAVERIGRRKLFLIGTVGQCLSMVLAFGALIPGTEAAARGAAVGLFTYIAFFG ATWLPLPWLYPAEINPLKTRTKANAVSTVSNWLWNFFIVMITPVLVDNIGWGTYLFFA VLNAIFFPIIYFFYPETSQRSLEEIDHIFAKGYTENMSYVRAAKELPRLSGEINAQTV GRDDVDVEKSGEVSDEMDSRSVENTEKLSHLD
SAPIO_CDS2770	MTPNTNASESSRLEIFGFGRDVDSAYPHAKFPSGWASQGVTLRE KRMLAFIDSITDKPDWETKVFDEAIVKKWREEANVSPEHLNGDILLSEKMFDFCIREL REKAAIFKATGRVNIYDAEITIVKSDTAVPTALRDDLIEGVKVLENVPEHKKDWHPGS DNKVLDLLHPSLFPVVFGLTKALPHEKVPLEGCISYTGKGEPTQSYMPTRMDLPPYGS FQWLPTDVQLTDSGAELLGYINNLHPEQHQDLFKTLERMVDVAVPLWDECLSGYYNRM RIVLGATGEDDYTFPEGLKYRIPGRDEPKCWYDPVKDTVGVAGDDKKEQKGEKAEDND EDDEGDEDDEDDDDWRWEDDFRDWKEEHRVLVYREPRDYISQADLVSKSGSGINLKQD YEKGIQVIFKLANIHLTPEKPVYEGGTWHVEGALNEGICATAIYYYDQENITDSHLAF RQAIDAEDVIMLPDQNEYDSIQEFLGVEQDGPAIQELGKVLTREGRFLVFPNSMQHQV QPFSLKDKSKPGHRKILVMFLIDPQRRVLSTSNVPPQRRDWWADEVRKQQSLVKLPAE LFDHTVDMVDDFPISWEQALEIRKKLMEERSASTKLANEKMLEVRNL
SAPIO_CDS2771	MTALETRLGSLGLDAPLPQIPVVDVLRKPLDIYRAHLASLLAAA VGCDVSVAYAAITSSTDITLGDLAVILPKLKLPAADFQSLAFEVTNGVHLRIFFSPKT LPRLLLPYIHERGPMYGGETCDGVESGVPNSPGAVGRRVIVEFSSPNIGTEFTADHLR STLIGEFIARTHEAMGWNVTRLNYIGDWGKHIGLLASGWQRFGSEDSLQGSGALGNIL DVYAKAVALFKPEQELSRQAKNDEAAKAEIESKGIFAERDAFFKKMEGGDEEALGLWN RWREVSITQFKASYDRLGIRFDEYSGESTVKPETMASVEAILKEKGVCEEIGGSWMIN FAKHGDKGKGLGTQPLRGRTGSTTYLLRDIAAALDRDQTYSFDEMIYVVSARQQLHFQ QVLMALELMGREDLAARIRHVGFGGIQGMQTLLKGPRTLDAIIQCVKELVLGSLDDGT EDTKMPEDAKVIVSLLVQEMSTRRTHSYTFDPKRAASIEGDFGKRLQNYYAKISSTIT GLQTSAATNMEADYSLLEDDIYADILRVMAQYPDVVSATFRSLEPHGMLAYLTRLLDA LSVALDEGEDAEAGGSSSMTRQAGEGPEARRAQFELYECAKQVLENGMRLLGFPIPGA 
SAPIO_CDS2773	MRDSPAGYSAYSRLTRDDESEPKSVDGTEPVSNGRFFDVTEQWL SDFEPGECVPTGQDPGAKVSRGQFSENSLLLRRIIKGQRAPQLQLEIQSRSLQRAFYE ATQGKATNLSYGDPTIIHAPYYELYHFRPELKAALAAAETEHLKRELHLFREFEEAHL LRTLKLTQVEEHKRQGTIQIEHLWSLFKPHEIIVLQTHAMPRVTRACAVLQKYWVDLD RGKWFIEVQCMSFDGHRFGPIRKRFSFPIFAGVVPIDSLEAYPLSSSQNEAAIQGRVL VDPMGFVDEHPVFRQRLLSGQTLLDSRTSEDGNDGVTPDLTKPFTLDPDHVAEDDLIC FPMMIAGYSLATKHVGFFAVDDFRDVVWEEEEAEKLFHSSQRMKAVHQVISGYTSNSR SFGYSIDGKGKGLCVAEILHRPLYRISGSDLGSDPDDIEGKLILAFDRIQRWRAILLL DEADAFMAKRGGDSLDRNTLVAILLRLLEYQSGIVILTTNRQSHFDPAFSSRIHLSIN FPDLTRQEREVIWRNHGKRAASTTLSDDDYTSLSQLEMDGRRIKNVFHVAGLYARARS DVSRGVSLADLKEVMQISLGDVDPALRAQLEDFCGGRPFSG
SAPIO_CDS2774	MAGKSDTEIIVAISALAIAVFAAIVAIAQAVQQYFITGQLIRLC DSVVFGPLPGQGRRIWQLSQFRFRVVYSLPLFGIDPEIWPASAAPAFSGAKMGKRALP MGIGGRRERGPLAALPHSSKKNKVADLAISSVGEASWASFCRAVYPSCQSSLLFWFVN GDADRCPTDLPTIPMPVSLRDAAVLALMTGMECTAANFERGSISMRGEAGTITSAVHP ILGPIIHFAPGQNESNYSIGLPGHISKDWLWRAMDNCVVAGIHYNARGRRGVEIERGD LPSLGGDIVVETRKPSTRLVIFPRERAGGNSKDEDAEVEGEEEEQKKSRRRSGSNSTS SSSGILEVPTIQRSIRGDRPNRDGAWHLISRPPSGIIYPDTHPDLRWEHTQPDFGTLK MSVNKYHRSHHDPNRPTYTRMSLKYISFETLNKFGFAYERDKDDPGYVLIFQWVPERL QDILWEDTRKRRLRRGRSFDKHNPETTPATAPGLSDPLVPPGPPHSQKPKLSPTGEAH LSHAPGANQAQSCGPPGLNDNSAAQIDGRMERAEADAALPPRRVSTPRRVPDAANRRS RFASGRLRRRNVRKSTSLPEVSLSRLGEQMDDVEFGWATASASSIAEPNDDRDLGARV ENHHSETEDEDKAAHLNSNNPQIRGFFATPPSSRGSDLSLRAREQLERKRRDEQRFKR QIRQRKMSEQLGRISWFWLSQTDIIPGYWATPWRCFGDLTSNVCVGAVQILIEALIHR ISATAIHFEPFTDDEPNCALAGTIDWMRQGKSTYPAYAYGADGGVVCSGGYVSLSTLN FSRHIPAVPLLDSLWHQVNNVEQKAKRDCERRILELMRLDAWLSIVGRTPEISKGHGN LLELAAAYVQQLMDEFEQDFLDVHLGGDDEGAEMNHAVWENIVDFLEDYRLTDAEMFY VIVATLRTVKVGLCILTGSDTSILGEILEKDVQVHLV
SAPIO_CDS2776	MESPINVIDAKLSQALQDFWTDPQTAPEDRKALRTFLSASPLRI PPTGEYLTDIGARLLRGAQIDNVACSRSGYIGPEHATGLLWGLLLTLPLDFLDSIVQD PSGRSLPRLPQLSWSADALIQICAANPDVCQIFPASTLKRTDFVRNALTALSQVYWDA DLVHHLHGLLAGEDNILDSPRNFICLQPQLHRWWSKAYLALEPIEHLPKGMRIRVRWL PRPSFKSSDRVPLNTDPRDQLTCPVEPGLVKAVDLRTQRPIIDGNTYDIVSDDLSAVP SFEVLKLQWDLLKLAALSGAVDSFEELEEESENDVDFDLVSEGSRRTSQGMSDEYRKY SR
SAPIO_CDS2777	MDQETLHYYTLKLSAFRQADRDRENVLTDLLEKYENLLRQYHQK CDDFNNERESRRMWQQKELSARKEVIESRHARESNPFIFVAIDGDGAIFNDTFLQAGP EGGAVAAQKLYERVQQHIAKLYPDSNTQEWSIVVQIILNVEGLAKRLISCGILRSISE LYAFGRTFTRTQPLFTFVDVGYGKEQADHKIREALRFMVRIGQCKHVLFGPCSDNGYL PVLEEYKRNMQVASRLSLISSSPPEPGFSQLGFKIESYDDIFRTTPLPPPKPVSPATA VKELATSSSPAPGGRGGASYAAIGSNGSSNTKTITIAPKRAPAQKFYLVNDYDERLDS DMPRLDPAAEQRYMDRVRKLGHNYCNRYHLKNNCPMGDSCSYEHGEPLPAAELLVLRY KSRALKCKMTTYCDDVDCPFSHHCRMGNKCTLDNCRYADTHHVELHLRVRDVFP
SAPIO_CDS2779	MEFRDQIHGLNAGSRKTWSYSEDPRSFWPAEWLPQERGFRKGRI HTFGYDPDFAKDRKSALTIHDFGPALVAGLANSSHLRRNPNVNSP
SAPIO_CDS2781	MKTATFAAITMASVAAAIPMCAINCFTNVITEHPPLDCTEPDMY HCFCKMPSLQQYFLECSYSSGTCATEAEGAEAVAFGVDLCSQLGLPITIDTKPPTKPT STPPTEPTTQPPAETTGSEPEPTESKDPEPEPTASDDTSVEPTGSASASASGTGSGPV PSGTSGSDTPVIVNAANGKAVSGLLAAAGLVAALI
SAPIO_CDS2783	MSRFRWDIQAGDHRHHWHQAYLESIIQFQSTTPLSASERTQASS KFRSIIDHFEAANPGLGGQPYNPLRLVQLTYEYARSEESRDVFLQAFFQTANIAIDDD AFDLSERDLEASVALAVSEFADFLVDSFFLPLRASTKQTPQPSPAIHSAIQRAQGGGV QDFVVSRQFDRKEFLRRYQQDGAAAVDDDGDLLQNEPNLTSLEVAHIMPHSLTQPEAD GSLHPFKQAALDILNMFDHGVLHLIEGENVDKPRNALSLSHDVHELFGEFEIFFQPVP DTPHTYRIDTFQPPPVLRNFLPTTRTLTLAENWTIDPPSRRLLELHNSIAQILHLSAA GAYIDKVLDDLDKRVAASDGTTELGHLVALRLGGWLDGSIRAS
SAPIO_CDS2784	MYELSLLAIVAEKDVPRACNVLSGLCAQEPWESIHHVHFFRGPG RPSGMSNPSPTPTQQQKDIPALWKELDQALSRQSYHMQVRYEVSRGDFGSGNTVDFNA APGVLRWTDFPEPPAIVASQEKGLITQRKKIEIWGQKNLYNVLMGKQYRLVSDQIEHS YQFFRDEVEFSLHSYSYTQPLPQHPAAIHPANPLPPWDSLVNVDDTHHWMLQVKLHVI QDNKPDEVRKAQEQLIGIKKELEGIFLFEVVDRGYYDSRVPLENATGDGLTPEGGGDK IPGDSLKKDDDDDDDDDVSDEEFLRRLDGVPSLDEGDWSAASVALTSTDDTSTTDDSS AS
SAPIO_CDS2785	MSSTGPSNTSKRGPDAPVSPPPLKRRLQSGTTKSAVANFFTPTS QKPKDKTIWTERAPDDDTPATLLVGRYQPDKKEAEPLSKRRKIAAFDLDSTLIVPASG KKHADSAADWKWWHNTVPTKLKALYEDDGYRVIIISNQGGLKLHHDPKSKAPKSHSTT RVTAFKQKCSAVLSHLDIPTTVYAATGKDLYRKPRTGMWTEMCDDYDLTPQDIDLEHS FFVGDAGGRIADVKGGDKILATVKDFSCSDRNFAHNIGLPFLTPEEFFLGLQPRDFHR EFDLAHFPFPEEAAEDMPMFEKKNKLDLVVFCGPPGAGKSTFYQRYLEPLGYRRVNQD TLKTRDKCVQAAQNLLGEGDSVVIDNTNPDPDTRAVWVGLAKKFKVPVRCVWFKTPPH ICEHNDAMNPERREALPKLAFNSFMSRFKQPQEKEGFEDIVEVEFRFRGTKDEHEIWA RYWL
SAPIO_CDS2787	MNATAALRQAAAHAERTPLIRFIGRRTIPATIDHTPQPHPASPT GKLPQGFGEGYTSTGTKHTSFSSYRDHAQQFGPLQKTIKESGIGGVAGSDLGSVQPPQ GVFFDRSQLPARFRRLPLDPAEIEAVESGGAALFN
SAPIO_CDS2788	MKPMFALQTLLGLVAAFPTSSEGNNGIEKRQATLTRWCSPTTSL CYNQYTTQGGSAFRIAIADTSTGGDFDIAIQLIAPVGQGWVGLSWGGGMSQAPLTVAW PNGQDVTLSSRWANAHTTPTVYNDASYVILSDTGVNGTHYTLSAICKGCSTWVRPSGT RTLSPSGGVRLAWAQNTQAASVAQRANPASNFEYHQYLGYFDASFQDSKVPAAQFSSA AAMTKVGGTAPAATPNPAVQQPVQPQPAQPAQPAQPVFPGFGWPFNP
SAPIO_CDS2789	MTGIPPSSPSAWTLTTDQILQQLSDALGKHPDVASDFPGLKSLL DDYLDDKIDLHRIEFHRRLLRIFRDAPRDDTYQGLLGKLEEPERERVSRFVDGGEEIE KCGLGFEHTMSLGVREHIREMMGRDSVRIGKEGPVHVAGLSSEELKEKDGVFHQFVHG LASLFKCVHFSAVREMLHPDEVIVYRDTEFHNWGLTEAYTPVYTCIPSTVAGVQRIVR YAKQHSMGVRCAGFPPMFGRNGQILISLLSIQEAAVIPNTTAFSFVPQRPPTELESIE VAPGTPLKKGNTLVRVGAAVTNERLRRWCVESNRYTLPLNVIMVEMTVGGTNGAICHG SGRAQQTLSDLVRKIEYVDANGKLKVVDDPEHLRAASGCFGLMGVITHLTLEFSPMTY AILEAKHIPTIRAVPPPPDMKEEDIPPALRLPLTPEERKHDQEQFERHAVSDYYNEWF WFPFSDTVWVNCWNHTADGEGADDYPDGGAIFFSFVTQFALNVLQFAPTLHEFIDDLG LNEAATTLISRAAKFALPDEKVKTYLTDAQHFQRGIQNVRVLNLEVEMPLVPSKEDPK KPDWAIVQRAWWDAILKCYQHSDTCPQRMPLEMRVIGGSNVIMAPQRGNDLGTCAIEI LTLYSAKDDWVPYAQEVLNKWMSLTDSDGRKLRIRPHWAKQWSEFKVDGKPWAERLRD EVYKEEIVEFKRTLANIGRLHGWGLADLKARFSNDFFDWFYFDDVVADGVNGVSRVDV GKGGK
SAPIO_CDS2790	MDQRQRLPAPPPQQLPYSHPQQQQQQNPHAQNQSGPTSAYAWHP LPQPTSSATTSNSPANISSYPSPSNTATHASSHNSHYPDHQRHPSDPAFYPSAGRSYS VDPGSNSDSSQGSRLPPPSQTNHARHKSSSSVPSAPQALNHTMPPPGSPLQQQQALPP PPPQQQQSPAQGQQQQPGPHASHQMGHYGLPPPPRPSIPSRQSSTFSSGRELPSLNSI ARTGSTGSTMSISSMLGGPPSSSRESHPPPPPQQQHYPPSSATPSSNAAYAPSIHASP RMHSTSTEYPPFRRPQTPDHSRPYDPRATAAPSPQTGGHYTPELQRYGTPQYHQQRPP SMPDQNRDSRIPGNGLPPRPSSQPKSFPNIHHPPAPVEHSRPPPSDDIYSRRDDPSRR PPSSVEYNPERTGLRPVGYDDRYRMDRDRQHDAEQRERERHERTLSTGEAGRPHPVHP DYPPRGHQPYGGPHPDVRDPRDPRDLRDPRDPANWQRPTAYDPSRPPYESPGQHQPRH PDYPSTSAPAYPSHPAPVYSQPPPANQYPPHPQQPHHQPPVSQPPGSGAPHARYDASP ERPRVNVVHPQQPPPSAPHHQQPLPPHQARPHDEMPRSMPYGPAHPPGPFDSSRPRPN EEPPSQHMQNRNHLAIQEINRTKGRISPLPQAVQGAQPQLPGPAGEPGIKSEFGRVFS GLGGGIGGLGVSSPVTSGPPPAPFSNSTSRRDEGEQPVAHDSPADGAKMVREGSRGRR RKLKEEDPREEDSSGRLTPGGKVKRPKTLPHHHHHHHHHHHHHHHHNHDGSGQPAGTP FKTGKGGTPIQSPTLIKDAAILSHLPRPNIGTLASAVSKPVPSPVPVIPPKPKQIVNS KAVLDSVADRPRHHLGDTLYEPIFQPARLVPNVPSSRGFSTTPKPLPFDRIRDRENCT ITVKVARIHLTPSAREEITARRALWGTEVYTDDSDVVAACIHGGWIRGEWPEDIDVNM IDLDHGIPNDGVIEKDGRRKKEKEAEAKARQDANEASYLSAPPKTGPVHVPSDRDMHV TLLVLPKLQKYASTTRFGIQSREFGGTTRLHDGRRPVEHDGLSFMILGVRWVENGAGA QSRLRGQARRERMRKAMREVSLTFQGMNGVELEHGKAVVRKLRSELENGVMIKDGEAS EKARVMQDDENKENGDVVDKENRPSDAKDAGRDKEDGPVTNGDSGDKMEGVEKTDGKA TKAVQA
SAPIO_CDS2792	MADNKRIDRVGSEEGKSERPGWSYTKVIEPSKMTYEDGNGIWHQ IYMPQGTFSKAAKHIQKKEWSELAKFQPWDNQKVTEDDYIYTWINDETGEPEEIDERE RAAPDITKEQEELSKSRSHKPLSHL
SAPIO_CDS2793	MATTTTTSTNEKRAISDDAGISPVSSQAEGDIRTEVVKETIPQR FWRYTTTPGHAFQIVIAAALAIAIGMAVTSTVDDIPSAVPTLVGIPGTLWLRALKAVV LPLIVTAMILAIQRLRQMSTNGGRKLAGWAVGYYVLTTMLAIVISCILTSLVWRPMFT VVDEDSLSLDNISEKDAAKTEKQEIHQVVLQMFESLVPANVVNSLATDSLLSVLVMSI VIGYLIDSEQSAIYRVTLEVESIITKIITWLIKMAPVGVFFLILPNLFKLNISEIGQN LGILMGCTLTSMGIHLFIVLPILFFLFTRKNPYTYWAKCSPAWLTAWGTASSAATLSV TLKCAKARGVPHTVADFAIPLGCLINMDGTAIYFPACVVFLAATQGHELLPTDYVVIV LLSTLASIGTTPIPSSSLVLTVMIAQSVRVPLTGMFAVIIAIDWFIDRFRTAINVSGD LFAVSVVAKMTGIKDEDSSQMLRNENETESLRNDARV
SAPIO_CDS2794	MAAKVYRASTTAPVNIAVVKYWGKRDPKLNLPTNSSLSVTLSQS DLRTLTTASCSESFDRDSLILNGEEADVSGARTQACFRELRARRVALEAKDASLPKLS AMHLRIVSENNFPTAAGLASSAAGFAALVRAIADLYELPDSPTELSLIARQGSGSACR SLLGGYVAWRAGEKEDGTDSLAEQVADASHWPGMKALILVVSAGKKLVPSTSGMQQTV ATSSLFKQRVDAVVPVHMKAMEEAIRDRDFAKFAEVTMKDSNSFHATCLDTYPPIIYM NEYSKAAIRAVQLINEAAGKTVAAYTFDAGANPVIYYPEEESEAVVGTLFSVLSERPG WKEPEAYKGLKAAVALDDIGSFLAEGVNRVIMTGVGDGPVKSDQFLIAEDGSPVKTN
SAPIO_CDS2795	MARKKRSKAKKANNAVVPEVQGNVQPITRLGDPGNHEEPVKEIQ DMRPIDEPVKDLEATADDTTPALNEPESELRALARDTLGLRDAESDAEISANAKSLDI MELDRAGILQREWVDANTDVLKVETPAPPSNMVRKSIRPWIEWCQQTLREGLLPVGYP YSVSEDYLAYQTYDSLQAFFSTITSMLSDRALLEGLGVGDANTSTTYALLLNVFKDCV SRLATIGFAQQYGLIIEPECKRYRFMADLLNDGAFFLNLGSPLLGPIGKPILLAVAEG LRAMCGVAGNASKAALSSHFALQDNLAELNAKEASQETAVGLVGLIAGTLVVKLVQDR TAVFFLMVALVLGHLYVNYLGVRAVTLRTLNRQRATIIFSHWMRHSSVLSPAEVSERE NILTWSPIISNFTGRKKAIVLFAKNYYEFKDGGHPDIWFLQRPTFLITVRYIEKSGLF RVKIMLTYRAEPIDAVKAWFLAMEMIWDLPADGKLMDYEGWELAVPNVDPDVETDVDT DDGAESEYSNHILNFNHPLLFKKLEAAGWDLGTSSMETGNPVRIKITRRKGNETKKDQ 
SAPIO_CDS2796	MAPQVINLPDGQSFTVKPVFSGLFFKSNELSNQLNPYPIGWTVV LNTQEREVSPLGVGAGYHDSPPINGHGPNNHLDGVAAHAHSHANGSHLRIRPYTKPSL QNDNLFISSVSLPSSSEYKAPASPTRQIAMMLWITLYWYFHQPEPLPYLRTEASKNTP DDAKPKGDWLINVKKDGVLRGRNLIPKLERMGLIASADSAVSDESAESWSRMFVSKKM FWQIPGRLFLFSLQHNTYLGSSSLLGSPPSSRPGTPLSPLIAAADADQSAMFSIPTTT FTAPPTSVPSGPFYSSSHLPTYYPPGPLAYTTTNNVRHPLRPKPPRMGEIFYSRFVPS IGHYLSLRVASTSATPVQYRGPLGPNPPEHTHLRELSDTALLKSWLSKPRVSKFWGEF TPDFLLNAVKSQHSFPVIGMWDGVPFGYFEIYWVKEDPLGQKLGDLIADWDRGLHILV GEEWARGRVPTWLSSLVHWCLTADFRTMSICLEPRVDNESEAAWRKRKNLQIPEPQNH NLLPMADSKAPSDGASACPVDHKSREAWLAQARAGGSSQAPHPLPPQSQPAPAPTPKS WAQTIVSYIPFTSSAPAAPAPTPAAAPHDPSLDTSRVVSSIPRSSDPNAVTGCPVNHE TETGKDEASGNWVYPSEKMFFDAMRRKGHGAEAADMKTVVPIHNAVNERAWKEILEWE TPYTAGTACDGPKLHSFAGLSTRMSPKARINTLLGYTAPFDRHDWIVDRCGTRVDYVI DFYAGRAKGATGGPSFYLDVRPKLNTWEGVKMRVLRGLGVV
SAPIO_CDS2798	MAFTTPAFVPMNPPLPIEPPRPWPASDGTDIPLSEFIQDILPKA NDPHDPPPFQHGSAVDPPTLRVGVPNTILLFPGSFNPPHHGHMKLLKQVMEGVGKDLN VIGAIIIIDDDERLAEKAKNGDLNLKFSQRHRARLWHGDRRPPVDWVWIFSHPESKWW KFRSDLAEKAKKDKYDIRFIHLVGPTQITGDSLPDTKTWSCDYMITSDTDYKPGQMGV LDVPSDKAYECSPWIRAGSVNNDIHQLACAKFNVDPTVATPYAFLQYIHNESQRIGAT ALSNWVCERREYPFGTIRYVPAVSADEKRGIQSEHVRKLIVDTDVKKFLHIYPALAAA VPEPRLMLLFVRDIRAMENPHLYFTIFPPTPRTPGNSSKKPAKKSPNEASKKPLGARK RFKDSERTNSGPHRETKKAKYDDFEYEALNELKRVINRDKTENLHASSWRSGWIPLGD FAYLAELSKVFDDSSGDEKKPMPADVPADVDSPVQQRTARRKAFAAAAAAAASSDTQA GKMKAVTTMSSKMALRSKASVSGLSDNYYAELAEAASVGHDGQPAGMTDSTTTTKVFA VKASSDGQAPRTPPAQVTSNEPSKGSAGELAKTEMNIDGSQPFNIVDWSHPNGGVYLR GPPGQQS
SAPIO_CDS2799	MAALSQYNYIFFITAILAFLDAWNIGANDVANSFASSISSRCLT MKQAVLVASITELAGSISVGSRVADTIRTRVIDPSLYAETPSVLLLAMMCTVFGSAVF LTIATRYGLPVSTTHSTIGGLVGAATASVGISKINWGVSGVSQVFLAWIIAPGIAGFL GAILFLFTKMAVLARKQAVRNALFSIPVYTFITVGALTMLVAWKGVQVVEEPSTELMI ILVFTIAGGAAIIVALFLLPYLWRRIMSEDWPLKWYMIWQGPFLLKRPQPPPTPPGFN KLKIKNYYQGHLTPEELFYVRASDTLLKSVQTSPDGSCPIFLDNDDDFVLPPPAQAVP SMHGAIVAPSSSSTRCQTPNNDLIPPRPPGHWTTPKVFLWKINRILLHGLEQDVVRLQ KINSVLTWDIATMHSKAQRFDNRAEHAYSFLQVITAAAASFVHGANDVSNSAAPLSTA YEVWLHNEVPMKVGVPIWILCLGGGAIVLGLLTYGYHVMRNLGNRLTLISPSRGFCME LSTAITVLMATRLALPVSTTQCITGATVGVGLANGDWRSINPRLVAFIYFGWIITLPV TAFLSGSMMAIILNAPTWATGVVTPT
SAPIO_CDS2800	MYLVLSAPCRIKQDHPPSPTSQDEVVTGVSNPMRTGGRRREKEG LEKRLHQSLTITLKSFSERPSSDNPIASHPWTGTPSLQQATERGGIASLGTASASTAT QPSTTANRPATASITPAAARDTDSTFEEYELDDYVAIKARKDNLEKQARRTAKRQEKA RWLDKQDEMKFSHSIQFNAVPDWSSEYISYSNLKKLIYQLEKDVHDAVAAAAAMDAEA RPLVGGEDPEVIFKRALVPELRKITSFYTAKEAELNEEVEKLLADVSEFDLDAESADG RRYSVHSHISDGVDDSDEDDETVGLTRGALSRRKSVGSITGLMTASAEFGRALRRRST TLSDEFAERSLAYSTGIVLKKRITALYVQLCELKSYIQLNKTGFSKILKKFDKILQKE LRARYMDEIVLPAYPFRPETRRALEDRISQMETAYATIATQGDLEVAKEDLRSHLREH VVWERNTVWRDMIGLERRAEAARLGRAILGTEQAAGLQGDDVKLPVTKDVRTPFGRIQ LPVWLANSAMFTLIIVFLVFFAVLTMPIMERPEQQNCLALLVFVSSLWATEAIPLFVT SLIIPFLCVVLRVAHSEVMPEERLDSKAAAKYIFSSMWTPVIMLLLGGFTLAAALSKC RIDKYLATFVLSKAGTTPRVVLLATMMVAAFASMLISNVAAPVLCFSIIEPMLRTLPS GSNMSKAVILGIALAANIGGMLSPIASPQNVVAMGIMTPPPTWPQWFFIVIPVGFVSI LLIWILLLIIFQPGRGTTLTPIRSIKESFSGIQWFVVFVSLGTIGLWCASKSLEDIFG DMGVIAIIPMVLFFGSGILTKEDFNNFPWTIIMLAAGGLSLGRAVESSGLLYTVGSIV TEKVEGMSLYSVLVIFSCLILVIATFISHTVAALIFLPLLNSVGHGMDNPTPNILVMA GVLMCSAAMGLPTSGFPNMTAIMKEDATGRRYLSVKHFISAGVPSSILTLLVSPTFKR PLSSEETSEPLVPNSLTQQANPSLQFTFEMASHGIARTKQYRTAEQRQQDQTRIQKYR DLEDTIRARVAEQNYSRDTFDLSTKLLRTNPEYYTIWNVRRRCLISGIFSRSSDGPSR SRASPSSTAARTGIPSSEGSFTSSSTETPPSLRYRTTGKSGTTASKETKTDDSGEDDK KKVEEQQQRNDLEVIKDELMFTVPLLMEFPKCYWIWNHRLWILNQAIALLAVPAARQI WQRELDLASKMLTKDRRNFHAWGYRRQVVAQLEDPALAGKSMVESEFQYTTAKIHEDL SNFSAWHNRSQLIPRLLDERDADDAARTKFLEKELELIQGGVNVGPEDQSLWYYHQFL ILNIVSKDAKQSFVPHLTATERLAYLAKEVEDIKDLLEDYLDVKWIYEALLECILAKA GIEDIDGDEKEEVKGWLAKLRELDPMREGRWSDAARVYKLE
SAPIO_CDS2801	MGETEVVNEKRTDAVDTASSESQLSSEAPQTKQSGSGILTFFQL LIYAHPTWRDYLLLLIGTVAAIASGVPFPLMGIIFGQLLDDMNDSSCDPELDISLVDP AIAKATQHELQKEVNKKVLILVYLGIASFVLIYTYIVSWNLFSQRLAQRLREKYFISL LGQDAAFFDTRKAGEVSSRLNSDIQVVQTGTSEKVGMYIATISFFITAYVVGFTRDAK LAGMLISLAPAFLLMGILGGHYVEKFTGAVSAAVGHASSVASEALTHIAVVHAFGAAP RLEEKFSNNMLTAQKAGIKKAITTAIQAGALYFIAFSANALAFWQGSIKIADTVEGKG NNASIGQIYTVVFLIVDACVLLSQVAPFLSLFSGASASFIKLRDDISHQPAINGTSDD GVRPSKPAEGTIEFRNVEFAYPSRPNQTTLKDVSITIPAGKHTAVVGPSGGGKSTIVA LLMRLYDPINGELFLDGMPLKDLNVKYVRSLIGMVQQEPTLLNRSVLENIALGLVNSS NPKHAELQPALISPELQKLAAEVKDGKDMIEASASYSAGVQTIAKLVAEAADLADAAP FIKKLQHGFGTPVGTGGSQLSGGQRQRVALARALIRDPKILVLDEATASLDSATEQRI QANLERISQGRAVLSVAHRLSTIRNADNIIVFKAGGVVEQGTHSELVALGGEYAELVR LQSLDKEDDDDNASTVREEASLELSKAERIDTVKSETGVAEPPPSSEQGSEASKEDDD ALKAHMPGGAVVKKIGYFIRPNIPFVLIGCVAAFIVGCTYSATGVIFGQTVGRVNSCT EPSTIRSLGKLMSGLFFMIACVEFLANFSSWSSFGLISEKLLYRLRVLSFRSLFKQGL DFHQSHRRDPSSLLSIITKDTTELGGFSGSVMGTLIAVTVNLLVAIILSHIMAWKIAL VCLVLIPIQFGAGIMQMLELARHQRRHTEAFSKAVGITVEAVNSIKTIATLSLEQEVY QTYRRVLKKPRKEMAGASAYVNLYLAVAYSVGNFIYAFAYWWGAKLIIQGEYGPTQFF TILVAMLVGAQLWGQMFALAPEVTRARLAASRVLNLVDLGLGEGEKRPPLPDYEPKSE KDAEAAVGAPASSGLPAGHGGLRVAFKDVTFSYPARPELKILEHVSFELEPGKFCGLV GPSGAGKSTIMSLVQGMYNTPSGVVELDGVDIGRLENATFRDQIAIVPQDPALFDGTI KFNIGLGAKPGQDATQEEIEAACKIANMHDVIMALPQGYDTECGPNGSRLSGGQRQRL AIARALVRKPRLLLLDESTSALDAENEKALQEGLERAARGITVLAITHRIHTVRRADV ILVVEGGRIVDRGTHDDLIVRSESYRANALHQQLH
SAPIO_CDS2802	MSPPLTLPTPAAVTKILVIGGSYAGLSATVNLLDLGNHLKPRLA YPNYKHTPDAPRTPVDITIVDERDGFFHLIGSPLAFASTDYASQSWVRFQDIPALQVP NVRFVQGSVTEVDCAAKKASILNQTTKQISVEDYDFLVAAPGLRRPYPVVPQSLNRKQ FLIEAEEHVHAVSNATHGVVVVGGGAVGIEMAAELKVTQPDLKVTLIHSRDKLLSSED LSDEAKDCALDLIKETGVEVILGKRLKDTKAEVKDGIKKIEIELSDGSKLIASEVLMA ISNPVPSSKFFPKSALDGEGYVNITPRLQLKEGTPNPTSHFAVGDVVHWSGIKRAGRA MHMGYYAAVNIHQTMLSRVIPDHEPFFHELDPVPAMMGLAVGKKALACGPDGMSFGED VMEAYFRDDLGFAICWEYMKLSEDKWKDRLARGLH
SAPIO_CDS2805	MAAQPSLILPEPSVPAQRLSILQGPVDPPLVDLTLGELLELQTY QNGSKECLVIPWTGARWTYNELNQQSYLLAQSLLAMGIGSGDRVGIMAGNCEQYAAVF FAVTRIGAILVILNNTYTPTEAMYAIKFSECKVFFTTQKIGRLDNGPLLAQLESEVKH PKIVILRGPSGKYPTYDKLIKSSRSRSHEKLFEVTRRVLPHQVCNLQFTSGTTGHPKA AMLTHHNLVNNSRFIGDRMRLTSADVLCCPPPLFHCFGLVLGLLAVLTHGGKIVYPAE IFDPVATIKAITEEGCTALHGVPSMFDTLFQKLPEGFTCNTLRTGIVAGAPVPRSLME KMVNIFGMTEFTSSYGLTEAAPTCFNAFTDDLIDLRLTTVGTLMPHAHAKIVDREGNI VPLGEKGELCIAGYQLQAGYWNNSEKTNEVMIRDASGLLWLHTGDEAVFDENGYCSIT GRFKDIIIRGGENIYPLEIEERLIAHPSISRAIVVALADKHYGEIVGAFLELRQDCIF PEDEEVREWVRSRLGRHKVPAKLFWLGRDGVPAMVPLTGSGKVKKYEMAQLGNKILRE QATPSKL
SAPIO_CDS2807	MSDELGSAETAEIDSLFGSDGFAFDVSFNADPFEASTGSDYFTS LLNSGNVEVDTNPSGVMANPGNSNPGPVRNLIVDGSSGRSSADSNASPGQNSSLAHSG NNNLTRGTSSNSPQVGTGGSNMTSLTMPGNNPTQDINNAPPNATGLLVLPDDSTDNIN INDGNQIGTGGPAGDSTESNTTSVSQLNPTAVPWVPTNNNAAVPNASGGNQGVRSQSL PQADHRGQSKRPWQMNQNENTRNMKQATEQNRPMSQVSRAGSIPPHHQQESTSVALAS SMARGVNREPAIMSHLPGQISGQQYTQAYQSFPSLGAAAPQNGILQSVVKTQPKKAAS GKNNKKGQGQKGRGKPRYTGPTFAVPPSVPVAIDLTDTVLQPLNVELFKKTVEAQRKK SSAPTQNIANSNGSAMNNAIPALSQSYHHYPLPQPLAYPIVQNQAPIPFPFPVQPQME NVAPQQAQKPLYSQYLHPAQPVIQHPVQNPVPQKTQQIAQQKVNQQAREHREAPGPWK MSPEKRKDFHLFNVDYQTQLSDSVRKNPPKRQTRKPPINVSSQGVFSPEAEPASLPSS TPAPPSTPKSQVGPNVPRPPQAIGGKGGATGVMRQIMAERDTRLINASFAQGFEFGIE RGIQLVHAIILNTALKTGLETPVGAVLELKKEDVEGDALRKSLKQKSRTLVQAWINSV HSQMGALSETTWCNKEIILPQLAKIVAHNQDLFDQNTVTQLKAAFSAAAAASSGASGV GVNTTPSRNDKAPMAMGGDGNRSVSRGPDPNDKAPMTIGGDGGRSIARVPDANDIQGQ MEQAMGGSRQAAARVARTETPTRPQGYSQPEHQAGPDHDALVTTPVRPMGMNAGAPNG GASHRGVDLSVNTAIAQMQSSSPPTPFHITPDGRAHVDEETLRSQAAVPVGTKRVASQ ASDVSDTSIESPSKRRKAANGGVVAIPSDTAEGRSFDELAATLRADIAGSSASAATSE GVATYAEAISSSPETISCEPVEWVQANIRTQAYFESRMPGGISHSTAHFLHTLTEINN NEYITELARSCDDIVVDLSKGEKTPEGGVESDGGIAASNGANDVQDTLAKSREASKKK EFMRIRAYHDRILGNDNPFEREIMQWYGLDLWVLERIQKETGYSDAEMRRKNLALALA DQAIVKDSPIDGPAKPYALARATMTWYNMNKRLPATLLLKTQDSPGFMAWINTMNDPE CVHTAVREHFKTF
SAPIO_CDS2809	MSSRPRRSAALRANEAITDMAEANDRPMSSRSDRRSGRTSGATV SREPPSSPDHLNLNIKVSSNKLRQATVGRDGVRTEQQISVNSRDNFVGGEIISGKRNR GGRKNYVVDSDSDEEEEEEAEAEDDESLGHDEEEEEDEDEDMDGSGEEDAEGEVDEMD VDADGEPDDLGDEDGEGDIDMDVAPASSITVGRSTKPTPKPKPAPAQKPTANAYDDDD DDDLSDPGPSDIEETITYGETMLGDDDAEGEEEEIEVAAEGEEPDAEGEEEEEEEELD SDEEGASRAETPDLSKMTRRQRARFEDTPQEYMKLSDEVQVKKHFTAEELSMRRAEMA RRRRNLSEKRNEEVKMETINKLLKKQAPKTKGRAAAGGAGGDEEGAESESQKPDPTTF RWISDRAGSRIALPEELLASHAGDVLRARGGGGGLRAGKVVEEVQ
SAPIO_CDS2810	MSGPEDKKPDEHPVIETVAEPVAKVVAAGEPSSPVQEDRLEIAR RFLEDETVKSSPREKQIEFLKSKDLSDEEIESLLGPSPTPETSSSSTSDPLPTPEPEP FHDAEPTPHAPIITYPEFLAKPTGPEPLLTPSLVLSSTYALTSLATLLYGTKKYLVEP ILSQLTAARLDLHSETSKNLSTLIAKLENTVSEIPPSASSSSANAAANNDADSECEDP SELFHRDMGTQTSGRSSPVIPPPASALVTEPAAQTQARKLANLARNLGYLKEGIDSQV DDMQDILAVVDTFRDELRQTSVSRSYAHLGWNQPEPDDEIKKAKDNIRRLKGVFLSTR NFPVSTK
SAPIO_CDS2811	MASSYGLKKGSGQEHAPALVEDLGFSSDTTIEEQNDDDMLWTVE DVLAEMPMEEHGEPHYLILWTGFPLHEASWEPKEHLEPDTLKAWAENKAAVEKGEKEP FDVESWFNSKVQYLKERIGRAELRNARRIALGRPLTPTLQELQRQLKEVATQGDIDVT DFETDGLLSDSDDSYSDEIDERILSRPRPVAEKDPEKAALPPKGRVKPDSPNTPDAPP GTSQREDPSRLIQSSGADGAPQSRPKAPHRDSGSTKQAPAPGKQEALLRRSVSKVSGS SATTTRANVATPARVRSLLPSGHPSKNSRIVSGASGPTSRNPAAPQQTPSSSQGSSRL AARRTTVAKGSLGAGANIFVTGIVRKQRRRLKDALVDPTKGQKHFTKMRIQNIAFKRI RDGSDRAPDNLPSGLFRITDTAQHSKSIQKPESESESRESGRKSASKSTHESAESADG GPEPRKKQKKKSVRFAEIESVAHGPSEPIMDAPYTAEPAGMDDEEAVFIPRNSPPPPV HQTTRITKMISIGGSGLDELEVLFDGIPDMPAEDWYVHLTGGGPIHFTHFLTPQDLAA QMPDLIGANLASGCVTTEKASNALKTLSKQLETGSRGVVCEYEKYIIILYPNGCDMWK DPIFKASSNTTPECLIRHLMYAKRVEGDQMLSLGGDVSEALAENDDSVSGHAVFEHIL GREYSSLLPTAMKNTETEKVEEKTDGKETEKSAKPNAVGQGSIEKAQHAFFLVASPTE DNILRELSICLKLRDPDSRIYLSSVPGAWKAMCRSTSVGTIIFHEPSMYIVRRMPGIW DMLRRGDHCFTFWSFSGTMLLRPGPNPTDSTKPGMVATGAGATLSRIFPGGRAILVTP SFLLTQPRRAYELLKWYTTKVLGRQSRFTKLVLASDAEQYVRDIASQRALQSAETSGV VPIFEGKLDENCAVWFKLLEKLGHLVSEATDEAGLMDECLSPVIFAPESIVSNDEQSL VDWFAWWSVTVAEEYRRFVVIGTNEEDIQGENGCKMMARFPNYRLDLVLDSSWPDDLA MESNADATARVLQKSFPFPSRLLKTDSSQSITDYVEGLIRRGSSFLIMYKFPVGFHDS CLDYSGSRGAKHYTFTAWFDYLRPFDPSGRKCVYGGLFYTAVRGWDTVRSGQRPTEFL PQLPWLAFYRPSNPHKFVSGEKIDGSDEVDLIIWDFFAIVRFPLGQQPTENALSDAQQ DLIRFVRQETSRKNAGSRLGAVWLGGFPLDPLIDADLHPMDATLEFLGSVLTNLKKEV PATSQQLERSGYRRVILDVESPASWASTEEEKPPQGHPAIVFPPPPGDRTGDPSPYNN NILYGAASEARKRGFTQDDTIDRVVNESSALVLRPEIGEPSSYWRKRPNYPNLEAPQS FPVGGSSGAGTSPQHRRGSAQQTGEAIAQKAGQKRWVGNEEENHPWKKKRSNPKKSEE SRQKEQNGKAANRGPRNDDQPTVELPSRRGPQVHPTRVPLLDSYRPHHSKKRAQDPLG GVKGDDAEQGRQARRSEMLRYEEVLGATTQDVVLYDYEPDMGS
SAPIO_CDS2812	MANDEYDFLFKGACPRDLPPLVLIGDSGVGKSNLLSRFTRNEFN LDSKSTIGVEFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISK HQTYENVNRWLKELRGHADSNIVIMLVGNKSDLRHLRAVPTDEAKAFASENHLSFIET SALDASNVELAFQNILTEIYRIVSSKSLDSGDGAQATIGTGTNISLSKPAEDGAAKGG KCC
SAPIO_CDS2813	MLPHGRKDSKFDSKSKLYQLNELAELYNCNNVLFFEARKAQDLY MWMSKVPNGPTVKFHVQNLHTMEELHFTGNCLKGSRPILSFDAAFDQDPYLQVIRELF LHTFGVPQGARKSKPFIDHVLGFTYLDGKIWVRNYQINEVDTTEAPKEGEEAEEDKKQ KKHKAGSKDTDISLVEIGPRFVLTPIVIQEGSFGGPLIYENKEFVSPNQVRSDLRRQK MVKHSARAEQVVERQAKKRDLGLRSDSQQKPKDELDTKMLFA
SAPIO_CDS2814	MSSASLEDLPTEVRLDICQYLDVKSVFNLTQVNRSFNIMIKSNR AGILLPILQRDFSPLDELVQVLTASEEDLEVRGHTYQPRRVIFQRRQSHCRTILAHGG FQHADKPEQDSNGFQRIGKKAARPNTGHHAPLQTVVLHAGDLDRLLQYCLVVRQWEEI FPHLRWIKQPAYCRFLDDHEQHKFRRALYRWWLYTFYFHGDFRRPRDAQPSAFVDDIR VCQMRMYSTAELLELLDLLTAVFHLVQHYICPKLEQNLVEGYGTSQVSDAEVRWNDPS RLGRIIRTYAKLDPKELVLYFESIYSYSKKRLISDVHLRHPGFVDDQESLQASIRAVL EERSWLEKLPVPTDTVGGIVDFEDERDDEVERLRSDSSADGSLPEPATYIRSYSTFNP RGDDGSGNDAWSYPTQSGPSNRLALAEGVH
SAPIO_CDS2815	MSDGANPNTTTPGQSRATSDDVSMNGFQADHVVNEDGEEEESSS DSGVANEELLYHPTVRGSLLNRKGLQPTGVCYDDRMKLHMNVDWSPHDHHPEDPRRIE EIFNTFKEGGLVYTGPHKDLPKILRDAPNKYMYRIAARNATKEEICTAHHPHHYDWVK SLASMSYQELRILTKIKDQGRDSLYVGSMSFAAALLSAGGAIETCRHVVEGTLKNAFA VIRPPGHHAEYNHAMGFCFFNNVPVAVRVCQRQYPEKCRKVLILDWDVHHGNGVQNIF YQDPNVLYISIHVYQNGEFYPGEPENPDIPDGGLGNCGSGLGLGRNINIGWHDQGMGD GEYMAAFQRIVMPIAKEFDPDLVVVSAGFDAAKGDELGGCFVTPPCYAHMTHMLMSLA EGKVAVCLEGGYNLKAISQSALAVARTLMGEPPPRMEIPKINKEAARVLAKVQAYQAP YWECMRQGVLSAPDVANLHATRLHDVIRDSQLQRLQRKHKMMPLHIHREALFKTFENQ VLVTPSIHNARRLLVIIHDPPQLVGQLDVDNSFDPHNAFVVDEVLQFIDWAVQHKFGV MDINIPAGPEPEESDIVPFMPSLAEKALEARIQELVCYLWDNYLQLYSDETEIFLIGV GYAHIGIRSLLTCRDSMGKITGIVNFVNGNLRAVKSDIYHDLTSWYKDHSLVYVDNDH ACWIDPELRRRVTKRRFGNVIHSSASGLSKMMHSHAGEVQGWILDRVREEDGDTTEDE KME
SAPIO_CDS2816	MDRDRDRDRDRDRERDRERERERDRDRDRDRDRDRDRDRDRDRD RDRDRDWDRDRERDRDRDRDRSRDRDRDTRSRRYEDETAPPEEHEVQREIVLAAHALE ARCPPQTAMCLKGHPGVDRVVRIDTDETDPATATATGDVVIVRVVPRGVTLHAEAPTV AAPPGRLPPEKTAATVVARRAETGIGRTEIGRSRASVIGRGPTEIETGIANANASVNE RDRGTETANEKGIAIGHGTEMTRSRSPFNRDRPPRERSPFRRTPPTGPRGGPYRPRSR SQGRRDDRALPLAVRSPQRASTTFSPNIYPQSASRRSSPRRASSIAHSRPPSREQTPH YSANATPILAPREVPKLSTQESGSQAQRSPPRGPAALRQPPTGPSGAPRTMAPPTPPA PSPAALAPPTGPTRSGATSPSVPPTGPRGYVPPARAPYNSRGPRGSWGSPAPPRHATP AAQAPAATSPGPGGIPTGPRYSVSSASQLPANTNKSFNPPTAPASQLGAPSARPTLAQ SLWSTMPPVINGGKVDPSITPLTTGVTRDVEAHYKKLREEEEKLREELRIKQDKLSKN LRQWDKLERDAKVWELRSDLSENSMRSLAGDGPGAAF
SAPIO_CDS2818	MTLANIPRRQLPYLQRNWNQGANDWSSPTKLSNGYDGHNGAEPE NRPSKRPRLAEDATPELTDTPSLDEVLLPKVPSSAPRFLRVDVLKIVHKDFERRVKPS VSLSNLDTPPQPLSNLLTIRASCKITILDNSPLCKHAPPVLYCNSQPCTIKTFKNPVG SSPMARVYLERPFFVPDTILKIVKEDGSYDLADNYRLDVELSAADGKEWPPLDLTIPP ERNSQGARRWVLLACLVDLFKRSRMSVDLYMHQYTRECILRTSYIVDIDARWSVGCER RPSTPEKGVMSSITVSVADSNNGVVANGTDLNGVPTPETLVNGASNASVNGYAGEGSE EPEGDTTPNRALRTRENKVYNLKLLSDKAQGKSKDKDRRRRKRDDGQVTYLLGTDQAP LQVPLDSYGCLACGVTHQSLQILQMHLSSAHPGFVCDLRYSKGACQFRVTDRNDWLAS DSKEFQFTSATKTLGFDTAPEAKERRQPGAPQPAPNVAQRPTQPKPVVKKPKKKVLVP QTKQPLYDSVSRALLEPGTELVPPKSDDRWWIQKHRDVISDFVDIELPEREYILAWDK FVLPKRLSSEGFVPKILLEFLSAKAAWIVSSEQREEEFFKHLAYLQLRDVLDQKTLDA VNLILGDARAAKAAKDAETESQDDTSSDTWKREEPSCVICKLPPVGVICTLVCSNADC GKYFHLTCIQDAIKMKPTEEKWLCNDCVDNAPETRDVPAQGA
SAPIO_CDS2820	MPHSTLDEDRQVPLGRVKQPYNDAAPVQNGLEKFPDMDASDNST FEPLNSKWNPRRDPTFANAPMLRGSTPSHQRTNGHSRQKSLSEAIRTIRTRGGSVSQN VHEITDALKAPVSPKLIFLCILWYTSSVLTNTSSKSILMSFDKPATLTIVQFAFVSSY CMLSSALASTFPKIRTAIPALKYPIRPPSRDVIKTTLPLALFQIGGHLLSSNATSKIP VSLVHTIKGLSPLFTVLAYRFIFDIRYPVATYVSLVPLTLGVMLACSGNRTFGGQLVG VLYALLAALIFVTQNIFSKRLFNEAAKAEAQGLGVKSRKLDKLNLLCYSSGLAFILTS PIWFWTDGIVLIKDFLHDGSIDLTEKPHSFDHGRLFLEFIFNGTFHFGQNIIAFTLLS MVSPVTYSVASLLKRVFVITVTLLWFRNPTTWIQGLGIALTFLGLYMYDRSSASNKAD RKARMMADSPKNGPILPLTDRKTMSPINGGLRAPFYGNGHTNGNHLYTNGHSNGDTSK KSDDGRGSRPRGLSNTPLPPRTKQEDTWLAADRAIGVQ
SAPIO_CDS2821	MASIFELPEELVISTLTNHFPCREPQIRALTTLLSPNCAPCKNL VLYGTKATGKSAIAESLLEKLSNTNHDDSTIHYAVVKSARCITGRHLFETTVDAVAQA INWPDTPKRCENLSQLVIELSKMLKDPPREERWRFALVFDGIDDQREAPPTLLPALAR LSEIIPCLTCVFIVTSPPPAYLRTVIGSFLEFPNYKKHEFVKILSLETPPPIPNATAQ ETTELWRRLCGAVHDSLTKAASRTLPSFRHSCHALWPRFIAPVQAGTHSPKEFSKLLI ASRVHFQDESLLNPSIVSSIASKSAPPSLPLSKERDSSTDLATLLPTVARLLLLAAYL ASHNPARHDITVFSTHNYGKRRRRGGVASTGPRSAGAGRGRHRKIARKLLGAHSFVVE RMMAIFVALSSEWAASEGGAVGADGTSALPIDADVGVALATLASLRLLIRVGIGGDMM DRGARWRINVSWEAVRALGRSVGIEVEDWLVE
SAPIO_CDS2823	MLFHTIVAGLLASVAMAAQLQQVTYPAAPQSRATMWVYVPDNVV ENPPLVVAIHSCQSSAQNYFQNAKIPWHQGSDRKGYITVWPSSSTECWDVSSRQSLVR DGGGDSTAIGNMIKHAIETYKIDKDRVFVTGGSSGAMMSNVLAATYPDIIKAVSLYSG VPAGCFVSASGGVAQWNNTCSGGQSHASAEQWGDVVRAMYPDYDGPRPRMQIWHGSVD TTLSSNNYQETIKQWTNVFGLPEKPVEEIQNYPQANYVTQNFGEGVQGIWAVGVGHSV PSNLTASEEWFGL
SAPIO_CDS2824	MFKSGLSSFARAARPALTPRSALRPSHLRYPVTNRWASTASVGN GKIHQVIGAVVDVKFDTAKLPPILNALETDNNGQKLVLEVSQHLGENVVRCIAMDGTE GLVRGASALDTGAPITIPVGPATLGRIMNVTGDPIDERGPIKSEKRRPIHAEAPEFTD QSTTAEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSVF TGVGERTREGNDLYHEMQETSVIQLDGESKVALVFGQMNEPPGARARVALTGLTVAEY FRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGAMQERITTTK KGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRML DPRIVGDEHYEIATRVQQILQEYKSLQDIIAILGMDELSEADKLTVERARKIQRFLSQ PFTVAEVFTGIEGKLVDLKDTIASFKAILNGEGDALPENAFYMVGDFASVKEKAAKIL AELEKN
SAPIO_CDS2825	MTSLVEKPANGAPKDSVGVVKLDPWLSPFEQVLQRRYAKAHEWI DRLNKTEGGLDVFSKGSEKFGLNVDKNNNVVYREWAPNATEAFLIGDFNNWERGTHPM KKNEFGVFEITVPAKDGKVAIPHNSKIKISLTLPNGERADRLPAWIKYVTQELAVSPA YDARFWNPPESERYKFKHTRPKQPESLRIYEAHVGISSPELRVATYKEFTKNMLPRIK NLGYNAIQLMAIMEHAYYASFGYQVNNFFAASSRYGPPEDLKELVDTAHSLGLVVLLD IVHSHASKNVLDGLNHFDGSDHQYFHEGGKGRHDLWDSRLFNYGHHEVLRFLLSNLRF WMDEYQFDGFRFDGVTSMLYIHHGIGTGFSGGYHEYFGAEVDEEGVVYLMLANEMLHQ LYPEVITVAEDVSGMPALCLPLKLGGVGFDYRLAMAIPDMWIKILKEKKDEDWDMANI CWTLTNRRHGEKTIAYCESHDQALVGDKTIMMHLCDAELYTNMSNLTPLTPVIDRGMA LHKMIRLLTHGLGGEGYLNFEGNEFGHPEWLDFPREGNQNSFWYARRQLNLTEDHLLR YQYLNQFDRLMNLTEDKYGWLHAPQAYISLKHEGDKVIVFERGGALFIFNFHPSQSYA DYRVGVDVPGMYKCVLNTDSKEVGGHDRIDSNTRYHTTAMEWNGRKNWTHVYVPCRTA LVLALE
SAPIO_CDS2826	MAPLTRPLAASSPTLFKTRPRNRCLSFSACQASHRRSQDFTSGF TSSYDPTQESGRGPMFNKKVNCGVPEFYPRDLKKRVDDFVVGQHRAKKTICSTIFNHY QAVKRRECQEIADRNYREKLHRQRAKEQSEESTYDAIYQTYRHEFNDPQSATQSPRQS VDNSVLENLFGNEALDTNMPEHVKIDKSNLLLLGPTGVGKTYILETLSKMLNVPFTIS DCNSFTQAGYVGQDVETCIERLLIEANYDVDVTQRGIVVLDEFDKIARKDIANGRDVG GEGVQQALLKLVEGTKVTITIKDGKLTRATPPPTANYNSAGAATPGQTSQPPHPPAGG RSEQFIIDTSNILFVFCGAFVGLDKIILRRVSKPSMGFGAQLTPRYSLSTSQNVLPHK AYSHLPHQSTPDGVPSTFTPLDLTSPADLQAMGFIPELIGRLHNICALSPLSLDDLYR ILTEPRNSLVAQYTALFETYPSKLFFTRKALYAIAERAAKSETGARGLKMEMERVLAE PIFDAPMPYVLITEACVRGDGKAEYWGKDGKFEVERRIREEDLDEGSEAGGHVSTFEQ FREAGESGA
SAPIO_CDS2827	MLRTHSATKVVRQALVRSQFASVPAATRGNATRALSNPQLNNIE KRWESMPLQEQAELWMALRDRMKGSWKELSVQEKKAAYWIAFGPHGPRALDPPGEGKN LFFHTTLILAGCLAIFVAIRAFGGQPPESMTKEWQEASNELLKEQKSDPITGFTSEGY KGKGMIQSPPKGA
SAPIO_CDS2828	MATWKERGIVPDSEDEFGSDSDDDVLLPPPVPVPRTVLQEKSSS NSLPPDANSPVPVETSDIWDLPFSSQKENQPPQSQLARPKTPTEPYFQLVSSPLSEPP SDLGIDADESQSPKRRAPIQPRNYARVFSPDPLAFSPANVTDIRPPSSKPTRQPPENE TPNESNTSHGVVDHEVDGAENNDENGESSAQAEDISDLSQMEEPLSVSNTETGIDYPT EDLESSELHIGRRTFRPRKPIQKHPYALENALYSKIFKSHGLKPVRLQTQESSQQRKG TEDDSQEKDFEEDTQETTNESLETSDESQERPAIEEANLLDDLGSSSPITPTTSLPRH QGGPSSQPSADGTENTSVDGDADLDEFPPLEELVNAPPQHSSSTRSKKRKSLSYSSIS AKRSRLSARNERPGNERDDIYALTPSRPYSIEQRRSQTTLIPKLKIPDDFSLSPPSPK HNQRPQDTRPSPPPTEPLRLETPRNRPIDLTAETDDESADESSDSSASGSESEVIREV GKRLRGVLPPSYLRLNETKEAKYQRSNQPNRPAITSPGKLPRRGVAQRRTPGPETPIT PRPIFDVFDDDDEDNAVPLGAEESPIPMVQTTLVLEPDEGETDDNDLSAMEDNQIDVM LPVRKRSSAVLPKAYGTKPAKRPKLNISRTTQSRITSHFGGSDMKGPSKANPPKLKSQ RRTRRVMPTSRRHPPQNRNTGPAPKLGILDVIEPDAPRFLKIAARTARRSDNLGRSSP TRKVLQMATRWDHLDVNSVMQKWRGGHLKPRPLTKNHPQPRPKPNPQLNISHHVRPRP MFRNKKLITQLDTDGNFTYTQSTINPTSTAHNAPRPEGQTTSGAYLSSRPLYRPAQLE TTVEQNKYTFHAKKKALDDLWRRTRGNSPSVGPFSITGSILQDDVGRVLSTGPAETTD APGSVRSITPTSSTSRAGNRSKFRKRFIPKEIDTTAPQFSYANEPSPQPLLELSSNPI DGLGNSDKLNGLGPFGTQYTHHFEIFPLDSDVFFHETTLIGQGRIDKATDGYDQERLE QNRGRTTFELDGKTLRWGSWNEQVSSEVGILMDWMVDHFHTPSQEFDLSRNATALQAA DFMLNYIQDSMSLPDPAAEQSFAYRILELLKGFQERIEADRPYSKPQCRTVVLDVLSR MLTLAFTCTHICRKSPSLIDTRIPMEDLLVRISKTTAGALLDQGIDAVLKFLEDSRQQ SSRQRGVRRRDVIIQSWVVLMRTLEVARIPRSGFWDVLYSVMITNDINTETDCGKLEA LWKTMFSLLPLREFDSHGAIVVGIRRKVSMDGWALPQRLIKRVLDLYKQNRRQAASFN DYCRALISRCHYLAQEWGWSRCGGIVGSIFDFFGSQDLSHLRNEEAFKSPRFLDELAG NPSLAIEREDRCFHIFLKFVAVVIKRLRDRGATNEIRNLVARLMPNHSRVYLKDQPLH SHDLASLRNHHDLLCTLFWPAPPQLRPGAHILETLIKPGDSHKDACLVNLKAWNQLAR YIVATEEDAEVFKVFRRWQFGMFQQLLDQFKMAESDAQEQYLALSKDDSQAITQARIE LVVSMNRAVAKEIIHACLKASLDTMSYARSLKAAAFALNSLQLEEIFNHFSLMPVTLD WAILRRALETVSSYLTKVDALCNMSDCSAGVPAGFSQAMTALHRELSPSFFSMARCVL GSHEIQPKSTVAKVDKDVCIELAVVLSAKLLSGFARVGLVAISQAFGRGKCSLFQVDA HRLSLSHRRYLPLFVAILLKQGPSDATGLGSSLLNLWLLAIVKPRRFLAYENQLAEEL KRRHEPFVPEAVVGLSIDPDYGSNHELFAYAVSWMRRALRQAPPSSTKALSAEFAAAL RTVMDQIKFDLKAISMDAADHPIYVVFIRDIVSLIRSHCTGMCTIDEFFYQINKEYSP SLQDPQLHVAGIISYGLRLGEGDARVVPQLFYYLYNNFKVALINNKLGNEARMLRKGM KNNQILGFVFSKMLPAVATAAVRVRGAFTVLDVYCEGMAQLFARYPASHELPKEMLPD AIHLLRTVVRCLYELSRGGESLISAEKLHVACRLCSIANVLWPSLGTLSYTDTPSPSL DELKILYRRLHGWLDGSISYLEDVLILRETSPRATDLFGGLRAVAESLPPADSSAASF VESIVTDVQRNWIITEENITIQAPGRVPGSTSTQSGQGTRKPLWDVFELTESLKNELE FWNMMQERTFPLGDECRKQDRGTGGLFF
SAPIO_CDS2830	MSSPGQPPSGRAAFKKKDGILSLSPDQKTVLWTPAPGTGPPTIT LPIENITNLQKTPDSSPKVMLKIFEKNPDGGDPVTYLFHFNTASAKPEADSMRDALSK VIGALQSTNPNLPKPAQAAAANGSATPSAAGRASGSMTFAAAVNATPATSKWFDDSQL LNDISLQLEYLKTDSTLNQTYMEARATKPDSISLGAFNLQFWSSRLHILRAFLIDKNQ QKADYNVLATIKPKTVDGDLKLNITEKQISLIFKQHPLVMRIYNEQVPKLSEPEFWSR FFLSKLSKRLRGERIGPSDAHDPIFDRYDPNENLLGIYSKITPETIPQIINVEANEAN EGGLKSGNRKDVEMRPRKDVPILKTLNSISAKIMANVAPSDGDPHAPKGVDEKTFNEL ILRDLRDEEKANRIPLKINEEQAFFSNAGDISSSNAEIFAKQNPDEVLQLFKANVEKL NTSSPNGIDLHALTGIEDDSDSDMEDDAPPRPPRVGSRATRAAAQEDILQGIRRHRSE KYGGDWAEDSPMGLPPAIAHQCTITNSTTTEFLRQFWAAFLSGDPDRALELQYLNEAL QRSVGRINAVAEEAEKHRDEIIAQKKREIREYYERTKKKIRWKADSVGGGKQAVLALM ESTLFALSKAQEEYRKALEAEGLRPTTEG
SAPIO_CDS2831	MSSTQSNGSPVVDHRELFTRAVTRILSTDIAETTYAQIIDGLPL FDVLLDSRDGLFPKGHPIRNHTQLCPGILDKTREFRDKFRPQTLQFDSRLLSAYKAAG PGSKAFNTRLIEMTAVAVHEIAVLLFNRNTSLHKDDGITEWTPPKSDTWFWEWNPDGP LPTLFKHPWFIDFEQYPNGVADMVGYWAEARILGGVVLFDRRTPDDKPASSLDLDDEE LSYLLPPKLDPDAIYFHSDREHVTYRIYQLLPEQKQALVDFLTADPRPLTCPLPILGD DNNLPRVDPEEPLKATGIYRDIWERKDRPFERSDARLRDVWDTNDFPTRDDKGAAANR AMEMRFQAEYGDDFDIDPEL
SAPIO_CDS2832	MLRNIACRAGGRLLSPSTSSPAWRSVSTRRAMAVASQKTRYTTS ATSVPPDTSDNFLSGSAASYIDEMYMQWKEDPSSVHVSWQVYFRNMESGDMPISQAFI PPPNLVPSATGGVPSLTPGQGMGHSENSDLMKHLKVQLLCRAYQARGHHKANVDPLGI RNSSKGFGNVRPRELTLEFYNFTEKDLDAEFELGPGILPRFKTPERQKMTLREIISTL EHIYSGSFGVEFIHIPNRTRCDWLRERLEVPTPFKYSIDEKRRILDRLIWSSSFEAFL ASKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPHRGRLNVLSNVVRKPN ESIFSEFAGTQSPDEGSGDVKYHLGMNFERPTPSGKRVQLSLVANPSHLEAEDPVVLG KTRAIQHYNNDESDHKTAMSVLLHGDAAFAAQGVVYECLGFHSLPAFSTGGTIHLVVN NQIGFTTDPRFARSTAYCTDIAKAIDAPVFHVNADDVEAVTFVCQLAADWRAEFQHDV VIDLICYRKHGHNETDQPSFTQPLMYKRIKDHEPQIDIYVDKLLREGTFTKEDIEEHK SWVWRMLQDSFNRSKDYQPTSKEWTTSAWNGFKSPKELATEILPHNPTAVDEQTLKHI GDVIGSYPEGFTVHNNLKRILKNRSKSVLDGAKNIDFPTAEALAFGSLVTEGNHVRVS GQDVERGTFSQRHAVFHDQETEDTYTPLQHLSKDQGKFVISNSSLSEFGALGFEYGYS LQSPNALVMWEAQFGDFANNAQCIIDQFVASGEVKWMQRTGLVMSLPHGYDGQGPEHS SGRLERYLQLSNEDPRIFPSEDKLARQHQDCNMQIVYMTEPSNLFHVLRRQMCRQFRK PLVIFFSKSLLRHPLARSSLDEFTGDSSFRWIIEDPEHKTGVIKSPEEIDRVILCTGQ VYTALHKYRQDHQIDNVAFTRIEQLNPFPWQQLKENLDMYPNAKTIVWAQEEPLNAGA WSFTQPRIETLLNHTKYHDRKHVMYAGRNPSASVATGMKHVHTAEEAELLEMAFTVKQ DKLKGEA
SAPIO_CDS2834	MTALQVQSPVSAPWNGSLDSFIAWERPISLENILCNIGPECVDD ESVGAGIVLASPSKVDPDYYYTWTRDSALVFKGLVEIFTRNTTTDLQTEIHNYILAQA SLQKVENPSGSLRDGSGLAEPKFHVDLTAFTENWGRPQRDGPALRAIAAITYANWLLE DGYASLALDKVWPVIQNDLSYVVQYWNNTGFDLWEEVEGSSFFTTASQYRVNSRDGRS SKDVNAILASIHSFDPSAGCDDRTFQPCSSKALATHKILVDSFRTEYSVNKGIELGKA VSIGRYPEDVYYGGNPWYLATLAAAEQLYDALYVWERDGHIDITDVDLAFFRDFTPGL SPGKYLNDSTEFGDLAHSIATYADGFIEIVARYIHHNGSIAEQFGRDDGTPVSARDLT WSYGAFLSAVARRDGQIPQAWLNPSAVSVPDRCVPTSIVGYYEAATPSLFPLPDGDAD ATAPTALQSPSESGSSCPGTRYVVVKFEVRVTTSWGQTIRIVGNNDILGGWDPTLGVD LDATGYTEEDPVWSVSIVLLAGQSIQYKFVRVGEDGRQVEWESSPDRTYSAPAECETA ATVPAAWNLNVPGE
SAPIO_CDS2835	MDAATLEETNKLRISLGMKPLPVPGAAASGSEDEGSGHDEPADY LEARTAEAYDNFQKLRDEEEAKRKRDERAAAIKKAREKAQRHAVMEGKGLGELGAGEE ELDAKAWLMGQKKRQKKIAKLRRLEEEQAAAEAQAAAAVQYTSRDLAGVKVAHDVSQF VDGDEQILTLKDATIDQYEEEGDELENLGLREQEKLKKNLDLKKKKADYNPLDMDNMD VQNVLSKYDEEIYGEKRKRFTLDTSGAVSDLADILDTAPSKSSRGQTVNIDIIDENAR PSSDYLDISEIKVKKPKKKKTKSTRQKPVDADDFLLPEPTPEDDQKMDIDTSVKENAR KRKSEDVNFVDDDDLQLALSMQRRNALKKRKKMRPEDIVKELKEADDSEEAVPEDDGG LVIGEVSEFVAGIKKPDEEDEKPRRHRQSAEPSASAPKAVSPSPDDNEDVPMNGYLPT QDEHDLREQASKENDEKLSGDEDIMEEKTISGGLGATLSLLRERGILKESDGAERHET FLKKQEFLAKKRRMELEIEEAAKHQRERDRQSGRLERMGQREQQEFARQQNTQRDLQA SRKMAELFNAEFKPTFEIKYTDDMGRRLDQKDAFKHLSHQFHGKGSGKGKTDKKLKKI ADEQRREAQSVLDASQNVGMSSVTAQQLKKRREAGVRLA
SAPIO_CDS2836	MGSIGTPIPPPDLNKLLPPLPLPFPFLSEPDENPKSMFEEQTDR CFQERAAEKYIQILTRDSSVRHPEHEADEPETSSTRNETHRYQLQSTSKQLPIFQRRE VDLRAPQNEAGPLIQIPKSQPIGRMVRTGKIYRVESFRSGIPLVPATVEQQPIVIKDV GARRSARLVTYSEMPQAAKVRSSRGEILDSPASTKGPGQSPSSDSSSPFARRTGGGTQ APSPVIRRVRGLFNLRPDLSILTSAPQQVSRNGDSSLTSAEERKLRVSRRGPRITPNG FPTGLFRSLTVPRRDAALNYARPLLSNRLQAQDAQYTRGASVASRQHVQQSVDFFNPL GSSSLSSDSTATTSDQSKNSGTYTQRGDLVSSQLNQTAGACVNNQRRRPLARSSSDPR SSSHATERGAQYTQRLHRASDRLDEVANRPSGSNLSRLSTNTIYDYQDYYPEHSAPNP HLNPDGNNSPHYNNTTPRPHRALSETANSAALEELITQMEREGEELVQEFGEMARPYS PVLSSALYANLPEVEVATEVDDDAGAQVGPLSPNRRAATRYGPYGNRNGDWDGRWTGH WT
SAPIO_CDS2837	MSSDEESSVSTRASSRKGKAKATKADLQDDSSEVTPLLSGSASI TRYDGEEHDAEDNDAQPEATSPRSADDRPSSSHSLKSYSSAGWPSIVAGVILISITIS IMLVAFFVPAAVEEYAKQAAVLEPTNLSLESITATGVRARVQANFRLDGSRVRQDGPR RIGRVATWLVGSLGTEKTLVGVYLPEYDNILLGTAILPPMTISVTDGHSTSIDIIAEL APGDADGIRSVANQWLEGKLDRVRLLGKADVSLRTGVLPLGTHSVVESFIVEANKMPE LPPYNISRLTFSDIPGSAPDKRAVGANVTILSYNKYPVELDVPELGFEVLVPNCAAAD PLIPVALAVTEPLPIRANSEVAIDVHGAIEELPESLIRECPGSGSSPLDDFIHRYLNG KPANVVVRGRKLPNSDTPEWIGELLSSLAIPVPFPGRSFDDLIKEFSLTDVDFQLPDP LADPDSEDADPTVSGTIRAVAALPKELNLELNVTNIKATADVFYKKRPFGKLRIKDWQ HANSTRSDDPASGEASLIINSRVEDVPLNITDSDVFTQVLQALIFGDKDVLLDIKALV DIKVETALGELVLNKVPTEGNIPVKHLPKGSLTKLHPSVDKVRVLKTTEDAIHIEAYV NVTNPTPYSAYIPFFTVNVIKNGSVIGDATIRNARIVPGKNENRLVSAVWEPARGGAK GRKIGVDLISGYLSGENITIAAKTHGGSIPSAPLIGKALSKLDIEVSAPKLNLPSDDP EDKNKFIRDATFHVFSSTATFTLVSPFEYNTLLLEWVNATAYYNHTEPVGHIEYGDSF AVPPGATKTPRLPVRWSADSIGYDAVRRALGGTLKLDAKADVTIRLGQYRETVWYIGK SIGSKIRP
SAPIO_CDS2838	MDDAERRTMKRSRFDQTEPEPRRPSRFDRRSRSPPSKRSDAPRE RSPISRPDGDTKRPGVDPAAAAAAAAAKINAQLQAKKGIHHADVPSIKSPSDSDSTPA PPLGKTKEGGVIGGDMYVSDGDYIKDIEINDLRNKYVITRSATLNDIKAQTGADVTTR GKYLPDKSMATPTNPPLYLHVTSTSKEGLEKAVAIIEDLMKQELPNLVDERRLRRREQ DTVERDEYGRRKWPEEKIPINLEPLPGFNLRAQVVGQGGAYVKHIQHETGCRVQIKGR GSGFLEQATNRESDEDMFLHVTGREIEMVQKAKELCEDLIDNVKQQYEEFKSRPPRSY GGGGGGDHRGGYGERRTYGDRGGYGDRGSYGDHGGHGGHGGHPSRGGDSYHGYGRNSY SNEPRSASPNTPTTSSAPTATTSASAADYAAQYAQYYGGQDPYAAYGGYAAYVQYYQQ YYAAAQAQAAQQGSPAPPSGPAPPTSAAPPPPPADSPPPPPPPSTAAPPPPPPGSGPS LPGVSGGYGSVPPPPGL
SAPIO_CDS2839	MASRRLLSMPLLRTATKAPVTVSATQLRNGSSSSSTSALAYKAL RLRSGPLPVAESPTWTAQAAVSNILYETPLPSTAPPKRHILNCLVANEPGVLSRISGI LAARGFNIDSLVVCSTEVDDLSRMTIVLRGHDAVIEQARRQLEDLVPVWAVLDYTNTA LVQRELLLAKVNILGPEYFEELLAHHREISAAEPASEEAHTSIEQSINQAAKDFHPSR LAMSEALRHKHEHLKSITYFTHQFGGKVLDISTNSCIVEVSAKPDRIDSFLKLITPFG LLESARTGLMALPRSPLLDPKDDAIQKDTDEIVDASQLPPG
SAPIO_CDS2840	MSHQRYPSHDPIKEPHAISLKVLRLSRPSLVVQYPVQPPTATTP TTTTIQPPSSEASLAYTRSDTNPDPFILSPAVSLPLSFGSAFVGETFSCTLCANNDLD APEAGAGAPLQKHIRDVRIEAEMKTPGASSTVTKLNLLPADDGAVGSSSGVDLEPGET LQKIVSFDLREEGNHVLAVTVSYYEATELSGRTRTFRKLYQFICKSSMIVRTKAGPLR RVGGQGEEGKGGGPRSRRWVLEAQLENCSEDVIQLENVLLDLRDGLEYSDCNWEMGGV PRPVLHPSEVEQICFVVREKEGASVADEADGRTVFGTLSIGWRGEMGNRGFLKTGQLG SRSLRQSR
SAPIO_CDS2841	MDPQPPKPPKRKNDRIILQFDYDCFYASVFENQNPALKTLPIGV KQKGILATCNYVARGRGVAKLSQISAAKKVCPDIVIIDGEDLTPFRDVSKKLYAMLRS HSWNGKVEKLGLDEVFMDVTDIIDYNMFCLNRASLSSTFFFLSKNDPEKGFICDLTRF AGCVERFTDDPIDMSDPLSVRLLLGSHLAYYLRMKLENDFGFTSTCGISTNKVLSKMA GNRNKPRNQTTLLALREEDVTAFVDRHVLRQVPYIGFKAARALVMKLRPDLPPPEPMS HDCPLTAGEVRFYPGMSPALLESILGGPGAERGTGDRVWGLLHGVDDTEVKSASDIPT QISIEDTYRQPLDNLDTITKELHKISKSLLRRMRVDLMADDTNPHRLSTRRWIARPRT LRLSINAPITADQSYFSRISRSGPLPAFAFGIKEDLDELTRRLVSEAVLPLLKKFPTG RDHRWEIMLLNICAANMVLTGSDDGAGIGRDISVMFKTQDEVLRPFRIQSSPKEVQGK PPPTSDDYDEMEEDWEAVGDDFSGYEIWD
SAPIO_CDS2844	MKNASHNSRRKSRKAHFDAPSSVRRVIMSAPLSKELREKHNVRS IPIRKDDEVTIVRGSFKGREGKVTSVYRLKYVIHVDRVTRDKATGQSVPVGIHPSSVV ITKLKIDKDREAILENIKRGRETRTKDE
SAPIO_CDS2845	MNSFRSLSWRLQQSQRALACFARQNARQQSRQWAQSGFRRHASS TTPPPKTTPHRPTAEETIAQVREHYKQKNRTTSYYTLSVILATVALSYGSVPLYKMIC QTTGWGGQPVRAHSGPEDAEELASRLKPVTDAPRIRVTFASSVSDVLPWKFTPQQKEV RVLPGETALAFYTATNTSDQDIIGVATYSVTPAQVAPYFSKIQCFCFEEQRLNAGETV DMPVFFYLDPDLLNDFNMRNVKTVTLNYTFFKARYDNNGKFVAPPSPE
SAPIO_CDS2846	MPPLSPRSQPKKIAVVGSGCAGLAAVWALKKTHHDVFLYESADR LGGHTNTVEWKAGKYTTTVDTGFIVLNAATYPNFINFLKSVNVPIDPTDMTFSVSRDR GLFEWAGTSLDSIFCQRRNLFSPRFWRMVFDIIRFNQFALDLLIEDHERSTPSDEPLV NGYHPLNATIGEYLEREGYSDAFRDDYLIPMTAAVWSTSPDKCSLEFPAITLVRFLWN HHLLSTISSRPQWLTLRSCGQSYIDAIMQGFPRSRLFLNTTVESLANDPDGKVRLKLS NGSSSPVYDHVILATHGDQAWSIIQNSATKDEKSIMSVFKTSLNKAVLHSDLSLLPQS RKAWSCWNYITKSSPTGKANIDTVCLTYNMNLLQHIPRDTFGDVLVTLNPLHKPHPSK TQGEYLYTHPLYTPEAVRAQERLHRIQERRGISYVGAWTKYGFHEDGFSSGLAAAERL GAKLPFEFRDSTYSRGRKPEIGLRDWILRLFLILIQLFIVETVERVFGSRKARTRQQA PMSKRKYT
SAPIO_CDS2847	MRNQANRLAKKALTGLRTQTRSYTNATSQIPPRHLMTIADLTPV EFTNLVRNAASHKTLVKGRDAKHLEELRKGLMGTAVAMMFSKRSTRTRVSTEAAVTLL GGHAMFLGKDDIQLGVNESLYDTSVVISSMTSCMVARVGPHSDVTTLAQHSSVPVINA LSDDFHPLQSIADFLTIHENFPSSNPSDLGLSGLKIAWIGDSNNVLFDLALSAVKLGA TIASASPSGYGIPQGMKDLINSAAKSSATPGRLIETTVPEEAVKDADILVTDTWVSMG QEAEAKKRLQAFAGYQITNELAKRGGAKEGWKFMHCLPRHAEEVDDEVFYGPRSLVFP EAENRLWAAVSALEGFVVNKGKI
SAPIO_CDS2848	MANPLDTDAGSELFASYEAEFKLVQADLDQKLDQVPELTGEPRK AAISQAERALEEADELLAQMRLEKQNIPSSQRPKINSRLRTHESAVSAAKSKLASLAS DRASLFGARYRDDPENPSSSANADIQLAQRQQLLSGTERLDRSTQRLKASQALANETE AIGAAALADLHQQRETILHTQGVLGQSEGYVDRSVKTLRGMARRMATNRVITIAIITV LVLLIMAVIFSKFR
SAPIO_CDS2849	MPKSYTIKDLLRLRTDGVVTVTPAEMKRICDKAGAGEISVSNAN KKSGHGERGRERRRTLTQKTSSSDSRDVVVTLPEASEWHYRGRTGPEAAATQPIPAPT GLGAQKDEGFQRFYKAVVSPTHVRVTAGGRIVPNTRGAQSPNQKNESPAEQQPNNHVE TQPAQEQAPAGASVPLPIGYPAPWPGYPAGLFGPQQFPFPHIPMGFNFASGLAIPQAV GRNGYGQVDPVTPQVASHGQGLDGAGGVRMPPTGQFDASRPCFLNGQWMLPLGTSPYP YALHPLMASPGYMGGQYAGSILGQAQIHAQMPAGSAPYPNFDAQGTQNAGIHRPTPLG APTTPPISSIRPSQITRSHIESLKQNLKRVEDQLQYNVHQIDVKHMEGLAREIRHSIK ALEDALPRQLEFEELHYPKLEKQEPRLNGIPFGSVGLQATTGGSSTIKENGVPSQVTG KPSKAVRGIFSGDNNTFQRSVSSDSDQSRQFSGLPMTAAAATPFQPSVQPSNHGLGSS SEDGYKESREAARKRLMSLGGNSFREMAINLTTKEHGTPVRGKANAHQNGFVGATNNP KLSIQQSSQQRPYLVGSLPPGSNSKDAAHGNFIYPRELTAEEIRARHLYWGQAPRSAM KGLPKFDGKDFYPPSPSKVREDVVLGALGTIDSSQTATDPFSSTDSRKTEGPTIATSC SESEDLVNGHSKKKKDGSNGFEQPKIVRVRRQEEPSSGRKGNDLLQSMLKKGSPSSNA LPGEITSTTAHGLLPQYGGHAAASLTPTIANTASSRGSTSKGSEHEDKTGATGSLADK RGENRPPVDDTLGEPVRHLRI
SAPIO_CDS2850	MAPLTPHWVQPSHPDRLEVIVNEAAFTSKSISKISLPPFATFAK LSFPPCTVSENVTYATVQMGKDKHLNLNSDLLYINHSCEPSLMFDTSSFNVIVGPRGL EPGDELSFFYPSTEWFMAQPFDCLCGQPTCRGRISGARDMTSEQLKGIWLNAHIRELL EERDAAASSTSTSSPSPSSAQTTNGAAAVTNGKTDNNGVVKKNGTAAPENGVVDQTAD ALRDALKHAEQVVEAAKTALATYLESRTGSSSYGVAVLSSVEAANGSDVANGEPNSKA GVQRRGVTSRELSGEMGGDTPIE
SAPIO_CDS2852	MSGADSEMKIDAARAKTLVSQLQSVSERIAAVSKGRNVRLVAVS KLKPANDILALHQPPVSHVHFGENYQQELRQKAEILPKTIQWHFIGGLQSGHCRPLAR IPNLFCVSSVDTLKKAQLLDKARGDLIATDPSIPPLNVHVQVNTSGEESKSGCTPGDE TIDLCRAVAEACPNLRLLGLMTIGAIARSKATTPENENEDFVALREQRDLVAEKLGLE KESLELSMGMSDDFEGAITLGSSEVRVGSTIFGERPAKAHAKIKE
SAPIO_CDS2853	MSSSGGSFLGRSANSNSNMRGLVQFIADLRNARARELEEKRINK ELANIRQKFKDGNLSGYHKKKYVCKLLYIYILGWNVDFGHLEAVNLISANKYSEKQIG YLAMTLFLHEKHELLHLVFNSIRKDLLDNNELFNCLALHAIANVGGREMGEALSGEVH RLLISPASKSFVKKKAALTLLRLYRKHPDIVQPQWAERIISLMDDPDIGVALSITSLV MTLAQDNLDHYKGAYAKAAARLKRVVVDGEYTQDYLYYKVPCPWLQIKLLRLLQYFPP SGVYSRIITTYPVLDVAMEPTKNVQQNNAQNAVLFEAINLIIHLDTEETLMKQISSRL GKFIQSRETNIRYLGLEAMTHLAARAENLDPIKQHQEIILGSLKDRDISVRRKGLDLL YSMCDFTNARVIVGELLQYLQNADFAIREEMVLKIAILTEKYATDIKWYVDISLRLIA MAGDHVSDEVWQRVIQIVTNNEELQVYAAQHSLQYVKQDHCHETLVKIGSYILGEFGH LIADQPRCSPIEQLLALQGKLGACTSSTRVMILSCFIKFVNLFPEIKPQLVNIFQIYS HAIDAELQQRACEYLALAHMPTDDLLRTVCDEMPPFPERQSALLSRLHQKHANTSDRR TWIVGGKSANTDAAEVGMVNSGGLKRSFSSAATVKANGGANGGGANGHGSGIGGGASD LAGLDMSNIGSAEPKMLKAPNLASAAHLSPGWEPGYNRLLVRSDGVLFEDGQIQVGVR SEYRGQMACLILYFRNRTPAFMGSFTTTLDLDESEKKNLTWDVKGLPESNISQGAQSQ QVIMFEAKNVFEKSPTIRISYLAGALQALTLKLPVAIHKFMDPADLSADDFFKRWKQI GGAPREAQQIFGLSHSRNGDRELTEGFLRRIIEGFRWRILEGVDHNSKNFVGASVLHT SQGGKFGCLMRLEPNYGTQMVRLTIRATDDIVPGILLKLMEERIASGHSNQPEMRGPQ VPTRTEISDAFKNVMVT
SAPIO_CDS2854	MSQTLRFFRAATGLRLDTLVCTRAFATQAPGAPRFQVFNRRTKW LQKERAASDVENSRVADYLKDEVAIRLCERLLDIKREFPKVLDLGANSCNIARALTRE NPDPDPDNPISDPIAKRVTELIAADSSEKMLYRDADLPFNKEINITRQVLADEESLPY DSETFDLVLSSLSMHWINDLPGVLSQINNILKKDCAFMGAMLGGDTLFELRTSLQLAE QERLGGISPHVSPLADVRDVGGLLQKAGFKMLTVDVDDIIVDYPNMFALMQDLQAMGE GNAILGREMGPIGRDVLLAGEAIYRELHGNEDGSLPATFRIIYMIGWREGENQAKPLA RGSGQVNLKDILEQK
SAPIO_CDS2855	MSIIQQHSEATLGDAWRTINIDALEEDSPLNFDTSTLSPPQPEI SESDVRGLAQQDAHLQTITEVLQSIKASEMTPLLNRIYGSEGGSELLDVLVKYLYKGM AAGSAGPGPRSPTRIIPQATGGFSQVGSRPGAANESVTAAMSVLLSWHEKAVEVAGLG SIVRCMTDFRRV
SAPIO_CDS2856	MAQPQSQPPIPKPVSTPAFSPPSGPQQSPSPAQSQSAFTLPPNK RPRLSPAPASQPQSPYNSPYAQSPGATGASTPGHTPSSAAASPQFTGIPLPTTTPTAS SAARATTPTTLPMPTHQIPMPTATPVSTNGHTPGLSLPDSRPHVPTIATPQLPAAPSP GPPSQYTSATMAPIAPAPSPGAPSPAAISQSMPPPARPLDRPTKEFDYEPTDMLAGTG IDLRAEENYATEMLLNSYEASYGFPQHPPGPRETLYGAGQLNQPPERTDAQSQEELVS QAAKKAWDDAARKLAQIRVQELIEPYVLVPAVHRKLEKFAKEQGLQLHVDLKTGQQHG KMRAPENFPQPRVNVTVKTLPDATIVTTAGSFIPHDAYLVDQLGLLSIACKQRMRTLL EDAHRVSVNRQASSHGHIPEEWADVAAPLKGMAAVEEAALVANDETASAEPNPLKRTQ AAAELEPANGTPAKLQRIDKNETITTVRDAVRTERDWEEVRLRKRNARKEGTTESGAP SRAGSVGPGTPGSTAGDGGTKKEMTKKEQRKSAKAAEASNHASQNLTSSMFVGKMGSV FGKKKYGWMTGGGARSGASTPARAGTPGPGGGAGAAPAAQPEAMPLTTDSRNRLGNWR EDKDKGKGVQLRDWIAALEADGVEHATLQSAYDKLDTSGPNAIRLLRLTSRFQAPVTR RSYSMAIAAVGQLTSTADVLLNLEKCKKLVREAAQGGAKVIFLPEAADYIASSPQESL SLAKPQEESPFVNGLRSAAKEHGIEINVGIHVPVTEQSDSPGSVSTRLYNRSLWIRSD GGIDDGTGYDKLHLFDYGALRESATVKPGTRLTPPFETPLGRVGSLICFDLRFPEPAL ALAHRGSGASAQILTYPSAFTVPTGGAHWEVLLRARAIETQSWVIAAAQVGRHNEKRV SYGHSLVVDPWGRVVLHLGGVDSDGNPDDGSDGTAVGFVDIDLDLVQKVRAEMPLVRR T
SAPIO_CDS2857	MASKRKAAAMTATEPEEPIDPADQLKFLCLGGGNEVGRSCHIIQ YKGKTVMLDAGQHPAYDGLAALPFFDDFDLSTVDVLLISHFHVDHAASLPYVLAKTNF RGRVFMTHATKAIYKWLIQDSVRVGNTSSNPTSQPVYSEQDHLSTFPMIEAIDYHTTH TVSSIRITPFPAGHVLGAAMFLIEIAGLNIFFTGDYSREQDRHLVSAEVPRGIKIDVL ITESTYGISSHTPREEREQQLMKSITNILNRGGRVLMPVFALGRAQELLLILDEYWGK HPDYQKIPIYYASNLARRCMQVYQTYIGSMNENIKRLFSERMAEAAESGDTAGKGGPW DFKYIRSLKNLDRFEDFGGCVMLASPGMLQNGVSRELLERWAPNEKNGVIITGYSVEG TMAKQIMQEPDQIPVVMSKGAATGRRGPDGDKVMIPRRCSVEEYSFSAHVDGNENREF IEEVAAPVVILVHGEQHNMMRLKSKLLSLNAQKIHKVKVYSPRNCEELLIPFKSDKMA KVVGKLASIQPPTHVIGPDDPESRLVTGVLVQNDFKLSLMAPEDLREYAGLNTTTVTC KQKFVLGYAGIDLIRWALEGTYGAIEELPEMHTRKATDGDGQDENGDDEHGGENGDKE MVEAADEEVPHLVAAYLIMGCVIVRYKSNREVEMEWEGNLHNDSIADSVMAVLMMVET SPAAVKRSGSQSPHHHHTLPARNPHAYVTPEERLSRLFLFLEAQFGADNVSPIADPKL PPLTASPPSKDSSAPADQQQQAADAMSLDESPESLAQRRAAELERLHKIGIPVPGVFI RLDKMSAKVWLEDLEVESSSRVFADRVRAVVERACETIAPLWG
SAPIO_CDS2858	MPSRLRGPVAPIISKHSYHGPMIPVCSPTSPTIEAMQRSASPDT VGRGSFSSVREHESGLAQSFTSTKISSYAEAYEEAVDDTGDVVMANAEFIPPITKPAS KLHGAWYPAGTPWGRGWKEIRVKGRYASKSYSDLHALHRFTTLPPSPKLEAHEETPNT QEGEPGIRPGNSALERLPTEILTAIISLLVVETPTNSTAKRNADLRAMLLTSKTLHGA TLGTLYTHITIPHSKIFRKFLTQISTHPELGGFVRRLDFSHFNPTSLFSTERERSNAH NLTSSTLLECLELIPNVKEFLAQEHIGENLSPEVLQKLFFGSLPKLRALDFCGCWHAD FRRSFEALLTVQWPKELTISRLSFHKTSTLPAAIFETILPRLTRLTHLDVAGCQITAK ALFSIPTSARITHLNLAKCKRLKADEIITFLTRHPAVKDSIQVLSLAHDASNHELLGA DDLFDLLTVLPPTLKSLNLKGSKMKPIHLPLVAPLTRRLEELSLGRCLMASDVDSLLA PRDDMGPHTLRYLDVSDLVASNDIDPLFDLRYTLLKPVSAPLCVLEIAERPFKRLKER RKAVEIAGWTLKESGQRYWLVRNAGQIPKENPYRSWKMGAESWGARKIPVAVAEVGGI MTNSAPIFRPMLRTQPSSSLDFVAWFWLAISQGPRPFLIKNLKCSISIRLLPPMIRRR RASIPGTTASDAEPEYDEPNSPVRVRDPKYNKMAPVQDTMFRSSEMSMVQLYMSNEIG REVVTALGDLGMLHFRDLNGELSAFQRTYTNEIRRLDNVERQLRYFHSQMEKAKIPLR KLDLDTEGDNFGTLAPADIDEVVERSQVLEQRIQELNESYETLKKREVDLTEWRWVLR EAGSFFDRAHGNVEEIRASTEGDEAPLLQDIEAHARAADAERSFSGMNIGFVAGVIAR DRVDTFERILWRTLRGNLYMNQSEIPEPLVDPTTNETVNKNVFVIFAHGKEILAKIRK ISESMGADVYSVDENSDLRRDQIHEVNARLADVQNVLQNTQTTLNIELNQISQSLSVW MVLMAKEKAVYSTLNLFSYDRARRTLIAEGWCPTSDLPRIRSTLHDVTNRAGLSIPSI INEVRTNKTPPTYIRTNKFTDAFQTIVNAYGTATYQEVNPAIPVIVTFPFLFAVMFGD VGHALIMLFASIAMIYWEKSLKKVTFELFAMLFYGRYIALVMAIFSVFTGLIYNDIFS LSFTFWPSAWEWEHPPETDGAPVVGQLKGDYRYPFGLDWMWHGTENNLLFTNSYKMKM SIILGWVHMTYSLCFSYINARHFRKREDLFGNFIPGMIFFQAIFGYLVICIIYKWSID WFGIGRQPPGLLNMLIYMFLQPGTIDVQLYPGQAFVQNVLLLVAMVQVPIMLGLKPYM LWKEHKKARAKGYRTIGETAHIVAADSDDEDGDRPVNGNGRDSLDAGIHMVTENVDDE EHEEFELSEVIIHQVIHTIEFCLNCVSHTASYLRLWALSLAHQQLSTVLWNMTIGPCL KMTGPIGIIAIVIGFTMWLNLTIAILVLMEGTSAMLHSLRLAWVESFSKFAEFAGWAF TPFSFKTLIEESEDLKEFIG
SAPIO_CDS2859	MKSAKSPAVVDGRRSQPVRQTRTNPSKATGAALQRSASGRGAIN GSAVVHDQPIDIFPGLTHFTDAITALPKELVRHFTLLKEVDAKISTPTEQLRELVTAC ASSPFPQLKNTDDATSTSSAAAASPASATAASVHNGSASSSSTSSTSILLNNALRPVP IHDDAYQAAVSDPSNVPRRRLFHETASHIKDMLIALDEKNHVINTANEVLQRHLSRIE GVWPHLETEFSEEAKWGSTTHWAYPENRLGKPAHNERGSRRDGPSAAAQQAAEEAAAR SESRKQAVQAKKQQRHQAHEADADDHKAEGTKKSQSTKGRKNNNNNTESNNAGLGLGI TAPGTSNGANPPSKRRKVEKPVNGGGLPTERAMSTVFGNSASKSAKSTTSPRTTPVPE GGQKKRKALPSGPTQSKKSKNGSGTTPSATASPILSSFPDNKAPGRASPAPGSSTPRP SSSRSRQPPAQNATETSNTAAATNNNGNSKARPSSSASNKPNGVIPGTPDVVPTVDSK PTEEPSEAPKPEVATATTAKEPDVNADRPVSASTSTKKDPPPKPEETEKKAETAPSVP APVATTTSAPAPPVTNSTTTTIATVTTKSGRASKPSTPALATFQEAARSRSSRTTEST SKRSHKKSSAAQVNSANQTSGESANNKSPRGEEDEDGDIDADEPTYCYCNSVSYGAMV ACDAEGCEREWFHLECVGLKVPPKQNVKWYCEDCKERMKAGGKKTSTR
SAPIO_CDS2860	MAEPSQIRKWFFSSPPAEWALKQLRELTIGALRQGPIPQHVAFE MDGNRRYARRHRMETVEGHHYGFEALARILEVCYKCGVKTVTVYAFSIENFNRPKYEV DGLMEMAKVKLEQLARHGDILDRYGARVRVLGQRSLIRPDVMEVVDRAVNMTKHNNLV ALNICFPYTSREEMTTAMRSTVEEYLSPPLPKSNPFSQSRITRNILTKHLDMRGEPLP TIRDASPAPSSKSDADDDNDANSSTTLSPETLSPTLTGRRLSSSRNALPNPETITAET LDKHMYTSEDPPLDIFVRTSGVERLSDFMLWQCHQDTQIFFLQCMWPEFDLKHFIPVL LEWQRQQKQKAREASPLKAERSAKVQRVVA
SAPIO_CDS2861	MSFTNAPVTRSLAVSLVGTSIAASLFDIKHYFYIFADSHIWHYH QLWRPLTYQLCYINSSEVLFACVALYQLRVVERMWGSRKFASFLIVTSIFTAIIPPVL ISLLQPLTASYFNYLPAGPTSLIFAILAQYYAIIPHIYRYRVATSSPKPPPPPTDPSS SSSTTNNRPGDDDSFSGLTFSDKSYRYLLPLQLAVFQFPASLITAPVGWALGYAWRSG LFPSRLTSWRLPGWLVGASSQKPSAQFEGLRRRLEDEDITEATTAIATGVQGAEGRGE GGPQRRTVTQAIFQQFL
SAPIO_CDS2863	MEPRARAGKNVGKMNFTANDLSLILFGFGDVKQPLPETLRVCDE ILTDFIQGVAFEANRVAHHAGRQKIKAEDFQFAFRRNPSFLGKIQEVFEKKNEIESAR RIFKETEDDIVKDAAAQEAKGAPASAKRASSEAGRSRSGAGAAGRVEVVEEEALGEQD DDVEAEADVLRKR
SAPIO_CDS2864	MNGFSPPRNPYSRDYNDGPSPPRRAQPPGPGARMMVDGYRKDAN NNFGRDALRTNPAQRDPNRPRSSALVDLNDPIQVHLLTETALFDSRQFVILSQEEVDD LKKQCQTITQRIETTRANLAVQIKYRDAAANMAKLFSPTRSSPGRRTPFGSQAGNRDS AEQAELERQVSERKCEELASELWGLEKRIMEPQRRLLEHTAAILQLTHKGSSKKQQQP SLLPINGMPGSPESLYTYSNGRSSVDLEFQRSMEIPPKSPIREQTTKLRGEADRLKQE NDQLRAQTDAYSLEMDALRQESSDNERDIMDLEQKLESLNSSLRDVIVKFNPAKNSDF RMPPSSRDSPRGARPAAIIGDQLDYLASTISAVKQEQEQGHSRSRSVDDEASAAVAIA QAEGRLDAVNRQITDLLLSVNAKPPPPPNPAQLQGGDLELDDRISYLQYSLRVVETEL IQAVEAAGNLQPVPSGGNSEPLLRELWDIIQDGFSELRRQREDRKKVRQEKGLDDDED EMAIDESFDANEPFSATGLSIRIRSLSSQISKLTEHKVVLKRQIKQQRELNNKSSGEK DIELQNRDEEIERRILEARNKEDELQAKIAIIADKDSELLDLDKKVFDLESKIADLDA ELNEARSLSANSVASAGDVEAKDRRIKELEAEMADMQQILEKSRADATQTQGMLLSAL RDLDTANRNAETMESENLKAARAELEEKTAKLAALDSSSKDLESRLNMTEASRSELQT RMDEIDGKIESLEVELAEATAARKAAELSSEFKQKELDGKQREIKEKDDVLDSLNMMV VELKTELTIAQAELEGAYGSRAERAADVAALKSSDEVRQLNGQIDTLKGELEQTLKQL EDITRETINAEREKLEIEGKLDDAVAARSSLEAEIQDLRDRLDAEILASREKINKLQE ELDAQRLKVVGTGEGGSVRAGAGASMLSEQFRATMREERKKFQEDMREEQARRRKLED ELARLRKTLGPGKSPLSPKPS
SAPIO_CDS2866	MNKTPDQVRESLNRLEAGLERPSAVPVPDPLTTKRGLSVVGRYA FDNENVPLNTRRIAARCLNNIIVLAQPMRQVFVDEGYPQKVVARLKNGEPDDELACGN LLLFSSVQTTLDLSPSFENDGLAELINNNLARHAKSESPLTDDPAQPCVASLKLMSTL AVSYEAQAHLFIPSVQSVFDLLDKVTIPTPPMQLPVSLLVGCLASVPFHDKSRKGVSF PKANVGKLIDVVDASLRSYAGKAGEMNLLTPMVALHRVAQAADLESDTIKQLKERLLP SEEDRKEVLGRGQTLPHRLLRFGVNSTSPELRDPTLSLLFELSNKDPREFIRNVGFGN AIGYLTTKGINVSQEDMAAGGGDVTDTRPINPITGQRMDMEAEVDLPEMTDEEKEREA ERLFVLFERLRATGVVNVENPAARAASMPSVEEVESSDDEKK
SAPIO_CDS2867	MVQISEVKGNKRDNRTAAHTHIKGLGLKSDGTAERQASGFVGQT SAREACGVVVDLIRAHKMAGRGVLLAGGPGTGKTALALAVSQELGTKIPFCPIVGSEI YSSEVKKTEVLMENFRRAIGLKVRETKEVYEGEVTELTPEEAENPLGGYGKTISTLLI GLKSAKGQKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDIEA EEYVPIPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDIMSMMGQLMRPKMTEITDKL RTEINKVVSKYIDQGVAELVPGVLFIDEAHMLDMECFTYLNRALESPIAPIVILASNR GMCTIRGTDDIVAAHGIPPDFLARLLVIPTTHYQPDEIRRIIKIRATTEGASVTDGAV EKIAEHGERVSLRYCLQLLTPAGILAKANGRSQIDVQDIAECADMFLDARRSASLLAS EAGKGYIS
SAPIO_CDS2868	MDTSFHQRPRDEQMVLPSSSSSGRLTRKRAGSIDIEQANRQKFE NLSLSTPSSAGLTSNSDGRDYICLCAPAPKVPRPRNAFILYRQHHQAAVTAENPGLPN PEVSKIIGEQWRNEAEAVKAYWKNLAEEEKQRHQLQYPDYRYTPRRGARGSGRSSISS EDGGRCPKCGGRYIATPRTPSVHYQAGRTSGAAPYGHPSLNHGEEAYFVPPGHGGLGP NRVPQPYGFSPSGGLRNIQEEEYDSMFAGPESKRRRFDTPPGYPIERPPGNHPDQNGP HSGRPDLLGRTFSDRLYGVGPQQAATNEGLVTSTSAPSASQQDPVALPPLRSNPRFDE SLRLPPLHTQLAPTQEAVETPMLAVAKGKDSQEKGVVAMIMSIPYLKKIEVLCNITPS YPQISSRNNDKAAGRGYFIAVDGPVDSTLREVGAAVERALQTYGDAAVKTFVNPAPIP AISGLEFGFHNSQSGMSVSEDPSHHLDAYIRLISQWHELSKDIIDHITTAPVPTSEMD VEMQRSASDGNIALGSSAQAENNGYGIPTHDRFDNCGGTYVRKPGTRRSSKIPIALVP GGFSVTLSDRFACAVPITDTYSPVDHWQWMATLWRGIVSPDLFVYVKPSPETDVNQRN TVEVKSHNVMLVRVPKGMSLDQKTERRLAFEITEWVRAGQGHRV
SAPIO_CDS2869	MTDIAVGQIVQLPNGCKGEVRFVGETAFSQGIWVGVELEDASGK NDGSVHDRRYFTCDMGRGMFVKPQALKIIGQAPPRAAPAPRAGASPTKSPTKGITPTS ASSSRTNTPSNSRVPSIGTKPKTVGSVRTSMGPPPLPKTAAKPMAGSVANRQSSGSTR LANRLSLASSKPPSRAGSLRRPSADSQATGADSRRSSKEEGTMASDKSETEILSPKPR SPILTKSNPVERLTAVAQGSATGARGGRPAANDAANREIADLKTKIKILERKAMENRD KLATIPKLQQDLERYQTIIQKLQQKAQDNNESRKQVKEMEQKIAALEDAQADHETELE SMLLDREMAEEQLEHVKMDLEMTKERLEVLQLEYDILHAEREEAVQGLTPEERASESW IAKDRENERLREALVRFRDITREKEAELLDQNAALEEDLKDFAVTKEQLGQCKEKLEK SSDIIEDLRQQLENALGAEDLIEDLTHRNMSLGEEVTELKAVIDELETLKELNDELEA NHVQNEKEMQEELDFKDSVIAEQVRRVTQQEQGLEDMEYTLSRFRQLVTTLQTDLEDM RASHAVTETESAKLNDRSRAMLDLNMKLQLSASKAQVKAIDLELRRLEAQEAEQHLEI VKMFLPDSYKEDQNSVLAFLRFQRVSFKANMLQGFIRERVDGQVHPGHEDDVFYGRDA IDKLTWVSAMCERFANTIRRCSPEGFAKFESTLHELDPVERALNSWIDGLRKDELKEK KCADELQRTIAVLSHLGEVHLAEDLASFADETYMQTLNMQSYLESATISFTTLKAMVQ RILSSSENGQDELSQHFVKRAELAIGQTRSAKLLAGKAVRALEDLKTRSLSLQPESRD AFEQVESAAQDLANLARQLGIDLHTLLTEEGRNEPYTFAEVQSTISRTTTAVTSSSES DLFSTYLAGLRVSTNQLNDLAALCSDLDQVQEFDVHPAPWVVKSNELTAQKTRPVDAE EAMRRLREEYNEARRTVAQRDDTLSTALLKIETLESRMKDAQAKVNRMGELEGDLEGV KKQNMGLMEDIEKQDRELRVLEAERDKWKKVAGDSRAFVEGVGEANTKVGQERAVATA RQMDGLRGEITNLQAAVRYLREDNQRARTTEQHKYAWLAEPLKKPPSVEQRRRAVVAA EGRDVLGELLRIASSAKVYDLTTLPEDKLAWRRAKTTPQYHAAKQAEDYAAWKSWQEE VVKKSRTVLGGKHAAKAGNRALARLQIRLPGADGKVIPGTGKDVQIVGSAEWERLQAA RVETD
SAPIO_CDS2871	MVAESPLGGMTGGVGSITLTETAPSRPPPKKRRRAVISCVECHR RKQKCDRELPCANCKFRNKESVCRYESSVPSLRTSTRSISPVTSTSTSDVGPARPPAS ARRMSKAAAMAESRDDGDAKTQASNSPSTQGSCEELRDRYKSLIRHLPAKCYIDQLVG IYFAEVNWHTHFIDPHEFMKLAERWSQIPFAVFQKTGPMSLPPKLRVFPALLFQVLAT VLLVVPDDPRGPFESLKYAVTMSLRDLAIDYSETGHALLSLVGRPEVTIINVQAGMAR CVLFAYLADILGSWNSLNEALTDARELGIHDDLFDPKPPSSTLRDILETEWDTHFSLI LGKPPFIDPQQKRPSLPVDCVPPYDKSTCPVRLRNDDTDPPTPLTRSLWLFKLAGPLR DSNFSENVGMDCMDFARAELVGRQVVETAQKIPGYFRLESTDWRWDNHPDCPWLTSSR FCFDQWVQFHIMVLHRSYILNRSLSRTEALRAAIKLLSSQQILYQGTVTNSWRNFMLF FGTFDALILITMIYTLFPRENVRLYEDAKPQFDWTIKRYQAMQDVNPLATTVKSAVEA SFRRMKRTVMSTMRAADPLSIASICTSPPIPSSPIMSDEDTPSSSRCSVPENRLCFFT RWFGNRGSAGDAGAPASPPKAGIPEQNLDISASCPVGYLVFNSGGCCVAGDTPQSTMG DDRMVRSEG
SAPIO_CDS2873	MSVRQTRSKAAKAAQTGSAVPGVEINDPVSATPNGSAMNGNGSA HRGPARSEDAEKENIFLFWPNIIGYSRIVLAVASLYYMPLHPRTCCILYSISCLLDAL DGYAARWFEQSTRFGAVLDMVTDRCTTACLLVFLSSAFPRWAILFQGLISLDLASHYM HMYASLVVGGSNNSHKNIDKSQSKILNLYYTNRTVLFVFCTFNELFFIALYLLSFSSP LLSPSLLKSVGDDKVAADIHAGAQVNSSLLNQIFPDPYSAAALEFARANKMDSTVPWI IAGISFPIMAAKQIINVIQLVKASKWLAEVDVQQRKLQGLPRKKKTA
SAPIO_CDS2874	MTDTIPFRAESSRRKDIDFRFFVMRRNGPKQRYPLRLISFPLRD HIGNPLIGEQKLPIAGCSRHSLPSASNLIFDGLDRPFLYRDVYLELARHAGENGSTCC MMPVITSYSATCQVAVNGIVIPPRESYYIPPDCVLTFGSNLVERTLHSGLSVRVLWLP PPQVHRLLPTGFLYAPLPLHLGGDALFHRLLLPAREDSMGPIFRTIDEVQAVDPDPRM VRALRYTREEIVDVVRDLLPVGLEDEDQSSSSPEQEQDDAQRCEHEHGRTHENMRGEA SDESGGSRMDQWLDSLLTQATGLTDDDDAETIPEIYHMQSTV
SAPIO_CDS2876	MGVFTLGHGGAWLLVLCIILIVLPSPAAAFGAGNIPSIAQVEGH NWRHGDIEDMLATIAFIKGKKWTSMLIKRTYFGNWLRDYSQAIDVGSLKGPVNAETIR VLVWVLGFMSFGYATEEFEVTADRLGVYRAEEHIDNPLGYSEGFDATKFDKRLRGPVR PIETEIDMRTGMKNYIANEDGDWATSAGYLRFSISRSVHYGRLYTCGGSKSGKEEDLC EALRCLGQALHCLEDWGAHTNYSELVLIDMGHHEVFPHCGRDTQISIRGKRMYPLVTG TFGGVDFLHSVIGAASDSFTESEVDEVDIALKNAEAGGGVPSSSRAFGGSSGGEDFIS LISNLPSVGGGFAAQARDLKASSDAQERENALYASSQRSRENVNIVPGLSPNIDPVKI SQKIYPILEFRDKIVKAINTTISKIPGLEKLLDHISETLTAFILGLLAPFIRPIIQQG SKILKEGSENVIGASAKAQLEPWNNPRCSDPTHSMLSKDHFTNILNSCGGMVAVTILQ YAVPRILFAWENPNVPVNEVINDILQAFHHPALRDERIEIQRNMYNTVRKWYNEHPKR HELPHLLSSESVKNGKNHVLHGDKTKSRSRGGGAHSCYGDCGHGKVAGGLWGQVRTRD FREDAEPEGGAMLSPGFPPSRQSYGYAGEGHSSQYAGQSQSPRPSPRPSSGSGYQAPA QQTYWQGRPGSGYQQQQHDRDLGTNNTTGPDPDTSSMIGLGLGMAGLVPATSNLLLPP NTMDTTRDSRRRVTRSSRMEEGEGTSKGRHLPVPATIRVLHHLRGSIPVTDHPPLTDM EVPDTANNSRLTLHSLITREEVEGTPVRAIGRKIRFVLESQNPRLISEK
SAPIO_CDS2877	MSSKTLPTFTRAEIEAHSTAKSCYVTIGRKVYDVTDFAGDHPGG ADLIFEYGGKDIEDILKDEVSHTHTETAYDILDDCLVGFVATAKATNGNANGSAKASN GKPAEKVELDEQGRPVHPRTGMSCEEDLEKETDYSNDYKTHKFLDLGRPLFAQIWFGG FSKRFYLDQVHRPRHYKGGQSAPLFGNILEPFSKTPWWVVPTLWVPMVVYGSSLAIQG FSNPLHFAAYWLFGFSLWSFIEYCLHRFLFHLDEYLPDNRVFITLHFLLHGIHHYLPM DKYRLVMPPALFVVLATPFWFLAHALFSYSWHAATAVYCGGIFGYILYDMTHYFLHHQ NLPLWYKELKKYHLEHHFLDYELGFGVTTKFWDNVFGTELKSDRKSA
SAPIO_CDS2878	MAALDVEALLDATAKNAEDKKPKPSEEEDRPKSSRSDRSDRRGR DRDESHERDREYYDRKRRERRERSSTRSRRDSPTGSTYKEGTPRSETGSHKSSRRRSR SRDDDHRRSRRHRGGDGDYYRRGGRSRSRSRSPGGRHHRRGEYRDRRDRDRSDRYSGD HRHRGGRDDDRRDVRKREATPQLNEDERDRRTVFVQQLAARLRTRELKEFFEKVGAVT EAQIVKDRISQRSKGVGYVEFKDEASVTQALQLTGQKLLGIPIIVQLTEAEKNRQVRS TEGTNGHPNSIPFHRLYVGNIHFNVTEQDLQAVFEPFGELEFVQLQKDEHGRSRGYGF VQFRDATQAREALEKMNGFDLAGRAIRVGLGNDKFTPESTANLLQRFSGQNSSYQGSS FSGAGGRNQQNSTFDRAGGRDNDKAGGASALDDTDVAGVNFNNYSRDALMRKLARTDE ASTVAEERQILKPKTEVKPLPVNVNMASRCVVLHNMFDPDEEEGDSWVKELEDDVRQE AEKKYGHVVHISVDPNSKGDIYLKFDKVQGGENAIKGLNGRYFGGRMIDASPVVDAVY SSLFSRTRAI
SAPIO_CDS2879	MIVHAQQEIRRSAGIPARQVEEVNDLLMQAGRKFNLAVKSIVDD WAELSLENDELKQTVAGWTKDLESQREEVRSTNRYNFDLRCHLRAYKDRVDNFRHETH KFMGEFKNVNVLEDERSDIDRINLVKKVLAQIQNKESLFSLLDPVSAGSGADDSPRSS KCSLLDKAQEAWNTKEKTNPGSNVPPNSGSKSPVNRFSSDDLRERLGKLKGIPYVSGV PRPPPTMWAKDLEEQRHRDSGKQGKPQHVSFKNPAPSSGGLFSPVEDEDDDRPHGRFG GRRRPDPLAISSGSPISSQALVLRTAHPMLRLGRGSGNRSRLINHRPFERPPITQFDD DRSTPLEPPHRGRNRMVPQTPKSAIQAGFPQGSRSFRPEAAEFRPGALPGAGDFATQW PSRSNPPPSSNAGGFRPLHRRGPARINASPTRRPHPPADVNANSQPPITPVGRGDQNI FNGPPPHRPRHNRHPHRETLDGRAFTGVKNAYKEFLQLARGWVNEYAGNVDARQFLLL KGTDTWESLLATYPTLTHRQASAYVDVHIKDVVYRPFVITRLIIDFFMSRVWKWDAWK RFDSESNAQIDELLRELNRGGGHQPAYQNQHLFNRQGDIVSRIVAHPTYGGYRDSRVR RICDELSRMLEPVLNRFVTSDKAFAELKLVIEQGWEVGREMALARVRFNYRFPNTGER FTTTSMNAIHPHRNPNELQSEHWRVALVASPAITCVKDTGRSIAAYDLFLADVLCMQ
SAPIO_CDS2880	MPSKFHFYINRHTGLPEDAYHFTKGEPSENESRSQAHTDFRNAR GHLPHAGLNPIRSSTGVVWVTDRATEHGYLEARDQWANLGQGAPDVEDDIAGCFRRPD TIDITVSAREYAPIAGIKPLREAVAKLYNEMHRKGKESQYTWENVAIVPGGRAGLIRI AAVLGSAYMSFFLPDYTAYNEMLSLFRNFVSVPVPLSADNDYQLDPGLISYEIARGAS IILTSNPRNPTGRVVKNPELAEIQRLCRGRATFVADEFYSGYNYTSGCDGSTVSAAEN VEDVDRDDVIIVDGLTKRFRLPGWRIAWVLGPKEYIKAIGSSGSYLDGGAAHCLQEAA IPILDPELVRNEMACLQRHFRDKRDYVIGRLRKMGFEFNYVPDSAFYIWLNLQGLPEP IRDGLSFFEACLEEKVIVVPGIFFDLNPSHRRDLFDSPCHHFVRVSYGSKMDQLRKGC DGIERVIQKYSSS
SAPIO_CDS2881	MTTSSSFNFLVSRTSSCSSSESDNSIKMSKKLFGSVKDKFRKRP SPPQSPVQSVASGAGTTAVAVPPSPSDNPFRGRSPATRRPVSIMDAPPAYNDVVGANS SLRVGTTVPRASSPAPSVASLSTREDPYAFLSTFDTIFVIDDSGSMRGRSWREVREAL HTITPICAAHDSDGIDIYFLNHKSKIAADPNAGKASGGYRGFKNPSAVESLFESIQPF GGTPTGTRLQSILKPYIKLLETNQEAMETVKPVNVIVITDGVPSDDVESVLLSAAKKL DKIEAPPYQVGVQFFQVGNEPGAREALKELDDELGEQVEGGVRDMVDTVTWNGQNGQV QTLTGDGILKAVLGAVVRRLDRRR
SAPIO_CDS2884	MGIKGIYAELGPGERVSLAKLAAETLEETGRPLRIAIDVSIWQF QIQAARGGTNPAIRTLFYRLARLLSHSIEPIFVFDGPRKPVFKRNKRSFSAPGDRTSS ALVRRLIKLFGFQTHDAPGEAEAECALLQRHGIVDAVLSEDVDTIMFGCTRTLRNWTA EGTKGGKTPTHVSMYSVDAEDFLKSGLDREGMVLVALMSGGDYLPEGVPGCGPKVACA AARAGFGRSLCALKRSDEAGLREWREKLIRELRTNESGYFRTRHKALTIPEDFPNLEI LRYYTHPVVSDPATLEKFKDDGRSWSQPVDMVTLRSFTEEIFDWTNRIGAEKLVRVLA PSLLVQKLMDRYRSRATDCSLEVAEQEESALIQKISGRRAHFSTDGTQELRVHFIPAD IAGLDLDAEPEDAIVAFARNGLALNSDDEFGEEEAAVNAPKKRFDPTQLDAMWIPESV VKLGSPLKAEDFEAAERKKLLRKKVSKPKTVASSPKGTTIASPKRAPAAKTLDSWIKP TKASSSRTSPKPTTTSTQAPPTLKEPTPELSKPSRARAKPKSTTAAKATAKATRRKSP PAAPPSVPKSKHTKPLEPITISSSSTSSQKQTKTAWTLTNSQTSGPRTKKHPTPDFNL SASPPAAPTSSQEPILISSSPIPGRPETPPPTHRNAADKEDEARAETTPSRRTGTRDD KASPEGAPFGGLYTPPRLRLPSEPLDTPPWLKSTGSRKGGGKETRQGTLDGFLSSPSK VRDGSSVDKSPEKKKTKKGTPMKTASDAKIKKTTASSTGGQQRILFPTSKAGNLRPAK VGTSHVGASKVGVPSLAARHIFDDDDYDVEREKRRQSTVSVIDLTGDD
SAPIO_CDS2885	MSANRAPPNFERVNYFANDAHSPGYGCLRFLTQATTLDATRIPF CWGFTIYRATKAVAGQDPEADARFAEGLRRFEAIVRWDFLQTKKALGIVVAGLDVPNG TEDFSPVGRAFIASVAAYGKPNVTGPHIYAKFQLLQNTKRLILDDKSLDTLLTLPKEP PLTAPEDELDAFNIGGGCRVWLWVLDREIMQLYLPWAWMYVSFIAEKWFERPNLPEYV KWRDELIEDTTEWKTMLYWYADLVSWQNEFRRSRCENRS
SAPIO_CDS2886	MSTNLNTPVIKKYRKHFIPLESNPDVFNQLIRLLGAPESLVFED VFTLDEPEFLPHPALALILIFPTAENYEEQRAAEDASHEDSSSSRPGEEVVWFKQTIN NACGLYAILHALSNGEARGLIGLQPHQQALVLEESEELERVYNVVAIQGDSAVPDNPE DEVDFHYVGFVKSGVDGRLYELDGDRKGPIDRGAVLGAGDDVLGEGGLTVIREYIKKE EGNAGFGLMALVRREGP
SAPIO_CDS2887	MSAPHRSVANIRGGQLPSISASSPHTPPRAAPSAFGSPSTLRAE EDLIVIEIGSRFVRVGFAGDTIPRVQLQLPPEQQRRVGDYRIWTARGEDDWTKRPRGQ HWGEDYELWRNDVRTTDLGLVEDKLHRLLREAFSKHLLIDSKPRHLLFNQFQSPMISL LSNATMSTIAAGVRSAVIVDLGWGETIVTTVYEYREVKCTRSIRGGKHLVSSLHRIIR DALREQGTAVRETDEEEAEDRHVLSFGECEDICTRAVWCKPSNKNKTTQAEPGEGLPT VQEEQEQDELEQEEPEAPSAATQEAKTITIPLQSTRPPTNLTVPFSRLADACENTYFE ERPNAPCSFDDEELPVHWLVYKHLLHLPLDVRATCMPRIIFTGGCSKILGLKGRIFDE LSELVRMRDWDPVTGRAAEAVKKNPKLRRYNSRQAGTGPTAVLGQEGEAGEEDGVWHD AANAIPETNAIDEKLDRRKTKPIQGELRALESLGPWTGASLACQLKVLAVANVEREAW QLHGANGASRPGDVDAKTQQRQSLGHGGLMRGAAGGQDRAWTLGAWGVL
SAPIO_CDS2888	MAGPGSPSNEGGPTYAPPPLPAGWIAQWDGASRKYYFVQLSTGV SQWETPTEAAPTGATPNPHVEHPYGTPQSEIITHPDGSQTRRHADGTMEPVLPSDGSR GIGGGNGDRGLGSMAFQMLAGGNKKQKDSSSPLGLAGQLIGSVAGGGHGSSSGGGSGG KNSVPGKLVGALASNLFSSGNKPAQPQNYHGDSSSHGSSPAHGGGGLIGGVASFLGGA GGKHNQSGQNYGYSGAGTGGTYSGQAPPTSYQAPAPGSAPPPSSHSQHSQHGTSGSHS QQSQSYHPPSSNQQSHDYYPPGGQGHGSQGHGSSGQQPSYGQPPNTHSSYAPPSGQHS YGAPPSQPSYGAPPSQPSYGAPPSQPSYGAPPSQPGYGAPPNQPSYGHQGGGHQQYGG HSSGQSYQPPPHHRGSSQLPQGSYGSNPHY
SAPIO_CDS2889	MLIPKLPSLSLLIATLSILTPTLANTEKAIFIAPPPSPNTAQHP SLSPWYLTSLPTLTPSNFSIRTHLASQFPSSLSPGSESWYLLDDLNPDQRYEVRVCWA ATQPTSFTLTTYSIPQVLEEPDLVTSLAHYEISHTPSAEEDGKERGDFETKESSKLLL RVVTAADYFTDDEKLMKHPEPVHVDLILDPFLYNVLPRSLAPTVMYIAALVPVAWIVA RWVSGRMVSVAKAGAETKKEK
SAPIO_CDS2890	MTRLRPCIDLHAGQVKQIVGGTLDSESAALKTNFVSQLPPAHFA KLYRDNGLTGAHVIMLGPGNTEAAREALEAWPGGLQVGGGITDQNAREWIDAGAEKVI ITSYLFPDGKFNQERLDSVLRSLGNDKSKLVIDLSCRKTGDQSWHVAMNKWQKITDME VTQDSIRQLEPYCSEFLIHAADNEGLQQGIDEELVKRLAEWCSIPVTYAGGARHLEDL DLVKRISNGKVDLTIGSALDCFGGEGVKLDDCVAWNKRQ
SAPIO_CDS2891	MREIISINVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAE PDHGFSTFFSETGQGKYVPRTIYCDLEPNVVDEVRTGPYRSLFHPEQMITGKEDASNN YARGHYTVGKELIDPVLDKVRRVADNCVGLQGFLVFHSFGGGTGSGFGALLMERLSLD YGKKSKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG LERPDYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKAAHEANSVQEITMSCFEPNNQMVKCDPRSGKYMATCLLYRGDVVPKDAHAAVAT LKTKRTIQFVDWCPTGFKLGICYQPPEMVPNGDLAKVNRAVCMLSNTTAIAEAWSALS NKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSIDNEEAAEY
SAPIO_CDS2892	MSGESSTTDPTQNLSLTPEEKRLYGQLFRQADFDNVGVVTGETA VTFFEKTRLDRTVLGKIWQISDTENRGFLTPAGFSVALRLLGHAQAGRDPTPELARQS GPLPQFDGVALPTATPTSPPPPAAVQPQGTGGGPVRIPPLTPDKAAQYASLFERQPLL VGNLMPGDQAKQIFERSGLPNETLGLVWQLVDTEQRGALIASEFVIAMHLLNAMKVGA LKAIPSTLPPALVEAANRRGPAPRQSPTATGTLSAIPRQLSGSAQLRTGSPLGRHATI APQPSGLAGGAGAAWAITPAEKAKFDTIYDDLDKTRRGFITGEEAVPFFSQSNLSAAT LAQIWDLADINSEGVLNKDEFAIAMYLIRQQRANRDGTAVLPTTLPPNLIPPSMRNQV RPPTATSPFDAPIPQAQPQPPPPAQKSALDDLFELDGPAATMQAPLTTGGSAASDPFS SGPVPTSPVRASPTASQFRPFVPSSSFGKGLTLQHQQHTGDSKRGGGSEDLLGDADPE ISAKLTNETTELANISNQIGTLTKQMTNLQTQRTSTQHDLTQASQQKKNFEQRLTQLR TMYEKEAKDVRALEEQLAATKSDTRKLQAECMALEGTCQDLQGQKQQVVTALQADQQE NASLKEKIRALNAEIAQLKPQIEKLKSEARQQKGLVAINKKQLSTNEGERDRLKSEAD ELVKGGEELSRQMTGSSLQSGPAGISSPTPSAGSGNNPFFKRTASTDMIGAFASPPIK ASDKSFDDIFGSPFPQASATPPPPPAASAIRHHTGNSTGSGGSFGTPATTSPTISRQA TLNVEPPAPPESRQLSSSFLPLKDLGESLSSSRQVSPPLSRTGDDKGALGSPLSGAFS PLEPSNTGQSNTASAAEVKSSATGDSGNTSGAAAETPIAGAGTEEKKLTGERSVRDSD PFASMDQATAKAEFDNAFASFTKIHKSQDNKAKDDSTNAFSSFNTEFPPISELERDES ESDSEAGFDDDFAPSSPKGKDSQVTVKDNATALPAASSAAKQETSDAFMAMIGDSAAD KSSGHNNSNANAANNFFDSPLATTPTAVTTAPAPQTSSASAPPAPSKTPFDSDLDDDF EGLEDAKEGSADDDFANISRSGLDEFNSVFDSSPPTSQAKTESSTAFGGGESSFDFVG TLSTGSLAGNNNVTPTAATATTGVAATSTTISATDAQDWDAMFSNLDSAASNSPKTVE VPKETATRPELSRAETGDDPLLKNLTSMGYSRTDALAALEKYDYDIERVGFPLSL
SAPIO_CDS2896	MPLPKKDSSDSRSGGASGRVQNGNRSGFRTDTAISSNRFNNERT LKPWIPDSSDTFGDSLETSTSSGHWDQFAANEKLFGLQTDYDENMYTTAIDKSHPQYK ERMAAAERKAREIERSAAVTSHVAEERIMDFVGGDDRNGDEEDKYSGVKRQDSAFSSG RENKYTPPAKRAPTSKATVVGAPVDPAIISSQLKVNPPKKSTPAVATPVALPAIRAST DSKVAGATEKPATSRAEQPKPEKATESKIGETSAESKASEKGAPSLRSPAVPPASSTR GTTPKPGEAAPSAAATVERDLLKSFKNFAAGQRSQAERVRISKTKADKEVKLTELKNF ANTFKLSTPVPSDLIGIIAKDPARQKEIQAKALQNAEEVLRAKETAKAKEAAAKETQS KQATEPSTPAASEQKPAPRPTPVHTTSHPNSQNRHGGGRQTYGSSGYNGQQYNRNDRS GQHQTQGRPTLAQRLKMQQTPHVAVQTDGRLPPTAPTGAGNDGAFNNRRLSGTPGHGN KLNPNTQEFRPNAFAPSFSPACHPSTASSPRSTVTNSASPVRQIIRRKTKSVDCKKCD ILSCIKTIKPPQGRNWEDNAGLRPTYDTPPTWRQRQDDNEKPDSTMLLTYKQYFERNP FAGPPGTLSTPNPSHVLPHLAHQHQLPFHLQHGAHNMPARPSPHMPPVHMHVPPQHTP HVGYANTDDHRMMHSNSAQSFASPRIAQAPVYPPAVNSPAQVPYGQPVMQPFVPNAPH MGQYRSFSNNPQYMPQQPGHMGAPMMIQPQFVTGPQGMMVTPPQMQMYPTSQAQFMPP GAVAVPPQPIAGSNGYPSPGRPAAPPMAHQGSAQGQPYGMSPSMAYQQPVYTPQQPSP MNNARGNYVPPAAHHYGSSPQHIHHFGGPQHRGGGNYGNKNFTPHGQQHQLNQPAHSI PSGPQGRVPDVPEEAK
SAPIO_CDS2897	MRSARPIQARGFAAAASGPSFETYDAAGVKVASRDGHGETSKLA VVVKAGTRYQPLPGLTVGLEEFAFKNTSKRSALRITRETELLGGQLNAYHTREALVLE AGFLREDLPYFAELLAEVLTQTRYTTHEFHEDVERAIHLKQAKLAANPAAVSQDAAHT VAFHSGLGSPMYPSSATSTKGYLDEYSIAAFAEQVYTKPNIALVGDGASQGALSQWAS KFFNEVPASSQQAVQSAATTYYGGEQRIEGSTGNAITIAFPTAASSPEASVLAALLGG QSTVKWSPGFSLLAKIAASSGANAVSSNLVYSDAGLLTITLSGSAASVRQAAQEAVKA LESIAAGSVSNEDITKAIAKAKFDVLDQTQSSKESILSVGSALVNGAQPTQPATLVQS YASVTPAKVQAVAKSLLEGKATVATVGDLHALPYAQDLGLKV
SAPIO_CDS2898	MIITKHAAELCTLLVNDTFGELPSRIIEALVQRGPSRLPQLFER TGLNPPQVRHGLAVLIQHNLIYYSVNKDTKVTTYEVNADACYNIIRVGRIVDLVGYQY GRAEQEVVRNLFALGHVQIADLAQAFGTQGKSAAQPATNGDGHAHDENSTNGNGVVSA NSGPVIKSVFQLHEVIGRLIQYEILEVVDPESFANPETVYTEIEQEYTKKASQTKSAK GKEDLAREKAAELRAVRDRGKRLKRKLDAEDGFPSVKRRKLANGEANGAFSPPSKRRV LDSSTVVRINYEKCQVDLRNDRLGRFAADVIGHTTSEVYRALLRLVTTKLSRCKEDSR IDGAYSDMFDDGTGDIKVTTLEILESLDPSINLSTDIGRVSKSSVDPNISDRLLQLAA IADTNGLDANGGGSTVKVGHNGHVSDDEFDSDDDIPTTKPGSTERNGASNGHVKFDNV QTPAEQRVELLRRHLFLLCENKHHFARYCGILGEGQWTVDFDLVMQTLRQVELDSIIE QTTGRRGLRLTRILRQFGRLDEKVIADKALMKKPSLQGKMAELQKAGFVDVQEVPRDN NRLANRTMFFWYYDADRTCRQLPDRIYKMMLRCLQVLEVERHKVKDILEFVHRTDVKG KEEEVMTPEYYDKYSKHLELERKLLGHAMKLDDIIAVLRDY
SAPIO_CDS2900	MSPPMSSSREKESNVARLLGSGSAGIAELMLFHPVDTIAKRLMS NQTRITSASQLNTVIFKEKAAAGLGTKFFSLFPGLGYAAGYKVLQRIYKYGGQPVARD FLGTHYGKDFEQAFGKKTGKAIMHSTAGSLIGIGEIVLLPLDVLKIKRQTNPEAFKGR GVLKIVKDEGFGLYRGWGWTAARNAPGSFALFGGSAFTKEYVFHLQDYNSATWFQNFV ASIAGASASLVVSAPLDVIKTRIQNKNFDNPESGFRILSNMARHEGFSSFFKGLVPKL LMTGPKLVFSFWLAQTLIPAFDKALAK
SAPIO_CDS2901	MPPIERDVSMEPRGLRHVHSASSDHGKALTIPMWDSSDPDRAPP PLPLNPQSPSIGTSRPGTSTAIQSAHAALTEKARESMASPLMSKRLSEVSPERPLPRP TPHRRMQSLQPSAVRDRGFLIEGIPSSPLFPDKSTRPSTPIRHRDSFSMSPDKMSEHR MSTPVPGPSLTPIVRPTAIRRHHQSILGENTPPQSATMQALHNMQTPSATQSPTSMPP QQTPAAPREVETPLSNVTNNSTAVPKGTPNFDAAALSNQIITLTNIATSLQKEMSALS RRSRDNATDLMSLKQVTSSRDEDIRKTLRDLIGNLNDPVKPISSSRDPFYSGLFIDTK HNHNATSPPSSLRTAGGRPFSLPRIPSPNSFATSIDRESVGSPPCSAADAPATIALLE KIIRDMGTREGQDLLVTRLTEVAEKLSGMASATKVEELMQLIKNSEPVLIPPVNSNGG GPDKPRLRTVNFEQNGESSRSMSFDSMSRGGTVHPREAAAKAPANDLVNEDILKIIRT VKDSVSQGGGLTAEVKALVRELRGEVLGMGRELRRRLDEVVAKDEDSSSAESAAEQRN EMKRIINEGLDELKDHMDNLLREHRRQSAASAASNAVDYQEIYNAMRAALKDSQAGKQ QPPTRDDVVEAVREAWENYKPEIEVQQFGLERDEILSCLKEGLQSHAPRDDKPVGATR EEVFRAVVEGLKHFVPPQMETPATLSRDEILDAVRECLEEFEFPVAPSALGNDLSRDD MVQAVKEGLHGFEFPMPPTSQALTIPGESNDYIMTKLSEIIELMRREFRAVSDEAKEN VAANGRDTEQVLDATKDGFEKIRHDMEVFISRVSGLSGKPDATELLLKRLEVLRDEVA HILSGQIEGLRDAVNMSLVPFTTQQNPSKQSLDSIKDGIDRIRSELQRPLPGTSEVLD AIHEGLSELHIRFEKLANKPPDLTANDEILDALKTGLDGVRSNIDVVRSDIDGIRDSQ NDRALAQITDNAIVPAPVDVLKHDDIKNLEVLVTQLGSKVEAMDAAPPPETASREDIH RIEEMINQVKEAIEGLHGEEKESDSNTEAESEKPDTSLKEDVEAIETILRNTKARLDD MIDGETAVRKEHIDGLETLILETRETLSSITTQMENTSRREDVTMLESLISHVAASYD EMKERADKELENPERVTRTDIEAVESVCMDVKNLVTEISSSGLSGLPSKEELTTLVTD LKDRVDALSEAQTKAMEERQAEMVDVGERVNEVKTFLTEFQDMTKSRLADGVVGMQTV GKLLDRMGETITNNATVSEDLREMFEAMKIEFEESRAGVVGVKLEADEKFQATTEALS SKIDERVDELMAKYDEFQVTLEERAKAGEVRDEETEAAVISTKAVAEELKLLIDTLGS TVTESLEKMEEASKTVFNRVEDLVTRADEKNSEDKAEHEQTRDQVKQAIAAVETLQGP VQEMQPKILEAINDILTLVGQHYDYAKTATTDIQDKIEEAKPEPLMLPEVEKYDDAPV QEKLDRLIEGKYDDTSLQEKIDKLLEKEYDDSVLQEKLDKLMDKEYDDGALHEKIDKL MDKEYDDSTVQEKLDKLMEKEYDDSTMQEKLDRIIADRYDDILVQDKLDQLMDKEYDD SALHEKLDRLMEKEYDDTTVQEKLDKLMDKEYDDTAVQEKLDKLMDKEFDDTTIQEKL DRIITERYDDIFVQEKLDKIVDHTHAAGKAYAQLDTLDKIHGEVIKTAAGISEFLAAQ TQRIADEHEDRSKTLQETTVALERKTTEKQQVEASLADLRAEEERLRQSVFGLKAEQE ILTRQKTRLTADVSSLETALRLRKEELNEMEERAEGLERRILEGVMNHSRVLLMSKAS KGSDAMSRKRVRVKGDEAAATGAKEKSDRRSVKSTVNIALSSKRNLVPNPAGASRRIV SLSQITHNVPAGGFKRSHSVKAPSGVGRAGALRKTSWGGSLAKKKYGDLDKENVVEPV KEGDEEDDDDDGVAPHGGELVVRRRNIPLPTESALSTGSTLNGGDDYEEEEMEHLDDD DEEEDGHGSGADDDGEVSDAGTLRRSSRGTTIISSAVGTGDYSDDESYDSDDYDSRSE WTESAVGSTLSDMPVAGNELVLRQR
SAPIO_CDS2903	MCGRPLRREQMRIDMRLQYGSTLCHFDETQFHNNFFPRVRQCSD GSLCCSNDSTCCFTGKGVFLDNKGNIIDDKATPSSSSSSSSSSSSSSSSPTSTTDASS ENSSSTPDAQSDRAQDSTGFKVGLGLGIPLAAIIAGCGVWFLLRRRQQGASSPPSTES HANTLPPDARYDSSQPYAYSAYGPPGKVTSELPGMNEPAPAELWASPVPMRQN
SAPIO_CDS2904	MVQSFADSPVRPPYKEKFGELGQRAQQLRNWMALAEASPNMNEK KRLVRAVEVAASSLFPFLQRSPRNPHSDTPFADLLDTFEPGTKGIVLPTGSGTLRYAY HLISSLRDVISCTLPIEVAYAGDHDLSPADREQLQSRFGDIRFSDVLDVFDDDAVDLR NGGWAIKPFAALASSFEEVILVDADAVFLQPPETLLKQKSYASTGALLFHDRLLWKGA FKERHEWWTSQVTHPSAMLNRSLAFTQDYAEEGDSGVVVMDKSRLDVLVGLLHIAWQN SKEVRKTTYEMGHGDKESWWFGFELTGSQYAFEEHYASIVGWLEEPTAKGEANLCSFV IAHVDERDKLLWYNGSLLKNKKVDKKTFGVPTHWMVDGTWIKGPSRQAMSCMVGREPV ELSAEEHAIISESPEEACAWPVQLGMVVKTNSPLVHKAGEGVMEFLLANYPLDCPICD QGGECNLQDQSMR
SAPIO_CDS2906	MAPSLTAHPSFTRPRTGDREGRPSTRDQGDTSLIIPSRTSSLHS RITQPIPSTLNVKPQQRTPKTLTHAYMVCGVGREPSQWVKAPAPAQGKIGHMKGAVGQ FWLPEILGSSPRLEQDNEIARSLHAAMRACFPHDVEICTGRSQPHCVHHAFVLQQDSS HTLYGICLRVWSRADEKRAETIRDLRRRTESDFYDNPDETYWIPYCLSFLSRYPLYNL LGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTG FQNFAMWPLFCCLSIPNIVGVIEAAISPTRRIIFVSHYPAMLTMAAETVRFCVRVYEW SGLYVPVVHARHAKELVQEPGPYILGITAECRSLFTAPTDALVVDLDRNFVLTSSPPT ALTVGQRNKFVTRLTQSLNGDVTPSGVPQHLRSAYGGGKLVPAGQIIVMRGEVESIQD PEWWNQDAVMTVMDHVCEKMGRNTGLKAVFGGSVKKPLMTKVSMRHLNEIVRERNQYS RDALEAWQDFINLKGRMDTELSKVTKRNNYLVEELESWKQQFLKFQAFAEQLTKETQD LKVKIENHKRENRRLAGLLDQQKDDNARMSVRLAGTEKQRDDALEALVLQQEIAEELE RERKRNKKELAALQHTNATILRQRDEARRVVLHLRSLISGQRHHMEHIVESLTKPEDI VAELEDGYDGEIPEDELDEHMLNAVRNSKRFSSSSFHDVADRHLKDKTDAIAHIIRNI AEQCQAAVEGLQLAQDAEIREQIRNARRNSALSAAASEDGTQSAVTSEAGDDSLLHPS SGRASSIPPTPDLIPNRSSTAMSFTSTATTPERSSQQFMVRDDIPTKIVEDDAEDFEE SRSDADPLPHDVVVGKHAEGLIHRPSGARISALGGTR
SAPIO_CDS2907	MAHRFVRASKYRHVFGKSTRKEFCYDNLHISRNAWDTNLVKANP EFLSVNWESSGGGAFAVIPLEERGKLPDQIPLFRGHTAAVLDTDWNPFNDHLIASGSE DGKIFIWQVPKNFTLHVDAEEIPDVSPVAKLAGHSRKVGQVLFNPAAENIIASASGDF TIKLWDISAGQAHHTLRHNDIVQSLTWNATGTLLATTSRDKKIRVWDVRQERAVSEHA GHAGAKNSRAVWMGEHNRIATTGFSRMSERQIALWEPGNPEPIGGFTMLDSISGVCMP FWDEGSNCLYLAGKGDGNIRYFEYENDKFEFLTEYKSGDPQRGIAFLPRRGLHVHENE VMRAYKTVNDTYIEPISFTVPRRAETFQSDIYPPATGLKPAVSAKEWLDGKSGLPPKI DLESVYDGKAPVEVASDYKPPASSFASPPPSAKPVLKEEPKPTPAPVPERQPVSAADQ KASISAMANKYQDQEEDDSGDDDDASSFEEISRPPQRTTAAAAAPPPVREEPRSRSPA KAPVRSPISTATPVFSSTSVSSGGAAAGGAGTPADVSSSLREIKELIQAQHGLIRAQS EQITHLTQEVETLKRRVTSGSQDQSERIRQLELELETLRS
SAPIO_CDS2908	MQLGSTSLSHTKSLANPRHSRTSNYCTATTVRTPPSRSRGMRDI IAVSLLIKDIIRSLGDCRGSSKEYQDLVHSLSILDETLHQVDQIVRNPRRTSADKVLC SIALKRLQQIRAFLHSFNSQLEKFRSSLAPGGSGDRLKDAARRIQFKLEGKDIEKTKE KITGHTVEQNYEELQTTSRSLQEQLKDLGRQVIAKITFLAHVALDIKRSTSQVASTVF SMSRELASFRSLLTTLERPPIDEYFTFEDALGRVFPIHLRTITSWDAFSFVLSEKFKG GRGARRVRHRRYKLLDRATGREIEQGGSWERTFLPYRRIDMSLLCRDTELSATEAGRL ATCPWCKAQSSGDTSVQVRCQNCNMFYTRVVELDDIGLPSPPANIREARRFGQLSFNM DVPLDWDRNRGRKRRLGDGISDDNDSDDYESDDEDLSGLVRVTVISRRKKIKVFEGPL TGDHSSPTVTSFRTLSSETTTTTKSTGANFLASLSSTPQQGAQQVSGLPTDATPTLCP GVQPPHSDLPHIDDPEDVLRRINEDLATRLAILLAPKLEEYPWEDSEAHLRLEAEDLV ARFAPHLIQSYHGDRDQENIESYPWDWEKEGTSDSQVLIEDTVA
SAPIO_CDS2909	MSSPTKKGAIPRALTEISPSEKRRNSPSWNQTTKKMNFQQDSSP FQSSPLEAKTSPRVFWQNRNSSTENSLYGGHSGSPSPLRRSSLERLQKASRVKNSNIL ALEQKQEYDPTRIPHIERPLAKVQGNAFGGRGALGLRSEAPAASERKGHQRSQSKTDI PLYSPAKTAAPESSQTDNPPQTPKREQGSPIKSSLSTSRFKSSFDEATGTWTMESQAE TYRTLHRHTKSVTFDAAPPQVNEYEMATPDLSSIGSNSREGSYESDEDDEYNPFYHHH EGDEADDSFDASLEDTDKTPVVGPDDWRHDSPMRGGDDPFYDSSPAPEGMLTRASVGH QTHQRTDSSNSNSEHRPLPPLPGMGSGSFSRGSPGLSATAERMLGSHRSLPSPPPAPV SKSEIQNIGNGKMTLEERLKLMMLSDDGNAAKTAAEQQRERRMRRAGARDRFGSPSSE TTDTASQAALEAEEEGDTIGDISGLEEYQLPPRISRESILRRVNGNRIFDRESDYHFS SPPPFSPERPLPLDPDVPIPSTEEDSILDDDQGSVIVRPDLAEREDSYSYREISMDQD EELVIHEDKDDDSASHYSESAASVQAAEQQKMEDMEDPAEEDLTPHASPIEEKLPLPE EAAAPPNRPSLNGPVLPRPDQIEGPTMAEAHAYLQRPFTPDERPPLSKPEYDGSGWGE PDEYEDDESVSGSVIHHPVNDYDEEEEEQEEEEEEEEEEETPPTPEIPEQLATIKSAS GSKLRTRPSATPSDIMAMREARRQVSRELAPEVPPIPERHLSRRSRDLENDFSSSTED YLERHPSFKKRSLTLDLDLGLSLDQDFDRVIEAQKVAFNQLLNDSPEKATSSGHQAGQ ASGTATKKDASLELQNANSTTQKQRGYLMRQNTKLVAASDKDPDDRWKARSAGNSPVK ADRPQSWTVEPWNGKVRPRSVRKRHGGMSGPVPPLPGQESNAVGLNPLAEEDFNAELA TEESGERGRLFVKVMGVKDLDLPLAKTERTWFSLTLDNGVHCVTTAWLELARNAPIGQ EFELVVPNDLEFQLTLNVKLEKPAPAPIAASPTKAKATKTKTSTFGRMFASPKKRREM EMLRREEEQRLAMQRDAQTRQLNAAPSAYDLLSPLVAEDGSFARSYVCLKEHESRCFG RPYLVEVACFNEWATEEAGFASSVKSKRGTTAVVRRAPYKIGKLELQLLFVPRPKGST DDDMPKSMNSCIRELKAAEERLSRNWEGHLSQQGGDCPYWRRRYFKLVGTKLTAYHEA TRQPRATINLGNAKRLIDDRRTLTEKETTGKGGKRRRSAFAEEEEGYMFVEEGFRIRF NNGEVIDFYADTAEDKEGWMKVLGELIGRGDTGSIDDDGQGPRRNKWCEVVLKREEAL RRRAEGRRVHSRTKSMYV
SAPIO_CDS2910	MKRKLDENNEPAAKESQPTTKENAEKKASFSDFGLDPRLVQAIA QEQYREPTLVQAKAIPLALEGKDVLAKAKTGSGKTAAYLLPVLQAVLKRKQTNSDAFT SALILVPTRELADQVHKVIESLAAFCAKDIQAVKLTDKVSEAVQRSLLSNSPDIVVST PARTWSNISSSALSLDKLTHLVLDEADLVLSYGYEDDLRNIAKKLPKGVQTILMSATL TTEVNTLKGIFSRNPAILNLEEKDGDGEGIEQYVVKCAEDEKFLLIYVIFKLKLIKGK SIIFVSDVDRCYRLKLYFEQFGIRSCILNSELPVNSRIHVVEEFNKNVYDIIIASDEN EVIGDEQTPKDGSEEAVAAEDGTTESKSQEPPKKKKRKTSRRDKEFGVSRGIDFKNVA CVINFDLPTSAKSYTHRIGRTGRAGQRGMALSFVIPREQYRKHVPTWVESTENDEKIL ARIEKQQAKLGKEVKPYNFDMEQVEAFRYRMNDALRAVTRVAIREARTRELREELLKS EKLKRHFEENPTELQHLRHDGELRAARTQAHLKHVPNYLLPAEGKKGLTSQDVGFVGF NKHDRKRRNKKFKVGKKRSDPLRSFKARSKK
SAPIO_CDS2911	MSKNSSQASLRAGDSDGEPALDSDNESSTTSVIPSILTSRQIHG RTYQNFSTNTEYWAPNDEKQREAWDMTHGVLLMALDGKLFRAPISPGTICKRILDIGT GTGLWAVDVAQAYPNTEVIGTDITPMQPIFVPNNLSFQLDDAQLPWTFPDNHFDFIHT RGLVGAIKDWPSLYSEIYRCLKPGGWIEHVDFSLEAKADDDSLPKESPLRAWADVLIR AGDKMGRTFRVIDESKNMQLIRDAGFLRVRERRLKLPLGEWHGDAKWKTIGKIMQAML DDSIDGCGMYVLTCMLGWKLEEAQAYIAQTKKVLKDDSVHAYFEASIVFAQKPLNALS 
SAPIO_CDS2912	MKNPFQTNLPFLALLNLSTMASLVSGQCPFANRLKTRQEQGSSG DSRSFIQHNDVEDGDVYMTSDVGGPIADQRSLRAGDRGPTLLEDFIFRQKITRFDHER VPERVVHARGAGAYGKFVSYGDYSNITAASFLSSEGKETPVFVRFSTVLGSRGSPDTV RDVHGFATRFYTDEGNWDLVGNNIPVFFIQDAIQFPDVIHAGKPSPNSEIPQAGTAHD SAWDFFSMQTTALHTLFFAMSGFGIPRSYRHMDGFGVNTYRFVNDKGESKLVKFHWKT LQGKASLVWEEAQVTAGTNIDFHREDLWQAIESGHAPEWEFAVQLVDEDQAQAHGFDV LDPTKIIPEEIAPLQKLGKLTLDTNPRNYFAETEQIMFQPGHIVRGIDFSEDPLLQGR IFSYLDTQLSRHGGPNFEQIPINRPIADVHNNNRDGAAQMMIHGNTIHYSPNSLHDNL PRQANETDGNGFFTAPQRRLQGDYVRALPSSFDDHWSQPRLFYNSLTPAEQQQVVDAI RFETSNIKSDTVKNNVITQLNKVSNDIAARVATALGLPVPDPDPTYYHDNTTAGFSVL KDPLPTIAGLRVGVLATVNDSESLSQARDLKSKFDQEGVSTIVVAERYADGVDAIYSG IRANAFDGLVITKGTEALFGANATNPLYPRGRPAQTLEDSYNWGKPVAAVGSGSRAFD GSVVQRGPGVYTHNDTSSVVDSFKDGLRTFKFVDRFPTDNPSQ
SAPIO_CDS2913	MDRLRRLLGRRSTPRSSQEYERLAEDTSELENSDVLDHYDNYDG GEEEVPFSWFEYAIFVLLGMAMLWAWNMFLAAAVYFHKRFEEEKWIADTFQSAIITVS TVTNLVVMFVLTHMHQTASYPFRINLALIINTVTFSMLAASTVFLEDASPAVYLVFVL AMVGLTAYATGLIQNGAFAFAASFGRSEYMQALMVGQGVAGVLPPIVQIVSVLSVPGA NTAGENETTPPPPPVPEDAGSGSGTSAFIYFLTAVAVSLAALLAFIPLARRHHRLVAN RIADQMAESLASIEEAERSARKVTGPIKLFLKLPWLSSAIIICFSTTMFFPVFTAKIL SVRGEGDGVPAIFQPAAFVPFAFFMWNLGDLSGRVSTALPFSLRHRPFLLFLISLARI FILPLYLLCNVGGRGAVVQSDFFYLFGVQVVFGLTNGWLGSSCMMAAGEWVEEGEREA AGGFMGLCLVLGLALGSLLSFSVAGL
SAPIO_CDS2914	MDPHHGAAQNLRAARSKRSHVMTSQAGGAAFSSSAHLEAPTATL SSSSPPHRSSPRRPSLGPRRKSRNSAPAVPTLSIFPYSSSSSTSSLSSSPGSTATSTA VPIALPSRPLRTSLASRGSVSRTTNPFLLETSSSSYLGEDFASFSSSLSSNYSFAPSS FASTCPLGLSMDEETAESSLSEPDPGLDSKMDPFGSANLYSVPVGTKVKQFVGEGSAN VVIELDLPEGTSAATREFFEGKLLRLQKVSRKPEKQPYPYPVQYRYWRERIKPMFSEK DDLVNIDLVRLGPGGSNLLNDVDDLLALMDMATSRSRDQAPPADIEATVKAPEESPLK KRKKKFVGSRLARVEFGMLVDDMRIDESEGIMIEVKPKWLLQSPSAPTDAVRCRTCAV RLHRRLKDSSYDGAHLPCPLLMTSDRWEDRSLFVSRLFGDEELDEWYVAPLRRWFKGV APSRPKRLITQVRNMQRQLDQKGPLGSNADDEQFRLAMTLRDCSVFLRVLRRPGGQAK VVAKIADLDKKNSAAKMDWWKKTELDLVKGGAYFAEYRVDVEQGRVVIAGTECHMEME RRRARRKSEAKERQLQGELRLREGMEEHHNRLIRECDLEKYVV
SAPIO_CDS2915	MAFNFNWSPLAADAQFYNRAKDLLTRALNKSPKPPIIVDDILVS DFHLGSTPPDLEILEIGDLAEDRFRGIFKMCYSGDAAVTLKTRVQANPMNTYLNCTPS FTSPQPLAASDGLTIPLSITLSHIRLSAFIIVVYSKHKGVTIVFRNDPLESLKVSSTF DSIQFVRDYLQRTIENQLRNLMMEELPSIIHRFSLQVLCHRLPRDDKDDGEGVIDPLA SPPLDPVDSAGNLLDPSQISELNLTDSEEVQFQFRSLFSNLNLMRLTEVATAHSFLST FTPGLKDIQLRATDSDLSDTSGSSTPRSPRSPSPGHAKTYSFNPTSTSYTYSDSGSSS NGQIPSRPSLVNFNSATTGLALGSGRSRSSYTARKRKHRVVNLRKSKSESGSEASSEA GDSSMDNASAVTETASEPLMTSSIPEEPEEEIAAPAVPPTASIKKTRFEDTAEKKRSR MPTRSPLTSDLFSKPEEAAPSANVAPIVADEKPAAKPASPAASSSRATKPEKRPQTEQ TSPAFDAPSIILEQAWIMKMAGEIARRVYDEKSRREALWDDREDVPPPYEAASR
SAPIO_CDS2916	MAPPNQLGKRMKGVQISRPFIYGTTARPFDDKTNPKPPNVPDGH THSWQVFIKGVDDTDITYWLRRVQFKLHESIPNHVRMVEGEKGKPFVVNETGWGEFEI TIKLYYSSESGEKAQTLYHHLRLHPYGKNDEEKARSRQPDGAIIAWNYEEQLFNEPYE VFYNLLTSGAHARAGQAPGGKGAASKKPAAVDPASGIVYERSALVPLHLTAEQPFSKE TEDIERERISKALEKVMGWIDETKTANAEKEKRLAELKAENAAAAEALAKKAGT
SAPIO_CDS2917	MASLALASANSPLRAAGIRSGEPRWDAVSSSSSPPPWAHKVSWE HADILQPSTYTPLLKDADYVVHSLGILLEADYKGIVRGTQSPLSAIKTSFASSVDRGV NPLERKEGEDIKPPNPKDQMSYEIMNRDSAITLARHAESSNVGTFCYVSAMAGPPGVP SRYLSTKREAERAIAEHFPRMRNVFVRPPFMYDSSRGITVGIAAATGVGTLLNGITRG VFGGLMGAGGIKPLKVETVAEGLVEALADESVSGPVETEQIEELASRGWRKSML
SAPIO_CDS2920	MIWPPYRSVPGPMARSDTGDRAIRRRGGSTSFPLLVLLLVLASV DLSWQQGDPVSNFCRRFGHQTTIVDERLYIDGGFLNYNPLEQFPENYTNDYLFFHDLS TVANSGMPQLYANLSKNRTIPSLHGGVLWGDSVNKRVYQFGGEFPGRDLTTPFRGIYA YDILDDQWDFFGLDHVSQPASTVIYRTSYGAGVAIPERGEGYYFGGWISNRSEPNWGA TPVATSYLVKYEMDKNLWTNSSGPADRVGRAEGSLVYVPAGDSGMLVYFGGVRDKFGN GTVIEGQPMDEILLYDVLSFKWYTQKASGTIPEMRSRFCSGVAWADDRSSYNIYIYGG AGMPPNTAGFDDIYVLSLPTFTWIKLYPNDTTSSTGEYPHHSLSCNVHLDGSQMLIIG GSFPVSDMCDTPEQWGVHNLDMGRRNSDGAVWQLYDPAKKGYVVPWDIRNVVGGSETG ASTKREPENGFSSPDLKTLLARTASAPTRTPTRDVGGDKEGGNKLSTGAIAGIAVGSA VGAIVLLSACIFIIRKRRAAGNKPEMAMSPGGGGGGLGPGPHGYPSPYYEPVSAYPNS PPPHSSHYNQYNLPVPVQSSPIELAASSPHPPTTPGPYEATATPDSTHAKVDHGSWTP DHHRSYSTLQAHSPNPTSPGAYTAYTTSSGYGEAAHELSATPGFERRRERGRDREPGR VHETYYHA
SAPIO_CDS2921	MPSTHHSRRKSGQGLSANTSLTDVRKATSSSHRQRSSGSSSKKS RDQSNYKLGKSPKEREREYYEYADDGDGRDTFPQYCMACEKQFLPADDRCLYCSEACR EYDENSFQHIPPHGARSTGYQQQSTNSSTSLYNSGQYREPKDIIPRACPSRPTSTYFA SSPVMPGDATAAISALRSLTIRSGSPPSPTTGSAGGGVGSSLWPFTRSAASPETTYPR GGFSSTYDSAYGKMGYASSGMGMASGGYAGHYSSSGVDRPLPSRRPGGYSRPKSIELV TPMVSR
SAPIO_CDS2922	MSDRPTRGRPQHSRNSLIDLENEQPVYYTGQRSDLNDDDLLRSY TTDHDPQSRPSVSYDDFVGGAGGRGGVGGGGGGGFRNQYSTGASPPQQQAGVVGANTG PYQLNRYESSQSSGLGNYQRYADDFDDYPTDADSYYQQGGTVPPSTGPTAARQNARQR NSVLGLGGGFFGRVKNRLGMGQGYSEMDLPLTEQASTRVDSGNLDQRSTTKKESKFDL GNFKFGFGRSKPDPATLGPRIIYLNNPPANAANKYVDNHISTAKYNVATFLPKFLFEQ FSKVANIFFLFTAALQQIPGLSPTNQYTTIAPLIIVLLVSAGKELVEDYKRRQADKGL NMSRARVLRGSEFAETKWINVAVGDIIRVESEEPFPADLVLLASSEPEGLCYIETANL DGETNLKIKQGLPETSTMVSTSELSRLGGRIKSEQPNSSLYTYEATITMQTGGGEKEL PLNPEQLLLRGATLRNTPWIHGVVVFTGHETKLMRNATAAPIKRTKVEKKLNLLVLLL VGILLVLSIISTIGDLVSRRIDFDSLSYLMLEPISDAGQIVRAILKDMVTYWVLFSSL VPISLFVTVEIVKLWHGLLINDDLDMYYDQTDTPANCRTSSLVEELGMVEYVFSDKTG TLTCNMMEFKQCSIAGIQYADEVPEDRRATIQDGVEVGIHDFKTLRQNLENQHETAAA IDHFLALLATCHTVIPEREEEKGGKIKYQAASPDEGALVAGAVELGYTFTARKPKSVI IEAGGRELEYELLAVCEFNSTRKRMSTIYRCPDGKIRCYCKGADTVILERLNDNNPHV EVTLRHLEEYASEGLRTLCLAMREIPEPEFQEWYRVFDQAQTTVGGNRAEELDKAAEI IEHDFFLLGATAIEDRLQDGVPETIHTLQQAGIKVWVLTGDRQETAINIGMSCKLLSE DMMLLIVNEESAEATRENITKKIEAIRTQGDGTIELETLALIIDGKSLTYALEKDLEK LFLDLAVMCKAVICCRVSPLQKALVVKLVKRYQKESILLAIGDGANDVSMIQAAHIGV GISGVEGLQAARSADVAIAQFRYLRKLLLVHGAWSYQRVSKTILFSFYKNITLYMTQF WYTFQNVFSGQVIYESWTLSFYNVFYTVLPPLALGILDQFISARLLDRYPQLYQMGQR NEFFRLKVFVQWVGNAVYHSIILYIFGELIWYNDLVLGDGKIAGHWVWGTALYGAVLL TVLGKAALITSNWTKYHVLAIPGSMAIWWVFIAVYGIVAPMANVSREYTGVIPKLYGN PVFWLQTVVLAIMCLMRDIAWKFAKRMYFPRTYHHIQEIQKYNIQDYRPRMEQFQKAI RKVRQVQRMRKQRGYAFSQAEEGQTKLIQAYDTTQHRGRYGEMASSRPGAAGHPF
SAPIO_CDS2923	MVCSKCQKLTKGTTLATPEVKKKSEMYYGSPASSSKGADKKSVT LANAGVTKSKLLSKSAKNPYAHLAHIRKMAEGQSNHCPATRVDPSQQTRVAASNT
SAPIO_CDS2925	MSTEIISGAPALEETPKPEIDTNNLKRKASSSPPAEPDSPKRPK TETPSDKLQPPTPTRTSPEAKASDADRKDRSAVSIEEARARVAREEKSRGRRLFGGLL GTLNQRGMTAQQQKRLEIEKKQQERLKQQRVAALEAQAGRKSKIEERRKVSEIHWKEL VLREQHRALRQRARVLHTKTLPSIQWKPWRYTEAQKEIIREQKEEAEEKIKRDLEEFQ LKKEAHARKFNLPPPPRREEPQEAKAPRETPAEKEADETSTVIAKHVGSAAAVTEEPK EARSDVDKEQNDESGDVMMESGEDVVIY
SAPIO_CDS2926	MSRHHPDLVMCRKQPGIAIGRVCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KTNRAANY
SAPIO_CDS2927	MASQDDSSHSATLSPTTTAATPSADGFPTHFLPSSISSMASPRT PKRASAMTKTYITKTYQQASTLFLTRRLPEALTTLLPLITPPRSGDDGSVKGGPPAEL APIARAPRNTRIKVWSLYLTILNAVLEMEPDEGKDAFGTQEWRVLCNKVRDGDVWEEV VQNGYHGVEGDVDSDVVINLATLLLAHARTQNLNQKRLETYLATCNTPNLDISHRFNG PRTPTNRYMSPARGAVSGADTPRDLNARVKILELYTLHVLLRNNEWEYAREFISVSSV LDEERREAFLQALESLQEEQQEAERREREERERQQEELRRDIEEARRLRAENEKMEQR RLQEERERKAAASEVDYGIEESPKPLQKAKPRNNIKSALSKTNNNNNNRRPGPVASQP LTLGDRATLMLQRFQGMLDHLSATFHTNPIFLLRLMAFVLGLIFMFSSRAIRERVKRI MAAAWGKVKATAGMGVKVSYV
SAPIO_CDS2928	MSRAGTVRQYGKQTKRSRAERLFAELPQSPVNKIGGEKKVAVLR GGEIDELSELVAAVRIRDGEEDPSEADDAQSDGSAPKQLEEEAAQITEETNKEIREET DTETESKSVEDETSHTQETSGITEGVEDGLRILTWDDVCPFGDKIEKIAEASYAEVYR VTNPRGTSIIKVIRLKSPIRAQTKAQERSGLVDEEPHDEDDLIGELRISEWLADIPGF VVYKDRYIVRGKAPKTLVETHQGFHRRMKRKDPDRLQFYPSPSRYLEDTKFLVVELGD AGTALEDFGLESVDQLWDIFLLVAIALARAEDLILFEHRDLHEGNLCIRQANPPRSRD ESLPDVHFGYSGLDITILDYGLSRAEDEDDSTTPPVAYDLEKDLSLFTSTHADQCRVY RQMRSFLLRGDRKCLPASQHTKPYAPAFDGDPISWTVFAPYTNVLWLAYIYEYLVAHF SGERKELTRFKRLTKEMWTHLNPGAKAGTPCFGSAGDVVRFAVEAGWILESHVVGDGS TFVEREDSIVVEEEGGSAARLRRSPRQRRVD
SAPIO_CDS2929	MASFLDNLRGKSSEDAVPKADSDFADFGDAPVVAEDTSPAPPGA ADGLRTTPSGKPYTKWYNVHERHSLSEFRLEGYILGIIAVLLVFHLIGSRRNRSKAKN FMAKHLPVLRREFAQVGFGGESQIREKSLFEFESYATGRQNVAFVDINLVMKKRFNPI LGAAEFVLGSLFESVPAPKDAVDAALYPFDGKESKTVPTIPGSNELKVEKSAYDGFVW AVVNKNNMQAIRDERYDASITFTKDNSKLPPWLTVMSESAEITDVLLTPELIKAAEKA GDLLEYLIITDQPVTKPTTLDETTPRKRIFLRYRLPSSDREYDDILPLFSYFLRLPDL LVKQAHFRPEVLRKIRVIREEAIAQIKKADEELKAEERNTERERAKKAKRDEQLKGMD AKTQKKFLEKEREKEFKRSQKKGTVRA
SAPIO_CDS2930	MATTKVDYSTLKTPELCYADFCLLPLGTGSPSVSREIADVQKLI RSSGLKYCMHSAGTTVEGSWDEVMNIIGKAHALVHQAGVSRIQTSMRVGSRTDKIQHF EDKVNKVEEILAKEK
SAPIO_CDS2933	MASSLLPDPAQLAGGGNSETIRVVDSLNQTLLTHLKTIFAARSG GTDQPWTAEQLSAFCTEIQREGGAAIPTSLLAASEEGGGGLDFEGFVKYMTSSATALT APPKEEDLSWPLAAYFISSSHNTYLTGNQLSSDSSTAAYKNVLLRGCRCIEVDVWDGD DSDSEDSSSDEEKEAAEGGELKKKKKKQSTFEMLKSKLKGETQKADEKITQPREPVAA TQEGSKKVKNDGIPALKPGAVEPRVLHGYTLTKEITFRDVCVAIRDSAFKVSDLPVIV SLEVHCTPEQQDRMVEIMEHAFKGMLVPIPEGEPTELPAPQDLRNKIIVKVKYAPPPG ASPEQQQQLLEDDYQDRLPQGGSAAAKGTAAAAAAKPSKITQALSNMGFYSRGVSFKS LTQAEATMPTHIFSLSEKKVAEVHGKQAEKLFEHNLHYMMRTYPHGLRIGSSNLDPAP FWRKGIQVVALNWQNWDEGMMLNEGMFAGTHGYVLKPEGYRMKKPDGSKPPPPTYQTL DLSIEVLAGQNIPLPPDDEHARSFRPYVKVEIHVESPEERRGKIRPSAEAGQEAEGEY KARTKTNKGTEPDFKGEVLKFVRVPGVVPELTFVRFTVRDDEFGRDDLAAWACVRLDR VRAGYRFLHLLDCRGRLTKGVLLVKVTKVLVK
SAPIO_CDS2934	MEESNHGASVPTSPIAQRRQHTRNLHRHNSSGDTEQTPLLTVTR SRRLQSGYVSPRQNSAHPTFTRNQSYAGKTYEPNNLDQVAARLIQALSDRQESTNETK STGYADERVWYDQFTSTDWVHDNIADAHRVKALRSRRDLWGRIFATWDASQGWILSAL SGFLIAVAAYIVNVSESTAFDWKDGYCTKGFFISEAKCCPHGPCTAWRTWSEALRAHP FGELWTEFAIYLALAIFFSCAACALTLTTKTIVPSAYQLSTLDENLAADSPPRMANSD EVHSPRQVIEAKESPPMVYYSAAGSGVAEVRVILSGFVLHGFLGLKTLLVKTAALIFA VASGLSLGKEGPYVHIAACVGNIACRLFSKYDHNDAKRREVLSAAASAGVAVAFGAPL GGVLFGLEEVSYYFPAKTLFRSFFCCIIACLTLKFLNPYGTHKIVMFQVRYSVDWEWF ELGSFIMVGIICGAVGAFFIKASKYWAKSFRRIKIIKNYPMLEVALVALVTGLMSYWN VFTHLPVAKLLLNAAAPCDPDDADRDQLGLCPSRIEEIPPVVASLFAAFLIKGFLTTI TFGIKVPAGIYMPSMVVGGLLGRLIGHMVQWVVFRFPDVPIWAACARSGEGTCIQPGV YGLIAAGSMMCGVTRLSLTLAVILFELTGSLDYVLPFSLAILVAKWTADGIEPNSIYD LLTNMNSYPFLDIKHKPIFTSDLATIVPRVRRERVIDITNSPLVPATDLRSKLTVLHR AGELDGGLPILRNDVLVGLIPAPDLEYALDNLPDEETSLCLMANVPRIDEDDGEVDPT DFTPYIDPAPVALDIHSPMDLVYECFSKLGLRYICVLRDGKYAGMTHKKSFVKYMREL LQEQHGGS
SAPIO_CDS2935	MSGRDDKKPTVPKFSSFKPKPAEPTPAPASSKTKEDDVKRPSHR PSKHHRHHHRSHRHGDKRSRTTSRSPSPKRARTRERSEGPTTREPEVRRRDDLDGGRS HAAQQQPTKDLGSDFFIIDKRGDPMVRKFGVDRYKLLQFRRPNREAVLGSDGYLYIHR DGPLEQFSIRRPGEFYGVSLRDKNAFRTRLRQVQPRMLRASKRAVAEADGPSEDFISL RPPKRKKRGGRESEEDECEHGPDYRSIEGKAKAAGASGGDTELESSAEEEEEEEDTVV ELDPLKERSIELTRRVKDKPDDIPAWIELINHQDLLMKAGGSGQDIPIDEVKSFAEIK LSMYEKALAQVKNPFQKEQLLVGQVREGAKVWDVPVQDAHWKKIQKEVDGSFLLWRLY LDYKLSNIASFQYGTVKKIFLDRLALLSGKVAEEVIRVKQKALYSELIYVFLRATRFF YDCGYRELSVAAWQALLELNLRGPEFPNPSGPVPESFQTFWESEVPRLGEPDARGWNY FITTNGDDSNVPEPAAPDPGPQGTPSRDAYKAWAVEELYRSRRSRMPARTLDEDNLED PFRLIMYSDLEGLPFIIPGNLLAELKGELLDAYLTFCEFPPLSSKRAWKTDAHLSQRD FAFEHLVSHAEPRKYPEGDDSTTRSPPEFLKKAITAAVAPKHICSLLHSFKGVNKDEL PVEFSWVLLTLKTLVVAGYEELAGYCLALEWIADAGSVRKAARTLIKQYSQNIGLYNL YGLFEWANGNFDLAKKVLSSATQLSQPTGLESTRQLWFTWAWIEFMNEAETSTVLSRL CLIPDEGDSNLPLTFVLKKKQELRMESENAFVRDDIYGFVVNAKLLALAEYLSSDASS EPKSEKQGSISAAMDIIWKHSERLLIHGHGECSQHEELLEFASMLLYRHTTMGPYRRV YLSDQFRRCIQLFPTNVNFLALFDWVASTLRIDDEFRNVLNDQVLIGNQDSIALRVCA ITHELGASGSTYSAAAAFSRALFDTSEAVGSSSSAWLWMCYVRFCRARAEGLPRDSAK RAYYRGVGRCPWAKGLAMEVFTTLVRGMTSAELKAGYSSMEVKGLRIHVGMEEFMERR KVEKEKERERQRHREKGR
SAPIO_CDS2936	MALRAASRFICPSKIATPALTLRPLPVIARQSRLLSTTAVTRMA APSNAAPFYQGEPEAPVVKTDIPGPQSKKEIEQLNEVFDTRALSLLTDYDKSFGNFIA DRDGNLLLDVYAQIASIPVGYNNPALIKAAQSHEAINGLVNRPALGTFPPHDWAHILK TGILKVAPKGLNQVFTAMAGSDANETAYKAAFMWRRAHERGGHHVEFTEEELNSAMQN QAPGTSNLSILSFKTAFHGRLFGTLSTTRSKPIHKVDIPAFDWPQATFPQLKYPLEKH VEENRAAEQASLDEVEHLIKTYHNPPAAVIVEPIQSEGGDNHASPDFFRKLRALTRKH NVLLIVDEVQTGVGATGKFWAHEHWDLPEPPDMVTFSKKAQTAGYYFGNPELRPNKPY RQFNTWMGDPARAIIFRAIIEEIERLDLVAHTARVGNYLYGKLEALAAKYPGQFENLR GKDRGTFIAFDNPRRDEFLVRAKKFGINIGGSGKEAIRLRPMLTFQERHADLLVDALE KIVLSFKE
SAPIO_CDS2937	MGSPFTDEEKRFLLAEMIKVSKVDVHALVEFVKANRVEQKWYSI QVPTGRNLEQCFQAAESMFGAPISPPSLLRETPSTQQMPSSSSTTALAPHPSLPTVPQ PPEAFPTDSSLPPISAVTPGVAVPPNRSSTPQHVPIQPRPPTTINGVPNLASSLSAEP PAKRRRGRPPRPKTLHNRSGAGGTKSLPPLAPLPPSSTASQHLSTPTPIAPLAESSTQ RTLSPAYSVSAGANFEGLSAPRGQKRGPPVKDDEQQHSTSEAAASALGAQSMSSTPRP DSTPGPQREWPLQPDEDRSNRLQTLARQATTPPPPSTTQSQQGASPLSAAKATPVRT
SAPIO_CDS2938	MANIQLGEALASNELDLVPTSSGRALRSNGIKRESRNSTMSPGE NETGASKGSATPDEPQPPRLSRKASQKPLKREPKLFDDLPDATEEACNSFKVIADCLY GSKNMGSTDNDALDCDCKEDWHDGVNYACGEDCINRATRVECVIGASGSCGDSCQNQR FQRKQYANVSVIKTEKKGYGLRSNTDLDANDFVFEYVGEVINEPTFRRRMLQYDEEGI KHFYFMSLTKGEFVDATKKGNLGRFCNHSCNPNCYVDKWVVGDKLRMGIFVLRKIHAG EELTFNYNVDRYGADPQPCYCAEPGCVGFIGGKTQTERATKLPILVLEALGIEDGDGW DTTVAKKPRRKRPDEDDEEYVNSIQARSLDEDGARKVMAALMQCKEKWIAIKLLERIQ KCDDNYVLSLVMRMHAYQIMKTMISSFSEDDNVILQVLDVLNRMPRLTKNKIVDSNIE ATIQGLQTSQNEDISATATRLLDDWGKLQVAYRIKRKKVDPNAQTTSAAEALRDAVVG DDRDTGTSTPASKPVSPLPSNIDIPKGPKNSMPQRNPHGPRGGGGRRHQPFPRHGGGG NRQPKFGHENETPLPEGWFVAVDQKRQVYYYNKHGQTTWQRPAPPKQPTQAEKNAQII QKIIDDVANEQRRLALAAAEAPASSTQTPPESSETPLLDAPTEKWRQLPIEKQMKIYE NTIFPHIKYVLDKFRHKLPRDQLKRFAKELNKKLVASDYKNNRVQDPTATLSDKQSKK VKSYVKDFLDRAVVKYREHEKKKAAREGAKPATNGDHASSVPEPPALATPNGVLSKED SLMADITPGGSSSPEEAAASQTPSSPSLKRKRVEEEEPLVITPVDDHHEPVSKRVKEL DGVEEMEVTTTTTPPPPPPPPPPPEEEDGEPAEALMTEEERDLRAQEEALIRENEEAQ RLEDEARDAAARTEAMSEPVSVQ
SAPIO_CDS2939	MSDKDHPEEHVAPSSPTAVDTPSKGRGRGKGKGRGKRKSLAKAK TFAGVKKPVPTGRRGRIKQYNDPRVQAAYERQREVKSAYLSIISHMKPALEELASRNI EKLKKDPEVHKTVPEFDIITEQLDTRLTKVLNEAQADYDKEMALLNLSFEKDREFIGL QHENGIEDAIDRFYDAQLERLRLLEEMFDHQVPPDVRDNSFNYKKISDQEYEERGTYV AYDEEGEFVVPYPSRVPGTAMYEKAQRINALYAPKPAQETAAKAKRERDLRASAIRGR LSGIKRRATGLPDNQPSAKRPTTRSAARAETNDDEDVETPDITTLSEEPVNAPARHIM GLLPAALDNDGATESAAATPRVSPDLDEEDKADDSSAIALDPRQRSPDPPKGLSEFDQ YGTALVHRGPKANNRFAVKPPFQFDDIDIGFRDSTNDSTKIKNAAARGKYLDKPNTNA FYYDPLLWNYDARCQTEEDMDEDLVAKHRVHPRYGFFVQGSLNEEEEPAPRNERPMSV VFITPSGKTLTSSRSQITVATARSAADYEIGKSLRSAMSEFIKQNPEHEIPEPSVVAE KDIPEKYSGPSLGLIQLEEGDETRSSAAEETDREVTPEPATTEVEGGAERPNMLSSLV SAAILASAEDAAARSARAKSASRPYDAIRDVFGTSAPSQPQPEQQPFSLSLLAEACHW EPRPAGVETGPQPPKLQTVPEPPVLPPPRQMEPVESSLPQPSFEEPAYPPPGRATRSS HQPLYDTARYDGARHDQQHLQTGPMGHADLDANKHPMAVHHGQEEESLIDPRLRAHLT QPPANPQSIYEQPPIPSHQSPLPYGAPPPMMSMHNTVMASVPPSQPQPPPMAGPIPGP HPTPTEHHYGGVPPPRQGQQHQPPYAAPSPQPLRPSVTTRLPPLRPPRQVGHPPPFYA NPPPMSHPGMVSTNTGSFYPPGPARPFHTSFPPQEQQHNAMGMPGDTLSMQPYYGPPA TSPPSYAPAQPAYQPLAQAPPPPRGNSPPASSPRSRPSFQGPPPPPGQSQQPQQQQNS KYRKLEPAPIPPHRMGWNSEPQLRTVGYNPTEDIKDYSAVEPLPGRGPTFIRGWNVNN SAKKQRVRSGRDDKDASG
SAPIO_CDS2941	MEPSQNNDSTAISEDEIALYDRQIRLWGLQAQEKIRKASILLLT LRALGTEIAKNLVLAGISSLTILDSAPVSAADLGAQFFLSSQQSELPQDESAIGKNRA IVAAPAIQKLNPRVAVRVDEEADLEKKGDAFFAQFDVIIATDLDTDALERVNDAARRV GKPFYAAGVGGMYGFIFADLGPHHEYVIQRDAGNLATKTGPETPTRSVLSVRTKKEGP RIVESVTKRELYSPFQTSCLSAKLPQEYTKSKRRLRGVTPVLSCLRALWSFSSPSETA TTTTTENGTTARRLPRQDNREDLAAFTQLAMAKHAELGLPPETLTPEVIRRFLQGVGC EIAPVTAVLGGQLAQDVINVLGRTQQPVQNVVVFDGDKMEAQMYALHPEADVDGANGG A
SAPIO_CDS2942	MSSDQNQNSRRSYRDHQQDSPPGLPRLNLTTLPSLRFVVPRGRD STHDNSVTSATANRRYRIPTGASRQRNSQRNSSLSRFYRPHYASQGWEEANAHLHLRA ILEQAHSSPQLSSVRYGGNTASNPSPGNSNSMSSSTQRRQEALEDNRRVKRRKVDADR LVPNFEGFRYGTYGQVEPGTLTMEIVSCDGGMFLNELSYAAENILKNDASVYCTKGNR CNIVLRHQGATVFNLTELIIKAPGSNYSSPVRQGMVFVSMHHDDRLTRTAQYQIQYGT TAAAARRSSAGTDDRAEETGVRARAYVAAWRRRDGTGRVRRRSSYHDLGLEYDRDEDD EDEEDEDEEEEEDEEEEEEEDDEQDDEEDDDDDEDEDHRFAQMPREFSTTALPPFNIT TECSDDDEGIEPFLVGRSAPNRIGILPFESDSEDSFSNGIDEPFLDDLAVFGLRDISA SLGDSDTTPTSSGTTNGQGQQQQQQQGTIRGPSSQGELMAPHAKFNIEKGRSKCTIHF DPPVSGRFILLKMWSPHHDPTSNIDIQTVMAKGFAGPRYFPSISLL
SAPIO_CDS2943	MRDWGQEHGFGRELLGDLAVLDGCLDIWQAPGKATGGQAEEIMA VRAQFENSNEVGVFATLTNSYALVAVGASENFYRNRKGLLVPTTTTDQELQHLRNSLP DEIRIQRIEERLSALGNVIATNDHIALIHPDLERETEEIISDVLGVEVFRQTVADNVL VGSYMALSNQGGLVHPKTSIQDQDELSSLLQVPLVAGSVNRGSHLVGAGMVVNDWLAV TGLDTTATELSVIESVFRLGEGAGPSNINTQMKDTMVESFY
SAPIO_CDS2948	MPPHQISRFASGPTLAYHQQQQFQSHAAQSHAAAAHQPPLAGTH SYINANAQLNAFQNPTNGGLAGVGGLNSGGFIDGPRLAFQGAAGFQQQQQHGQAQHAL GVEYPPNVRAQSNKGRIREVWKHNLHEEMATLRRLVDSYPYIAMDTEFPGVVSRPMGN FRGKSDYHYQCLRTNVDMLKVIQIGITLFNEAGETIPARPNSTEDALGKRGSQASFPC SWQFNFKFSLKDDMYNEKSIESLQSAGIDFNALERDGIDPHDFAALLLPSGLVLEDDV HWISFHGGYDFGYLTKLLMCTALPNDEVDFEKLMKTYFPSTFDVKHLMKYAIKLYNSG ILATNDPSISEVLQKFEHKSGLENIAETLKIKRVGAAHQAGSDSLLTGKVFFQIRERI FGNEIAPEHVGKVWGLGVPDVLPHSLPATNSDLAATAGANGNGNGNGNNNNNKGNNGG PSTPNTGSVGLASTPGTAQTHHGVNGGSMGPMTPGGGGGVFGNFAFSGASR
SAPIO_CDS2949	MLATRILRSAKGAKPNITGFDISKLRAAAGTPKYDPWERTEAWR YRGPFTRWNRFKGTLPGLGTATVAFTIYCLYEHFFLNDEHHHGHSEGHGTEKH
SAPIO_CDS2950	MADHEHMAIKHSDHILLDQPLLRLPYELLRNNFRSAHFAVEKES TGIKTSLKDATTGCFNGKSSQDDLVRNLDDMIAQAKALKRKLAACAEEENRLLNQERA RITHVEDLYSINSFDDVKYESWSRTRLDRLMVDFMLRSGCGNSAAALAEERGIQELAD VETLVSMNRIRESLKKGSVQEALVWCSQNKKELRKMDGRLEFDLRFQQFVEMIRSGSD PRACLAHIKKYLIPHNALFPNEVRAACGMLAFPYDPNSNSTHGYDAYFQPSRWDMLAN LFTETHNTLLSLPSVPLLNVALSSGLSALKTPACHAAAREAAPGSKEISHGATVSPSG QQTVCPICSPELKDLAMNVPYAHHTKSIVEHDLVVLPNGRVYGREKLEQHAKKFGMPA DQVKDLQTGEVYEVDKLKKVYIT
SAPIO_CDS2951	MTDIITETPDLKSELTSPGQKRKRSGDQSPEDRRVKRGAAPAAN MVPSADSTSLGFLEAAANEASAVGVGVGVDLSALQQANEAANHSEAAAHQPVVTDASS ASSTAAAALGSMYPTMHVPSTTEQQFVQAAADGTNPQDAGVFADVGHVGQHDAGAPTA VSLPPTAPILAPNGTQPQQQQAQVLPHQSPQPPQPAQPVQHVHHPQIPPPGQPGQPQQ AQRADYQYRKPAVGTEEWHKLRKDNHKEVERRRRETINEGINELAKIVPGCEKNKGAI LQKAVQFIQTLKANETSNIEKWTLEKLLTEQAIGELSVSNEKLKAECANLYERLDIYK RYFEDHGIEDPTTKVDQPDQMDHVEHVDQTHVPVAIAETTPNANDG
SAPIO_CDS2952	MLSSCFLFLLTLTYPVSAFYPTKFRANLASHPGISHEQITENAF NFAAQLLFPQAMQASREAYGASLSKDMLEARTQISRACAFADRDHDEDGWHYDGGNGA EGREVLKGLKEEVVEALVSDDVFRAREALGEVLHVVQDVIGYGAENCSSVLEMRERSR ASFGGYTSEDILMWGDKMKDAEYIPVHDIDQLLNALEIDPKGTTEELLGAMGMDSKGV LEEFLRALGMDPEGALKMNMVTDDDTQTDTKRHIWAAVNAVAASIRLLQALPNSVSAK QVQRLFGIGLDSLIVLDPALRRSEEWSQARQLLRSSLESLIINSTKSDIRFTGVRLLS TGFGPESALPRWIPIRTPSDLDFIDGLLPATPSVACSDVDPEILLARIQELRYGGEVT LIVSQPLRENDAAFGWIVNFLSRHPVSVNVAQLKSACSSTSTIDPFYKSVADLSNGLS TTDLSSHKSLRAQDASWDPVHQLTKIFEKAGMESDEIFNLEVGIDEFTDQIVLSVDCD DCSPFSLSGDPSSPTLPDPVILKQDGETYRLIFTINNPPPGLWSTRIPTTSVFHINVQ ARSSIQLADFYFAENAGRPGHQGFFPIEGSPLAETNVVLIGELYGDFQSPRWYLVDLE TGEETEVEMLPGLNATKYPGHADLHTFGAIAHLPDREFFVVVRGQDSKGNSFQRMFPY LITPDYDPERKYDLGGSEGMFERHAGPGNPDRRQFEQLGNPATVTASATASRPSFFDG VPPAASVTAQSISLVSTTVLETVTLLWPNSSTAATEALSTAALNATSEAQSASIDTFS SITTTSASALNATASLNPTETIIIVTSLPLAPTMGPVTTTVNIISVFPSGQNVSVSSA FNTSTSASESLASFSFTNSSILDASTTSTGEAAFSTDTASSGSVVNFRVSDKESAVPT LSIVADPCDGSQGLNSLSSGSAATASIATESSKDVFLSTTPGTTINSAQAQPGTASLS TYSTTTSLIPASFSNPLNPITLTTVIVVSFPPAEPKDTLTLTQTTTTFQASPFPPTLI SHGGTPPGQTPDTLSALPTSTVEIIKTHSTTSSTLLTRVSVTAFPGYPDDPWPSSEES SSSVTPLGSGAFSSSSSLPHNGHGAGGNATETGGTSTGTPGGDENGARMVRTSVVVVI VVSLTGMAVLML
SAPIO_CDS2953	MAESQNSTPQVGSSSSSSSAKKHPYKKRLIMCCDGTWMNSDKGS EDRFGHTTLQVPSNVTRISRSFKRLCDDGCMQIINYESGVGTGSNTLDSITGGAFGTG LSERVREAYQFICANYCDGDEIILIGFSRGAYTVRSVAGMIGDIGLLTRDGTELFYPI FKDMENWMDKDYDDPFPTLPFSEKPKGANASKEYKARLERLGLTRVRQDGGTGDLIKV KAVGVWDTVGSLGIPEIEHAFQALALDETRPPFSPAVWERLPNNKLTTDLRQVWFPGN HGNVGGGWPDQGIANISLAWMMDQLASVGVEFDEKSLEKIFTRQVQHYDSLAKPSPSS TPSSSFSRKKEQILWAVEQIHSPNHPRRPWGLGEIKRASNPLYALAGKKARTPGLYHE VDAKTNQPKKEFLLDTNERIHPSVRVRLACKGLGLDDLGVWEAESLKKWKLVRVNAGA ANNGGTNGIDANGNAVSETTEWWGPTKVEQEDIKDAGLWAWDYVGPSGDAPPVRRLME ERLGPYERYFLKLSGGTPNSYQWAGESFSEKK
SAPIO_CDS2954	MARLRSQCRQPPIFPEGRGAHHPADRYRKDIPAIGGLFPVDEKL IAWYRAKATTAALTDSPAKERMNHEQVNRIAENRLVADPGATYAKESYLNLPTPPPWV AGPNSVKVANPLKNLEPQNIKIDKAYLVSCPNSRASDIAAAARVFREAATDGQPAKVA SGVRFYLGAASLSEQKIAEEAGDWKVLLDAGAIELPAACGPCIGPGTGLLEKGETGIS ASNRNYKGRMGDPLAKAYLASPEVVAASALAGKIAGPGWYQKPEGVEKVIIGEGSGDF AADKALSLEATAAEDEALTNVLPGFPEKIEGEIIFLDSDNINTDGIYPGKYTYQDNLP KGKMAEVCMENYDPEFTKLTKPGDVFVTGFNFGSSREQAATAILARDIPLVIARSLGN IFSRNSINNALVSLEIPRVVERLREAFKDDAEKPLTRRTGWKLLWDVRRSKAVITEKD GTVWEQKVSELPPSVQEIIAAGGLENWVKSKI
SAPIO_CDS2955	MSTPPSRLKSILSHLIPGHHPENTHVTPSAPHIHQLSPTFFLPR AAAIEPNAEAIFHLTTNGRVLRRSYCEFADRARGLAYYLIKHGYRRVGILAPNTPAFL ESIYAIPAAGGIIVPANYRLVPEDIEYIFDFAEVDFIIADKEFEPLLSAFRKTHPNVN VLVDLDTDSSAGQLHGPFDQAVWEGLQYDHALGQKGWEGLQAQCAAEDDLVALPFTSG TTSRPKGVMYTHRGAYLAALANVVESNLNVDPGRCRYLWTLPLFHAIGWTFPWSVCAV RGTHICLRKIDYPLIWKLLKEEGVTHFNAAPTVNTLLCSSDAAERLPRPVKVTVAASP PTAHLFEQMTNLNLIPRHVYGLTESYGPLTVSYPLPEWESLSPKEKFSKLARQGHGFI TSLPARVVKTKSDEEIEAAAAAAAANPTEHAEEAEEVLVDVAKDGKEIGEIVFFGNIC TKGYYKDPEATKKMYAGGGLRTGDLAVWHPDGSIQILDRAKDIIISGGENISSVALES LLAEHPDILEVAVVAVQDSHWGERPKAYITAKDPANPPTQEAVITWAKGKTADPKAGK SGISGFMVPREVEVLKELPKTSTGKIRKNVLRAWAKDN
SAPIO_CDS2956	MATPGPNGLNRVNGLNGLNCNHVPPPPPDSLDDEDDAIESLNSI IQRYKDLMRVSTSELKTLVTITCEMSIRSLIRGPNAMTLPQARHYFINNYLRYVRKRI SIGLWIKYMERRAEELPEARDNINRVLDVTREVAEGYDEYTDANCDYAKKSNSNESGL QPQGKHDRTC
SAPIO_CDS2957	MFFMSSGFTLISFYHNLIKICQLYQTRSDSPHTSNKPEMAITPL EVDPMEVDSPASSHSAVSGPANQEPASAVDPAPKPTGGSRVTKKTMRRMEQKLNMLRR QHTDKLFQLREGGAEMSEEAVKQTRVLMKLIHYGKAVDELKLEMLADAIEDLGCDG
SAPIO_CDS2959	MAADMLAVTAPTYCDPSRYELSTIPRPRITEPTDVIIQVHAASI NPVDVKKADGVFKLAVKEQFPYQIGYDAAGVVTEIGDGAQKFKVGDEVYTRLPEVGRG SWSEYVKCAESYVALKPKNLSFGEAASLPLAGVTALQILRQYKGSLEGKTVFIPAGLS GTGAFACQLAKNVFHAGKVITTVSTSKISKVPELLGQGVVDQIIDYTKNDPVDVIPPR SVDFLFDTTGQAMQFLSLMVPSTSLIASISTKPSATTLQGSSVMKRPDNPRIPLFGRL FLDAGDMARKLRARRWGVEYMYWFLDPNGEDLDTLRGYVEEGKLVPVVGATVDLRDIG KVREACMIVYNGKGGLGKTVFEVIQG
SAPIO_CDS2960	MSLPKDFLWGFATAAYQIEGASEKDGRGPSIWDTFCAIPGKIAD GSSGAVACDSYNRAGEDIALLKELGASAYRFSISWSRIIPLGGRNDPVNQAGIDHYVK FVDDLTDAGITPFVTLFHWDLPDGLDKRYGGLLNREEFPLDFEHYARTVFKALPKVKH WITFNEPWCSAILGYNTGFFAPGHTSDRTKSAVGDSAREPWIAGHNMLVAHGRAVKAY REEFKPTNGGEIGITLNGDATYPWDPEDPEDVAACDRKIEFAISWFADPIYFGKYPDS MLAQLGDRLPTFTDEERALVQGSNDFYGMNHYTANYIKHKTDTPPEDDFLGNLETLFE SKNGDCIGPETQSFWLRPNPQGFRDLLNWLSKRYGRPKIYVTENGTSIKGENDLPREQ ILQDDFRVEYFDSYAKAMADAYEKDGVDVRGYMAWSLLDNFEWAEGYETRFGVTFVDY ANGQKRYPKKSARSLKPLFDSLIKKD
SAPIO_CDS2962	MLRRRPRLWAVLLCLFLCIQLATARPAGLVRRQDEVSPEPTLET TPRAPEPTKAVTDAPKDDEEPTPVASTKPTDEATPTTSETSAPTPTESSGIDDLFDSP IPEGQLPLQPRITPAYGLAGVLLLISGLVYNTIGIKNSWLQTFFSVTYLGNLAITVLI LYVMTVPISDAIQGAYLVAIVLTGLILGAGATIFKELTEGLGCILGGFCVSMWLLTLV PGGLLPQTASKVIFIAAFSLGSFGFYFSHYTRDYAQIFLLAFAGATAAVLGIDCYSRA GYKEFWAYVWDLNEDLFPFNATTYPVTKGIRVEAAAIIILSVVGIISQLKLWRVIQER RAKKAAEKAEDERNLQAEEEAIGRQVEEANARDRRDWERVYGDGDGQTISSGNSGSGD LEKEKHMMQAAAALPVSSQASERAVGETEPLSEPAGNTPSNMPPEVPPKSEPPPLMAA DPEGDAAKITVLVVPEEYPAPDRGSIRDPEEMAWVSNASQSPRTLHAPGDSSNRVSRS MTISPQPDVVPLPFQVTVNDIDGSEADRDTIGARSSLAGTFADDDEDIDHERPQSMRR SLVKRLSQGSMNLLHSLSHKSDRNLTGKDNNGSQEGLVNRRSLARTEDDNGSVAATVD IESVDDDRSTVRGETDQDIEITAELSGKDTTEPEGKPGVTELTEGVSALEVTSKPKSG TSEAGATLDLQVDEGNVSKKGKSTTSARSSQVVALTKDALPDPLSRTALKYRTNEWVK HSTSADVPEPDEIQLEDYPKSPSRVENENAAPVNVDELQLTAENGTPKPAQVMRAATA TPRLSSHGGYEQGRLPIQRTPSSSLAVRPIPEEEPAMRSTNVSPSPEESRSVSRNSSS PPLGIPAVVPYANASTLLGQRESYLRNKTLGPYPAQGGVDYSVPLDRIPSEAGSVRNY SPHGSRSRTPDEDDMPLSQRRALIRQSSQHGQSATSLNNLRASSANYLAVPMTVDNIP FNSHQPQRVSSVPTAAVREAQLANFRQSVAADLRAGAPIVPSTGRESGLGGIYGGSST SLNGPHGFGLGSSREDVHRTIEAQRAMMLREKEAEAQAREAERWERERNERAFEQMMR SGNLLDAHREAMRRLQGTAREK
SAPIO_CDS2963	MLGLWPSPTKPFSAQIVKVVTTPEDSVVLPTHSGTCSWTPASPT PPSLDPNLVTPASCNRSIDSASDFPGHLPPQPPRAATCSALSHPSSPTLPLLLPLSRS LSLSPSRQIPSKSPNPKSDFLGSSPSALALAANLNIAGITCTIQVPTTNPHPKHQIRR PSPEFRTLQAAHLELPSSIVAGSSNRIDDSYPVNNHGSDSIPSNPAVFELDAANLVLI GKGTEENPPIEFFTLEDPFCTFRSPTPSPPPWCPLLLPPPPPPLLPTSPRLRELHRLL PPSALLPHLPRNQCQSQHPASVVAVDESTGNPRIHSPSVQPVYPGLSSPAAQQGQQRE HHQELEQQQSSLAAVDSNTAAALAAAAANTPAPTVLTPPPLAATSNPQQRVGRPAKSG HKNPQQQQHRQQQPPSLNPKNQQDQRRLHSPFRSHRAASASPHRPRRPSAARLWNPTN STPRAPKSAKTIRKRRPSTPPLPSIPLSHPVITSETNPSENLPRTGSGDYPLLSLSEQ RQTKHSAKSRPSLQIEHYGTSEKRISLPRSVRHSYDEKRPTNPTPTPTEPNFNWPLPA AIQEQQQDFLQPKKLDKGKGKEIIMTAAAATSPADAVEERGRSFSKDLERGPDVLDPR LSGISGVPDGIGSPISSSSNSSIMGEDVQRDAIQEWGPQHPCYPHLNPYVPVDSPEYA STRIIRVRRDFLIAGDLAPTFSNTYPDILDPVGLSEQEFRRVIEKLNSDLVRIHNPYS FRNILDATLGLLTGWIWDDLGLAGSKSQLNRLEKWIEQWNKEMEKTLGTEEGLVPPKI IPLRKTAYMTLDIQIPDPEIAPVPATPSEHAMYAENNAVEALS
SAPIO_CDS2964	MGPANIIDLSQPNPSFPDFHPRHPTDPAQVTANVACRIILGILA NLSIWVPLRILYRHGEFAAVVLLSSTMLLNILTIVNALIWRNDDTSSWWLGYGYCDVF PYVYNPLLMVYNTSIFAIMRHLADKISLMRADSPSVREMRRRNLIQALIIFPVPIVQM AWMYPLALHRYAIFALAGCTWMPFGSWPLLVFVILPSPLFAFGTVYYGKVAATTSSAL TTSNSAASSRARRAKRRLYFMTVCILIPYFPVQLLWLVHNITNFTPLTKYDFPALQAG AVDGLPWNTILLIPTNGVAVVSLIDRYIAIVTAIPIFVFFGMTKDALNMYRRYLLAVG LGCVFPCLKEEYDPDRRHDPNRSTTGILATSETTQTTQSYTKSCYAEPTITPISDPTT PTKPTKPFSALPSMFSRVDLKIFPQGRRPTRRNGPRRCSCDDGAVVLGDVAANAYLPG LPLAVVETRVWSAEDDEERVGIEILRARAVMDRGGDGC
SAPIO_CDS2965	MSSFLARRAAFAPVALRAFSTSSPRSVARITLLGNLGDSPELQP TSTGHEVIKYSIASSTGPKENRHTSWFRVTKFIPEGNEKQRDFLLSIPKGSTVYVEGD ASVSTYQDAEGKTRTSLNIIQRSIDVIKRPNTEATHE
SAPIO_CDS2966	MVSSIPSGLSQDERHHLVQVAKDWAAANGLAIRPPPTVVSQEVD PEGVLAIHAPVTLFPSRFPRSCFEQARSVQKAYNELYARVSRDEEFLGQLVKELVDVD DFVANLWRVHQRVKEEGYVHELSLGLFRSDYMVHEDESGLQVKQVEFNTIASSFGGLA TQTSLLHRFLAATEYPRLQARVKDLDVPKSDSATLLARGIATAFEKYNTTLSRGAERP RCVLFIVQDGERNIFDQRHLEYNLSGEDPPIPVYRLPFSEILQHTRIAEGPRRELIYT LPWSLEWAKPTEYEVAVVYYRSGYGPGDYPSPESWEARYHVERSAAIKCPTILTQLAG MKKVQQVLATPDTGDAPPVHARFTQGQPEFKPLLDTFTNIYPMDTSPAGLEARRKATD PELCKSFVLKPQREGGGNNFYRTSIPEYLKTIPEDHWNSYILMELITPPPATNIILRN GKLEEGGIISELGIYGTCLWNNEKVLHNEEAGYLLRTKGDKSEEGGVAAGYGCMDSCN LVD
SAPIO_CDS2967	MEPLLEGSQDDPWYWDVPRVIKEFCSTDRGWFDKNHQSRNLSFE TLASNLKEHDVNGELLLTAEGHILDELVVGLVGNSFQQRSRVFRVIKSLKERSHRYQE WEKWGDVPGAAQQPPSPKRTQASSSGLPSTNSREVSQRGDDNPSLHEAILRQTPVPQA PVPRPLGQNLSLSAFRRIVDPSVSHKTAEYLPPQTASSQEIRLTGVGSPSAGHRGLPP VAGTKRSFGVASARMDSPIPSIETAEEEPLEAPRKKRRLAPTIASPSAIVRQEAFNLP DEVDGFSLDPNTLTFTDPKDGTSKRQEVLFLGLKRVRWEDALGQPTDGLAIEDNFSII RVKPIVAHSRRMNRSMRALLRPHPRGLKKLARTCIQPPDPDSFLSTVLRLSGVQQDEV SGTRSDPVLAPYGEEDGDEDWDPQTLREMEEENAERERAAARQLPRELVDKIIDEAIS EFVSLWKARKLDRLMKKAHNIWKKEQRSPNSQLNPTQARLAQIDARIAILREELIDVG WTSESALRRQALSLEQSVENREEAVYILDILAGPEPEQRPELLVRPKRDKPRPLPRPS QSDEEILTSDEEEPLSNFIDDDIRTPMRDLREATREMEDDTARISLGDNHKAAAVTAP LSEPMDTSGDDAPSGPDNGEAPELMDVDSQAPNPAEVIDLTVDSSDNDLPSPSSSDFP GISGLLDNDPHAEIDDTSANRAGLTALPTSFWDSIPADMTLTDNDRLLINRLWRLTTV TRNKLHEQAEASKDDLNDFWDKTIAEGLKHTVAVKTLPGLMTRLFINWYDMSSFAVYR LDQARKNPGEVRGKRDTIAGFHAILLRVIPLFPRLVNMPGGQGESAQQEVAMDAQAMR MRELETERAAKLEKRRQELRSTLSTLTPMATDQSRLIVNEAKEDAEGFIYIPGTPARL IKDHQIDGVRFLWNQIVVAPDSRHGCLIAHAMGLGKTMQVITLLLCIITSSNSPDPTV RSQIPHDLRQSRTVVLCPPSLAPNWRDEFLLWDTDKALGDVYIVTGGSSNTSTAESRM FRKNTVERWASGGGILILGYQLFTAFMKNSQDLMEIIHKRAAIVVADEAHMIKNLQSQ LRKACIPFVTRARIALTGSPLANSVSEYYSMVDWAAPGYMGPSSEFADVYTRPIETGL WKDSGDTEKRTARIRLRALRENISPKVHRLDITVLKDELPRKMEFVIFVPPTPFQRSL YDQYVCELRETGLLKTCAWGALGHLSLICAHPRCFLQKVRDVLADQSVDSNPPTEDEA PETTRKNQGTEAAVALPKRVSERLIALAASEADTNRPDLSWRFRHLMAILDECRTAGD KVLIFSRRIKVLDFVEELCRRQGRRSMRLDGDTPVGTRTSLIKDFNNSTNSVEIFLIS TRAGGVGLNIYGANRVVMLDFEHNPAHEQQAIGRAYRIGQTKPVFVYWFVVWGTHEAK LHGRMVFKNQLAQRVVDNKDNIQAYSASSVAQWVRQVWDVDPKIPLPESQAQALIGTD RVLDRILNDQAFSQGILSVVPTDTFEVEDPENIELTEVDLEEVQRLVSLATKRRTRKQ TSDTGTESGGGGGAGSAPTGERVGAQQQPTMSSRTAQPNNGQQFQMDPASEMHIDEMV GLQREGTAQNSGTNSNIQKSSTAPPTVQPITPQPVGTAPQAYRNQGQPTRSMSVGTPQ PYNQARPPPAGTTPQSYQNRGQPTPSQSVGTPQPHNYGPQTTSTGATPPSNSRGQPMP FQPMGATQPYNHQAQPPPMGAVPPSNGRGQPASSKSVGNPHSYHQAQPTLVGATPQAY HSGRGQPTPSKPVGTSHSYNHQAQSTSMRAMPPSSSGGQPMPRQSVGNTQGRGGSPTS IIHPKEAFARLVQHDAIHSSVPHHQLGRGILPKPLPTFQALQFIFGHERAERAVAERE VAERASERPATQLPPVRQQTIFPPMLPQGPAVHLPSPDPFPPIHELLRQAAGVPHTSS CEGQLPSTAMREMDPQHLSSYLSRRNRERLEGKNDAENASPRRSEDEIALREIADLRR RRRQQQRQQQSQDQPQVVQHQPQRRRQQQPLPRWANDAIQRSNNSRESSFVSARATPI PTSTPSRKAHEREAL
SAPIO_CDS2968	MDSVLRQSKAMCPFLKKSSPATLRALSTAVRPQAAQSPCGGTMS KLQLLANRCPIMGKAIAVRSAHYGRTDMAKRSAVAGLRAFNSAAKVGRAGIHTSRPEG ARLQDSLFDRQQGEPLPPSLYPGRSATPAPSADSPGRFDYEAFYTNELEKKHKDKSYR YFNNINRLAKEFPRAHMSSKEERVTVWCANDYLGMGRNPHVLNKMHKTLEEYGAGAGG TRNISGHNRHAVELEATLARLHAKEAALVFSSCYVANDATLATLGSKLPDCVILSDSL NHASMIQGIRHSGTKKIVFKHNDVQDLEAKLASLPLHVPKIIAFESVYSMCGSIGPIE AICDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDFEAHKRGQWEGTIMDRIDIITA TLGKAYGCVGGYIAGSAKFVDMIRSLAPGFIFTTSLPPATMAGATASIEYQMGYGGDR RLQQLHTRAVKEALEARDIPVIPNPSHIVPILVGDAELAKRASDMLLQDYNIYVQSIN YPTVPVGQERLRVTPTPGHTKELREDLVVALDEIWTRLGIKRTSDWAAEGGFIGVGEK GSPAVEPLWTDGQLGIEDISREIREEGNEGGIVDVLLEREAAASAGSA
SAPIO_CDS2969	MADPENKNRGPRACLTCAKAKARCVPGPEGSGKCERCHRLHKSC GSQTPAPPRAKKVAKTTRVAELEKRLDQLTSQLNANPHVTPGESAGMRGCFTYHPYPY PYAHLFPGFEKPEEPAHPRPALPQCPLQQGGPATPSTLTEDSSPSSTAGGESSTTRES APAPPLTLWPNPQEAKAMLEEYQEARAHLFPFVVIPPQVSEEELRVQRPFMWKAVMMI QRMLDGTGQITMATELLGDISRAAYIQSEKSLDVLLSLQLLIAWMHYSINGFQLTNML FLCSKAPSSPTRCASLTTNSIFTTEKLPDALMNTTYLDTCCTILSEKKEYPNDELLVY LVRIQKLSQTIAFTVGTNTKCSSLPSMHLPLFMAVQSFQEQLDAFKASLPAYLQDHPS LVCRTAIAQVLLYEVAIADPRLTKPPSPSFLVTNTERLELLWRCANSVREFFDCRFAP PCDITRPRFIALNASDFVYAAQVTLRLVILRAPGWHTNVVLEKLRPSHFVDCVSSEIE QLIERRSQSKWHMEMRSRGLIPKLVDPFEKLHCSLRQYKGMLFKELAKNNAPAAEAER VAEGGGPKTAAQMYAEQRPLVAGPMVMDVDMDMGVDMAGLDDFNLTQIDLMAYDVDML AWDWGAAGQ
SAPIO_CDS2970	MRFTDISLAVLAAAHPATSTPLQWAKRQFGGFPGFGGGGAGAVS GEITVDLTKTYQKMDGFGFSLAFQRANLITNNPSEKNRDELMDLLFSTEKGAGFSILR NGIGSSLSSESDWMNTFAPDNPGSPTAEPTYKWDGKDSGQLWVAKTAVEKYGLKYVYG NAWSPPFYMKTNNNENNGGNLKTEWYQAFANYLVQYVKFYAEAGVNITHLGPMNEPDF SASYASALWNGNQAAEFIKVLQPTIEREGLASQVGIACCETGGFSQAGAMVNAIKGAG QESSLKAVTTHTYLSAANGQINTVAPVWFSEQCDLNGQWSTAWTGGGAGAGLTWANNI MDAIMNHNAGGYLYWEGVQWPNPNTNEKIIRVDQQTGNYEVATRLWAFANWSRFVRPN AVRVGTSGGSGVRVAAFKNEDGSVAVVLISQGGAGDITVKVSGDGAPTGKATAWASDN ERKCAEVEVTVADGAVTAAVGQSSITTIHIAAAEAEAEAPAAEVPAEPATPADPAEPA ESATPSAPAEPAESATPSTPAEP
SAPIO_CDS2971	MGKPTVDYSLYLVTDSTPAILGERNLVSVVEAALKGGVTLVQYR DKTSDTRVLVDTARELHVVTKKYGVPLLINDRVDVALAVGCEGVHIGQDDMDLPTARK LLGDDKIIGVSASTTQEAQKACDEGADYLGIGTIFSTSTYSISPPPSPQTTKKKNKLA DIPPSKSNTKNIIGTAGLRTILSSLAQTHPTTPTVCIGGLHPHNIQRVLYQSATDGKA PDGVALVSAIVAAADPEAESRRLLELVRTPPAFVTRSAGAGERVESVRVVLDLVGEVV GAVDGGNPLSHNMTNLVVQNFAANVALAVGASPIMANYGEEAADLAKLGGALVVNMGT VTPEALVNYEKALRAYNLAGGPVVYDPVGAGATAVRRNAVKTIMGLGYLDIIKGNEGE ILTVASLTAPDSPQQQQQQRGVDSSHKLSVAEKATLVSTLARRERNIVVCTGKTDVVS DGTRTFAVSNGHEMLGRVTGTGCVLGTTISAFAAAYGGDRLVAVVAALVVFGIAGERA AEREGVVGPGGFVPAFLDELFRVRKETVAGDLEWLKRTKVEKL
SAPIO_CDS2972	MSKPTVAHRIERPVVFVCDIQEVFRKTIHEFDKVILSSQKLLKL AKVLSIPIHTTTQNATRLGPIVPELQPHLGPNPHHDKTRFSMLIPQVATSLEPNSSVA IIGIESHVCVTQTALDLLKAGHNVYILADAVSSANPEEVPVALRRLAAAGAVVTTTEG WVYEVMGDAAVPEFREVIKVVKETAADTKVALKALTPRI
SAPIO_CDS2973	MVIVFFGDSLVQGAAEVQDGFSFQGELQHRVIRRYDVVNRGFSG YNTANAVKLLPEIFAPASDNTARIEYFFVLLGANDAVIPLPSTTQGVPIDKFKENLRQ IVTHPIITSHNPKIFIVAPPPLDEIHASQQDISNGHPQGTRTAKISAQYSETAREVAR EVGVEIVDLYKAIMDKAIELTPGFDGGAGKVLGTPECGKQGGLEKLLRDGLHMSGDAY RVFYEIVKPKVEGDWAKAPEQERAGNSIQPQCKSRASQHNVLRTPRKVLRSDKIGWLN QAGTVQRACQINQVPKPSLEVSLIALPPFGQLMFKQNNILSFDLDLFNDSLRPLTAPT NSISPQPAIAHLRTCQQSLPLSTTLFPHAACAPRDLPADSTLPAEFTISFAVTQAAPV RVCDEISFFVDGMDQRFAVTRDEINGIQMDLKHLQHTQANHAERLFRLERRQADDAAI KAAWSPFPGVLATTPQHGPVSMPPNDDDLDDFDSHLMGSLHLPPADEEPVRRGAASRA NSVRFDESALHGSSWGGGGVQNSRHSGEFVRPGSGLLMERSFSHKSDGRHSSAGHSVH SLHSAPSVGRASSVGGDAESPLSIPEPLPALFSLSSVPSIIRCWLTTQFAHDMLLYAD VCTGSRRSTVEYSLVKSLGLLEDAEMGFDGITRVTLPVYLAEAIVSQQASRSQSPSHE IHIPSMTVTFDIMGRGDQPDGGSGADPKKGIRIFIGSDALRAHSADVLLSRNQIVLYG NDRSRLTVPFVRPEDENVFKNICTINVLPEKLRLNAAAAPFVSGDQKTVSRDEEAGNS TTTRDGSVVSELRKDMDASSSHHAISPTTDAPARAISNNKLASGSHRGSEVDDNDTRE KDTTTTNKKLDGTDTSGREDVASTHMSTSNGADGQSTESSRRDTASGIWGSWRQGTAN GNEAGQRESGPLSGYQPAGTRGRNMKVLKPLKSSSSARTGASYEPPPPSRNGGETRRK SQGAAGGAAGETSTSSSSGGGTLRWDTKRTASVASLASLGEARSPVTQQPPTGTGHTP TLPSREARGSQSLPRSANPIGGASAFSWMKKAGPSGE
SAPIO_CDS2974	MAQPVDIEMGEANTNDASTHKRAPDPWFEYPEDVIDKGLWGLSF RLSQDNTLGHHHLYQPAAQRALKYLAAGAQRQFLAVLERDKNGPSPPDGEFDEHNEDL CRMLEKYYVFLLKFAAVCNLPVPSKTGLKRLEDMVKIHLGTNHQLPSIENPEQYRTLA PKDPVYRLIDFLLSNDWTSPWLFWLPGKATLIDGETFTETDMRRVHVTAMVIKAVGIG LGCFLPVCLLIFGSGSTQVFSGLSLAICAVAVAVMLYGAEGGMLLVLTYLTMVITAVL TRQFASSS
SAPIO_CDS2975	MSETQDIDIELGKLPTPSEESSRPATARELWDEYADSQTGLPGL ALALASDATLGNHCVHLPVSYMCGMYEEGRVEALVAKVIQREKDGTAPARGEYDELYE ELSATQRNHLNTVLSLHAVHSLDIPPEKHMERLEHISWFYTGDKSPGLPFNRSPEMFR TVGKKSAVEKFLDRILSRRLGRLLFWVKPTEFEADGWRISRIGMNRSHIVVTTITFIG IMLACFLPIGLLVFGTGSTTAFSGVALGISVVAVAVMLYGGRNSAGIFLAVLAYLAVI ITAILSRRFAIS
SAPIO_CDS2976	MATSLAKQLAQIAAKSKTTLNAKAQKAAHSKSLIWEPKVAASQS FQSLYPVCYEGFEELCGLDARFTPFRATLFSEQSQYEDRTQLTEAENRELDGKVESFL RLVGSRLRLMPAIKAIEWLIRRFRIHEFNTAFLLTTFLPYHTLPVFITLLSILPSQIP DDYRFLQPYIRSLTPPPRAALVHQTIHHRNFLALISEYTLESCSTKQHYQTLIAFWGG ILTEAVNGLVDKNKSGRKALQHDNDQALLHQLGPIFGEALMMKKVPQAQIAAYMALTV FVSKGDFGDAAVSAFMEQLALGWTGETVRPGLVCLSILGQHRSAKQVSGKIAKALLKV PEVEKHLVEIGREWKVDRLANGLCLAMIDRAARKGCARGLPIVGALIRANVLTPKQTS VLFKALLLIGTNLSEEVDKSGSVRKELGSMLVQLSQDPGECGDIIQSAITDVDFDMDQ LEMRLDLSLRQSKMLPSTEEGAMDTEDAVEVPKESISDELAKLAQLSGGLASDLGPDA GDAFGPASEVFLRAIIDSSSEKVLHEFDSLPILSREQALTSPTYFVFFMRIWCGTFPA LAKAKALEMAKNRLKACENENVDFQALLPYILAALSDPSKKVRQAAADFLIILNKVYS SNTKGAAVWAKTLYPSSQGRDAMNSDAAVKTLQTVFIPALEECVMHQDHVSFLFESAI GPPKSKDADEGKTDRLPPPVRLAFFRFAAGALLRTPFLLVKERLLKALNRVRSVGTTS RTQVLLPALNWWAGLTAEEASELVRKERLDESDLNKRFVGVVVANDSTGLQALMKIVV TSKDTREDFIESIFVRLRNLWPLMRPENKSAIANQMLDLALSGSDAEDMPRPTAVEAA ELLRSVKLTTEILSHFLESIHMETNIVVDSPPNKRRRLSNEMTRGAPLHGGLEIAAAL KKATFVLQLIELSDPAAHAALLPSLFSTLAKLHTFRAAVGSDLGYLQNVVLTSLHAML PAYKANSDLKITISDGYGDLLVNCIQKSSSPIVQNAALLLIASLATTAPDVVIHCVMP IFTFMGVSVIRQSDDYSAHVVNQVIKEVVPPLVATLRKGKRSVVAASSELLLSFTSSY EHIPSHRRFGIFMSLVDTLGADEFLFAIIALLVEKQGPTEGVLSFVTELLDRHNVKTQ LDTLVKLISLIGDLFKPAPNLASALLRPTSKLDPQVSQAAALNQLSALPALLSSRRLR IQVRKITEHDDMQASEVRELYAGLLENLLLLADAVKAHKELYALCGESLASLLNLLTI GEFIKAVENLLDRPNLGLRQKVLKALETRVNQESSADPSSRAVLLGFLPQLTAAIRDS DDIRYKHTAVACVDKIAEKYGERDLEAVAAAASIIAGEHCLGQPDKHLRVMALLCLAS LVSVLGHGVIPVLPSASTYAMNYLRECMAGNKEANAELHNACYFFVLSVVQHMPEMIT GSYLEQLLAISNASAEYGWDSEANDSRKSCLKSLATQVTPKTLFTGLSNGWPTAAGAG FKAIAEYIDLIRTAIIAHREQTIRKNVSSLSSIFLNAFDFRRQEFEKGPVNESVSAKV AEIEGSVNEVALLMIHKLNDGTFRPIFSQLIEWTTAGTQQLPKSDTAGHLRRSQSVYG FLYAFFNQLGRIVTSYATYMLDDAVRLLKSANPTKSSEERTLWESVLKVLAKCFEHDE DGFWQSPAHFEAVAPILVEQFRYASSSSSSDLLLTETLTPAIVELARAASSQTHQKEL NTSILKLLRSEQASKRLAAVKCQQALANRLGEEWLAMLPEMLPFISELQDDDDEVVER ETHRWIVGIEGVLGESLDNMLQ
SAPIO_CDS2977	MSVASKNPFGLLSEDGEAPAVVVKGGDANTRGAKTETSARGGNT GRRGYSGNEAAFRDRNAGSDRNRNKGTDDGTRGPPRGGYGARVRGGRGSRYPRDRDDR HAKNIAPGSEKQAAQSWGAAEGEAELKDEVAGEEIAKTEAKAEGEGEAKEAVEPEPED KHISYEEYLAQLAQKKLALEGPSQVRQANEGSKLDKKWANAKPLVKDEDDDFISASGA GKAKRERERKVKQVVEIDQRYVEPERTRGGRGGRGGSRGGAPRGAPRGGPRGGARGRG DGPRGENGRGRGGKDVTINTNDQQAFPSLGK
SAPIO_CDS2978	MSLITRYLPFAASTSTLQALTYLLGISLFSISFLVFLNSSVSFV ITDLIGQKDGVGDVVGTLGFADEVVALVACPIWGLISDRLGVRWVAVLGYLIISAALA LFVQAKNVYPQLLLARIFFAIGATAAATMVTAILPNLTDDSNTQAVNLCQRGRKPITT DGRASRDSVALSLSSELTITPERYTRAVSASSTSSEEQEEEDKRLGKPSALAGYVGLF TGCGALVALSLFLPLPARFGEIDGVSTADAVTYSFYVVALVSLAVAVFVSIGLKGLKG EDGKGWKALFGMKRVSEDGFADGRVRRPVLPYLHLLREALKLGLTDSNIALGYLGGFV ARASTVAISLFIPLFVNTYFINNGFCQGSPHDPSPELKKECRAAYILASILSGVAQLF GLLCAPIFGYLSSRTRRTNIPVKIASLLGMVAYTAFPLLRSPEIKDVDGRGGGPLVFL LVALMGISQIGAIVCSLGSLGRGVLTTDVVLPPRSEDCVPSLIQEESTETSALLAPGD EETTPCVQEDEETQTRVRLKGSIAGVYSWFGGAAILLLTKAGGYLFDEVANGAPFYMM AGFNGVWFVASLGIDMAREFRRR
SAPIO_CDS2979	MVGKVSERVLLREGLERTDNGMKQTSWPDVTPINQKNYYTDYMK RDDQILALRLQSDATRDRMIQNAKERDRALAAKAANSEVPLPMADLQGEDAAAPTADT TTDPSKVIVIHPGSQNLRIGFASDALPKTIPMTLATKFPQTESEMYEALPRRQFEAKT PDQQYGEEWAKKFQKQSNDLKVEMRANKRKVLPNSKEMVLNYNRRTEPEEIPQHNDPL QIEWTDIGALEDPESPASCFIGHQAERIPDDSDPKFKLWWPIQHGWLNEDDYGTAEHL YDDFETLLDKAIRQELGLTKNSTWKQYSCVFVIPDLYDKKYVESVLRSCMTWFEFSRV CFIQESMAATFGAGYTQACVVDVGAQKTSITCVEDGLVLEDSRVNLKYGGYDITETFV KMMLYDNFPYDEINLRRRYDFLLAEELKIKHCTMSQADVSVQLYQFHVRAPNQPTRKY QFKTYDEVILAPMGVYDPTIFDNSTKLRGRRKLIDRSYNAYDVDIPDDPTSQAQLAIL ALVKPSLISNGISQLSNDVSTPVKEKPHPFNFLSRVDAALTGTPITSSAPSPAPDGTS TPVPPPFVFGKDGTADSPAPSTQARGGGTPNPSGGQTQSAHGASSSFVEHATRSASDV ADERDSVLPVAPLDVAVLTSIQNAARGDEKKLRDLLGSIMVIGGGAKIPQFTNVLEEK LKARRPDLYDRILVSRSARDMDEQVVVWKGASVFAKLSTNDSWIVPLEYERLGARAIH HKVLWSW
SAPIO_CDS2981	MDSSQTPIQQQIQTPSLQFNSSPDDTFKREPGPQPTPIVTMNDS DMPVKAEVMAPAGIWTTPTQTMRPRPATIHEGFSYTMGEEYGGINPWAPSSLSLQQTP SDTMSSRPVSMHQEFYTPTTIGSNPWNQKPCEDNFELQNLDGDINIGQAYTTDEAIPI LDLRYQGPPPDGESLCLDNTMSNRRMSGSSFTMSTSGGLSEMASYEDFSAALSEAPSF GSEYPPPSNRNSLMSSTQLSPVASPRMTPQGRSELVRTHSRGRASPSPRPGIRTAPYS VDVARNKRWSTGSYGPGPNRRPSPFVYHPGSDIFAGHQRMASSRHSSPTIPSNHLPLN FGNLQAVQQHPYLMPGVPGAPAFHRNSMLLPSQLPSQLPSHGFHPDVPHHHFEAPPPL LSHGLFRMLQSNADPHALHAHYTDLSDPPDLYASLHEEQIPPPPEDMNPSDPDLVPHE QELRFEGDLYTPRWVRGHGNKREGWCGICKPGRWLVLKNSAFWYDKSFTHGISAATGS PFQEPQETRRMDGNPDVWEGLCGSCNEWIALVSSKKKGTTWFRHAYKCHTHPKIKDAP KRRRESTSRALAPPPLTKPMADGQPQQPPLTPQQAQAPPQLQLQLQPQQQQQQQQPPL TPQHQVPQPLTPVGPVHPLSAGLMPMQAQGQMMQIPVQQTPQLALQHMQQQQQQQQQQ QQQHHHPPHHHLQLVPPPRPIPQTSHLMIPVDGGMPGMI
SAPIO_CDS2982	MSGDQPATSPSFETPNHLQKPRFDDPSASWAQTPRFAEDISVFN STPGNLDAAHRRYTANTPISTGSSSTSSNVGSSINNTNITNLTNTATTPTATLSATAA IAAATSNAKGITRPRIPSILLNRPLSAGEIAAHIASHVTHFSPSPILPTVDPSLRLPS SPLPLNSISRDWSAEPDENSQQQSNQERARKKARRGTISIPGPNSASQLQQQQQQPTQ TATPPPSARKADRKLAADNAATSMQDDQGYGQQDFLGVAATAPHGDMTAFTASQHDIF GYPMSAPAGAPAAFWDPSVSMSAMDLDFAAQAAASGILFQTPAPAHRGLETYDWNSNS ALFQDPTAHASMNANANGVPQSSNQENVQPPAKKDRPLAPKPSTSGVQEPVTSEMVGA YTTTTTAAAVENPFALSPSGAVNPGLLYSRPPSSSMDTTANPFNPVSQPESSAPTLAD AAPVAPASAGPDGLQRSFSYKEPARRGRREPASSPIKPSNPRAGLQRSFSENRGKRQL PTLAPAAMRSSSSSQTMQANGSGISSSSRPSSSSRQSGRISPMKLHHRLTSLSSIPES RGPPPRTRTSVKFTIDARGRAHAETTLVVDEPAPLRRTTMTGRRDRSLLPSDSEDSAT DDEPIIIPSRNSSFALPDPCKPVGSIFSSSSSSSSSRKKNRKSPHVRASFSFDGRAIS PGDDESEAETVVDDRSDRESGPRGGGGDAASELRKVVEDRASKRAQQRFASTGSGVGG FEWGRANVVSPLGVMEGRAMPTPSTGGRRFGIRCICHRNDHGGVADTFMVQCEACEMW LHGRCINITRREMPPVYICAFCANTPNMRGGRMRDTGGSTRGEVGREARMRDGMNSPL ILLNLMLTDTKRLFSEETIAVLRYASTAATQGLDLLAISPIEVVAEGVKILKAIEANS PSSGTFNIQEHLMGGCSINAHGTPLTEEALSAAKAADAVLLGAIGGPEWGTGTIRPEQ GILRIRKEMGTYGNLRPCSFASETLVDFSPLKADVCRGTDFVIVRELTGGIYFGERKE DDGDGKAWDTEPYSREEVERVARLAGFLALKRGDDTVWSLDKANVLATSRLWRKVITE VFEKEFPQLKLQHQLIDSAAMILVKNPRGLNGVVVTSNLFGDIISDEASVIPGSIGLL PSASLSGIPDGKSKCNGIYEPIHGSAPDISGKGVVNPVGTILSVAMLLRYSLNLSKEA DAVEEAVKTAIDGGVRTKDLGGAAGTKEMGDAIVKELVAILKQ
SAPIO_CDS2983	MPPRKRQAEPPSTAPVAKRRSARQAAAQQQQPSEPVPKESGAAK VASARKGKKQQKEGKVDDSDAEVEREEPQARRVKEKRKMGKANASAVKQAGKAKDADE EDSKKAKPAKAKGAKPSGESGAGRGEASGRAVSEDPDPDSLPTRNSEVERHEGEWYWL MKAEPESRFENGIDVRFSIDDLRAREKPEPWDGIRNYGARNNMRAMNAGDKSFFYHSN CKEPGIVGIMEIVKEYSEDKSARRPGTPYYDPSATKDKNKWGLVHVKFVKKFAVPITL KELKEMGQAGKPLEAMQMIKQSRLSVSKVGKEEWEYLCGVADRKAEEAGLEHEVD
SAPIO_CDS2984	MSIESFDNIYLDLSKEGGKCRFAKDGFGWKPSRGGDTFTLDGSQ IGGAQWSRAARGYELKILDRTSRVIQLDGFQHDDHDRLAKIFKNWYSSNLENKEHALR GWNWGKAEFTKAELTFNVQNRPAFEVPYSEISNTNLAGRNEVSIEFSLPADGDANGTA GGKGKKAAAGDDQLVEMRFYVPGTTTRKEAEGEEGGSGHEEEQNAATLFYETLIEKAE IGETAGDTIATFLDVLHLTPRGRFDIDMYESSFRMRGKTYDYKIQYEAIKKFMVLPKP DDMHYMLCVGLDPPLRQGQTRYPFIVMQFKKDDEVTIDLNLPEEELTGRYKDKLQSHY EQPLHEVVTYIFRGLANKKISTPAKDFQTHRQQFGIKCSIKASEGFLYCLEKAFIFVP KPATYIAYEQTQSVTFSRVTGAISALSTFDITVQLKNGAGSSQFSNINREDLKGLEEF FRIKGIRVKNEIDEDAKLLAATLRGEELLSSDDEVVGAKADRGSADEDEESVDEDFQA ESESDVAEEYDSNHESSGDESGSAGGGESDVDDEMEVDEEEEEEEEEEERPKKKKKSK A
SAPIO_CDS2987	MALLFTSGFAGVYSNTTLTDPPDDQPPDEELRNWSSLIGIVTAI VGNVLIALALNVQRYAHIRLHRERHRIKEQARQAERRAKHSSYNASYYGAFDSNGSPA ESPARNGLVDYDPPSGDNGPSARRPSQARPAPISRTTSELSDPPSSSYLKSTYWWLGQ VLITLGEMGNFLAYGFAPASIVSPLGVVALISNCIIAPMLFNEKFRQRDFWGVVIAVG GVVTVVLSASQQEAKLDPHDVWDAITTLEFEIYLAVTVFLIILLMWMSSTIANRTILV DLGLVGLFGGYTALATKGVSSMLSSTLWRAFTTPVTYALLLILLVTAIMQIRYLNKAL QRYDSTVVIPIQFVMFTLCVIIGSAVLYRDFESTTVTRAIKFVGGCLLTFFGVFLITS GRPPRHDDDEEFYHDLDDAEEAIGLLEQDQTGSSSSVRSRRSSRSSRSSRINFTDAFV KPFSIQRDSGVPTLRAPISGAPKLSSSNDVGQSAPHPWRALYDDDISATHHTQSLRDP AMPRLSRENSATSDRLFTEPSTPRNGGAGSLQQYVDDQQQQQQQQPLLTQQPTTPTPR PSTAKLHNRHLNGPLISPSPLSSTVSAVVKDTLRRHGDNPMMHNTSLSRIRSSIRASL YISDDDEEGHEERRGSGAREPLFGDLTDVEEGSSLAEGRDRPDDHEAKKRTRSLSDTL GELFKIKRRREDEHGDGGEEEDGDAGSDDR
SAPIO_CDS2990	MPARASTRSTRTSTAASRKTSGAEPRASTASRASSAIVDIPTET PENGLRPKVAAIFRDAQKTTVSHRKLVVNLRKLQEACCYEPASSKKQTPGDFDEQDFN VEFVRCVLRIMPVKKAESVGEKIVRFVGLFLRHASDKDNELLGDIDQDASVMPETPST KLTTLLLDTILPLLTSKDKFVRYRSTQLISHVVNSLDAIDDELFQRLRHGLLRRIRDK EAMVRAQAVLGLGRLAGNQGDECANSDESDDDASAGLLEKLLEVLQNDPSADVRRSLL VNLPILPSTLPYLLERARDQDAATRRAVYSRLLPALGDFRHLSLSMREKLLRWGLRDR DENVRKAAARLFRERWIEDCAGVPPAENGVQEPSPPSFDGLLELLERIDVVNSAVENG VALEAMKGFWEGRPDYRDAVAFDDNFWETLSAESVFMARSFNDFCRSEGNGKYESLVE EKLPEVTKLAFYLERYINALLEALRRIAKAEDVEEEEEEDTVDQEFIVEQLLHIALTL DYSDEVGRRKMFALLRQTLSIPELPDGITKLTVDVLRDMCSPDAAGEREFCSVVLEAV ADVHDTIVDDPPMDDVEDSFHSAKSEVSSASTVNNNGSRAKSVELSEEEAREKAIKEI VINMKCLHIVQCMLTNVGGNLQQNDHLVSMLNNLVVPAVRSHEAPVRERGLVCLGLCS LLDKALAEENLTLFMHFFSKGHAALQITALHILTDILNVHGAQLLTANPTLLKVYIRA LKSGTKAPEVQAAATLATSKLLLGRIVTDPEASAELLKTLIVAYFEPSTASNQSVRQA LNYFLPVFCYSRRENQELMGSIALDAIHSLFNVREGLEDDDADVDEEMASLTTIGACL VDWTDPRKCYSPTLSLDSEKKHVNGDVHLDFAQAILDKLSGNMSKDEKKVIAPLLGKL YISPASTEEKIRDLYETVDSAVDSKLLTDATSRNALYKIHVSLGKIVNTLTEQQESAA ARRLSRSVSASASVYGSEDRTVLSEEKTIVAAEPVIKEEDVSGEVTVVQRDGDSLVDD LLTDGE
SAPIO_CDS2991	MARLLLKPFLVGANILIPLAILIFARGFFPYKPFIPGLAQYEKL EFEKVPEAPFDRLIFMVIDALRSDFVYLEDSGFEYTQDLIRDGAAIPFTAFARSPTVT MPRLKAITTGSIPSFLDAILNIDEGDTTSSLAAQDTWLAQMKAKGTGKLLLYGDDTWL KLFPETFDRHDGTSSFFVADYTEVDHNVTRNIAGELQNQDWNTMILHYLGLDHIGHKS GPRSSNMFPKQREMDGIVEQLYTAMKSQEHLSSTLLVVCGDHGMNDAGNHGASSPGET SPALLFISPKLRSISSRPQTPVPFKEDFKYYSTVEQSDLAPTLAGLLGFPVPQNNLGA FIPDFLPLWEHKSDQVQLLIRNARQILGVAAAAFGEDLFDLNSASNPCADVRNDAQRL ACQWRSINIQLASASSHEELGSEWIREVTEWLRDAQDLMSNMASNYDMGNIFLAEAII VVACVLSTLALLWSGRPQKRSLLPFASITLAYGIMMFASSYVEEEHHFWYWATTAWIG ILVAKYRKSHSGFENCIWFTLVMTATRLVRGWNQTGQKFAGNPDIVKTFIATNPKFLW LLVMITYIWLQARLLRRLPQIMPTWIAVPFVVALVLTAFSFKLAFTHEDSPELVVEPM SDLYAALPNLSLITRARLVFYQVWIMAVYALVNSLRGGPQAKIGFELLHHLYTIIALT QSRVTNIPLFLLHALQLRFLQSRPLSIPDLTISSLLLQHTSYFAFGGTNAMSSVDLSS AYNGVSGFNVVAVGVLTFISNWAAPIYWSSATTQLLTCSRGGDSPKEEKTSQREEEST DAFTRHVTYLTAFVAWSVLFVMVACTVLRTHLFIWTVFSPKYLWELIFVMAEKS
SAPIO_CDS2994	MAKSLRSSSRKTNNQRLKSNVFGPAESARLERLSAKLMELASQP KPQKDTEMKADDLESNDGDDSKQVEEATEMDVDGAANKAEVRRTAKKLLKLKKRRKSS IVFPKYGDRKVKGRK
SAPIO_CDS2995	MPPYNSTDPTATFLNVSCFDFLLIELVPLAYRITNELEPEPEPS SNGDTSNSSPPERRVDEEEKREAAAYRLDMLGYRVGQGIVERFARDRPRFNDTLDVIK FICKDLWSLVFRKQIDNLKTNHSGVFVLTDNSFRPLSRMSTEAGGQAVVRAAPFLLIH CGIIRGALAAMGINARVHTEDIELPAAIFRIITLPQKS
SAPIO_CDS2996	MSDKKIKAVVAGASGGIGQPLSLLLKLSPLVDELALYDVVNTPG VAADLSHISSKAKISGLLPADDGAKVAFKDADIIVIPAGIPRKPGMTRDDLFNINAGI VKGLIEVAAEVAPNAFILVISNPVNSTVPISAEVLKAKGVFNPQRLFGVTTLDIVRAE TFVAEITGKATSELTVPVIGGHSGETIVPLFSQVTPSVTIPDDKYEALVKRVQFGGDE VVQAKNGLGSATLSMAYAGFRFAEKVIKAAKGEKGLVEPSFVYLPGVPGGAAIAEKTG TEFFSVPIELGVNGAEKAVDVLGDLTDKEKKLLEAAVTGLKGNIEKGVNFVKNPPQK
SAPIO_CDS2997	MSSNVSADLIWEVVRSQNKYLVKRKTNGSPQFSRDPLNLTNVHS RKYAGFVNDKAIGINASGKRGISVVSKNAGSINKPSASYTSTVFGDAKSNRKAYKAVA GLAAKRGYRGDLREAAVQRVSAIRRSQLPEKPEPEKKLRGKKAQQAQSA
SAPIO_CDS2999	MDIVLEVLDTYIGDRVYANLIPAPSLNILGNSTSTTSHSTWSYK PATSYLYLEPSVEAYMSAWPRDNIFRQSISLFLITWIFGLIIYFIFAALSYVFIFDKR TLNHPKFLKNQVWLEFKQTNKALPVMALFTAPLFVLEVRGYGRLYDTLEEAPAMWYNI VQFPFFIAFTDFWIYWIHRWLHHPLIYKWLHKPHHKWIMPTPFSSHAFHPFDGFMQSL PYHVFPFLFPLQKLGYVALFVFVNFWTILIHDGEYLTDNPVVNGAACHSLHHSKFEVN YGQFTTFFDRMGGTYRKPDPYMFEKELKMSKKTWEKEAKEVDRLVVEVEGHDDRTYSS GTKKNQ
SAPIO_CDS3000	MSMYPHNPRMGPPNARLNELLEQIRSEFDTHIRASESYEHQINA QVNEMQLVREKVYAMEQTHMTLKQKYEEEIQMLRRQLDAARGGAPQGAMNAHPQHPGP SQQPPVLAPGGNLFNGAMTGTGQGGLAPPPAPPAQPEQMGPHSQIGQGPPPPGGLPPV PPPPGPSQQPPFQQGYPQGPVSNGIGPQPPQSTASPPGRRPIGRPPAAVGPATPQINT PIPYPGAAQSPQVSHPSAEPPRSMNHHAQPGSVLSELNIDQIPAHYKKAGDDWICVFN QHVPRVLDIDLVHTLQHQSVVCCVRFSHDGKYVATGCNRSAQIYDVQTGEKLCVLQDD SADMGGDLYIRSVCFSPDGRYLATGAEDKLIRVWDIHQKQIRNTFSGHEQDIYSLDFA RDGRTIASGSGDRTVRLWDIEQGTHILTLTIEDGVTTVAISPDTKYVAAGSLDKSVRV WDLHQGYLLERLEGPDGHKDSVYSVAFSPNGKDLVSGSLDKTIKMWELSTPRGLPNGP KGGRCVKTFEGHKDFVLSVALTPDNQWVMSGSKDRGVQFWDPRTGLTQLMLQGHKNSV ISVAPSPTGGYFATGSGDMKARIWSYRPLS
SAPIO_CDS3001	MSPPADLPSQLSSVLSLLDAFNHRNKNQHRRSAWWQHFNLLRRA VRKLSAPRHYAQKQPGRGRDPGASADVGAASPSFLHHIKWVAGNVIHPSYIAFTQLAA DNQYAPLGLVLLGILARFNSIISPFLPPSSKSQPPPITNTAAAAAATTTTITKLSRRP HSLEFSDSRGSPSEQDLGVTVSRSEFAVSPLVPDTADAGREEKPKKRARALPTEDRTH RIPSLTSDTGPEAKIQKLKKKKEKKKKKQASGTDLSDLFDSIA
SAPIO_CDS3002	MASANLSADEYHHRDISPARSSIHSRTDASDSQSLSRWPTDPSG LRRRRSSNFNPALLAEYGGVNSIRSFTRSWRRAAGFPEVLPQRPPLVFAPDQAPLVYP DEEAPREAIDTLPGPSGQGDRQPAADFQERERKAYNPELEGAFIPGSYSSSIFAIPPS LATPLVGSYASYPTYGTLPAESSERRSSFARSWIQPVAGEQLIDELPPILVKEVEQDG KIVLTVEGQSTLPQTIFNSINVLVGVGLLSLPLGIKYSGWLCGMILLLLCAVVTGYTA RLLGKCMDLDPSLITFSDIAYISYGHKARFVTSVLFTLELIAANVALVVLFSDSMELL FPGFLTALQWKTLCCVILFPLHFLPLRLLSVTSVIGIFSCLGIVTIVILDGFIKPTAP GSLLEPAATYMFPKRWSTLPLSFGLLLSPWGGHSIFPNIYRDMRHPYKYKKALEITFS FTYLLDATTAVAGVLMFGDDVRDSITSNILRTTGYPKALTALMCVFIAIIPLTKIPLN ARPIINTIELVFGIRYHHHHPLHHANNGAPDGTRLSEAYLTFMKFFSRILTLATFLVI SILFPAFDSIMAFMGSALCFTICAILPLAFYLRLFQHEISTRERLCAYFLMVVCSILS IVGTIWAFLPKSLIGAD
SAPIO_CDS3004	MVAIGPLKAVLLDIEGTVCPISFVKDVLFPFALQALSEGLDSLW ESPAFAQYRQAFPTEFRSNQEAFKAHVEDLVARDVKAPYLKALQGYLWEEGYRSGKLR APLFDDVGPAIVSWHDAGLIIMIYSSGSVPAQKLLFGHTTAQPSDMTALITDWFDTVN AGPKVNPASYTKIASHYPNFQLDQWLFLSDNLLEVEAARAAGMRSLPVVRPGNAPIDD PKALKSAIHDFNALSLLAE
SAPIO_CDS3005	MGVNRKKTQKSLSLGRPPTARPPKAAFSRKTARTLINRHHHLEK RRQQAIRDGDEGSAAAAAAEISALGGLERYQQASLLGQSKDRGGDSSRVLLDWLKPSL SSKNKEIGTQIRMLEVGALSTSNACAASGYFETVHIDLNSQAPGILKQDFMERPLPSS DAERFDLISLSLVLNFVPDPAARGRFSRLEWKITGKLVYSLWRRDAAVSTPARSFPKK EINPGPKRNNFAVVLKGTKDA
SAPIO_CDS3006	MASSKDQKKGVAKTSKGSKKAASAAKAVLKGVHSHQKSKARYTT SFHRPKTLKLARAPKYPRKSIPHETRLDEHRVIVHPLNTESAMKKIEENNTLVFIVDV KANKSQIKLALKKLYDIDTIKINTLIRPDGSKKAYARLTRDVDALDIAANKLGLV
SAPIO_CDS3007	MDTTRQTVEDADLSRLNDQDKAELRQFLALESKRSEIQANTHAL TEVCWKKCITGAVRGPKLDKSEESCLTNCVERILDINFLTVKHLEKLRQ
SAPIO_CDS3008	MSDQEEGISGLGSLPIAELDDHRHPSRSSQGAERPRRGTFDSLY GAHRHELEAAHGGQDGEANDIRVRDFEEAIIDGGPSFDMPHAGPSSRRPTFGTVRTAS PPNSVKAFAEARRREHENLLAPESRSDWQLDDEALQRTASVASRRSLRSRPRTVDGDA VSFVSNQSAQEDVCFPAQEPGQKDQLDIDFEYLEDFIADQRLARIASHKEAEVKVFPD LRPHGPTGSVISIPGTAIPGEADGLHEGIAEDIVEGKEVESPCETPKAHMPHDPNRIC FFSSAWESTIHAAELGDLVLPGEDLRNLFILPQQDEDGVWWLNVNNPSKEEVVAICKA FGVHPLTTEDIATQETREKIELFPSYYFACFRSFNTVTDEADGYEEYAPFNIYAIVFR EGTLSFSFAPNSHACNVRKRIALLKDFVSLSSDWICYALIDDIVDSFGPVIHRLETEV DSIEDSVFMIREDDSNDYLRRTGHARKNIIALMRLISGKADVLKGFTKRCNENYKVTP RMDIGLYLGDIQDHVVTMMTNLGHFEKMLTRAHSNYLTQLSISNIQQVTETNASLSKI TFLASILVPLNVISSSFGMNVAVPFQNTESLAPFFGIIGVMIAVCTACLIWARRLRYI 
SAPIO_CDS3009	MAEQLLDSVRDIAEGQIDFEGQRLADQLSTLSLSICGAISFIVG CLLQDIKLAVYICLSGTVLAFVGIIPPWPFFNRHPVKWLPAGTGLQ
SAPIO_CDS3010	MADVDMTDAPAGPSTAKKAGNGGSKKLDGKKKFEVKKIHAVALW AWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISRWLKAR QVCPLDNRDWEFQKYGR
SAPIO_CDS3012	MERKSNRDHSPEIIDLSSSADEDENEDEDLQRAIALSLGNDYPP PKHVRKHQKEKTKSQEPINSVPADSSTEPVKSHMTTFGSLLLDRKRMEQERQERLRKK RQQESPPPQTSRPAQRPKISGASSSKNKHLETTSKDAITATSKTHHTESSTQTLPFAK GAVKRTWTQGCERRGDDIKIEEVFQKNELKLAVLASFQWDDDWLLSKIDPSRTKLICI AFARDEAHIVFLIDLPRQEAAIPFVPTTFSLELFRFLKALGLDEKLVRSLENYDFAET KRYGFVHTICGSHTGDEWQYTGYCGLSRIARSLGLASPDPVEIDFVCSSLGNLKREYL SALYSAFQGKFGGGPDGEALVWWIVEVEPHPQERYVSRQAGGIRPVSPPGETGSAWAY VGSANLSESAWGRLVKDKSTNRPRLTCRNWECGIIFSVPTARTTSKAASWPQDLATVF GGHLPVPMETPGAAYDLMDREAQPWFGK
SAPIO_CDS3014	MFDKQRSTSSTTPTTPPTAPSSTTPTTPPPPTIHEDIYTLPNLL TFSRLVAAPFVGYFLLHDQHAWSLGLFVYAGLTDLLDGWIARRWNKRTVVGTVIDPMA DKTLMTIMTVCLAIKGGLPVWVASIILLRDVGLAISAIYYRWISLPEPKTFGRYWDFS LPSAEVRPTTISKYNTALQLLLVGLATANPVLPVDTSSALSILHYVVAATTVWSGASY IYTKDAVKILNQPTKGKTG
SAPIO_CDS3015	MDVAQAISGYLTKIVTTGEGSSTSSSSAKMKILLLDKETVSIVS TATTQSALLNHEVYLIDRIDNANREKMRHLRCLCLVRPSPESIQFLIDELRDPRYGEY YLYFTNVVKKSSLERLAEADDNEVVKLIQEHFADYIVVNPDLFSLGYSVPQHRLWGTS PDTWNVDALQRSTEGILAVLLSLKKKPLIRYSKTSAMAKKLATELRHHMTQEEQLFDF RMVDTPPILLILDRREDPVTPLLTQWTYQAMVHHLLGIDNGRVDLSDVPDIRPELKEI VLSQDQDPFFKKNMFLNFGDLGGNIKDYVEQYQSKTKNNANIESISDMKRFIEEYPEF RKLSGNVSKHVTLVSELSRRVGTENLLEVSELEQSLACNENHSADLKTIQKLIQSPKV TEDRKICLVALYALRYEKTPSNALSMLIDLLVAAGNVSPRRADLVSQVLAYRSSLSQA QAQTGISDIFESAGIFSGARDRFKGLKGVENVYTQHTPVLESTLQSLIKGRLREQQYP FLEGGGSTRDKPQDIVVFMIGGATYEEAKTVASINALTPGVRVVLGALYHHIAFTDTE MRPLQRVILPPLRIGRARYFRPARSFATSSDTLRSYDVVVIGGGHAGAEASAAAARSG ARTALITPSVDNLGVCSCNPSFGGIGKGTVIREIDALDGLAGRIIDKAGVQFMTLNRN KGPAVWGPRAQIDRKLYQRHMREELLSYPNLTVLPASVSDIIVSDVDTASSADSASKK ISGVRLESGEVIPTKSVVITTGTFLGGEIHIGMQCYPAGRLGEAATFGLSKSLKAAGF KLGRLKTGTPPRLAKQSIKFHVLEKQLGDNPPTPFSYLNDSVSVTEQLTSAITYTNDS SHEVVRSNLDKTIHIRETVKGPRYCPSLESKIIRFPHRDRHIVWLEPEGFDNDIIYPN GLSMTIPPEAQEQLLRTIHGLEDVVMLQPGYGVEYDYVDPRGLKYSLETKAIGGLYLA GQINGTTGYEEAAGQGILAGINAGRGAQGLHPLTLTRADGFIGIMVDDLVTRGVTEPY RMFTTRSEYRLSSRADNADTRLTAKGREHGVVGDKRWQRFTDEQKQIATLKSALENVL LGSQDWARLGFRVNIDGRKRTALDMLCLPNAQMKDFADLVPDLAGYSDRIQARVAFEA IYAPYIERQQSERRVFERDESIRLPSRLDYDEVFGLSSHEREIFKTTRPETLAQARRM EGITPAGALRLLGHIQRQYKAGRLEEALAG
SAPIO_CDS3017	MSSTPGNRSQRRPLHERSQSQNNTLAIRVVPYSPPRLEDGDVPT SASIPSSRSTSRLSSNVADVDFPAKPTLASKGEVSTSLRPGSALSANSSLLESSSTTP PGPNVSDLAAPSASTSNIPSGRLATNIPAINAPLYSSSHIRPVNAPSHHPQTSQPATS SASHSLPRRRRLINIHSDKTFSLISQPPDRDLRSPPLSFSTNSVASDPFTDEQPPSSP LTTLPEHDRSFSPCSLASSPTPTATPDRRPAWLSDDLSPSTSSPWNYRMVGGLRKVPK TPNLKQRDLHVASPTSPDISLPDLSDLPTSPPTALSPPALGSSSDSLRSTSTASETTN YKVYGSSPLYNPQSRPSPSPSFDESTNYRILSQSSSPSPSLYQEPPPSSGSDENYVVH AQSSPASSSAVTVKKRTVRPEYSQESLVVPPLQPRKKPSAERLGYYRAISRESLRRAA SLKSISSVLSQEATHSVLLSSPAAVYLQGGPQAFSSILQDPRTPPATTSTSSSSRPPM IAHPHQWSSQLSTVISESESGSEPGSRVGSAASHPRRSSGFPSNHSRNMLSISSSIDE RSISHSRSRSDSLDRPAPSHSRYGPRDVHQVTQQDEHGDGLTELYALHQRPSRTRLSG LFSGISSDRNLHSSSSSLANSFASSSLPTWARLYYGSGERRFLAPSSESMFSDYTESR PPSSFRSGSPTTEHFPAQIYSQRRRPRDVERDPEIASAPAPDDVHRLGGGLRKQTSSI WSPHLRHDQRASRYSIWEPPSVTWSAESGMLGRRNIQVVLFIVGFIFPFAWMTGACLP LPPDPRKEMAEGDGSTSHLDVRTSSLPRRSKSFEEAQYEGAHWWRRLNRYMSVVGLLV ISAVIVLIVLGVRQGWGS
SAPIO_CDS3018	MTDKKIEDPEARLPQSGFRNGFVDEKEGFLSTRHSRQPPSLAMS KISNSPGLSILAYCLSSISMTVVNKYVVSGDAWNLNLFYLAIQAIVCTGTITVCKNVG LIRDLAPFDKDKARKWFPISVLLVGMIYTGTKALQYLSVPVYTIFKNLTIIVIAYGEV LWFGGSVSPLALLSFGLMVLSSVVAAWADIQSALSGNPLTGDAVSAMSTLNAGYAWMG LNVFCSASYVLAMKKVIKKMNFKDWDTMYYNNLLTIPVLIVLSLIAEDWSRPNLARNF PVETRNSLFIGMVYSGFAAIFISYCSAWCIRVTSSTTYSMVGALNKLPIAISGLIFFS APITVGSVSAIFLGFVSGIVYSWARIRQSEMAKMSLPTKQPAMSASSLSNRDAANSDQ EWGPLTTVFERLAPTVGASPDELKLVASFLLSYPLAAVLKRIPESKPGLKNLFIVATS IFYLIGLFDFWDGLRTFGISAGGTYLLASGFRTSPWMPWAGFIFVMGHMASNHLAHQF VTKPSAIDITGAQMVLVMKLSAFCWNVADGQLPPEQLSAFQRDRMLPELPGLLDFAGY VLFFPSLFAGPSFDYAEYRRWIDTTMFQVPTQVEPSKRPPVRKKRKIPRSGAPAAGKA ATGLLWIGSFIYLSKWYQPGVLLTPAFMTHSFIGRVVFLYMVGLTARLKYYGVWTLTE GACILTGLGYNGVDPSTGRVSWDRLKNIDPWGVETAQNARGYLACWNINTSNWLRNYV YLRVTPRGKKPGFRASLITFGTSALWHGFHPGYYLTFVFASFVQTVSKNYRRYVRPFF LDPVTGLPLPRKRYYDILSLVVTQLTFSFATAPFLVLSFSGSLQVWSRMYFYPVLGIA LSMAFFASPAKQILKQKLDQRQAVAGVKMVRSASQESLASREPVLGLSSDPQRDLSEI VEEIKADIQKRS
SAPIO_CDS3019	MRAYLYDNNPGDPRLAHDSGREVKEETLTQLGVKYYHIENVDGV NQLATARGYKNRDEVTISPEAMGSVYEDKVKMFFDEHIHEDEEIRYIRDGRGYFDVRG AEDEWVRILVEKNDLLILPAGIYHRFTTDDTNTGDTAVKSRLQLPASCDPPPVANGPR RQLVWIVFGGTGHMGRSLVKCALARGDRVASVGKVFETSPEHRVGRQENFLGAQCDVR ARDSVSQVVQRTLSYFGKIDVVVNCSGYGVIGACEDQDEYEVRNQFETNFMGTLHIIQ STLPYFREQNAGRYLIFSSTSGALGVPGLGPYCATKYAVEGLIEAMLYETDSFNVKAT LVEPGLVRRDEPDSVTNPLPTWGHFLINPSSEAYAHATSPALHAKRMVQWLGDRQPTS VVKCAELVWQLAHCSYPPLRLLLGSYAIESIRDRLRSVTEELEDWKHLNFPAAPDTST EKDEKEISKSEQDDDMGETGQELHDSTITAS
SAPIO_CDS3020	MSRVQPQDVASKFSAVDGATESGKGTMSRLKSLRTFMREHHVDV YVIPSEDCHASEYIARCHARREFISGFTGSSGCAVVTQQKAILATDGRYFSQASQELD ENWELLKQGTQEGLTWQEWTATEAAGGKVVAVDPSLISAPSAKKLSERIQRSGGAELL PLETNLVDLCWGSDRPDPPSNAVTTLPDKFSGKDVQAKLSELRRELAKKNSMGFVVSA LDEVAWLFNLRGSDIPYNPVFFSYAIVTPTTATLYINKQQLGANTLAYLESNGVSIKP YSDLFTDVRKLCDAAKPQETAPGSPCPRFHISTKASWALKLALGGDENVEEVRSPISD AKAIKNDTEMDGMRACHVRDGAALIEFFAWLEDQLVDQKAVLDEVDAADKLEELRRRQ RDYVGLSFSTISSTGANAAIIHYSPKRGSCSVIDPNSIYLCDSGAQYLDGTTDTTRTF HFGTPTDFEKRAYTLVLKGNISLDTAIFPKGTTGFALDALARQHLWREGLDYRHGTGH GVGSYLNVHEGPIGIGTRVQYTEVALSPGNVVSIEPGYYEEGSFGIRIENVAIVTEVQ TDKSFGDKPYLGFEHVTMVPFCRNLLDVSLLTEPEKQWLNEYNAAILAKTEDLIQANP KALTWLKRQTRPV
SAPIO_CDS3021	MAYIKEPSSLPEVENLIHALYEPVSPAIVSQIQDVLHRIQRSDQ AWALARDLLSRPDEKVRFFGALTLIVKLNTDSSSLGGDELSELFTRLLGWFTESALDT SSGLVTRKLSTALVTFFLHFPTHCYPCLRYLICCLQLRRHANPNDIDDALAFIPNLEP RAMQACLLFATDLVEEAAKVDMNSANHLPIYEGIRDSIEDVAALISLGLDPERDASSR LSLRRSAIKCLQVRDHPSNHKVPWASFAHRSLPRSDPLLEHLRNLIPRVVNGLAVASD DATFASSMELLSELLSNHHGFLTVPHIRLLMNMSVGQVGIRYYDRLIQGDFDFENLQF GLFMIALADSQMDTLLAAEPDPLSEKLLESVCGLLAAKGFPAVDDRIFTPAVEFWSTY VESMADFGDGQEALASKVFPPPQIISEWDSTEREGFMDARKDVADLLQSMYAISGNKL VSVFVDLAVQATASQLWAELEAAAFCLGAFADCIASESACDDDLSITFSPSFFGILRQ DNQSIPLRTRQSCIALIEKFAEYFERNVSSLPDALNLLFSVLGDPLLAGPASKSIHKL CSSCRTVLIGEVDTFLAEYRRIVDQAQLDCLANERITGAIGSVIQAFDNDEAQAATIH QLLDIFSADAQRCILGARSAELVDVDSSLRRCGRRCLADVPIAEIPLHVGLRVLRCLV NLGKGLQAPADVPVDLDTEKTNLTRRPDTEPFRSVYSRILTIVTELQVTFPSSGEVIE SICNIFRAGFSETVPGPFVFPLATISGYLIRQPFSTARIGALIGMACSFVSSTTPRTQ GWGDVMPLTLDWVIRLVRELPSVDYDPELSQHAIDFCCRLFVKDPGVVLLLEPQDSLA FFFSFTLTVLDSQEPLPKAAAAEFWATFAGYRAPNQHHQELIQRVMQELGPLIMLSLM RNIGGNASRSELDKLSEPLKKITSLHPMAQTWMRAALLHPSFPSQNISEEAKLSFARK VIRYG
SAPIO_CDS3022	MSPSATVLDEGVKSALKLGLFSYPVLQAADVLVYRATHVPVGDD QRQHLEFARECANGFNSIYGKLLVPPTTLTSPDLRIMSLQDPSQKMSKSHKSHWSRIL ITDEPEEIRKKIMSAVTDSDNFVSYDPVARPGVSNLLQLLAYFDEDNRTPEKLALALS GENVSLGGLKQRVSESIIEGLGGIRDRYLRLLTEGDGKFIDHVASEGAKKARLRAAET MDLVRQAVGL
SAPIO_CDS3023	MDHMGLSSSQVEELLRSAEHRLEESPGEPDALKIQSKTSNPIPD IPGTLKRKQIDNIGPRATLESTKSIDETDTAGPAWFDLPKTKLTPELKRDFQILRLRG ALNPKVHYKKSASKTLVPRYCHVGEVVEGSADFYSARLTRRERKMTFAQEVLSTSGLK ARSKSKYSTIQEKKMSDFALRAAYYLKLPAFGPVPLPRITERWTVPRSNFIFKKSQEN FERITVRRLIQIRDGNPESVQIWLAFLQKHAYAGVGMKANLWEFGKLAAGGDAESTIS QELEERWSHLGLDRSLGSADAVEELLRSRRSKTMGS
SAPIO_CDS3024	MDPQHGQPSSHSQDGHPQAVYDTSQGGHYGACAALAAKGFAPAD LYTGPWANVHQGLTGQYKDILTTYWQHTINHLESDTHDYKLHQLPLARIKKVMKADPE VKMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALSKSDMFDFLI DIVPREEASSHAKRAAAQSSAGAQGIPVAPPTTSQLPGQHGGLTQPPSHGQSSHQIGP TDYGLAGHAIGPEQDYRQPGMYPGQVQAPPPAPYGQAQPPMYGEIEGMYGYSTMQPQQ VGPHVSYGS
SAPIO_CDS3025	MAKPLPRRTLVYEDYGSAVDQIISPSSDSEFIDQLIHVLKDAAS TERTATLLQSLGEYSDDREADIERIGLTRHEEFLRSVNQLQSIREETVLLTDEILKLN QSIQSSTEILAEQKQALVNTRAIRQNITDASDALRGSLNILHAVNQTHDLIRKKKYYA ALKSLDDLQNEHIIPTVQNKYATQQRLADIIQKSIPASQKVISEAVMTDLNTWLFRIR ETSQFLGEVAFYHTELRRTRQRKRVEDDKFMANFKLNSAIELVSDEAEEFDVLDNDEL QVDFTPLFECLHIYEALGQIDKFRAEYSATRRQQKDLLLPVAVSLLEDDELSLSSLLE GITGFAIIEKATMRRAPQLRSAVDVEELWDSMCQAAVNLTSKALNDVTDAEVLLKIKG FISLFIQTMEGWGYSVAMLDNFLLTLFNKYAELLKRRFSEDFQEIVSTDDYMPMTIQS PEEYEKVVNVSWFSPEKPTHELSFPCVLPFSQMYPLCCIDIRNFLNQFYFFSDDHFQH PNVIDETLRKALDELLVDKVCKSLVERLSSQYLGQIVQILINLEHFEIACHELEQLLI RARSSTSAGGPVTLEATEQFRSNKKTAEKRIFELVNSKIDDLVDTAEYDWLAATVASE TSNYMQTLTTYLANIMNSTLLGLPREIKELIYFDALSHAANKILALPLSPEVKHINPN GVAALANDVQYLTGFVDRLENGAMLKENLDELQQTINLMQSDNHDEFFDISTRNKKYG RVDAMNGPILLEKYGNVTFAHLDGTRVEPNGRPG
SAPIO_CDS3026	MTSPNPWSKTGLGLKGDSPDTALPAPNSPQPCLSMGGHQEARTA DPQIPKTPPCISNAPGSTQAGTPIEPPSALACMPMAVIDKQASESQRDARKLSPTASC FQPSSSFLVNSRHDALGGSYAPLMSPYAAMTSQLSSAELGVSRHLLFTSSSSTVIPAD VDVFMETLRQLGFQCKGTRVSQALGTFTSVYFTDIRDACFVFANSYRSHMNWNVQYVS GITNANPPPSCTALPEGQIMVVATVQSGVKLDDHGAEAAICQLLHSHSEIFSMKQLAP FRGGVFRVIVEYCDAGAAHRAVTRLTGMAIEGVQIEATAHSQDLTTPSNRFLQIPADQ MIHREGYVGEISCISEESPSRADGPGYKQVGGIQQQVERLPMSPDSLRSPGSMYSVIM LRNIPNKVDQAMLKRIVDESSWGKYDFMYLRIDFANDCKNCFKSDKVAEISYATIQGK DCLVQKFRNSSVMLEPPHYRPKLFFTSNGPKPELAGQEEPFPKPDNQSKMKRSCENAE HVGQHFRDEQRRRRSQYDRGTRLAALEEYEYDASIQHPHDQRY
SAPIO_CDS3028	MTSSKSSKSSTSSTGREGVDERPTSEIDNKPRGEQQSEIGCAHE PPTNAGSSITPRNMSDSRLGTPNHSSRLPSSFVSHGTNSNRDAFMDLKADVVVASLYQ DQRRRLYVSGWNINEGVVLKRSKNHFVCQPPQLREIRDSFFDSVSQLNVSASAQKVAM TVNTPWVQSIVSTIRARDFYIDSIPLHGGLNLQVLQRMTDLPRCQKHHFAAFVVEPPL LVVWDDDPNKIIKRIERLEADIVSLVWKTEMEDDDEEPPSDTKEYYGDEEEGETMEVR PVRLTRATMVGMSLALALVCLGLGWRALALEIMLDGYYLRLLLLLASPIQLFVSLFFF QTIIGNIFQILGPISAVNSNSRHYSGKAPRRLGRDTELPHVTIQMPVYKEGLNAVIRP TIASLKAAISTYEMQGGTANIFVNDDGMQLIDESLARARRDFYEEHNIGWVARPAHNP KPEDPSKEKPFIRKGKFKKASNMNYCLTTSNKVEDKLQEIQRPAGWTRQDEEAAYERC LAEVIQESEGRTWAGGNIRMGDYILLVDSDTRVPRDCLLDAVSEMEQSPEVAIIQHTS GVMTVTESFFERAVTWFTNLIYTSIKFCVANGDICPFVGHNAILRWRALQDAAAYIDP DDNTEKYWSESHVSEDFDMALRLQSAGYVLRFAAYSNGEFQEGVSLTVYDELARWEKY AFGCNELLFHPLRFWLFRGPFAPVFKQFITSKIHFYHKLTIMAYIGTYYAIGASWVLT LMNYFLTGWFFGLYDKYYIDSFAIYLSIIVVFPLMGNFALSILRYRLGEEDLLSALWT NFKWMPLFTIFLGGISLHVSKALLSHFFEIDIQWGATAKEVERVNFLEEVPKILRTFA GTFIFCIGCTALIICGYYVFPREWQIRYFASIYPLSSVIVTHFCVPVLLNPALMKFTF 
SAPIO_CDS3029	MCVKGEVPAPKPGAILKKQRQSGSERLKKLDHANAPLNTLDRRP SNKTSPAQTLYVEIRGAPVKSQTTPKRPPPARPIVEESRPAKRPRTNLEVPNDASSHT SQVPIEIESIAASSTMSFPGRSPRNRDSKMQLRQEEFRNISSLSTSRSRRRRTRIHRG WKPANPEAIEGSDRSSVISASPKPPSLDELRNHSPPADRSDVPLFPKPVIKSEVPGTG TPLEGPKNRTNSVPLDDISEDELAISSVGKKRPSSQAKRPATNKASTQVGQGSGFALR RAVSGTHVYEPEQGFSLAPLPGSQVILQATALAGESLHLPWLRIHLGRINSVKYGGDG CEIVLIDRPQSTSANDTPSKLVLEFQGEEQSKRFTQMLRQSTVNVTMKFLQKPNQWMN LAAQRLFDEARKGTSLESNGHDSTPDTTDDTGENDGSGMTQKSAPGSDTPSAKPKTTP KRPVNKKTKKATPNTEWTIRNTDWEKSWDRSLIYPRIGRDRATVDKHDIARLDEGGYL NDNLILCYLRYLQASAEVEAPEISRRVYFMNTYFYSTLSVGLGTSINYDGVKKWTAKV DLFSYDYIVVPVNENFHWYVFIVCNPSKLLPPGIGSEQEPVPIDVDEGSQENEGVSSL PMKVDDDAPPDNQEASGKKSNSSTSSRGRRKSQRRHVQPDRKYDPQDFLVISLDSLDA THDPGCQMLRDYLLQEVKYRKKVKPQRPASTGLAAQNIPKQGNLCDCGVYLLGYIKHF LADLDGFITTLLKQGKPTWKIDAPGLRTEIRETIFDLHRQQTLETQAENAQKKKEKKE KKSQDAGHQTTTPSPPDSTNDGQKTPGLELETSAGPFTSKQNSPEHGGAVSMVVQATP DPGCEPSSAEAMEEDVASKYDRPFTRHKVSSPLTTSGDKLDRPPDIRPSVEDDALETP STSGETTSTSEQDMGRAHFEQEDQPYLSPVTHSPHETWSFKKLPPESEAAIRPSIEAQ DGVIGDEPVFIDTIPSSSPSQRVIASPAADVKLPRKRPVKVIDVDGDEQQPPMQRQQR RRETGTRSQYFTSNDADRDPIYVPRETRSAGTVKIAVEDDGSPRAKRRRVKTIDLSD
SAPIO_CDS3031	MKSISGLWSVQWKKTKAVNLGRTSNTIQAEAGLRVVREVLLGIT GKDFTIIAASKAAMRGATILKAEDDKTRQLNKSTLMAYSGEPGDTVQFAEYIQANAQL YSMRNETDLSPSALAHFVRGELASSLRSRKPYHVNLLLGGVDPITHKPSLYWLDYLAA LAPVPYAAHGYAQYYCLSILDKHHHPDITLGQGIKILNMCADELKRRLPIDFKGLLVK AVTKDGIRDIEFDDDKVVKSA
SAPIO_CDS3033	MGAFDNLLLGVDEGVSGIFSQWNVYTTALAGVLAAGVTYHVATS VDPDTHPLRLARQSLPNLVRQEGESALYRSSDLGGGELRTGLEVRKKGALKWSKGSDG DLRDVWRQVVQGAEKREGVEAGARGKLLTVHGAQKVTEHKIEEITRAINLIGQHISQQ GGIRVAIYLPNSIELLVTLFACSFYPNLTTILIPFGASPDELISMLRRSAADTVVAAP GTFPFDGVVKAYPSLRNLIWVVDEGSSHMDWNEIPEGMGGSVNVATWQEIVDESPLQA GLELPEDGPEPGPVVVFWQGKPGTLEEMVKFTQKNIVAGMASILGSVPSNERMGPADV LLPADSLSNMYTLVVTLSALYANASVAFNSVAGRDTDLVLATQGVSPTILVAAPETLA RVHEESSSKVTSALQSAALRSQLRTLSQKGAFPSPNSFWGNFLAPTRPIVGSGASKLR LVFVGERADGGAPPLSSKQLAELRVFLGARVLYALTAAGVAGPVAQTWLYDYRVFEEG AYSHFGPPSLSVEVFLRDNGEYKTTDDKVEGEIVARGPSVARGELALGVNGRIREDNT LAYV
SAPIO_CDS3034	MTSEATNSAPQTKIAVYCGASPGHNPKHLAMARELAHAMAENNI GLVYGGGTIGIMGEIARTLVSLSGPSSVHGVIPDPLIRYERNLPTKASDFSGTGNPDL NPVLPDEAVFGRTTVVPDMHTRKKLMAQEVFNGGPGSGFIALSGGYGTIEEMFETATW NQLGIHKNGICLLNVDGFFDGILQWIEKSSSEGFISPANKGIVVTATDAKGALKQLRE YKLSADTMKLEWGDQ
SAPIO_CDS3035	MFRNNYDNDTVTFSPQGRIFQIEYATESVKQGSVVVGLTGKTHA VLCAIKRNAEELSSYQKKLFAIDEHVGIGIAGLTSDARVLSNFMKNQCLSHRFTMARA LKISDLVDMIGEKEQYNTQHYGRRPYGVGLLVAGADNEGPHLFTTQPSGLTEEVVAFA IGARSQMARTYLEKHIEEFAECDREELITHGLNALKESLAQDKELTVDNTSVAYVGVG PNGKITDVFKVYDGQDVKDWIEAANTERAEGMDVDG
SAPIO_CDS3036	MTAGLKTIIALSFVLAVGFLLVILSCALWKVYYPLLVVATYVLA PVPNWICSHCANPDDYESSSSAILDLGKFCTGFLVVMGMALPVVLAHAGLIQVEAMIM SITGGLLIYGTIISFGMFFQEEQDF
SAPIO_CDS3038	MSLDPLSPIGPARVRALLLPLGQIRGPRFSGFIERLQEEHVVHL RDITPDGRPNRIGMFSPLAFRSGAIFYDLITHMPPPSHLALSPFELYREPLVIIAIAD GKELDREVIGKRNSINGRAPTLIEQNIRSLYQELEDLRDNFSKALVHQVLIFDYEPPE GLEIPMPFEGIATIPTPEKCKRTTIKGVMCDISSLLLAEMTTLAKSIEGTNFIDSPGQ MSGSRANGSSSWGGSEDFGGHGRRNSQFVSGTRSNSSAGLAERVDRSHVRMSMPPVPF KSSPSILHSATPSSRSSTPGKSALSNPPTTFDELVSNQGSGRSSPEQRHSRPGTAEGF RPSSQDRVSVQGFGSGGANERWRLRGKARSVIVVGSMYLQAGRWPDALKDLVEGATMA RSVNDHIWHGKALELIVICLLLLGWAGIDFQVPIVCLPPQEKQPSDGGLKEVDNLDPR QPVRFRNLQVILPDLLDRILGSYSRISSENLPPLPLSEAALRFCKLLSFLHLADGTLS EDSIRAIVHGDPPAKILTTSPRLTVKPNRQFIASMAFRAFPSSASELLTVVDRVTILS GIASVLGPLGYHRKKGMVIQELISVLISGLVEARTRGAAEVGIHPAAGLVALAAADGH RKPAGSVALELQEGDIEQGIESFLAILCKTYGIVDYDPSNGSIAKEEDVTSSAETVSR IRKQTAARFFGFPSVKLSVLRACINFSEALPDFNGVLKFSSDLMRTAGSGVAPGPRRE DAAPTIPKEEQVRLVTNIAKTYSLSKRLGLDHLAAEYWDELLVRGIILDPLPGSKVPV AHSKNVLPGVSTSRTSQDVDPFIYNPFLKQPDKAAVDQTLVVGETATFRVTLQNPYDI DLDIESIKIVAEGADFEPATESTIIGPYRTQVLKVPGIPKSSGSLKITGALVRIRGCR EKHFPIFSQPWVPERVLKYKATGLAALNKNLALEGPAPPSLIPTELPLKVIPEQPLVA VKSTTLPQSCVMILEGERQVFSVTLKNTSKTTPVDFMLFSFEDSTQGPLQTALNNRDS TPAELYEYELILMKKQSLRLRKKAQDKRYIAPGGEATFEFEVLGKPGLTSGTIQIDYA HLGMAPEDIVDQFHTRRVSVQLTVTVNASVEVSRYDILPILGEVPESLWNRVRKSGGE DDQPTFSADRYCLLSLDLINAWPSTMLLRIEGEDNVSVEEYILPGNTSRVVFPIRRIF VEDPHASIPALNPKRNRQFVVSTSKTTPDFERGVREAFWYREKLLDSLRATWKTLSGP QRSGTVELRALRLASRMVDALKVEDVGIDIFVENPDDEEESEPASHHKAMFVDDFAQV RVRIANRTIRPIYPLLRLMPALCNRPSNVALDYSRKFAWNGTLQQVLPLLDAKSSTDV ILGVTVLCRGQFEITASVEETVLWRDADEEEADEKEKSGARARSDTQTMLDAVLGKRE RRIWHARRPCLVTVRDQE
SAPIO_CDS3039	MSDRKASESAPPRQTQAIPPPSFPTHNSPRTWLLTSALSPLQIR LIRLLLTHGDYVVACLPPHEVEDEQRSAEFRELVNECKSNRKDREGWKDRLRGVRCDG KVMGQCTAAIAEAIQAFGRIDILLCCKSEAVIGTVEELSTTPVTQNLIRDQFESIFFS QINFIKATLPILREQHTGHIIVLTSIGGHIGTPGMSIYTAATWALEGYCDSLAYEIAP FNIKVTIVQPNKEIQSLTNKIIFSPSLPPPENEVNMAPSVRDMLINVLNMNPETSLES SDTSIITRYPKLPPSAYDKLVMETVHALTAIGGHENPPARHIVGFEGAIAVKDKLKTV TEELEDFVEASMAVDIPQDGTEVKEEKSKDSPATAGRASMTPS
SAPIO_CDS3040	MGAAAKVLRAIVRNDAMREDPVEIYNWRVFALVCALQRLIWFLN AACFGGMLFGWDIGAIGGVLAMKETQVQYGYAEAEQAIKDKHDQDIVSTLQGGCFFAC FITPWLADRYGRRWSLIVTGMIACVGVVMQTASAASGNLPLMFVGRFIAGLGVGAASM LTPLYVSECAPRAIRGGLTSLYQLFIVSGVMISFWINYGSKAHFKGISIFVVPLILQS LPALPRWCAKQDDWERSSSILSRLRHLPSDHDYVQRELQDMAEQLEQERLLVGDATTK VLLKEMWLIPSNRKRALISAMLMVCQQMTGVNAVNYYAPQIFKSLGLSGDLVSLLATG VYGIVKVVGCLIFLTFFADSLGRRRSLLWTSAAQAIVMFLVGIYGRVEPPVEGKPISG FGIFAIACIYLWATFFQFGWGPVCWILVSEIPTARLRALNVALGAAVQWLFNFIIART VLEMKRTMGTAGYGMFFLFGCFCALMGVFVWFFIPETKGLSLEKMDELFGAPQDHEKR VELERDGNGSTHEAPAAKLG
SAPIO_CDS3041	MTTTGLVLGFNGVHPFSKVKLSDRASVQELLRTLLDPLEPFFSP NKARVRCPGGTAVRFDLTASDVEGICRPLWGLACLLAGGGEYHGTNWWVEGIKSGTDP ENPEYWGYPRDNDQRMVEMCPLGFALAVAPVFWNSMTEKEKENVETWLGNSINEKNMP NTNWLWFRVFANLGLKKNGGKFSQDRLDSDIEHLNTFYRGDGWSNDGPEGIHQMDYYS SSFAIHFLQLLYAKLAGDEDPERAAEFKHRAQQVVLDLAHYYDEEGRSIPFGRSVGYR FAMVSFWGALAYADVELPAPLTWGMVKGIVLRHLRWWQTQHAIWSPSGTLTIGYSYPN MYMAENYNSPGSPYWACLSFICLAVPPEHAFWTSKEESQWTKIPRVKALKHPKHITSN LGGHCMLLSSGQACSYPMKGTHAKYGCFAYSSAYAYSVPPGLFTLEQYALASQLGLSD DGGEYWKTRRLTEYSGLEERDGKPVLVSVWKPFPDVKIKTILVPPEEATPNWHLRIHH IEAGREVMTADGSFAICNENTKDGRYLDLYDAETCEGTSPKIIGNYDLNTPAGWATGK DGAFAVSKGAVGIKALEDGLERSANLVNADPNSNLVENRTTIPTLQHTVKKGESVWYI SAIYAKPSGEGVPKNTYLDGWNSPPAIPDWLKAEIASS
SAPIO_CDS3042	MANLSPFFTLLIAICCSLLGLPALAAADDGESVLHSEVSRAHNQ SLFWGPYKPNLYFGVRPRVPQGLWTGLMWGKVNDFDTLRSSFRYTCEQHEGLAGYGWD EYDVRRGGIETIHDVANQVDITVSFVKVPGGAHGGSWAARIKGVPRPDAPADLQTMLT YYIAQEGGGELEIKTDGTDSGFEGDITFAGRSGTLGDYKLVVSKGIGNHPTSDHDLAA RRQPGKTTIRSVQMPDEVLWQAKGVVFNQLSEGITNVANTYTTEDGPPAFLVYQLENN PGKGNLHIVQKIFEGPFEFDVLFSSSSAGKELTEADVTAQIKSNSDAFSDKFSKIFEL QAPFKDDKYKSFAKNMFSNLVGGIGYFNGHQLVDRSYAPEYEEYNEGFWEETAEARAR RQEKLEGPYELFTSVPSRPFFPRGFLWDEGFHLIPILEWDNDLALEIVKSWFNTMDDD GWIAREQILGAEPRSKVPEAFQVQYPHYANPPTLFLIIENFMNNLRKMNGTLPERRET LAFPESPLESAHLDFPELGEAYLRSIYPLLRLHYDWFRKTQRGDIKSYDREAFSTKEA YRWRGRSEAHCLTSGLDDYPRPQPPHPAELHVDLMSWVGFMTKSLLNIADALGMTEEV EEYKKIKNAIERNLNDLHWSEEEGCFCDATVDDFEEHTLVCHKGYISLFPFLVGLMKP DDPKLGKILDLIGDEKHLFSKHGLRSLSAQDELYGTAENYWRSPVWININYLAIVQLH DVAVQEGPFKAKATDLYRRLRKNVVDTIYKSWVDTGFAWEQYNPETGQGQRTQHFTGW TSLVVQIMAMDDVPAVGHVRDEL
SAPIO_CDS3043	MFSTQVHWYFTFQTDTGRIRYAEPGEFTKRAFLNDRLDLTQIDA LGDTLSAETEQQRRAAERGNSRVLSQRYESWREQLLLARGEIEALIDFSEDQHFDESP SELLGNVTRLATEILGSIRLHDLGSQKSQLLRSGIRIALLGPPNVGKSSLMNHIVGKE ASIVSPEAGTTRDIVEVSLDMRGYLCSFADTAGFRGEGSSLPGEAADIGHVEREGIRR ARATALSSDVVIVLGAVTSDPNGKFQILFDEEILRLVGENEKPTIIAVNKTDIANEAS INSLLADFHRSIKTMPGLGDASAPIPISCKEASEGSSGSLGIKDPGGVHMLTDHLLDL FKNMTSMPADMQDLLGVTERHRQLLDECRGHLENFMVEAQPSDECEADIVLAAEHLRY AGNALAKITGRGEVPDVEDVLGVIFEK
SAPIO_CDS3044	MASDEIVWQVINQQFCSFKLKTDKKQTFCRNEYNVTGLCNRQSC PLANSRYATVRQHPEKETVYLYIKTIERAHLPSKLWQRIKLSNNYQKALEQIDQQLIY WPKFMIHKCKQRLTRLIQVQIRSRRIAAEQERLGERLVPKLAPKIRTREAARERKAES AARLERTIERELLKRLRSGNYGEQPLNVSEAIWKKVLNAMEREGEAERDEDMDSGIDS EEEEDDLEKQVEYVSDIGESEEELEDLEDWLESEDEDEDEEEDEEDEDEEDSDDSDAD RADAKKRKRTKAAKKNVKRAKVDLNKFNQGNKDVEAPLAW
SAPIO_CDS3046	MSAGLAKDQPPPSPSASFYAMSDDEEGEYSTITHTESGRGVKLL FSKSKVYIHPTPSAKDNIPGYVALLQQKPPRPGERPSSSSSLAPAPTSSDLLLAWLPE SSLGDAASIYVKVDLCEGDSPPKQSYLVPPPPTVTTHSDVVGHYAFAIPVSAIFSLLV RPPSIGWWFGSVIINTRAGDSFPALFFHDSECESTILQKKKRARQNFDPFGENGEMFW GGDEVLRWLRRYVRIERSTAEPNVYLVEPSKEDSESFSFKSVSSPSQIGRQDSASGIR GRGPPLPPPGSRRSVNDAQMDPFMKFVKETGWNIMEKFSRVTTFTRRAAQDVLDNPNV PPQVKRLLGNPEVQTLQDEFDSARLYLARWAMGIAEQSERDRRQRIWTAQDVMELEDT DVGEFELVDGASMLSLEERRKPVTLKEWNGFFDPVTGRLSVTIDEVKERIFHGGLDPE DGVRREAWPFLLGLHEWYSTAEERRVQIASLRDEYYRLKNSWWERLDGMGGDGESGEW WREQRGRIEKDVHRTDRNVPIFQGEDVPHPDPKSPFAEVGTNAHLEQLKEMLLTYNEY NKDLGYVQGMSDLLAPIYAVIQDDAVAFWGFQKFMERMERNFLRDQSGMRAQLLALDQ LVHFMDPKLHAHLQSTDSTNFFFFFRMLLVWYKREFKWLDVLHLWEVLWTDYLTSSFH LFVALAILEKHRDIIMTHLKAFDEVLKYVNELSNTMDLESTLIRAEQLFRRFRRLVET IDKKHSLPLPPPRPQGHQASSSVSSTASGQVLTPTSSVGPTSPRRDTGKAPETGPAAT ERVITPELRKLLSRKVEVVPKRRPGPK
SAPIO_CDS3050	MTLFPGVALVTGAGSAISFAREGCNKVAILDRNKEGLDETVRLC KEANPAVEIHKEVYDARDENQVNASISAAYKAFGRIDYAVNSAGIFGPMAPSHELSIA DFDDINRTNYRGLWIAQRAEISHMLKQEPLPTHDGRPGNRGTVVNVASNLSLVSRPET PAYSGSKAGVLSITRSDAIDYSKHNIRVNCVCPGLVETPMTKDLSPDDPALFVAPLGR MAKPQEVADAVLFLSSSKATYIQGAALSVDGGYTIN
SAPIO_CDS3051	MASQDPPLDEIQWRSPQLVAEMGGIHSNTILHYFARSPFFEETS NNAIVTNQAFHNASMYHLIQTREAFEGRLRTMAGLEFMVAQEPAETGPGMGTGVWVIR KQTRKKRPGIEDEIMVHATYFVVGENIYQAPTLADILTSRINTITDAMSKVLPTADSA RTWTPSLGSVYRPSPTPTTQPQGGAVEKTAPAKGEAPKVKNNTLETELERLAEDSFIR HLKYGGDYIDEKPITGQPGNFHVASTGRKEKPAKLPSLPTKTDGISGLGLQGPAIAKN GKEGKKAEKSPRPPNAPKPRRRKTKTGSVAPAS
SAPIO_CDS3052	MSKRGKTVQQLPLETACIELQRAVYEISHNSRKRLGENLQKFVA LQGILEAELQLCMMTVQINSLDYVRNNVIALNSVASSSQKQAEEMRQPDEESVNVSHG TEGLRPKPHVEVAPEEMLGNFWAKNSHLSQEQERSTEGAGSRDGVSESGDEIVP
SAPIO_CDS3053	MGRSNDSLPALEFAPPHSLRGKVPLAKIKTTPHPYLPLPPTPAA HEPTSDCNSTVVICKPPSKNGSQTALGHETVVSPWAPSVMSSSSPSTDLSGTFSPIPD DTTTTTKTSVDSRIFPSSPERTASSEKAKSIARKTTGASTSELSGLGTIQESPVNRVR PTIETVERASAAKVYLETYFHELLSRPTLRELCRQHLESNLVQSDMHLEQKENLRKAF NSQWTWHLRELRSLKTKSERSAGGQLSGSCVDDFETLKLLGKGSFGVVKLVSEKPKPE NSFRKQVYAMKVIRKSDMIRSSQEGHLRAERDLLVMAEGSNWIVPLFASFQDEKNLYL VMDYMPGGDFLCLLIRENVLPESVTRFYIAEMILCVEEAHRLGCIHRDVKPDNFLISS SGHLKISDFGLAFDGHWSHDTGYYSFQRYSLLRQLGIAIDGDDSDKADKFALQKQLRF AGRGKYDRERYGDYEPLLSWRERCGNRVAANSVVGTRQYMAPEVLRGTGYDGRLIQEP QTRLSSYRYRRKDERIPIPRLVGTPYVFAGDGEDIKAHRWFRNIPWHRLHLETPPFIP QTRTIDDTRYFEDDGRISDWSSTVASSNKEPPIGLAEVQALLPGMREQVHAFAAKLVG SPYDPRTIDKKMDEEKGLFSREREVLKQFVHVYGRKDKKRPRDPLMRDPKTKHAVLAH RRQTAFLGYSWRRRRAYGYNFAYKSDMATARRFAGGGRTDGERVSAREPMQNGVAGCR CEENPTPLTRDGHVGG
SAPIO_CDS3054	MAALPPDINVLTLNCWGLKHISKLRRERISEIGRRIASANPQPH IVALQELFCQEDYLTIRRETRFVLPYGKFYHNGAFGAGLVILSRWPIEESSMVGYPLN GRPTAFWRGDWYVGKGVACAKIRFGPGKKDVVEVFNTHLHAQYSNDKANTYACHIAAQ AWHISKLLRGAAERGHLVLGLGDFNMLPLSLPHRIITAHAPLRDAWRVLHPESSLGPA DFAAERNRRRPIPTAAFNVKENGATSDSVYNTWRWAPSQKRMLAQGVDNATLVPHDSI DKQGKRLDYIFFGTGDVPTSDAGAAGWVVKDIRVGMTEPHPTLHCTLSDHFSVEATIS FHVVPSQSSPPSPTPTSKSKFTTGATTALTNGTYLQTQASPPPSISGSAPSPDSDLYD RLTAQLRSAALPSPATGESPFSAAAYDELLALISSYTRRERSQRTWRSAHFFASLAIL VGCLVAVWFSPHPGVSFALILVGSLSLLAGAVDGLIALLFVGWEIRALKEFEWEVMNA KCGGDFTLDALGGSAGTAGSDGEKAVDLVR
SAPIO_CDS3055	MADPDKLTPTPVTTKRRRIVQPRNHLSTPRYSSPDELTGDIYPS SIPRPNATEPGSAPRSTTRRISLRKGRPRSRSPSRSPSLDELNDTYYQPTAAVSFAIE IGEPVERWVVEKAENIFASKADIIEVAVEIEIGISIEVEVQIKVEITVRSPSPAKPSP YQPVRTSFRPKLTLRGHTGPISQVRISPDARWIASASADGSIRIWDAASGAHMDTLVG HLAGVSCVAWSPDSNTLATGSDDKSIRFWDRVTGRPKKSAKSVAGTKHALAPMKPLLG HHNYIHCLAFSPKGNILASGSYDEAVFLWDVRAGRLMRSLPAHSDPVTGIDFSLDGTL VVSCSTDGLIRVWDTSTGQCLRTLVHEDNPGVANVCFSPNGRFVLAFTLDNCIRLWDY VAGSVKKTYQGHRNEKFAIGGSFGVHRRGAPHSHSPPRSSSSSPSRSASTPQRGYGED AFIASASEDGSIVLWDVKSREVVQIVRGHEGVCFWVDVAPGLMVSAGQDCLVRVYRDA SVLVNGSLTKMTAAVKAEEDGMVGKDEGPEANGDMMEGVEMENDVRIKEEDGDEDVHI EGT
SAPIO_CDS3056	MMDPPMSTQEQQLCDLLVTLPSRYNYKYSRSASQELLHGLFWTL ARGNADYMGLFFPPGTDPSMRLSDAQGAVEGAEYTEAARGKRCGHIFKAGEASYACRT CSTDDTCVLCSRCFDATDHTGHMIRINISVGNSGCCDCGDQEAWKVPMHCTIHSELEG AQGVADKGKATSSVPEEVRQSITMAVARVINFICDVASCSPEQLRQPKTPESIAKDEI ASRLSRSSYGMDEPPPNDGKVLYSLILWNDEKHTITDVQNHVARSCRTTEASAYKMAI ETDTVGRSILKTDHDVEKLLAMAKKLEEIRVTVTVRAARDTFREQMCATMIDWLSDIA GCSVGNDHGLLRQIVCQELLAPWRQGSSAAHSAIGRDGIDDEALIAFEMEREQRFQRH VIHNFQRLAAQQAARIQVTRTQDPDNPWVPEVNIAADSDNDIDGLLDFDDEGSVSVEE EEDGEGDDDVMMVDAAADDAAEAGMEWRQNELAALEDDEATMAGYPPPPPPPQPTTGE AARRAVGREREQTPSDSDTTELVPAIYGAKANADIPKTPGKSTKPTGSKPGRYWLEAP AGYLSRDHVEPAEDVFQRVRLDWLILFDLRLWKRVRNDLRSLYISTVVQVPEFKRILG LRFASLYTILAQLYLIGDREPDHSIINLSLQMFTTPSITAELVERGNFLTTLLSILYT FLTTRQVGHPWDVSPDAVLAFESGSVTNRRMYHFYMDLKFLFCSPHVQERIRAEARYL MQFLDLVKLHQGIGPNVRAVGDHVEYETDSWITASLVTREINRMSRQLSDAFRNCPPE ERPCLSHAIRTVAKTVIINSIGAERGRFKHSEIKDEVRFKTLQDMEFDTSTSQYKVVK FVVETDPISFHHALHYTLSWLIECGKSFTAEEMKNLLSFSTQELWAKPRCMGKKTKSK YEYTTEDYLMAAFDYPLRVCAWLAQIKANMWVRNGISLRHQAATYRGVNQRDVSHHRD IFLLQTAMAVCNPSRVLASIIDRFGMENWVKGIFELKSESQDDAQHLDVVEDMLHLLI VLLSDRTSLIPPEDEPNQTLLSIRRDLIHVLCFKPLSFNEITNKLPDRYQEQEDFHQV LESMTTFKAPEGVSDVGTFELRTEYVEEVDPYIAHYNKNQREEAEMTYRKRLAQKTGR DVEEIVYEPRLRPIPSGLFKDLAAFTGTGMFAQIIYYSLLYPLLAAKLTPTVPGTRIE TFLQVVLHLILIAIAEDNVSRDGANQEPLHSFIQVALSRQARSNFIAEAPNAKTIVAL LDLLSSKEEFKACHRKIVLILNKMRHKCPGSFDAAYAALGIPLDRVNTASPAVQSAEA ERERKKKAALSRQAKVMAQFQQQQKSFMENQGNIDWGTDLDEDEEIAEPVEDRKNFWK YPTGTCILCQEDTDDRRLYGTFALLVDSHILRLTDFRDPDFVREAYNTPCNLDRSAED IRPFGVAHENRKIVEKISQTGEKILEERQTIGKGFPASLSIPGPVSVGCGHMMHFNCF ETYYEATNRRQQAQIARHHPENLKRNEFVCPLCKALGNAFLPVIWKGKEEAYPGPLQP SIPFLQFLEKEFTGGESQSFRAALRDVGRVNSIYTSYAASSMMGSFSDKASDLVSEAW RKNPAPVQTLTIGTGPGSQTIPIRESNIRENSSASHGGIIGELVKVYQRLRETLRVND LKVSPEYDSIFDTQSPSKILVKAVGMTICAVEIQQRGIEAQYGMTLLDKIPEQIITHL RILSETVESYLAFGGLRSRGESSSEKEFRADCEAQHFQLFAAQYYADEQHSLSLPELA TTHTPLLSQDIFLFMNETTSNLARLMRIDVSNLLQLCYLAELVKVVHYMSIHIPIDDW INKFVHRETSDRGLNTFAEFALRVTDMCMDLTTGDDIYDRIYGDKKGKEAVFDQPGLQ TLEGFYSFAKKYALIFLRKAVVLFYIKHGIDVNCAGSSNPDADELDRLTEVLRLPLFD DMCASLTDVSASFGWPNVVKTMVSGWIRHQIDWMLKGSDRSPNLPPESAALTHPGIFE LVGLPKNYDTLIEESTKRRCPSTGKDLTDPMMCLLCGEIFCSQSVCCLKQDRLSGDGE SIGGAQQHMRKCQRNMGLFLNIRKCCTFYLFRKSGSWSTAPYIDRYGETDMGLRHSRQ LFLHQKRYDTTLRAAYLNHGVPSFISRKLEGEINNGGWETI
SAPIO_CDS3057	MSSEFFLSYFIPGSYSFEVQNICQGQYGIDINAECRINFFPNDT VESYGTVKRAKFQGNPDIAGIGVVIGFITGTLLCLLSLFFLVREMAMRWKWVPILSKR YRPLPNPSPRGTRQKRWKRAFGDFNITAERSRFIQWLFNFLSNLLIANADTQIFISLA YGINFALASKCTLSAYHYKVGLNMVLLALASTNLSTLMIRDYWRRAKLAASMRFLVVA FIYVVLIWMLVYQFMGASRPEDTWSFLTKQRGGLATDSSILLPMSCFLDPDLDPLRRL TDAQLNRVGGVLGTKAATLEVIVGSAMLVCFLLSHLAHGIRWCRGHSRPKANRPVWWG VIVSLYWIVALGASTVAYVACYLIVWCLRGWVYESGWMEDNGNSERDAVSSTLYNLIF RKNYSMLASVFVAGFAWEIGFNRGMDRLWDSWNQGRQWKDIRHKYVEGGEDEE
SAPIO_CDS3059	MVDNKSTPDNNGNAAHQESPTFKLELHDALSPDPGTEDMYHSEN NKFAFSPGQLSKLFNPKSLNAFYAMGGLDGLEKGLRTDRKSGLSVDEVRLDGDVTFNE VATKGASPYGRLGDTPPRVEKETEHDGSKKGKKEKKDKAAPAIPPPEPVRDTDPFADR KRIYRDNRLPEKKPRSIFELAWIAYNDKVLILLTIAAIVSLALGLYQTFAPRDENESP EEEEPKVEWVEGVAILVAIIVVVLVSTINDWQMERQFNRLNQKNNARTVKVIRSGTSV EVSVFDLMVGDVVHLETGDVIPVDGIFIDGHGIKCDESSATGESDLLKKVPAQEVFQA LKDLGDREIDRKEIEKLDPFIISGAQVNEGTGTFLVTAVGVHSSYGRTTMSLRTEQED TPLQRKLSNLADGIAKFGGGAALLLFIVLFIKFLVQLPNNHGSPRQKGQDFMEIFIVS VTVVVVAVPEGLPLAVTLALAFATTRMMKDNNLVRILKACETMGNATTICSDKTGTLT QNKMTVVAATLGQALGFGGDSKPLADVSEDEKTKAVEVVTGSIPTVPNATKFSESLST SVKQLLVQSNAVNSTAFEGDQGEGKTFIGSKTEVALLTLCRDYLGAGPIQEERTNANV VDVIPFDSKNKYMATVVKLNGKFRVYVKGASEIVLGRCNRVLDDASSDELSTTELTET GTKMFQEIITSYATQTLRTIGSSYRDFDSWPPPDYVKTGDSAADFNAIHKDMTLVAIY GIKDPLRDTVIDAIKDCQKAGVVVRMVTGDNILTGTAIARECGIYHPEKGGIAMEGPV FRRLSEEDRQQKIPHIQVLARSSPEDKRILVKTLKKLGETVAVTGDGTNDAPALKMAD IGFSMGIAGTEVAKEASDIILMDDNFSSIVKGMMWGRAVNDSVKKFLQFQLTVNVTAV VLTFVTAVASAEEESVLNAVQLLWVNLIMDTFAALALATDPPSRAVLDRQPERKSARL ITVRMGKMILGQAICQLAIAFTLHFGGSTLLGYDMSDHNDKTSHKTLVFNTFVWLQIF NEVNNRRLDNKLNIFEGIHRNYFFVLMNIIMVAGQIIIIFKGGQAFQIRPLNGKEWGL SIGLGAISIPWGALIRLFPDAWAAALVPKIKIKMPWSKEKKAKTGGPEDIEAPPEKSD ALSSSSEEFGPPPRAKTHSFVRGRRGTEPISERSRGGIRAASKRAYYRAVHSTN
SAPIO_CDS3061	MGHEDQVEEREVLESIFPEEITDISETEFRISVTLDLPEETDAP EPPTILLQVRYPDEYPDKPPHLDLLSPPNAPSHPNFSLSEDRDALLNVLNSAAEENLG MAMVFTLVSTLKEAGEQLIADRVAAAEKIREEALLAAEREENKKFHGTPVTPETFTKW REGFMKEMEELRKKEEEERLAELKKARVKEPVRMTGRQLWEAGLVGKVDEDEDDVPVE VVEKLKVEAS
SAPIO_CDS3063	MFTGLVEEIGVVSAIEGNDETGKTMTVIIPQASVLLSDAQLGDS ISTNGVCLTITSLLPSPPGFTVGVAPETLRVSNLGTLTPGSRVNLERAVRADTRMGGH FVQGHVDFVAQIVDVRADGNARTMRFKPQDKEWLKYIVHKGFIALDGTSLTITQVNDD EGWWEIMLIEYTQERVILAEKGVGESVNVEVDMMAKYAEKAMAGYLAKESEGTAAVPL LEKLVKRIVDERLQGKA
SAPIO_CDS3065	MDEKIIAASKRHPIVPLPEGRLYKYGTAGFRMKADLLDGISFRV GLLSALRSRKLSSKAIGVMITASHNPAPDNGVKIVDPMGEMLEQDWEAYATQLVNAPS DEALVAEYKRLAELLKINLDAPGKVVFGRDTRPSGHSLVTALTDALDATNTAYTDYKI LTTPQLHYLTRCVNTEGTVNSYGKTSETGYYEKLGEAFVRALSGRRASGQLIVDCANG VGGPKLTEFLKHVPKETTGLDVRVVNDDVLHPEALNLDCGADFVKTRQKPPASPRPTP GVRSCSFDGDADRLIYYWVDPEKGFFMLDGDRISSLAASFIGDLVRSAGLEEELRIGV VQTAYANGASTTYIEKHLHLPVTCTPTGVKHLHHEALKFDVGVYFEANGHGTVLFSPH ALRSFRETQPQSPAQKDALDTLAAVGDLINQTVGDALSDMLLVEVILAHKNWSLRDWA ITYSDLPNRLVRVEVPDKDAFRTTDAERRLTHPSGLQDAIDQVVLKYAKGRSFARASG TENACRVYAEAATRLEADELANKVAQLVKQFG
SAPIO_CDS3066	MANERMEVDIAEEKLKAMEHSEQHYFKSYDHHGIHEEMLKDEVR TKSYMSAIVNNKHLFKDKVVLDVGCGTAILSMFAARAGAKHVIGVDMSTIIFKAREIV KVNGLADKITLIQGKMEDVELPFPKVDIIISEWMGYFLLYESMLDTVLYARDKYLVPG GKIFPDKATIYAAGIEDGEYKDEKIGFWDNVYGFDYTPLKETALSEPLVDTVELKAVV TEPSSVLVLDLYTCTTADLDFKVPFELSCRRDDFIHALVAWFDIEFSECHKPIRFSTG PHTKYTHWKQTVFYLKDVLTVSAGERISSTISVKPNLKNRRDLDIAIDYKLETDDPTR QAEGHCEYRMC
SAPIO_CDS3067	MAETSPPQEPTDSAKAAPLAVSHLRVESAYPMERSMSDNIREER EDLREAAEQTLNVIVDLNLDGTIKWVSPSWADVIGTQPDAVQGQPLCDIIVSDNRTVF SDVVESMKKDDSRSHRVRFAVALGPLSKLLSLDDLKDGVETTSPQVIDLEAQGIMVYD GASGGESHTMWMIRPWTAPREIQIEMPAVIVDSLGSGAQVLASYLTQLAESGIDDPEL HPPPPPVLCRICERQIPPWWFEKHTDLCLQEHRAEMDVQMAQEGLTEHRHSIVKVLDA LEVRRSRTLTGDLASLLLPAAEYKGLPIGPSPSNQSSPSTSSATSRERTGFGHARGRS FAVRRPQARIVELLVDLCDTALEISPPAIKESPSQIPGELRTQSPQSESRISQVMQWQ SPSTNTLEQEQGLALLCADTERVAKAKVEAVVRQRRIIEYAERIRVEFALLVQDCIDE ALRKAARIAAGRLSDSAEEEEEDMPPGQDDSFFDNSFNDPAALAEALQNVDLNNDNIS RTRPTSFIESVQSYSPQECPTPTSNMGGFNTVLPMRDSRRDSLRTSQRESLILGSEAG ESDGSIRSSSVASRPPQRTDSPISEFGGDLRRAASARRHHRRSLILPGTASPRRQESP SRGIQPPSSPLRIHKPRSFPFPNEGFASPEASPMLPGTEFSSPAPIPPPSLHHHRRQS SAALSEFIMKAPPSPRLGAHNAPPQARPTQPSIKDFEIIKPISKGAFGSVYLSKKKST GEYFAIKVLKKADMVAKNQVGNVKAERAIMMWQGQSDFVAKLYWTFSSKEYLYLVMEY LNGGDCASLIKVLGGLPEDWAKKYLGEVILGVEHLHSRDIIHRDLKPDNLLIDQRGHL KLTDFGLSRMGLVGRQQRALKSDNESVPDLLKQGPFARSASITSSRSTSLDLHGSHSP SLAPLATPGSGDPLSQPSYFTLGPAQPDSRRLAGQRSDSGGSENLVQMMGTFSLNDSE AGSQPHSAKSHADELSEAASQGSPDLSTLQNFSQQGGDIYGKNTPPQSSMMPPPMALF DPEDTNRRFVGTPDYLAPETVKGEKQNETSDWWSVGCIMFEFLYGIPPFHDTEAELVF ENILARKIRWPDETECEPVSEEAKDLINKLLCMDPSERLGANREGKFASGGEEIRNHP WFSDVNWATLLEDEAQFVPQTENPEDTEYFDSRGATLQSFAEEMEDQSSPPSSAAGSD YPERPHDALSRVRTQVNSIKRGLMPLHIPPHVRDHKSRRLSEPVPADDFGNFSFKNLP VLEKANKDVIQKLRAEALASQNKQGSGSQAGAISATSPGGPSLEGSPVMSNPIQRTLS NAKASARPQSPSGISHANSSPSRMSQPSSPLLVSFVANQGSDGRRKVSSTSSSLSQQS GLSLPPFEAARVPPTLQKAATTVAAASSPIKPRGTAPPPLALSPQKGGVSTPRQGSGS SGTRSRSLTVGSQSQEGSPIASETLVQRHNRRSQVFDMSPSSSDNEGEKHNALLRVQR RRQSSRRLSQIALEGTLVFRPLDVLICEDHPVSRMVMEKLLEKLRCRTISVPNGSEAV RYSTSEIKFDIIFLEYKLPHINGADVARMIRETKNANSHTPIVAITAYLKELQAPHYF DSLVEKPISSSKLTEVLRSLCQWKPPSPSQSISLPIAHPHPTPTALRQASLRLEDSPT SGSSIFAGRLSGSLVSSSREQSISSSLYGDSESISTDDIPAVVSRKPTGEWEESGGGL GIREDRILVPEGARPIPHLVTQQSAPGKLEVSRGAIEKFKSRRQPGEKRYSEGSTESA DDEDEELGLVRDKPFRKSNLPSSKLGIEMMRADSHDSVAMCSESTIEPVTQVVTPSNE LDVPPLSPREPKEAQTPPDLGIGREGSGLGISVEETPRPNPSAKQNVEDEEPTPRPTV KTG
SAPIO_CDS3068	MSPSPVTHVRGFTLLVPWVISLFLADTALSLLLPFKPLAPNLTY DFSSSIAWTIWRWIQMTFEVFNGAKITFSGDALPRGESAVVVANHVGWCDFYMIQALA VKAGMLSRARYFAKIQLRYVPFLGWGLWALDMPMVTRNWLKDKRELDRIFTGIVKRRW PTWLISFSEATRFTKEKYEQSKIWCKENNKPQPKHALYPRTKGFITTVQHLRQAPQVK AVYDFTIAYEHNGKFLDAPSMWDTLRLPGLTRTHGYKFHVHARRFPIEDLPTTDEELA KWLEKVWVEKGEFLDKKKAEWEKSRGVKQA
SAPIO_CDS3069	MSDPGAAYPSPPTTAEPFKLRNAPAGSNRKNHPPATLSMSSELY TGRDPVQGSSQGSAMDQGDDKGSSSLNLGFLKTLTERRKNRGITHRERKELYIKALED EVLRLKEIFSNVSNDKQKLSEENKQLKTVLAQNGINVGSSDDAPPRSASVGYTSSPSA SGHSYAHGSHSAFTPPLTSQSSAPSISPSTQVPPHSHRNPSPNPIMAGNQLRNLTAQQ LQANRGVDYEQAGIDFVLTLEKPCMHHLPWLLERSADAGGEPCGHALMASCPPEPFPE LTPDIPFGYTHVNGDLSSGQRTWELSKGDLATLLDLSKRLNLDGEITPVMAWGMVLGH PRLNELKPDDFVKLAEELKTKVRCYGFGAVMEEFEVRDALECVFSTKPEIMSF
SAPIO_CDS3070	MTAKNLVDLVDSCPPDDGWGCSVTSDITLNGVPYAPFSKGDKLS RVADWSSDSKDRDGRGRGQYGRNYRDQQVYGANHAVIFNAPPTEDEGSFSVVSNTRDT GKTRYGRGAVFTRGRGQRGGRGDRTSGRQTLQRSGRGGQQGYGYQGRQSAGGRGRRFG WRDYDKPARNRDASINVKAEWQLLEEIDFNRLSKLNLEADEGEDVESYGFLYYYDRSY DKPPVKGTEKRLTALDRAAYNVTTSSDPVIQELAEKDEATIFATDSVLSMLMCAPRSV YPWDIVIVRQGNKIFLDKRDNAALDMVTVNENAADAPMDAADGNKDTINQPGALAEEA TYINHNFANQVVLENESNKVKMPNPNPFYNASEDTDPPASKVYKYRKFDLSTNEDNPV YLIVRTELDAVQKNAISGEDQFLAVKALNEFDSKAPGSGGALDWRAKLVSQRGAVVAT EMKNNSCKLARWTVQSILAKADQMKLGFVSRANPRANDKHVILGVIGWKPRDFATQMN LSLSNGWGIVRTIADMCLNHGGNKFILVKDPNKPIVRLYEIPAGSFDEDDEDGHEEAE AEE
SAPIO_CDS3071	MPAPTALKKAQDAPPAAADIPLPEADFDEDLILQTNDTLPALDL MAVDADASVAEDAVDEEGRPKFAPAKDLSMAARVQNRQVRIPPHRMTPLKSQWPRIYP PLVEHLKLQVRMNVKRKAVELRTSKHTTDPEAIQRGVDFLTAFVCGFDVDDAIALLRL DDLYIETFEVKDVKTLQGDHLARAIGRIAGKDGKTKFAIENATRTRIVLADTKIHILG GYKNVQIARASVCNLILGKQPGKVYNSLRAVAARMKERF
SAPIO_CDS3072	MDRRLPDEIGRHNGGQVQSSVSKGSSPDTGAAAASQHGSDDEHH EVVEDDGNYTQFDLTIDTVNVTLSFLKWWNGKGLLKDVEIKGIRGEVDRRSVIWSDDD LDPFSYRHEHQPGDFELDYFKLDDLRVTVHQPEGFRPFEVCIYSCELPQLRKRWLFYD LLSANNVSGSYDGSLFTIHTPLVDTLGREENTFGNPAAWKKSTRLRIDNLRIDHLNRG VSGPFGWIYEGRVDIMADVMLPSDENTGLGKVVSDFYDQLDDLVTSNRIRLLRKAGIE DEFDLGITLPPNEPFERPGAHIPQELIPEKDLSEPDATEERQYLVFDIRIRLNDVKAA VPLLTNDISYVNQALVRPIVAYINSRRTYIPITCRFAKRRSDFDGSWTTFDSGLMDDL SAETYTAFARNVENQQNRIRRLKKVGGWTLSLLIHAICGGMAGTVV
SAPIO_CDS3073	MSTLVVPAKQLSVFGAPLSESPKLAPLRLPASAIGPPRGWSLGG ESSQPILVPEYLLDLTFVLDWIHGCSSTHSYCREKAIPLHDRPVDDIFLIDLEDGYRI VKGPPKASYITLSYVWAEEPSPTEPPVQVGEQIPAESMNQLFLDVSLVSQRLGFRYLW IDRYCVAQHDNKLKHRQIQQMRIVYRNAELCIVPLDEDAEHKGLPGISRPRVACCLKK KPTSVRRRSSSTAEPDTYLWRLRSASQGVITPMCASTASVQALIKTSKWWRRAWTYQE HCLSRRCLVFTDEQLYFECDMGICRCEADTRTGTEVPGIFQIMRPSPYSTIRIDNVFR AYREHLEEYSMKELRHENQALNALVGIVKHYQSLHPFLHQVSGIPFFASKSPSDKPKS SSEVVGGWWSEADEARMSHAVLPYSLAWAHRCMGWCESDLCPRPRDMFPKWSPLGWAG EVTYEWEGGVKFHDFQPFARDFAFKVNGCMVPYQGLYSSAEYARLSQFEKDTFSPRML SMKTISLPTDAIAKLTYNNSSFFWTIYDFPAEVSFSNRVESPKRVNKMLRTGELLALL LGDNSVCMNGSQPRTLLLIVGRPEYDSEEAAYICTRLGVLSVECHLREIGEYFAKGKR DFSKWDKFILQ
SAPIO_CDS3074	MILTPIPQQHTSSTFQNGRGRGIGVHRMLDRKCIWLANDPALDD WTYYNQSPSNTHPRPIPTPLAAPDPSPRWSRSFPYPFAPEEEPSSDLDQVMDPTNTGA FANHDLPSWLTSSSFPTPPKPSSTRNRRQTSSIFGDPSMSFLVDWDTRDGLREVAPKK KKKNAAAKRNNDSGDKKDNAGNGEDAGAGDTGSGGGQTGGDAGNGSGAGASGDGNDDN DKDKDKDKDKDEDKDGGDAPTVDDAWSTPVTSKKTKKGKKGAAVADTETTSSFLPDIP TSDFGTDSFQEIKLGDDVNGKLDLDFGTSTETKSSFGAWGSSWKTGISKSIWGFATGK EDEKKEEDNSNPWGAGRSKSQKSSVSFGAFGGIEEENADADGSKDNGSAWDDFGTAAT KDKKKKKKSSPWDDDPEPEKPAEDTIQDLDTSKNDDGWAWGTSSTKKKGKKTTFSFEA DPEPDVQAATEPAADDNWFTPAKDSKKKKKKNDFLDDFGVPDPPPAPEPEPEPEPAKV EDSWGGWGTTTTKKKKNGKGADVDAEPIAEPEPEPDKTSTKDDDQWSLGTSSKKKKKK ASIWDDPAPAVTNEPEKIDEKMNDLWATSNKKSKKNKILDLEPEPEPEFEPVVEPESE KPEEASPWSAPASKKDKKKKKSSIWDDPVPDPAPEPPKEEEAVADDWSTPSPSQKDKK KKKKKASIWDDPEPTPDVDAEAEQKKKEEEEEQERLRREEEEEAKRKEEEKKKKEEDS SIWGAATSKKSKKKKKPSIWDDLETSKAEEEKKKEEEEAERKRKEEEEEEAERKRLEE EEEAKRKEEEEKKKKEEDSSIWGAATSKKSKKKKKASIWDDMETSKAEEEKKKKEEEE AERKRKEEEEEAERKRVEEEEAEKKRLEEEERKKKEEQEKKKEESSIWGSTATSKKGK KKKKASIWDDLDTSKAEEEKKKKEEEEEAERRRVEEEEAEKKRLEEEEQKKEEEEKKK KEEEANAWAAPTSKKDKKKKKKASIWDELDNSKEEEEKKKKEEEEKKRKEEEEEAERK RIEEEEAEKKRQEEEEEKKKEANILATPMSKKDKKKKKKASIWDEPDNSKEEEEKRKK EEEERKKKEEEEAEKKRLEEEEEKKKEEEEKKKKEEEEASPWGATVSKKKKKKKASIW DEPDTSKEDEEKKKKEEEEERKKKEEEEEAERKRLEEEEAEKKKKEEDNDAWGAMTSK KDKKKKKTSIWDAPEPDPPKEPETKKEEKDDAWGATTSSKKKKKKASIWDEPEPEPVK EETKIEEEPPKEEEAKDDTWGTSTSKKDKKKKKASIWDDPEPEPEPKQEKKEEEKDDI WATSTKKSSKKKKASIWDTPEPEPEPEPEPEPEPAPEELPKEEEKTDIWGTTTSKKDK KKKKASIWDDPEPVPDPPKEEVKEKGEEKADIWGTTSKKDKKNKKKNSIWDDPAPEPE PEPEPEPERAPEPEPPKEEKVDIWGTSSKKKKKKNSIWDDPVPDPPKEEVKEKEEEKV DIWGTNSKKDKKNKKKNSLWDDPEPEPEPEPEPEPEPEPPKEEVKEKEKADIWGTTSK KDKKKKKNSIWDDPEPEPAVEEKPKVEDKADDIWGVSTSKKEKKKKTSIWDTTEADPV KNEEEKKESEPKDDIWATSASKKTKKKKGSLWDEPEPEPPKDEEPKKVEEDIWSTSAS TKDKKKKKKTSIWDDPEPEPPKEEEKKTEEPTDDIWDTASSKKDKKKKKGSIWDDPEP EKEEKKEETKEADNLWAATGSKKESKKKKKASIWDDPEPESEPLKVEEKEEEPKADDI WATSSSKKDKKKKKTSIWDTPEPEPEADPIAEIEAKEEKKEEDDPWSFGSTKKEKKKK KASIWDDPEPTPEPEPTKTADVDIWATSTKTKKKKGLDKTGDDDFWNTLGTSKKDDKK DLFEDDVAAVKASTDPFDFWGASKNDKKKKGKTTTEEPPKVEETKADDPWDIWKAPKK DKKKKQDLISLDEDPPADANVVADTPCQPDVIEEPADESKDKKKKKKKSKDAPVEVAD GDDLDSVQPDAMEETKDKDDKAEDDEWAFTSSKKSKKSKSKKDAEIPPVPTPPTLEPD EPEDSSKSKSKDKDKSKSKVKEPKLSKKEQEKLEKEKEKERKKAEKEKAREEARLAEE QAAREAKEAEEQAAREAEEQAAREAEEQAAREAEEERAKAEIAAEEAELATLTTKKGR KKLTRRDQEKFDRLTEKANKRAEEAAAKEAEEAEAAAAAAAAEAAEAEAAAAAAKEAE EEAAREKEAAELSKKSKKSAKSKSKDKKSKSADKEPDADLDDVELTPEQIEELLADEP AAAAAAAKDDAFDIWGAKKSKKGATAQDMDGQSTSRGAKSRKAVGGKIADKLKAFEVV DDEEEFIDVPPPPPPPAPPSESKRKSKKSKELPGSFPTDVNEDEFVEVVDSPEKPKKS KKSKSAASAPPPPPPPAPEEPPVPPAVPDAPVLPSSESKSRRERPKINRDGSSSWNMW TAGTPRREEKRSSKSKSDKSKRSAPEKEEKSSKGSSSDKAERVPKTPAPKSRSTGMFS TPPITRSTSTRDKKRSSTKSSRRHSVDVSSGLMSPPPEPAMSSKAAKILGVDQSNPEK SSRHRSRAKPDDDVVMTDAEFVERTPMKRSSSSAKKSAFSGLFTSLRSTPATGKSDRR RSVYAATDDEGRGDRNGKAVDDGEMTDAEAEAQARRARRAARRAEREREAAERAAEEA RRAKDAARRERRRKQEEEAEAQRQEEKEARRAERRAARQREEAERRAAEEDEARRAER RRLRRERDAASGGDGDRRRRHRSHTLETSEEDADRRRRREARRAAREGDEHHRRSHRR TEASGDEGVYRQSSRKLSKSSPQSSWPHSGTSSWVKEHSDAPPPPEDGQMEGSHQTED DDSRRRYHRGDADEQRRRRHRHEERERERRGGSDGSDERRHSNRNSLFMDTTPRSSWW RKLTGS
SAPIO_CDS3076	MDQQRQRGRSPSAGHQLPINQSPSLAPTVYPPINDAPIGLGLDL DQSNFPPQPQLQLQHQATSSADYSYTTNSGFLTPGHQQTSFNPTQTFAPPTTDGNLAF TGQAQGSPYLAPSNFSEAADFSLFPASNQQADQFDQPLFEPSTLNPSDINNMSSPQIN HSPTPPHLLKPEPQGSPSFSHHQHFSSPPSNHSRNASLGPEAALLPNQLNEWTSQPQF QTHRRSPSEYSDVSSVAPSPSLVSHDTFDPVDGGHSPMQRPQDAGLYQEVLQIGAFSL SDPQHSPNLQGRSPSHSPAISPRILPSQGPELGHPSGSFGLAPPQGFHTPAMPSLHGG GEAFPSFQHPSDLPQLAPPAINIDLAPPASSRAGFDPPRTTMDADSLTPPDTRGRARK RAVTDPYSAAGGIMNRSSLSPGTLSPNLMPDSRSDTSRSLSPLDRQTTAASRRRQSTS AVPNNVMALRLADPEYQSAQDSGGPKRVQKHPATFQCTLCPKRFTRAYNLRSHLRTHT DERPFVCTVCGKAFARQHDRKRHESLHSGEKKFVCKGDLKSGSQWGCGRRFARADALG RHFRSEAGRICIKALLDEETLERQRQWQEQRMQQNMAQNMAAQSQMMTDPMGGYPMDP NAAYELPAAILAQYPALAQVSWAPGDMGNSSNVEEDMGGRSSFDASEYDDGEDTGYIS SHSGGFGGEGSIPAQGYHEMGYASDYSQGAR
SAPIO_CDS3077	MLATRANARLCSARQIGQIAKSVSMSPAPLLLASRPLLGAHHDF PSQARNFALFSIVKDKVPKKDLASTRHTKPIFPGPVYTDKELLGVEVGHREPETLADS LAWRLVRGARKLVDFSTGVTDKKPLTTAQYNTRILFLESIAAVPGMVAAVHRHLRSLR RGARDQGWIKTLLEEATNERMHLLTWMNLAEPGWLLRLIFIGAQGVFFNICFVARWIS PSFFHRFVGYVEEEAIHTYSRLEREIANGQIEGWSDPKFPIPDIAVEYWNIPQDRRTM LWLTRYIRADEAGHRGVNHTLANLDQQTDPNPFEPDENDPNPTIPNAVLRVKGFERSE VIEDLHTPHKGEKDAAAH
SAPIO_CDS3078	MAGETNGPTLLAASWVLAILAFILLALRFYCKLSRGRPLWWDDY TLSVSWICLFGTAIAATLSVHYGLGRRTTKLIQTGPENLTKISQLSIPGGLLVVLATV WSKTSFAITVMRICDGWKRAFLWFAIISMNLLMITTGILLVAVCPPLEMLVMAAGGPQ RKCLPPKVNIVFGTTASAYSGVMDVLLAILPWYLVWNLQMKIGEKIGVALAMSMGVFA GVTAFIKCTYIRKLGSGDISFDISYLMIWGAAEAAVTIVAASIPILRVLIRDATTQYY YGSRTHTQRGTRPEDESGILGSDQRGKQSIAIHSSSSAHPSARREMENDSIASSDDDK TRPNINGGGIIRTTHVTVETKRIGSEGTSDYELDNLRG
SAPIO_CDS3079	MPPRKQWDDEESDSSSAPSTPPLPATRRANKFDDEEAEDSDVLD DWDAAEDSEVEREKEKKAAEAKAKAEAEAAANKKSKAQRIAELKAQRAAALAQADEFD DETEAERRARLRRTEKESDLKHAEDLFGDIGVPAGRTATNAGAAVVIDSKDPNNTVNL NTLPLFNPTTKAQFETLRNTLVPLIGHHAKKPHYTMFLQEFAKQLAKELPSDQVKKIA STLTALSNEKLREEKAAEKGGKKSKAQKTKTSLVANRPNEVFATYDDDFGE
SAPIO_CDS3080	MKLAVSLTLASLALAASDDLRDLPITRTSTHLYLNGTEWKAVGP NVYWIALDENVVPPEGEPFYAPKKISYPQPDRVTEVMRIVKALGGTMIRAHTLGSNTG SPLSLMPEAGVINDEAWESIDWAIYQAREHGIRLMVPLVDNYDYYHGGKFDFLRWAGH DLSQAKDSRNPAMMAFYDDPTIVASFKSYVTTLLTHLNPLTNLTLAEDPTIFAIESGN ELLGPEWGDMNCPAHWVREIARHVKDLAPEKLFVDGTYGVNVTHFEVDEVDIFSDHFY PVDVGKLKGDIAKLEAADRPLFVGEYGWVGGNDPGAGGDPLPEFFKVIEESDSVIGDT FWSLFGRNFPDCNDWVEHDDGFTMKYGDPTNSELTNSRIKLIRKHFTKMSRGEDISID EDLPTIPCPLEELD
SAPIO_CDS3081	MASQKGWARRPWFLFALALVLVLLASGAEASVGDRLPAFRECVR QCKETKCVAGKDAMQIPLYRRLLLWDCPAECDYACQHDITARRIISDQPVVQFHGKWP FFRILGMQEPLSVFFSLGNLWAHASGLRRLRASVPPSYRLLPFYKLFAYFGITSWVFS SIFHTRDFKFTEEMDYFAAGASVLYGLYYTAVRVFRLDRPTRKIKSILKSWTVLCCCL YLAHVAYLKGVRWDYTYNMAANVVVGLLHNVLWFWFSFRRYRLSGEPWTLLPVVAVTW ISLAMSLELFDFAPLWGSLDAHALWHFGTIAPCVLWYRYLVMDALDDLGGTKKFIS
SAPIO_CDS3082	MPTTIPLGTIKPIYADDRRGQPPQLKRDIYLDIGDVDDSASETA FSTTTDSQASTAPTTNSASSGGGRRRRRRKRKAASTGGENGGKPGNGAQLAKSLATPA PVPNLGNAKSRSARLHIGLNLDVELELKAKLQGDVCLTLLAESKQSPRPSPPFNPDAD GNVEHELFHAHIGTIQLRQHWLQREISPSLTAAVMVLLVTGGFFLGVLAPHLVALIPE FTVPRFGLSWTVPWSDWV
SAPIO_CDS3083	MPQPTLPPTPASSTDIKGKDGTKDITSLQMAFELPPPAMASASP ARESRGQSSRTEYPVQPSETVKSRRRSSAANKEAKVPFELPPPPTRSRKIIQMKPKKQ EEKEDVSEKTGTTAKATGAGSKSTAASSASSSSSASTATAASNATGAAGGSKKKQPSA TSAAGRKIARKTAHSLIERRRRSKMNEEFAVLKSMIPACTGEMHKLAILQASIEYVRY LEDCVSKLKAEREEREPSESSEHSAVDTPTIQETYASDTRHHHHHHHHNPHHPQSQQH VYHPGHSNRVEDEPADVEMTGSTVPSPTYSNAPSSHRASISPALQARDGSPRRESYSS SVSAEHHRRFSYATSATTSPAFGPQTAFGYGAPGTLPSLSSALTSPALGPQGDADHEA TAALLMLNTDRRGYFGQRHLSVSQGESGPKPQPQGGAGRGMSVRDLLST
SAPIO_CDS3084	MSTIGTFKIPKVANEPNHHYAKGSAQREGLTAAIERLQSKAPFD VPVVVNGKQVRPKNPPDAPRLAVLTIPFAFSQIKTSAIRSQHNPAHHASVVARYSTAS PADVSKAIDSALSAQAEWASLPFADRAAIFLKAADLISGKYRYDLMAATMLGQGKNAW QAEIDSAAELVDFLRFNVHYAQEMYAQQPEHNTPGVWNRVEYRPLEGFVYAVTPFNFT AIAGNLPAAPALLGNVVVWKPSDSAIASNWLLYQILLEAGLPKNVIQFVPGDAEEVTR TVLAHRKFAALHFTGSTAVFRKLYGAIGAGIAEGRFQSYPRVVGETGGKNFHLIHESA DVENAVINTVRGAFEYQGQKCSATSRVYVPSSIWPEFKERLVEETNALKIGNPWEHGN FIGPVIHEGSFKKLMGVIEQAKSDEELELLAGGKYDGSTGYFIHPTVYLTKNPAHRLL STELFGPVLTVYVYDNQSGDSTQAFLDACDLVNSTSEYGLTGSVFATDRQAVRAAEDK LRDAAGNFYINCKSTGAVVGQQPFGGSRASGTNDKAGSQNLLGRFVSVRSIKEEFNAT TKVTYPSNEV
SAPIO_CDS3085	MATPPSHLPGETPDSNVNENNPYASSSQWRHQESSAFARYAGAH PHSHSPLGAPRDGVSSGSTGALADFFNANRIETAGNGNGGAAHVPIVVPAQENGDATQ KLEAQADGLEVVCGPLLNYRGMVDNRIWRGSALIVVKGGGKVPPAAPALLLRRVSAVS PHSFVAMSGPDATPEVESPPQISGAITANTIVAHSESPPTVIQGSCLNSDPRCTFFQY DIVVGIEDAEVKWEYTVPDVRYSSDTKPRQNSFFVPAITESMRSMFFSCNGFSVGTDE AAWSHLALWNDVIRRHNEAPFHVMIGGGDQIYNDGIRVDGPLRVWTDIGNPRKRGKFP FPDNLRQECDNYYLENYISWYNREPFASANGQIPQLNIWDDHDIIDGFGSYTDHFMKC DVFRGIGGTAHKYYLLFQHHLPPPPLTYTTDVVETTLGGDARQGFDPKQVENAFVAPQ RTTQEIGYIVGPKPGPYVAEHSLNLYARLGARLAFLGIDARTERTRHQINYPETYELL FDKLSAELVAAKESGQPISHLLILLGVPIAYPRLTWLENIFSSPIMGPMKFLNRRFGF GGSFFNKFDGSVDLLDDLDDHWTARTHKAERKYLINSLQNISATHNLRITILSGDVHL AAVGRFYSDVKRGVDPLADQRYMANIISSAIVNKPPPAPVANLLAHRNKIHHMGHKTD ETLLSFFDKQPGGKKKAGKNNFVTMPSRNYAMITENSPTNDPELAGDGIVTEPAQQQD PNQPPVEETRPKSHHSSKSDGRFALHDGEENAGTRHKAASSQHGKGTDGSLDICIRVE IDQHDAQGKTQGYGLTVPVLRYVARPASSSASSSSSQSGQSEHQG
SAPIO_CDS3086	MAIELDQTPAPHTELVNYIADHPEKPMVEIMAPYRKYESQLRTI FAQDRQDPRLNDPYINVLPLFTENTKRITTRARDLASESQEEKDKYIMALPEDKRRAH GSPATVSSLKEFQKNFGIFSENSLADLDWSNVVAAGSSVVNCLLPVPAEFNTSKRKLR EYYHEKFCPASDVDLFLYGLNEEQAIEKIKQIEQAVRDAILTEVTVVRTKYAITIASQ YPTRHVQVVLRSYKSISEILTGFDIDAAGGAYDGNQVYVTPRALGSFITQINQIDLSR RSPSYENRLSKYSHRNFEVYWPDLDRSKIDPTIFERSFQRTLGLARLLVLERLPTSSA RETYLNKRRRERGRPTVYFSRARLRGNIKDNYEDEVADWVDESEVSNYHTFTVPYGEK FHAKKIEKLCYTRDLLLNAEWNQHKKREVYLHRHPAFFGRVEDVIEDCCGTCPKPVTP EEIEVAKKEAEIYISGKVKLLIDDPGRQQIGSFNPLTADDWTDMAYVGNTARLCQSIV DSDVDEVLAWLSQPGADVNRRDYTGRTPLHLAVVSSTPDVVKCLIDHGARLTARLADG KTALHLAAERGIPEMIKLLMEKSIENEEAEEEKQDAKRQALRESQEEKKPAKAASKSE ESEESESEEDEDEDMDMLEDAASDDDGAHSFATGSFVKVKSDAEVDRIKQLEENNNDE PDFYKIDVLAWDSPCSPLHLAIASGHEEVVKTLCDYGGDALLPIKFLNSEREPVAAIL TLTLALSLPLEKAKSMARLLLSLGATSSQADLNGCTAFHRYVESGKKGMIDTLWEEDR TGVKTAINHLVVGSSYWNPSAISPLHLAIVNGDSTFVLKLLEAGANPQVDFETWLKAA KFSPNLEKRLGNFENNMGMFEQGTTQPLIAALQNCTDPETAIHLLEKGADPNAVPTST RNIIKNEWNRRYTKGESALDIVQGYIKSLREYKPPSLNHKEPTPIPGIDEYLDNFMDG SYQHWVVSRAIRSAKKTQKGALEWYQKDTCKLNTAKLELIEKQTEVVKEALAGYERLE KVLLEKGAKSFKDLYPDIVAQHPGLYVPPEQPAVTAEKQEAKPFKYEFKFTNVTDVTE ARKAAYIQLFEAAWSGNLEKIKSLTLQSWDEEQTEPPLKISVNDADMNSPFSLAFMLG HYDVAWGIAEVAHAQYSPPEEEARLYEMKRDDEDDECYSDDDSDAYSDQSNDEPKIVS KTVDKKFTIENIGQISMKVKSRTKASEMINWSVNTFTLKDGEPDPESFNRQPIWDFLM EKQVEDGVFKRFLDLCIHFGRKKFDNKLGSDEPSFTFPEDTFRKLVEKGRVKVLAEVI KRTGAGIPLDHLVKKTGVEVKEKPRFYQGLTVYGKKRKDWANAGRNMYVKSSGLRTPP LLHAALGGNLESVEWFLTDTPLRLYTEFSQSKAAQEDGRFKHLTTTTGGFENLVSKWL GNESKDRLISRTSSGQGIQILIRRSDDLVLHCAMLSTETEDALKMVKYLIKGCPSALE ARDAYRNTPLLTAALFGRVKYCKLLIEGGADQSVRNKFGQNVIHCALRRGPKADQLKP FLELLDQELAAHLLKQRCLLESGGLTPLHGYIDELTQEGYRWDSSPSPRNHKAKEAAD VIQLLLKYSKGVELELLNAAGDSCLHTAVMRSYSWLTKLLLDARPKLLYRENAVGRTP AEVARDKIMAQRFAQPDALSIPTSNRNDKWVINTITTKVSAEKRGKGKNQEPNKDKVW EICSAVAKRYPDKRRLVSLNEASDVAKRLGEEHTSSRYFTVQPKADDSDDEGAAEKKK DDDTDFVINEGKGRASSSWTPPEGFKSALDKRKENNEPVCKGCGQYHELIDASDSSSS SEEDGDSDEYTDY
SAPIO_CDS3087	MKFIAVTLALAAAALAQDTPSTRIPECANSCVTQATSGNKIAGC NQGDIKCVCSNDSFLDSIACCLVDVCDEAGQKAAVSFALALCSGAGVSVPSEVVCKTA GGNSDSASSGAPASGSATESGSAATGTTSGAAGSTPSSTESSAPTSSGAAGVVGAPVG GLLGGVLAALALL
SAPIO_CDS3088	MPPIAPNPSSPSRPDRNTSAGATMSWGILESKSLEKVPGTTRYF DDLERPQIADANTLLKCDRSGPVPIILVPQPSDDPNDPLNWPIWKRDTITFILSMSAI FATSLGSILAADTIVMTIIFRTTFTKVALMTGYFLLGVGAAAVFFVPSARIWGKRHAF IFGLILLIASSAWAGSAREFKAPGLGKYGSFIGARVVQGVGCAPFETLVNAAVSDLYF VHQRGIRMAFTNLAVFGGAFFTPILVGKITESLGWYWAFYFVAIFCALCLVLVFLFCP ETAYRRDASLNTDMEAEVRHAYDSAQQSPPHTETRISGGTETLANNIPTNLDPAKAEV AGVATSFNPARFIPPKRTFAQSLAPFNGRKTDDAFGELLLRPFALVFHPAFLWACMVQ GTMIGWTVFIGAIIASIFTGGPYFWTEVENGYAYAGPFVGALIGFVVAGLGADFSVKW LTRRNNGIYEPEFRIFLTIPAFAAGCAGLYGFAITASQLITGKYHWIVPIIFFGLQVA GMVISTVSSSLYIVDAYRDLAIEGFTMMIIFKNFFSFVLTFFAFDWVTTGMGIKWTIV IIASIQVVIFLTSIPMYIYGKRIRAWSFKHNPVQRLAVMYAPFSRWFDSTITPRINHL YNQSSASKE
SAPIO_CDS3089	MTSKKVSALKFVGTVSVGLLTGVSYTLSTLAAPALLTLPSATTA SKTLTSLSSSSATHLTTLSALSSSAFALAFVLSPRSSRHPYLLYTSLLAAASAFAPRL APFLLGRPSTRPAAPAPAASSSPQRRRPQRSMEASYEVLGDAHSDGTVSGEEVEEDQA AQQQQQQNGEEVRAQVETFLKERLVQTSLAALSFAISIVGIWGDGASEVIRGETIVFG L
SAPIO_CDS3090	MSIPESEYLSPVWKDGLFNGRVVFVTGGAGTICSAQTRAMVRLG ADACIIGRNVEKTEKAAKDIATARKGARVIGIGGCDVRSYESLASAAGRCVKELGGID FVIAGAAGNFVVPLSRMSPNAFKAVIDIDVIGTFNTVKATIPHLVESAKRNPLPSKDG LTGGRIIAISATFHYTGMPLQGHVAAAKAGVDSLMASVALEYGPFGVTSNVIAPGPIA NTEGMSRLSGVGDDVMGKRIPSGRLGSVRDISDATIYLFSDSGSYVNGHVLVVDGALW RMGGSVGVGTEIGVQYPDFLFTGQIAKGLAGQKGSSSKL
SAPIO_CDS3092	MQSWFLTCLLASCLLFFKPAAAAQIAAFDNCLPDTYKAHDPTPL QWQPLYVDAVFDTQNKSHTLRVTTWGNVTGSYNKVALPAPGDPNWSNPEYTHGKIQQE PNPDMENRRVTTLITKVNVLTYEPFNDASDFCKDSLINGTCPLAPIFDTSILDLPYGL PAMSFSHSFFSSYSFATFSATFLIIYGDLENTNIGCVSVPITPDLSGFAWMLTFLPFV ALIFVGAATVFAAIYSPWGTTDIFMWSSNHGRDVDLLRLVTPGFGDCLQYIQFAALTG ALSLNYPGFYQPVASQVAWSSLMFNQSFVSHGPPYQSLVDGIYVTNSTRGLTRFSQLV GMSEPKDVWAGMMVWLLVIIGGVLVLTQLGFLVRRVYRLVRSIPKEDLRSKNVPFSVG NIVRIGFNYFLLPIVSLSTYQLVAAGESPAYTVALSVVTLAIVIGFTVWLLRIITQAR PRSDLFDDLPTVLMYGPLYNTYTDEAAGFALIPILLGILRGIAIGGLQASGIAQIAIL ASAEVVQLLALVYFRPFHPQTSMNAYHSLFSILRLVTTLLMIAFAPPLGVTEGPKGWV GYVILLIHGGVLVFGFFLNALQTLIEVVARLLGAGGDDMRGLTRGGLSKIFGMRQLSR RMSRRHHGVSRQSQLSTTAMLDAEQASKTGYVMPGGRIRSESVASIGGLMNKQHRSSS ALDSIDIYSGAPASSFTPTTPGEANPFSFLPSPTHGTRPAQGAMADNTMEPYYYRPPR RRRETITEPVAGNANAPSIMEPKRYSQTGGTLGDPSDLDATLSRGATPAPHGSLPINL APRTDYTTREVDFYYGVRGPALNSERPGRKLGTGPADPTGPVATAQGWLRSLFSGKTK DKGKGFEVVRSARMPPAMRMQAGLGADPASGGMPVAMSNLKNGPADSDDDEDDVAGPA KIRRARSPATLLNEDGEPQDLDAETAALGDLDAPDIPRKSSKRHSGVLDVSGAPSFNL IPPGTPPDSPRRHSHDISDGPPVLSRLPFERTDSTRYNSSVSSMDIGDDFTQIDLHRS KTNEDEPTSGTVPQHSISRVEPDRQHVDLLGSSAELIDDQYTSSHGGSVDGRR
SAPIO_CDS3093	MATGVDAKLLKSTRFPPEFNQKVDMQKVNLQVMKKWIAGRISEI LGNEDDVVIELCFNLIEGNRYPDIKSLQIQLTGFLDKDTATFCKELWKLCLSAQSSPQ GVPKELLEAKKQELIQEKIEAGRAAEEARQRREEMDRRDRELANTRERERRDRFRGRG DGWRGGRRGDHTSDDIVILEMLLAVEIGTFPGVVEVAAAIMVDGGLLLDLARGPFHTV LPHAPVLGARPLAHPVDPATVPPVQKGVARLGRGQRAEEGEKATGSGHDLLVAPLIGI PMRREEDVLPGATLTDPVLPHAHLLGDRPDRATATLHHQNGDATQHHHPYPLVRNRRL VVVDMPVADLRADHRAVSPRQEDGDAVAEADPHLTRPMIVAEVLAEVDATATLGPPIA ARRDRLQAVDLGPDPDDEVFPHGLTGPGANLIEVRDNGNGIHSGDFHALGRRAHTSKL RTFQELPHVGATSLGFRGEALACANTCSEVMIITKTAQDSVGHCLRLNPQGGGISELR TASTAVGSTVQITRLFANLPVRKQMALKTSQKALSDIRHLLQVYAFARPHIRILFKVP SDNKGSWSYSPGIPSTVQEVALQTFGKNLVNKCQFVKATESASCQNKRLVAELTASEI SQIEVEGFLPRKDADLSEICRKGAFISVDARPLVSSRGTPKKIMATYKRYLETCQGNR VQRLTSPFIQLNIRCPPGTYDVNVSPAKDEVVFTDEPRVLKVVEELFQSCYAAEENVG SPVTAGHDLSSEDIIALESFAEDDDKLSELIEPLNTDSSGPRPSKDTANENGQKGDAD ALGVSPAHACLAWAVDMSIDLDDDTDEENSMVHNVQRLSVIDQLRRGSAQEGKDVGRA SSASPGNGLDTNGRQSPIGGPKASVEHSAHSPTQQTSTVNPWGIACVSTEVKQHEIDT DSTDLGFRPASVENPICADPAQTVGAFPFKTRDFHADVIGDFSGYEHLTPPPMPRSLQ GHQKLQTPPSSARRAGSGNRGFSKPFKLPTMSHPKDRYPNGRGKERYGEPRTQHQPAG RGHKNPSLDTAFSYPLPITELVGWPTLLEPTILGLPDHFLTPEPNPSQLVDNDTSPGR RARSPSLIASMKRGRSSIAQSAGDPVKEEDPVGPSTAPDIRDFLMQRPRSMSRDKKDG KRALRRVRSNLLPLESVELGKEMHTVMVRETINIDILQQQVSTMMGIDSYVASGKCQL GLPNDLASATDIQNTVQRIFGEWTEFQTGNWCDLKLDLRSQLKGKSREYMATP
SAPIO_CDS3094	MDNLHAPTIPSGPSSRPAQNGQSIQRTMGELQHKKADIEAELRA LSGVLDSHGVDMNTPLLTRDGFPRADIDVAQIRTTRSRIIHLRNDYKDLMDTIEKRIH EHFASLPEESTATPEPAVRDGPPAAALRDYVAPATLMEAFAKVNTVADGGPAAAAGLK PGDEIRAFGYVNASNHDDLKKVAECVRGNEGAQLIDL
SAPIO_CDS3095	MAAQEVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYMATLGVE VHPLGFTTNFGPIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNW HRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFL WLARKLVGNPALEFVAAPALAPPTAQVDEKLLEQYRKEMDEAAAMPLPGENSDDEL
SAPIO_CDS3096	MWRRSLLLLPILRVIIGADAADNITWDRGPRLPAALRSSIREET FEKRAEDSLTELNVTDTVRLRFKEPNICETTRGVRSYSGWNQESNLLFLSQPFGVGFS YESRDSGQPLSPYTNATLVDRTEIAAATAWHAVQALLYELPQMGSEVKSTDFHLWSES YGGHYGPAFYRYFHEQNEAIKAGKLSGVELNLKTLGISNGIIDAATQFPSTDQNLITT EALCSQAANMCRDNVEGPYYSYSERSMYDIRKDSNQRAYPDFFVKYLNTRETQDALGV ALDFRYEDSSYDVYLAFQHSGDYAYPGFLEDLEFLMDKGIRVLLIYGDADYIGNWFGG EAVSLALNHRQSTFFRATPYTSLISGDKVNGKVREFGHLSFAVVYEAGHLISVDSPGV ALDLFRRAAWGRDLATGEKTLDELVEIPLTPDAPGVPDESGDEEEDEEIVDCWIGEHV G
SAPIO_CDS3097	MASTDTTPSSSFEFTAGHTMAAESGQTTPYNATPSRAVGELTVV KVDPQKHPKNKRKRTQYGPLPAGDKAILEAAYQENPKPDKIARLEIVKRVSLNEKEVQ IWFQNRRQNDRRKSRPLTPQEIAALRCGGIQIISELSLTKSTVLPENPYSPIPGAAVI PSNHASPVLSQASGSPQLHAHQVGSDGNQSPHADSEVKGMEIPRALDRTPPPASADGP PAISQSFPGASGFFANRWNSSNSFSTPSALANCASEDPAKLDSLAPSSCSSTRSDSNV LPRPSRVRLSFSLEGKAEIVSNETSPPRIQAERPMSALPPLPQVRSRSLQRSHSALPS VTLPPISTLTGTLPPPRLVRGRSRDVNAWELCCDEDAPDDLTKMAENEANGSALAAIS LLRSSGSILQPSGSKRNAPLSRHSQRSQLSKKPRLSRSHSSISRLSSGRLTEIGKMRD ENDEFPEKDVPSKKLKVSMLVSPSGDSDKENWSPDEDGNPRHPFSRVVGRPVPGATHP HDPSKADRHLLEQRAQALLPGSRANTGPLHRRGIKLGDEAVNIFEDGNTRRSRIPEDE VERFMRGDVSPSKKGDADCVAGLLALSQGNWR
SAPIO_CDS3098	MPISRSTVTSPTALHPALSNPPTLPKPEGYISSISDRPKTSSAR LGAPPTTFFPSLHAEVLTRHANAESPRPTVPSGTSSLSGLPEPSEGVTVADNRPQGHR RNNPSHEIPRQTIIKALASVARNNKPEALSLPGMLSAHSMVDNRPGTSSSQQLADALS GLAASQNLTPTLSTALPASLQSPCFYHQRFDDAVDIDRVLEEIKNDQYMSHSRLVQTA TGVREVAKQLQRRPIKRAVRNVMIVTKARDNQLVYMTKELALFLLRTPRYGSDVGVNV YVDAKLRNGKRFDAAGILAEDPRFEHMLKYWTPDLCWARPEMFDLVLTLGGDGTVLFT SWLFQRIVPPVLSFSLGSLGFLTPFEFERYKNHLDRIMGSEGMKVNLRMRFTCTVYRD GINHQTEEAEQFEVLNELVIDRGPSPYVSNLELYGDDELLTVVQADGCIFSTPTGSTA YSLSAGGSLVHPDIPAILLTPICPHTLSFRPMVLSDTMALKVSVPRNSRATAYCAFDG KGRLELRQGDYVTITASQYPFPTVARTDTEWFDSVSRTLRWNVRAATQKAFDPSAGDK EDLDNDCAEWDIDTDSACYASEEGSVSASPLRRQMSLLGM
SAPIO_CDS3099	MAPTNAPAAEIAPLPNADGSVIFSYAGYKVVAAANGPVETLKRE EYAFEALVMEVPENEYENGKAMRYNINLPAIPALLHAAILALLSGAVPLKGIATAALL IVPGDSNDGKVIVDPTAVQIAHARSVHVLGFTSEGDLLLAESEGQFSLDEWDEVVRAA QKVCLQSPDEMSVDEGESTLAYVKSVDMKQFIRTVMASKVAADLHWKISV
SAPIO_CDS3101	MSGPGVGFEYPPQQVTWLQRDALLFANSIGVTPEELHFLYELHP NFAVFPTYPIILPFKGATQEVIDFYASQKATPIPGVPKFDPSRAVDGQRRMTFLKPLP TSSEGRRFEIRSKVLGVYDKGKPGTVVDVQSDLVDAQSGEVYNRVVGSAFYIGQGGWG GPKGPASENFPPPKDRKPDAVVEHQTNLGSALLYRLNGDYNPLHATPEPGKKMGFGGV ILHGLYSWNSTAHELLKVFGGSNPANIREYQARFASPVRPGDKLVTRAWRTGTKVDGD WEEIRFETQIEGGKVCLSNGRALIKVVGSGASAKL
SAPIO_CDS3102	MEQINILNASDSAVVANACQTYGISTKEFTELRSLSSAAKGKAY CPYSQFRVGATVLVDTRENESAEGPSASGGTVSEYVSGANVENASYPVGTCAERVAFG TAVVAGMRKFRAVAVATDVSPPSSPCGMCRQFMREFCDLTTPIFMFDKDGNFVVMRMG ERSF
SAPIO_CDS3103	MAKLPKITNTYFVAMVATVGGMLFGFDISSMSAIVITQQYVDYF DNPHGIIQGAIGSSLAAGSVVGSLMAGPISDKWGRRDSIMFACLWWLIGTAVQVSTNG FGSLIAGRILNGVCVGITSSQVPVYLAEIAKRERRGRIIIIQQLAIEWGILIMYFIGY GCSFIKGTASFRTAWGTQFIPCVFLMLGLPFLPRSPRWLAKVGREDEAIQTLADIQAG GDREDPLVIAEWQEISTVLSVERESQKGWRKFFLKGMWKRTMAGVSVQMWQQLSGANV IVYYIVYVFQMANLTGNINLISSGIQYAVFIVFTTIMFFFIDSSGRRGLLIYGALGMS VCHFVVGAMLGAYGVDVPEGVGGNANVVIRVTGSPAHTVIAFSYLLIIVYALTLAPVA WIYAAEVWSLETRATGMAMAATANWLFNFALGLFTPPAFLNIKYKTFIIFGVLCIGAA VQAFLTYPETCGKTIEEVEEMFAEGGPWAWQTRKGESRLDAEIQAVIEKKKATEKEKA VEVENREEAV
SAPIO_CDS3104	MSPMEHLALTRASLEGRLLFAVPKKGRLNQATLNLLEGADIQFK RENRLDIALVKNLPIALIFLPAADIPTFVGEGQVDLGITGWDQVQEHDARVRAYNRDR RLSVDGAASYAGDDGDIKKSRSEMVMELGFGGCKLQVQVPKNGPYRTSQDLVGKTIGT SFVDLATEHFARLELGLESETKSDDFLVPKKLRTKIIELSGSVEAACALGVADGIVDL VESGETMRAAGLEAIDTVVESQSVLIKSRKPSNPELVDLIASRIRGVITAQKYVMCQY NVERTKLPAVVKITPGKRAPTVTSLDEDGWVAVSVMVESKKIAVVMDDLTKVGAQDIL VIDIKNSR
SAPIO_CDS3106	MPPHIKARRAVTYAPAEQRVSSQGSEKKRSKLRLPSWKGFLRVV TLRGKKAPALPRTIHEEEEYDEYSEEERPSRHRTPSSKSRAASSSQKRYSSRHHSDFT DESGDSSQAYFSDDDYEESPPGRHTSSIRSRKEVYGEGRRRVRGRESGRCHSGRHESV ARRASQASSRQVFGHTRSIHVCSDCDLVRSPQFHHIHGGVQRRNFCTKCQVARLEAFK DDPTREFEQFCFHCGQVRSKEFLKLKPEAQHRVIANLCEECIIHTKARQLGSELDEED GDLESMPPSQVSFQSNSPGDRDKMGILLDDEFFSDSLVGNEEVMQRYKQLFVHGSKST EELRESKETADTTPKAHGILKESGQHFKSTTQSKASSATHSPSTDDDLDSSPFPGPIK NPKSRASNPTKVSKQAVEEDDEIQSDIDPKDKKGKQPVRGQGLKMSKTFASAPHEESD ISSDGDSASTLGKTSKSYSKARVVVGRRVSSSSVAYSSPKGRTFSGSLARGKSKSTRS SRQSTHGESSSSGRNAGASKPSRSSSKRGISDSTSHGSNMPSSSAPEYGGFTNGPQSD LPRVRDGSLNTSNSRFDSDVRISQAETVSNRNHRTRSRARPEASFTGNSTMPPSMHPN RDFDIASSAYNSRRGGPGGQSSDSWSRFFSLSTFGEDYLELGEDAIFPPPRTAIPSSS RPHPNVDEAEPLYGDDLGYGYMHTSVQPNLNHGLRHGHSMAQPYAPSPAAGVSYHQPA HNAFNMAGAAGPSSWGDREPEEAYDGMYEEGDDDGLNYCSDFDPNHIPNHSANFGMNH GQNGAPDLGMNHGADFSGMSYDPNAIHHFDPSFEPDHNPNHGMNNGSNLNPNGGLDRG QGRGHNWGTNYGLNHGAGSSSDPQYRLGNDGDLGPTTPVRQTDNGDRGTFLHATGAAT PLEAQNYTAANRAHNNGQGPRPQNDGDNPFTSSWAPAYTNERGEPVPYPWDTSSFDEW ASRPGNRARSAPRDGRDILGNPQVAAPVNPEPAESAFGGPLGYPPPGLDSANGWYEGA HDQRDGGGDGPSGLEIEEMEDGSDCQRQLLQASHTLCPIHHREYKKLNKSYKLKEKSY NSIITKEGEPDAKKKIEAKLVAGKETLELRDQVNRRFFNFSAQNRGHIKWILKLQSEV YHLEQKLAVLGTEGSSSSSQPKLVARASKEPASSSQAAQEIPYTETKAYRSIPNPAIP LPAPHRRSPDNDPILVLKQAINEVLTARIEKLYSIAPSLNDASPIVLDEVTHELREPD ERDFVIRFLFRELLVYKADADILSKASRTESIDTFLRESSGEHVEYYLNFFEAFQEGR RDTFHLLRDATLAACHTYGSGDPGDGHVPSWYIPDEDVSQECKLAVIQGFIAVTKGFN EPGEDSPGPGQSITEEREVRCYLVGRMSKKDPFALPLAQELSERIASLEVLVYDHENE GDGATGLIQSSTAEPNPWISRSRSAATEEELGLQSWTIEHSLEGILSDLKLIYHLRDK NMTRDYYEFIIIDRCPGKKFNLLNIEEISGKKFNLLNIVADVLLQLRGDPPFYQVFRQ AIQQHAPAEEQAQYLEAVEQMRLNQRAILINPHQHLDLESRGVMTRIEDYEKPHTSPI VIQGIDGQNDLYFCYGFEPLNEQALRGSILDFDPSTNNLPEFVEAYKRAHPRAVFAKG RINVHYCAWPMPMLPGQRYSRLNFCTYEGRLYRWKALPFDMPMSSRIWQIFLNHELNS KLPFVRIVQTTLVVCAEDYDGVESSLEALFDIGRKHGWTFSIPSSPASWTRDVKRLNL ETLWEGVRPAL
SAPIO_CDS3107	MSAKSLDPLSGVASENSRALFRVVILCLIAAAAIASRLFSVIRF ESIIHEFDPWFNFRATKYLVANGYYQFWDWFDDRTWHPLGRVTGGTLFPGLMVTSSVI YHTLRALTVPVDIRNICVLLAPAFSGMTAVAAYLLTNEMTTSPSAGLLAALFMGIAPG YISRSVAGSYDNEAIAIFLLVFTFYLWIKALKLGSMFWGALCALFYGYMVASWGGYVF ITCMIPFHAFVLTAMGRYSSRLYVSYTTWYALGTLASMQIPFVNFNPIRTSEHMPALG VFGLMQLLGFVEFVRPTVSSHQWKTFLYTLVGGIVGLGVSGLLVLTSLGYIAPWSGRF YSLWDTAYAKIHIPIIASVSEHQPTAWPAFFFDLNFLIWLFPAGVYLCFQQLEDEHIF IVIYALFSSYFAGVMVRLMLTLTPVVCVSAAVALSQILDAFVDISSPAPADHNPQEEG AEGTPKKAKSGGLRSTSKPYVGIFSTLSKLGITAAAIVYLIVFVLHCTWVTSNAYSSP SVVLASRLPDGSQHIIDDYREAYQWLRQNTKEDAKIMAWWDYGYQIGGMADRPTLVDN NTWNNTHIATVGKAMASREEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKFLWMVRI AEGIWPDEVQERAFFTSRGEYRVDDGATDTMKNSLMYKLSYYNYNTLFPPGQAHDRVR GSRLPEKGPVLDTLEEAFTSENWIIRIYKVKDLDNLGRDHATAAAFDRGQKKKRTPKK RGQRVLRVD
SAPIO_CDS3108	MSTSAGVNVLRYSALALGVFYGFTHQRKITATQKAEADKREYQR KEALINQAKSEYARIKNPPVASAADETIRDPMDPKFDLEAYFQTLMKENP
SAPIO_CDS3109	MQSRRDEILAKKARLAELKQQRVLRAAQAGSRQSIGASLDIVSP TPGRDDRRSIEDLINSLVGDRPVSTSTAGAASPARRGSRPNSVLSAGEVSTETSEYAA PSTAAVSAAQGPPPALTTAPLTTIYECPPSPVKEIFSYNKGVQTMEEWVPPNRPRAYS LSDTEDVGVTATPSKRLSRRERDREEEIRQSIRREIEEELQATKDMMSEGVLQSTNAI ATNNFPLRQLTSEELNAVMSSDELIEFMDKSSKVIERALDQEYDILIDYAQTGLDIEE EDDETGNTGGKGKRRVKEVIQYFNDRWSNKRMISGVEFSPKFPELLLASYTNNPTAPH EPDGIVQVWNQHMHDRAEFVFHAQSDVLTARFSPFHQNLIIGGTYSGQVLLWDTRAKS APVQKTPLTGYGHTHPIYSIEIVGTQNANNIISSSTDGVVCAWSMDVFAQPQEMLELK APSPAKIEDVSPTCFSFPDGDPTFFLVGTEEGTIYPCHRYNRAGAKAGIDSDFSYKGH TAPVMSVDFHPARGPVDLGDFVLSSSLDWSVKLWKVRAPAATSTAGTTEGNMTPLLDF VREDVVYDAKWSPIKPGVFALVDGAGSLEFWDITVETEEPVSRISPSARKGARTMLSK SLNKLAWEQTEGKRIATGGIDGSVTVFEVASGLGGKEDLKAEEWTNVKKLINRVEAAG PGEVFLLS
SAPIO_CDS3110	MAGAGTEPTAGPASTSDPSSSHQPTSIPLSSSPFTSSKPIETAI LSHDISKQSSPKQEESTASTNGTTSAPAEQSHPRPEQTAAQSQPPPTSAPMAVELDDF GLPVRQYRAPSSAASESGRSVDNKPRSHSNSFTSWLPRRSSSRDPKPATPAPEVTSKK EKKPEENRTESEKVEDEKEFKTKANGSKGRKGDDVDDDSEDEFKDAVATLPADGDGPL TPVPALPQTEVQSKSTATAQPTTDDPAQKPRSGTITSTASSNFNGVSEFSHQHVIIKQ EEKAESDIGWQEMPSYARYDMYDDDDRLIAREHNEEEDNDEKYGYSGLGGAGKGYTRV IMDDDVESATSLDDNTQYLFKDVKSTSMMDEDDEQRDAVSQMQATKDLLTEGQRIAYV GVVRLELYQMAKDTESLEQTRKSKKLVAFSAESTKMWAQKMMIRIYAHMDISPAEQVM IEQLAEHGVMPADLTPILMANSRVNNPMAEKSTSPNSAGSRSSLQSPISPDQETAAEP PPPYESHEGEDLPEVRTPSQLPNSSKLDIDLRWTVLCDLFLVLIADSTYDSRSRTLLE RVGKAMDIPWLDICRFEKRVTDALEIQQQAEKENWNEDEHMEERRKKALKRRYVMMGL ATVGGGLVIGLSAGLLAPMIGAGLAAGFTTIGVTGTSGFLAGAGGAAVITSSAAASGS IIGARAANRRTGAVKTFEYRPLHNNKRVNLIITISGWMTGKVDDVRLPYSTVDSVMGD IYSVLWEPEMLRSMGDTINILATEALTQGLQQVLGSTILMSLMAALQLPIVLTKLSYL IDNPWAVSLDRATAAGLILADSLIDRNLGTRPVTLVGYSLGSRVIFSCLQELARRGAY GLVQNVYLFGSPIVVKKEEYLRARTVVPGRFVNAYNRNDWILGYLFRLTNGGIRRVAG LAPIEDCPWIENVDVTEIVVGHMDYRTKMPRLLRECGWMVESDEFTEIEDPDPENHEE RQRELINEIEEARKELEREGKEGKSGGAFSLFRRKKALERAEWEVYQDTLGKDGNKKG AGDANTGVLFDVDAIRAELAKEKFEIRELQSTLPPMKLDLRPSPAVTDVGSADGTTAV YSPPRTAIPATLSEPKHLDVGSARVSSEAGTPVSRQRTPTLPKDQELARSPYFQQTHD PYEEEIQMTFDTTFDQKKPSSTLPPPRSPSPPPPLPPRKDDNLKRDVSGVGSSGGAVH AAPSIYIADPWADPDHEDFGKEKDEIHMTFA
SAPIO_CDS3111	MANENPLAPLFAPYSPGPTAKTRTIHDVLRDLRLDDDRVLGSVD PRNEGGDTIFKDLQVGSGTQTNMEPASGGSEGDTSEFDGMITVDPSLASVRAFRINET LPFAFDYVRDITLGEVEWIIVDYTTPATNFVTLDNPQIRPSVSQDPSRPLRGEFTLNP TALDRDLPIQDYGKRMHLVVHFQLNSQGREPGTPGTQPSIASSAFTVLAAEATDGQAA VASLRAEAERNNKGDSLSGGVTNDGSIWNPNASASDPEKSGNPGTGGGLTSTPSDDAS DSRDPGIETASPTIGSKAGASLSTGAKAGIGVACAIVGLLLIALGVFFFLRRRRRGAS ASVPVGAAGSVQPVPYVTTSLNAPPNDYLRDKEAQTHLADSPQSEDVPGRGLRDSAVI YPDPATSHPASAAASPAVGAVAAATPGAASLTDRSLSPSEARTRPEVPAAVAHLVEEG MTEAEIRRLEEEERALDRAIEQAAQRK
SAPIO_CDS3112	MLLLAADFYYTKNIAGRRLVGLRWWNEVDTESGDSKWVFESSEP GTKVINPTDSRFFWLAMYIQPVIWVVMAIVAVFRFQFLWLPLVVIALVLTIMNTLAFS RADKFSQASNLAGSAFSGGNLAGTIATNMVGRFFRS
SAPIO_CDS3113	MASASIVRRSLLYVPASSQKMLAGSLKIKSDNVTYDLEDSVTPS LKHKARDQLRAHLANLEAENRAFGELAVRINAVETPHALADLTSLASSPNLDAVVVPK VNSAADLTFVTDVLRHVAPQRHGARSENPIKIIALIESAKAIMDLAEICKSSPLLNGL IFAAEDFALDLSLTRTPSLREFLYARSAIVTAARAFDLPSSIDLVCTSYKGDRGLKVL EEECEDGRSLGFNGKQCIHPSQLEVVHRLFSPSEKEIDWAARILVADEKAQKQGRGAW TLDGKMIDAPVIGKAADIADKARRCAIDMDAVLAKWKDQEPE
SAPIO_CDS3114	MGHHPRANRPKRDELHRGVHTRQLFDGRPREPGLASGVEAKIAP PNPPNNDFVQNWLVQTQRRPDWESVAKVQPGERLPWRPHNLGGVNLDMPPPPAPGLLK RRRAMSFDSSFIPENHKRPQRHDLTPNLPPALAPSQNSDISPDMKEGSPNRPLGSVGS SPRPKQSFDRRPRHKTREDRYEIKKNKRGRRNSGGADKTGKSQGAKRTKRSDKKTLVS SREVMSAFTSDAILTHDRLTVKPTLAIGLYGNARAAVPNYYYSGNPPPSEHHDRSQDS LSPQVMRRALLETGVFDDFENSSSSLPRYTIPHYMPHAHSYHINQQATVTLGALGDSP KPQCEGKNTMVTPSVENLPNMSTPAKNGDAGPLQRQHIGGNLEAIDNILSLQSQASGV HPEATNPRKVDQTAQPTTVAGERMPAMTANPDSIMYRAFPRKCAVIRMAALIAWKSGM HQASVSIGQGRLWSAYLHSTPKSAFSSKRAGSRILPREVA
SAPIO_CDS3115	MSKLFIGGLAWHTEEATLRQKFEEFGPVEEAVVVKDRDTGRSRG FGFVRYTQEADAQKAIAAMNNVDFDGRTIRVDKASDNGPRTNVGRGAAPPYMGRGGGY GAPPMPYGAPQGYPMPGMNMPPQVYGRGYPQQPYGVPQGYPPQYVYPDPNQPPPHQMP QGGNSNGGRGY
SAPIO_CDS3116	MFSSLFTEASQDHRGTPEAPGRVVTLIEREYWQSLTDHHQSAPE RVWGVAYRIEREKVAEVKDYLDIREINGYSIQYASFYPAKGEAAIRTLVYIGTPDNDQ FTGVQEPQALSEHITRSHGPSGPNIEYLLSLEAALNALGPEAGDEHVSDLSSRARAIL GLAEEPASNIAIAIKAETAISSEHEPFKQEHSIDEQEETEKDS
SAPIO_CDS3117	MAYNRSYNPDELPRFAEPENKSGGGSSKHNGYDSKPAPPLPRQD LRPSGSQSSHRRHDSREGAHHRLSPTHPHNYGATSPPPIAGGPRPTHHTRPPTDNRPP PSPDAAPSGAADPKLLPLFRAVDKDGTGQLSEAELSAALVNGDWTSFDPQTVRMMIRM FDSDRSGTIGFEEFCGLWSFLASWRTLFDRFDVDRSGNISLPEFTNALIAFRYRLTPG FVELLFRTYDKRGEGVMSFDLFVQACISLKRMTDVFKKYDDDRDGYITLSFEDFLSEI LKQMK
SAPIO_CDS3119	MQEHIRRAHPEHYISKLPATEESFLLMINTPPSERAPAQQQNST PAVPAQGTATNPQGASMPPAASAAAALAQLHGHRAEHEWDSEGVKIPPVDFMIGREML KHPWQQQDWHSDTDGRKIPRSSIELPPIHLTGGDVTSDPFSSVNSNRPRDLLPSILAN SPPGRSSTLPPLHRTLGPSRPRKQSVTKRGREAHHKKQKSKGSTNDWLRRIQNDERLR PSLHDRKALSAEPSADYGKRWEDLIDAADQAASAAGDIDEDRTPVLILTGAPVASVHS 
SAPIO_CDS3120	MEPTPTAINDTTSNDTPVVFRAKRKKATIRNRPDDIPSAADTPS PSVIEGTPAIASRIGEEEDDGPSVAEVLRQRRKQQRLGGIGFGPEKPLSDLGDEEVQE QAVVRAEGALDADAILGGIPKRFAVQTGLVGELVNKHMMEYVESKLTSRHATLTGTLP PQQQPQQQGVLSTGADASTTPTGGFDAQKRTIHGKLMEVDLGEEVRNQNVALTEKAKR KLEGRPFDGEEQEGGGSPRKTRLGRDGQPIRGRNRRNSDDIKRDQLVEQILHENRLDV YHVPEEQTVEDADHEYEGSADDRIAEEFKREFLDAVAQRRQRRRAARAARPTDKKPNP DVLRGPKLGGSRNVRSAVRDILLQQEKDKAKAGRR
SAPIO_CDS3123	MIQLVNLIIRVKTRKYFACNPGIYECFDIGRKEVRLCSGTANYL KRLDGKRISAWFQGSRRYLIPHRSDQQINALHSTENSAED
SAPIO_CDS3124	MSMITAAQWVPRGVAAPFPAKYNFDEAEFERIAELAKLQLDDAN EDLEEAQNAEDEVETSQNGSGEAEGKKKKKPKKEEMQDDLALDDDLKEYDLENYDNDD EEGAPSGQPLAMFGNIKSLTYYESNKDDPYIQLPENEEEDEDREDLQILATDNLLLAA KVEDDLAHLVVHVYEDNESNLYVHHDIMLPAIPLCVEWLDIPVQKEGVDKESRANFVA IGTMDPDIEIWDLDTIDSIYPNAVLGQGANAEGVKEKKKKKKKSKKANDEYHVDAVLS LAANRKHRNLLASASADKTIKLWDLHTTKCAKSYSYHTDKVCSVAWHPVESTVLLSGS YDRTVVAADMRAPDAQVPRWGVESDVENVRWDPHNQNNFFVSTENGMIHYHDIRNAPA NPTATKPVWTLQAHDESVSAFDINSVIPGFMATGSSDKTVKIWNIQPTGPSMVVSRNL DVGKVFSATFAPDPEVAFRLAVAGSRGTIHVWDTSTNAAVRKAFAGRVPDVPVGEEDR LVGVEEESSESSEGEDEEGDYDDDDDDDAVSGDAMDED
SAPIO_CDS3126	MTATENGNGAAGGASNGSSNRAQTGGVHDLALASLAIHADDGFS IHRAVAPAMHVSTTYRYSRNPEDLIPMENIDPNAPADSHMYSRLSSPNISRLETLLTA ILHGPSLTYSSGLSAFHAMIVHLNPRRVAIGEGYHGCHGVLKILTRLTGLEVLPLDCP ASDLGPGDIIHVETPLNPTGEARDLEFYSRKAKEVGAYLTVDATFAPPPLQDPFLHGA DIVMHSGTKYFGGHSDLLCGVLAVNPKRAAEEGWDSALLADRVFLGSVMGNFEGWLGL RSLRTLELRVERQSANATNLVAWLAAQVADESTTASKLVERVQHASLQKEASEKGSWL RKQMPRGFGPVFSIWLREEGDARALPSTLRLFHHATSLGGVESLIEWRAMTDATVDRR LLRVSVGVESWEDLRDDLNAGFEALLAKKKA
SAPIO_CDS3127	MPLRNPFGRRSNTSVALVQDENLPPSDPNARNGNGASNPPKFER VDTVGSKASSILSIKTPRDTGEYKMSVVNDSGVYLPVRDETTVKPLVIKEYVMLYATQ VELITLLGPALAVAHGESGEESLVETLSCLPDVIRSFDICAKSPIVNQIPARQSLDSA RLPHPPRSSLSGRNRNGGARQPATEEESDASFEDVRLDDPAASQHPASSKRRGLFGMF SENQEPGTTAQPTVSRFLPGRRRRGHSGQGAELGSMERPGSRGGIEQVA
SAPIO_CDS3128	MPTLWGNPRDSDQSDRRSEDGGSTNERTRLLGNHREATPALLTP DDPAVSPYNLWTVRMFRHITVILTLITAVWWIILLISTFITPPGFHMRGSGFFAFSNT TVALLVLILDLAFFRTPSQSARILCACMALALLVNTILTLAVQMVRYEEGWVGVTSIS WVLLISLWVLAADRTVEWGKAEEEERLTGRPETRRSLGEWSKVLTSTVGLFALCLAVI LMTLTLILRSLDAGVAPPGNLYWVDGGHYRMHVYCSPNVPDSPGRDRQLPTVLFEGGE YAVEHGLADLAHNAVRNGSISRYCYVDRPGYAWSDTAPSPLSAGMEAVIMSEALAQAG ERGPWVVASAGVGSYYSRIFASQHGAEVKGLLLIDPLHDVDLDRLGSPKRGILLWLWG IISPLGLDTLPGAIFRGRKSADRIWGVSSKHNPRHIFARLQESLVASSLTKREVLSSR NIMKNDTALTVITSGLEVRRHVAWEGKQRDLTKLTSNLKHWDVVDDAPHEVWRTFEGR EMIEKRLSSLVRGR
SAPIO_CDS3129	MASGYGSGAPADRYHGGPPPPPSLDVGYPDDRNWQQSGHHTPDR SMASPTLSPKPNTNNQPGPPARDWNTEDRQVANRTHPPRQRSTRSASGQVRICEKCGL QLTGQFVRALEGTYHLDCFKCRDCGQIVASKFFPVDDENGNGQYPLCETDYFRRLGLL CYQCGQALRASYITALDRKYHVDHFTCSVCPTVFGASDSYYEHDGQVYCHYHYSTQFA QRCNGCQTAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLSESSHPPKTLQAPEDNM GRDLVKEEEERMEEKVYRIWSVLSAFEESSAACISDMLLHVSNGAFLDGVLVAKRFIS HVEVLFRSMDRLNANMNNLNVKPMSYGREAKLLCKKIVAFFSLLSKAQDAEAKKVGVT QELLSLVTGLAHYLKLLIRICLQGALRLEQESRSSDGLYQFLDDLSDLESLRLEDNSL QVLNGSSKLSAKDSDHCALCGKSVEDECALNGNLRWHIACVGCTRCGKDLGRRLQEAR FNAFDKMTYCSNCIAINTEEMPPFEHITKLQQYVFLLKVALARLLDMLRSSGVIPPAE GPGANGHGVSDGYGASTPSEQTGAVQRTPLEQRSRTYPGAGEKDRNRESTYENTLNDV RRLRSTRLDKHLSSSFRKARTSRIMDGPEGRSVRPGSAGGDEQSRPGQQFHIVEDRNA NDAGTTDTMFGNNDALTLDDIPRIVAAEQVREKAKPTQQGLFRSASAAVGRPGGSHQR SLSAGRDEDRGMSDAIPQRGGRRFFSELSGLEYFIVRHLAVLTMQPMLGDEFPLEELL SFIESRKPTTFWKNLSKAFKNDKKPVKKKGVFGVPLELIIERDGADSTDGVGPGALRI PAVLDDLISSMRKMDLSVEGVFRKNGNIKKLGELRDIIDRDGSDAVNFMEQPVVQVAA LLKRYLRELPDPLMTYKLYRLWIAAAKIPDDDKRRQCLHLACCLLPKCHRDALEILFC FLKWAGSFHQVDDESGSKMDIKNLATVIAPNILFANDKAPALDSDHMFAIVAVETLIN YLEEMCLVPDELASLASDPYLFGGNGEITTKEILKRFQELQGQRPNPAISDIKEVYNR QDMSTRPPPRRVDTDPSLWQQESSARPMQEPSFTYPNSGPATPSADAWRGREEPGHTH MSPYAQKLDHSEPQLSPPNHDLNQGREWRNSGWGRQGSGVAMGN
SAPIO_CDS3130	MAQTTEERKADLGKVLVIGGNGFLGHHIVKLLLTSWVATVSVID LKCVRNRLDGPSYHEADITDAAAVQSIFDEVRPDIVIHTASPAPQAEGKIANALFQKV NVEGTKAIVDACLKSGVKALVYTSSASVMSDNKSDLRNATEEFPIIRGVHQTEYYSET KAEAEDIVLKANRTGSHNLLTAAIRPAGIFGEGDTMTLRHMIQVYIDGRTNVQVGDNN NLFDFTYVVNVAHAHLLAALRLLATHRLIPTIPLDTERVDGEAFIITNDSPVYFWDFA RAIWKAAGNDKGLEGVWKLPTDVGIFLGLLSEIAFGIIGKPPTFNRQRIIYSSMTRYY NISKAKQRLAYRPIVPLGEGIERGVKWCLETNKELGAAVAALKKNKAQ
SAPIO_CDS3132	MLTSLARASLRRVVSLPLRPLTAPVAARAVLLAPAVRTQQPFGT TSWAHEAAKGKSTKGAASKKKKTTKAAATKAKAKPKAKPKPKPKPKKKKVLTPEEKAK KEVRELKVAALLNEPAKLPGTSWLVYVTQELKGKSVGGDLAGRTRAVREAFRSLSSYE LERLQETAESNRQANIDAYKTWVESHSAEEIYKANLARGRLSRKYNKSHRKITDERLP KRPLTAYSLFVKARWASGDVSNVASTAAGAARILADEWKALPESEKKPYLDQAAADAA RYAEEKKEFSD
SAPIO_CDS3133	MDHRPQAWGRPRDDVYGAYDGSYMQQAHGPNQHTQSPIVTGTSV IAVKFSEGIVIAADNLASYGSLARFTNVKRLLPFAGSSIVGFGGDISDMQYLTRHLND LEIEESYSTPSTAASAAPRLTAANLHRYLAKLFYARRNKFDPLWNHVLVGGLDEEGSP FLASADLRGTTYSAPSLATGFGSAIAIPILRKVVPDEEAAKKLSKEDAVAAIKECMKV LYYRDARSLDTYSIAVVTKDGIDLKEDEKLEQQSWAFADRIKGYGTQTV
SAPIO_CDS3134	MCGIFGYVNYLVEKDRKFILETLINGLSRLEYRGYDSAGLAVDG DKKNEVYAFKEVGKVAKLKVLIDESNVDLTKIFDSHAGIAHTRWATHGPPSRINCHPH RSDPTWEFSVVHNGIITNYKELKTLLTSKGFKFETETDTECIAKLAKYLYDQNESIGF TDLAKAVIQELEGAYGLLIKSVHYPHEVIAARKGSPLVIGVKTQRRMKVDFVDVEYSE EGAALPAEAASHNVAIKNNPSTLLGAGLTAPDKSLLHRSQSRAFMTDDGMPMPTEFFL SSDPSAIVEHTKKVLYLEDDDIAHIHEGSLNIHRLKKADGSSNVRTIQTLELELQEIM KGEFDHFMQKEIFEQPESVVNTMRGRLDIANKTVTLGGLRSYITTIRRCRRIIFIACG TSYHSCMAVRGIFEELVEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMAL RYCLERGALTVGIVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVMFALS LSEDRASKAQRREEIMEGLSKISGQIRDILKQDAAIKDLCRRVFKDQKSLLLLGRGLQ FSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDDVFKKSLNAY QQVIARGGKPIVICNPDDEEFKASQALKIEIPKTVDVLQGILNVIPLQLIAYWLAVME GLNVDFPRNLAKSVTVE
SAPIO_CDS3135	MAPDEERRKALDSYRAELIKSREWEAKLKALRLELKELVKEYDR TEDNIKALQSVGQIIGEVLKQLDDERFIVKASSGPRYVVGCRSKVDKAKLKQGTRVAL DMTTLTIMRMLPREVDPLVYNMSLEDPGQVSFSGIGGLSDQIRELREVIELPLKNPEL FHRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIR EMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTK IIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHAQSVVTEGEIDFESVV KMSDGLNGADLRNVVTEAGLFALKAERDAINQDDFNRAVRKVAEAKKLEGKLEYQKL
SAPIO_CDS3137	MTTTHSAAGGPDGTNLSLTTSSSYYTSISSQSGSGLKHIDLQEQ PTSDASLQSIEDSNVDPVPPISKWADAVLSSALSDISSENLESMFCENSTLSVSSIPS SSSLSTPASNTNTAIVNNLSSTPANANANANTPVRQPRKPSPGLAARLKALGFGTKTS PPTTPTDTSAPSSHLDRVGKLDQQHIRQIDESHLQNSKPTTVERRGRPWKGLGLGVPS RPGSRRSARSPSPRPATSADEATPEPSPAPTPSPVLLPEIRTEPPLNMDTNKYRLPDH VNGNGTKVQLETRREHIERTVQPPWPGEAPPPLPPKDSPPAPPLAHHSSQPAGAASVE FTPDLASYFTPGHQRPGSIYTLSRLSFANQLAQLTALQLPDAESLSSRVSAIPTAQAA TTALINAAEQIRSWISKASEVINGLDSDDDVEWAAAGGREGLAEVEKAILRFEELINV YVSAIEELQNRIDIAQVSPDDLNRAVIQMESIMNEWTAIRTSLHTVKEQVEIAMEWEE LWNNVLGDIQDEMDELSRLVFEMEERRHKSLMAAASGDGVDFGDLETIVEETPPSKKP TAQNRFSLPAFPLSPSSPSAANMTLDDSSLLALFARMQPLRASLDFLPMRLSVFQARA EDSFPTACDELEMRRTGLDASYKKLEKDAESLRKELGEDRWVLVFRGAGRKAYKMYES VERSVSKLKEGIDGGLNLANPALMSQKIESYEAKKTHYGPAIERVLLIIDNGVKDRLT VNGEILRLHQEIQSKWDGLKEQMRDMDAIVDDIQSGKQGQQLRDSISSMLSNDRSTVA SGMETPGSSPPSSVIMTTLGLDPSTPSAKIAKHRSPSAMAKRRHSSLPTPAQGPKRAS LARLHALATPSHVTPPPPKPTRPPSTLGARPPWSISSNASQVDTGHNFKPLSLTSPSP YAKKTPTTVRSTSSLTPTSAGSKLPTLRSPIGRAVSESPMPQQETPTRNLPSKLSFRE RLTSPGPYSQQTLAKTRLSNQPSVSSLESRRASMQPQRSSSEVRPSRPASSLATTSRR GSILPQPSSMQAGGVSGRNSPQAVAGARLAMQRATSDVKPKDNKPRWRY
SAPIO_CDS3138	MARLLGLQQPKKRSLITKQQQQLPTPVSTTVTGRFEHAYTQTLK TGAPSSNSSSQKSNSSLPPKTRIPHPTRLTTPDLPIMDTDDDDDDDLIEITADEAGIS SDSVQFGTDVRLWREDFAARPEPPTRKGKKRKSSEISKVTTSDDDDDDFPDLHELVST PIAPQRNTGSFSPGNLAARSSLRRDTRQASVLRTLPAAAPTSPSPSRPKRATRSLSPV KLPGSQHSPSRLGSLAPEPKYRPTTPIISPRKLPPVVLQPNVLGADVIERALAQTPKP KARRSSVIEDSEDEFLTPPTGGSKVIASLSSVTAQDLPLGAIPFQGPASSGTKSTISS GQIDLRGLSNLVQDPWPLQHCLDALREKLDQNRQTFEKALRESRPRDQREGIKLEKER LMNQQAVVKGLVDRTEQYQVLVHKKESLLQQISRAYRDGLATDEDENKLDEIGDELKE LEKAFTEALVDATSRDPALSEQLRAAPPKPITAIPATEPSAFRNVRSRHPEGPSSDVI HQTQLPPQDSPRYHRPGPRHEPPPVHPDEFEGMFSDIEDSPIQNLPPARPRPPQHRHN TERLSLEEMDFLSDDDAEMIALADSCHTSAPHASATSQRHPLVEMSGNAKAPSRPKIP EKRLASTATKNAFPAEQMRFPWSPEVKQMLKDRFRMETFRHNQLEAINATLSGDDAFI LMPTGGGKSLCYQLPAVVKSGRTKGITLVVSPLISLMQDQVDHLKSLHIHAVAYNGEA PREYKDMVMKMLRERNPENFIELLYVTPEMVNKNKRFQDGMDMLYQKGKLARIVIDEA HCVSQWGHDFRPDYKELGVIRRQFRGVPLMALTATATENVIMDVMHNLGMENSKVFTQ SFNRPNLYYEVRLKTGNANALESIANLIQTKYPDQCGIVYTISRKNAEDVAQKLCGYG IKAAHYHASVPPEEKVATQREWQKDRIRVVVATIAFGMGIDKPDVRFVIHHGLPKSLE GYYQETGRAGRDGNASDCYLYFSHSDARVLRKLISDGEGGWEQKERQKGMLNRMVAFC DNPSDCRRVEVLRYFGEAFDRADCNKGCDNCRTGAVSEQQDFTAIAQAAIEAVAQESR LTSNQCADLLMGKGKANRDENEADHLNGGDGRFRAPAAQATPQYRGIAKHMKKYEVER IIDRLTVEGALGEDNVMNRRAGFAVQYLKLGSNANQFRYGQRRLMLSVQVGRGSKEPT TAKPKKRAKKDDASKNAASTDISSPVAPASRRKKKPSKASSSRQAEEDELPTTRNGYQ KDNFVVDDSDDDDYFEPVPKKRKSNAGASSSADPPLPPFEDIPLSSLPEIHQEVVHNF VAEAKALEEETRNNEGLRRPLFGEKDFQEMAIHWTITLDEMRRIKGIVQARVNKYGEK FLRLIRHFYGEYTTMMGAMGGDDSMDVAGEGQEIVDLISSDDDEFGDDDFDLDPDDDD DAAAGEQQSKFFSAPVQVPPRPAADPSTIAQWHQRLEQASQRPPPADGPANAPAARGS AAAPRGRKNGGRGWKGRGNGGRKASGGNGVAKRKASGTGSSVSFLGKGKGPGRGKGGG RGSGGGASGSSAIGLMPL
SAPIO_CDS3139	MQFTINAAAFLAFVSAALAQTAGFDVVRTPTKDELVPAGKTYKV TWDAAPADYDKETVSIVLLAGESPSKLVPGAEPIAAGIVNSVGSFDWAVPSDLGDAAV YGLQILLESDPTIFQYSFPFQIEAGKEGPKGNSTSSAVPSSTKKPSSSTAAPEPTGAR NSTSAGTPPPPPKTTLTSTVGGGSSPTGGAEVSPVPTNGAARSAAGAIAVLGGLAVAA FGL
SAPIO_CDS3140	MSANAVISFHTFTPTTETWSAALDPLTQNPNVKAVYWGQALEDP EKYVVATHWSSSEALEAFASSDALAGLAGVATGGSVITAHVSLEGSPEKALDVPCTEV LTAYGVEPAFISQCRDFIVKLDAGKLVGYHGYGLGEVQQDIAKDSAGEKGPAVILIIG WDSKEAHLEAKAKPGPIGENIQLIRTARKDLDLYHINFVRL
SAPIO_CDS3141	MSASPTQPTQSAKRPLEEPSSPSRATEQPEAKRPALDKVVKHDE EIELAPQPDQNGGSSPDQSAAEKTNGVATEEQAEGTAGESTDSKTTTTAPAPGPSSGV PANANDETAWIHIRAVISSPEAATIIGKGGENVSNIRRLSGAKCTVSDYQKGAVERIL TVSGVVDAVAKAFGLIIRTLNNENLNEPSSSTSKTYPLRLLIPHILIGSIIGKGGARI REIQEASGARLNASDSCLPLSSERSLVVMGVADAVHIATYYVGSTLLEQLKERFGGPA ASAYATRSGGPAGAVPGGMQVVPYCPQPASGGYGNREHYGRRHDARAHHMAPGPYPQQ YPAHPAHHTPPMPIHYGSGPQAGAYGAAAPHVPIHAAPHAAAPGPGGPQGQPMHGGMP GGSLTQQIYIPNDMVGAIIGKGGSKINEIRQMSGSVIKINEPQDNTNERLVTITGTEE CNRIALYLLYNRLGETHNKHADERRPF
SAPIO_CDS3142	MQGIPARIPSSRIPTEKTMTAPTATSPPVSPPAKRVKTDNIANI SNPPTANDSASHNPTMEQIPPLLIKKLSDKARLPTRGSAFAAGYDIYASKDTVIPSRG KALVDTDISMAIPAGTYGRIAPRSGLASKHFIDTGAGVIDADYRGQVKVLLFNHGEED FQVKEGDRIAQLVIERIWNPEVVEVQELEESIRGAGGFGSTG
SAPIO_CDS3143	MVEDFPVPETRVLAVASHVVSGNVGNKIAVFVLQSLGCEVSALN TVQFSNHTGYGQWKGSRVSAEEITDLYNGLKQSYLDEFDMMLTGYIPGAAAVNAVGAI AKELQGKTESAPGTFFWVLDPVMGDNGRLYVAEDVVPAYRSLIPYADLILPNQFEAEV LSEVKINDMETLKQAIRTMHEKFGIPHVMITSVNLESTGLFPDHLCVVGSTMTSDRKA RLFKIQFPAMDCYFSGTGDMFGALTVVRMREAVSKTPGLMGVSSWVSEDSVDALDLPL ARAAEKVVGSMHQVLSKTLESMKAALKPAANAQIGDGPDANQSQLVRSKASELRLVRN LSCLQNPTQEFKAIRI
SAPIO_CDS3144	MLEARLEQANVLKKVVDAIKDLVQDCNFDCNDSGIALQAMDNSH VALVSMMLKAEAFSPYRCDRNIALGVNLSSLTRVLRAAQNEDILTVKADDDADALNLV FESSENDRISEYDLKLMDIDQEHLGIPETEYAATISMPSNEFRRICTDLMAMSDSVTL DASKDGIKFSSAGDIGNGSVTLRSHTNVDKPEQNVNIELTEPVSLTFSLKYLVNFCKA SALSPHVKICLSNEVPLLVEYNLSGTSYLRFYLAPKIGDEES
SAPIO_CDS3145	MGVFQQAVEPLHEAFQQLGTYSQVGVVFASIVVVSVVLNVVKQV LFKNPNEPPVVFHLFPFFGSTVEYGIDPPRFFKKMRAKHGDVFTFILLGKKTTVCVGP KGNDFILNGKLRDVSAEEIYTVLTTPVFGKDVVYDCPNAKLMEQKKFMKASLTTEAFR SYTPIISDEVQSYFKRNPLFKGKSGVMNVATVMAQLTIFTASHSLQGKEIRDQFDESL ADLYHDLDMGFTPINFILHWAPLPWNKRRDRAQRTVAKIYMDTVKRRRKEGRTEGLDV MSRLMTATYKNGVDVPDHEIAHMMIALLMAGQHSSSSTSAWIMLRLAQNPHIMEELYE EQVRVLGADLPPIKYEDLAKLPLSQAIIKETLRMHAPIHSIMRAVKSPMPVPGTKYVI PTSHTLLSAPGVSGNDPQYFPNPEVWDPHRWEKDSPNAPTILRQEIDEDEEKIAYGYG LVSKGAASPYLPFGAGRHRCIGEHFANLQLQTIIANMVRLFKFRNVDGSNNITGTDYQ SLFSRPLEPSNIYWERRDQA
SAPIO_CDS3147	MSAREQRGDVRSELYKRNLDYSLKELQKQIQEHEEQLEMLRTNA ISRPEITQSPTATLTVMKQAFDDVARSEPYLPFPDSVLPALLALRKTHQTILESRAYL ESQQTTLTDAKRQLAQSRADLEDQEALSQALKERIESLKKGEENATEVSPEEIARKRI DELRDQKKHYDRETARLFRAFKNFVEERLAPLLAAEELGGPVVGDMMDVDEVDLAGGF DARGRPKKAKQDEDKRQRRLDELWGGGEQSGSVREWDEKVAAATEMKKLTQDLLNNLI EAKGDTAASYVAIEKESSAARFLVRAKVAQFHPRDATRLRLVDFGREIDD
SAPIO_CDS3148	MMCACLCPTVSLCSTIDRITATEAFDVELGTDPEGLESWIYNRR LMKTEQRPSLNQYNELRPMNPDPLTIPYITSYDLSTVSSNPSTIPEKFWGAMQKVLVV GNATFEEMSQRYRANGELSRRALREFKFFVKLEAGVQTQVKKICRPVPPAGDLKALYQ EERALLA
SAPIO_CDS3149	MICRQCLRRASALTRQPFIASARTISTFPARLNASGEAPKLSTP TTEPGEELAKPAPAAEPKSSCAAGTVLTGLNYFKNKQDPVALPDDQYPEWLWRCLEYP EKVNAAEDGAADEFSKSKKQRRLAAKKQRQLEARILATGDVEALAPKIPLQHQTLNLA HGGEGALSDVLKSSSKRAELQKAMRRERKSQIKEANYLKSM
SAPIO_CDS3151	MPTPESAMFKAQKPKVPPTFDGVDYDDSKAFKAAQDAITREQWV GAMMIRLVGEELGKCYVREGVNHLENCGHLREKYLQLLKTKKTKGTLGLQQNFIERKE QEMANSQ
SAPIO_CDS3152	MAKAKSTKASKASKANATPLKAVKAGGVTKASQTPKAVSKEIAK SAAKAIEKKKKKLKELKKVSSSEESDSSSSSDSSSDSESESEEEKAPAKKASKDKATK VKATNGKANGKTAAKDSSSESDSDSSDSSDSDEEMTTPKPATNGKAKAAAKSDSDSDS SDSSDSDSSDSESESEDAKPAKAEVNGAKATKAKSESSDSSDSSDSSEDEEMEDKPAA KAEDKTSSDSSDSDSDSSESESEDDSETKAEEPSKKRKLDEADDDSAAKKAKTDGEAE KPAEGSTIWAGQLSWNIDDEWLFEEFKQCEGLTGARVVTEKESGRSRGFGFIDFSNPE AAKKALDAMQGKEIDGRAIKLDIAPARNDGGRPAGSDRGRGQDRAKQFNDVVSPESDT LFVGNIPFGISRDEVWEFFNDGVEVKNVRLPTNPETGELKGFGYVEFASIDDAKTAFQ SKNGAYLGPGRAARALRLDFAQARANDGGSPRGGGRGGRGGGRGGRGGFGGRGGGGRG GGGRGGFGGRGGFGGGRGGRGGSRIDAGGAGSSENKITFDD
SAPIO_CDS3156	MARWRGHPSVKGSSEMMRMVLLCFSAIGITFTWGYEMTYCTPYL LNLGLTKSSTSLVWIAGPISGLIVQPIIGTIADQSTSKWGRRRPLMVIGSAITAFHIL LLGFTREIVGVFLAESEFASRLTIWLAVYSIWVIDFAINASMSCSRSLVVDILPIHKQ QAGAAWYSRLAAFGHLLSYGIGSVDLVTTFGTRFGDSQFKQLALISISAVLSTCALTC WAVTEKVLIASGDKEKGNDEGSVAAAVRTIWTTLRHLPPRVQAICWAHFWSWIGWFPF NFYGTTWVGETYFRYDLTVEERASRDPLSEIGRIGSSSLVIYSLITFASSFILPLFIS SPQDTDFTPRPPRHLAGVLNRLEALKPDLLTAWILGHLGFSAAMSMAPFATSYKFATV LVASCGIPWAMTQWAPPTFLGIEVNRLSGNSDILPMTHHHRHPSGSKSPTPSPGTFSP ADAPSASRPMLSPLPSQANSHNPSHTNSYASASSSSSSPTPRTSASGELSGIYFGILN IYTTIPQFMGAVMSGIVFALMEPGKSEESTESIDQPDMEGFNAISVCLFIGAMTTLVS AYMTSRLRHVK
SAPIO_CDS3157	MEAEIRDEDYRLGPSAIDDPLAAQHHRPSQLQQQYQLHTHTHSH PHQLHHYNQRLHEHEHEHEREQAYPDYSSHHRQHLGQSDDPRLHSSFLDPAFPPHPRS PPSSTAQPTQIASPVSRYTDTNSSAFTANNLALTSLDPHDFYRSYQAVDTGALAAREE DVPMATTASSRTDISPSQSTPSHPSSRHAPAANGSRTPLRQNLRSVSAPLGNDRPPLS LPKAGSAGQPSVKDLRKKFDQGTSPSMIPRPPARSSLIHRPTYSSRSRATTPTTGLAR PSQASSTTSSRSTGARNQSSTTDLGRSSGSRSSQTGGGQSFASRINKPKSSASSKSLN AKPMTQTSRHQSSGSSPSSAVSHSRNPSHSSQPILFGEILPEQRDTLALGYGIEDALP RQPSDVESDSRRQALPDSGDAAPDSPTDWYRPEKATSSSEPSARSTSTLHPDQQDGSN NALPPSSPGSRHRPTSPAVKRPGSSRRFNSISSDAGLPPSGLPSPSFRRSYDSKSSSR AGTPSGNRAKTPTEPRSGRKQPPRNIITPINTTNNSTRLSAIISTPPPKLSPPLRSSR PRQPVSVATTASSRLKYADRTPKSPNKQSWKSPSASDDKSTRRRKPSVGNIDFEQRRE HVKLAYRKSIRQSRIQDAKRAAKNRPSDEVEVVPESEATPTSDQPTITLLDGTPTDVP SLKLVPDESIEPGDTRESRDIILFDKDIPDATADSPTLGIPGSFPAMSPPLGSDEIAP PSAVSTTSDMTEFDNEPQTDLDIQLSSAAAVIGGDDAYPNNAVADAESRNIQDEDNLP TPARAEYQYPFEEDEGNLNEDQINENTNLPEEMVVEIGLDIMDTPTLPPEDMVARVEF ERVEFEQPSVPGAFKDDYEVSPYAPDSYETRVRVLRRESDMSQFTGSQHSHAPFPAYD YEERGYSLDLEARDVLNNIHHGGEDSLTDDACSAEAQEDGDNNEAYYSPQAYLRNGAS SNRASTCESFDASHSDEHHAALTASGLGIRRTPDPGQMLGVPSMLMSGNRSSQHSSWT DFSIDSSEPSDGVAPRSSRNRLDPSPLPKHATKFPDEDLIAGSLQLSARDDAHLASVE DTDGDEQSYTINHQLPELDTGEGFEIPYLAQKPIEEEEEPPQPEATVPLPAHEPPPIP IVESEVRQTPASSLYEQPGSTLVGSQRESEEFTHSPSVRESMHRPSFDHSGIGQQADT VDDVSTIAGTERHERSSKERHRLVQRRNVLKELVDTEAIFVRDMNVVEEIYKGTAEAC PKLDDKTIKLIFRNTDEIIAFHTAFLSQLKEAVAPVYQLAGRKSPPPGEDSRASEVTI NSSGSHSTTNEPDDEKDRLVALGPVFKANIDMMKAAHEGFLRNSDQAAKRLIQIQQDR TVNVWLTECNEVAKDLTAAWDLDSLLIKPMQRITKYPNLIITLLQHTAEDHPDRAALV GAKEALETAIIEINKTKKNFELVGQIVGRKRKESDVKAGFARAFGKRVDKLQASNNRP AEDAAYVKLRERFGDDYLRLQVVLRDVEFYTRQVTSYVHEFLQYLSSMELVMRLQASP FPEVESKWVRFNVSMRDIEKVALENHLSRVRKNVIEPFEMVIKSYGNPSLAMKKRAKR RLDYERAEQLKKSGKTIDSKLKELVEQYEALNDTLKKELPKLSELTVKVGNICLANLV NLQVEWYSIWKEKVKMPLEGCDEVPEINHIISAFQGDYQFALDAVSAIGIVNPSCKPR TSQSTRASTDESITKIRPRPTELSLRGRGPSVNGDGPPSLPTPEFAGNNSAGSFTISP TSVTLPSPHQYYYQNYYTGTNGYRGGGVSPITPDVYGASRSHTTGVTARPSTGRSFDS VGVPRQSWESNNANNVRDSNSTSYNVTYPGSDGPRRFSGLFHSALPLPDETEESQRSS RASSRERPAASGGYNILWLAASLFEFHIETTKHEAGYPYLVYEAGEIFDVIAEKGELW LAKNQDDARERVGWIWSKHFAKLADS
SAPIO_CDS3158	MTQPRNPKRSREDGDESSDKAKRVRTDDRGAGGNQVRLPMSRVI LSQYYPSVVTLREAEKARARPKHILCDGFRKTTGLNTQRQGGPAGVKPSIPNLLSIYP NHHVEALKRPPWPQLLLLLGQAGERIMVDLLVDCAVYVSVDSGVRNMWQLSGTPLSEL DPIIQMDPPESDRPRTPCRPKNPAVRSPGEITFVRSRILYARAGLTARGNVEIGLRHI HILNRAPYVPKEACEQGKTGVDSGGNREFEDNTLRVMAYMFPRQFGLHNVFTSQVDRQ KSAQKFQDYTLREDEISSVFRRVDQACDGNFAVRIRLPKRLRGRPKELVERLQILHAR CSYVQILDHYCPNPLTGGAHKRVAHSQRIEWKPAPAGSTSVRVCNSSGKKASKRKSAK NGRSKKAPTLNLEGKSIVDLATPVSQVSAFCQRVLSKIIPNEFWGVGSTQKHNKELVL RNVDRFIRLRRFENMSLHDVYQGIKVSDIEWLAPPHLRGTKMTNTDKLKRVEIFLEFL YYVFDSLLIPLIRSNFYVTDSGKHKYRLFYFRHDVWRRIAEPATAALKSRLLEEINTS DAKEILASRTLGTSHLRLLPKDSTLRPIMNLRRRAFLRGERQTLGPSINSILAPVHSM LSLEKDRDPARLGASLFSVPDLYTRLKSFCSKLPQGPRKMFYFAKVDVQAAFDTIPQA AVVELMKTIPSDVQYLISKYVEVKPGDAAQNKAGVTIIKPSKRWLSLASTSKDNTRFS QQLKSKLAPNKRNTIFVDNVMKRVFKTKALISLLTSHVESNLIKIGKKYYRQKCGVPQ GSILSTAFCNYFYADLERRHLSFLADDDETLLLRLIDDFLLITTDKAKAERFIKVMHA GLPDYGVTVSRAKTLVNFPMEIDSEPLPQWDPSSGGFPYCGTLIDSTTLAISKNRPTG GKQLTTTDDCLTVESSRRPGRNFERKTLNAFRLQSHMMFYDTSHNPLSLSLSNLDAAL AETARKACAYIRCLPREKRPREHVFVRTIRKLIDVAYSLLTSKERRARFPGYECAISR TQVQVATLGAFGRVVRAKQPGYEGVIDWIEKEMVNLESSKKLKRKLRLGGRNTEM
SAPIO_CDS3159	MGHIADALTAANMSRPVASLGREVQSARSAAFRAAVAKGTAEPL IMPYCFFGTFIIPALYLAIPHTNRPWLYRARFAVAALIAAFNVRLIAHTSGSNPAIAY GSGLYGYWGIMNCLAMLVWSNPQFDAARIVKVKAEDFPGKGAEKGECKEGQIDQLIGG NQEGNQEPKPNGNGHPKPSLEPSEPAAVKDSSPLSGGVVRGEEGYIYYWEPYPKNAPF LYRFSWATDLMTTFRGEGWNWAIPTVPHPPRPKVHGQYERVKLEAIPKTARSGCTRHD TAKAYLYGNLKTFATTYVLLDAMSTYMSMDPFFVVGPSTLPPPSLLRPIPLPLLNFGR SVLFLISVYQVVLLVMSYLDILQYFIGGYFYPIRREAWQHPSIFGSFSSIFDRGLAGF WGGWWHQTFRVPFTAPVAWMVVNGYLSAGSETTKVLGVLSAFLNSGLLHACGSYTTVP PTKLYRAPLFFTLCALGIFVQQALCRRLTPVLARVPMPLRRSGNFLFVLVWLWATCWP LAHDFGTAGLWLFEPVPVSIFRGLGLGAEGESWWRWDDYTFTLYRGKRWWEVGLALGA 
SAPIO_CDS3160	MSAAEYYNTGPGSGYQQQPPAPQQQYAPPHGYPQYPQHPQPSHG PPPQAYYPPQQPMQMPAQPQHAAKKPARGGGNCLTACLAAICCCCVVDEACECW
SAPIO_CDS3161	MASLNLSTNGPSIKSSYQAVVNAALPSSGSPTYGHWALFSVQAP LLNAFQPTAAKESVLKVETTGDGELADLVEDFNEGRIQFAFLKVKDPNSGLPKNVLIA WCGGGVPERTKGYFTSHLAAVAKILHGYHVQITARSDSDVVPETIIQKVADASGAKYS AGSAAPAPAPRPTPPASKPVFTPTTSSAGRINPIVAARNRRNDAVDDDGWGADAPQVT RTQLEKVESAYKPTKVNIAELTRQKPAEPSRFGSSAARDSGHSDVVGGGYQPVGKVDI AAIRAAAKNQEDLRPTPVKGAYEPVGKVDIAAIRARAQAAPSREEPEQPAPKSLAERS AAFSQPEQSERLTSLPKPKVSNRFGSSTSAFTGTKPPAPGGLGYSKPAAPAPPVVGAA SRTFADQGGKTPAQIWAEKKGKRDGLGSATTITPPLASPIGSQKSGGGEWKSGYTGKS WAPVQTSNFGRGITGQMTGGSEQSAGHPHQEEEEPTSGGGVASLKERFKDTQPISAPA PSAPSVPRVADSPPPPLPSSTRPPGAFALPGMPARPPPADEYEEEPERSPSPPRVAVP VPRSPSPPREPPRALPVRPKEEEPVRQPSPVRERESYHAPAVPTAAAIVGAGVGVGVG AAAAAAVAHSYGDDATHAAEEPISSGQRAIVVYDYEKAEDNEIDLREDEYVTDIDMVD EDWWLGTNSQGDRGLFPSNYVKVVDEDDQDVTVAQQIPEPAAVPAPNPVPASSPAAVQ PSAPSAGPTATAQFDYEAAEDNELSFAEGDIITDLEFPDEDWWFGHLHGASGLFPANY VELNQ
SAPIO_CDS3163	MTPTPPSTTPSSGGAHSPTEQFRVIRKRNRIPVSCQMCRAKKKC DRAHPCGNCVKRDGSSTTSCHYAATAPRKKPQTQAAAGPGDMQNRIDRLENLVLSLMH GGANVEVPSSRTESTGQISQDSTAVTNRSQTEGSSMSASTRTDQGDEGSDVDDRLATS LGVLKVDSEMGKSMYLGQEHWHSLLADIAEVKNYFVTHKKELERSYKQVISSKPAHAL EGPVLLLGTLRTSEVELRAELPPKTTILALCERFFQSSDGWICIVHRPTFLRQLHAHW LDPSQTPLMWIGLLYSILCHAAISYHKAGDEPPDMKGRSLDIAAEYRLRASQCLVTAD YTKAVEYSIETLTLYIFGEYSSRWDMDMALWLVLSLATRIAFRMGYHRDGKWFKSLTP YQAEMRRRVWAMVRMGDVVFSHHLSLPRMINDSDCDTELPRNLLDEDFGPESTELPPP RSALERTPVDYLIAKSKLCIEFGKILRATTNVGRQLTYDEVLQFDTDLRAIRAQFPPH LKISPLYDISEPPQDYMTRFHLDILYQKILTAIEASLAMLHHLESLHRETQPGGRLED LKCHVASLALKDFNYPAALVAVELRYSSREAHETPISPFTQTGYICTPEQQREMLRTL EITRDIWQGMSHMSVDAYKAGAVLKILIESAKMARPREPSRSATPGAGVRLTGINSFV DSQDMRPEQSAALGLGMLAGSISPSSPPNFVSQSQNKLPPISQMDLPMSTGVAEPAMP PELQFDTFGANRPSSPPLSLFPQLGGNGVDTGEVDWDAFENYTQTMIWSVDPAFHIFG TGEDATQQNNSVNDPSKYPK
SAPIO_CDS3165	MTKPSEVTASADTSSNSTSPTTPFDPQIPTSHSQSEESSQVSPT QPLLPASSSRQETAPKQVVEKLLKGKEVVGKTLAERSGKLTLLELPVDILRLIVHEVT HTNDLTSLALTNSTLHSLAVPQIYSRFDIVWPDSDAEATESKNVDALTYGLSTLCLGN SFAHRAHLLRNHSSSTPTAVVHQLRKSNYAQYTRKFSLGNGPSDWVAEYLISKESGKM LGTLVALAVEKMVNLETFVWDMPTGVISDIFLALASHQDRSPDAESKLERVWVRWHDS YDDPTQSDNGQSPDLASQTVIPSSATLTPIGILLPSNFEPQPHSLTPMRYRDSRVEYP TFSVLPPLRSLTVLEIDDVAYLDEMSVLIERSKSRLRELRVGIGSRVTHRDFVKAWDG SDLEQVDHNAHWPGASTIGDRRLGGVLGVIFGRIYDIRRKAPKKADDNSGSSAAQQSQ AEVGPVSYVEVTHPSAAGDALPSPGHVVPILETTPVPSSPERRGRPYVPPRLAVDEPA NAQSRGGDFRKLEGKLRLRTLELERVPLSMQVCTKAIDWTTLTTFTLLDCPYHDNLWK LLKQKFAPTSNIPGIKDANAIKAAPASSLQYHLALKHIHTDQVSTALINFIKETLAPN SLESLFLHDRRHSLSRSVSIDSIFKSVIVRHRGSLRKLLLDSSERRRGNTSNFESPRW RHWAPTTDMVLYLTSGKMPRLTELSMAMYYKDWHPFLQRLPNIPHLRSLCLPEIALTD RVAYNSNPKELALQLVDIITLRPEIELCYVGLSGKCFEIYESEPRSRARRARRGSVDS SSSPAQGSDASSFGGDDDTASQVSVSTVESAQGGATPASVVLDGAESETSLAHDSDAD PLNDVDLALPRCEFRVREILFYDEVSIFKARYAAL
SAPIO_CDS3166	MPSPAPTILDSGFFDHGCDDSGSRQVRSRAGTVVSNCSESYFQQ QYFDSPASQPLSSPCLTSQSTSSASSSTSSAPYLSPIPSNYLSTSLPNNYSPFPGLSP EILSSEWTEQDPTAVDPTITDPTTTAGLMRTATFPLDSTFAMGKQNSYPWPAVMSTSP NSTPANFLPHDLALGSSAEAEFAATVRSRSRTGSPQGHSTLTPEQRELKRQMDQVRRD NKSASRFRRSNSNPYVPDSSSALNMPIYTSSMAPISLLAEPANTVPSQSYLSPYSQQL PEQDPGGLSNVPMYASSLPHQPLQPAYTMPMAYPATLQAPDSNYSHPRSNSYSTGSES NLMYSMAPSMPPNSLPVTGHEAGQVRVVQTRPKPQCWEHGCNGRQFSTFSNLLRHQRE KSGQAAKATCPNCGAEFTRTTARNGHLLQGKCKKRNASDDAGQPMTRSPSASSLADDM RQLQNPALTN
SAPIO_CDS3168	MAEMEYLNRSSGGAGQHPGQPSVCPYMNYQSQHRSVSHAESIHN PPLPWVSHNHPPYHWSGPPPSGMDLPRGPQGPHGLPHLRVPPISNLEPYPFLPGSLPP PPQLPLMNFQPPTNQDTPFGTNSGLPPAPGQSSVGLRSFPSVHNSRPGGPSPPSHLVP PQGPPSVHSSPSQYQHQQQQQHQQQQQNQPQPPPIAHSSSSHQHHHLQGNRPGPAPSH QPANRNLSSSTTSPPLVSQLQQQQQQQQQQQPRPAPAPPSGHHNHMASLGSTTGTPQA GPSHARPNHVNPHGQNQQNVATQAGVDQGQHSHQQPHPQNSHPHHHRHTNLSVVFMQP GSQHVPVSQPPHLPHLNQLSRPFGQDYYPGLYGENMPPTAVHPSQYEFTRLSPVPGGP PPPSELRRRGMSTRSARRNVTRAQPLPSERDRDSDDDPTSLPHTDALFESLIPAGIIR HGTGDDRQLRAAQFFRGSVNTKMVASASAISSLESVDINTLAEGERSCIICYNDFGTE TPEGVKEAPLRLPICKHVFGDHCIKKWFDESDSCPYCRTKVPSEPRFSANSRALLELI RTRGGPVPPLGSSGAIPQEVLLRVLSRDAARDSDEHEPSTPSRRSPPTDSAEPRRRIR ARYSGNMASRPEVSSTSNARPSSFSGISSSGSTQSSPPRDRHVFGDHHLSWGHDRERE MPTLRNLRASVNINPPRPRVAPVAAFYPTPFSWDEQSGIPPPGHTAHGQNGPQNGPPH VGPTPREHSLLPEHVPSLPSLQPDFGSQRETQRSVPTMNNNSHPILGGMNGNGSATPP GGVDIPMPDRGPAP
SAPIO_CDS3169	MVNGISPRHVYEVFRRISDDPSGAPMLDWATNIPNDGLIRYKVP LNQERLLLTNSKALSEVLVTRNYDFEKPGLIRWSLGRILGNGILMAEGDEHKRQRKNL MPAFSFRHIKDLYSVFWNKAQESAQAMMDQIRVDAAKAGADEKAGFTNPTAVLEIGDW ASRATLDIIGLAGLGRDFHAIQDPNNHLSKMYQDVFKPSKQARLMNLLNLVLPGWVVT NLPIKRNGDVRQASAFIRQVCRDLVREKKEKFARKELTDIDILSVAIESGGFSEDDLV NQLMTFLAAGHETTASAMQWAIYMMCLHPDVQTRLRKEVRENLPSIESHQDITALDID HLPYLNAVCNEVLRYYSPVPLTLRQAAVDTTILDQKVPKGTMIVLCPFAINRHMSLWG EDAGRFNPDRWLSKKGEDASAATVGSGGASSNYAFLTFLHGPRSCIGQAFAKAEFACL LASWVGRFEFELKNKEEYDEKNMIIKGGVTARPANGLWVHAKVLDGW
SAPIO_CDS3170	MPREHRRRRSSRDSSRRRSRRRSREQIYTNTSTSHADASYASRQ RQVDRRNSRSSLSSSSSSSYLDISRHSPPVRAGNIFTTFFTAPSEHRRRQRTSRRRAT ARILPFGNSSSSSVDSDLAYGTGFIRRSRSYNSNRNSPQVQHQQPQNDGRQHQHDSRR HEQGAYGYPQQPYQQPYQQQYQQPYQQPYQQPYSQPYPYRDPRYQEPPVPQAYPPVPQ QSYSQYDPRYQRSHDVTPTQHQRPPPIDPRYSDTRYSESASATPTAPTVSAAAMGVAA AAAVAGVGAAASVASRRDRDDRDSIDRRYPRKDRDRDDRDSSDQRYSRKEPDGGVPAR PSRQKRTGVDEEIMEIGRRLTQLQSDLDQAETRPTGLVSGSKGRGIGSSKYKVESSSD DEWESASDSDVEDPLAYGSTVSLPQPSHVPRPPPPAPAPAPAPEPTYTIPSSKKSSVV DPRYFGPQNSLKGFVTPLPEPVQTKAPPMKHVYASPTEDPNRFDARRSSYSSTKEVYP KRSRPTEVQLEQPKPVAPISPQRIEHSSYREENIRKPSSGENILAGAALTAAAGVVGH AILSEEKSKEPRYSSRKESCKYDDGYRESPIRDLPEETKALPERESEESRKKRLDQEY EEYVRSYNNRKAERDRRSRTKYDEEPTLRRDEDKKPEEKLQRESRVTRDPREPQISPK PATEYTEYRRVEDTSRTPSKAPIDPFQFQVDSDAFKTPSNSQPATPPKREKASEPSRP AIVTVEREPDFGDDYDYDPHPRLSRKDSFELEQMAEMARESPSREPSTRRLAEEEEAL KKAYYEAKRAEASEEPTNKPDSREIEAAPRDDPPAKDPVLEEADEYYRKSRKDAAERA ERIRSRSGSRRRSVVEKWKEDEEDEQELERVIVTPPEYEEHETVNPFDKPNADVRIDN ILNHPNELSEFMEKRGRSVDAPHTTTRDLSRERPLLNLVPPTPSVSPPLESVIEKEEE RQTRSRSRSRSKSRSRSRSRSGTREVSPGREVPAETSEPNILIGPRGEIIHLSDDGKA DEPVLEKDVGPRGDIEYPSAETKSAWGIVNNDLASKFAASKLNEEELADADKALDGEP TRSIESTEEVEPEEVPDAEQTVAGVKPPADVEPEADTSAKPPSGEQPVVIEQAEEVDR VLDDAPAELEPSSEREIPAEEEPTETRDINVVDAPTVAEIEPPAEELSGEPVIEHRDL ENEGDDREKDTQDPVPVVEETPVPEIVSTRELVDEAPVEPEPVEEVQVQKPTETAEAG PVEENPTETATADAQLTRADSEIPAEISEVLEGDDLANLPPLPDSITESVADSKALTP KIPGSYGDDFEFAATLSAGLKAAGFDDNIVIEDAEYRRRDSPPGSNDELGPSTASKSS PVLDNEPAADEWGSMFKSKKKKKKGGKKQAKEILPPEESTEAASPEPEPAPPAEEGEA APPLEPVSQPEPEPQPESQPEPQPEPEAIATEPVTDAKEDGFVPPKKLSKKERQRLAK KKAAESFQEEEPPTTSPKDDDWDKVVDEDVQSRALEPTPEDSWGLADEPSEPAPPADD DFAPPKKLTKKEQRKLAKKQKASAASLDEPEVAPEPEVVPEPEFVPESEVAPEPVPYE SEPIEPPTQTLTEESWDMAADVPEPTSEKALNEDDVWHEAPEAPKEAPAPPEDDPWGF PAKKNKKKKKGRQVADVDWDKPEAKPEEEQPKEPTPLPAPEEDPWSAPAKKTGKKGKK KRGKMDAWADPEPPDKGANGDQPNGNGEEATKSDDIGQESFLANADTSGEGVGLPVAV ATAVAVSAALARSNATESPSEEKEKHTDDNDRALGPVSELTLGPIDDVDNLDSGIVER QVKPAIDPLHGDLLPLPPSAPGSPGEVAEDLPDLPDSRPDTPPDQVFKRTMLEQKNRR KSVHDSPHARSHSQTAIPLPYLFGQRSNPSSPRVFKHSPASSPVSSTADSPISRKTAP RPISWEGKDMRPLILSSRHGTESPGRSVSPLKSDREWGPRHRELGHSPTSSLDLKDAK MHFDAPLDKPGPARDDTEPVAEEDDKGEADSFFSPLKKKKKGGKKKGKEKAAAVTEEE TGPSAERTLDVEETRAPSAYLSTAEALWDAAFDMQETTKSELPKSVIELAPAPTGPSK KEKRQKKKASQTSWDDVPESTSTEPLPSEEKALDIPAQASVDVPEEVQHVDDIKATPL PAPEDQIFSTKSKKKKKKASQRSLDDAPEDTSAEQQQPPEDQPPDVPVQVPAGVLEET SPAVDVEVPPPEEEISPVKSKKGKRKKKASQSSRDIVDELPAEQPVEELPVEDPPVAE PPVEEPVVEELPLDTPAQVSADASEEARSIGPVEVPATEEEVIPAKSKKGKKKKKSSQ LFLDDAPAEGTSTEQPNEEQPVDIPAQVSADVSEEVKPTEDLESPSPQEDIIPAKSKK EKKKKKKANQLSLNDAPEETSTEQPTEELPVDIPQISPDVSEEVKDAEIPAPEEGIIP AKSKKGKKKKKATQTSLDATPAERTSTEQPTEEQPTDTPAGIPAGIPADVSEEVKVVD DAEVPVPEEEITPAKSKKGKKKKKAGQSSWDDSPEADSTEQPTEEQPVDTSAEIPADV LEEVKETDIIEVPATEEEITPVKPKKGKKKKKASQTSWHDAPESTPAEQPAEELPLDL PAQASPDILEEVTPADDEIPPPEEEIISAKSKKGKKKKIASQFSLDATEEPLAEQQTE DQPLEKTIPPPDEPEEPKELGPDEDLAPAKSKKGKGKKKSKADKVDASSPENEPPTSE HVIPEDAPAESAGKSVSDTTEAAWGDFAEESLADAVEEPGVKEPETSIPTPEDDATQP PSPATGSAPAEDESGLQFGKKKKKKGKKGSKSQAEEVPEPEDTTVLAAPAEDIPSVLE AETPVTRDASTAAPEDEPSAARVGKKSKKGKKKRGAVDEPAIPEEIPATPEPADEPVD VLDSIPEAAQPSQEPEKPVELLDDEPSVPSPGKSKKEKEKKRKGKSDLTPGLEEPAGP KEEAILHDSFEEQEAVAEPAAPAGEEKSSETVEDNWTPPSKSKKSKKNRKGLSRGLED KPATSPDEPVEQPVQEVSDEPASDLATSEPMTLSPPLESALSGKKGKKAKKKKKSVSF ADFHQEHPIDSSADADVDSTVSPMPEKEDESLDAPLEMRDPAEREVFTSAEDSWADAW DSAPPEVPEPSAETPVTPSLDDPEFSFLPKSKKKKKGKAGKYESVPEPEVAGPSFAEE SVDPLASQEITDIDAASTSAEWGDEASSEPSSEPTVGKKSKKKKGKANLNLAEPQSTD LPLEIPPASDDVVSPLAEPESRPPAEPEQAVPPMDDWPQSIPSKKDKKKKKKGKAKHD SEEPESVDLPETLHASDDAVLQPSEPEPQLPAELEQAPSSADDWSQSLPSKTDKKKKK GKAKDNFEEPQSLDLPETLPASDAVVSPPTEPKPQPPAELDSPAPTEDDWTHSNPSKK DKKKTKGKAAQSEPELSREAEPDVEVSPIQEGDTPAAEVEVNAEDDSSFTPTTPTKKG KKKNKKGISIPADEEPVPEPVPAVTDSLEVEDRQPSQEVEPADELSFTPTGKSKKKKK QKQKKGLSSEFEPESQLELSPEPQPEPQSESQPDPQPELELEPPSESQSQTELHPAVP SESQLESQPEPPFKAEPEAEPETIPESRAAVVEPSEEKETLQEVQSEAEPVDDGAFTP TSKKDRKKKKKNNLALSEPEPEPLPASQLTEVDIIEEPQPTPQSEPVDDWSSTPSSKK DKKRKNKGLALPEPELEPQPEPESLPVSELAEVELAEEPQPAAPEAEPTDDWLPTATA KKDKKKKKKGKGLASLEPEPESPPESQAEPEVLAESAAELNPELIATEAPAETDATPE PQAKVEWASTPLSKKEKKKRKKSLGAVEPEPEPELEPPELQPGPAPEAAPEPEPPTER SVEQEHEDNWSSTPLSKKDKKKNSASILEPEQVAEADAAVEPDPQLESAAPDPVTVAE SPAEPELTDDLPYTPISKRDKKKKKKKGASVPEADPKPAPLEDAELAAIESQRDTQTA EPSPEAESLPIPEFEPVETVPEVAEGTEAELTPQPESVDDSSIPPTMKKDKKKKKKSK LVAELEPNLEADSPPVVATESEDAGPSSLAEPEEEPADEWSSTPSTRKAKKKKKKKAM DTVEPETSPDVERVISEHAATSDMPEPADVAEPLPEDDWSSAPIATIGKKKKKKSKAA ATLEPLNPVEHALVGEPSVDAVPANESPAEELPVEESVLREPAVEEAPSEQTPVEDPV IEKASIEQSPVEELSIEEIVLEEPALEGIPGGEPVVEEPPVEEPAVEEAPIEQPPVEE SPLEEEAPSKEQPSVEDPAIEESPVIEQPLAEDHAVKEPFVEPPAVEEASVEEALGKE LPKDGMQVPPESTSVEDVPQPAEATAEVGAEDEWSLTPGAKGKKKGKKGKKGKATREP VSQLNLEPVVETTPCSETVPEPESILVAEQLTDTQGGPDYQPPTSLPGPSEEQPLASP ADPVPTSDVPKDNSSSPPIGKKGKKKQKKKASIGPEVAPEPEVEIPEATEMAGDSEKV EDNWSSAPTSKKDKKKKKGAASPEPKPDSDSSLPEELSPVPEHQAASIAPEDDGSSTL HKMDNEPQPEAELDLNQKSLPPEPEESIPAEDQTASEKPEDEWSSPALNKKKKKKKKT GASSLEPDPESEAIPGTSIPHVDTAAPAPREKATPASPRDDPIVSAILEPGAQFIDEP TPDLNPETTPEDAIPIPPEQSTPEILEDDWSHTSTTKELEKDKAILDAEAEPIVDIEP APSDEQALPAPEGSTIIQEDDWLSPAVTKKAKKKKKGKQIDEPELTPDPNASSLTPED DAAVAQPASDDRATDEQIAEETRRVDPSYAPALGKGKKSKKQKSRILGDSSSLADTPD SVPASLQTPSSEPLPEDTIVPSPEEATAIVPLTPIPEDATPATGSSEPVDPSILVEAP ETSPVQPEEPPTERAEATADTNPLPEEESPPVISKKDKKEKKKGMSLEASEPGLTQSE PAPELHAEELPAEDVPTTEEQEFTISKGKKNKKKKKSIPSSSLDAEPTTELDVPSAEH DLTPRDLPVVDQHETPEPADDLSSVTKGSVAEGLSAPENLEALQLHPELSEKESVQEP TLPASAAELEDEWTVGNKKRDKKKKGKKAMSFDVSEPSFDKPEGEDVAAAEALSLPSS REVNMETVNPVGPIEAVEPEASSEVVQVGDAAPTSTLEPSLGVNKDESSLPAEEPSSA DQEASSESLSSGEILMSEEPESFSFLRKKDKKKKKGKKSRAMDDWESPAPTGDSAADH GQTLGSPVDPVPVVSADPVHPDTEAVSSEEPSVTEPPTDAPPIDDSDSWTAGLKKSKK KKKKEKASQQASSPHTPEEVTVLSNVREEPEATVPEGPEVAPIETPHELEEHESAFQP AKMGKDKKGKKRDKSISEATTPAPSRPLSPILAEPPTLPDTEGQPSDAAEPVYSSAPD DVPADPIPEDSAEVVSQSAPETGTLPADTPVEEELLADDIPVKHDGPGVADNVVEDSS LTPSKKSKSKKDKKKHGKSSSTPTPAASRPLSPVRDIDNPPAVLVEENLERALPVDAP VEVAEESPAPADNDQPLMAEEPVDEWSFTSKKKKGKKGKKRESTSTKTAPADSPSTLP SDKASATVVAEEAQEVPEPSIISPPDAGELTLQEELVGDWDLASSKKKGKKGKKRGSE ATTPAVSRPLSPIQDISTRELADLPSSEVVPAIEPLPAASEAAEPAVSETVAEDPASE KVIITEPVLPETDLADEAKPEVVAEDIGSVDVNTGAVSPATGLTEEDKPEVVMEDLDS MEVNVTEPVSTETDLTEETKPGAVMEDLRSEEVNTTEPILPETHLTEESKPEVVTEDL GSVEVGTAEAIVPETDLIEEAKPEVVKDLGSVEVDATVPVSTEADWTEEAKAESVEQQ VGIDDAWSFSSAKKGKKGKKKGQISDTSTPAGSRALSPTRDVRDAPLPVDSVEASDVL PAESSQDLIETPAKDLPIEDAADDWGFSTRKKGKKGKKKGLTSDSTTPVDLPPHSPIP DVLPAVDPEPVQNPEVPSPESSSLETDTQIREVEERTDQTREASDSNAKKKKKGKGKK AKDSDLEVSETPTLAVSRSLSPVTEEVIEAPVDDVHVPESSAALGVYESEPVISQEGT DKGIPTSGEVSRPILPVEDDVLAQPSQFFEEPAVVTVDENLAESEPVAQDDNWGFATT SKKKKGKKSKNKSQDPAYADTTVGDSLATDAARGEPQAEPDLAFGIVTEPAPAAQDVD EWALPAKKKKKDKKGRNKSQSASEAVTPTTSRSLSPLRDDTELHHVEPPGTEVAAAPT EPAEPSIEGEVEPVSAEKEADSFEFSVSKKGKKGKKKSHSSSATPSASRPLSPVPDEP LPTPGAEGPSEIHAVPTDADLEIIEKERTAPPEEDDWGFSTSKKKKGKKKHQSAVTTP TISRPLTPVRDEEIGPAPEPLDDAAAPQIIEDPINPQESQQEPTPADQDANDWGLTVK KKKKGKKDKKRDQPSDHTATTPTRSLSPLMQETDLTPAQATSHIIHEAPYELSPRPEK KLKVDNIEESLMLSEPILSIAESSSAPHLDDEQSDNKADVFHPDDNFMADESSGHDKG KDIDYDDPFMEDIRPQASIVKKSKKEGPKSKGKEIETAASSSAVGGTVASLAEKFGGQ VSRSKKKQKKKKILDKRTEREPDLFDDPILWETSDRKTLLGEEAPGEVDAFWGGAGDE ELGKEDGHGAAEDTVREADDLWGGGDDEEVVKKGEERGMEEDAAREVDDFWGGGNDID EPPKMGESREAEVITEPAEMKIVDVPEEINDMDEEAETKVVELVKSADESSKVESVEP LELDVVESPREVEIVEPPREREIVETPIKVEFADPVMEVEIMEPPREVEVVEAPMEVE VMEPPTEVDVGEAVEASRELEIAEPPTEDMAAEAPREVKIAEPLMEIEVMEPPREVEI VEPPMEVEVMEPPPEPEIVKAPEKLEIADEPIKVEQFAGRSTPELVDKPLAAQSVDSS TQSDIVGEPERPGVDDLEMDRSLDASTEQPRETVESKTRGFSPESQPPMELNLDRDHS SAEDSMEESSKVIHPARHSKSPRELLNKEKNIVDRRDLSPVMSSSRALDNSRVDDFVE SPVLGREDSGKMRGLQAGYIAKTKAKAEEPRSRGLTPDTFDDSTLSAEPIYTPTRRPV SRGLEPVPEESTEEFTEKHKKRDRPTSKLAPSTPDVNRDSGFVADSPHLHRRSNWLEE GPHRDSGVHLKDWSGSPRTLSPERSSFSANERPQTSEKAERQLGRSPLPLGYRDLHEH PLSRTPVLREPSPRQVTPEPQKVRRAATHTPTPEDNKRGRYKELPTPLRYGKPEVPRS LSRSATASPAVAQRSVSDNAARSRLSPSPDVVPRRVASNTSLTRHKTPGPHTFRPDTP GSIRSMYSATPPLRRVDRRISGDLRSLSQRSQVGQAGSAEPPSSSQSHSQVQARSLDN PDQRSAQTTTPVANEGRVRSKDMTDVYDGYGEGRIGSPRSPTRPHSMRRRQSMQVLEL ESKVKELTAENQMLAKERQQTEQTYSQKVQSLLSDRDAEIDTLKRSIELLNREVSRLT EVNQGLNTANAQLANEHNGRYRDLELLHAAAARELETTRSSQGGFEQRIRDKDAEIAE LRTQLESAQAKIREMQQQILDSSKPADAEFLDIHDVDYFDHRCQQLCQHVQQWVLRFS KFSDMRSCRLTSEINDEKIIDRLDNAVLDGSDVDTYLRDRVRRRDIFMSMTMNMIWEF VFTRYLFGMDREQRQKLKALEKHLTEIGPPHAVRLWRAVTLTLLSRRESFKRQRDLDT EAVVQAILETLSMILPPPSHLEDQIQSQLRRVMAEAVDLAIMMRSQRAEYMMLPPLQP EYNADGELVETVSFNAALMNERSGDKSATNDDLQAQNAIVRIVLFPLVVKKGDDTGVG DDEIVVSPAQVLIARSHRRKSRGITPSSDLGGAAVGASVHSLGDASYLEGGI
SAPIO_CDS3171	MNPGHLDPCLPVVGTEVLRDLTVMALGSNSLGNKPIRRRMRMIT SCLECRRRKLKCNKKSPCENCVKFSRECVFLSSKLDEASQMRLTEIKEKVGSLERALE RDIAKPSSSSRASGQQGFIVDDIDYELADELDPWPSSYVSVDTAYGDDTSEGIEDVLD LGPRMPPGHTVDPAATTANPQSWTTTSSPDGSVPDFMQPGPTYIQPSTGLIFGHSPHN PSPQLDLLLPPRATCDQLMDQYFRAVHPVARCVHKPSFREDYLSFWDEVCSNVEPRAS TQALMFAVMFSAAASLDEEDAIQRFGLDRQVLVNNLKLAAETALCKASFLRTTRTETV QALIIYLIPLCRAEISRAHSVLVGASIRLAECIGLHRDGESFGLNPVDTYVRRLLWHQ LCFLDIRTAEAHGPRPFIRREEYDTKLPVNCGEEAIQAAGPPPTAEERWTPMLLPLIR FEINEMMRVIWQDRRKLENKKMLLTELLSKTENFRRRMLVKYEGMLSDDDPIQRYTKL VMHMLLYRLYAMVLNGYYRSAESQLPQQLNNVLIMAGIMIIEIAIQLENDRVFGEWVW YFGAYAQYQIALLLATEAFHQPHRRESGRIWACLDYVFNLDRNMPREVKALHILSEVQ SKTAVYQSIRNLRVYPRARSASAPDIEQSHDRDSASPEMRSAPSLEQTQQQQRRIRQP SQQHLHPYYQSSASTSPRQEAALLAGSYSVGQPSSRTSSDAGGEGGTARLAPGTGGAL PVYGSSAEIRPNVVNQLEGIDWEAISSIFPADPTTGEINLAGYHDPSISINWQEWR
SAPIO_CDS3173	MEHSFEPLKNDLLIRAAWGEEVERPPMWVMRQAGRYLPEYHEAK GNRDFFDCCRDPEVASTLTLQPVERYAGLIDAAIIFSDILVIPQAMGMVVEMLEKKGP HFPHPLKSPEDPQYAQLLEKKVDVASELDYVYKAITLTRKKLAGRVPLIGFCGAPWTL FCYMVEGGGTKLFAESKRWIYRYPEESKKVLQKISEICVEYLALQVKAGAQLVMVFDS WAGEHSPASFKEFSEPYLRYISEHLPPRLKELGLEPVPMTVFAKGAWFALDSLCDLGY NVVGLDWLRDPREAVQIRGNRPVVFQGNADPGILYGTKELITKTVKDMVDGFGGGKKG WIANLGHGITPGVNPEDLKFFFQEIHRLTAP
SAPIO_CDS3174	MTASYGRGGAGNISNASYPPLSYKDLQTPTLKTSVFTTGRGGSG NMAANNDPKEARLRQDVEPVVRRASSGAQYSGRGGAGNIFHADELTLSKSHECAVDDG SSAKSIDLSFANKGMQWLRSRK
SAPIO_CDS3175	MTERVESVELGSYNQRKHDSEDPDDRPIWAPISRPSLMLSLYFI PSALLCASVVEIAFRTATPKKNTSETKFVRWTNDEEDGFMANWPQSLFPNEDELPITS AAVALTVSVVVLGLVAYVARNGPIKTTYWHRVSIISFLVLNVLLALASTIYAFTLNSR SAGFNVQFAIDTAKAASADLTAGSPASFIYDLGTFTREMWACNVRGLPNFNAGLGGKM REACDLGIGARWLCLFIFLLGTALLTVVLMDHRGGGYFMQSWKRRRAVERNNVVYA
SAPIO_CDS3176	MDPTRYPPIMHPQMGDTSAAYSQVMQHPQMSQQPPHMPMQMPPA PQQVAQQQLPPQLPHQVNHGHVAQQQYPTPLSQYPSSENMQSNGNQVAAAPASSIIPS PRLPPGQSLLQSISKVDEATGRKYTLVVEQQPKRARMCGFGDKDRRPITPPPCVRLIV TDLSGKEVDVNSIDHGMFVLNVDLWNEDGSREVNLVRHSSGTPSISSTTPASYGSLTS STPAFANILPSHRDSSYPPSDMSAYGQPIMSQYGIPPGYGQAPSPYGQGPQPGYPQSP YMPPNAYSPATQYYPQGDFRSNMGPVPQLPSNTAMGHYGATSPGYGDLSRMGHNQPQG MFTRNLIGSLAASAFRLIDSNDKIGIWFVLQDLSVRTEGHFRLRFSFVNVGPPPNANG NGEGPVVNTGKSPVLASCFSDVFTVFSAKKFPGVCESTPLSKCFAHQGIKIPIRKEAN SRGGDDDDDY
SAPIO_CDS3177	MASTPQVGQRLSYDGVVCTVRYVGEVAGTSGTWLGLSKSPTAAS FVRPTRPSEKPQSFLSALHEKYASEVDEKNAPITSARLVEISGKVVEEVGFDKIRKLL ARVEDLKIVILDSMRIVSAVGGGDDGRFGAMTVAETCPKIVELDLSHNLFVDFSPLVD VCSQLPDLRSLRLNRNRFQEALGDRSLEHAGPVFSKIKELALEETLLDWDEICHITAR FQSLASFNCGTNQLATIPTPLPEALSSTLTILNLEFNDFKALSDVTMLSSLTSLRNLH LKGNNISAITSDPSLPFPVFPPSIQYVDLSYNKIPSWSFVDALQTIFPGLTALRIAHN PVYENPDHTAQQESRASTSEESHMITIGRLGCLKVLNFVTINENDRANAEMFYLSRIA KQLATVPDDSGGEVLKEHPRYQDLCELYGEPDIIRRKEMNPDFLEARLVNVTFRHADP RGKTIGSLRTKQIPNSFDVYALKGMAGRLFGLPPLRIRLIWETGEWDPVAGYDEQEGD SSDEEEEVAEAEMEWAVGKGASDDEALDRGKSAASAMVGRWVKREVELMDSPRALRFC VDGQEAKIRVEAR
SAPIO_CDS3178	MPQIAGREVGPIGFGLMGFTWRPNPCSQEQAFAAMRAAIKNGAT FWNGGEFYGTPEYNSMTLLNAYFKKYPEDADKVVISIKGGTVPGTLRVDGSPENTRRS VNDVLGQLKGSVKLDMFEFARRDRNAPFDVTLGVLENEYVKTGKVGGISLSEVSAETI HEAVKITRIVAVEVELSLWATEALENGVAEACAKYNIPLVAYSPLGRGILTGQIKSID DIPEGDFRRFFPRFQPDTFPINLELVRQIEALAAKKNCTPSQLAIGWCIALSRRPNMP TIIPIPGATTEERVNENSKLVELTDEEMAEIDAVLAKFEVAGGRYPDGDPVHT
SAPIO_CDS3179	MAETAPQEPQSNDQLVKSDNPDHPANLIPSLCAKFWTLGWVTGT GGGCSIRDDDLVYIAPSGVQKELMKPSDLYVLSLRLQNPPSPRTYVRSPPSGRPSQCT PLFLAAFTKRNAGCCIHTHSQWAVLVTLLLEADADSGRRKVFEINNIEQIKGFGKGFA KSGNLGYHDTLRIPVIENTAHEEDLTEFMEEAMEEFPDTYAVLVRRHGVYVWGDNVHK AKTMCESLDYLFQLAVEMRKLSIPWISDIPVVQPKKLTGQ
SAPIO_CDS3180	MSLEGLQERLAALQETTAQLRDLIDRLASLRFTPGAVPLRVDEE NTVSAELSSEISQILREEEDELEILKEETEDLRSGRPGSDAEHQKTRLRDALARLEKE LSLYRISFRQAQLAARQSLIEAQRLEREILLKSYSEPVSPTDGETNGSTTVTHPPFRK QQTTSLSEEDQQVVAASTEATRGLHRLRDNIEKALLVSNATHETLQESSTALTQVGDS YMSLDTMLSSSKELLGTLVKSQKSDTWYLQTSLYMLLVTLAWLVFRRWMYGPLWWLVW LPLRLLFRTSVGVSNAVSRSGDHSSHSSAPGPARVEDQKASVEGLPGDSLPTADVQTK QPDAAPVDPDSMIEKVGRVVDEADGSGEPPVVPQPSAEGGTIEKDEL
SAPIO_CDS3181	MITKFMTEISTKFNPFSPTARSARLFLSLLPPNARQTIQIKTTL LPRTSKEPSSLSVKFKDGKEMNLDCEKLGIKSLTEEVDRHSRKLQKQADLTDG
SAPIO_CDS3182	MSYTLRKVGAPYTLEHRVYIEKDGVPVSPFHDIPLYANAEQTIL NMVVEIPRWTNAKLEISKEELLNPIKQDTKKGKLRYVRNCFPHKGYLWNYGAFPQTWE DPNHVHPETKAKGDNDPLDVCEIGELVGYTGQVKQVKVLGVMALLDEEETDWKVIVID VNDPLAPKLNDIEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFTGECKNKKYAMD VVRECAEAWEKLITGKAPAGDISTTNVNVEHSPSRVSPSQLPPLPANQDLPPEPIDSS IDKWFFISGASA
SAPIO_CDS3184	MAARVLLQRSAVAPVTAALVLGGFAFQPRTAHAEAPSRKPIYDD VEEIPTAKIEPAAPATPAAPAPPPDALVPSQPAAEETPRRPTPTDRLAVEIGKARMFL YRHAVTAEDAVNRTVDKAFNLEQSFTNTIASLAPPKDSGEKLMPGVVYVLVAAMAGSI VARNRGVFLRATAPLAFGTGAAWVVLPVTMNNVSNLAWKYEQRFPAVASTHVKVRDSI EQGWTFAKVHKDVGVRYVDETVTNARETVESWVRKGK
SAPIO_CDS3185	MATGVTKVPIPRRGVDYRGKVVLAPMVRSGELPSRLLALKYGAD LVWGPETVDRSMIGTTRRVNDSAGTIEWTRPPSHGQKEKPEDARESVIYKMHPAREGE KLIFQMGTCDPDRAVACARLVAADVRGIDVNAGCPKPFSTTGGMGAALLRTPDKLCAI LEALVQNITPEFEIGISVKIRILETAAETEALVRRLCATGITGLTVHCRTTPMRPREK AIRDQLRMIADVCREAGVACLMNGDVETRDEGLRLAAEYGADGAMIAVAAEKNSSCFR SEEDGGLAPWRDVVADYVKISMEVENKFGNTKFVLANMVPGKQMVHGPITQCKSYTDV CERLELDHLLEKARAADMAVGLGEFATAAKKKDKKANVAALAAGGNMAQARKVKKDEN KSAGKPSHPAETARPPEACQSAVAASSA
SAPIO_CDS3186	MPLLSHTGRTSSGNSQTPQGEERSKIQTLITERNDETQMYSGDP QDMEIPEFVRTGSYYVTGRDEPDCKYFQASDVRRQVQQAPTTPRRFVKYLNRYHNGPR PLLHARRPFKEYPIAPSHQNGSAPGNFVCGDPGPVRAFYSESDRSEFDIGYREPPRGL RKGKKHPDAPYSLASYHPAPTYTDTSTIGRVQASS
SAPIO_CDS3187	MPSPRRMRLLCVAVLAGIVMTMLWTTHRQQSPLSAETEAHGLRH LTKDRHDQTVLNPADIVVDKTTKGGADGAKKEVADRLMKAEQEAKEIAQAKAPLKPDA PSMVVGVGSSADGQDATLKQHESEDGTKSAAAGASVETEEEHEAEQELRSILKKAPVI IFSKTYCGFSRRAKALLLERYVIDPPPFVVELDIDPLGPKLQTLLKETTGRGTVPNIM VNGVSIGGSDDIAELDRNGKLVAKVQDLGEARQVSMKLRF
SAPIO_CDS3188	MAPAVDASGPFHRSHSSSDLFSQTHRNLSCKSLPSVPFEIPSFD SDLDIDARLSLDTDALTLSISTKSLGTNVTTKAVTERVEKRSRLGRSNTVSERTRSWL PSSKSATNIRDFLTSRSSSPAPDERVLKSSDNGQPAESRGQTRSVRSTSESLPAHYRR SWMGDSPSDSPRSSPEPLEVPTLTRDKGSRKGYSRFSKPTSGVTEENRAAEPPRTTSR ALNRIFFTSKMRQRPSPVLGKLATGMDSDDGCASSSSSIAPATHSTNTPTSQSNSISD FNSTTDASSLENSIASFQRDPLISVFKNLDQEAGKFESHAVPMFERMYAMKSALLVFL HQYTSQGSITSLHAGDIERRAIVLNRWWVALLDLLECLGPHPTPGVDRISLLEALTLI MMRPEWRHSTSVFLPLADRSPNERLRARSWTQSSNSSADSTEAALLTESAEHNVRSMF ISNLVRQMEAVVCKMSQRPAPVGLVNFCGKACAYAFFFAPGVANILVRLWTLNPLLLQ RVADEFSLPRTSNGESEDIVALFPPAVSGLGWTSVKAMGNSLKTTPKMAAALAKIPWH SPWIGRWRGRDTDLFFIFCKYYHILAEEFMPLSLPLVEKARAPGFVIVHSQMLSVLDT TIHRQAALQALAMAPPISDGLHGLDASATAMPGLPADLFKSMSENRLVVLLRDILSDN SSAMSAPRHTFAEAFMADMKAAAKMTAQFNYNACHTLCDFLEETLPIYEKAENAEDPA SQYADWPFWFHVCKLILESLNTMSEMRVLCLIYTIWDAATRLPQRKEALCLDWLLSEG TFYQLFNHWCPMVRSYFMRLLCWRVCRDDGRASTLDRKIFEAVSERLKTVWANYLYLK QEAELRSRFPPSTAPCYPTPGKKFMIIRSEANMILPNSFMGFDATGKLPTPDILADNS SIDALQSKPDGKKRWSLLGFNKMRSSKTDSKSSAEDDGEPLRQWTPGVQAEKGDSVPP PPPPKASGNSSTGSRTPDPQSRSASPDLPTQAQYVFRFVLNWYPPAAVPPVDRVLTRP RLPSPAQSWVSTHRRTNSQPPPIAPGLPAFTRRVSGAPQTGLISEARNAVPLDTHAPA RSRPSLSSSVRTTGSRSTPSLSEDSSSERPSLNLSIPDWTSSGTPENVSVHGEYNTEP IRPTAAHTKNAVYSGRALAEWSIVVFECNNFVERRREEGVLGLRDVEVPTLTLEGYRR IG
SAPIO_CDS3189	MDKVPFEILAAILRVTVHSMPKNAALNLRFVCRAFDTALKPILC QTLNLEFTRLSRFSHRRPPTPEGLQTIGYHCTSLYIDLMLLRDELEVGFLKTLFRDVP SMTEFCDTLNTRYCMNPRSFTEEEYRASVTELLFYCNRVERIRLNLPFPLVGPHCTAV TSTLANTFAALNRKEDEEVEYSKLKVLVLENAADISICSLWMNPLDVVNIRKSIECLE HFVISIRRREPLLDRYPIYAISLWSLIASASLLRTLSLVGLDCDHRPPKSIKHTVSST VDEKLKRVEISADFFSSARSIFGKTLRELFLNEVYLKVSGTEPDQTHPFKVLWVGLPN TRPREGDIWVAHVIRENLPKLRVCRASRLGYDFYGTEAGLEACQNFDLDDPCGLKRTL ARRFVEVVTGYDQPKLESGEPCIMLSPQREHSQVWKEELKPVSKRMRPSEWDTIAYQS LVANPTSAWLRSIDGIFPNCNKGTLDELHAIAQTACTGMNKLTEHRNSLAGEALVVEG GDSGSDTINTPQVHQVDWNQTSLQ
SAPIO_CDS3191	MEFPVFAPQTVLSSVKLGELLQALDRSPPPPGHCDEIHPKCGNC VKHGVSCDFENPAIIQQLLAAAIATSPTPAPTLVDPVSPAPSNFTTSTSVPAPSPAPS QLTATIPDVPQIFSPLPLTIPAPAPTGSNRFLELRLMHHYTTHTSKTLATSVNLSDDV WVNAVPKLAFEGASYLTDAMLAVAALHLRSRNPDDQDIVQASHAYMASSLSAYCDTLK AGINETNAEALFLTASLIAYQSTATRLFIRDDPNTNSPNARNGGYNLPLSWFHAFQGV KAVVVSSWQWIRNSRAVLSVIDSQPPLQLDPELRSSSSFFGHLTEGIDDEVDIEDEEN PDSTRNAYYHAISVLNWAHRSPHTGACLAFPATVARRFVELIELKRPRALVILACFFA LLKRAQHLWWIDGVPRREIMGVVSLFEPGSPWWPHLDWPLKIALYEGAVIPPEVWGFD WVAETNRAAQNYNPQTTFVEHIEVVAQMLSRPNSLPCGPGPADQHMA
SAPIO_CDS3192	MLRTVKPRNARSKRALEKREPKAIENPKTALFLRGTSCSQIVQD AMTDLHSMRAPLAKKFTKKNEIHPFDDATSLEFFSEKNDASLFVFGNTSKKRPHALTF VRMFGHKVLDMLELYLDPESFRRIAQFKGRKCAVGLKPMVVFAGSVFESPISNEYTLA KSMLTDFFAARESTEKVDVEGLQYVVSITAEDPIDDQAKPKIHVRVYLIQTKKSGQRL PRVEVEEMGPRMDFRVGRSKDADESMLKEALKKAKTTEEKTKKNVTTDLIGDKIGRIH IGKQDLSKLQTKKVKGLKRGRDEASDGENDVMAVGEDELKRQRV
SAPIO_CDS3193	MKGEVLHLHLGQAGTQLGNSAWELYLLEHGLGPDGRPDPNAGEL AEGGSFETFFTETSNGKYVPRTIFFDLDPSPIDEIRTGDYRSLFHPEYLVSGKEDAAN NYARGHYTVGKEEIDTVMERIRRVTDNCHSLQGFLIFHSFGGGTGSGFGALLLERLSN DYGKKSKLEFAVYPAPRVSNAIVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRNL DIPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPV VSASKSAHESFKVHDLTFQCFEPNNQMVVCDPRNGKYMAVCLLYRGDVVPRECNAAIA ALKAKASFNLVEWCPTGFKLGINYQKPVAVPTSSPSEGGLASVDRSVSMLSNTTAIAE AWSRLDYKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAAEGFDGEE EEYEH
SAPIO_CDS3194	MARSISSILKPSSAKIDPALDALFSSSTGPAKPTKPTSLVRREE TKPAPKQSNTTSSDEDDADEVESLEGSEDAGSDSAEETSEDEIMNDVTLEDSAPVATE KNHARPEKKRKRKDLADDLEERHMRKLMQDVEDTEPSSKRQKQKGVDGGKEVAADADA SESNDESDEEDDKPIHESLAQEPKDNDVEKAIRTVFLANVAAEASSSKEAAKALRAHL STILDKDAKPQEKIESIRFRSLAFSSLALPKRAAYITKSLMDATTKSCNAYVVYSTPA AARKAVSALNGTIVLDRHLRVDSVAHPGKADHRRCVFVGNLGFVDDETVLNTDKEGNT TSKKRYKVPSDVEEGLWRVFGQKAGKVENVRVVRDPKTRVGKGFAYVQFYDINHVEAA LLLDGKKFAPMLPRPLRVTRAKNPQKTTQAMQKRILASNAESTTPGSTKYKPKSTPDQ QSMAGRASKLLGVAGAARHVRGSKKGFPSSSKSAADVKTPEQIVFEGKRASARDGLNL GKRPKFRKAGAKRLQGKRAKRVIDWKKKKTDSGSS
SAPIO_CDS3196	MAAAAPAVPALSTANAIPDGEDSNLSSPLSEVEDKDGEPDDPDA MALDDPEPHDAGSDSESNLSDANDTEAETERLYDTPQITRHKNVVLGQIDEDEATQET PTKQSTVVVADALQEEDESLSDVDISAPPSSLPDETRSPIKSPQSPSTDEKKLSSDAK KRKRSPVADQSDSEGPLRKRATSVVVPDRETSAKDVPIDSKTIKPRSVRSGSGASADT KDEKAVTPLGGASPAPEPMVTKKVTRNGSKQAKAAITNGAENRDDDVASVDEAETKGE GDAADADHDGDVDAAAKHDDEEGNEPRRMHFSQDLAMTLELTRRHAAEKKRIAIDEWG AIEEKFSIFRDRLYKDRLEKLEREEQALTADVPYHPEYLNMKQCLDELHEKKVQTITK EHEYILEAYDRVAVARRAIIWGQFYQGIREARERMLSELNKSWHETQNARRSAHRAPD YGLLFPSTPAQRTRNAVAYNTEVSIVSGIAKHVGFPAAPPMQGASSGEIEEDLDAMQL AASGSSL
SAPIO_CDS3197	MAGIPKASYYDRNLRQGPALLRARRPYLVKNALTGLGLFAVVSG VYWWTITAVGQDNFEDVKVPDAPVRKAQS
SAPIO_CDS3198	MLKKQNPERKHFTLLQWRGQSLSDVENTRLIEPNLDKVRSKSDV PPAVFMLPGWQLNDARRLVLSDVKLYPSVIKGILPIIAPTSHLELRRWTADFLADTFA TPALPSKDKESMQPFVLDTVQSILETPDEDAHVLRSIIQTAASIYPITLRWIIENSYD TITWERITAVKTRILQIWDKGNPSVQICCIKFAQKVVLSQSVSGSEPRRGDGLDVSLD KVPPNHTLLDPRMLEAEAFGLLDRMLGVLQDNSSDALVVDATLNCLSVLVRTRPATSN RIVNTVLNFNPLKLANSPMTSKDRVMAKSMEKTTRMFLIHLAKRDPHNPLTPRIHQQI ERLMRMKTEIFDETARKRALDLQKEMSEAKRLRALPPGAPAVLPQQQEIPELGPPPHT LGAIFTLTTSAPLSSFDVTSVPPPLLARLNVSTLVNVDRQALDRAIQAVKDRLTSLAV APPVLNPNTAPLGVEEDEDDYEPDFYAAEDTEQILNKLDSSSEDLKPPSLEDALQLEV FTLPLAPPLTTEGAVSIGKMSISQLAEQLKASEDPTIKRPKVGFNRFASSFGDRDSLV TMLVRLAAQSTAGLADISPKKEDEDVGPSASVSSDIRGILHTYIVDDFRKRIDVAVAW LCEEWLNDKLQASRSPDSPVHYEKLALKLLDGILPYLHPQDKILTRFLAEIPQLSPAI LSRIKHMCRDPTVVGLALTSLLYLIMMKPPVREAALDTVQGIWEEYEDARPTAGKYLA KYRPAWLEKAKRDAATPVSAPTAAANSGVAA
SAPIO_CDS3200	MSFVEFYITSNPPLRPSGVKYVLEQVQKNQIPFIEILYEPSVPW FQCLVAAGEEATLAHFLKAVLFHIVDSQADDFLFDQQPGDVDFAPEEDPLVFTWRPFG DSPEEDKAYDPYRYPESFQQFPFKTVWQRLQTVTSLGNLDVAKVLQREEDPVWSLERF SQLVGCEVSHNFGQDVIYLGAQTEASVDDAVRKLDMLLYYRKTGLPPATHLILPPHPR ARFQLDIRRISDFTMAQSTILKTSPTVSQAEYRDLYMKGHIITYRIQGTAQPVFPALK DAFTTREDPPDVEFREFSPFAKAFYTPREALKRREKPVVDQPKPVPNNDQPKSAFIPD QPKHKSVSDQLEPAPTPDHPEPRLLTAGRSIDGLASPKSASTSSIRAHHQKMNVSILN WIQNVENLPPQNIASPSITSGPASDLATIPIRAPDESPIPWEIPGVDATVHVPIRAID ECILGNLGSSMVSTKELLPRLTRGNSGRLDLLGPIDEKISSAPLMEAKNVVLSGQNRS KGSNYTKSVHSRFVELPISQEGRNTISNPTRRLNRSKVDELFSLGDDQEVLRNLMEPL APTPAWVPSTLQPRLMEESLPPREYHQTMNQKSGGRQNKQAKGRPTPIFESRPWLASS SSIVAPGGSQHAQRADSWAQPHTSGQGSGESNVPTSGTDRALSSLPESFRSRGFSLSG GRMTARKLPLTMEISAKDRANFVTALEERLVKMAGRAKGLIGRVSLHCVLGRIVMENI HESAVNFTGSGAERACFDQHHLLRELAVFDESNVRFHPIISMNGADADRLVNVAWTST NASNHQWTLQSTKAFFDFNCRSVQTGAKFTVEVDSQDITATFQSHNGTSIVDLIYIHC PNRSWDLNFILKVTDTDYFSRKYSTFVQSIMDGLEIEAAPFGKANLRFELDPSYGVAV NQVRVRHVARYQDGENGDTYLDITMWQDTKLTAEVPDGQKDGTRIVKATPSEKDDKRG LANIWYEAHFSSRHAEEIFAENETVEFGDEAQWTVKTLKEKGVFQSLYKPAVGMVEKI DDIGNMNDNGRNPFHAPAGNDRSRKPESCRPARSSFQMDW
SAPIO_CDS3201	MAALIPTLFGGVAAAAVAFVLWLVKINRIMRTTPAEALKISPNR WTKEEIVETYRRIEKSPLDWTPHLPPKLDRRYIVVGGSGLVGSALVLQLLARGQDPST IRIIDFRRPIRPDLLSGPGANVDVTLADITSAEATSAAYSKPWPESVTSRNLPLTVFH IAAIISPSERHPLVYNRCAVVNVDGTANSIAAARAAGADIFVATSSSSVGQHPVDFFL APWESEPRGYMQVLTEEDFYKPIRPPSQFFGNYAFSKAVGERLVCDANEFPPEGADLS RPGGFRTGIIRPGSPIYGGPQDPVLGLLLKQKGPSPTFSAPWMQNWVNSSNVAMAHLL YEQRLLSPQGRALAARPFLVTDAGPPPIFEDYYTLARITVAKNPPVIQYPPPVLLLVL AQLVEWYCVLLWRFPFLNRVFSEPGFPLYWLQPACFSASINLIIDDSAARRSPEQGGL GYKPLCTTMEGMCMQVHNWNETYSKAEGEGK
SAPIO_CDS3202	MRSLVSIVMALGLASAATITDFNVDPNSVAIRDRGAWCMGERNT CEALCPGAAKENKCDINTLDYTCTCNNGTEPGLKYYSASLYSFVCQEAFKQCTERSAG DPVELPKCETDIQAKCGTLDASKLPTDDEDTSTPTESSASSPTPSDSSSGSNGSEDSS SGDNKEGAAASVFVGTSAVAVAIGMFAVLL
SAPIO_CDS3204	MEIKTVQLTPFTDQKAGTSGLRKKVTVFQKENYSESFVTSILLS IPEGAEGAFLVIGGDGRFWNPEVIQLIAKIGAAYGVKKLLIGQNGILSTPAASHVIRK YNATGGILLTASHNPGGPTNDFGIKYNLANGGPAPESVTNKIYEVSKSLTSYKIASLP EVDTSTIGTKTYGTLEVEIVDSTADYVAMLKDIFDFDLIRKFFKDHPDYKVLFDALHG VTGPYGKAIFETELGLKNSTQNCVPSPDFNGGHPDPNLTYARSLVDVVDKENIPFGAA SDGDGDRNMIYGAGSFVSPGDSLAIISHYAHLIPYFRKNKVQGLARSMPTSGAVDLVA KNQGLNCYEVPTGWKFFCALFDANKLSICGEESFGTGSNHIREKDGLWAIVAWLNIIA GLGEERGTAPAIKEIQKDFWSVYGRTFFTRYDYENVDSDGANKVLGVLKDLVADPNFV GSEVEGRTVTEAGNFSYTDLDGSVASNQGLYARFSSGTRIVVRLSGTGSSGATIRLYI EQHSSDPSTYEQDAQDFLAPEIKVATRLLKFKEYVGTDEPTVKT
SAPIO_CDS3205	MASKSATNLGQIIEYIPGRLYLAAYVHPPTADTLFPYADAPVGT TSRSPSKRAQAQRKQPFYFSVDDSLLYNAFHHDFGPLHIGHLYRFALYFHEVLAAKEN KDRPIVLWSKADPRSRANTAALLACYMVLIQHWPPHLALAPIAQVDPPLMPFRDAGYS QADYGITVQDVVYGVWKAKEEGVVDLENFDLEQYEMFERVEHGDFNWITPNFLAFASP QHKPVAAVPRHSEAFKALPKTLEAVDTNEELPQPFKNVLRHFVEKDVGLVVRLNSHLY SPSYFEALGVQHLDMIFEDGTCPPMKTVRKFIRLVHDMINNKKKNIAVHCKAGLGRTG CLIGAYLIYRHGFTANEVIAFMRFMRPGMVVGPQQHWLHIKQGTFREWWVEERTEMKI RRELMAAAAASNSNSNSNSTANAAPSTPIRAMQKASLRNGQTSTPPNRSASNRTPLSE VDDRNNIQEDYLPAPTPGQPRKSARTDRHHPYGRTPSSSYHHTTVEEETGVEQETEIV SVHRQSYGAESDEEIHLRVRAHRKASQSPSGATTRSVSHQTTTTTTTTSTKHYSLVDA DDPSQDIENVGPAAASKPATRVVSTPVGVSSTLAKVRGSQRRDSPLRSHARDASVSNG GVRKTSGRVGSVSAQPSATARKVSGSA
SAPIO_CDS3206	MESQTSPANMPAKPNNALHGIRLWVVIGGMMLGVYLVGLDLTML STIVPPLTDYFGTINDVSWYETAYVLAVCVFIPLVGRIYTIFPNKPVYISFLIIFEVG SIICAVSTSSHMFIIGRAVNGIGSAGLLSGVLLIIFAICAPSIRPVVTSFAMSLISVG AITGPLIAGALTHSVTWRWCFWIFLPLGGAVMAATLPIPIPEQSAKPPLREAFTGLHK KLDAVGFVIFAGLTTMLLLALTWGGGQQFDWSSPTIIGLLCGAAGLTAVFALWVRRAG DDALIPPSSLRRRAVSVGSVVMFLQGGATQMIPYFLPFWFQAIHGDSPLESAIHMLPS LISNIIALITFGALVRRFHYIPPWAIAGSALASVGSGLLATFGPETTTGQFVGYQIVT TIGRGMAFQPVVSVQEEVPAEEAATGLAVVNLFMNLGTAVAISVSQTIFQSYLPGLLA EYAPETDAGSVLRAGATNIRDLVPADHLPGLLVAYNKALTQMFPRPHYENDGGSPNIE GIRFDDMNPESLSIPFPTPRLASVALNAIAVDKELSPLVRRSFTLDRANPPSSGPAQD DQANESVLRVEYRATTNRMLRVATNSFMESLSLVLEVMEKMDVGALEGTKGAKEDGTK P
SAPIO_CDS3207	MAMMDSSGWNPQDQAMASTTEDDFQQFLEMQGMSNMGDGLNFDF QSFQDSNNTAMLSQAPREQMDTSMGGTEPSLVIPTTAGLSQGQITAMTTGASHGSIQA HIAHMPPTPTDAISEIDAQIQYLQQQRLQQQQRQAREHQSTFYNGRNHVVPPTPQSLE IQAGTGQFFSPVETPMFDNRAHCMKDTQPEMAFTPLVSPAVTPLDPHFNVDSTFALPG TYFSPLTSPALHAQNDPGVFYGQQQMQQPVVNTTSPVEMDLETPTPTAPISDNTKKAR KSGTKTGRKVNVRQSPIAKPQRRKTTSSSIVNQVLNEAVENRLTSSPSVLANRREDTD ENSSVSPEALSDMPPPPLPPPKASSKKAKPAASLPLAAMPSPATPASLMKLPASSSTV KVIPPASNHRGQALSDPMDTFFQLPDSATEIPEDHPSPNDAQTQEQRQSTARESSAGK TPLMQALPSPSFARSGTISASQSPMLAPGGSTPAARRTPQLGPRGSKKRTGSVTASPA LLPKISPNIKPLLPGAGDESLEDTASRLLATKSNYQNILEGNKVPGVSYPSELSTNLT SKRTSHKIAEQGRRNRINSALQEIASLLPKGSSKDGKSSDDADGSGEKKDGKSGVPSS KASTVEMAIEYIKQLKREVAEATKRAEEAERKLGIGGVEGNGQSELSRSANEAERSAL TGAPQNGEPAT
SAPIO_CDS3208	MASEVLVAHTGQRFQVDTTQFTSIDELKAWVARQSSIPFQKIVA LTPEGRSLRIQGLHAEASSAAVLLLRFPTPSTNALPAVPEHPVPKRYSVPPAPNSIGD IKAMSAWQELYKERRAWALDVSQECSHMNAATQERYKEIDAMVKCLDAAVANLEFSVR QIEPKYAELKKWMEPALSEHEQLASNWESYLELARAIPISHQMVKFMTSKDVRPNRAS LVDLIDMDTARKAGRLAPTSLRKFNSKASELDKTASQMYRFLQELGEDFDKLVSRSTF LRAGESVQLQQDIEALVKKIDSDYQVVLEYSASQRDVLQASKTASIHTERLIPSLKKR AKDMDDMLQYATNARNAIAADSAGFMRSITEVTSLHASVKSQITVLNQAEEDMTTFDY LRLIHQLPYMYASFVAEAVRRREWSEKVKSDSSTLANTMAVFQDEETKRRRKWQKTVG STYGRDKFDASTLGLEVNLLGEEDPWPSMAKQDIETIIESLRAQNAEAAVVEDVIKLL NELNAPTKQQSKRIKAFKNGSIHEAALGISGLLMRGDDDLIKSLQEDKTKLESKVKTA ESRIRRLEDLLHRQSQASRPSLGNIFQVPSSDSTSSIKPSLKIPDGTETLLSRIAALE ADLNAEKQRAAAIQKDLLAQNTLNDNMKEQMEEANSTKKDLLENMEALKREFVEERKS FESEIKGLQARLEDTEVEIEHFGESREHEKASLDEKILELQDEIAQLEKEKKEVVLKT QGQVEFLRNESRIQRDRNEILEKQVRTLEEEVKNAANAHEEAAAASEQRIQALQDVFR QLAPQKAIPEAVDDLAHALLATASSIMTNARETKDRIHSLESELAQARKTVDELRGEV RETSSKLAEEASTSSKLQAVLESERVRSEGLGTELSEAKQQLGQLQVRIADGETGSES LRKTLEEREEKLAALQQEFASKQSEVGRAEEELHLFKERLQESQAKIGDLTRRAEARA ERAKNLTQRIYTQNDRLCRLLEKLGFSVTREGSTMTVQKVPRAERALHMQNTTELSDP ASPSARRSSILPGNTSPDGSQLELLYWMNADDEETESEKYLAFMETLGSFDIDLFSET LFRRVKDMEHFARKFQRESRAYRDKAHLLQREAHEKIAYKNFKEGDLALFLPTRNQSS GAWACFNVGFPHYFLREHDSHGLRNREWLVARISRIQERVVDLSKSVQPQGDVDSLTE EGNDNPFQLSDGLRWYLLDAHEDKYGAPSTPGLGKSTVAANKVEAVADMRGRSGTVGK DKQRLSQHSIEGVSKTLSKSLESRRGSTSSRKALPFTIGGGGLLKSTPLASETNSLRA AASETASVRSPPRSGAHVEANDGEPAGRAAHGKGHVDGDGKAVEQTGAPEHTTIGSRA KLDIGGKSD
SAPIO_CDS3209	MVTPTLKAIHHRADTSPLEMDILPYVRERDSTSAVLAKQYNDLQ QTWNDLSEVQSKTLHISRDNVAMTSELLELAEAANHRKFGTSTGSELEMEMEQARQEV KESRQRWKVIKGTLSAVIVGSGIAWAQDQDLLEMVLDPEENE
SAPIO_CDS3210	MPKATTKRGGKVERVRRGKKDPNAPKRGLSAYMFFANEQRENVR EENPGITFGQVGKLLGERWKALNEKQRAPYEAKAAADKKRYEDEKAAYNADAGDDDES S
SAPIO_CDS3211	MKFSPLLFSPGQAWYCIVISVFAVVILGVISHLFRTGHESVVGG INDPPSEAIPAIVSTITAAIFVYLVCFHRNICLPQLRRPPLASLDKPSSPLTCDVL
SAPIO_CDS3212	MRYQSLLALALAAIAVEAQETPETGELGDAVAVQDNPKGAVYTA EFPDKPFSPVNIEGNVKGSVTAKTVESGVEFQVKFENLPQEGGPFMYHIHVDPVPEDG NCTKTLAHLDPFIRGEATPCNPEAPATCQVGDLSGKHGAAESGTTFEDTYVDPYATLK EGLGAFFGNRSIVLHFANKTRITCANFALVSGDEGDDKPADEDDGHGHSHDDQGDEKP APTGSNSTTTRPTPSEPPLATNLPEGSAAVSSISLIAALAAALFAL
SAPIO_CDS3213	MLKQIWGPERRFADSTKCWSFAQPSDRNLGLASPNIRVNWTKFF LNVPMKIHTVAAGGIAILSGVVSAQGFLSSCSQAWFASTSTPWLYARCDSISKEKILS RIDLNQCIGNENGVLVSRTKYVVAYLLLLLTFESRSSSQRPAADTSKSLVSHDAVCPS LTGPFNYRGNFQGSCNSCVADDLTNVSDPENPVIGDITINLPVQGTNLTCSCREKDGD QRIGTSINLNTVIGNDNGVLKCFDNYGQSFG
SAPIO_CDS3214	MSYQYPPPPPPNGAEMDMPHTGYLPNYNVPPPTSSSMEMRPPNA PEHVPMGMPGEKRRNKLGYHRTSVACDQQPPTDVRSKASSRASTGPKIASASSSPAIS SGHPTAEIPPHQPYPPIQNMAPPAMKPTGNEPFSPDGKMPASAPATGRPFDFSTQPMT NWMSAEQSPGSTAKPNDLNATWRAYPHESPITPSFSPYTPHAPTSAGWNAPVSAESAP REDIPWSSYPPPPPRSMSFGGEGMSTQTPGQYSSMANRQYERKPSSISSEIYPPPLAT SLPGVETTQTGTAMEHNVALSAGAVPPPGYGNWQPQYPYGKPGDAYGGWGYGENGSNA PVATEEQVHAPESHQAPGSMYYPPR
SAPIO_CDS3215	MFQDHMHLRFHRARSVVLTTQELVEIRAAQRTFEGAYMRTALSQ FSFSLIILKIFTSEFYAIGALFAVYGAAVMLVAIYRRYEGNRQFFSHMETDGHQTKKF RTAGNSVVLLTFLSLCAYVTLLVLTWKLSG
SAPIO_CDS3217	MAFSVPRNVPSFSNPQRKLEDQLWASSGMSSRSTTRGSALLHNV QDRVENLLEGGGRLPMYKDKPYTYAASRRMRPLWRRRRVMAFLGVVLLLAVMYYNGAF SREREGRASVWSWKSLTEAPRGRVDWEKRREHVARAFEQSWDAYERYAWGYDEYHPVS KGRRNMAPKGLGWIIIDSLDTMMIMNQTERLSHAREWLQNTLTWDQDQDVNTFETTIR MLGGLLSAHYLSTEFPNMAPVAKDAGGEDLYLEKAKDLADRLMVAFDSDSGIPFASVN LGTLQPIPSHADNGASSTAETATLQLEFKYLAKLTGEKFYWDKVEKVIKVIDDNGAED GLVPIYIYPDSGKFKGRNVRLGSRGDSYYEYLIKQYLQTNKAEPIYEEMWDQALEGVR RHLITYTEPSGFTIIAERPEGLDAPLSPKMDHLVCFMPGTIALAATGGLPLSEARKLA TWSRKDEEDIKLAAELTHTCWATYKYMATGLAAEITHFNVGKPPLSEGAAHPTPPSVL SSSDADAPWRADFIVKPADVHNLQRPETVESLFYMWRITGDVKYREWGWEMFMSFMEH TAVEGGGFTSLTNANKVPPVTRDNMESFWLAETLKYFYLLFSPDDLLPLDKVVFNTEA HPLPRFEMGRLFSTGWERKPRDRFGRIIKKEEVSAEDKPSKEGE
SAPIO_CDS3218	MAPNTPTPSTTATPPTASSTVSPTTTSSPRPTSSPYLTPALTTH WTRPEKCGYTYDADHLPGAGTALTAYLDRYIDEDATTLSCYPPGMFESGNSGTFSPAS CPTGWYTVDRPDKMDSIPASGRTTRTCCSKQFTLNGDFCEKYMATVIATPVQYITSEQ TPTSVRHMSTYLVDASIAHHPIVILFQEKDQGVLGIFDDDEHIVDPDNSSPSPKSSPD NLPIGAKVGIGIGTAVALSLLLGLLFWFLRRTSPKPAKIYPSGLHGTSSFESRPGNNC RSSSSSLGTAAGDLETGEMRFQPRQDADPPPAYEPSPQRNSLSRPSTGGGGGANSPAG EELRALKEQQEAIQRRIEQLEGSATDDTRRVS
SAPIO_CDS3219	MADDEYNAEEAAELKKRRQFRKFSYRGVELEPLLDLSSDELRKL VHARARRRINRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIIVPEMIGSVI GIYSGKEFNQVEIKPEMVGHYLGEFSISYKPVKHGRPGIGATHSSRFIPLK
SAPIO_CDS3221	MLALRQKAARVALRPTQFSRATRRYASGGHHHEHASADEPLGTG LIITVAALPAGCLLYFAARRGENGEEPALTRWLRKYQSLNEVWLERNTLHSQAVQQAA ADKLLFLTAPRSTNYELRFPEIIQSHSPRNVVAGSLINIDAVTERYRKQHYEEEERKA KKLAAKQQAEAAEKA
SAPIO_CDS3224	MFAPTEQTGFLDGRLLQGCFRESERCEIVGLSLEGLRNALVESF HAHMTALIEEIKTSGCILRDLADKSQVHYQRVPIVMHYLDVVLPCLSKSLRDITEYID DRTSSKEIRWRKMYNKMTEEGGGIPLPQRFVLYNHYLRLLHQLLTRNPNFDLNTLESL RNRILELREKRGIAHTPHTQSTSGALIRPEMILAPMVPEPNAHWAEQIFSLPLPSRTA FQNPRRSKSFGPHQQAAQVPMPPESKVLFRRKFDNDSLALIAYINSSNQAPYLIMQPY HMGSQWFSIRGVHELCIHRDHCAVNLRRWSRREGAAKLWASLYFITWEEMVLFHCTFA SLKARNSLTLEVDSDEYVLKGEKRLFQAQIIDDGFKHSLIVYEDRETKGMRLHAAVWD GELRQCPVWTAFITHQCTSPTWLEKKSRYRIWLKDVQLYVFCQEYRQHKQRRNREGAF ELNFINEKACCKFRDLFYPPPSPASNADSDNNAGSS
SAPIO_CDS3225	MAPLGRSIEMATLKLREDSVAHGSSYTMREPIYDDVPPDSVTTR FINSFRRDPSRRVTPLDPKIEAAARAEEANHLGIRYYDIRQATLQTAHSGLARKLKGR HLQMIAIGGSIGTGLFVASGQALNHGGPASLLIAFSLIGIMLYCTTQALAELAVAFPV AGSFSSYSTRFLDPSWGFAMGWNYALQWLVILPLEIVAATITITYWNKDIAKAGFVTL FLFVVMGSNLAGVRVYGEVEFTFAMVKVIAVIAFILLGIVINCGGYPGGGYIGGQYWQ KPGAFNNGFKGLCSVFVTAAFSFAGTELVGLAAAETANPRKSLPTAVKQVFWRITLFY VVALTLVGLLVPYNEPRLLSENSDTSGVLTANASPFVIAIEKARIAVIPSVMNAVILV AVLSVGNSAVFGSSRTMAALADQNLAPPFLSYIDRKGRPLMAILIAGIIGLLSYIAEV ENQEIVLDWLLASSGLSSIFTWGSICLCHIRFRKAWAHKGRKLEDLAYRSQIGIIGSY IGLSMNLLVLVAQFWVGAFPIGWRDMTSRQLAESFFLKYMAAPIVCSFYVCHKLYYRT RIVRIEDLDIDTGRREFALPILVAREAEEKRAWPRWKKVYKFLC
SAPIO_CDS3226	MASTYRQFLASPNSSLLSADATLHYVTTTTAIRGATDIIKHLNS LRNQVKKTAEDFLDVIEGRNAAAIQVKTTMTFVSSGGPYLPGLDDNFLSEREVHLPIM HIVSFDGQGKIAQIRQSWDQGALLKQVDVIGRSGRNWPIRDGSDQIKVITTCLSARGA VAESAPESSDVLHRSRGNSVNALRDPHASLELFAPRDAAEDAAAARIISPYAGTRPRQ RSFTEILGDEPTDEDDGSPSRGRSQSPSKFIAPKAGSNKKFQPNRLFETDEQDEDDGR GRVQQQTRPIAPKIGAGKNFQPSRLFEMEEEAHDEDNGRGRSQHQTRPIAPKVGAGKN FQPSRLFEVEDEQDSPDNKPKPDRFYRPNPKRFQHFALGDEHGEGEETTPKASDQTDR SRSRSKHDSSWSFDDFVTPQKALPTRTIRRPQDVRHWDNEAEETPANQRKPAGKPRRD AEHHFDFADDGPEPTEPRPAGVPRGTKHNTGLGLYDNHVYHEDGKAPSPGPDPYALGN ITNLKDRKKTFDPSFSMTDDPEDDQTPQPRKVSEDRKKAVKMMEANWAATNDSPASQK ENSRGSAAAPGRRLGNQGISIAGDGMGSRKGANADRASNKGILIGGDGMGGKKGTARD WLFPEED
SAPIO_CDS3227	MRFRGKAAASWRSILLSSLLWTAAIAKSDTPKMSVTSFENPPRG LRYFRGSDDILFHDPVERVVYRSDDAGTTWHKVEGVPAGAAAALVMHTYDPTRAYILG REDKHYRTSDNGKTWTKFASGADSSLSEAGYMSDDVLGFHAGDPDRILFNGVRCKLFI CSYVTTYTTDGFQSAPKDLRHHATGCWWAKSTPEFTTGEDELDANRVLCIVEDGFTRR KEDQRLVISDTFFREANGQPEENEPTIGTTKGILGAVNLAGVKKFILVATTSARTDEM ALYVSTDTKKWHKAMFPESHGHTINQGSYTVLESTNYSVQIDVMSSRPSQPMGVLFTS NSDGTYFTENVEYTNRNDMGLVDFEKISGIQGVFLVNTVSNGEKLEKHGDAEREVVTE ITFDDGRTFDKVMAGDKRIHLHSVTHMINMGRVYSSPAPGLVMGNGNTGSHLESLEKA DLYVSDNGGVTWKKALEGPHKYDFGDQGSILVAVKHSLEEPVSEFSYSLNHGDKWEQV SFPDGMKLIPSWFTTSQDSSTLKFILLGKEAGREGKFQIVSIDFEGLRERTCGEDDLE DWHARADSDGKPTCIMGHKQTFRRRKKDADCFIRKEFKEAIAKTEPCECADLDFECDF NFVRDDDKKCVPDGPLIDSSGACKDKKPEDTFKGSSGWRKIPGNTCERGKGDQKDDLV ERKCSDVVGGPSSGENDGKIVGDHFTFKTKFKDFQKFYLERGDSSSRGDESLIVRPVL YEGSHMSYDNKLWRTSNHGKKWERILEDEDIDGIYPHPTFNDVLFFTTASEKVIYTVD RGIHFHSFKARRKPATDVYPFSFHPDKKDWIIMLSKNCDDSSESCYREAHLSVDRGDN WKTILRHVVKCEFTGGDAYKTRHQKQIVCVARGQDDEDAKETKLFVSDDFFDEDITNP KLIGVGAEGEASARNFATMAEFIIVAAAHEGMEGLVAFASVDGKTYAQAKFPANLKAD HSVEYTVLDSSTHAVNMFVPTEMREGRRYGNILKSNSNGTTYVLSASGVNCDDYYYVD YEKIPGLEGVSIVNTVANRDKPDEPKKLQTKVTHNDGSEWYYLAPPAKDVDGKSFSCH SANGDPSCALHLHGFTERMDKKKSYSVATAVGLMFGVGNVGSHLGSIKDADTFMTTDG GITWKQVQKGVWTWQYGDQGSITVLAKLWRADQQVNTNHVMYSTDEGETWKKHEFSEE EVAIHDITSPRSGSSRNFILWSSKGDGPVSAINLDFSGLSSRPCEESDYYAWTPRDPS GGDGCLFGHKSKYLRKVKGKECYNDGRVRHELMVENCQCTRRDFECAYNYQLDNNGQC SLVEGLSPLNAEEWCKQHPDEAEYYEPTGYRRIPLTTCKGGRELDKALNSWPCKGHEE EYERRHRVSGVAIFFAVTVPFVLAAAAGWWVWRNWKSQFGQIRLGESSAFDGEAPWVK YPVIAVSAIVALVAAMPLVATSLWRAATSTYQRVSGGGRRGSSRSWFYGGTRRFTTRD SFARGMGDYADVDDVEGELLGDESDEEI
SAPIO_CDS3228	MGTCSVCCTRSNLSIYFSTLERLRLTQHPNITLDSSQATNNFYD FSSAPLRLRRARRARGGSDFTTTLPPLAPTPRFRTLSVDTTSQHRSSESQTAFLADKA KTADRKLLSVIPDTVDAGSLETETPSAPVATAHTQERDNIEHSGLNSPDSPEPLSAQI HPHLHEKLPPADMMAGHNSMVPDSFYESFRCLEETSNLDLQLRLDNYPTSNTRPNSPP QKQRNPSFRRHLSISKVHLGLSSQPSSSRPGTKDTSATSTTSPPPSIASFGMPMSPTH GRRRSRALSLRSPGPSIAENVSGPIPVPVPSGLDSTAAAHYQDPEARLKLRVYLASPQ KFDEAVEFGFPSTELRPGRRAPEVRPLRKQQSCFVLADDTDKVHTFLSDDKSSVYSDD VSMAEPDSPKTPEPLEKPPATRSYRAASDAGLVAKMASDYNQAAASAREMTLRMTLTR PDLRAGEDQIYGWQQKHVTSGGRKSQSSALREELSPTVVYIREGNSKDSIERQFAAFD QWAPPERGVVKRFWNRVRRQ
SAPIO_CDS3230	MFSLAKAHRALKCSVVMNQFTRPQGKLPRLVPSIIQSRNTSGVS FDDVKTISNLDSEGSIQEPAQDGSIKREEFWRKIPIWEGVDAKNFLSYRWTIANLVQG PEKLHKFLVSVLPEGIPLDSGGSQTQSRDAFIADVFAGVSAATMAVRMTPYILSRINW QDPRNDPIFKQFIPLKSSMLPDHPQLVLDSLHETADSPVKGLVHRYPDKALFLPTSVC PTYCTFCTRSYAIGADTENVQKLSLKPTRKRWEECFAYIESCPTLHDIVVSGGDSYYL QPEHILMIGERLISMDNIKRFRFASKGLAVSPNRILDKNDQWVDAIISVSEKARKMGK AMALHTHFNHPNEVSWITEMASQKLFEAGVTVRNQTVLLRGVNDNVATMSQLVRTLAD INVLPYYVYQCDMVERVEHLRTPLRTILELEAHIRGSIAGFMIPQFIVDLPGGGGKRL ACSYKSYDQKTGLSTYEAPAIKGRGKENKVYEYWDPIDSLPETRGDKAAESVQVPKQA SA
SAPIO_CDS3231	MSLLGFSSPKLCLRIALSTRVIPRQAISSSSPKPPKRPGFLERV KWYKEDSASPSGTRRPAVTEILTMYEELPPTYRDRDGLPFSKKDLTPAEVARIFGTKL KPEFANTLLRILHGRRVAGTLDDPAYKANTACFTKKQQETALEYLRQKIPVDETMNSG LRAQDELEQLEKEMAGLKEPSEEAASNKEAPTSVAGEPETTSASPKDDELEINYKPDP VYGHSAIDLIRAKNQARIKAEEKRMEEEKKVKGEPVAGTLEAYVERGAVSPRMQKWME EGTSGIEEAPELSTWDRLAPSITFAILFVGSCIGFAMIYNPPEPKDRVFPEFSAGAVT VATLIGLNLAVWSLWKIPPLWRLLNNYFMLVVAMPRPVTLVTSMFSHQKLSHLGLNMA MLYYIGNRLHDEIGRANFLALYLSSGVIGYVASLCVHGASVASLGASGAVLGITAAYF WLHLWDKFRIMGFPAEPSEGVDGVAFLISVLLWNLIAAFAPSKAAQADLASHMGGLLS GIGLVHVLQNVAKTISADDAEDKDEGPNGVQGKDAGTYVVPSVSPDAGVDAPSPSQQH APGQERRSKSFDLWGTKHGGS
SAPIO_CDS3234	MAPKNRVIIDTDPGVDDVLAMLLALSATPDELEVLMISVTYGNV PQQSCLRNVVSLFHVLEKELDWRQSKARVGAYETMRAFKPIIAVGPEHPLDDEALMAD HFHTWKSMFRNDEAGASEPGFTPSSYTPSKTPAHKEILRLLRENPEDTISIAVLGPMT NVALAAAEDPEAFLRVKELVVMGGAVDVPGNITPLAEFNCYADAVAAARVYALTSPNP KTTMPSIPEQISSLPPYPERLSRRLKLTLFPLDITEPHLLNKDFFSEAIKPSLEEGSP LAQWVHHFLSRSFEKIESMVGPGIEPGLSLHDPMTIWYLLTQSDPAWKPAPELEDIRV ETCGQWSRGKHIIDRRGRKKPGEAADEIPDEIVQLGAVMLDDVPGDSQGWLSHKKGNR IKRMVSSPGERVFEGYIMKRIFG
SAPIO_CDS3236	MPSETTYADDFQQRSSGAMLAMPYPPLPASPTLTNPDMILPEYE RSSSPDRSQSPLHMWKAPLTLADMQYHMPLQNAFPAGPITPTTPIIYGNGTMLSDIGE VTEVESTVGGNSRPASPIPFRARSYNNSGSDAALRSSPTMGAGVVTAPTAKQRRKNVP RERSNSIESTSTVTTSDRPALFADFDDAVSVGDSNFQGDDEESVAESLADDASVQSTR LQSGGNGSGLNEENRYSTSSIGRRAELILANAKRRLTTMEGNLSRARSSLYINSTLES DGSLSAPSPPFASPLGASSEPNSVPLKVGHSRMPSDNLIQTDTNTSPPSAYPRRSASA LGAAGGYRQPLNGSKSLDTLKLGLARSVHGAPHNQTDNSLETLSEDESGSESKSRRTS AHLDGFLSPTFGHHPDLPGSRPGSAAQMRELKEQMIGLKGKISTLREQARADSLKRRS LQSLRTPSPFTHARIEQWYAEPQKHSSDNTDTTERSPWNGEVSSVDNGEAGKILNGEN AHDPVSAADKPSSPPREYEVPPEEAKIEISPMDSVSISHADPPSHEDPAVDGEGSDDI DDMCTEDGIEPIDDDDEPFRRSISGYTSESGDSLYHDAYQTPLSHEDREDAFDYEHFF LHSAMGTISQQQFQRRGSVGSDDSVETTKGPISTVNEQEVRPRHHTRRGSGDTTSTTD TFATADEGKKSPVTTAAEAPAGVEESNSSASGEPEPELEPENSSSFGSTNYDDYINGV RARRRHNSVIYRPTRTSLHRPSVSSFESTGTNRSFPLVNVNSKVKMNGGILTPQGSPD QELKSISDTLMNETTSIFSKEGIEGGSTSPIQSLPREDQLLVQRLVASLGRCVLGLSE HDQGSPQHMMMKRRLNEARLILEGGGSTAEE
SAPIO_CDS3237	MLLLDYQNVLIQSILTERFSGAPPATIDQTVSDFDGVLFHISTP ETKTKIVVSIQIRCFKDLVKYGAEQVLQREYEARDDLIMKMALLKRNAMAAPFELAYQ EYYQLRDEASKYTSEEAPQGVREGGEVMAIHYREEEAIYLKASHDRVTVIFSTVFREE TDRVFGKVFIQEFVDARRRAIQNAPQVLFRNDPPLELQGVPGVANSGTGEIGYVTFVL FPRHLTPQRMPDVISHIQTFRDYFHYHIKASKAYIHSRMRKRTADFLQVLRRARPDNE EKERKTASGRTFKVQS
SAPIO_CDS3238	MTSSPRRPSRRARRHDSSPSLSQTLLTLTALAPSLSHAIDLNPV PQSNIDFSRLGRIGVAGDFTGISLYEFEGQSENTFSKNGSESLLTQLPNSAFASLVST DASIRTMCSFTRSNGDSAGVIIGGNFTSLNGQKSQAIARYNPDTGEVTPLDGLEGQVN ALLCDQDTDRVYIGGNFKADDSTNAIAWNEDQGWISLPFTGFNGPVSSITKASNGHIV FGGSFTGIGNATTPSTPDEQVINISEARVSAGLSTTSAGFSDPRNIICKTGGADGPGN TWLLADNSLGFWQADFDFGFRPTKLRLWNTRQDGRGTKTWRFTVIPDNGILNFTYIDP ATGQNSTCTNQCPLSNDPDVPFQDFHFVNSVGMNSFRIDISEFYGSGAGFNGIQLFGD DIYSYAINRFNEPACAGLGTASSATATGPWEESPSAQSSSKYLTARLSAPITENSASI VFFPDIKESGNYSVNMYTPGCIGDGTCPSRGQVNITGIMSSNNRISFSTSLYQTNNFD KYDQIYFGFVDATSSSFRPSVTITPLAGQDLDEMVFVAQRVGFSLINSTGGLNGLFDY DPASTSVQLSDVQTSAVNRLGSGFSGGSVVTSLVTSGDVLYVGGDLSSQDAKNVVSIN TADNRVATLEGGLDGKINSMLLVDKKLYVGGRFSNAAKNVRDLGNAAAFDTESNSWTA LGAGVNGEIQHVVAMQLNFTANKPETVVAFTGDFTECVAFSANPATQVSGLAIWVPSQ NNWLQNLDQSVPAYGGALTASILNLPGGGSLYAGSVSSSQVSASGAATLTDGKLNRFP VKIVSESDSTASSPRVRRRALVPDEGVSGVTTGAFYESGGRNVTVLGGRFSATASDGS TIKNLVLIDGADNNKISGLGSAINDNSTFTCVAIGGDVLYAGGSVTGTVENGKVHGLV AYNLAARSFPAQPPAVGGDNATVSSIAIQPKTGLVFVGGSFTQAGSLPCSGVCVFNAE QTQWQQPGVNLQGIVDSLIWISDTTLVASGSLTVNDTVSTSLAIYNSQTQAWDRYPGA DTLPGPVEVMTMGSSDGSQLWVMGHANDNSIFVIKYDGSKWFTAPQGLLSGSVVKSMQ VFSLTERHDATDILPNRQSLVLTGSLVLQDFGTASAVVFDGQSYRPYALTTNSGNVAG SITKIFSQNQDFFTAGGGNMPLVFVVLIGLAISLGLMLIIVLAGVLLDRLQKKREGYI PAPTSMIDRSSGIRRIPPDQLFESLGRERAGVPQV
SAPIO_CDS3239	MTLTLDTNQRYGPLNFDPSYPSSASPPQFSDPWSSTPAPSVGNN IYASSNHSAHHHHQTLNPGMGMNCMPRHHNARTSTSSVSSSASYASMPVPATSAGKYP DPLNAALMDPVYGEPSYASSSPVHAHYPAPTSPYDNLAYTQNPIRPPFTLPPAPDARR FSQPALQHHDRRGYPDAMDASHGLLSMSQETPRNIYAPPRAQRGSADSYGFPPTTHST NSSISSTSNFSTYRESSLSDYSAMGSDMDLSRTRTLPRPQNLMTSQVPPAPQSLMSQF SSKVSSSTQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPYACEVEGCGKHFSVVSNLR RHQKVHKGEARSETGSEEHQSE
SAPIO_CDS3240	MVHKLCVAYGPLIGHIGDVPFHAFPEPEALTGEEVESQLRSLGF GYRAKYIAKTAQIVASKPKGWLNSLRNPDNPAFPQTPILDSCGNDPVITYKAAHTELL QLAGVGPKVADCVCLMGLGWAEAVPIDTHVWQIAQRDYKFGKGKTKTFSLSMYDAVGD FFRELWGKEAGWAHSVLFTADLRTFSDRAVKKEDVAAAVVKIEETAQKTVIPKKRRRD SLKIDGAPDIKLSTRELLQDDDQKMQPTMSDADFETIRKLQAERNAAKKSSRTFDPAN QRSDSTKQKLTDSFDTDLYDRDGADKYAGYHTSIPAADEDDEVMEDGDSSRRLVGQYT APKAVIDDITHGNGVDDDDLLAGRGERSTRIIDRETDYQKRRFDRVLTDTRADPFAAN RQAGAPEDGTSYREVMEIRELEREEERVRREIASKQLGKVGEEGEHKEGVPTLKEGDK ENAEAGPTEEVTAVRKRKKRWDVSSTAAEEPAPAEPVKAKRSRWDQAPAIPTPGEEAP KKKSRWDQAPVATPAAGQAAVTPAHPSQVGGALPPGFPVDARNMPISDEELDALLPGE DQGYKILVPPPGYEPVRAPAHRVAATPASQTGFMMQDPNAVRLSGKPMAAEIPGMGDL QFFKPEDMAYFGKLADGADENVLTVDQLKERKIMRLLLKVKNGTPPMRKTALRQLTDN ARNFGAGPLFDQILPLLMEKTLEDQERHLLVKVIDRILYKLDDLVRPYVHKILVVIEP LLIDQDYYARVEGREIISNLSKAAGLATMISTMRPDIDHVDEYVRNTTARAFAVVASA LGIPALLPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAVLPHLKGLVECIGPNLND EQTKVRTVTSLAIAALAEASNPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGHII PLMDEEYANYYTSQIMEILLREFSSPDEEMKKVVLKVVSQCSSTEGVTAGYLKEHVLD EFFKSFWVRRMALDKRIYRQVVETTVDLGQKVGASEILERIVVNLKDESEPYRKMTVE TVEKVVASLGAADIGERLEERLIDGILHAFQEQSVEDIVMLNGFGSVVNALGTRCKPY LPQIVSTILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKLGIVLYEYLGEEY PEVLGSILGALRSIVTVVGIAQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRIAD RGPESVNAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLR VQERQSRVNTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGE MAKDYVYAVTPLLEDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLLYPN LFETSPHVIDRIIEAIEAIRMAVGPGIVMNYVWAGLFHPARKVRQPYWRLYNDAYVQC ADAMVPYYPNLSEEKIDRPELAIIL
SAPIO_CDS3241	MNGEGYSRDGGRKRDYASRGDRGEEHRRDRDRDRDRDRDGRRER RRSRSPNYRSSRRRDDETDAYSSSRSHRDREREDRYSGRERRGGEREWDRDRGSRRDG RRDEDERPARRDRGDAFDDRRRGGRDRDDFGGRDRRRSPSPPPKPREPTPDLTDVIPI LERKRRLTQWDIKPPGYENVTAEQAKLSGMFPLPGAPRQQAMDPGKLQAFMNQPTSQV NRDALKPTHSRQSKRLLVYNVPPSLTDEALQSFFNLQLNGLNVIEGTDPCTSCQVSKD HTFALAEFRSAADATVALALDGISVDADEAMANNGSAEPKGLEIKRPKDYIVPAIVDT PYEPGVVSNVVPDTPNKISIADLPTYLTDEQATELLVSFGELKSFVLLRDQHTDESRG IAFCEYVDPSVTDIAIDGLNGMELGDKKLKVRKASIGIKQVSGIEMGVNAMSMLAGTT STESEESSVVQLLNMVTPDELMDNDDYEEICEDVRDECAKFGTIVALKVPRPVGGSRQ SPGVGKIYVKFSTKDSATNALRALAGRKFADRTVVTTYFPEGITVRATFGYQYHNSR
SAPIO_CDS3242	MGRLHSKGKGISASAIPYSRNPPAWLKASPDQVAEQICKLARKG ASPSQIGVVLRDAHGVAQVKTITGNKILRILKSHGLAPELPEDLYNLIKKAVAVRKHL ERNRKDMDAKFRLILIESRIHRLSRYYRRVGNLPPNWRYESATASTLVA
SAPIO_CDS3243	MTDPTNANPSPNASAVVSDTPPAPAPSAPTTNTDVAMTDADSHL PVPEPPLVPEPTIDGADRDSASNTLPSTRPANIRQYLNEKVCPSLTAGCKLLFQDPDH LPEDPLRILGEYLLERHAEIVAQRTKEVQDTPKVDRPNGETASV
SAPIO_CDS3247	MAGPIRHPINQANLERYLQEHVPEIKTPLDIKQFGFGQSNPTYQ LTSATGIRYVLRKKPPGKLLSKTAHKVEREYRIMRAIGEANAQGSASVRVPVPKTYCL CEDDSVVGTPFYVMEFLDGRIFEDFSIPGVDPETRTAMWADAIRTLANLHSINPASIG LASFGRDKDFYSRQIKTWSTICKAQEAVSDVESGVKVGPLPHMEDLVSYFADLKKQPV DRAVIVHGDFKIDNLVYHKTEPRVIGILDWEMSTIGHPLSDLSNLLTAFTTAPAPSSP FTASHSFVSNPAFIPGNTPGLPTREDLIAMYASATASASAAKGKGSAGGYDPRPGGEV SWGAAFNLFRLAAVLQGIAARQAVRQASSAQAAVHAAARGPLAELAWRMIEDREKEGR GNKARL
SAPIO_CDS3249	MRISHLRGLAQPMKCDIAIKHPYGRPWFTVGDVVGGAIKLELKK DVSITSVVVSLTGKLTLSFVPVEEEFWIDGFPDSRGIKITKKILDCHHTLNPSTYEKD HRGLEKGHLRIPFRLQFPIPPCSQFYAPPSLDMREDDLRATVTYSVRVEVSTASKVRS KLVEKHAILFRPPNHVVLHRPLSIPTTSICAMLAADSLNPGVTPPYEAPYLPQYCPAL QVDLTLPSPTVLQLGQPVPMELDITVTEDLMSCLGCIRLRRLHISLTAATSVQSGPAS RATESTTTICSINGDFSLISGGKRGTCRIDSNLWRDRGVPEVPISFSSSNVARSYTMN VVVGFSSGKRDNIECVAMTSPVNIWCRSDLPPEYSSDGYILQND
SAPIO_CDS3250	MSQPGSRRRRNTTQIEGINHIGERRPLLIQLPSDRDRDREREQD QERIPLYSCVSNPHSHLPVYTNIHRIRRDVISVIEDYLSQTQLTDVRINITVVRPIVD KLYELDDISIVAGFEPFQNAPESVKREFEETTSWLYHRPLPALEIAILTESKYFLSST PCQKVHVYTQNLWSFLDVGFIAIYAAYLTLRTYGWYMHDSEPGQQALDVMAIAAPVVI PRLGFNLLSDSMVFLSLRAMMGDFAMLTVLSAWCFVGFLISLQWLHPGSHDPFTTGKW MLWIWFGLDGTGIQRSTEFHWLLGPSLMIAFAFLGNTLFLTILVSILSNTFAAIARDA AAEIQYRKAVVTLEGVKSDAIFAYQPPFNILAVFFFVPLQFVLSPRWFHKIHVATVRL VNLPILLAIALMERRVLWTPTLTSRLPRVGSARLTRPSQWFWQRWNIAARQDIRAVFD MPPPDTVEEAIAADDELTHHLIRRQYTHRDSTHQSERKFRRRDSTYPGLAPQMRGSVE ESEEALDISDMDSRLSAVEASTARIERLLEKLCSQEERKKRPVPESTDGSATLKDVDG 
SAPIO_CDS3252	MKLVRFLMKCANETVTIELKNGTIVHGTIMSVSPQMNTALRNVR MTAKGQDPISLDTMNIRGSTIRYFILPDSLPLDTLLIDDAPKPKNKARKEVADRGGRG RGRGRGGGRGRGRGFRGRG
SAPIO_CDS3253	MMAILHQALAIGVLLYAMLANGYHGPYNYGATASNLLLKRQNIS ETIVITNLTSESEIDPPARVELRELKKDSYKWNLYLLALSMFQWTETSRPDSWYQIAG IHGYPFASWNGVEGINPWTGYCPHSSILFPTWHRPYMALVEQQLYYRVQFIASLFQNE TERALYQRAASVWRMPYWDWAWRPLDGAPVFMEEFGLENIRMYGPSGWQLVANPLYSF HFTGNKTLDFPAQLREWNETKRAPSDQTVGAVSRSDEVANQLEMRLDQLQQRLFMLFS SYHNYTTFSNIQHLQQENGKYESIEAIHNAIHSSVGQGGHMDYIMYSAFDPIFFLHHA NVDRLVAMWQALNPSSWVMPLPASAATFTTNVGEIQDANTDLKPFYTSGGQFWNSELS QDTRSFGYVYDDTVNVSLTRQSDAEALEELKRVINEKYGQSSPSASIVLQPRLRARDL TTDTEMAEKFRKDAVANDRFIPNLSSHGFFSNPPFSAILKKGNRYTEWIANIKIQSKD IDRPMSILFFIGDVPDDHKSWKDSPNVAGSFGVFSMAAASNPTAHITGTLPLTSALTK MVAAGFVHSMDPEDMSLYLEHNLKFRVLDANHEIVDLGRTGGLSITIASASVKAPSKN TGLPEWDNLVERFTLILTMSQSAQKGRKTAARKGQPWSVEYDTLRRQHLFQNPPKDQS VHPLLRDAVQFHNESFNALFGEQGLMRHAIADIGTKWFRDGELAQTGESTNDLRIRIK DVALQYPEIIPHRLSTEERKPLYPWECRERHISYRGKLLATLEYTINDGDPVEFDVDL GKLPVMVKSNRCHLEKLAPAQLVQKREDSEELGGYFIVNGIERIIRLLQVNKRNFPIA IDRKSFANRGPEYTTKGVVMRCSRPDETSLTNTLHLLKDGNIIMRFAWRKREYLVPVM MILKALVDTNDREIFEAIVGPAESPAAKDARLVNQLEVLLRTFKHYGLYSKTQARAFL GSKFRSVMGVPNAMSDIEVGDEFLRKIVLVHLGNVNVTHEQDYDKFSTLAFMCRKLYA FGSDECAAENVDVVANQDVLLGGFFFGMIIKERLEEMISTSLRACLIQYFRTYPKDTF TSSRFEQEFPKRIFTKVDYKIGQAMEYFLSTGNLSSVSGLDQQQASGFTIVAEKLNYL RYISHFRSIHRGAFFTELRTTTVRKLTPEAWGFLCPVHTPDGSPCGLLNHLAHKCKVM TKSLDVSGIPQLVQELGADVRSSATTKECVVVMLDGRIIGWCTPTTAKRIHDCFRHWK VEGSHNIPLELEIGYIPLSNRGTYPGVYMASKPSRLVRPVKYLPLDKEDSVGPMEQMW LGIAVVPWEIESGFHTHIEFSPTHVLSILANMTPFSDHNQSPRNMYQCQMAKQTMGTP TTALAHRTDNKLYHIQTGQTPIVRASLFNEYGLDNWPNGFNAVIAVISYTGYDMDDAM IINKSAFERGFGHGSIYKTLKITLKEDGRAVSARRVKKLFGFPKSAEIKGEWRRTLDD DGLPMVGAMVKEGDYIAAWHTVEMDFADNYVNADGETRFERYKDSEVGYIEQVRLIGS ESGSEPLQTISVKIRIPRPPQVGDKFSSRHGQKGVLSRLWPTVDMPFSESGIQPDVII NPHAFPSRMTIGMLVEIMAGKSGALHGLAQDGTPWTFDEQYPAKDFFGEQLRKAGYSY HGSEPMYSGITGEEFSADIFMGVCYYQRLRHMVNDKFQVRTTGPIVPTTGQPVKGRKR HGGIRVGEMEKDALLAHGTAFLLQDRLINCSDYTKTWICKPCGSFLSVQPIVSPYIGK RKKDTAVRCRKCAVIVKPGMDLSGFDGEMWEDGQGNLFIGGDQTTEIAVPGALKYLDV ELAAMGVKLRYNVDSSTGTRKGPARPVKLSEVSLGSEDSK
SAPIO_CDS3254	MVGLQNAGKTSLLRVLSGGEFTIDSIPTVGFNMKRVQRGHVTLK CWDIGGQPRFRTMWERYCRGVDAIVFIVDMADPKVLSVAREELHSLMAQPSLRGIPLL VLGNKSDLPDRLTVDELIDELALAEIYHREVSCYGISAKEETNLDAVLEWLMKWANK
SAPIO_CDS3255	MTGGASHNGWIGHKGPGGFDLRTDVATTPTPSMLAAIQSCTLLD DVFQEGQNTNDLESHLAELTGKEASLFVLSGTMGNQLGLRSLLTQPPHGVLCDKRSHI MNYEAGGVATLTGALVTPVLPENGIYLTLEDVQKNVVLTDDVHDCPTRVISLENTLNG MITPLSEVKRISEFARKHGILMHCDGARLWEAVTAGAGSLPEFCSQFDTVSLCFSKGL GAPVGSILVGPADVIKRARWVRKSIGGGLRQGAVVTAAARVAVDETFGKGPNGEGGLL RLSHETTRKVEKLWTELGGKLVHPVHTNMCWLDLNAAGCSDEKFEELGKQEGLRLMGN RLVISYQVAMNEQEILPRLERVFKAALADVQGATARPEGHASQEKTSMYRRSN
SAPIO_CDS3256	MASTINRLAALLAAVPITQALYTDGNIVVPCDSPIYCHGDLLRE VELARPFSDSKTFVDMPGKKPLDEILAAFEKLEKPLKNDTVLQDFLSEYFAEPGGELV HVPPEELSTDPAFLDEVNDTVIREFVSKVIDIWPDLTRSYVGSGECSDCPDSFLPVNR TFVVAGGRFREPYYWDSYWIVEGLLRTGGDFVDISKNIIENFLDFVNDYGFVPNGARK YYLNRSQPPLLSQMVKAYVHSTNDTSILDRAVPLLIKEHEFFINNRSVTVTVGNKSYT LNQYNVDNNQPRPESFREDYITANNASYYAKSGIIYPEVEKLTDEQKALVYKNLATGA ESGWDYSSRWISRPRDAADDVYFPLRYLNIVGTVPVDLNSILYGNEIAIAELLEATGD EELARKWRSKAEDRSAAMYATMWNETLNSYFDFNLTSNAQNIYVPADDDTADFERFTA PEDDLQVSFSAAQFYPFWTGAAPSHLKDNPLAVKLAYDRVARYLDLRAGGIPATNFRT GEQWDQPNVWPPLIHILISGLLNTPPTFTDKDPSYRATQDLALSIAQRYLDSTFCTWY ATGGSTSETPQLPGLTDEDKGVMFEKYSDAAINRVGGGGEYEVVEGFGWTNGVLIWIV DTFKNDLRRPDCGEIEAANVHPGRKL
SAPIO_CDS3258	MPGFDFTNYNRNAALHARGVPLPKATSTGTTIVGCIFDGGVVIA ADTRATSGPIVADKNCEKLHYIAPQIWCAGAGTAADTEFTTAIISSNLELHSLSTGRK PRVVTCMTMLKQHLFRYQGYIGAYLVVAGVDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFETKWKGDLTRDEAVELCSEAILAGIFNDLGSGSNVDVAIITKDKTTLKRGY VKPNERTAKTQSYKFPVGTTAVLNEKIIRKGDIGRYVDIQELPVEGEGEKMDVDS
SAPIO_CDS3259	MVPPPGPLDLRATSRTSSRPPLRSPRLHVAGEVPPELSPLDAFA LQSRLLAKQLEDSGRKAGRRMSRLPPLTTDSPLVVQGRSEYFRSMSQDSASSDQSNQS EQFNDGLGLRTEIEDAFGDKRPVSMHPRMSRIPPTPCDDIPVPPLTREMSREQDMNGI DQTTSAFGAQRMESPSPIDESSPVREHFHTSPGKHGPSSASESSPLPTVPSPERHTRQ HVGALEPLGLAPPRPAFHNRPSSAASSPLEPTDEEGVMSSSFHSLPPRKLSSGSGISN SQAASPALPHAQRSPSIASERSAPLPRPSFNFSRPLSRAGTPGLDFTMRKTSLDTYST PTAAEEGVSTPHSISSETFEGHDDSKAAAPSYIYSKFTLPRGKALHRSNLSDNQNKSA FVWDQPMAPSSSMPHSIPGAPPSPPIRASSPSNKDLSGGYSVRGPSLDHNAGIGPAPQ PSPNPSRPSTDEGRSSEDDPRGRALTSPVHDKMRGATTMSISTSDSASTIKAGRSAHG AVPSASEMTAEEHLAKGIECHENGSLSESTYHLRLAARQHLPTAMLLYALACRHGWGM KVNEREGVEWLRKAAEYASLEIADDEDQEKEGKHVDVVGRRTRKAQFALSIYELGVSH MNGWGIEQDRVLALRCFEIAGSWGDVDALAEAGFCYAQGIGCKKDLKKSAKFYRMAEA KGMSMVGNSWIHKSKYDDDDDKDSKSDTKSIKNRSKSRTRGLFRLKSNS
SAPIO_CDS3260	MAPKSRFTRLDAFTKTVDEARIRTTSGGIVTIVSLIIVLYLAWG EWRDYRTIIIQPELIVDKGRGERMEIHLNVTFPKVPCELLTLDVMDVSGEQQHGIMHG INKVRLRPSSEGGGVIDVKALRNENEVAIHLDPNYCGGCYGADAPPNAQKKGCCNTCE EVREAYARAGWAFGSGENVEQCEREHYSEKLMEQKAEGCRIEGALRVNKVIGNFHLAP GRSFSNGHHHVHDLKNYWEPGPNNVKHDFTHIIHSLRFGPQLPEDVTRKLGPNALPWT NHHLNPLDGTRQDTSDSNFNFMYFVKIVPTSYLPLGWEKKVRNIIQGDSASLGALGAA GDGSVETHQYSVTIHKRSLSGGNDEDHEEKFHAKGGIPGVFFSYDISPMKVINREQKS KTFTGFLTGLCAVIGGTLTVAAAVDRGLFEGATRLKKLRSKEN
SAPIO_CDS3261	MSTKPPSRVVFVGNIPYDLSEEQIIEIFSSAGKVLNFRLVYDRE TGRPKGFGFAEYPDADSASSAVRNLHNFEIKGRRLRVDFSNEGGADDEDGENPSGVPS SSAYQSNGMGGLAPQSQGASLPPLPAGKDLPPGVTCTDAISRTLNTLPPAQLLDILTQ MKVLATTEPNRATELLQQAPQLSYAVFQALLLMNLVSPEAISSVIDAGAAPPVPPQQA PPLGYPHPQQPVTGYPGVTSPIATNTPPTAAAPYAPPPPVAQPTYGAPAPAAPAPGQD PDALMRAVMDLPQATIDALPEAERAQILALRAGFMSQRR
SAPIO_CDS3262	MASDAVTSFPTNVEDFGADERISFSLQSKTYIAVHDDGSEYEFN QANRTWAPVDYDEEDGDILPTTGDGGAYDLDTESKKRKSGPSYDDEDISQGRRQRPNK KAKAPPAPRQNTAVYVTGLPFDATVDEVYDLFSKKGGVIAEEIDSGKPRIKMYTDEQG NFKGDALVVFFKPQSVEMAIMLLDDTDFRYTSSGLTEGKIRVQPADSSYKKTNYDQDS SKPGGQEGQKPQKSERDRQKIIRKTQKLDAKLADWDDDLPYPGEEGADRKSKVVILKH MFTLQELDEDPAALLEIKEDIREECEKLGDVTNVVLYDLEPEGYVSVKFRSPEAAEAC VELMGGRNFDGRVVEARLSFGRERFKQSSKNQDHHDE
SAPIO_CDS3263	MSIAPIITFKAGICDVDQSTAQPYKVKPRQTPGYIYLYLEDELV HFCWRERSAPLDNPELDLVMVPTDGRFVPYTNENPSAKTNGRIFVLKFSSSSLRHLFW LQSKPQARNGDPSWLSPRDRKIGEIVDALLQGEDVDISQELASVINTDDRDDDDDETM EDVEGTRGRHDHSRGGGGGAGPDATGGDVREEGQSAREGGADGARAAASYSTEDASAA VRNLLNSLSGAPGLGQQEEKDAYPYLTHLLPKEATLPVIESATEDYVDSLLSFLPPAV IMLAAGSGTAASVADPSLDDLAAARASLTQGDKKALLNKVLRSPQFHQSLASLSLALK DGGLPGIADALGISVANGGYIRGGGMPMGGDEAIQAFVEGVKKTVEEK
SAPIO_CDS3264	MGFTDLLSDAGLSMLNSWVTTRSYIVGDAPSQADVAVYKAIQTA PDAEKYAHAARWYKHIASYESEFSTLPGDASKAYSAYGPEATEVAVNPKAAEAEEEEE DVDLFGSDDEEEDAEAVRIREERLAEYRKKKEAKPKPAAKSIVTIDVKPWDDETDMAA LEASVRSIEKDGLVWGGSKLVPLGFGIRKLQINVVVEDDKISLDDLQEEIAEFEDYVQ STDIAAMQKL
SAPIO_CDS3265	MYGDQGNKLVLHAKRTQNLAHLPPYQTELVRAVTREIRDLDEDV TAIMEPFQGSFVPAENPATACTLLVNYMAMRRNKRCLLAYHRTRTDKLEELVWKGYDV VDLSGQQVRDKLGTAGGSGSGGAGPTGGEGSKSSLSPPEEEYVREYGDLLAAYKGQWT DIDLTGSLEPPRDLFVDVRVLKDAGTIETEYGPITLTKNSQLNVRHGDVERLIAQGYL QKLG
SAPIO_CDS3266	MASPFSQGRRRSFSDVNDTLQVLHSRFARAGSPASQATTATTTG ASTTQPRAGGDERDFPASFHAGYLGYREPARSFVQGRSTGEEYVPVDTAYLAQSVRED TAELASYALSDRKTDQPLALTLQRSRSPAGPSRSPSLEAERDPAFQSPEARGATSPQD GPSMLTTLLRGSPQEHHVPDQPHDESHPSGTEVDEDSHGFEGDEAEDLMADEWDASNG ARKWDPTAIWQATVIEVVPFFHNMAETITLRVGKENPDAVIATTIVSYAASSMLTGIV FYLMGKFKFGYMVGFIPRHILIGCIGGVGFFLVVTGFEVSSRLEGKIEYDLETWKRLT QPETLPLWIIPLVLAVILFYGQSRAVSKYFLPFYIIAIPALFYLCITVLNGVKLDDLR DAGWIFEGPPPGEPWWYFYTLYIHWGAVVQTIPAMFALTFFGVLHVPINVPALSLNTG EDHADLDRELRLHGYSNFLSGCAGSIQNYLVYANTMFFMRSGGDARLAGVMLAGFTFL VMTVGPSTIHYIPVMMVGTLIFDLGFELLFEAVWLPRKKLKAGEYLTVIAIVLIMGIH DFVVGIGVGILLAFVTLVVQTAGIPAVRAAYSGEVVTSTVRRNPSQSHYLKDAGRQVF IVKLTGYLFFGTIVSVEEKVRNLIDDRTFSERPIRFLVIDLWHVTGLDYSAGEAFNTI SRILDKKGVVLILSGVEGESKLGRNLRAVGVGRDGIEVVMLPDLNSALESCENELLKT YYASQEARRSAAPRRGPSANLEVPVVSPPEAVASLMTGPALSASPRGALLREAAHKAL EEADMAGPAKWQGFKEPLRLMLQIFQGLSEKNEDFWFRATPYFAKLEYPPETVLFERD EPARGFYLVERGILRAEYHLPQGWLCESIVAGATCGDLPFFSETRRTATVTVERASTL WYMDAERWGKMQKEEPDVAWELQRMALKLTSQHMTLVTSYILTMAG
SAPIO_CDS3268	MATTLPRPSRSSNGPPNIALPALPVPKTRKSTGALATPSRSATS TPKSGLRAPSAAYSTPSTPAPTSSLPRIPSGVNAPGKSIRKVVSINSFPQPPNRASSL PPSPLANDGARNSTRRSKASIVSTYSHLGSSTPSLLNGSGEGKSVGAGVRMSDGLISV ASLPQSRSSSAQDSYSTSATTYDDPMDIPQSSTDASTDSRSPKQDSKGNVLVSVRVRP DMTGNDNPKDWVVDPKQSLISHRGREGGDYFYDNVFATSDDNSRVYDCIAKRLVRRVM EGYHGTVFAYGMTGTGKTFSMQGTASSPGVIPLAITDIFSYIRETPSREFLLRVSYLE IYNEKIHDLLSMPTNSGLGGAAQEEIKLREDSKRGVYASPLKEEIVQSPTQLLRVIAR GDQARRTASTQFNARSSRSHAVVQIVVESRERIPGNTSTENKRGGLLPGGVRVSTLSL IDLAGSEKAAESKERRTEGSHINKSLLTLGTVIAKLSEHKDKDGKPADKDGKHLPYRD SKLTRLLQGALSGNSLVSILCTIQTGSAGTAAVANTHTTETLNTLKFASRAKNNIVSH AKRAEEALGAGGDGGARVLLERYRMEIMELRAQLDNKAKNKKESEELTDEEKARNAEE EKARELEAEQRHEEQMLEMQLARTALKERIDHLNRLILSSKSIGVNRSGSYSSLANSR FSQISTRSSVTASNSGRPGIERSSSMTSTSSTIGRRSNGGKRHSGGEASIMEDEDSIG ESGDGSASLAAQNRALQADLADKNRYITTLEKRLLQARRASSSRTSIGFTGSKGILVG EDHSVAALLKEKDAEIAELRARIDDKDRMLTALRSVARSRETAEGLNFDSRAPLSPPP SATLLNSPQSQFQPLKQNRENGGDDLKILDEMIMDRIESGQLVRSSRGSVRVANDAAR REPPSEPLPSLDLIQKHEEAKPACAA
SAPIO_CDS3270	METVVQDHTPLADYLIGEGEADASDWATPDLEPDFSSSPPSSPG FAPKGRPTVRSRFRSNAPPPIRLEIPKRSPLRSLKSRYSAVVTSRIDKADNAKFLEQF RYIIVASQLLTGHAGFSQNAYLTSTHDPNSSKTPGEELPPAPAVLVSVTGAVVAAFLA AWLAAGGYTSLNKRRLFLLALLVALVAVVAPIYFKRQWVKYRRDQAISEVSSFVSASQ SLDSASGAALSLIQEVELVSRGYRISAPLPPISRIEDRSQSRKCLRLRRALKACYVET IPVYSQATAVVKGFSEQLDLEKYFDIYDISDFDISDARRGYSEEEFDDAESLRTLKVL AARFLTIRKMFLCALLALDANTDGNDLMRWTTAVEALISLRQNTSQAYERLSTVLSQE ESFPTPPTPKVPLTPGRERWRSQMRKLNSLSTGIRGLQAKLQLLREESDRALDESSDI SELGPTLMSQYDSIGVDLKELIQAWEEGKAALALGIDRNEKRLSSISTLISPSSSLSG LTTVEEGTVQDAFKILNGDARPATMLPEDFPGDAEVLFEAVALPRPRSLLTREERIAK MREERERKEQAREKVESTRGMLRELEMVINLRPRPRTMPASGRVVSM
SAPIO_CDS3271	MSAVEREYQISPIVTDSVVHNTKTLSNFQSLTASLFGVTAGILG LESYSGFLFYFIFTVIGILFFHIFRIAPNARAAGLSFFDTSEYFKGPLDFWTSGFMAG LPGYILTWTLFYGLVRA
SAPIO_CDS3273	MKKFGFSRKSDKAAGSASDNPYAQQPPAQDPYSNGSQSNSQSSM GPRPTPGGLPSGPRGGLPSGPRAGGGFGGSPAPRPAAPQQSNSYGSSGYGSGSGSEKY GPGGGYGGPPASRPAAPQQSNSYGGSGYGSDKNGSGGRYGGPPAPRPAGPQQSNSNGG PGYGSDRYGSGGGYGGNRYENGNGQSPSYAGSSSSSRPGGYGGMGKSDSDGYGGRGNS SQQDSQISSASSGGSTSRYGDGNLARTNSSSTEVNRSDLFGGAQNRYAPDRGNAYASK SEGAQGDRYGNGNLARTNSSSTEVNRSDLFGGAQSRYGSGQGSTYGARPKPAQDDRFG QSGQSAVSGVDSGAYGGYGEQRELTEEELENQAVQDTMSKTSQTRDATIQSQDRSLQL AYQSIEVARGTLGLIGTQGERLQNAERNMDLADNYNAVGLDKAKELQTVNRSMFAVHV ANPFTAKKRAAARDQEVMERHRMEKEQREETRRNHYQEARSVEKSLRNMDINEPNNTF YPQVPRERNKYLFQEEGAEEEEKEEIIHHKLNELGGALGTLHSLAVQTGEVVDQQNRV IDRIAEKTDRVDYGVRRNRNELDKIR
SAPIO_CDS3275	MDYETEDVGDPVFLLSLGGTSTGGLMAIMLGRLRMNTQEVIDEY EKLAKKVFKKKNRRFDRSFRESALEACIKETVDAHQRGTHMLDLENEKETGSAFVVAM RKGGDENTPTLFRTYKGPGEVMDCQIWEAARATTAAPIIFKPAKLGSGNHVQTFIDGA VKWNNPSKIVLNEAKSHFGEERPLGCLISLGTGQRPPSLDPGSKGRLGMTYSIGEITR MTADFLTDPEGPHVELMRQFKDCPDSYYRFSLPRSQELGRIRIHEYKKMHALRQATEE YLSIPYVSIAIDKVVEALKNKYKHQIPLKAACDRKVSSSLFTGREDILEEMDKFFHPR DPASSPRRDFWLWGIGGVGKTQIALRFIGQFENRRNRGLPPKGPCIAEANVLEMNVND SIKLLIRSAHLDYGSEEVRTEAERLVLELGSLPLALDQAGAYIGNQGCSIAEYQAKLR VSKKELFNSPLYRGAVFSNKGVYASFETTIEHFVAMSRSNTPLASAFRCALQFLNTFC FYHSEGMFMDILHLASYFLHEQKRFEEIIPAFYASSEVPFEQRSSDSSTATKRCFLGL CEDGTFDFKFLFQGLLILQNYSLITLDPEWERRTLSMHSLVHSWARDRMTPITFKIYH QVARSILFGSVFTPTHTLQGRMLPHLVPHMRAVQAYGAEYHDDIDFARKSEMDRKFAM ALNRAGQWEECAVVLDQILEERIYEFGRDDPRTLEVMVQLGRLYIALAKWDKALPTLL ETAERLEVSDDPANAKIAHYRVCLDIATLYLYHMVFPSAHGIVNLVLRWQSDGSAWYL NAKQRESLIYQYELRWEDAEIVAEEVYEGRMKRNPKSYKTLRMFRELCFIRIQLGRAS AMEQPLTQLAEEFEATYGAAHIEALFARCDLGWAYFKQGKFKEAQETLAKVLAIARAT LGDRSMFTPTILFRLALTTVKLGDGDHARVLMRECYQWRQRVLSMEHFVTIGTAAWLV TILEELNRERRVRFPHLPPPSKEEEEEARNHEEQVGKYRPYAELPYTFTF
SAPIO_CDS3277	MDNGPAAWFPHVSSYRRNLSGRELAISPYEKAALQDRNAFPGKA SEMPTFPNGDAALFPRQPDPNPTRRSSIATRAPAPTLDIRNATTPAEIRATLAALHAR ESSITARLDALVSSQVDLGRELARLDVLRAGLGSQVIATRTIGSDMLAGASETAGRLS DKVKELDLEKSRVEDTLGVVEQVAELKACINGVVGSMGAPQDWEAAAGYIARAGNVPE EIIRGGFAAEIVPSVEVPDPPWVTLENAKESLCSLFLREFEKATSDGDNAKVTRFFKL FPLIGRGHVGLDIYGRYVCQGVAGYARATLKNAPTGRKDGYFYANALTKLFEHIAQIV EGHGSLVERHYGQGKMVKVIERLQMEADIQGGIILDTWSDERNVERRMTDVKSYPFSF LVQSFLPQQRGGGVARVNSPATGSVSTNVRSSEDEGGVDMKEVDAILNEISVMLSRWS LYTRFIAGKCKATVQEGERETVALPDVITKSNLSKKVSAKLTTPYNVMSTFFFRRSVE KAFQLDEYPTGLSLSLNRHIDASQPYIILAVDDVIFIVNAVIQKSLATCQREVISSVV STIGRVMGADFVGMVQRKMRDESYPKALVQGGFPPEDKIISFIVLINSLDMANEYLNR IIQTNLGVSSGQPNGVSLSSAIRDAFPNERDAGLVASTLSNLLSTFTSKTTELLNEGI QVLFHNVVKLRLRPVLSDTFRDIDYSLTEEEFADAAQQLDEDESSFHDLVARRFEHGW DQLMRPISRLMTPKTYGALLDITARNLSRQLEKRLWGYTGRTSAFGAVRMERDFSGVV SAVSKGNYATREVFARVTQMLMVVNMEDDEWDEVVEGSGAGDGEEDEDGILWVLTEEE RTRARALVR
SAPIO_CDS3278	MDPPPYQTIAPKPPGLSGIPGKALLPAAPVPIRASESQPVARQK RLRVALLNPSEEKWKEVKPILDRLYDEEGLSLRVVTDIMWRLYHFKAPNMKYKTGGTS FEARGIRKNKAGPKSGRRTRPQRIPKPPPAAISQAIVRVGDFTFRPDMECLTQYPHQE KVLFSLDCYIYNLFDAGRKDGWTADLLSFIRRNGSSENTLASWQEISDQTYGASLQIG FGHAEQGEATLEKLFSKLREVAGQEDPSIFVKFWRICLGISGIDGRCGHRVNARARLL ECLKEIFAKHDRNGHPLATMLSSLSEVSPPDFKDTLRIGFDKTLRTITRLVGDENAMI LHMWSHFFRYWDSQYLVKETLLLKFQWVWDRVHEEEGITLHSEEAISVHYYYTYAAYY LCKPRILGEKMVVELFDRTEKFFCAVDEPRWSLTALAFAFSARITAVIHRDEGKEDLC RHVMNVAIEKLERGDRECATRAAMLSRVLAKWLKQWGYREESQTEYLRAARIDIGIGG WE
SAPIO_CDS3279	MTSSTSISDVEGHLASTSIDEDNYGLSDSETEEEAVKPTGWAPW GFRKLKRLVAKAKGAASDRVVAFIKSHLRGTKVIFVIGQAGTGKSTMLKEMTGLDLKV GETLDSGTRQYEICPAVIDGEQYLFVDTAGFGAADMDDMDNFQNILSCLTILHPFVKF AGVLFVFGKPGTRLSREDLRTIRFIKCFCGPEFFANITLITSQWDEMTETGFRKAWGR TQNLLAHPDVMQILDPPGRISGGSVYHHGFPKGEGSINAHATILSMDDNGPERGDELR DLIRRKYRDPRAPTLQVLVEMKREGREMLETEAAKVLKGELPSTAVRIQGGRALIQGR NDDEQSPPTPGADPRAGHSSTQSGAALPSVPAAEGAPNTTGNAPGHGDGPDREQMHDE PSWIQKLLWWFKMAYGASTYFEEARKTGYESTNRKARSAGPKWSFWETVRNWWTSTGL 
SAPIO_CDS3280	MQLPWRGQNSEGLLPVAETAAFLKPNFEPSDKEHWKHIRPPSRL ARTTPAQSRGVADQFSYTTPGEVASRLSTSLTHGLSEVEALKRLSDYGPNEIPHEDPE PIWLRFLGQFKEPLILLLLASAAASILVGNMDDALSITVAVTIVVAVGFAQEYRSEKS IEALNHLVPNHAHLVRATPNPPTFSRSAAWPPALGETLDGINSPGTSTPGEEALEAAS SKVMASQLVPGDLVLFTTGDRIPADIRVTKAVDLTIDASNLTGENEPVRVTADTKARA PHMPVSMNGHLSPNSGARTPVGDDVVHNIAYMGTLVRSGHGQGIVFATGGNTHFGTIA TSVSGTESPRSPLQLSMDTLGSQLSKFSFVIIGLISLVGWLQGKNLLDIFTISVSLAV AAIPEGTLTTNHMTTAKMWYFGSDSAFDVDSDDEATERRPDAATLRILRIGNIANNGR LGRQYADDSTSATRARAVLSSTQSRYGQAWTRWVGQPTDVAMLDLLDRFKEHDVRDSI GPRISDTPFSSERKWMGVTVASDKGDRELAYMKGAIDKILDACDTYVTGDGREIVLDR ARKQEALEAADAMASQGLRVLAFASGLVSKPSKGRTALSSNPRTTPPSTDGGNSPLPR YTEETFRGLTFAGLVGMRDPPRPGVSRSIRRLMRGGVRVIMITGDAEATAVAIGRQLG MNIAVANERGVPGQSTVRPVLTGDEVDAMSEQDLALAMSHTTIFARTNPDHKLKIIRA LQSRGDIVAMTGDGVNDAPALKKADIGISMGLNGTDVAKEAADMILTDDDFSTILHAI EEGKGIFNNIQNFLTFQLSTSAASLSLVLVCTCLGFKNPLNAMQILWINIIMDGPPAQ SLGVEAVDKDVMNKPPRRRGDPVLTQALIRRVLTSAAIIMLGTMMVYSRMLVDGEVSR RDTTMTFTCFVLFDMFNALACRSESKSILQGEIGLFSNSLFNWAVSLSLAGQLLVIYL PWLQEVFQTEALGLYDLVSLVILCSTVFWADEARKYWMYGRKKRLGNGYSQAV
SAPIO_CDS3281	MFYSETLLQKSGPLARVWLSANLERKLSKTHILQSDLGESIEAI LTPSQAPMALRLSGQLLLGVVRIYSRKTRYLLDDCNEALMKIKMAFRSSGNNDMVANL NVSNREALLLPDRITPYDNLDILPPPDAAWLLAQMDDVTATPMGRKGRVNNRDINLQQ AFDNSQFMQSGMEKEDELALAPLDDGIELELDFGDDIEERPRNVDRSIEMGRDAAAPM DDDNFSELGLGIRPGKEGGDREPSINLNFEDGIRIADEEGDIQMGDDDLQFNMDDQSA MPTGLHFSRQRISESPLSDIDEDLAREVEEEVTRHNQTDLYEPTAEEDTTIVRRPTQR AKKQKVILPDDQTMLTSSYIKEQHANRDNILKPQTFLPKDPYVVALMEMHKNGGFVTN FILGGRGSSWAPELRTMLSITGSKQSNELKRKRDSGIADMDSDHGIWKSPRLDVDDDE HLLLGGDVDAGNQTVGPDGTILEIPADDQMDDGLEREGSPMPAFDETTAPLVHPADSG PVSIGTKHAVHILRDIFGPEAEHDAEKRKKTVVVFQDLLPEGQTTKADATKMFFECLV LATKDAIKVEQGSDLGAPIRVRGKRGLWGEWAEREAGGEVATEAEEQLPAPAAAPTPG PQIQQPLAVSVES
SAPIO_CDS3282	MDRTATENAYWDRINPVRRTPSKLHIATQALFRLIKEEKSYHKE LQHQKQRVERLKAELAKGINVDVNSEYMIRQEERAIAQTEAVFAPLHKKIEDGIKSVQ EELAYAEDPTPIDELENARQALLQARDVLGLPPINQDDY
SAPIO_CDS3284	MSTEEDKRLLARISQLAGQINRHKNQSPGVQSVPNSQHRCPYPS TRPNKPVPTYCVAKPQKTVNPYGSRPSSPYARGGGPRPRPGVQHRHRSLVLNAQAQPT AGNDDGSSSSGQQSTWISKTDRHLQLINSSIYEKDSQARAKAIAETQQQKLQRQDEKE KAKLKHFLVSGAAGPATTRYVNNTTGAFELDIEGIRFRVSKDGGKLVKVPGDINPPSA TPKTAVVGGVKFFRSKTGNLYRDGIVKAHRRSGKYKVDTPCKTFSTTGNSHSQTQDYR NRIGFLRIHSELIEEMIRVPAP
SAPIO_CDS3285	MGLRDVLKKKDRSDSEPPTPEFTLIRSDTYTREVVYPPGENPFS RPQPQQQQQQQSSHKPRRSLDVFRSSRSRSASAASASSRGSDPNSRKEGSRLSRFHLG RDHSSSNIPADLPEISNGGASGDPDEAETEWEKRAMILARSRPSSPNPDLGRLGGGVV SSSEIDAMIQKAIAFHEEGDLVQSTRLFGQLADPNGANNPLSQVLYGLALRHGWGCPP DPDRAVQFLSKAASNAAAVEQMALQAGLKKGGAAKGELVLAIFELANCFRHGWGIGKD PVAAKQYYETAANLGDTDAMNEIAWCYLEGFGCKKDKVR
SAPIO_CDS3288	MDENSGIMSSTDDALSAYVLPKPLPLWLNPAYAKHIVKGNFMTL SARPKTVEQGEWIAHQVVEHYRNLWNFVRVLHEKEDDGSVICNSKTCPKMSAGANHSF TWLNAMREPVELPAHEYMTLMQRWISGKIDDTNIFPTDAAGVSYAHNPAITTTPLSQL SNPNEKDYVGKRSGFPETFIEVCQTIFRQMFRVYAHLYWAHFIDPFYHLNLEKQLNSC FSHFILTATALDMLKPYELEPMQALIDLWAANGTFPPESRAYEYANLRAGERLLQLAG AP
SAPIO_CDS3289	MSDSEEPLDLVDEGDDLFGDEDDAGGSPPAQVLSDKDLDSDAER ADDVVGYGSDEDAPRHQDKVIASIQVFRHRTPRSKDGTLQSLKVPNFIKFVPEPYESK SFEPSSWDIENAKSGNKKIAVRYYNDPETGELKSNAMMYKWSDGSVSMTVGDQHFAIQ NKATVPPPNKPYNELQDGHSYAAAAHLSSGLFLVVGHIAEEYTVRINKDLEDDALQRL AMRMREIKEKEEASRIIKTTHDPELQRRLAEMAEKERAKAQRRRDNAAAKMDGGSRYG RGGLQIDDLEGSRRASGAGRKRGAAGGKAKRRRPEYDSDDERPAGARHQEDYDLADDF IAPSDEEEEEADEDEDEEEVLDDEDEDEEDRPRTKRQKTTDVSEEEDADGEEDDMAPA TISEHAGRSRRRNIIDDDDE
SAPIO_CDS3291	MFGLGMIVAKLWEWHHRRSPVELWLDLLHNAETFEDWEEAALHL DSLLGLDLWRNNPTSKYYDYHLINERLNTLATLREENNLYQMINFLRSGLIRNLGNIT APKLYNQAFAGTKYLIEEYIAQIAECLEEISSLPTVPATGARSDSNGAPALTMQMKLD FIHDTRQAFGRSTLVLQGGAVFGLCHLGVVKALFLRGLLPRIITGTGTGALIAALVSI HTMEELPRILKGDGIDLSAFAGKTLGDSEVQPLATRLATLKRRINRFIREGHFLDVRV LEECVRANVGDLTFEEAFNRTKRVLNITVAVGEDGGVPTLMNYITTPKVLIWTAAVAS NSSSTSLYGHRRTNLLCKDAYGNIVDWAPPGSTIDFRHWSRVSYTDRESPLHRVAELL NVNHYIVSQARPYLIPFLQSDMHGPSLLETRSRTTQITSFLVRMVGLEVRHRLRQLDT LRLLPVSIRRFLVDERVLGASLTLVPQVSLEDFSRLLEQPTRQTLDYWILKGERSVYQ AVTALRAIQSGWSPS
SAPIO_CDS3293	MVWFSFKSAITAALVLASTVNASPSKACTRGDQKWLTIWGTMPQ LTEPHNLPPEGFNETSLVFEDSTIRQSLMVTLDADSLRLQISNVFGGSDLPITAASIA LPPTNDAGLSTIDTTTVKKLTFSGGLPGFVVPNGASVLSDPVDFPIKARSIVAVDLYL EKGQTTNDITSHPGSRTTSWFVKGNSLGEADLGGGASSAAHWYFISSIEGQVRNKDAS AVVIVGDSITDGRGSTTDKNDRWPDQLLARMKDGAAETRNIAVINQAAGGNRVLADGL GPNLLGRIDRDVISMPGVKYAIVFEGVNDIGTAATDEASQKEVGDRLIAAFDQIITRL HRFNIPVFGATITPIIGSQSVYNDPAMEATRQRVNEWIRSSGRFDAVIDFDEAVRDPQ NPEQLAAEYDSGDNLHLSPTGYKAMADAVDLTLFKKFKNGI
SAPIO_CDS3294	MPATDNRRKRKISLTAEAPADDGFGDGVFEGVLSQSDDDDSDFV DSGSGNSEAEYEEGDLSDVSADESEDAEETEGNELAKVNGDDGDEPNYRITTDANGDV RYEYAELDPVYDSDDTDAGEQANTIGNIPLSFYDSYPHIGYTLDGKKIMRPAAGDALD SLLDSIEVPKDWTGLTDPATGLPLRISQDELEILKKIQLNEIPEDGYDPYPDLVEYFT SIEEKMPLSAAPEPKRRFVPSKHEAKRVAKMVRLIREGKLLPYKPPEETEREEEEKEE AWYDVWANEEAKEPHVMHIPAPKLAPPEHDLSINPPEEYLPSKEERKAWEDEEPEDRT KEYLPTKYDSLRKVPAYMDFTKERFERCLDLYLAPRVRKNRLNIDPMSLLPKLPRPEE LKPFPTLCQTTFRLHEGRVRSISVSPTGDWVASGGDDGTVCVWDLNGHLEYRVKLSSD EPVDVVRWRPTKDTLIIAAAAGDDVFMIVPDIGVDSVLDNSRDVLDAGFGYATNGKQA GAGTTADGQRTEPPAKWARPGSRLEAQGVLLKATLRSMVKVLSWHRRGDFFCTVSPNG QRNAIAIHTLSKHLTQIPFRRLRGLPQTAHFHPSKPLFFVATRQAIRCYDLQQQQLVK ILQPGARHISSFDIHPGGDNVLVGSYDRRLLWHDMDLSARPYKTMRFHPRAIRAVKFH KGGFPLFADASDDGTLQIFHGKVVSDLMENATIVPVKMLKGHEVVASLGVLDVDWHTS HPWCGKGKGKKYVWEV
SAPIO_CDS3297	MTQHLKDKGSKWQTVIAGSTAGLVSRFVVAPLDVVKIRLQLQSH SLSDPLSLMKLPPGSPVYKGLVRTARDIIRDEGFTALWKGNVPAEIMYMVYSAAQFSA YRATAEAIRPYFGNSKTNPHIESQISWIAGTAAGLAGTTASYPLDLLRTRFAAQGNDR VYTSFFRSFGEIYRDEGLQGFFRGIVPTLLNAGPGMGIYFLTYEAIRPPDVRKGEDEG KRIIPRMPWGFDKFLAGSLSSFIAKTAVFPFDIVRKRMQVQGPTRGRYIHKNIPEYTS TVGALRTILATEGFRGLYRGLFVTLLKHAPASGVTLWVYENTLRGLMALDQAVERKL
SAPIO_CDS3298	MNNEQFRRLLLSKSAKPSDGSSKSPASASPGGSSNLGARQRANI PMTPRSVGLNANVDFARQVAERNRAVNPQKKFRSSAPKGARLAAGYVDRTKSRQEEED EKEVRIKALEEALKHEEIDQETFEKLRNQIAGGDLSTTHMVKGLDFKLLERIRRGEDV YGDRKASQDATKEAAEEEEEEGNLDEELDKVLEREVTAVEKEKREKKGQLSTVSLAPG KKRTRDQILAELKAARSTVKEPKEELGGRFKKISAKQQPGTRIERDSKGREVLIIVDE DGHEKRKIRKVYAGEKGEEAQKELLPMPDKNAKPLGMEVPEIYRKKEEPEDDGDIDIF DDVGDDYDPLAGLGSGSDDDSEEEEEEEEEEEEEAKAAKPKSTQKESSTAPSQPSGPR NYFKDSRTGLISQEEVKAPSLSDPTIMAALKKAASLHPIGKGADDGEVSDEEEKARLE RRRKLLESTDRDAEDLDMGFGMSRYEDEEDFEDRNDAFAILLAAYHPNTRLLGISTVF GNAPLSKTTNNASSVLTAIGKEKEISVYPGAHKPLKRPPIQHATDIHGESGIDGTSLL PKGEAQVNTSTPALRAMAEALLNEAPGTAWLVATGSLTNVAELLQEYPQIAAHLAGLS IMGGAIGGGFSRAVMGVVNGVARIGNWSQWAEFNIFIDPEAAALIFGNEVLSEKTTLV PLDLSHQVLATKDVQKMLLYGKGAGAPAEGKGKSKLRTTLVELLFFFAKTYEDVFGIK EGPPLHDPLAVAAILTGKEHEIPFYDWNSDGGPDNRERFDISIVTDGEYEDALTGSEL GRTVATRKEPGEPGVRIPRSLDIEAFWDEIEACIERAEKALEKRSQS
SAPIO_CDS3299	MGTPADKNSSQVRKRIEDHSFDDEEGEEYGASDFGGFGDYFRRK KIKLQNLDAELRTASSDFPQIFKGVVAHVSGYTQPPLHVLHKELVKHGAGFLQYLDSK TMATHIIASSVTPKKAVEFARYRIVKPAWVTDSINAGRLLSWTEYRVIDEGPRQKVLK FESGKVLSQSISPSKIGYREQTQNSFYNSQFARTPQSSRLESPSTRTPGSSNSPQQPA EPQPLNSPAAERRTDETIGLPLHSDLQALEYSPSYIAGKGADSGQKAPSGPGNADANG LKNMTSEEYNAWLLADPNIRKASSANPEFLKQFYSESRLHHLSTWKAELKSKMQKMAS ERGPAAKPVRRAPGSRRYIMHVDFDSFFCAVSLKKHPDCIDKPAVVAHGTGTGSEIAS CNYPARKFGVKNGMWMKRALELCPNLKVLPYDFPAYEEASRQFYEAILDGGGVVQSVS IDEALVDITAVVMRDTMSTGIGVDEGSLWREQEDADKIASDLRAKTAEKTGCAVSVGI GPNVLLAKVALRKAKPNGQYQIRPEEILDLLGELEVTSLPGVAYSIGGKLEELGVKFV KDLRAQSKERLTTVLGPKTGEKLWEYARGIDKSEVGDQPPRKSVSAEVSWGIRFINQA EADEFMMNLCKELERRLLNEQVKGKNLTVKVMRRSLDAPFDTVKHLGHGKCDVFNKSA VFGVATNSAEIIGREAISILRSFKFSPGDLRGLGVQMTKLDAVKPNLGLDGSQKRLQF PTFAGPSPSKRAKLDPIEDVTSPPRSGNNTPGSVAKERDPIDDDPTTPKKPRGSMHPA LVRARYYESDPKAKTPLNVSGTQFILPSNPDPAVIAELPSDIRSRLMGQGTRNPISSP QQSSPSIRAKSLATNTAPMNEPLPSQIDPEVFNALPEDMKAEVLLSYRRQEPVAGPSR SPQAGRVASPVKNATTPTKKGVRGMWGKAKERQRDARAGLMQTNFLDRNANPEANTTE SEPEELDPTILAELPEDVRREVLEDHRRQRLARKSGLNINRPNRRANGETENPARNGQ TKLTFPPPPPKVSFGNSGITSAQGVKDMLDAWHSKTSTSGPHSDDLHMFEEYLSKVVV EERNMEKATSLIKYLDWIIHEDDSSAKGKQAWVKASESIKRAVKTAAQKRGLRTLNI
SAPIO_CDS3301	MSSRIISRALRSSICNRHISSTLRTQLRSLSTISVSDDPSKFTL RVPPNSSTETVLEQLRHLREQKIHVPALSYEADSAVVLATPTFARWLMNEPFMASLLS SFTQRDIQVLAAVVDDLPPSSPFGAPAAGFSVMQGSVEEMLPAFSSQPVLYRGRGIPQ RGSLAFTLPGAAPKTKNLSVTLPLANTIFQNGLESTLLASSWTAQGEGALTLSSVAEK NEHEIVLPTPLTTTTPRLSVPLIPVTPPRKILGCLGNILSVIEVDGSPVPASTELEAK VQEVYDSRFAADNLNTSGMPVDIWALISTPAASLTPFLLETMKSFEKAKFDGPEEEAT VGYKNAKAAAELLMSGFRLYRITSGGGGWDKRRGRLSLDAEWASSDSSEDDLNMILNS ADDSGPAILQRGVVSPGSYVQFVTAPNVGDFATSINPASFPGSIVVGTSSSLHDGVQA PVDVGGDAASWVWGHFGALSRKHMFVSEKGEPSRAGSDQESVSQPRVRTKVDSPYSYV TL
SAPIO_CDS3302	MSDKLDRSLDELLEEKRSANPELGQPSNRNRRTGGGNRPGRRQD RDRQDSYPRDGVRKSYNRDEPRNIDSEWVHDKFEEQDYRHAPAPRRRREPANTASSDS QGGAKLRVENIHYDLSEGELEDLFSKIGPVARFNLCYDRAGRSEGVAFVTYQYRDDAV TAIREYDGANANGQPIRLTLLPSDAGRSRRNPFDTAVMPSRPLAERITAPGDRDRSLS PIRRLEEEAARKGIDRYVPGGSGSRSRSPMRPRRGGGRRPGARRDAPRDQDTRGGQRS GGRPRKTQEELDAEMDDYFNAGQAAQSSAATAHSEHIDDIDMIE
SAPIO_CDS3303	MARPLGAVRLKRTNSITLIIGAATFIFIFFFFFFSPSSQTVNQS TQNSAKRSSKGIPAKAPPVTVYNLNNVTITNDPVTHRESILILTPMTRFYQEYWNNLM KLSYPHELITLGFILPKTKEGNAATAALQKQVIKTQKGPEKDRFQGVTILRQDFDPVL SSQDESVRHKLEHQKARRAVMAKARNSLLFTTLGASTSWVLWLDADIVETPPTLIQDL ALHDKPIIAPNCFQRFINPETGKLDERGYDFNNWQDSETAQKLAAEMGPDDVLFEGYA EMATYRTLMARVSDGGDIHTEMPIDGVGGTTLLVKADVHRDGAMFPPFAFYHLIETEG FAKMAKRLGWQATGLPNYKVYHYNE
SAPIO_CDS3304	MSGASSRSAAKRLLKELERWAAESKDETGIERLGPPNDEDLLTW EAVINGKGVGGGYDEGRWLLTIKIPASYPIEPPKVEFVTPIVHPNIALATGEICLDLL KTAWTPTYTILEVVRAIRMLLSCPETESPLNVDVAALLRGGDAVGGKALVELWCKGEE GRYDGP
SAPIO_CDS3306	MSTTTAAVAATAAPAKPLGMRKNGKQWHETKKAFRPGSGVTSYE KRAKQRVEMAAVKAKEKEMKDEKEAERQRRIQAIRDKRAAKEERERYEMLQAKMHKKR VERLKRREKRNKMLNS
SAPIO_CDS3307	MSINPTEARQPKATPGPNTADLLKARPAYFNRSISDVSAPANLY PSQPTSTRERERERLSPEDKTGQSTINPLSNAPRTSADLPSSARSDALSAKSAPGTSR SAGSVTMAPKTDDPASAPGVRKTSNEQKSQSQKDWQQMSKTVNGLKQCILDLGEVSSE TTQRLDKTYYSVLEKVGTLQSTILGLKELALQSQKTTDNFERDTKEVTKEVQSQLSGY GNFKEQEQRIESLRERIEVSRKKISALGERVDVVKGRVEGWERADNEWQERTRRRLKT FWAVTSIVFFILVLIYLGAPYGGSGVKPSDPDGQDDITTKGLGEVTDAVTGSLSSTGA SASEMETTYDEEKRLPNLTRRPEMGSKDERLRVFDEL
SAPIO_CDS3308	MVSSQEHNLPNDVVVAANFAVATVQVSTTTTDENVIPRAPPAQA AHDAISCSQVLNGKQEHYLKRELLSEQVKAEILELNSPTALRRFGAPFKSEFGEVSPL DSELPILRYIFVHHVRDFPFLDKAREKEFWQDKLQVFLESFANKSISSSEDRLEETKR RKLAIKCQKLVELMMVSGVRTSSGFEERIRFSEIEFVDSNAIDTGVMHSLPEGNYFNG WDVNVAGVRMVSIKRNIRYHKHAEFILRVKRKGEIEHFVARRYGDFHRLHHRLRLELP GKVLPVLPKKNKSDSTAPSLASFQFDVPESEESSISSSSTPISADLALPHTNGAADRT SMTLAVRGHRREQSFNSARSSPRPSTDGRPKTPLPKENTDHPVTLFRETQRISLRAYL RSLLQSPQIAQTNAIQDFLTKDRIQLQDEDVDDIVRRKAIDERRVEEQKQFYEIARRR AAELDVYMEEFRREIVERNGLTKLFEEIRIKKTIPDLSIQYRKFAEWLRIEVAATIYH LFLAEDNSPELFSQLKRIHSLIPYSVIKNVIRITNPAAVMSGILDIFLAQPFNTRSLM QRIFSLTLHDGIRSVQKSIDALATRINDKVFVDKIIKFVESPEPVKQEVRQEAELLGV DHVVAIIQSEAIGPEITPAQMERIFNAYVAFNSAVENVDQELKQGAQLFSYLKQLLKL STRQRDKLMMLHLIEEPVTLQLFRDLFTIFYEPLVRVYKSANVYNSVTDFAVFIDDVI QVVERFHERDASADPNQTVQAFIDLCQRHEHNFYKFVHEVHTHDNGLFTQLMAWIEDI LDFLRKGPTNGTLNINALFEGAVSAGLVDKEKVIAEVNELIKWQEARKKWHHDKTRQK MAAESSGGFDTGFDALVSSFNSSDFGLDQGDLDDLAYDDDEEYETESEAEENDELGPI EAERRRRAKRRDRLRRRAGEPTKPHIEEIHKMQENFIVMLRHVLAE
SAPIO_CDS3309	MSSVNLARLARSSMPLGRLARSPMPFGTRSFTSTRPAGFVLATD TLRAKEASPHLTSKYQVIDHEYDAIVVGGGGAGLRAAVGLAEDGFNTACLTKLFPTRS HTAAAQGGINAALGNQHEDDWRWHMYDTVKGSDWLGDQDAIHYMTKEAPDAIIELEHY GCPFSRDEHGKIYQRAFGGQSQKYGKGGQAYRTCAAADRTGKALLDTLFGKSLQFDNM KYFIEYFAVDLLMEDGECRGVLAYCLEDGTLHRFRSNHTVLATGGYGRTYFSCTSAHS CTGDGMAMVARAGLPNQDMEFVQFHPTGIYGPGLLITEGSRGEGGYLLNSEGERFMER YAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLQLSHLPPEILATRLPGISETA RHFKGVDVRKEPIPVLPTVHYNMGGIPTRYTGEVLTIDAEGNDKIVPGLYACGEAACV SVHGANRLGANSLLDLVVFGRSVSHTIRDKATPGEALKPISADNGSKQIEDLDKIRTS SGPRPTAEIRKAMQRAMQADVSVFRTEESLQDGVNKVREIDQTYHEIGISDRGMIWNT DLTEALELRNLLTCASQTVESALARKESRGAHAREDYPDRDDVNWMKHTLSFQKTPHS PVELKYRNVIANTLDENDCKPVPPFKRVY
SAPIO_CDS3310	MFPRSNRPRLISQQTTSNEQPLQPPASAAREPEPVENQPVPSTP DPNRVRQYGYYEDTHWYCNCKPLTRAVFRHTKKAGRNQGRCFWGCRHIENGCGFFLWL EDAEERQAAFVPNSEMSAKKRGQESLHKYFEIRPRDGESPQPPETPTRRPPSEGETGD SLASVDSLGEPEGDLEEVQLVERPSTPPPREPRPQPEMPMTPVTAPVPITPHSKRRRD EALEDEDYLSELSPEEEKELITLADSATRRSNMSPPRRPTTPTPVRTRPVANPPTPQT EHRHANPSASTAGSLAAKRQRVLGASSAAASSSRFQAPPRFNNPTAPAQNTDDFLSAN DATEIADLMALLEAHNSPPEVCQAVRTSLNRFAARTASANNSRDLLRAGMERSQERIA ALQARVADLENTHATLRGLLAVVRDT
SAPIO_CDS3311	MENDSSRRNRLMKKFFHGKDSKPDGTQQTDLDDFLHTSSSDTLD VTHPVPPPPVPSSRVLPKLNISNASRYPQALALDQPNQGLPIRPPPHSPGLVSNRRPV PNRKGQLVRFVDSWPDIIGEGGDECPDPTIEVSRRKSKRNNAPPPPPSPRNPARLAQS QSDLSDKGRSTDSLAPPPSQHLQPGSPLPPGSSGEQRNILTPGNAASSRYLDTSRPKD ENRRSFIEIQQAEMRQAEGRAFAEAARSGSATSERSWDESSRQDEQSPQKRHESPRFR SVQPTVDAGRDQYYPSQHGGTQSPTSLNSTSSSVYSQPSSLTQGSVSRQGSLVTPMMP AQPPASPNAFSFSRQASVLNQQDPFGGAHRSQSVRMHDVVQAAAEDALNTFVARVRHL FELFRLHAEAVRPISSSAPDQFSRASLWWFIKGRMGLEMVIRSRDVPPQSQMQLEMDR QQAYANLAKGYWISELAIPELLEARNLRLGADEEDARQALLSNLRKLTISMKRNNLLP PEEAFLPQTIDKSIWVEYPALSQDVVALLTGAAGSGMTMTQHTGPQMSIIESLPLGDV GEYFNYSRIAVDAYLMEQGMESQRVTLPCLLSTIRPQNQSSLSFILSSQSGQVQLRIQ SNKSLGPTWDDVRWVPEANVLDVRLPRGFKLDIHCSRQDFTMLWSMYDFGRKVQATLY PRSDELVTFRSTLPAFEYLDADPQSRSFPKDAQMNCEVALFEKVYKESVAGGTRCLHR GCRLAVVTGPQTRTLSGVNHAYVPTQPIQFTFLRGNSGLPALHMRFDNGRQKGHMVLS FHDEKERLEFHMIFTGARVANDELVVAKVPIAGFKITQKLGEAEGVAGLEKCPWATAK IINEENEGDDPRTVLSDRMRVWMDFNVGTITDRVNMAPGEFKLRLEVGNPKVLHILRQ PQQDLTVALSESHVSKDACASVHQGWQILSKTQSVRSYTFHTLEDLHMFQEGMTGFKV LFDAVATSFAIARRRMVVPIHKKWEAGRTRIQVVQQDKIMQMLVFFEDFHHGHCMGFV LKGTDVFEVFGRSSKAGLRIVDAKFPLPRVDDGRGGAGGEPNDVAFIEIRSANCFHHP 
SAPIO_CDS3312	MLTSWWSAPAPAPEPKPSDDARSDISNEDNTEEVDPDQGNVLSH IIAHLRPGADLSRVVLPTFILEPRSMLERITNFMCHPEMLLPIPEIEDPVERFVAVVK FYLSGWHIRPPGVKKPLNPILGEIFTCYWDLPDGKRAYYVSEQTSHHPPKSSYFYMAP DHNIRVDGTLKPRSRFLGNSAASMMEGIAFLTLLNRGKDPAKGERYLLTQPNMYARGI LFGKMKYELGDQSFVRCPELDLVAEIDFKTKGWVSGTYNAIGGAIKQESTGEILYELS GLWNEEMYITDVRTGQQEMFFNGRKSKSTPPLVRPIEDQEERESQRLWQKVVQAVKDR DHEVATDEKTLVEDRQRQEAALRAADGVEWTPRLFRRVRGGPGGSEEGEEDLEWIINA HIDHRQSPERISEQIKAIYPIVDGQKPDSSNAIPPRTPDVRSPDGDVPNHTTKRAPPA AEEGDLIDLGPDCEATAGVNGTATGGTDTPRPAV
SAPIO_CDS3313	MANFLASIFGTELDKVNCSFYFKIGACRHGDRCSRKHVKPSYSQ TILMPNLYQNPAYDPKNRMNPAQLQNHFDAFYEDVWCELCKYGELEELVVCDNNNDHL IGNVYARFKYEDSAQKACDDLNSRWYAGRPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKNPSDELDRELVLSTKKWLKMSGKDEKTPSRSPTPEPTRRRY
SAPIO_CDS3314	MDDQYPQSVEDAKEHIKTIRREKGLDGPANNTSDLESALKILSE QLYQKSTHFLLELVQNADDNFYPPGSSPSLALRYENRHLLISCNERGFSRNNVEAICR VGRSTKSGVDKATRYIGEKGIGFKSVFKVSDVVWIKSGHYSFKFEKSRDLGMIAPIWA DLPATAQQSLRGNTTTIYLQLSQDYDVSELITEIKSFDARLLIFLRQLKRLDLAVRSK DGTNWSTAIAKHDEAGGSRITLQLGKASLRYIIFRYTATHLPPDDKRPGVTESELMLG FPVSESETPVLTPQYVHAFLPIRDYGFKFLIQGDFLLIASREDIDDYCRWNRSLRGAL PTAILEAVNQFNRGPMRYTWPRFFQVRPQMRDFFAALEDDIATLLSTRPILQAVSGQW HQPGELVKVPPQFLSWDGVPFTACESIDNKYLSPGYSEEDWPLLSRLGVRRLSSKEFI EHLGVLLEKYSISFRQKPGPWHSALSQALTSLILGDATGESHRVAISRMDIVPLRDGR WVSAKTGSLVLPSRDAAVIIPPGVGVLEVHPDAFKDSDRRQLLVHLGASRLTVRQVCE EIVKAHSSETFRPQDLHLKDLVAHATYLYRHNWSDPSRRNIYFVADNGTSSTGPELYV ESEGLFSASKWAPLKGRIRFLHDDYFSEFPWGSADRQAFIDWLTSTFHLNTCPRIAKV RSQSFRLSDEFRLLIRTAPSIQILELLRHEWRDYEQWVDKKVARNMSSEWMTSIASVV EELSQMKVPCQGGGYAALKDTTLPLREIIDAYGRELALLDLEDPDSADWKFLRIFGVT VTLGTGVLLRQLRNMRRRQDVDFTKVRSIYAELQSRAASDGSTILPSFVKEPLIYLPF YSIQGGGMWVPIGACVWDGHPCLRKIARLQPHYGGLHHFFRRTLNLKEGSVDTLVAEA SMISAGDSLSYIASILIALSASIRSGLTGGALSDIKRLSTARIFPVDSRGDINSFDSL QSAGSKDFWLIADRPHLRKCFGGRVSLLAFDPKDIGLMSDLFAHFTMNYRLLSSVASG LALVEGSSSLHPKYTNNLRSKAYLLASLAPKSGGIGRSALSSLLRSVDVYEADRVVIK WTVKGAYGQTITGAVDSGRVKLTQESSRLSIYLTKEDVESPCPSLELVEELQKFCGMQ GSETSAVVQQILMNPDIKALTETLERRGITVESSDDDTAGGDEAWKVPLDYSKTLKHT EVGNWPQPTGDMNKDGSNTLGDFIRDIESAASVQNTMEKEWGSKDMNTNPLLSSACRA DRVNAAVFLPRKAVKEMRNMASGPPDRRAKWSFHTGGGYLKMLENRHASINPVFPAIY VPSKLGDDNVYILETAMNPTSPEVAFLGELMFSRFLEMNIGESYSPANNWTSILRSRA GHPPYTYSYKAMGCPTTFTINDKTGRLLEFLRSAGYAKASTWKSGIKFHVEVSTSEGV LDDSQFFLASEILMKAHILAVRRKDSSCPNEVFILARISNAKEDPEITLFVDLGRLMA KGHVVTTDITCQQLRFVDTSMGIKVKDHRASSKPLVNGSQHWWRRRSRLGVSDGTWSS MVYYQYKPLKGFRDIRLVEIAPGKEDEVLRGQMKHTSIDLAGRYHALSYEWGSSLKPY HIKTSDGLIALTGSLYNALRELRDTKETLVVWADAICIDQENDYEKVLQLRLMGEIFQ TAESVSAWLGEEADGSDQAITTLLQIRTLSVNPKTWPEMLAKVPPSWEGQRCPRKDDD VWEKIDCLLERGFFSRVWILQEVVFASKITVHCGRWSFDWEDIFEAIKICTEENTRHL EAQVQSRNKQIPIAAYTLGITREIYRHQTHRGQAVDRRFSLLQLLELFAYTSATRERD KIFALLLLASDGQDPEFEPDYSSSWEKVVRRYANVFVQRGAARDLLYRGGTAKSFKFC SWIPDWIRGEAPTTISTWHSTKGSFSASGASTLSAEVSSKNDRLLVVRGSIVDRIAKV GDVTLEDHDVVAYVNYLRKEIDKLGSYPTGESLDELKFSIPIGQSSRPHLDPLAGAMT TFQALKNHQSYGKLPNEDAGGGRVQGEGNEDTFDPTGARLDIKSIQHLIDFLKQPQNL RDSVWKYWHTAAAFSKRLSVARFCTTEKGYAGLVPGDAKIGDEVFIANGTAVPFLVRH DDGKGRKEWKKLVGECYVHGIMFGEALAKGDAKDGDICLV
SAPIO_CDS3315	MPVALVNKFEHLASCGNIFHERLEKAELESIRLRILGKRANDDV EIEEESTTPQMDQLPTDFRVIDCETSLVCPLPKGQDYLTLSYVWGTGLDTGGPYGALP NTAPKVIRDAASVTLGLGFRYLWVDRYCIPRDQPEEAHIQIRRMDVIYSRSFLTIVAA GASNPGEGLAPDVSGLLSCSWSDRLEQTLSKPLCHLGGFTLHLCIPHYFTNDDVSGDF LISSQIFRHLVERGGGPSLLQGDSRMLTVGAEIYGSAWNTRGWTYQEAVLSRRLLVFT PGFVYFQSHCDPALPWVFQMGLSGIVSPPLFARVSTAIQQTYWFYSDGASLLEAHVQA YFRRRLTFPSDGYHAFRGIQSALHRSCSDMVMFYGLPIQVSAPDSSPRGCAYELVSAL LWEVSAVVVRREGFPSWTWLGWQLLRHGAPVYGPNWIVEYEGVFSTLHSIEVVFGDES IVRWTGPSCLPERWLWGDEFPSLRVEGWTFQLDPLAAADGEIGGESRAWERDLRVNLW YSVRRLGSPLHDGLANRLDELVLLVLAHGIYVPLVLGSEVDPTSQIARDATHSVSVMA LWPVGVRGAHERVGLVHAKLPKPWDDFDREGHGWTVRKIFIR
SAPIO_CDS3320	MGFMSFLRDDRVALIGMAIAAAALISSMMAVVSMVIEDNKIERP EPKTQYITQETEDSLQLDTLEKLINHPSYSIREVAVKILCDRAVNDADTIHILLHGIT RKDYGHRLRCLKALALLLSQTGEAPTTFDSLKTYGAMVRSLEIGLDDVERPKLDDPYF DEYYLRDMAERTNLLLIYQLIQKNGAAKLLMAKFVERWLAKQNWGDSENEIHENFKMY TEGNKSNPIRGIVDCIRTATGGLETLRNCGLVAREETPPYRGEVWGITFSTVPRGSDE DGVRDSELSADEIRRLRREAFVFNDGTRPVSRLDIVERTNPH
SAPIO_CDS3321	MAYTTTTTIATSASNASIYGTIRQPASRNRRTLRKSSSVGTGVA TASTPNLNSLFNSHSRLAVRPGLARKGSLATLTQSSLASIPDVSESYGVDTVLNDSVM PPLTPGRLVPEDLSIGDTVEVPGNMVGTIRFIGAVQGKRGVFAGVELKPEFAARGKNS GDVDGISYFSTSTPGAGIFLPASKATRRDGPSSIPLTPTGISGGLKIGTQNSTNYTPP TPSLPKFSQSVGPGRAASPFGKKPRASLPRPESPVRRLQMTPGPRPSISTSPSKGNNT NARYVSPTTPRLAQSVRGTAGDPAKKFPFGRGDPKASIGPRSASALGFEDDDVTPTGV SRTKTNGSMGSVSSFNSKIRPPSRANNDDELERLRAQLEDRDKQLREQTATLSEMEST LTELQGLMDQPGGPRFGGGMDDDKDAAQLRILLREKNDKIAMLTSEFDSHRADFRSTI DALEMASTETVRVYESQKKEMIQEIQELTSRLEDVEAVASQLKQLEDLVQDLEEGLED ARRGEAEARGEVEFLRGEVERTRAELRREREKAATTATTSKSSPNINGVNDKSALSKE LEQKEDEIRGLKAIIHSLSRDSGTTEAGESAESRRLREKLERDVSDLRAVLEEKTGRE EELERELEMLRRSNGLQNQRQSAMTVVSARRSSLRDSRDTVVLARSQETRSPEAPNGH KRGRTLDTMPESDSYSTATETSTLWCEICETGGHDILTCTNMFGPEGAKTNDTPTSAP RANNDGLKPLSVNASDDYKPAPLSPAKPKATPVAFPAAPKPMPMPSILDSGPVAGKES GVIDETKWCAICERDGHDSVDCPFEDAF
SAPIO_CDS3322	MIQDLLYSLGNCLNCFPGSPTLKINGRSFKILRLLGEGGFSYVY LVQDTNTSETFALKKIRCPFGAESVSNAMKEVEAYRLFAHVPGIIHMVDYSISTDRGG GSDEASKTVYVLLPYYRRGNLQDMINANVVNNARFPEKKLMVLFLGVCKALRSMHRYE SAPIAMERMEMGDGDTEGGGRGNKGKAKGGKRVSSMAAALPDEEHETEQQRPLMEGQS TVGAPNVKSYAHRDIKPGNIMIDDSGSNPILMDLGSVAASPLPITSHSLAIATQDTAA EHCTMPYRAPELFDVRTGTVIDTKVDVWSLGCTLFACLVGKSPFEMRSDETGGTLSLC VLGGDWRFPDEGNPAGAGLRRSATSQSASGGGDGGGARGPPDAKISEPVREIVRKCLA VEPSERPDIDELIEMVENVIEELPPDSDA
SAPIO_CDS3323	MGGGEKNTSESSYPVLAPRPKGQWITNLYRDRLSQFTSGGQYEN ENLRSMLHEAEVYGEPHVKLSAWHAPDLTRPTFKEATSHKFEKTSVGHSFGPAWSTHW FKVVLTVPEGLRHKEHLELHWDANNEGMVWTEDGNPLQGLTGAGERVEWVLPHSFRDG KEHTIYIEMACNGMFGCAPGGDSIQPPDPNKTYRLSKASIVAVNLQARALSIDIWIIG DAARDLPDNSPARHQALDTATRILNTFVKGDKDSIVRCREIAQECLGKLVDSHKVYEN GQEPDVYGIGHCHIDSCWLWPWAETKRKVARSWSNQCDLMDRYPELHFACSQAQQYKW LKENYPYVFNRVKEKVHKGQFHPIGGSWVEHDTNMPSGESLARQFLYGQRFFESHFGE RCRTFWLPDTFGYSSQLPQLCRLAGMNRFLTQKLSWNNINKFPHTTFNWVALDGSQVV CHMPPAETYTASAHFGDVRRSTSQHKSLGQDNTSLLVFGKGDGGGGPTWEHIEKLRRC RGLTDKLALGKTELPRVQMGHSAEVFFDKLEAKASDFVTWYGELYFELHRGTYTTQAN NKKYNRLSEAMLRDVEFLATQASLLDKSYKYPKKEIDDMWEAVLLCQFHDCLPGSSIE MCYDDSDELYAEVFKTGEAIFKDIFRVLGVSRTRPDGANHPIALNTLPWHRKELVKVS DDEAGVACGIGQLLPVKKFKTNPGKKAVTVEEVRKGIFVLQNDRLKVTVEKGCITSLY DLVNEREVIEAGQNANKFLIFDDKPLYWQAWDVEVYHLDTAKELIASETRIGEVKEYQ VSVVTKVKISEKSSLVSTITLSAALDGVQSWVECHAEVDWHETMKFLKVEFPVEVRNT EASYETAFGITRRPTHYNTTWDMAKFEVCCHRFADLSEPAYGVSILNDSKYGFSTCGN LMRLSLLRAPKAPDAHADMGKHSIKWAIFPHAGALGPATVKAAYAFNNPLRPLAAPKE KIESLVGKYPVTLTGDESLILDTVKRGEDDEDVSRGELPRRKGRSVILRVYESLGSQS RAVIQTSWKVKKVFKTNILEDDLEEIRTESAGKFKITLRPFEVATYRLQLHDGRGEL
SAPIO_CDS3324	MPADYLTTARALSLSPNPGSPSLEQRPSWTRTRRTSLRLSTMPT RAPKSGRTRITTALVQIGQALAQTGQTLFRAYMFLSPLYRILVFLGLVCLTALTITFL IYSHAIFTWLSPVAEAWRQAPGGWLIMWFIVFVSAFPPMIGYSTANTVAGFIFGFPLG WPIVATACTVGSLAAFMASRTILSTYVHNLVGKDHRFLALGQVLKRDGLGMLTMIRFC PLPFSLSNGFLATIPSITPLSFTLSTAFASPKLLIHVFIGSRMALLIEEGSKMSAGTK AVNYIGMFLGGAVGVTVGWLIYRRTMTRAAEIALEAAPEEGRAGSSSSPLASPRISNA GLGFLDVIGEDDFSRARLLDPGDAAAVLADDDDISLWDTTDQVGGYVDEDESDKGKVN GTRA
SAPIO_CDS3325	MWSLSGLEILDSQQLYDDATNQTLKHHAFAILLLIPHSSCTSPP KSSTIVTTHNPAGLRVDSASRVSNTSKKLHSRLMNYIHLTQTLVESFNALADEVQTLT DRKTVLEHKLRFAHEQFQYLADKHAPAAPEISETLAKLQIPPELTHSSTKSASTVPLP RRGVTDASHQIALLIRDGRRVAQQLASIAEPSKTSLSSRETFSRLSNTATSMSTAALE QDFTVEGKKGSLACPFSTAPPQKNADQADDGAGEEGLPDPTPHQSTDPICAAMFEEAT SQPAPSAANKCPIRYLDKHSPEEIANYVKTHKHELPRSHTVCVGRYQRSEDQIRKLDA KYGNIVSMIESLSQLHKPMLPVSEEREEDEVDMDASKQRVEDWAQGVSASAAEFSPLP EYTSGNDESETQAREEPGEDQARESHFDRPLKEVRVGESPSRPWGISVPVYEPNGLGL GDAPLSPPPAPVLMPTQLSNGAVPKTPEKRPGKCPFDHTKLGAMGGLGLPPQHARDGA SDVSRKPATEGLFATTEKQHQTDNRAPPPLQAQPAFINPPKYSNGIPQMVFTGPVFIG YPMDQALQFMQAFQAQQR
SAPIO_CDS3326	MPSPAQLVSEDSYSLPGKLIVTDLSFEVPLNHDNPASTRIHLFG RAVTYNDRPAVNPGAADTTGSPGDNKPWLVYLHGGPGFGNGLPQDSPLVRENLHHSYR ILLLDYRGTGLSTPVSVSTIPGDSTDDKVAYLRLFRQDSIVKDLEAVRLCITENDPPE LKRWAIFGQSFGGFVSTTYLSFYPEGLREVFLTGGLPPVGVKPEKVYEATFKKLMQRN LAYYTKFPDDHAVVNYIANHIHKQGGVQLPGGGVLTVPRFLTLGFSFGAHDGFQAVHS LLTRVRLEISQFGHLTRASLSAMELDVPFDNNPIYAILHEAIYCYQPGVASNWAALRA GSQLGVFDWLLEDYAGPSALSDPNPTPLFFSGEMIFPFHFDTYPELMSLKEVAQKLAE YDEWPTLYDEEQLTRNEVPVYAVSYVDDMYVDFDLARETARKIRGIKVYETNALYHNA VRAKSAEVISALMKLREDTLD
SAPIO_CDS3327	MDTGKKTTGVLHHTTMDLTKRQAAAYQTITTTLAGTKRSGSEGL VQDSHRATISANTAFKKAASLLGSKPAQLRPSPIISLDTHSEQESSEYSQRKAISATP SASVEPALCLSHRVYGLPPGLVANFASLGIKSIYPWQKNCLLGPGLLSGVKNLIYSAP TGGGKSLVADVLMLKRVLAEPGSKALLVLPYVALVQEKVRWLRSVVEGLTRPSSADAQ DKKNSHWRKRADEDTANSLINSAIEEGGIGDLKAVVLDELHMIDDDHRGYLLELLSAK LLSLSQPIQIIGMSATISNISLLAKWLDGHAYVTKYRPVPIEEHLVYDGCIYPADSAS LLAKTASSLITPQVPSQSAMRPSREIQPSPHKEFKDPVLNAVVALTCETVGAGYGALV FAGSRQSCESIAQWISKALPPPEDLAIAIADKRLDVLSELQSLSTSVDSVLAETIPNG VGFHHVGEFVYEREIVAKAYDSGAIKVCVATCSLAAGINLPARRVILHGARMGRDFVG PAMLRQMRGRAGRKGKDEVGETALLEIIAIRLATSRESVEEYARRSLFHHSNGLQETM RCVDSSLAELEKLKFIKFDPVESSYEATLLGKAIVASSIDPDDGLFIYGELKGALRAF VMDGDLHVLYTFTPINVTDTPVDWKVFTDMVESLDESGHRVMELLRLKVSTISRISRG ATLPESTQEEKDHARRHRRFYLALQLRDICNEMPIHSVARKYDMPRGTVQMLAQTAQG FAAGMIKFCEVMGWGALAATLDHFSDRLKAGAKADLLSLAKITFVKSRTARVFWDNGF RSAAAVANADPKELVPVLMQAQPNKIRLKEQAEDEKYYERLLIKAKIIVESANKIWSF EMQEDMEEE
SAPIO_CDS3328	MTQRTQNDTKSTELEAVSGAPQQSMSKASSGGAEAPIKDGVADT SSSSPYGTRSRNRTGNSRPNYAEDKDMDLDFDYYPPKKEAESKKLTRQNNSSASTPSE APRASAASRKSGTDETKGAAFQNGTKEQNSASQSVPNSGANGSTGTSQSSSKKRKSAA LQSGNSTPAHSTQSASSSGPSKRSASQTTSQTPSTNMLSFTNCNAITNGGKLVADDGT VLAPNDHVYLVCEPPGEPYYIGRIMEFLHIENDASGPVDALRINWYYRPKDIGRRKNY DLIPTTSIINVPEKVKKVLDERWKFVLVEQGRGKELTSAMKLCKKCGAYCASNDSVDC SDCKLTYHMNCVTPPVTKKPSRGFGWSCAACSRKHEKKLHARNTPSILDNDFDFDDDE FNEEEEVAATTQTGTPSLPADDDAHIQGTPEQIYQASLWPYRYLGQHCKVEDALDYDD RIYPRASSRLGPRHQATVLPWPGRPVIYEPVIEFKRTGRKDSKLSKENQAALEAQKIR RETRPKYIQDEPPGYTVRGEDHDENDPKCTSTLLWKPPSQETLPDDVLKDYTKKAMAM APALGVPEHSTNLQNIALVTLYRHNFDVEKALDDLSKTEVEVFKEPNFTPAEQKKFEE AVMKYGSELHMITKHVKTRKHGDIVRHYYIWKKTERGKQIWGDYSGRKGRKEAKKAEE EANKLQEDLADDLDDSAFDSEKAMQRKKNFICKFCSTKSSRQWRRAPVTACASEGGGK SSGKDKKDQYVAALCRRCAELWRRYGIQWEDIEEMAKKVAQAGGRAWKRKQDEELLKE LIPAKDLPSLSPPASEPGSAQVNGNATNGAQNGEPPRKKLKGASEDRSGNESGTHTNS KRKEKTSEKNRATPPVVMPEPRTLPCAICSQLEPRGDQLLTCRECRLSVHRNCYGVVD SRVLTKPGKWICDTCTNDRSPQVSLNYKCVLCPIEHTEHDFVGPPKSSSTHKKKSDKD RDREKAEREQAQKAAEYYRKKQEEANKPVNPREPLKRTADNNWVHVTCAIWTPEVKFG SVHVECARQNGFVLGFDITPIKGSRRDQFHIVTINGESGVMSAAIWCKDHTPMKTIVH PMYDIVDESGLNALQLYAQNFKQADRSITDTVRKANLITAAAKVTGSSTNTAVRRAST TTLAANGTSSQRQANEPVPTSQSLGSKICVTCGIDVSPKWWPVNDGTGESMANGYHDE LSEEAKRFMEQRKFQCHKCHKTNRKPKPLRESPPPPEQVHLPMVSHHQPSAPVQAAPA HLPALASPPPQRPADPHHRFPWTSSPSPVPHAPAPIGPPMVASHVQPAPPPPPPPPQQ QQQQQQQQPPPPPPPPQAPPAPHINHLAGPPPMPSMHQYPPPAAPYDDWHSHSATQHS PPARHLNGDRHQRSPIMGLSALRPPPLSAPPPPPPPPPPVSSIHHGSHMSQPLVNGLP PSPLRSGGPQVPPPPNPYMHPYHPHPVVPHHLPNGTPPPPPPASLPPRGPDHLSQGLL RQGPPYGPTHTSPPLHSSQPVARESGPYVRESPPILPPPPQRPPENRPPSGASASPSL RNLLL
SAPIO_CDS3329	MVKETKLYDLLGVSPEANDQELKKAYKAGALKYHPDKNAHNPAA EDKFKEISSAYEVLSDPQKRSIYDQYGEAGLEGGAAGGGMAAEDLFAQFFGGGGGGFG GGLGGMFGGMQNRGPPKARTIHHTHKVSLEDIYKGKISKLALQRSIVCPKCNGVGGKE GAVRRCSGCDGHGVKTMMRQMGPMIQRFQTMCPDCNGEGEIIKEKDRCKQCHGKKTIV DRKVLHVHVDRGVRSGTKVEFRGEGDQAPGIQAGDVVFEIEEKPHPRFTRKDDDLFYK CEIDLVTALAGGTIYIEHLDDRWLSVDILPGESISPGTVKMLRGQGMPSPRHHDMGNM YISFGVKFPDKNWTDNPDAFEGLRQLLPSPALETIPPNDAMTEGASLEDVDQRGGSGR NFGNGAMDEDDDDGHPHAERVQCASQ
SAPIO_CDS3330	MRIPYSCVTTHGELLFASRGGQIQVFNLEGGAPLSTWNHPDAEK EALATQSAGKNKAEEKPQLGPVEEPPAKRQRVEQGADVNEGDAPAQETAEGEADAGQK GESKKKDKKKDKNAHANVHRDPISKRPDVHVIVLLSISQDGKYLAAVSGHDKTLWVFE HDGAGTLKELSKRPMPKRPCAIALSSDSRAIILADKFGDVYALPVDPDRVSLSGETVS LFVKHQRDSSKPAANEYTVHSKINLRSLEMQHRALEKKGKEQKGANDEGNAASSEEPA FEHTLILGHVSMLTDLLIAEYQGKHYIVTCDRDEHIRVSRYIPQAYVIQSFCLGHKEF VNSIAVSETHPNLVPAVFHWNLSAEGKLQHCKIIALPSNPLDIAISATAEPKLIVTVD PSGSESSLSLIAYTLASGEAWLLPTNTFDESAPEGTVLDVSPEQIKKLLYTVESLRKR GAQPGGEADEQEK
SAPIO_CDS3331	MGGKTNKAAYFEKLRGLLEEYKSVFIVSVDNVSSQQMHEIRQSL RGEGVVLMGKNTMIRRALRTFIADLPDYERLLPFVRGNVGFVFTNADLKEVRDKILNN RVAAPARAGAVAPVDVWVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVEA NTKVGPSEATLLNMLNISPFTYGLGIVQVYDQGNVFPPSVLDITDEQLLETLQKAITT IATISLATNFPTLPSIAHSLINGYKKVLAVAVVTEYGWPEIEELKDRIANPDAYAAAA PAAGAGADAGAAKEEAKKEESEEEESEDEGGFGGLFD
SAPIO_CDS3332	MPPPSASHRTASALFRHDTLTLMSSTLRAGPTLTGGRRLSWLHN DFRPRQHGAFPSQFSGHLARPRPHPHLIEFVRGKKTRSTLKLSDLPQGAIPPLEVQPG GAEDAGPAYPTVILQARRNMQKFDNCVLLTRVGGFYELYFEHADEYGPLLHLKVAQKK TSAGPVPMAGFPFFQLDRFLKILVQDLNRYVAIAEEFPNNAADKVKSGGLMHDRRVAR IITPGTLIDENFIDPYANNYVMAIHVTQPHNQREQGQGREQEQEHGQGQQQQEEDSRT PAEIEPEPEPRGIISDPGQVALTPDLRGADLPLSAPNGERLSLGLAWLDLSTGHFFTQ STNLSSLSSILSRVGPREVILDKNLQSSEDHDIFTTLAEDRYVITYASQGDRRLELDE WAPMLESELPRDARDAFTEGEVSAGSLLLRYVKDRLQGMSMKLQPPLRHENMHIMSID KNTLRALEIKQTIRDGFFRGSLLHAIRRTVTKSGARLLNEWLSSPSTSLDVITGRQDL VARFIENETLRENIILLLRRCHDSQRLVQKFALGRGDADDLVALANTIRSTEHIVQLL EEHVQQNVDDTDGASPPENDCLTTMASRIILDGPLQLANRIKEAVDEEGIVYRHEIQE TEASQMLALAQEVVSSEGTNEDTAVLPKASKSKKRATSLREYYGEDNEPWVMKPNASP SLKKLHRQLDKLLIEKVQLGETLRDRHNAQSLSLRWSPGLGHICHVKGKDSRNLADLK TLSSSRSTRSFHVPEWTDLGQRIDQTRLHIRNEEQRVFQDLRERVVLNLVKLRRNAAV LDELDVTTSFAGLALEQNLVRPQLNNSTGHTIMGGRHPTVEGGLHEQGRSFMRNDCLV GSPSHGRVWLITGPNMAGKSTFLRQNALITILAQIGCYVPASFASLGIVDAIFSRVGS ADNLFRDQSTFMVEMLETAHILRAATPRSFVIMDEIGRGTTPEDGTAVAYAALHHLAT VNRCRTLFATHFHAVADMAAEAGLCGSDEQKEGKKKRKSKGTGQPDAEGSVVEMYCTD VEEDGLGGFVYVHKLRKGINRQSHALKVARLAGLPEAAIATAKQVLEKTMA
SAPIO_CDS3334	MVSDEHYEVCLPILQDPNLEDEDKTDKIEELLRKETALTGTSLD NAILDVLWRYREGGVSSASPPPIRQTILRRPSPASWRGSSTPLSGSPRLGVSPLAPPG YVPSTLNRTKSSTASPFSSPRPSPRLSFATPAIPHSPNLNAYEFANDPTPAPEIFGDY PTENVEWLVNDDGGASLSSSFTMPSGLNAAAPEFSSVSAQQTDMTPYDMLRTILGPSR TDDEIEAALASHGYDLSATVASIMDSQGRALGGLAASAEEPRKVLVGRSSVVDARPTT PVNHGQKPGIICKFYLSSGQCLRSDCRFSHDLSSHLCKYWMMGNCLAGDTCVFSHDPA QLVTKLSMDGSSTPPQKAASLHTQDYSSFPTLHGSPDSFSAYAAAGNHHPFGLTPPSG LRPFHGMDNRPRSRPGSRHQTKEQAPALDDTEAFPSLGSGKPGKKHHGKRGGHGHKEV FTPSSLADIVKMAPSPSPSPRADKRTGRNGSTGSKNGENSAAAQAIPSPKHIPWLETG ERANKAYLKARQEAIKHGGLRNKFLQSAAQAWNRNDARAAKALSLRGQSENDLMRKAH REAARELYEERNKTNQPGGAEIYVDLHGLHPDEAVEYLEKVLMENSSESRPVYAITGT GHHSKNGKDKVGKAVRNFLNEWRYAFKEFSVPGDKNNMGGILGVDARSWDRSLGKEEG SAVAGAEHSKATSDLLSQGVEIGDGKVKLLVRDPPKGPGGNRRS
SAPIO_CDS3335	MVFRALQGDGASGIFSLTVVIEPDITPGRWLGLYSSVISSVFAF TSILGPELGSALAEYSSWRWVFLLKKRTCGGLKLRVGKWKHIDILGVVLLLASTVLFI YALQSAPSSGSGQGWSSPTIIGGLVASGVLLTRYSCRDIRPYPLSSRYHYSFARYIAL LMVSFHFTIIQLPQCLLQVNTKSPTTVGILVLAIFLPSAAFSALFGGLYRKFPTAPLQ LFLGGCFQVRGVGLLPSLPTGLPIASKQYGFEVITGVGFGLMLPSVLILARDWTSKEL YDIKKPLLSFP
SAPIO_CDS3336	MISPHNPPRKILMVVTVGGFTHAAPVLELGRILSQRGHTVDFAT LDGQEGWTNDYDYIGKLHLMGPGPSHEDLEAHYLRMREWNVSNGVGPVMESKYMFDSY WTQTYHNLKRIIDDPATRPDLLLADFFVDAAKDILYQYNIPIAMVYPQMPALICPCSY IPGQPGFQLDGTLTSEHASMWSRIRNELVMVHALPSVLRWINWTKKMRRAAGVNYKIP TPSKPNHLVLINSFFGLEVPKDLPPLVSVVGPILSDIYPPLADPYEAFLATHKKTLYL ALGTHIIISNVAAAKIVKGLLTALDHGYIDGVIWSIPTSGRRDINMSEEFAADESGKS ITFASLLKSEHSSFLFTNFAPQRAILDHPHTVIYLTHGGGSSANEGLYHGKPMLVMGF FFDQISNVPRLVASGTSEPLDKFRFTAEELSRKIGLLSEDRDGAYKRNCVRMQRIART ASRRKELGADLIEELIYDTEARYDGDKELRPMHLQTADMRMSTFKAKNWDLWLVGLVT LGLAPVASIAVGRWAWGERKALGSFAGAVIKKWIT
SAPIO_CDS3337	MRFSEPVVKASGASWGFDTSSVATASIPPHPLGIKPLGNQYFAS EPNARASLGTFKLFPDEMLMLFLEYLDASSLRLVGSTSKFLYAASRYDDLWKTLYLDN INLSAFATGIPAHNEIARLENLDYTEFAANWAEKPFILTKCIQDWPASKEWSLDFFLN RHKDVVFRAESVDWTFDTYAKYMESTTDESPLYLFDRRFAEQMGISVGREPGAAYWKP ECFGPDLFELLGEERPAHRWLIIGPQRSGSTFHKDPNATSAWNAVIQGAKYWIMFPPD AEVPGVYVSSDKSEVTSPLSIAEWLLEFHTEGRRVPGCIEGVCAQGEILHVPSGWWHL VVNLEPGIALTQNFIPESPSLYHLSEAIEFLRDKPAQVTGFKRDIADPYQLFSDRLRS ENPELLEKALAVLEARKAGRKRKWDAAVAGDGQDGEGGFSFGFGEDDDEGEDEDEVL
SAPIO_CDS3338	MATQGLEDVPEGQIESNYDETVDSFDDMGLKPELLRGVYAYGFE RPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKIDTNLKQCQALILAPTRE LAQQIQKVVVAIGDFMNIECHACIGGTSVREDMKALQDGPQVVVGTPGRVHDMIQRRF LKTDAMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKFM RDPVRILVKKDELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLTARDFTVSAMHGDMDQAQRDLIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMNV ADLI
SAPIO_CDS3340	MSPSMSLFSVQAILILSSEDGSRIFAKYYNQPHHAPTGQTQGPT ASNPYPDLKAQKAFEKGLADKTLKQTGDIILYDNRIVLYKMESDVMMYVVGSVEENEI MLYNVILALRDSLHLLFKQSVDKRTIIENYDLVALAIDEIVDDGIILETDPTIIIQRV SKAPSQDVNLSRIDLSEQGVNNLAQLGKSKLADWLRQGL
SAPIO_CDS3341	MSSPSKRRQTPRRSARNSEAPRSSSPVPGASQGSQAGATPRATR QSQLASSPLFYQSSSPARRGGSRSQDNDVSSPLQHDTQTTGNGISEAPSSPLRQMSDT QSMGDRTPRASGALAGQSSPIRYDPSSSPGRSSTRQHSDLRSESSGLFVRAGPSSGRA FRGDIHSDLNIARTPQPPRRVILDDVGRVIQEGPSETSTFGNRDPTTSEADVLGGAGH GVVWGTTVSIEDSFMSFNDFLRNFTKKYQMYRDGLSDDEIRASADASSKPYLEALETM LLLGTTRLYLDVGDLKLYPPTRKLYHQLILYPQEIVPVMDQAVKDTVLELATKGALKD RSQSSAGQLNPSQSSEPVFPSSDHPEPTPSSRGLHPSVEEQIEKTTYVVRPFGLEKTT NLRELNPSDMDHLISIKGLVIRTTPVIPDMRDAFFKCNICGHTTNVELERGRIREPTE CPRQICASKNSMQIVHNRCEFSDKQVIKLQETPDMVPPGQTPHSVSVCVYNELVDFCK AGDRVQITGVFRASPVRVNPRQRTVKSVFKTYVDVLHIQKVDKNRLGVDPSTLAVEGH EAEEQATSNEENLIPETRKVTPEEEEKIKQTAAREDIYELLSRSLAPSIYEMDDVKKG ILLQLFGGTNKSFAKGGSPRYRGDINVLLCGDPSTSKSQLLSYVHKIAPRGVYTSGKG SSAVGLTAYVTRDPETRQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQT VSVAKAGIITTLNARTSILASANPIGSRYNPNLPVPQNIDLPPTLLSRFDLVYLILDR VDEKNDRRLAKHLLSMYLEDTPQHAPSNNEILPIEFLTSYISYAKANIHPTIEPEAAR ELSDCYVEMRKLGQDVRASEKRITATTRQLESMIRLSEAHAKMRLSTTVTRADVREAY RLIRSALKTAATDAQGRIDMSLLTEGTSAADRRMKEELKAAVLKVLDEMTAGGQTVKW NDVARRVAEGSSVPVENADFAESMRVLDMEGLIVVTGDGARRSVRRVTGVA
SAPIO_CDS3342	MAVLHPESTLGQCIPPFTKYGITTYLPTWEAALRLRDGDPAIAS KLQNIYPRLMPFGDTRVLIDTLGNKLGIPDGSAGYAFTSPQMLALTRKYGLSEKRDEY RLLPEDLIFKCVEIDGIRLFLVYFPRVKVKGVSDAWQEPGIGLPMRLAESLLPHVDSA SEIECNWENPPAPSYVPEGPSHERLRERICGLLHRAAIDPEKIKVTSRDVYLYPTGMA AIYYLDRLAARYRPGSVVVLGSVFHNTFHLLRNRAGPSEFKHFPHVDTSGLDSFETWL REETDAGRDVSYAIVEFPSNPILVSADLHRLHALSRKYNFILAVDDTIGSFANVDVLP TCDVLVTSITKTFSGYADVMGGSTVLNPLSPHYSGDGGLRALYDAEFHNEVFTGDVDT LLANSEDYLSRSAKLGQNATLLAAHLQTASLSPSSPILRVHHPSVVPSGGNYTSLYRR PTPEYPSPTYPCLLSVDFASLEATRAFYDALAFYPGPHLGAHKSLSLCYGALLFGKDE EEKRYQAGFGVFQEAVRISVGLEEWEDLRDTVDVAIKAAAEVGGRTGV
SAPIO_CDS3343	MSFVIIEDLNSGQVTWKPNRPGAYRAGPGQIVMKFGEQLRESVI PEYQWSYLDYDGLKQLLKTPSGPITTLTDAKGKQYSGRQWVDEDETKFVRKLDAELDK VFTKKKVKSLEITRRIQVSERHVLDVVSRLNDRGPNTPGPGEEEFVALEQVLSDVITD VHDLAKFVQVNYTGFYKIIKKHDKLTGWHIRPAFDSRLKAKPFYKGDYDASIVKLSKL YDLVRTRGNPVKGDSAAGGSQANFVRSTTKYWVHPDNVMAVKLIILKHLPVLVFNPNK EFEAKDSAITSIYYDNPDTWELYEGRLKKTEGAEAIRLRWYGGMDSDTIFVERKTHRE DWTGEKSVKARFAMKEKNVNAYMRGDLLPVALFQKARKDRKRPAKSIDEDEALASEVQ WSVLKHKYRPVCRSFYNRTAFQLPADARVRISLDTELTMVREDNLDGVQRSGDNWRRM DIGIDYPFSQLPASDVVWFPYAVLEVKLQTQHGQEPPAWVRQLISSHLVEAVPKFSKF IHGCACLFPDRINLLPYWMPQMDVDIRKPETHDFGISRPGMTGTTDEDDEDEDDEDTL SDTEDERDLRHPVLPRNGGTNGESSRHATGGRGETRPLLTDSENQTAENVDVDEAYPI YDSDDEYDENYALEEARRVGGWTYYSTLIANQAHKVKDAFAHATLNAFERLAHARSSQ IERSERLRMFFGNEPIQTKKFKAPPGKKIYVPIRVEPKVYFAAERTFLGWLEYSIYIS TIAATLLNFGSKENPLTFIVPALYTVVAVMCLLYSVFVYLYRSRAIRERRVAKYHDEW GPTILCLALLGAILVNFVFELRARHLVY
SAPIO_CDS3344	MASPTPIDDSLQARISSDCPSTTQQILYHAWARDLSGLKPLLNV PGHASIQDPTTGETPLHAAIRSCGPADPNTTTPATGTTEGEDAEIDGAVEEAIEAVRE LFFSGAIWNDVDNNNETPGCVAWRLGRQELYNLCLEAGVRAEMLFGLLDGYERLSSGA EEDEDEEANGEDAAAGAEAEIVAGGGAKPTEAQEAAEEPVLEIEQEPEKRFQPPESGE KEIKSEEYLRSTLTYSDGKLVDDEGNGVMMAWETDIMRRSVDTLLPGLEPGKRILNIG LGMGIIDSMFADTKPAKHHIIEAHPAVLDHLSKPDAKFGSQWEQSGPEEGAFKVHRGR WQDVLPTLLEKGEMYDAIYFDTFGEDYSQLRLFFTEFVPGLLDFEGRFGFFNGLGADR RICYDVYSRVVEMHLSEAGLDLDWEVIDVDMEGLEEAGKGEWEGVRRRYWTLDKYRLP TCTFMG
SAPIO_CDS3345	MESLPQPLREAVKVLEEQFTVSTEKLKAITDHFVSELEKGLSIE GGSIPMNPTWVMSLPTGRETGSYLALDVGGTNLRVCEVTLVDKQCEFEITQAKYRIPE ELKVGNSHDFWEYLADCVQQFVKEHHPGPLKKKLPLGFTFSYPATQEFINHGILQRWT KGFDVSGVEGENVVPLFEAALERRAVPVVIVALINDTTGTLVASAYTDLKVKVGCIFG TGCNAAYIENCGSIPKLAHRNLPADLPMAVNCEWGAFDNENLVLPRTPYDVTIDDMSP RKGQQAFEKMVAGLYLGELWRLIMLELHQKSLIFKGHNVSSLEKPFSLDSSLLSAIEE DTTENLQDTKNIIQEKLGVVGTDDELKVAKIVSELIGIRAARLTACGIAAIGKKKGWK EFHVGADGSVFHKYPHFKERQAHAMGEILGWPEKRDENPIEVVPAEDGSGVGAALIAA LTYDRVRAGNTAGVYRPEIFAETSATEN
SAPIO_CDS3346	MKLLSIGVVLVSLLASAASSSKVPSNAKVVLPASFEPPKAFKNQ NLVHIISLEKNYVKENINVLIENVSPGLIDEYFLPFTADQFARVGGIEVNDRKDPNAG PFEVRPVEYDNASDTQYYRIRFPKALKSGTHQTIGISFYYLKAYSPLPAAIKQDEKQY LTYDFSAFCQSAYTTVKQKTEVKFPSTDIPDYTTIGEGPQNQGSKLVYGPYGEQAAFA KAPARVRFEFTKPVIHVAKLERDVEVSHWGGNVAFEERYDLYHRGANLSSQFSRVKWQ KSQYHSPATHALKELKFPLKIGSIDPYYTDVIGNVSTSRFRSNKREALLEAKPRYPIF GGWKYPFTIGWNTDASSSLRKTKSGEFVLNIPFLEGPKQPEGVEYGEVRLQVLLPEGA QNVKYYTNIPQAAVTEASIGIRKTYLDTVGRTAVVISAKNIVDEFRNREVIISYELPL SAILRKPFVVFVSMLGVFVAGWIIGGLDFGISRGAKK
SAPIO_CDS3347	MFRTALLQLVLAASLVSGHILITYPGTRGNNFITNDTFPFGMQW THPCGGLGLSRNRTYWPTTGGTVAFQPGWFSGHATALLYINIGIDTDGPDGGPLNYTT PLVKPWQLLGPSNGPYPGTLCIEDIAVPEALGVKPGDNATIQIVELANHGASLFACSD IIFVEPGDSRLPPLNDSVCFNTTELGFGDLYTVTTKKPVFLNGTKSSSALRQVDSSSA LRLLAGLVPAVVGGLSLFL
SAPIO_CDS3348	MIQVLGSNRALSIRSNKGSAPSSSTRKGFSFSSLRGSVQPELAR RLFRLIKSQNNLIAAHDTAGRERVSVATQLSEWGEQSYDEAISDISDKVGVILSELGE QEEAYAHGLDEARSALKVIRNTEKSVQPSRDSKSKIADEIQKLKFKEPESTRLVVLEQ ELVRAEAENLVAEAQLSNVTRQKLKEAFNAEFLATIERAEKQIILAKHGRRLLALLDD TPMVPGDQRPAYEHGSQARQILNDAEDDLRDWRPEVDPYSTPESGSPTSSYKGKNPVI GEEGEHRNGGGSVAQHEHVMTGAVSPGSGCRASGGTEDDMVHAL
SAPIO_CDS3350	MERSRGISDEELFLSKPAPTEDCDSPTVEPLRIFKPQSPKPADR FKYPPPGSSSATPSSSSSSSKPKPAFLPPGALSSANLLPLPYPEDDDDDSSRNRPRPT VKPYPVPSSSAYNDTSPRITSPLENKGPGLAERRGATPKPLPTSPIDDDQSGLFAKPI NNLTQRPGSTANYPPFNKKQYYPPPGGGSSSSAAAAARPPESLRIPNENMVNRFASTA STSTTRASRGSPPPPETPIVEPGEVPGGGIEARYAASGISGTATLTSLQAQSAAAAQR LAQYGNHRPPQRPWTPTESPDQQPHGPPTVYQGSAPVSTAPAQNVASPAPKPPNAEGN GNLQVSVLEQDFQRLGTKTPPPAYSSVNPNAGSAYPNEKQRPAAAAAPAAPNNAASAP PKQAPAAAAVVATGAGLGVSQPSAAAAAALNSGHPAFANDPRPESIPPQPQAAAAIPS SSQTFAAGAAVPGGAAGPASPPPLPEGWIAHLDQNSGQYYYIHLATQATQWEFPKGPN PIHHDVAPLSPAASTYGNPLASPLYGGKQGMASPLFGGKQGMASPMFPPHTPGGYAES IMSVANSTAPTAGFTGPPPSAGVDMYKIQPTNGVYFGPYLRYGNMNIEKGVWYGSIMI VTDTPEPPTIHIHLSVDLSPNPRQLIPHVIHTHQRWNFYKYEIDLPMGEQGTERWTYA VTSHLGCTRYEFVVAGRSELGWRFIAHSGNDFAATTSQNERSKLGGVSFMWKDVLQKN VDCGGFHVQLGLGAQIYGDRLWKEVPLLRQWLAMRGKENRKNAQWTARHEEDVSHAYF HYYTSHFDQPFLREAFAQIPHILQIDDHDIFDGYGSYPDYMQSSPMFKNIGRLAIEMY LLFQHHTTVEILRNMSNDMDLFTVTGQGWHFVKYLGPAVAVVGPDCRSERDQAHVMAG PTYQGIFPRVASLPPSVQHCIWMISVPLIYPRLEAVESLAGAMATGKKAVNTSYNILG KVTSSVAGVVGGKEVVQQGFSHVKKAVGKSGLMGNVLNQFGDLDVAEVLKDLWTHSSK DLERTYFIRTLQTIAQDKGVRMTFLSGGVNCCGAGLVHDPSHPSDPKTMYQLITSPIV AAPQHNYILKLLHNNKLLYVPQNGHKSTHEVSDTKEDMMEIFHTDASGAPRELKKLMG RRNYVAVMAYDPDTVAAAAAGAVLPGQIPGQPHLLSPEQQGLSKLSLAVDFVVQGDGA FQATTKYGPVIVPGL
SAPIO_CDS3351	MASFIPVDQRPLKDTICLFDVDGTLTPARLPVTPEMLDLLSRLR EKCSIGFVGGSNLAKQQEQLGSASVDVTTIFDWCFAENGLTAIRLGETLPSNSFIKQV GEEPYKEFVNFCLRYIADLDVPVKRGTFVEFRNGMINVSPVGRNASVQERNEYEAFDK ANNIRPKFIEALKEKFGHLGLNYAIGGQISFDVFPEGWDKTYCLRHLENEANAPGGVT YKTIHFFGDKTFKGGNDYEIYEDSRTVGHSVTGPEDTAKILKELFDL
SAPIO_CDS3353	MSLITTSHGRSRPWRRLFRRSFYSAFAIAAFLIVASYLQNLVSD DPSRSPQLGPRYAEPVQCRDVHRTPDVCSFVKTNCDDEQPGLVPYLTVYHCAFGYARV VGFPLLVIWLGLLFSTIGIAASDFFTPNLQTIASVLNMPENLAGVTFLAVGNGSPDLF STVMSMRSNSAALAVGELIGAACFITAIVAGSIAMIREFKVDKRSFVRDLLFFIVAVC LTLVFLLDGYLHFFECIIMIAYYAFYVIFVGVSHWYTMRRSRRAALAESRAHAIEEAL QASGQERYEDQPGAAAQLARVQALARPSRPESTISMPRIEIEGDQLNEVEEEDDGRMR REQMAVEIANNMRVRQPTASRRPTAAFIRPSLVGALEFNSALEHFKKEGLSPPGRIGH FRRHSVQNIPRAARFRSEAPPEPTISFDTTSPPLRERAHSHTGNVRDVSDNAPYSGGP ALPPHVREARQEQLSGSPSPSLSPSRAASSTRSFQLDGNLAVPPIHPFGPRQPYLDTQ TGGSARQSLAPEPLRLHIPSRRSTTSEPSDTLSPFPGYVESPMPMSPTSEAAPPSLFE PPLPIIPTDNGVLVEVDGGVGLLQPYRWWPYSILPPPESMMATLFPTLQNWREKTFAD AFVSTLAVPSVFFLSITLPVVDARDPEIDETSQCSECEEENEPSRQSANDQWEMFRRY RRNTVTSNRTLNYDSATPSSPLIVDEGVALDMDRPTVPKPVETPSLPLDESGQVDAED AGWNKWLVMIQTLAGPPFSVFIISSVLMEQPAEEVVSDVKWSLVASGALFLIVWLTTR SDRRPKYYTLLCFPGFLVSVSWIAVIAGEVVGVLKAIGVILDISDAILGLTVFAAGNS VGDWVSDYTIARLGSPVMAFSGCVGGPMLNILLGVSTGGLIGMVSKARRKHEKHPDRP IVYKPYKIHISGSLVPAAGYRIDCALVRQYRGQSRD
SAPIO_CDS3354	MPRVAQGPRNTGSRVASGSMIASPFKTQSPIKIPLNDDAEEKAR RLLSRQALQEAQINQLKLAATPVRKGSLRSMGTSSPSSNPRTPRGRSHPFRDDDDDDV VISGSAVTPMKRVPILANFEEWMKMATDNKINAANSWNFALIDYFHDMSLLKEGDGVN FQKASCTLDGCVKIYTSRVDSVATETGKLLSGLADSNSKKKSQDGDEEAEDSEEEVDE DGTVRKKTKKKSQRSEVTLAPSFASLQLKKFELEFAVDPLFKKASADFDEGGAKGLLL NHLMIDSEGRIVFDSSDDADDRAADKGKKGDADAEEEDGEIPEEGIEPPTREGTVQPQ EEEPSVEIDLASLAARFIPDLGRLDDLDVCPSLKNFDLGDPSGSLDIPFLKAPEDWRQ DQDKGLGTFGDKSGMLIDDDHPPGFDDDDLGLGAFDLGADIAFGEGGEAWAREAALEP QMRVYDAGNGAAGGEGLEMLDQDSGEYMVTMNHSKNPDQMHEDILSYFDQALQKNWTS AEHWRIRKIKDVNKPADAKRTRKEKEPFEIDFFSPLDPVLAEVIYTPASSNSAICMPK KDWKSKTRNLLPDDKHFSSKQLLSLFLKPKARMGRRRVLGRAGTGFGLGNGFGAAAAQ QDRDDVPEGDMDEAFWAQRKEPLHNTEDTALPQGDYDANFFQDDLPFAGGLDDDDDDL EFADAREHFSPGADLAPGMTEGVGLTAAFNGETLMGPTAGPFGTTLVTQTRRVRPEYV QYARVAKKVDVRRLKEEIWKGMGFESLENTYDPDSSRLPTPPPSSNDPLPLDVKASAD ATLKFTDVVNNLQSVYPKQAMNDISTSYCFICLLHLANEKGLVISKTPELTELDIKKD WTAEITAGGD
SAPIO_CDS3355	MQELAEILSGLGMSQYLGSFMEEGFDSWDTILDITESDLDALGV KLGHRRPDRNAPEKPPSAYVVFSNIIREGLKGQNLSFTEIAKLVGESWKSLPHEEKET LETQAQRAKEKYSYELAKYKKTPEYQKYSRYLQEFKKKHQKSGKGQGEDTRRKVEANS PLEQRRQRLHDAGHVSKQLKAMPKRIFRYLSGMFEFYRKLGHYPG
SAPIO_CDS3356	MASNESNDLPPASSTNPEIPPSADPMAYVTGELKRAFEDIARGE QTASLLEASLTALESKLDAILAAAEVPDAEERDVNSDQGVVDRRAPPGSSSAKEEEKQ 
SAPIO_CDS3357	MVDDGALAAAAAFVESLAIDNSHLDPLPSDYQYDFKLPGRETPG KRALEQELAKVAIRIQLLEDRARAASAFPDTPNETSDSVFGDDSGSSLSTSSRPPRPV DCKQGSSDDSCIMAGQLTEDALEGLQGLQEHVKDQSKLILHQRQELDGIGAKLSEQKQ MTEKAIAAIERERVAALERELWKHQKANEAFQKALREIGEIVTAVARGDLSKKVRMNS VEMDPEITTFKRTINTMMDQLQVFASEVSRVAREVGTEGLLGGQARIDGVDGTWKELT DNVNVMAQNLTDQVREIASVTTAVAHGDLTKKIERPAKGEILQLQQTINTMVDQLRTF AAEVTRVARDVGTEGMLGGQADVEGVKGMWNDLTVNVNAMANNLTTQVRDIINVTTAV AKGDLTQKVQADCRGEIFELKSTINSMVDQLQQFAREVTKIAWEVGTEGRLGGQATVH DVEGTWRDLTENVNGMAMNLTTQVREIANVTSAVAKGDLSKKISVPVKGEILDLKNTI NTMVDRLGTFAFEVSKVAREVGTDGTLGGQAQVENVEGKWKDLTENVNTMASNLTVQV RSISEVTQAIANGDMSRKIKVEAQGEIQVLKQTINDMVDRLSNFCNEVQRVAKDVGVD GKMGAQANILGLEGRWKEITTDVNTMASNLTTQVRAFSDITNLATDGDFTKLVDVEAS GEMDELKRKINLMVSKLRESIQRNTQAREAAELANKTKSEFLANMSHEIRTPMNGIIG MTQLTLDTDLTQYQREMLNIVHNLANSLLTIIDDILDLSKIEARRMVLEEIPFTLRGT VFNALKTLAVKANEKFLDLTYKVDSSVPDHLIGDSFRLRQVILNLVGNAIKFTEEGEV SLTIRKYKSETRKGEYMIEFVVTDTGIGIAPDKLDLIFDTFQQADGSMTRKFGGTGLG LSISKRLVNLMGGDLWVDSKFGTGSEFHFTCRVRVAPEDSVSRMDFSQYRGHQVLFVD KAQTNFGPRIKDMLEDIELVPVVVKSEESQSISSMKGQAKMPYDVILVDSIDTARNLR AVDDFKYLPIVLLAPVVHVSLKSCLDLGITSYMTTPCTTTDLGNAMIPALENRATPSI ADTTKSFEILLAEDNTVNQRLAVKILENYHHVVTVVGNGLEAVEAVKNRKFDVILMDV QMPIMGGFEATEKIRDYERIRGGYRTPIIALTAHAMMGDREKCIQAQMDEYLSKPLQP THLIQTIFKCATLGCELLEKNRERELNRQAETVEAAKHGLPHRPSLESRAFASTESAK KGASAAAASATSDDALARHYMRSQGR
SAPIO_CDS3358	MSTASNRSYQPNRHLSSSRMPRRSRAASRAQRAAVVDRDEAFTY ALRTAFLHHLLQPRARRKQYVNVPTKPIHRSHSSMGHLLQDFVSTGGTTGGSMKLPHS FRGALFDRMQGVLRGTERMPGYNDAAVKRCFAEAYTAFTEKSFQKTIDKERKIEPLVL IFFSSATKAAQKAAAPGDESWRLLPDRHVALFVRLVIKILKDHGQDRDRPDLLNKLAS LENKMLTNDQNLIDSGQDNLGKTIEVVIPFSYEVKDMPMVQTVCRIFGYPDSHAQAEI DAHKSVWTEEAALRDLKAYQHRLNSNMAGALNSGDFEVEEAFEDWKRSEAPHLSRMML DILTARPELAKTSTSTADKPLPTPSPAYDDDQAYADLARLISSTDDTPILGYDSALGM GSVGPDDSSSIRSVDEPSYTFIPYDPRAFYKAVLQQAIVNDQSLSDPSAPYAPLSKSS QDLLLELAVHWRIPQSSRLVALAEVSVKRFTDGEITLEDLDTVFDIVKSEGPEMKKPP HITNYTAPLTAFNPMHLTAYDRSVLIRTLQALHEALLRDLYNALVQCYDPKPPSIAVV MHVLLNHVVDDPNFSRTSEEDEQFAEVLYGGLRRKAADVYRAFLDKELPANRDQWDFG HVVRLGQAVVKLSEKIRKRYRRTPEIMGANPLRALVETIFPSFQADCKAIIEQIMSCA KEHDKEIPIDEGFLLYKELIEIRKIQTDTLPTQSFAFDIEDLLVSFVWRWIDVVGDKV DENVETAFRQDKFQVRTDSPDQTAPDSQRHSLSIIDVFTLFNQTANQVFQLEWSNEVH YARFMTALARVFANGIGRYCELVWEQFAKEMDRPSAQEIAAASMTAQEKFLQYAKDAW NNKERIEPFQFYPESFVKLNNIEFAMQELDKLEKAMNVDACAALLEKVDGPKRHLRKP TNYVFTVKIIEAEDLKACDANGYSDPYVVLGDEYQKRLAKTRIIYRNLNPRWDESVDI TVQGPLNLIATIWDYDTFGDHDFVGRTSLKLDPLHFSDYLPREFWLDLDTQGRLLVRV SMEGERDDIQFSFGKAFRHLKRTERDMVRKITGKVTLNIPHPPRLILNVSTKTGGIGA SVTSLWKKRVSEVPTLRREDIEGALEMLLEYFNDNFAIMKLTLTDATMIAVMTRLWKE VLMAIEGLLVPPLSDKPSTQKPLNQRELDIVYQWLQILLEFFNARDAQSGEQLGVPID VLKSPKWHELASLNFFYNEDTNNLIRESERMAAATAQRAQAMMRQQAHSSHRLSAPAS LGASFGGAGAFASMGTIRRGKSIMMSRNLGTMRKAKEERRKEAQADPNDDMILRILRM RPEAAGYLKDRHRQKERQAAASAAALIVKNSVGQGWNAGGGGGPSTFGRNNLPLR
SAPIO_CDS3359	MGNISSRPDGGGALYLSDQNRFTLSSLVISSRRRPSTINIVPNG FPASRLSVTRSAGDNSPIEFVQDPEPSNGIPEFLLKVTNDDELIFTFSFIIRRPPSNP SDTSTSPLDTRINALTYVYASTPKEVENLVTREFNADPNLHKNSNVALVGDYATDGSP SVTFEWKWKWKPPKPYDDWSGGWRNSCSLQFVEYNPHANSFNTLASFSYWVSSPPLSI SNPSSPIPPLLLASPPKVRAVSSQTVDSRISAVDQDEPTSPLAPIQEPSVSGFPSVAL PQSAAGPPAREPVKVDVACPKPVDDVTVADDGPLFRATIKALEQKTGNMRIQLKRVLK RAEQAYNAQVEANDAFVAFMDALRDASSTNANAVQPVIDHYFDKIAREILIYERQNTA NLQKIIIEPINRLYICDIKQADSKKRDFEEESKDYYSYVSRYLGQRHDSVKAKKLAQS DSKYQSKRRNFELKRFDYSSFMQDLHGGRKEQEVLSHLTRYADAQTQGFLAAAKKIDS LLPQLEALSNEVQEADKEYQYQRREREEKRRLLEKTNLAYVEPEPVSVNFPPPASAAS NGGGYQSHSDSELGRADSTGSQWRGSNSAAPGTSGSVSVAELSRSPGSLTQATATAAT QNAKFKGIRDLEERDYGQMTSVGRAASQRKEGLLWALSRPGSHVDPRAQLKAGWHKFW IVLDQGKLSEYSNWKQKLDLHMDPIDLRMASVREARNAERRFCFEVITPNFKRVYQAT SEDDMNSWILSINNALQSAVEGRGIRDKPGQSPTPSESGNSFKRDITSILTGKSQHHH ISPYNPHNNSSGVPSRRTTVSNRPSTTRSVSSNFEDDPDRLLQMLRDNDKGNNWCADC GSQSKVEWVSINLAIILCIECSGIHRSLGTHISKVRSLTLDITSFTPDIVELLLLVGN RVANMIWEAKLDQALKPTPQATREQRLKFITAKYVDRAFVEPISSTLSRYGTPDETLL AAIKKNDVQQILYALALKASPNVTDKSRGTHAIYLALAAADPASPSPTPGPAGADPGA KPVPFPIAELLIQNGAEIPAALPAFPLSRSAMQYIEQKRGRAAGMSGDTLALHYNSVN LSPEQKIQRDREARLQKRVSAGGRLAKSPIPER
SAPIO_CDS3360	MAPNAEKSQLKRPRGSLPENDLDPKRTRRADRLDDSSEDEQGLP QPKRQHKQPQQQQQQPTPVTVAEDSIELRKEETATPPGGRPSQVSHRDAEERYSQTNA YSSPPLQDTQAVSTQQIEAQLALSEEVEDEVKEGVWGYLFPLDTRYGGRCLVMKKTRN CASPSEQKDHRKGKSPMPKDSKRSSGGYLIGRHPECGETLTSRKDIVIDDPIVSNRHC LFFTEHKGPDTVVVLEDLSSNGTFVNEAIVGRNRRRELQEHDEIAVLDKARFIFRYPK TRQASAFSQQYTLQEKLGKGHFAEVYLCTEKSTGHRYAVKVFTKRPGQEDKTTTEGLQ QEIAVLMGVNHPNVLCLKDTFNEKKAVYLVLELAPEGELFNFIVAKQKLTENETRKLF IQLFNGVKYLHDRNIVHRDIKPENILMVDKDLHVKLADFGLAKIIGEESFTTTLCGTP SYVAPEILAEAKHRKYTKAVDIWSLGVVLYICLCGFPPFSDELYSKDFPFTLRQQIRS GRFDYPSPYWDSVGDPALDLIDSMLVVDPERRFTIDQCLSHPWITQSMPGVNDSTGGL VGGIAGLDVNRRGITRERTLLSSINTVQVATRIPGGEKGVPVSVFAKNQHRNLVNTGN REVEPAHERDAGEFMEMGGRGDQPLYSADDHNSIYPVADIASKKN
SAPIO_CDS3361	MAVTAQQQAAAQPQLVFLDGTFEELALEMAEYLNIASDIQPLVE KNQKEEVLGKLVQASGGLNTVQEKEYTAASNLMIYLVLQSQDPRRYLPTLCNTFAKPI TTSPHNGVGLSLNALTTVFNLLPSENPIRARVFLEILKFLKRHAMFDTLRPYLPHLEQ WNETWGTDEDFQRSMYEEIAEIASEAGFPEESRRYIIKALRSFDSDDKEELSTEEAQN LALRAIRTALLSNTQYLYQDLRGIPVIEALSDSHPVYYQLLEVFAEQDLEDFNDFNDE HEGWIEEQKLDYDRLYRKMRLLTFASLAAATPSREIPYSNIVRALRIPEEEVEMWTID AIRAGLVEGKLSQQRKTFLVHKVTYRVFGTKQWQELSTRVDNWKSTLTTVLETLLQGR ADVKAQQEREVQELERKLAQANVGGQQGQGGGRRHHQGKQQKERAENAD
SAPIO_CDS3362	MAVPLQRLAARQAYSPSTRVLFRSAAAPRRNPGLGHRFVPRQTS CVSCLQRSSFSFPSASRQYSSQSSSNPTPPRPKKDPYRVAFWPFAILIGIATGAWVLL VNNRKDMNAQLKSASKGADDETPRFNDSDVTVIFVLGGPGAGKGTQCARLVEKYGFIH LSAGDLLRAEQSRPGSEFGALIDDCIKNGAIVPMEVTVKLLENAMEDAMRRDNTTTGR FLIDGFPRKMDQAHKFEDTVCRARAVLFYDCPEDVMQTRLLERGKTSGRADDNAESIK KRFKTFVETSMPVVDLFEEQGRVIKIDSSPAPDVVFKNTSEKLAATLGKDVIPTSA
SAPIO_CDS3363	MAILDEKRRPAALNLTPARSGSSSSSSSDSSLKPPRTPRFAEAT SVHSPIDGDGRSPFADPVDASTKPQVADVGFGYITTQEVNAPPLSPRSPLKSAMRVPG TPGRRLDNPLSPTFREEDMLEKREARTDKEQQRDLKIKARVRMAKFALRGVNFSCSLI ILSMLSASFSIFNATKTLPSQSGMPSWAKNTNTWPQKLVLAMACVSLIACIIVFLAYC RGGHRRAEKVSTYYTMFAIGWFIISMILWAVTAALYQHSRTSNNNKDMWGWSCVDNKR ADVYQDKVDYALVCRLQNWTLICIIIEVVIEVICITLYSIVFYRYYTKRRLHKSMNLR DKARSDLYLAQLRTQSAPNTPGFGPKSPAFSQYALSPRFPPSAYRNLSDIEESPFTPG NQFAEPKSAFAEKQQSTFKLQAPPTKAPSATPKLQGGAFSSSPIQTRAPTPPELTHQQ HAPVAAEEPVYEAVPIPGAYADAAVKSPPPNQTTFGQAR
SAPIO_CDS3364	MTAPDKLAPPRKTDDADPGAHDLESSDSEDHFSDAKSAPTSPSP NSPVPRTRVEKVTTDPAYGETPGTEAYRKREGDAEPDEIAVISEEIPEPSPAPSTPPE VPLTIVTESTGATGPHSPLFKERLAKEQKADATPDIVLRPDEEAEGAQGNAVVSKDSP ANADGNESVDTSIPLPNLNLPSPSAPEKDVWSTQSISEPGSPDDDEGQKGDEGQDNGE DDFGDDFGDDFDDFEEGAEDADFDDFEDGFQRAEPTPAPVPVAPAAVPPSPTVILPFS VPDFEGLEPDEVTEALDPYIHYLFPPEDYDTPQLPPVSKDQPVFLTARSASLWSQLVA PPPLSPPDWIRSRIRRLFLVSLGVPVDLDEILPASKQKKLILPSLSLRPGSAASPRTS TDSRSVNRLKQSGNNASSTSVDSQGKPSTSQRRKGPPPEPDLNLVAAKQLCTTTDEAL DGMTNEELKTHLERLTQLEAKAKEALEYWQKRTDEKIGDREAFEGVIENLVKHARKVR K
SAPIO_CDS3365	MSANGDKYDPDIESDSDAESVGEVGAGAAPEKPLKPALKKSSNP MTSTDPTIQRPPLPPQTDPKDLDVNTLTPLTPEIIARQATINIGTIGHVAHGKSTVVK AISGVQTVRFKNELIRNITIKLGYANAKIYQCDNKACPRPTCYRSYKSDKEVDPPCER EGCGGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNESCPQPQTSEHLA AIEIMKLDKIIILQNKVDLMRQEAAEQHYQSILKFIRGTVAGKSPIIPISAQLKFNID SVLDSIVNTIPIPPRDFTLDPYMIVIRSFDVNKPGAEIHELKGGVAGGSILHGVLKLG DEIEIRPGIVTRDEKGALQCKPIFSRIVSLNSEANDLKYAVPGGLIGVGTRIDPTLCR ADRLVGHVLGLKGRLPEIYSEIEINFYLLRRLLGVRTADGKQAKVAKLSKNEVIMVNI GSTSTGAKVSAHKNDAAKLILTTPACTNIGEKVALSRRIEKHWRLIGWATIVAGVTLE PTTS
SAPIO_CDS3366	MSGAVARLANLNSQVSSRARQSPPDSRNTASTSGPINADSLESA DLGLIGLAVMGQNLILNMADHGFTICAFNRTISKVDRFLANEAKGKPIVGAHSSEEFI SKLKKPRRVMLLVQAGKAVDDWIESLLPLLERGDIIIDGGNSHFPDSNRRTRYLAAKG IRFVGSGVSGGEEGARYGPSLMPGGNEEAWPFIKDIFQSIAAKSDGEPCCEWVGDEGA GHYVKMVHNGIEYGDMQLICEAYDIMKRGLGMTSKEIGDTFAKWNKGVLDSFLIEITR DIMYFNDEDGTSLVEKILDKAGQKGTGKWTSVNALDLGMPVTLIAEAVLARCLSAIKD ERTVASTKLEYVGRANTFEGNREQFIEDLEQALYASKIISYAQGFMLMQEAAKEYGWK LNKPSIALMWRGGCIIRSVFLKDITAAYRKNPDLQNLLFDDFFNKAIHTAQPGWRDVV AKAALLGIPTPAFSTALSWFDGYRTKDLPANLLQAQRDYFGAHTFRVKPEAANAKYPV GQDIHVNWTGRGGNVSASTYQA
SAPIO_CDS3367	MDFKFGASSSTPTSKSDTPSTTAPASGSLFGAATGTPTFSFGTL GAASAPSATTPASTGTSLFGNPAEAPKPGGLFGSTPTSKPGTSAGGSLFGGGATTTSS GAGGLFGNAPSTTGATGGQSGSSLFGGGAGGAPSGTPLFGKPAGAASTSSNIFGGGST TPAAAGTTGGLFGGGGKAGAAASSLTPTTSAPAGGSLFTQATPAKPLFGSLSSTTPAG APTLSLGGAQPSQPSSTPTLFGGAAAKTPTTTTAEQPPAAAPSSIFGKAPEPSAPKPA AAAGGSLFGQKPTTTAAPSTPSLFGTTTPASTAAPASSTTPAFGGGLFPQKPATTTPA AATTTTPATSAAPAAGGLFGKAGAAATTPAAPSAGSLFSKPTTTTAAPSTTPATSAPS TSLLGGAKPAGSLFGATPTTSTSQPATTAATTASAPAAAAAAAPAASSLFGAKPAAAA ADGAAKDGAAATAAAPAALGASTSGPPSQLPRLKNKSMDDIITRWASDLSKYQKEFKD QANKVAEWDRLLVENGEKIQKLYLNTYEAERASNEIEKQLMAVESQQDELEAWLNRYE ADVNEMITKQVGQGGDQLGGHDQERERTYKLAEKLSQHLDEKSRDLATMVKEINDVSA TLSKTTRSEDPVSQIVRVLNGHLTQLQWIDTNTAALQAKITAAQKANGTLGSQQGVSE NDAAESFYRSYMGRK
SAPIO_CDS3368	MFRATIAKKPRIAFANRAAFSTTARAMTEGATGAPPKTGGPGDA FQRRERAQEDYAIRQREKEKLLELRKKLDEQQMHLKQLADHIDEITKDQGGEQN
SAPIO_CDS3370	MISGRSGHVREQFKELLQLVVRLEQDDASSLSIRRSIEDAMHRF ALWGGNLGAFRQANSKLSLDSRLSAADATDIREEILRQLDDIDEGVQDLEHILQSPKN SIQNPSEEEDDAEIDRLGSDLHEGKVATSDEEPLDEVGVIMDIINQSITSLLRIGVLV RKASPRDKFKEALRATRLSFPDMYDIEHVRVKYPKLDEIQYKRLGRAIANRRQFIMYC RDHRARLGHDDTEDGRVNPGTEVLSSKASTFQAPAQYSLLSFQDEEEEEEDDAVSIMS ASTVSDDSVSRLKLPLLADLSPNDEPFEFSFEVLLRRSTNIIPIRHAFRDLKAYVCTY GDKKCDDSLFGDRESWFEHEMVHHRALYRCALCDRIPPASSQELRLHLVEEHGNFSDQ QLLALGAVGKDTRDTFMAHDCPFCDDWAVALWSRKNPKGKALLQDGAPPVVKVSAKRF KRHVMRHHEQLAIFAMPRQFEDAVGLDSLSSHSSVVEYAEEAEDEKDQNTADLAELPK LSDEALALEGQDVDDSEIGLVDNSSETIQIYTGGSDEDKTAWDATMTEPSESSEILKM KAELELYREEQRKKRAVEKLRELEENIRKDTEETLARKLETMKLAQEKIQKEIAEARR EAEQAVYEAIEEERKGHEGPERGIGEEELRCFQREAIKYEEAIICAEEAARKRLEMES KLVGEARVSAKKKEEEAVKYAEEAARAVGEAKAAAAKQVAKEQAYKKMLEEETMNQST GSAMPPLQPAKAELEARQKLEKEKEKEKEKEKEEAAAVIVAAASAGEEVAMESLTSPS GPSSHATQTGHPPLWTDSGQRKLERLYRNTTLPLTKILDAIRASSPTSRPGEVRRPDP PRPLMEQSVLEEQSASSIADVIARY
SAPIO_CDS3371	MSGIEVVGLVLGAFPLAIVALEKYREVAIRFGMFLHIRVEYKHW KDDLRFYEIAFKRHLKQLLLPLIFDDSKTKVLIANPGSEDWKDPYVDTLLKRRLNEAH ELYLGYIDGIGQVMDEINKHLALDSEPVQEIMNSPPPSKSSTRIRAAVRKDGRAFQRY KVKFSNGESVRKRLFDKIGDYLGKMEKLLVTGDEESRLVQQRNLALEKTLVDSSLCSF WITAKKLFRALASTWSCRCQHHDARLLLQHRDAKETEFDITFTKFEPPCGWELHRTRV SRSDEKPHPQVVDEDNAVLAVAPKLTRAKPLQSSIRTKGKQRARVQFSGRAQRYALSL ILASSFLQLLESPWLPRTLEKSDVLFLGDGGNPNVYLLDRPQVVKNFAPKPDEESLSL NSDSGRSRTYADALKQLGIILLELCFGDTLEQQPYRKKWPAGETETEKAGYDFLAASE WLDHVNGEAGLDYSDAVSWCLLGRSSSTPDTWRHDMLRKVIQPLQRCRDYLGEGGICI PASLASEQR
SAPIO_CDS3372	MPYPKNVGIKAMEIYVPAQCLDQSLFEKHQGVSAGKYTIGLGLQ YMNFCTDREDVCSLALTAVSSLLRKFNIDANSIGRLEVGTESLFDKAKSVKSVLTTLF EPSGNTSLEGVDTVHACYGGTNALFNAVNWVESRSWDGRDAIVVTSDIALYKEASSRP TGGAGCVAMLVGPDALLSLEPNLRGVYMTHTYDFYKPDLKVEFPIVNGHESIVCYLSA LDGCYKDLLRRADASRSKLDGDGSESSAPKNVLDLFDYMAFHTPNCKLVSKSYGRLKY NDCLRSADDADWEGIPDDLRKLGYEESLKDKALERALVAVTKDLFKQRVEPCIAAPSL CGNMYTASLYMSLVSLISNIDLADAEGKTIGMFSYGSGAASTLFGLRVTGDMTKLVQE IDLMDRLKQRYISTPEEYEKACTLRMKAYGSKSYKPEGDIASLAPGTYYLENIDEVYR RAYAIKDA
SAPIO_CDS3373	MGNEDAVYLAKLAEQAERYEEMVENMKIVASEDRDLTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNSSQVSLIKEYRLKIEAELAKICDDILDV LDKHLIPSATSGESKVFYHKMKGDYHRYLAEFAIGDTRKNAADKSLEAYKAATEVAQT ELPPTHPIRLGLALNFSVFYYEILNAPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEADNAGPADAPVKDEVPSNEPAAAPEAAPEEDKKPAE
SAPIO_CDS3375	MASKELVNLAKGLPAQLKRFLARYPPTSILPPTTESAEVAKTSY QLARPDPFKFWKHPVTGKWHDPVYSLRRQAELVKMAREHGVEELLPETAKGTETRLAR RVEFGLRVKGTGVGQRVKGHSHERQILTKMAKRREAMLEMPKLIREWKRVGKKNWKKF PK
SAPIO_CDS3378	MERASSPVTAPPQETILQPQAGALEAVEDILYGSFAGVVGKYIE YPFDTVKVRLQSQPHKLPLRYTGPVDCFRQSIKADGFLGLYRGITAPLVGAAMENSSL FFFERLGRDLVYASGYVSKEKPLSLPALWFTGAFAGAFTSLVLTPVELVKCKIQVPAT LEGKAPPPLRPIPVIRDIYRHHGLRGFFHGGLGTLIREAGGGAAWFGTKATISKMFYQ RNARFASTSEEKELILSKPLPLWQQAFAGACAGMSYNFLFFPADTIKSRMQTAPIGQS VQSQSFLSEGLSLWKQHGIRGMYRGCGITVMRSAPSSAFIFMVFDGLKTYLPLR
SAPIO_CDS3379	MTSFIPCITIPYAVFGNPAVSILLPVALGTAVGFGTRPAEHEKT FESIKQPPCRPPREVFPPVWIALYGIAGYAAHRAVTIGLDPLASTISHQNAKHGATLY TLQLGLNLAWMPLFYVAKRPIEATISAAALFGINSYLTYLWADIDDIAGWLMVPYVAW LGYSAYLSAGVGYLNNWTLKGKAAGEKDSP
SAPIO_CDS3380	MESYSYRAAQARSPSDRTWGNRDTTRGGDDRDAYRSRSPGVDRS HSRRRSRSPPAVDRYEPRSRGRDDYSTPRDRGDDRSRRVGSPPANIDRYVPGQDNMSP GLTVNPIPDPAKLPYQVGFSYFGEWWRMNEKIKEEKERARAGRRREPERPRGPREVQE EREREKIKIQAAYDAYKEELQAKMARTFVNEHKKEQWFRERYVPEVRDAFRQQLNEFR RGLYSQWEQDLDAGVFDDFSLEGLPKSESNGAGGVVEKEEGEATASNEILGVGDLIPA NGADIRDESLYQPTLLIKTIAPHVSRQNLESFCKEHLGEGEGGFKWLSLSDPNPSKRY HRIGWVMLHPGVEQPATVDRPDPKDEDGEMSLESPKPVSTADKALEAVNGKTVKDEVR GDFVCHVGVHNPPKEPRKKALWDLFSAPERIEKDLGLATKVVSKLEEDFGSDFNAVLK VEERVDELKNLGRLQPSVPVTRTKKVKKQRQLGMDEALDDEGEEEGGMEEDEEEEGAV DEDDDVDDEDLLMKKKQLDLLIEYLRRVFNFCFFCVFECDSIHELTRKCLGGHLRRPR STLSTAAKAVARATVNGEPFPGKKRNEAQEEGEAEPQEGGDKKFRTASAKAEQQLLRA YNWVKTFEDKINQILDPESVDLRKLGGRPIEQALDDELAKFVKQEDEHKWRCKIPECT KLFKEEHFWKKHVEKRHTEWFDNLKQEFELINAYVLDPSHIAPSRTDANSNGHFPQAN GQGNTGTPRGFNLQNFTMNGMMASMPSFPMAPGAFPPLFVGHTMPAGGWNAPGDDRGA QGGQGPIRRGGMHGGRGGSYRSGPYDRRGGGRWDGSQSGGRHRGGGGRWGDGAAGGAA VGPREAVQGRSLKSYEDLDHAAGGGGDELNY
SAPIO_CDS3381	MATFFIENKNVGNQAESEDWRIRGYNPLTPPNLLQHEIPQTKKS KQTVLQGREESVAIVNGTDPKERLLVIIGPCSIHDPAAALEYCDRLVKEKEKHKDELL IVMRSYLEKPRTTVGWKGLINDPDIDNSFNINKGLRLSRQLFVDLTERGMPIASEMLD TISPQFLADVLSVGAIGARTTESQLHRELASGLSFPVGFKNGTDGSLRVAIDAIGAVK HPHHFLSVTKPGVVAIVGTVGNEDCFSILRGGTSGTNYDAKSIADAKAGLEKAGLRQR LMVDCSHGNSLKDHRNQPKVAAVIAEQIEKGETGIMGVMIESNINEGNQKVPQEGKAG LKYGVSITDACINWEDTVSVLDVLANAVKARRKVLVMLARTALRSSRILPGARNGAAN ASKRAASTSSNSGASSDAYATRLNIAAIASTAVAAGSVAWYYHLYGAEAHASTPAEEG FVVSWLPQNRLTMPEFLGFPSEGHCLADVGLGNPETFGFTLHPPKYPWVHEKMTKTFD HQALRRGFQVYREVCASCHSLSRVPYRALVGTVLTVNEAKALAEENEYPDEPDEQGEI PMRPGKLSDYMIDPYKNEEAARFANNGAFPPDLSLIVKARHGGCNYIFSLLTGYPDEP PAGVTLAPGMNFNPYFPGTGIAMARVLYDGLVEYEDGTPATTSQMAKDVVEFLNWAAE PEMDDRKRMGIKVLAATAVLFSISVWVKRYKWAWLKTRKIAYDPPKETKSTRPYRY
SAPIO_CDS3383	MRTATGANWEKYKKNFDDEEAEEKKITPLTDEDIQVLKTYGAAP YGAAIKKLEQQIKEKQASVDEKIGVKESDTGLAPPHLWDVAADRQRMSEEQPLQVARC TKIIADEKGDESKSKYVINVKQIAKFVVQLGERVSPTDIEEGMRVGVDRNKYQIMLPL PPKIDSSVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFVSLGIDPPKG ALLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKA CIIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTL DPALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVERDIRWELISRLCPNATGAEL RSVCTEAGMFAIRARRKVATEKDFLSAVEKVIKGNLKFNSTASYMQYN
SAPIO_CDS3384	MSATAQASGGGGEATFRDKEKPVAVRSSNIVAARAVADAIRTSL GPRGMDKMIRSGKGETIITNDGNTMLKSMSVMHPTAKMLVNLSTAQDVEAGDGTTSVV VICGSLLGAADRLLNKGIHPSVISEAFQRAAAASVEILHEMSQPIQLTDTSALLQAAN TSLSSKIVSQYSNLLGPMAVNSVVKTIDLKTADNVDLKNIRIVKKVGGTIEDSELVDG LVLEQPVLKGAGGPVRMEKARIGLIQFQLSPPKPDMENTIAVNDYRQMDKIVKEERQY LLNLVKKIKKAKCNVLLIQKSILRDAINDLSLHFLAKLNILAVKDIERDEVEFICKST GCKPIADIDSFTEDKLGSADLVEEVQSSGSRMVKVTGTKSAGKTVSVVVRGANSLILD EAERSLHDALCVVRCLVKKKALIAGGGAPEIEIAAQLSKQARTLTGAEAICWKAFAEA LEVIPTTLAENAGLNSIKVVTELRHRHEMGEKNAGVSIKSGGVNSNIAKENVLQPLLV STSAIELAAETVKMILRIDDIALSR
SAPIO_CDS3385	MLADANSPRSIGPSSRSTCRKAGHTCDYSIRLNWDGRRTKRTSY DATGDGQLSSPTRGQRPFTIINQTFAANASPQLPPQQRRLSEGSWNDDQLIPNRAPDT GNDTAQQQVPVSPSNHVFPERDSLLLSPVNNASAGNAAQEQEQMLRDMLNPRTQPHKE PPNFNAHQFVVPIPEPAVAGPSPPRDFDNLLFRQPQERAGGILAPLGTPSTLQSAGSI VATPTLGDEGNTPIFMRFHPLANLGPLASPLTPMTPSSYSDDDPRAAQQTPSNPASPD IRRLTVNSLLSGPPGPVYNSTEGHLIRNNAVPGFGPGFEYDFDLFGGARFYGYDLGKR DEDLPKNDDRNAIASTPPTPQTPQDHAHDLLHSAGHGGVDDAFKFETKYSDRPSRKSG GYYKEPVPIRIPHSLEPLPRKSTARESDEFAVLMPYDDQQSNPFRIILPQMAVKNDHL LSLLLAYSASHRARLLHQKEPEMRMALWVQDIFPALREALSDDTRIISNTSLATAIML ASLEIISPKAFGYAIPWQEHLNLARDLMRKRFSGTGVPKITHSSSQEDQVCSFLWSWF AYLDVLGGLSGGPRKTDSSLMLPLEYTDDPDEIDCIMGFTTRCVRLLAGTADLARLSD AQRILPGNTVNQYWSPTPETVQRAHALEVALRESVERESRPCKHIPIENVDGRDRVEM TATNEAFHWAGIAQLHRRALGKPASHPDVQEPVLKIIQCLGRIRKGGTAEMGILFPMF TAGCETSDEYCRAQILERFRSVERNGMTQIRKARELMVKVWKEGRPWETMLKNEFIG
SAPIO_CDS3386	MASDDDTKSNKRSHSEMADDASDSSSDDDMGPQLPTEAAPKKKR RYLPYEKLYVAALPKSARYSKSLMHKEQVSFVNMTPLSEFLITSSTDGTVKFWKKVAK GIEFVKEFRAHQGEILSVSVSADGRSFASCGTDDTVKIFDVITFDLLTMIPLGFRPRS ICWVHKKGASLPLLAVSEDTKPLIHIYDGRGVKNEPVHTIKGLHPDEGGMVEYWQPSG DYEKPSSVFALKSSTNLFDFKKAKSAPVSLTISPDGKQLAAFSLPDRKIRLFDFASAK LYRTYDESLQIIEDMHQTGSEFHKLDNVEFGRRFALEREIESPALRNKPNVIFDESGH FILYGSIHGIKVVNTYTNQVVKTFGKDENFRPLNLTLYQGQPQKKKVASIEMGASSNP LLQEQEARDPILTATGIGKVRFYMFTNEEEVSKSTRDVQNERPTAISQKAAAAAAAKA AVAGTGAIIHTTYGDIHIRLFPKEAPKAVENFIGHSKSGYYNNTIFHRVIRKFMIQCG DPLGDGTGGESIWGREFEDEFSALKHDKPYTVSMANAGPNTNGSQFFITTEKTPWLDG KHTIFGRATQGFDVIHKIENVRTYKEKPEIDIKILNIDIV
SAPIO_CDS3388	MAGKKKKQKSQTPQPSLKLSEKQQQKPGSSKQERQTKKLNRNET RAQESGGVLVTPKPKKPSVTDLWADYFQKGELSDWQRLCEDLGLPSDLPSKKQCRNAL KRVNVNIHQFLQASVRPEQVKFFHSVRDLEDYTRKRHLFYRKKNIPKGSPLCALLKFL I
SAPIO_CDS3390	MSPAAEEQAASPRGRCFTVVSIDLGTTYSGMAYARPGNLMPIVV RDWPLESSGSFGREARVPTALNISNSTVHWDTPAFLDALDMAGRFGKAGKDLACIYLH HLWQHFLNFAQLGAYEKQQMRIVVTLPAGWPDAICVKVKDVLDQAGILGSVPSYDLQF LREPQAVALAMVYDAKDDSLLAADDVVIVCDCGGGTVDCIAYEVTSAVPLCFREMLPC EAQYSGAPLMKNGFVELLKTKMADTLGIQDPTAIPTAELQKIAHASWEMEIMRNFTGR EASFKVRIPRSMSTDGKGDHSVQFYGEDIIKLMDSAIQNIYNLILTQYRSVLTKTGDT RKVKYVFLSGGFSGCPYLRAYLEEKIPENIKGHPITTGYCIRLHPEDFFTISSTAVDG GPALRQLQLNILRLSQGEANSRACEITFSPNVLDPELEMMVDGDSLKFGIRSNACTAH SSMLQLHEQSVKGNELLSNPNLRSPGKLPVVALESTIYTHGALGQDLELERIVRENGG IPAVVGILDGVPTVGLTPEEIDRMVNEGKPVKASRRDIAYLAGKGLLSRGIHGGTTIA GTMLLARIAGIRVFGTGGLGGVHRGGQDSLDISADLTELGRTRVAVVSSGCKGFLDIP RTLEYLETQGTLVATFADGREGNFDFPAFWARESGTKSPAVVRDEKEAAAIILAQERL GIESGLLFANPIAEEHAIPSVEMAAAIETAVREASEKGYTGSTNTPFVLSRIRDLTGG KSVEANVALVQANVARAAKIASELSKLTRVGSETSTFKTHPLPASSSTKNAHGESKKL GKADILVAGAVAIDLNCDYLAQPGSSTESGQKDVMPKLHTSNPSRINQSVGGVGHNVA LAAHRVSGDSRVRLCSMVGDDVAGMTVLSGLQACGLDTSYVRKLSADYHSSSRTAQYV AVNDAQKNLVMAMADMGIFTHHSFPEYWKSAVAGARPQWLVVDGNWSEKDIRAWIQAA RDHQCSVAFEPVSTAKATRLFCPERDFSNLRVYPNAAIDIATPNHFELLSMFNAAREN GYFDNPAWFSVIDAFGMRGARERFVTLTSAEMTDAGIPVQSVQLLPYIPTVITKMGPK GVLLTTILHKDDPRLRDPDSERYILTRSSGDHPHVGGIYMRLFPAAEEVQDIVSVNGV GDTFLGVLVAGLAKGGKVENLIDVAQKAAILTLKSREAPARGQREQNYHAKPVFAART STVLQLIPSPTRGLDDTRLASLNPNMDIDDILREVDPSASTVGSSTRDIQLLTRLWVA ERSSPELLEWPRSGFFERMNDRIKLQIEKVEEMTGDMDPKTNFTLVVIQTELERYKFL VRSYLRARIAKIDKHTLHYLSTPPLRNRLSPTELAYATRHQALLHNHYLTSFLSALPP ALRNLNDTAGNVGMVDAPDAETAVFVRLLRDAEVRGRGTDEDSVVRGREGDVFVVRWE GVRGLVEDGVAELV
SAPIO_CDS3391	MDTTLGPTIHWGYGVPEDVDAFRLFKLLLLHPDDLEPGLWKHFL ARTQLSSAEREQMRIVVSLPASWPDTILATMKDILVQAGIIGSRHGHNLQFVREPQAA ALAMIYDAEVSGFLKAGDIVIVCDCGGATIDCITQQVISISPLRMRDIVPCEGRFSRI AMMESGFIELLKEKMAGIPGIRGAADIPGVELQQMAEKVWQKKIVKNFTGTEKYNVEI PRVLLCGQQDERADSESEAKGEPYLHFMFRN
SAPIO_CDS3392	MVTEPVTPSSASTSEMATGVGRASPSGSQTTNSREQHAQMLFRI QQLEEQVGRAGAEHTMMASRIQHLEEQLSNAETEHSKTKTLLEGAISEQPELVQMDKL SQNRRKMSRFAARPVVHSHALGTGCRLLAIWRHLINDIEYLSEFCFPEQISWETLTPA VQEKLIRWAPKAKQYLESGPQRSRLIFEAWIWHILDDNIFSTVEGPWLGEHWEAYSML RRIGAPLASESDAEWTLRYHIWRNLTVGLIRETTQHEARLDYRVVMNVMMEELGPFFH IPEPLGEAKLNERLFRIVKWAIEADFCMHLDRTEWSVVFGHPETQALHSFLFRDPQEV RHPPDPIFGSLKPVVSKSFMALSDVAHWWDEKLFSHGDQHGYAYHIMYYRDPMQVVVD MFPDDDEYEGVSGDDSDGDGDAESDGKQERTLKLDTEMAGPVEVNG
SAPIO_CDS3393	MLLLRKLAAVLPLLAVHCSAQSFVVSGGQIFTPGFAVVNAPQPG TPLGGETLHISLDVTANGKLNLPPYVDVSPSLIHNITIFLYSYNTGRNFTVSNLTEAV DGDIMAQEPGSTVKHINWLWPDCLVGDGQPKDADSDRGVYNISIRQSFRLNDAEHYTI LDLPIAVTNRISEMDARPSCDQVNNNMMAPEDIDMSSTQAVGVLFAPGDTTAVEVILP DEFDGENGLGGQKPEATPEDGIGGAASLDWRGGAHWLCLVTVAGAFLW
SAPIO_CDS3394	MAPGALHSDTDMAEQQNGDGRQQDGSLEITMDALARFRSLPLPP SECQVCVVGAGPAGLMLAANLSRFGIKVTIIDDRADQTPVGRADGLQPKTIETFRQMR LADLLLLRGVRVYDISFWRSTEDEPLHRLGREVHYPPIIDVLDPYILLVHQGMVESLF IEDMRKRGVEVRRNTAFESYNVPEDKSRPLQVNCRTNVTQDRRSIHTQYLIGCDGAHS KVRKSIPDARPVGMSQAAIWGVLDGELVTDFPDIWSKTLVNSKEYGSILIVPRERNMT RLYIELKLGPKSDRRELGQEFIMQRAKKIMAPYEIDWKYLEWFGRYQIGQRVASRFND NHLRAFLAGDASHTHSPKSAQGMNTSMHDSWNLAWKLNFAVRGLAKPVLMESYEQERR KIALDLVNFDYEHAYQIAGGDAVAIAESFKTNVRFMSGIGAEYGENCINRPIDQLWAM GEAKPGCLLPPAKVTRYIDSNPVDIQLDIPMLGQFRIYLLMWDVQQSGPFLETFCQAI ASRNSFISRLSAAASVSYATQPRLPAPEDIYHRPERYTVVSHLFTFALITTMPKTDVE ISDLPALLQDSRWTFYMDDIPEQDTQGKLCTNKWLGSLGPGEVAIVNVRPDGYVGSVG RWDTSMDDSGEEAARWLDSYYEGFLQLPA
SAPIO_CDS3395	MRRFSVRNLQRGVRCQSTLLPGRSPLCAASAMSPSSSSAAQASL AVRRLHATAKHLQIPAHLDSTPTSYIKTHDKIEKPEDTPYFIDNEFKASATTEFIDLH DPATNNLITRVPQMTDAELKAAVASAEKAFPAWKATSVLARQQIMFKFVALIRENWDR IAASITLEQGKTFPDAKGDVLRGLQVAEAACSAPELLKGEVLEVAKDMETRTYREPLG VVAAICPFNFPAMIPLWCIPIATVTGNTLILKPSERDPGAAMILAELVAKAGFPPGVV NIIHGAHRAVNFILDEPAIKAVSFVGGNKAGEYIFSRGSANGKRVQANLGAKNHAAVL PDANKNHFINSVVGAAFGAAGQRCMALSTLVCVGETKEWIAEIAESAKALSVNGGFEE GADLGPVISPENKARIEDLIASAEREGATILLDGRGFKPAKYPNGNWVGPTIITNVTT DMRCYKEEIFGPVLVCLNVDSLDDAVELINKNEYGNGTAVFTSSGPTAETFRRSIEAG QVGINVPIPVPLPMFSFTGNKKSVAGGGASTFYGRPGVSFFTQLKTVTALWRSGDAIS KKADVAMPTQS
SAPIO_CDS3396	MASPPAFYHALLRPVVLQILRATGYYACRPAVLDTFTDLAARYL YMLAEKTANHAADNEHAELPSVVDLRMALQDVAALLPERVLTDQEYVGVEDTRGMDEF LAWFSGPRNKLIKDYAAVDGDPDATDYLSALKKKHSKTGEDSKYHSTILGKGNDQGDI LVEGAEVLTSIESWERSVRGSSGDESDESNAREGEPEPGTPSSVLSSVGDRIADVEME LS
SAPIO_CDS3399	MNHDSMPTPAPPVSQSRNDNESHNDNHHASDSEPQSDDQRSYAE TSRSRRRRRRTRRSGSALSKKLEFITHLLTSLDSLFFLEIGTLYYLECSFLRFLLRAL SHYAFLTPKPESFPIALPAYPAHVFSILFPNVVCILCHIFMTLPKGTEASRGFLHGGI VIDFIGQTPPRSRLILLAFDAFILAIQSLMLAVHSQREALRGAVKPNRGSIDFLPELR RQLRLQTLDGEERRERRRRRRRRRRERRDSNANTPYQDVEMGAVLDNMEETNYTDEDD ETTSLISEPAMSSNSGRQLSEIYASGTAMIGEFHLLQSIRLASTDYSAAAAHSIRTLG YTASLATLLARERNARTTGR
SAPIO_CDS3400	MATADNGPSADLPSRILYALSTSEPVLTSEAFPEVPFAEVKAAV DSLNSKSMITYKPLEKELALLEPEGEQIAAHGSHEARVFEALRSAMEGLSIPELEKAI GDKTVTKLGQGKAFKEKWISKTKDGKLVATAKSIEDVTQRQLLDIKKNQTHDAKVIAD LRKRKLIKIQRVISFSVSKGPKYALEVVKQETDLTADMLASGAWKTVDFKPYNFNALG ADQNAGALHPLNKVRHEFRQIFFEMGFQEMPTNRYIESGFWNFDALYVPQQHPARDLQ DTFYVADPKIADQPRSEENGEFEAKYWDNVKEVHQDGLFGSIGYRYPWSADESLRLVL RTHTTAISAAVLRKLASEKGPDGRPPPARYFSIDRVFRNESVDATHLAEFHQVEGVIA DYGLTLGGLMEFMEIFFGKMGITDLKFKPAYNPYTEPSMEIFSYHKGLNKLVEIGNSG MFRPEMLEAMGLPKDMRVYGWGLSLERPTMIKYGISNIRELLGHKVDLGFIKRNPAVR LDKN
SAPIO_CDS3401	MLLRVRGPDGMIRVSLEKTDTFGDLGREILPQLPDTVDPRTLTL SNAPTGGDVKYLKDIANFKIGQVGLKHGDLIFITYKHRAASAVTDQNGDSTTATTSYR LNGKPILPSEEVPLPNPDIVTVAEGPRPWDTVKQSPLDDLLDKKDGKIPRQRNAMCRH GPKGMCDYCMPLDPFNPNYLADNGIKYLSFHAYLKKINSATNKPEHGSSFIPPLSEPF YRVKPDCPSGHPQWPEGICSKCQPSAITLQPQPFRMVDHVEFSSPEIVDSFINAWRKS GSQRLGFLYGRYAEYTKVPLGIKAVVEAIYEPPQLDESDGLTLEAWENEKEIDEVAKL CGLERVGVIWTDLLDAGNKDGSVICKRHIDSYFLSSLEICFSSRLQAQHPKTTKWSHS GRFGSPFVTCVISGNERGEIDVSAYQMSNSAVEMVRADIIEPSADPGVMLVQEEEEDP TVARTRYIPDVFYRKMNEYGANVQENAKPAFPVEYLFVTLTHGFPDEPNPVFTEPGFP IENRGYVGESQEHSAVTKMLRRSSGKDLSSISNFHLLCFLHQMGVLSKDEEALLCRVA SQHDLADSYQLQSTEGWQTLKAILQSTGEPSPTPRSKRSRGPEDNTSPSGASVTKRRR GEEPRAVEGRQLPKKEPTVEAPLAKRLSAVRLNDDKSSGKKARSSRGSTEDEDVVVIE 
SAPIO_CDS3402	MSGRLAGQAPALLRQGRRIIPSPTPIAARSLTSNVFNSSPLLRL QNGRSTLSQKRLFTSTRLRASTAADAQSPPNSKAYLASGAVKPLAQVNVKKVLVVGSG GLSIGQAGEFDYSGSQALKALKEAGVASVLINPNIATIQTNHTLADEVYYLPVTPEYV SYVIEREKPDGIFLSFGGQTALNLGVQMQRQGLFEKYGVKVLGTSVRTLELSEDRDLF AKALEEINIPIAKSIAVGTVDEAIDAARKVGYPIIVRAAYALGGLGSGFANNEEELRN MAARSLTLSPQILVEKSLKGWKEVEYEVVRDANNNCITVCNMENFDPLGIHTGDSIVV APSQTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSA LASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPRWDLSKFQ HVKRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPKFLGFQGDKFEDLDAELQNPTD RRWLAVGQAMLHENYSVDRVHALTKIDKWFLHKLQNIVNCTRELQQAGSLEAVREDQM LSAKKMGFSDKQIALAVNSTEDEVRACRLSMGIRPWVKKIDTLAAEFPADTNYLYTTY NASTHDVEFEDKGTVILGSGVYRIGSSVEFDWCAVSATQALRQMGQKTVMINYNPETY STDFDTADRLYFEELSYERVMDIYELENASGVVVSVGGQLPQNIALRLQETGKANVLG TDPKDIDKAEDRQKFSEILDSIGVDQPAWKELTSVEAAEAFADEVGYPVLVRPSYVLS GAAMTVIRSKEDLKVKLEAAADVSPDHPVVITKFIEDAQEIDVDAVSSKGKLVIHAVS EHVEQAGVHSGDATLVLPPSKLDEKTMDRVKVIAEKVAKAWNITGPFNMQIIKADDPN GGEAALKVIECNLRASRSFPFVSKVLGVNFIDVATKALVGEDIPNYDNLMKVKRDYLA TKVPQFSWTRLAGADPFLGVEMASTGEIACFGKDLAEAYWASLQSTMNFRVPQPGEGL LFGGELGKNWLTTVVDYLAPLGFRFYAADHTVKEFLESSAKSKIDVQVIEFPTEDKRA LREVFEKYDIRGVFNLAQARGKTVTDVDYVMRRNAVDFGVPLFMEPNTAMLFAQCMSE KLPRNEGIPSEVRRWSEFIGGKPL
SAPIO_CDS3403	MLGIEIDWVGLVLPFAYLIVLSGSLMTFSSIYRKRKATQAANLE PWFGPHLQRNIYLSLLHMEPENGDEKSEKKIPDNVLKAALLRRAVEDINRLVQIRTAK QAITSLLQKGSVGDDLWQRFQRAEKEMENELRDVVMEANGLAPNWGQFIFQSANEIAV NVQFRKRLEEIQGKVASEKEWWEKRRATLETELLNDEEAEKSSTGKPSSEDSAVLVDP GTPSATPAATPAKAPAASTTPAATPAATPAKAPTGNSTPATGTPSKKKRGKK
SAPIO_CDS3405	MSDVESRAGDQEDHQRMLRDANSATLEDVGSFVETAKDNRGDKI SCAFVVTGPNLASQDLLFEQLEESLLASPANKFVRLRSADATNMKATLKKIIQDITCK ASDEEDGQLMIGKSNRKYLNYDLAAVHALIKAQGGCDHVFIAFQDSEGFDSALLSDLI LVFSNWPQIPFTLLFGVATSVELLQGRLRKSVCEHLHGTEFDVTQGTSVLEDIIIKTA VTSLDIPLLVGGSLLETMINRQYEKVSGVQDFISALKYAYMCHYYANPLSAFEGVEYF EKLEWIQPEHYQALRSLPSFAKHIEKLLDANNSRSREQVIEWLKNDDFLIGEARAEHG VGHGKALYAVDLHRAASFLAALGYHKGRYATIIVEILNRGIDLTDDHEAIVALRKMEP DRIISAMKDMRTALSQNNYGDVPADEDSNLRDALAHEAHADENVCDDLTRWIDRSEKL LAEAKEEGHTLRSKYSGQTKIVRTTVVAQKVQLSHDSAALTEVDEKFTKIVDNLVSAV KLMASMAPPTHSPFHEIWYYDSRIPHKEVFTPRLRAVFERALARPRDYLGCQCCGDGS DGGEAISPLSPPASILYHFYQEAGSLINVADLRTAFFGVLATDAGDDADERAALALFY QGLAELKSLGFVKPSKKKTDHVAKLKWL
SAPIO_CDS3406	MSSPEPSTDKKDVTSATAPDVTEAPEAKEEQPKVEATKEAESNA EADASKDDTSSEKPTEPAEAKPETEKAEASSAEKKDEETDIEMKDAATEGGDATPATD AKEEAAADKTKAARRKSTGATEKGKKLNRKQSKARITNLNAQPGDHYLVKLKGFPPWP AVICDEVMLPQLLLTTRPVTAKRADGTYREDFADGGKRVNDRTFPVMYLYTNEFGWVP NTSLQELSPEQASEAINDKMRKDLQAAYELAAEGHDLDYYKDLLQQFQEELVAKEEAK KSKKSKAAAADSMDIDEVEEATPAKKSKKRKAEDETATPQRSDSVKKPKIKLLNSAAK ASNGGAPPKASKEQAAPKPSKSKSKPSKPAEETRRPELTPEERHERKSKEVLYLRHKL QKGFFPRDAVPKEEDMQFMSEFFTRLERFTEMDASILRATRIYKVPRAILRMESIPRE EEFNFKSRSQGLLDQWNKLLAAEPAPVEGGSNGVNGKEEKKAVKPESNGADEKAEKAS ADEKTATEPVEKKEDVADTKGSEATEEEEETKAPAAVEASA
SAPIO_CDS3407	MADPRDVSQYKYSAMSNLVLKVDRRLINRPTDKATGEPESIAGR LTIKDMGSRVGREAAPKPKTLPDVERGSIQEGRDVLLRDQRKRKADGQLRGNGILGAA DLAIEGIQYRPRTAATRATFDLILTLVANSLGDVPYEVVRSAADAVLEYLKDEDMKDF DKKKEIDEILGITLSPKQFNDLVNLGKKITDYDAQDEEEEDRASQGSATLDDRQGVAV AFEDEDEEEEEIVPEVRDYSSEEEEEEEEEEEEAAREADAADAEALRESDEMVIDSTL ADQGKQDGETTVPAREIDAFWLQRQVGKIYSDPKEQHDKTQEALRILSGEPDEPGGEE KPLREIENDLMELFEYEHHELVQLLIENREKVVWLTKLARAVSNEERAAVEREMASEG LQWILNELHGKKPEEGKKKLDIKMDIDVPESITNGAAKAEKPEGQLAGGLQPRKLINL DNLVFDQGNHLMTNPNVKIPAGSTKRTFKGYEEIHVPAPKKRSDPNDPLIPITEMPEW ARVPFSTAKSLNRIQSKCYPTAFQDDGNMLVCAPTGSGKTNVAMLTILRELGKHRDPE TGNIDLDSFKIVYIAPLKALVQEQVGNFGKRLQPYGITVEELTGDRQLTKQQIAETQI IVTTPEKWDVITRKATDLSYTVLVRLIIIDEIHLLHDDRGPVLESIISRTIRKTEQTG EPVRLVGLSATLPNFRDVASFLRVDMKTGLFHFDGSFRPCPLRQEFIGVTDRKPIKQL KTMNDVTYNKVLEHVGTNRNQMLIFVHSRKDTAKTARYIRDKALEMDTINQILRHDAG SRAILNEASEQATDKDLKDLLPYGFGIHHAGMNRADRTDVEDLFAQGSIQVLVCTATL AWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRPQFDTYGEGIIITTQ SEMTYYLSLLNQQLPIESQFVSRLVDNLNAEVVLGNVRTRDEGVEWLGYTYLFVRMLR SPGLYQVGAEYEDDEALEQKRVDLIHSAATVLRKSGLVKYDEKTGKLQATELGRIASH YYITYGSMDTYNTLILPGITTIELFRVFSLSAEFKFIPVRQDEKVELAKLLSRVPIPV KESIEESHAKINVLLQAYISRLRLEGFALMADMVYVTQSAGRILRAIFEITLKKGWAD VAKTALDLCKMAEKRMWPTMTPLRQFPSCPRDIIQKAERVEAPWSAYFDLDPPRMGEL LGMPRHGRTVCGLVAKFPRLEVQALAKPLTRSMMHITLEIAPNFEWDDEVHGAAESFW IIVEDCDGERILYHDQFILRREYATAEDNRHIVDFTVEILEPMPPHYFVSLISDRWMA SETKLALSLLNLILPEKFPPHTELLKLQPLPVSALKVEQYVKLYPDWQNFNPIQTQTF NSLYSTDDNVLVAAPTGSGKTVCAEFALLRHWAKSSAGKAVYIAPYQDLVDIRLRDWE KRLSHLRGGKLIVKLTGETATDLDLLNRGDLILATPSQWDVLSRQWRRRKNVQLVELL LADELHLLGENLGYVYEVVVSRMQYISGQTEHPLRTVALSVSLANARDFGSWIGAKKH DVYNFSPFVRPLRLELHLQSFSIPHFPSLMLAMAKPTYLAITQMSPNQPALVFVHSRK QTRQTARDLLAACYADDYEDRFLNAEGDQLKRILDHIDEEPLREALSHGVGYYHEALS QSDRRIVKHLYDRGAIQVLVASRDVCWELDCTAHLVVVMGTQYFDGQEHRYVDYSMSE VLHMFGKALQPLEKKSRGVLMVPAVKREFYSKFLREALPVESHVPDNLHDTFVTEIST KMIQSAGDAVNWTTFTYFYRRLLANPSYYGLSELTDDALGRFISDRVEETFSQLNEAK IIEYDEEDGSVVPLNAAMIAAYYNISYVTMETFLLSLTGRTKLKGVLEVVTSATEFEA IQLRRHEGGILRRIYEKLPVKMSGDVNLDSAHFKAFVLLQAHFSRLQLPVDLIKDQEV ILSKVLGLLSAAVDILSSEGHLNAMNAMEMSQMVVQSMWDRDSPLKQIPHFTDDTVKA AHKFGIKDIFDFMEAMNPEENPDYSSLVKALGLTNNQLAQVADFTNNKYPDIEMEHEI EDEDEIRSNEPAYLNIRIQRNIEEDDEVDPTVHAPFYPAKKMENWWLVVGEESTKSLL AIKRVTILRKLDVKLEFTVPTEGKHDLKLYLMSDSYMGVDQEREFTVTAAEGMDVDEE DEEDEDEE
SAPIO_CDS3409	MASFFDIKARKQAAANGAGTQKQDKSAQPPRTQPWVEKYRPRTL NDVAAQEHTTAVLQRTLQASNLPHMLFYGPPGTGKTSTILALAKELYGPEFMKSRVLE LNASDERGISIVREKVKDFARMQLTNPPPGYKDKYPCPPFKIIILDEADSMTQDAQSA LRRTMETYSKITRFCLICNYVTRIIDPLASRCSKFRFKSLDKSNTRARLAEIAEKESV PLDDGALDALIKCSEGDLRKAITFLQSSARLVGSMGGVDQDGDEEMDGQKKVVTAKIV EDIAGVIPAPTIDTLVKAMRPRGSGSTFTPVAEVVQEMVADGWSAGQVLNQLYQAIIF DETIPDKQKNKIVMVFSEIDKRLVDGASEHLSILDMALRISAIMAGK
SAPIO_CDS3411	MADQSIIRITKELCDIQKDSDLSLAVACRDSDVRNVKALIIGPH ETPYEFGFFEFTVKFNKDYPRKSPNVTAITTNAGRCRFNPNIYASGKVCLSILGTWRG ERGEEWSAAQGLESILLSIQSLMSSNPYENEPGFEDANEASDKKNQADYVQKIRHETL RISVMQRMEEYLGLAPDGTPSASAKSAADQEDNDIDMQDLDETSVPFEPFKDLCKQRF LWYYDSYLAAIQKGKSEVKDGQNFSRMPFEGSSNAMEGKFNYSELERRIRNIKKALDA ELEMWAADGAKENAKEGTIAVNLQNQYEQVIEAFKADDVPHHVQLENGNPFVWIITYF GPPMTNLDGGLFRIKMHFSPRFPEEQPRVRMETKIFHHRIAADGTICYFPNYSKREDV RSHIASIVAALEEEDPAYDPRTLVNPEAHTLYWGKPEDRKAYNRRLRRSVQQSMEDFP EA
SAPIO_CDS3412	MTIPPCTEGKHSTTDKPPELEKKPQTSDAELAAKIDRLNASAEA PARKPITAPQHIPTPPPPAPESDEDEEGVEIPDGRTCRRRGCGAEYKAGSSREGESCL HHPGVPIFHEGSKGYSCCKRRVLEFDQFMKLEGCTTKNRHLFIGSGKKNKKDSSGSGE EVLETVRTDFYQTSSTVIASFFLKKIVKDKSTVKFSDQAIDLDLVTSDTPPKRYTALV PLFAPIDPEKTTFKILGTKLEVTLFKADGASWPVLRSDDTHTGEILQIGRAGKLHTLL PKALSFANIINKSPAYTSATSYTPPPPSTSTTGTTTTTTTTTTTATSTSSRRSSSPPR PPVSPITPTLASARLPTTTASSTAPAAGTTANDIMATLPPRQTFAHAQPNQIGIAPPP PRPISFDENPDALALQSAIAVLQMQKRRAEEDIRALHRAKGEAMRDAEGFVRDLAAGR IKSGEALAFSSADGEEEEEDMERKTPTGPAWRKLPGRQDVVRCPPINWAQYAVVGESL DKLHADQRASPSQGVPATIAPDGTYEFKGEGEKAPFVGIAAPYTPGRDKLTDKRPKAP RR
SAPIO_CDS3414	MPPPPPPPPPPPMLGGPPPPPPPPPPGNLPSRPPAGGTNRNALL SDITKGKALRKAVTNDRSAPQVGKVSGGGGGGPPIGGAPPIPGMAPKPPGGLAPPVPA LRARSNSDQGDRPAAHKPSGSLDGAPQLAGLFAGGMPKLRKRGGGVDTGADSNASFLS DSETVPSAPKPPTFSAPKPPTGSAPAIPTRPLAETLHSRVTCTPSSGSSSASAAGVIG TSTTSLSAAETPSTSISLTRNASATTSARGAALFIPRSAPSSSSTPARGVRSVQPSNA GSNQSSRSSLLTLISTSPTTTFVRTISSFRAAPAAVFSLHGPNGTKSPSPTKGLMSPS SGGGRIFIDDSRWKFKDENDLPPPRSFVGGPRKYRAGRGSSVPLDLSAL
SAPIO_CDS3417	MPPKLSIPTFAKTQLALLRKEQDIEIAESTSLISSHTPTALQRA GAAITNLVVTSQRTGLGGKTVLELGPDGAIGDEMPEHGIRTGDVVLVAGQAAGDAKKR EVRELERKGVRGVVVRVGKGAVWVAGDDGEGSGSVEERGLTGRVWVVKLADDVTFRRM SQTMEKLEKMDESQYTSFMRVLFGLSSPTPVPSDPESDPAVGKIEWLDPTLNGSQKDA IRFALASREIALIHGPPGTGKTHTLIELILQLIKRNQRILVCGPSNISVDNIVERLSP HKIPILRLGHPARLLPSVLNHSLDVLTRTSEAGAIVNDVRAEMDAKQASIRKTKSGRE RKAIYGDLKELRKEYRQREKKCVTDLVRGSKVVLATLHGAGGFQLRDERFDVVIIDEA SQALEAQCWVPLLSTKKAVCAGDHLQLPPTIKSLNSKVKQRESTGNGGDEEVIATGIT LETTLFDRLLALHGTAIKRMLTTQYRMHDKIMRFPSDELYESKLVAADAVKDKLLTDL PYDVEENENTSEPLIFIDTQGGDFPEKNEDEDEALKKGKFGGLYGESKSNEMEALLVR QHVRELVDSGVKPEDIAVVTPYNAQLGLLAPLKERYPGIELGSVDGFQGREKAAVVVS LVRSNTQGEVGFLGEKRRLNVIGDSETVKRGSKFLKRWMDFLEDNADLRYPDISALPR DI
SAPIO_CDS3418	MSPGRGSAPYAASLRSTTTPAPDYFAVRRTASVPGRPRSRLTRQ TTQERIDEILEGALRRAEEADAIASSQSPHDHPMPRSSSANPPLLDRTRRSSAGANRA HDHDESSADETTGIVSRGDNLSYQSTLTTESNGARLTATGRRSESSRQNGNGATAGID DNESARQLEPKPRGVPWFRAAIEPFKALELENKGSVARDHLAIERTFLAWLRTSLAFA SIGIAVTQLFRLNTSLPDTDGHLGSATLRQLGKPLGATFLAISIVILFLGYHRYSESQ RWVIEGKFPASRGTIILVTFLAFALAVVSLVVVIIVHPTEVEL
SAPIO_CDS3420	MDATMMTAQAMGQASYFYNQDARRQHGYFQPHLAMQQQQMIFPA VPTLPSTPMYSRPGSACSQPQGPTLYSNAPANMVTPMASPQPLAHKPAIMVETEIRDN DMYYPATPPLSTSGSVVGSPSSVDMLQTPMNPMFSGLDGVDGLKDAFEPTNTFVVDWA NVASPPLTPVFLPQSQSTEQSLITSTNPANLISSVSACPSLSPSPSPYARSVSSEQDV DFCDPRNLTVTANSGLPADFSGLKEEDVPSEQGSPASSSAISQATFDFSTALPDTLST FGDLSDLEAETDLSGLLDIEQVSTAVSECGRPRACTGSSVVSFGHGSFIGEELTFNHS ETFPFPALHNTVDSSALDSDSHRDKRVKKSDTPCVQKDACKMPTANAVASAGQPSTVE QDSTSTSAQSTGSSSTKTSSSGVQTPVANPAPANRRGRKQSLTEDPSKTFVCDLCNRR FRRQEHLKRHYRSLHTQEKPFECNECGKKFSRSDNLAQHARTHGSGAIVMDLIEDHEA HLYNTALIGESFNSMGKVLFQVAAEMPGSASATDMSSEDETLSKKKRKRSD
SAPIO_CDS3421	MKRRCCALLPRYNIGNADPRAAPLISVFFGPRCLGTDHGTYNPA ESEDCLFVNVWAPTNATASSKLPVWVYIQGGGYTANSNPDYNGSTVVEQSGRNLVFVN FNYRVGLWGFLADKRVSQDGDLNVGLLDQIHLLKWVKRHISKFGGDPNRVIIHGVSAG AGSAALHLTANGGRDDGLFAGVMTQSVFFPTHPRVEDLGYQFNKTLELVGCEFEDDAM SCLRGKSRKELQDVANRAFSFPGRTRASRWYWTPCVDGDLIRDVPSAMFKSGNFIKVP LMLGTVTDEGSVFSANAATPDEFVSFIRDNFPGLTDSDTPAMIKRYPLMEKLPQHNTW FPSTSKAYGEATFICPTNLILDSFTKSSYASIWSYRYNVWDLSNTWRGVGVTHTFDSA AILGPGSLPTPESYYGYNAPIVPLLMNYYISFVRALDPNVYRHSSAPKWYSWWRDGER LVVELNKTRMETVGAGQKERCEFWKGLSGKMRH
SAPIO_CDS3422	MGHGKAGSDARASPPPGEDTSSTSSTSSASQPSSKAPSIVDEEA CDAAFDRLPDEIIQQILLLTDPDSFASLILVNSKWRRVSQQAHLYAHQLSKCPSFAAS HSALSLASVKDEQLPKFRRLFAREVKRNLFQAYLRPSETRIKLISNSISSASCPGGEG MRFSPSPKGHHILAYNSSRIYVIDVRAPEIDIKREFKILRRPVSACIKDDATLLAVLS MEMQVDVYNLESSPPKRTQSILLDHKPRTIALSPCGSVLAAAYEGGIEVSALNPDAVT TSQRAVKCDAVDSLAFSHDGTQLLGTTLQSSHQNTVILTAPYYDPGSHMAENTTSAMW TTSILFPNSSRDCSHAVLVQDSDNEEASWTFTYDRSFETFRAVRIDDLRNGTTYFTGP LPQAASSTPLLPCTLPSASYHGELVSAGFQGKEVWIYGVPEDLSAVNPQLILPYDGAT PPGVSGRRGGGHSPRTAPRIPDAEDVRVPKWQVLCDSQRNMLISGRKITELEPVSHVK WVAGFGGHSSKERLVITARGVNGSRLITEEEDIDFVDGGIISLLDFDYGFEDGKRKDI TIEVGTNNAEVLEEEKRDLDTEVALVRRRTVAQRRGGTGGALHRMATTTIPPRNPRPP LPSGAHEDDDDPLQPRRIGVLPRPPQPVPQVTFNDDNDTDSIEEQEALDAPYTQSNPR SVSTLRRAATAAAMSQRLRETRFEYRRADGRREHPHESDADNWVPPPPPYQKDAVVDL PAFLRHPAITPANLTEPHNPPTAPSAHATSSRPGERSWARINSINSIPPMPAIPRQFT DPGTLSSASPLAGPSNSLRRNNSESSSSRRRPLSDTFSDARFTVSTLQSPSAASVAAS RYWDDADPNRRLTQSPVSDSTTDTLSYRHSIRGPYSNPLALNPPAMRPVAAEGNLRRR GQQLQRSTTSGRSERELREQSPASSQASRQISAPQQYQPQQLSRREGPPTLDLHIPSP TLSTTAFNRHSATFSRESRSDLTPISTRSQVNAPNSLSSILESNQAPGEHGTGYPRSA PPRAENFGILTASWLPVVPGTVAGPSSAAANPSSHPRAMTPGAWHRAPTPPDQPLIIS TPTGVSGAYDPPERNPSMRRSETPVFAPTPRRPQPRTEPGVPGPSIDRIENYYNNTQL GHSTSTASRQAKMHRRRSRIVRRTASRRSVARSVKERRRGKFRHSAGARKRGGPDVAP AARSGTGTGWSGTYDYVFEDWKGKDKKCVVM
SAPIO_CDS3424	MATQPPKNAPKAAIPRTSSANMAAAQSAKPKSASKANSTPRTKT QLHRRSRTGCYTCRLRRKKCDEGNPLCTACKNLGLCCEYKRPMWWSNNEARKQHKDDI KEIIKRKKLAGKSTQTIQTTITTPPGLSHSLPTSATLSDPLDRGRSGSIDSHFSGAFN FNSPPTEYDAFNSTPQLHPSFMFGAPFYPYEIDVKTERQMYVNDVPTLKESTVSTFST IHTPPPPGTVLSSGEWAEQVLEEKTESFTEETINPHFFDFSHGPPMTSRQVAIELDET DQRLLDHFIQSVLPSIFPILDTNQHGSVGSDLVLPALQTNRSYLHCCLGIAAQHLKAT TNIPAEEIDQDIMRHRYATISTLCEALNRDEDHQQILEATLGLIFFQSIVGNLDDGLP DIPWHQHFQAAVSLVQKLDLPTLVADPNEVHAPTPFNMTLTSWIDIIGAAMQGQAPVF AHTFREKHLSSYPSLGLRELMGCDDRVMYLISEIACLEALKRDGMDDMILCQHVHALG DQIGLTEMGESGPKMPFNANGTLSPKQLSKNMTAAFRLAARIYLCSLVPGFNPGQTSC IGLVEKLTGVLQHIPSGPLGFDRSLVWVYLVGGSVSLPGSTFRAFFDDRMAQLADMGN FGAMGRVAALLREVWEHTDAQSKVGTPTSDGGEVVPYVHWREIMQVRGWDFLLL
SAPIO_CDS3425	MTEQRATQMEMRERSSLRDTFFGLKREPQKRPTSVATEFVDTDW DEDDILSDFEEPVDNSPRASLRSTGQGSETTLSSYDEATTPRSSHGRIPEVFVEMEER QVEGPRGPHLFRDSLSTTYSDEHVLTLSPITPSTVKESNNYLRSVDDSDFYSPSKELA NILAAHSTPAGFTSQHLDTQDLASWTPRMVAQSMLNAGVDYSVAQTFVDNDISGAILM TLKFEDLKELQIQSFGVRIKIWNQVQVLRDCKATSPLPPTPIEDIPSRDVCKTPADTL PRRQSTRRRRVKKNKMDDIITPMESVSIVGIEQVIPKPHHCSKGEACSKFRKQRRLIE AFKKEHPLASLENGVLIAGDPGNPETATRLDPGDFRPVSDAVPSVVASSDVMGPGELP PLQYLRLATLRSAQDAEPQNQPDHVRQFLNFQRSQSAGEVPPTPPFEILPQTQAPHQG LRHLPKLSIPGKSALRHVQTAQTAATAQPIIPVCQSPDQVQAQVQETRPASGGGFVPY TMTKASPLAQDLVSPVSNLRRGTPFSEMDVPYMAVPIDPLSRDVSQSVPPDMNYRAPA AAAPAPARAMSRASTRRPSFPVMPAVDENRATPPPLRQGSKTPSPTRRTHQQPLRAPP RVNYPWSPIERTKPFETAIPPFPSVSQAIPVKDAVRSTTLDGTTYQGPMKKRKTHFLR HEWQDGYFTLKGTRLAMHKDAQDIDRTLEYVDIDDYAIACSSYASQSKLSAALKSMHI RQGKSGKPDVAAFAFQLVPPPKQPGRLKKRDSVQAGDLADGVNGTGKTHHFAVKDREE RIDWMRELMLAKALKQKGEGFQISVNGSMI
SAPIO_CDS3426	MFRSRLRIPRVPVVARPAVRVQRSLHTLPELPHSFKDGVPGLLS AEGFDMAWTQYQSLMLEKLNSLTAGTELEQKDTYSTLVATAREPSKAPIFNHASMAHN NHFFFKNLTPNPNPMPSDLRRDLERSFSSIETLRREFIATASAMFGPGFVWLVQTSPS EFSILPTYLAGSPYPAAHWRRQDTDMNTTGQHGTGGPWLKNTQVKGMPQKKDELPPGG VKLTPLLCLNTWEHVWLRDYGIGAGGVGGKKAFAEAWWEAVDWEAVVYSTRLTKSGEG GTVPAKESLLRPRPEETATYGREVEPTPELMEEQGKERPADS
SAPIO_CDS3427	MSPPLEQGPAVVLDLAREAVFALTTVANPTPTDVSIPLATANLL ATAAAVAVATATSIPIAGDDDDTDDDDYTGECRLLGSFALIVQAALGGLAMMSLVYKR WRERPQRPVLIWFFDVSKQVFGSVLVHIANVFMSMLTSGRFTLQTPQPGIGPMERMLL RRDGDGYSPNPCSAYLLNLGIDTTLGIPILIYLLRLFTGLASLTSFGQPPESIQTGNY GSPPNWRWWLKQSLLYFCGLFGMKLVVLVIFVLFPWVSHIGDWALGWTEGNEKLQIFF VMMLFPLIMNALQYYIIDSFIKLQPPREHERVPGEELDDDNDDQRRRRSSAGLYDAEN FETSSDDLSTENDNTYGVRMHRSRSRPSYESDGEADGETLVRGSGSSRGSERGSLLPT SLYPKE
SAPIO_CDS3429	MAPLEQVEEDNISVSVLQELLKTPYACSSLTQLTGGTANFVYRG TLTRPLPAQNGSTAKSVIIKHSADFVAVNRDFPLDVTRCVLEDFPDSGDLKSVFFLPN VGSLLPGSSATTIGHHLGSWLRSFHAWASAPKQARMRASIGQNRPMRELKRMVTYGSF LTVLENFSELLVGCKETLEIVRDVMSKEFEKPPVYEDEDWGIIHGDYWSGNVLLPKTP WQEPGAGTNKLFIIDWELAQYGHRAYDLGQMIGDLYERKIFRDIDIVMPVMQGVMEGY GELSDDTAFRTAIHAGVQLIGWYNRRPPTGPLMAPAEVVVAGLTVGRDLILKGWEKDR KFFERSVLASLFAAK
SAPIO_CDS3430	MSQHQHLEPQEPSPPPAMTGRSLLDLPNEVLHEFPVLGTSVRQA TFNIFRVGVDDMASINKLVEHLGVWLPKGWKTPQPVRRPVRDRDVDFGDGSFQEGFRH GFLVDMFLSSAVNLQQLSLQLSRTTSYGKFMLPSSSLQSLKSLHLAHRVTHRGETAGF NLEAVAGVLKKAPNLDSGMLRDLASSCKQLKAFTFEYIQPQGPDERLYYRPRVVPPGG ISRGLEHCNKTLEYLEIQVGNWEGIKELERYDMITSLKSFTALRTLVIDQTCFLGGDV E
SAPIO_CDS3431	MLRSRLDKARQDARIKSWDPNTQIPDLKGKVFVITGGSGGLGLE TANNLARKGGKVYFTARSKEKAERAKEYVRSKSPDVAEDQLVWIYLDLGDVVSILRAV DEIKASTQRIDVLINNAATLKDIGKMTDAGWELGMAVCHFGHFIFTNGLLPVLKKTAA QDDSDVRIITMSSEAANVYLPENYEFDFTSPSFVSGVLPYEPWTWRWFQKPLFDVNMV LYALSKLANALFAQELQRHLDEQGVPIRSLSLHPGEAGTDGSLSIFAGPFKSLLRQVM LTSDQGSYHTLFAATAGEIRERAEKYKGRYMVPMGEVKAVHPVAEDEEQARKLWETTA AEVDRYLAEIGHGALPEW
SAPIO_CDS3432	MTIADERPKPPSRARGGLQFVHLSNPADAAEFKTLVRSHAARNP RRRKRNVVEHQEKLAKEAAQKKAAVPTSSLSRQRRTTRIPRTGATTADPSLVVTGLST IRIDPFNSFTRPLSRLEYHLLDHFLNSALDLVITCMPFDNASEKASFSQGMTSYLVQT AAADAGMLSTLLLAACQSLANVHHDESFSTLALKYKGQCIASINDALRREGTAVSDLT LTKTLALAADAAKGP
SAPIO_CDS3433	MESIGHELYSRDLGCPRGWYYSHGRCYQRTGWYYWGRWVVAGVI IALTIFLCLIIACVNSRRRRKQGLRPMYGTGWMAPPGKFGGPPPPNQQWAPGYGNPAP PPPPYGQQPQYGASPYGPPPQNGYFGQTEGVQQPPNAYQGGYAPPPGPPPQK
SAPIO_CDS3434	METLQLAQMLADLSSLNATEPPAASSLLNASKKIDTAIEKIEIE QKDQPKQEGRPGMKRAASAISSTGTGGKFDKFNRHLLGSQSPSRPSSAAATCPATPIG PLDIEDDVDKASMLMALHEIRAKLRQQDNTSLMRAREKIDALAARQQAREAAELAKSK DESRKARYHYPR
SAPIO_CDS3435	MSLFRRSFATMTSSGFRTPSKIVCIGRNYADHVQELNNVRPKQP FFFLKPPSSIVLPGAGPVIRPRGVDLHYEVELGVILNKRLRDFDAADEKSALDAIESY LLAIDMTSRNGQNEAKKKGLPWDIAKGFDTFLPLSRVIPKSAIPDPHNIEIFLKVNGE TRQDASTNLMLFQIPRLLSDISKVMTLEPGDIVITGTPAGVGPVVPGDVMRAGLRVDG KELEEAKIEIPVEESTSSYEFSET
SAPIO_CDS3437	MHHAMDHGDMDHGDGGMDHGGGGNDMCSMNMLFTWSTKNLCIVF RQWHIRSTFDLVLSLIAVVAIGAGYEAIRAFSRKYELAVTKRVELAPRQNQPEINRRA HIIKAVLYGIQNFYAFMLMLIFMTYNGWVMIAVSVGAFVGYLLFGNTTSSTKDTACH
SAPIO_CDS3438	MIQAGLPSDLTNSVTSSEPAPAADSTSDPTSALSAPADAASTSA SPHILEAEVQSLAGAVRNACSSSGPDLSVDTNFWDVEMMAPPNATSLPFHSFLPDPPN SFLPSAPKSPKKAMIPTFNWTKLTRLLPLLPSAKAAIARLRAYTPPSFPLWDQLPASR RAAVLILLYADRRGDLRVVLTMRAASLRSFSGHAAFPGGKADSVDETPYQIARREACE EIGLPMDDSRIPAPFKIEFLCHLPHSLARNGLAVRPCVALLHNPLTPATTNSSSNSNS TNSSSSHPHSPPDSMMPRLDAKEVAAVFSAPFGNFLRATDAPVHPGSTPLPAGHWYDG NWISWKDEPWRVHNFYVPVNNQRVVKPDNEDVATPIPDPLENGNGTPAQGEKIEEVAE RYRVWGMTAKILVEAASLAYARRPEFEHNEIWGDEKIILLANEEGQFFDRASTKIKVT GDVAGAGAGAATTRTNEPMTPTDEAVEAAKRAEPAKI
SAPIO_CDS3440	MSDDHDIHELDSFSLQFPLPYRVGFIIAAGIWGWGINLHLLHRY KIDVPALIRYPPRTSTNQAPHHVSAYRLAALITFFFCFSLVTFWIFTGGSAAHVVSYD WLPVSFLASLCVLFLAPLRNFIHGGRSRFLATLRRISLGGLAETKDGKFGDIILADAL TSYAKVIADLYVVVCMFFTPGSSATGPPNRTCGGNLVPLIVAIPSVIRLRQCLIEYLR VRKSPFNPAVGWGGQHLANALKYFTAFPVIIFGTLMSRLPPDQPDYSLNRAWVIASLV QSLYSFYWDVAKDWDLTLFSSAKERLSAEYPAGLRWRTVFEPWTYYSVIALDLVLRLT WIFRLGPGLTRLPDAEKTVFILHFLEVFRRWIWIFFRVETEWVRSTSTGLGLDDILLG DYDGKHEDED
SAPIO_CDS3442	MVSFSCESCGDVLTKKKLDQHRNRCHGATYTCIDCMVYFPGTTY RSHTSCISEEQKYQGALYKNKKTKTAPPPPPAAEPVEFTEAHNQPNMSHKPYVEDVPD DVSEDYGDYEDNSDDDHKSPVELLPEAPTPPPVPEVVNVFDFLVASNTPNASTVNLAH AGAYNEETQLVRYDYDANGYGDGNACTFEGDELIQYGTGPVPTSYQTPAPKVSRKKSK EGTDVKTDKKRKRLHIETDQVMTDAPPVLHSDLTGGMNRLMRPVFPPSPDYSGGDAGP LSPIKKSKHSKHSKGSRQDNASIGNNLLALMATGPKTKTKKKKASKKTRARTEKAAKL LEYRPNSRDSKGEAGNEGQMVVFKPRAELFLSFITKGPESERGCSVNKVLKRYHRERA STGESLPKPAVEKELWRCLRMRRNERGEIVLFAIE
SAPIO_CDS3443	MPVNNTPPSSSSNSYLEQTASVLTTVATYMRLPALASTGIAAVL TSLLYFKQRALIYPSHMPPNARVEVPRPSQFGISDFEELVIPTNDGEKLSAFYIRGSR GGRNSNITVLMFHGNAGNIGHRLPIARMIINYIGCNVFMLEYRGYGLSTGEADESGLH IDAQTALDYLRNRAETSDHKLVIYGQSLGGAVGIRLVAKNQHRGDIAGLVLENTFLSM RKLIPSVIPMAKYVTLLCHQVWPSDTMIPHINKVPILFLSGLQDEIVPPAHMRQLYEL SKAPWKTWRPLPGGDHNTSVLEEGYFEAITDFVNDACSEHPAHEKRSL
SAPIO_CDS3444	MHLRQADVEESTLLVRGRSMWSPVWQEAQVRLTHAAKLATVKGN AKMSIFPDPTAPSPVGRFANRATIRARIPAIRLSHAKRLPCDDECARLKRNAALAEAL RISPEHGDSHIPYSDTTLDLYKETPSWAVMQDREFRAFAADETRKVHRFKPMAANQRA FLHSLAADYGLDSESQDSPPHRSVVLYKTPRFVSAPLKSLSQALSLRASQAVEASRAA AAAAVELLGEPFNAFVLASPKFGLTVEDVEEGLKNDFALQPTVAFVVAFLPSDEVVIR GASRSFAHAVSATALENILKSMKPAISKTVTSQELAQSVALCHADASMDITRRERGAN SSAGGWNTVVSRSMQKAAAGGGPSSLVREVQEEEPKPGRVTLGLKKKKKTPEPEPEPV DDWLEAAEKLED
SAPIO_CDS3445	MVEGDPQPAPRQSILDAVAHIGEEDAEPPPRPPQPHRLSREMAN MAKAGIQSNLNLRPRLEAAVVREEEEEDEVEVDDDPQDREPFVVERPSPARGGPSVAT 
SAPIO_CDS3446	MSNFQAVVSLVFTTSLTLVGASIQGRDLTPPATIPGGWVYQGCS REIPGRALNGANTADPQMTNEACAAYCESQGFAYAGTQWYTECYCGNSLPSTSTEAPA TDCNTPCGGDASQPCGGGDRLTVFHNPTIQSPETNPGVNGFESIGCYSEGTTGRALTH GVGSIPYNSMTVALCTQACQTAGFTLAGLEYGGECFCGNSIQNGGAPAADGCNMVCTG LPLEFCGGPNRLNVYSSNGPLPTGTVSVEASSATTSDMPVATPSHLPAVGDFSFYQCM TEGTGVRALTGAFLGGSSMTVETCATFCSSYDFFGLEYAQECFCGNSFSTGSVAAPIT DCNMLCLGDSSQYCGAGNRLSVYARNGTSIPSGTQTTLSSTTTSSVPVPTGFPEGWED RGCWVDGANGRILQYQAPDDPNMTLQSCVATCVGLGYAVAGAEYHRQCFCGNYIGNGG VLATDQATCNTPCDGDSSQMCGGPMRMTIYSNADLESLGPPAPQTTGLNGTWEYVGCA VDNLNNQRTFPWQLYFPGTLDANQCLDKCAEFGYMAGGLEYGEECYCGDPRDFYDSGS SFVDDSECNIVCAGNPKHICGGGSRITTYFWSGDPLYEFSYPQTPDEAGTYEFLIGGV VIPLLTMQSITGKVTFLEKAGTGPPNSTGAYELDLAAIDNFALAWKEMHVRTDIFCSA GVILPDVAGRQLNVGGWSGESTEGVRLYIPDGSPRVQGPNDWEEDVDVLKLQDGRWYP TAMTMANGSILIVGGEEGSNGAAVPTLEILPYTGTPPLYMDWLERTDPNNLYPFLFVL PSGGIFVSYWNEARILDENTFATIRTLPDIPAAINDRYGGRTYPLEGTAVLLPQHAPY TDNLGVLICGGSTEGVSNALDNCVSIEPDAAEPEWILERMPSPRVMPCMAPLPDGTYM IMNGAKHGVAGFGLATDPNLNALLYDPKKPVGKRITVMANTTVPRLYHSESITLLDGR VLVSGSDPQDGVHNQEYRVEVFVPPYLHKGLPRPTFTLADIDWAYGETVTFTLGSAAQ NGAIEVSLLGSVSSTHGNSMGSRTIFPQVSCSGTSCTVVAPPNAYVCPPGWYQFFVLD GGIPAVGVYVRIGGDPAFLGEWPQGEQFTRPGMEFPA
SAPIO_CDS3447	MNAHLGSGGLTQGRQSLNQNQQSLESDGAADHTFISSSSSSEGV VMNQSIELGGGLGEAVATSSSQDLFGHHLHVPSLNIDFPGQNLMNNDQVNQQHLQGGQ NHRGGQRAYQGHEYYYNTRALYPTLESLGNSSTATQHSNATSSTSQQPRTAMVAGQQR RPFGVAGRIGLPVLFHPVSIGEASAAFVTFPDPAVLAVLDLRDAELRPVSGGSNLRGI SGRSQASRVHRAGGRVAKPTARSTRVDSRGSPIRQDEVNQAHNRESATLGGRQPVYSH PLGPPQWNWGLALTNAGKENVAPGEGEAGSHQSMGPSNGNSQHGGRSVLQVEQTATQC PDSQSEGEVDGRESLDSQTSSRIVNLSEDESSVVRRSAEARILSPGPFSALGVEGGIA GGESLDSQTSSRIVNLSDGESSVIERSAETQILSPSPLSAMRAAQLANIAGMVDQAKL GTPGQTQQPEDNAPEIQHEQQTLGAAESRAIGSTDAGSSRTSIGSPLAGISGEKHEEL ADDKSDNDGTREGNSTVIHHPQPMPHVNASASGGQRGPDNGLQVTQSAEVPQIDSPEE VSTIKSRLKKRFLRFKNKVRGIRLLPKKS
SAPIO_CDS3449	MALDGRRSVSPESSGRDSPIPRQWRNQLGADDTPAKKDKHYRKY ASAVERALSLFETTLQEWADYISFLNRLLKALQSRPSSVNAIPSKALVAKRLAQCLNP SLPSGVHQKSLEVYNHIFSVIGQDGLSQDLPLYLPGLATTLSFASLSVRAPYLDLLET YFLGLHPKSLRPAMKSIVLALLPGLEEETSEEFDRTLKLMDSFKSAIRPPGSEDISSS HSTGDAFFWQCFFLASITGQTRRLGALAYLTRNLPKLAEEIGTEAKNKAESDTSKQLA RIVTSPEPGLLIRCFAAGLGDEQLLIQRGYLDLLVTHLPLYSDVLQSKAKPADLQLLL RAAAGVVTRRDMSLNRRLWAWLLGPEPQHGDAEATIESPSADNQYLTSKTSYFEEYGL QPLTQALLTMINTSQTQTPAERARPYRICLSLMDRWEIGGLVVPEVFLPIVDSVRSYK SQAVSKADFHEVLRSASVFFDGVESGLIYGEILRLLTEAIGPGNATTALRIDKLNLVN FIISSFNVREEEMVTIHAPLTVLSILCMLINAKDRGELRDSQSDQLFETALHVSASLL DLVPRRAFPYGPGRQAGRKTQPEALASLPDTEILKKISAFYVEEQGAVDSSPPPLHGA EVAELLLQKSCQLSCEALSLPEESHDLTVRVRVLILLLLKVPEEYEWDVDVILASIRK GLDKPAPLPFTAFSSILALSTHLYSSDRISTPRLSELVVPLVRHAWVYLSASEPKYHV ETVRCLWQLQTALTPQNRDIEAAISGLMIEKDIGGTFAIRPSEPGRSFSVLWSHTLQD SPSHMERRGSKTPITDHKLHAMPRLAGLDHYDVMLTQPLFLMLDALLDERTQLFMAAK AWLNSMVGIERLVSDDPMSSFVRVTEDDDLDVSGADGEVPMQGLLAQVCMRCIALRAG PENASLAVQTSQLTRIALTVLHQILLNPYASRLSLPHLEEVLIDRLIHSLNDHDPYIQ ILLLDVVYASLKLQAGPLPDQPTSPTSEKRPTVLDPNKRVPLPVVTEQLPRTKPPPPS LLRCLQAGLSSPNSQPVLESWVGFLTECLSMYSDAIFQILIPLVETLCGQIDGSFRAL QATFREGQSSARPESSGPESTLISLLNGLEEVLASAHARLLIEEARTQAVKTPDQQQG FFGNMVSGVFASDSHQSRSATANDRLTVHLAFQDAIRMCFTIWHWGQGDEARLLDPSS VASFNYTSLRMRNRARRLLEHLFAAETLECLETLVDIWCKSYNEAKSQAAEVFKIFAA LDVSRPKNTIPAIFNAIYSRTNPSALEPARMSTLTIDLQDTDLVIFLVDYTRSLDDDA MDEIWTDCITFLKDLLANPFPHRQTLPSLLEFAAILGEKVDNTNFGEQRKMRRELGDL FLKLLTALFTTRPITFTEPSLGSLSEKPKGDVSAHKTPADRADDVVGTLSTIVPNLPK ILVESDRVLSAATTISTHVIGPTIRSRGFPDTVSKSTLDLLHELSRLPNNQKTSRKDI GDAFNDPKFFASDLQLVQSDWLPLLKQWVVGDKERVPEIIGRISPPTTAGIVFGVGAT SARLEADRKTQLNLRRIATLILASAEDAFVSDLTAISDKLTELLGATATSSPSSTTRA EIYMVVRALVLKTGAIHLAMLWPVINAELHAAISSVVAPDHSTASETYMNASILQACK LLDLLICVAPDDFQLHEWLFVTDTIDAVYRSENYQPVALVDELSEELGSSASFSSGLH TESAAAQVATSSHQRRPLLGAGGINDDVSLERKDELVAKVLRPFFGQLSIFAFETTYA MGALDRERCVKGLLKDLFDEKTIVKAL
SAPIO_CDS3450	MGDNQSSNDQRKDLEKYTMIPATELPLTENCIHYEQKQEVPWDL LKYWSQRYTLFEAYDSGIYLTDDAWFGVTPEPVAQQIAYEVARVSPSKTTIIDLFAGV GGNAIQFALSERWDRVIAVERDGDTLACAQNNAKIYEITNEITWVHADSFEYLKLALE QPNKLHETLRIDPAATVLFASPPWGGPGYRTDDIFNLHEMEPYNLKRLHEAYKSLDYA LYLPRTSDLRQIARLVPDGKKIEVVQYCIEGASKALIAWIPAEGSPHETFYYYDE
SAPIO_CDS3451	MFNALNRFISRLDGDAPASQHSRAGDYGFQVLRNTNLELAIEPW YDFVVGINGRPLDNPSPHLFSQEVRNCAGGTVTLGLWNAKGQRTRELHIPVPHDTASL GLSLQWTPLSVAANIWHVLDVAGNSPADQAGLLPYSDYILGSPEGILHGESGLSELVE DHIARPLRLYVYNNEYDVTREVTIQPSRDWGGEGALGCVLGYGALHRLPAPLSEPVSA PGETMFDGDKASGGDGLFVPAIASAAAAAAPPLGPPPTGGNFLVPADITSAPPSGAPP RGKKKERHHRDPTKLMDDYLREEEQKSRELDRPSGRSTPLASLPPPPKGGPPRADAKR ESASPEPGNAS
SAPIO_CDS3452	MAPKTKKESTPAPSGAPSSSTSNDSWIATTVVSHYIKNTSQQTK LIDAFMAYLVVVGGIQFLYCVLAGNYPFNSFLSGFSATVGQFVLTASLRSQTNASNGK KSTTVSPERAFADYIFCSLILHFFAVNYMN
SAPIO_CDS3453	MASNGLDSTSFILAALTASGGIAGFARTGSVPSIVAGCSVGLLY GLGGYRIHSRQPYGLELSLLASVVLGGSAIPRAIRLRKPVPVILSVIATFGMVTFGRA LYQRA
SAPIO_CDS3454	MHSHLDKPENLPCIEVINALEECHARGFLYKSVGMCNDAKTKVN ECLWIQRQKRAANNRAIARARRDKIKQAEQELGL
SAPIO_CDS3455	MSSQTVSAKKQQGSQYNNYKNSLQQIAQKIGEIEQESEEHKLVL DTLTPLDGQRKCFRLINGVLVEKTVEDVIPLLKTNAEGLKKVLEDLVKTYKAKEGELE KWKKKNNIQVVQTA
SAPIO_CDS3456	MSDSPQSAPKDVDQGVQSPDGEEQMNETQDPQSAGLTYEFEARN LIPTYGLMSDDSSSKTPEALRVSKTEKTDDGFDANIRNFAPVARIMKNALPDNAKIAK EAKECMQECVSEFISFITSEVEEEVANKDAASEKCHQEKRKTVNGEDILFAMTSLGFE NYAEALKIYLAKYRDQSQSNRTDNQQRPNSQGYGASASGQGGSASGYQGDPNALLAGQ QGEGADAGFLYGAQPGHNGAGTEGPY
SAPIO_CDS3458	MAKAKKAKGGDSKEAKKARTAEKKQKQAAKADKKAKTKAAKIDG DDAEDVDLDEILEEYKRQQEQFLKITESVQEGPPKPRAHSTLLASPCDSNNLLLFGGE YFNGTRAEFFNDLHIYNIAKDEWRCVTSPNAPLPRSGHAWCRAGNPNHVYLFGGEFSS PKQGTFHHYSDFWRLEPATREWTRIEVKGSSPPARSGHRMTYWKQYIILFGGFQDTSN QTKYLADLWIFDTQHFVWYAPVLPPAQLKPDARSSFTLLPHDQGAVLYGGYSRVKTTV AANKQAKGSAKGQRNIVKPMVHQDCFFLRMSPPPENSPAKTPPVVRWERRKRPANAPN PVRAGATMAFHKGRGIMFGGVHDVEMSEEDIDSEFFNALYAWNIERNRFFPLTLRKPR AQKKATVSEQRVGRRGRAQANEEELLRQLAALETGKSLEDLDSMELDMKPEAKVDDDA PVKEMPVLMEPPHPRFNAQLAVQEDVLYIYGGTFEKGDREFTFDDLYTIDLVKLSGCK QIFAIEHEDWVESEDEEEDEDEDDDEEDEEDEEGDVEMGDEPATPLFTPSKRKKKQQE SESGSTADTQSVAGTESTDWDEAETNTTVDDGLPHPRPFESRREFFARTTNEWQEVLI GSLRAKGQQPETLSVKELKTKAFELSEEKWWDCREEITALEEEQEAAGITEVAPLGDD ILEDDEQHLMPALV
SAPIO_CDS3459	MNPRILAASRALRAGFTARGFQTSSRLSSVAAALPASKPVGAFR SGILGFFAGTTIAGFAAYSYVRQEFKTANDLLLEDLYVRLAI
SAPIO_CDS3460	MHLKQFFGVLATTVALVAAEESDVTALTGETFDEFIKANELVLA EFYAPWCGHCKALAPEYEEAATSLKEKGIKLVKVDCTEHSELCSKHGVEGYPTLKVFR GPDSITPYGGQRKAASITSYMIKQSMPAVSSVTKENLEEFKTADKVVLVGYYAADDKT SAEVYSGVAEKLRDTYVFGASNDAALAEQEGVVPPAIVLYKQFDEGVTTYTGDKFDAE AIEKFAKTGATPLVGEVGPETYAGYMAAGIPLAYIFAETAQEREELGEALKPVAKKYR GVINFATIDAKAFGAHAGNLNLKTDKFPSFAIQETTKNQKFPFDQDKEITHDSIAAFV ADFAEGKLEPSIKSEPIPETNDEPVTVVVAKSYEQIVLDDTKDVLIEFYAPWCGHCKA LAPKYEELGGLYANSEFKDKVVIAKVDATANDVPDEIQGFPTIKLYPAGAKDSPVTYS GSRTVEDLIKFIKENGKYKADVSAEKETPAEEKADEKKADEAKEEPEAKTDEEKAGHD ELHLLDAMDNFGVIEIASSRTTAAPGWAYVPDLATNPTAALQPTGRKRAAARGQGLSF SDQTAREEARVRKELEALDKEGSRDVVIPVPAKGRAGQGKSTPNVRKILQSMKTFANH LDDFEAMQALAENNPSSNLFATVREQPSKSSSSRRKSAAQPKSTSSSAKGTPKPQPDI IMTESLPPVLTPLASPTPTPEDVEMVEGDGKKEEPAKPQQLQINGVRDAESLLAARVP ETPSDAELRALLAVPPLTYKQARAGLTEEDARPSPTTGQTNMSLGFQRLNVKKAPPNP HIDFIKPLPGRDEAIALDFLERIAAQCVPIMKKNYINVRSLEEYEPNREFVGRNFNAG EVIQLVLKCTRTGKWLPFNYVQMVMMHELAHCKQMNHSRAFWAVRNQYARDMEGLWSK GYVGDGLWGRGQQLSSGQFDRPTILTGEDLPEHICGGTFQTRSRGRKRRAAATTLTWK ERKEKRILKKFGANGVTLGSGDDDARVKLENGKRSKAKPRVAQSQRGRELRAAAALAR LESSAKIQQSKETEVITIDSDDSDYEVIDLTDTPDAVDINGSRLLDKNGVGMVKVCGG DEQENSDEVSNEFRELMHSFGTKAAARAPPEPPRIKGETKSTKSQPTVAEMFKRQRIK REQSRERKAHIPIIPLSDE
SAPIO_CDS3461	MAIIKIHARSVYDSRGNPTVEVDVVTEKGLHRAIVPSGASTGQH EACELRDGDKSKWLGKGVTKAVENVNTVIGPALIKEGIDVKDQSKIDEFLINLDGTPN KTKLGANAILGVSLAVAKAGAAEKGVPLYVHVSDLAGTKKPYVLPVPFMNVLNGGSHA GGRLAMQEFMIVPDEAPTFSEAMRQGSEVYHALKSLAKKKYGQSAGNVGDEGGVAPDI QTAEEALDLISEAIAQAGYTGQIKIAMDVASSEFYKPEEKKYDLDFKNPESDPSKWLT YEQLAQLYTDLSKKYPIVSIEDPFAEDDWEAWSYFYKTTDLQIVADDLTVTNPLRIKK AIELKASNGLLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTIADIVVGI RAGEIKTGAPCRSERLAKLNQLLRIEEELGDSAVYAGKNFRNSVNL
SAPIO_CDS3462	MAASEDLINFDVIEGQKENIQALPSGRSAKKLAELFSPSPLQKI PTPSDQRTIHDRIRAEYEEEIANLAEADDPLDVFDRYVRWTVDAFPSAQATPQSQLHT LLERATKAFVNSPQYKNDPRYLRLWLLYIQLFSDAPRETFMYLSRHAIAETLASYYEA YAGWLEAAGRWNQAEEVYKMGIEREARPVQRLLRKFKEFEERRAQTPSADDAPSSPAL PAVRPALAAKVDPFSSASRPLDPQAPRPNPGLGTSARPGRAKLAVFSDADAAPAALSS RGAGSKGWESIGSLAERRKENTMEPKPWAGETLKAGGSKKRGPKLEVFKDKSLLSQIS HFVVLSSEYQVTMNPNTGKKERIFVDLATLYPTPNEAGTELSFEEIWAMNKGWLDQSW EDEPVMDENVLFDDENSPHVFGGHASRVDTISRGVEKLVIHRDVGVYDENGAVMEKPR GSKAKKIKVMEVNETQIIKAKLDSPSRPKLKKRAAAEPTMTLHTKAATDDIYDIFNQP LPNKPESEAGDSDDDYETDGDYTSGAESTGTTRHIGDEFTEAGYITGDVTEDVTTDVT GDVDASTGDETSDVKSVSEWSDFSTRRHIPNLDEDLTGEHANEEDDDVSDLIDTSDPD PSGPISERTGEDVEDEEEEEELGDGLVTPVEDHSPPIATRTTFIPIPPEDYEPRTRPY RDPAEVANNRLPFMTPITERTESSLDIPSRDEHCKTPCRRNEVGALDDALDSSGESDF SSPLIDLDENHSTPSKTPPASSLKQHLAAAAAKVKTEKAAPRKGPIIKDPQCNPVDDS IRLEILANIQPPLSSYAGFHDHQNGKYEKGSEIRRFARTMAKANKNSGEKTTPVSGPV IHLPDIKSEYTIKKELGAGAFAPVYLVENSAPNEGEGGEEGADGHVEMGKGAFALSHR ESQEALKMEMPPSAWEFYMTRLAHTRLGVQDRAAASLTYAHEMHLFQDEGFLVLPYHP HGTLLDIVNYFRTEPSGVMDEQLAMFFTIELLRTVEALHGKSVLHGDLKPDNCLLRLD TTTLTTSSSSSSPSSPSTPPPPLSSDYRPDGSQGWSARGITLIDFGRGIDMRAFTPSV SFIADWKTTAQDCAEMREGRPWTWQIDYHGLANIVHCLLFGRYIETMRADAGGLGTAR KYKIRESLKRYWQVELWNRCFDMLLNPASHAGGEDGARMPLLKGMRGVRTDMERWLVG NCERGVGLKALVGKVEAYAKSRK
SAPIO_CDS3463	MCPTTRKRVVTRQDNKATNKSTAKGATASKRKVEDSEEEEYESD EYGNGSSEEEDNKTTKRRKVAAKPAATATKTKAKRALKKKNGEDNTPLAARTAVTSLK KAMYIGAHVSAAGGVQNSIQNALHIGANAFALFLKSQRKWNNPPLAADVAKQYRQLAS SNSYDSTLHVLPHGSYLVNLAQTDADKAAQAYTSFVDDLKRCDELGIRLYNFHPGNCL GGDKAEACARIAERLNKAHAETKQVVTVLENMAGGGNVVGGRFEELRDIIEGVKDKKR VAVCLDTCHAFAAGYDLRTPEAYKKTMEEFDEVVGLKYLKAFHINDSKAPLGSNRDLH ANIGTGFLGLGAFHNLVNDETFAGTPMILETPIERKGPDGKTFEDKQVWADEIKLLEW LVGADRESEEFKEKEAKLWKQGEGERAKLQDQVDRKAAKDAKKGVKKGKGRGRKKVKE ETDDDESE
SAPIO_CDS3464	MDDKTPNRKASATDFLSPPSDDVSTWSMEQDEILEADTATTATD VSRSRDTSYATSETTETTIDANDERQTDLMKMSRKEIDEGYYPPLDGAKDQNNDRNGW SRGGIRFAPLRVPLVRRLQTLAVLFHCTSIVFFPALFFFLCAMPLLWPLVIPYLIHLS ISTAASDGNLKYRSEHLRSLPMWRLFAGYFSIKLHKTHELPADRKYIFGYHPHGIISH GAWAAFTTNVLGFGEKFPGITNTLVTLESNFRIPMYRDWLLAMGLRSVSKESIWNLLA RGGSDGQGTGRAVTIVIGGARESLEAEPGTLRLILKARKGFVKMALRTGADLVPVLAF GENALYDQLSPRTHPMVHKFQMFCLKVFKFTLPALHGRGVLNYDIGLMPYRRPVNVVV GRPIPVKKSATPDQAEIDRIHGLYVAELQRIWEAYKDDFARDREAELEIIG
SAPIO_CDS3465	MASDQGPVVTEASLQPSQPPPATATAPAPQSSITDVPEPGANAN PLKRSRDDTLPSPTPAVEEPSYKTARFTLRSTSPIQLTAAAAAFEAERRRREEDLGHD QTSAIDPSVHSAIEKLVQNVGILRQGATQPSVPAAPTAVMDPTAKLDTEPITVLEQPP AASELPTSTLNSTSLAAAAASILDATQAGETSIADAQVTESPTPMDIDPKLEEAHVAQ QENRAPPTSLSYPGPQPTPTPMPASLSRTLSYPLTTASDQGSPTSPETGTKRHKCPFC DTVFTRHHNLKSHLLTHSQEKPYECEKCKMRFRRLHDLKRHSKLHTGEKPHVCPKCDR KFARGDALARHSKGVGGCAGRRSSMGSFAEDDMANSSLVDGDVSQLSVYTRYATEAAA IEEARRRQSLPVPKAPQATVAAHTSPEAAIMHLRDYQPGPQRASSGLFAPASERNSSP QPLQQPPPQSYVQHESDRRTPPIATTSTGAIAKANSTPDGRYVTSQNGPGTPQSGSAR ASNGTQAIENANMFAGDVYGLWTYIQSLEERISQMEKTDHEKQVQITTLTNDVAELRR ELEARHTADPVVEKQQIGAAGADARSIPI
SAPIO_CDS3466	MKSLIVLALAASMAAEVVAQPHDHRGAHRHLKRRAAGSKVRRAP DVVTEWVASATETVYELDGKKIPKDEAAQGLDDGLFVVIGETTPKIEPEQGAQFYEKK PETTSTPEPEPTPEPEPTPEPAPSPKPAKAASSGGTGLSAKFPSGTIPCSQFPSDYGA IPISYLGTGGWAGIQRCPNYKPGDSSISYIETAVNGDGCTSGSFCSYACPPGYQKTQW PSAQGSTLQSIGGLYCNSDGFLELTRDGHDTLCEAGAGGVFIQNDLGQVVSTCRTDYP GTESMVIPTVLNPGEKQPLTNPYSPNYYIWDGKPTTAQYYVNKKGVSVEDACLWDSSS NHDERGNWAPVNIGVGKSADGITYLSIFPNTPTTSAKLDFNIKITGDVSIECELSNGA YTNGNPSGCTTAVRDGGVAVIRYY
SAPIO_CDS3467	MAMNRVASIDAVVAAGADVFGPRPDAERPQRVRTLSFNPFPPDW DFSFGASPRALEGVAAYEVSRWKRIFQIVATLLYSLLAAGIIFGYAALKPVLRQEGAY KDTCSAKHPVDADVDICVEMRLNMMFTVAAVASNVATLPMGAILDSFGPRASAIVGSF LLAVGSLLMAYAKDIIAFDGLLVGYLLLALGGPGVFISSFQLSNAFPSRSGLILSLIT GSFDASSALFYIYRIIYEKTAGTLTLQKFFLGYLTVPAAIILLHLLVLPAHPYKSLGE LVSMAEEYDSDGGYFSDGSVSPHSEEGHQTPLLREPDGRRYASIATEVENLLGSAQGD VLVRREERRNDVSGIWGAMHGATALQQIRSPWFILISLFTIVQMTRINYFIATIRPQY ESILDSVEKAIRLNHFFDAALPIGGLLSVPLVGLLLDNVSTVSVLSTLVAGATIIGAL GVIPRLWAAYANVALFVLYRPLYYTAVSDYAAKVFGLRTFGTVYGVLITLTGPFNLSQ YVLDYLFHVKFDGDPVPVDIMLLSLAAGIGLVLVGYVWWEGKGVRRRLLEREAEAAFE RPSA
SAPIO_CDS3470	MSQGVKSSVPTHLKPSPLGGNGGLDADNLFQRKHGKTRSHMAFE HASTNVAAAQMRNALTGLAETVKDPHEKKLFETEMDNFFSLFRRYLNDKAQGNAVDWD RINPPAQGQVVEYEDLANSDAVQFLNKLAVLKLNGGLGTSMGCVGPKSVIEVRDGMSF LDLSVRQIEFLNETYGVNVPFLLMNSFNTNDDTENIIKKYDGHGVEIIPFNQSRYPRI YKDSLLPVPKDFNSSISDWYPPGHGDVFESLYNSGVLDQLLDRGIEIIFLSNVDNLGA VVDLRILQHMVETEAEYIMELTNKTKADVKGGTIIDYEGSVRLLEIAQVPKEHVNEFK SIKKFRYFNTNNIWLNLKAIKRVVENDELELEIIPNGKTIQKGDSDVSILQLETAVGA AIRHFRNAHGVNVPRRRFLPVKTCSDLMLVKSDLYTVKHGQLQQNAARFGDAPLIKLG PHFKKVSDFQKRIPSIPKIIELDHLTITGAVNLARGVTLKGTVIIVANEGSTIDIPPG SILENVVVQGSLRLLEH
SAPIO_CDS3471	MADGTKNLPYWQIGVPEEERTEECPEYLRNMKVRDLEILNIPDS EFSIMAWEEVLTEVAANRIDYLRRLPSDLRRYHAFTWKLKQDYGSVTNFLLEKRLGWS LPLEPRGAPFECSGDIKILYNDWPYGVDPRIVHLLVWTKFPLREDPETGDLTAEERVA IDEYVNKTFRTKVPADQVLWFRNWNSLKSVRTVEHFHVMLFDPDMEFVKGITNGDIPY SRRIMANVEIPNDSHPAVA
SAPIO_CDS3472	MPPTLTSTWAVKAKAGEPHALIRIRNLQSTARIGVDAWGRPNKS QPILVSVEVSLAQPFAESSSTDKVETDTVHYGRLSKAVLATLREVDTAAAAGDGAAVS LRRLLDIIWWELASVGVDGSPAPGAVDVEKAFLNLSGVRYLSVTLHLPKATLSGGGVS LTGTSLFEMGPLGPEVDVYGVCLQLHKLQVPTLIGINTNEREAKQLVIADIKIDKFIE TTDVYPALEKAIHKAGHLHRPPSNPARVNMSNSSFGTLEALAVDLVSSINATRSDAPR EPSLPDAWQVKIQLEKPVAVPLAEGAGIEFLSNSSAQS
SAPIO_CDS3474	MEPPPSLRTILALGVLIFLPLVNSWPTSDRTPDLHKTTLADDVS FLLYDPWPARVGLEPHYGGQRTLWLRKRECLENGSNYCFAEKDGFCPDCGGTTVTSTK TVQTTLTHTEVEVVTVHLQVEETLTVNSIVTTTITSDQGTQTEVTYVTTTLVVKRHVP ALTPDAESATPTRVKARSDLPSGALARTFVVLWRGLIFGGNLHPLLDDSLPISPTEAR HCTLRSASKLQRRQNDGSTSTSTVIVTTTEVSRTTVKDSKTTTNLETTTITTTIYYTS TRVVGARETVSSTSTVTVSSKQPNTRTITSTSTFLVDPTEMPQTGNTSPTDAPNSQTA AEDSKSKRLPTGTIVGIGVGSGIAALAIGILVFLLWRRSKNKKQAQGGFPPDFILAPA PAPIPPSPRKRSGTYPSSTPWARPANSSPPSTRGHNRSISEATTQVPSSHVSVELHGD SAPRGGWLPSRAFDPPPEQTERPQMRTIPHPSTSTVGRMTRFAELDT
SAPIO_CDS3475	MFFLYNMERRVTLHPSYFGRNMKELVTTKLVQDVEGTCAGDYYI IVIMDAFDISEGRVLPGTGLAEFTVGYRAVVWRPFKGETVDAVVVSVNHQGFFANAGP LKLFVSAHLIPNEIKWDPNATPPQFTNNEDMVIEKGTQVRVKIIGIRSEVGEMWAIGS IKEDYLGYCSASTSRSTQALPPMVSN
SAPIO_CDS3476	MSLDLEKQLVFYGAYHHNGVNVAIHMICVPLILFSAFALAANTG PLVNLPEWLSVPYLDLNLGTIASFLWGGLYVLLEPVAGTVLGAICVGGAAGVNYLRVT EPDSINKVALTVHIVCWLLQFLGHGAFEGRAPALLDNLIQAIFLAPLFVWLEFLFMLG YRPELQARVDKAVQKEIAKFKAQKESNSVKKAQ
SAPIO_CDS3478	MFTSIRRAWTRWRKRKKKRKNKNRASSQTEDRGNASNAHNPERQ RQEPERASLPTQQDAPHPIESNPPPPSNAPYDSTGAALPQHQHLVDPASIPIYHPSTQ TIEMYQPPQADAGVGSQTNHHPTTIPALPYHPYVGSGPHSSGHYDPRYYDPIIGSALP YQPYTTAGATAGAYSDEYYDPITASALPYQQYGGSGAASGSTLPYQPSAGVGFFPDAD YGSVPGPAFPPPPYPGDGGAYFDFGDGPWLPAEGRTAEFQERPPSYHRPAQPDRNSGP YWGDDMFNGRTSRIQELTPDDPEWDSSDVVSQGTTLVGELSPARGVTQALIPSQPRNL QPERVSNLDEALRSPDHGSSSANPASQVNAQQRGAASHGRLLASARGDSVRGDESRRH QVPNFSRPLNRGGREEAAGYALG
SAPIO_CDS3480	MPRSTLGKRTRSGVEKEAVIDPPRKRTRRSTAKYDVDDVENDEN YDPRNTQDDSEDEDAYQPSPTKRMVRTKASPSKPVPVTPQTPRFRDALGRSPATPRHV VMSAGKLFRRSTPHTPLSPSHAPTVYHTARQLFARGADAGQLVGREDERAQLEAFMRR LNSSNPHGCLYVSGPPGTGKSAMVQDTTKKVANTTEVTYTYINCMSIKSSKDLYATLL DSLDQDKTATTEADAMKCLEKMFIQKRKKSTQTYLVVLDEIDHILTMGLESLYRMFEW SLLKQSRLALIGIANALDLTDRFLPRLKAKNLKPDLLPFLPYTAPQIRNIILTRLKSV IAADSTQPDYVPFIHPAAIELCSRKVSNQTGDLRRAFEICRRALDMIEAETKYKFELE ARETMLQMTPSRKVLGETCNESSPRQGGRPQSVSQLVASSFKALSAETAPRASISHLN KVTSAAFSNGTNQRLKTLNLQQKAALCALVAFEKRNRANQTTTNLPTPSKSATLAPTV KMLFDAYCRLCKSDSVLHPLSSSEFREVVGSLETLGLITDVDGKKGGLVINQTPSRRG RWNGLANTGEEKRVASCVGEEEVEQATDGIGAGILKIILNGDALEDDY
SAPIO_CDS3481	MSPSILGRLNCQQSPRQTPRRILSFPHQSTSNLPYPGERPPSLG SYDIKFSLPSALCFLRAPVEFPILALINHRRPSPSALPTTLANPFIRSGMGPFSRSSP EDNSSSSSRSLFNRFTIPLRSAVKRNSEDFFHIRADEPHRTYSSGDHVHGAVILVVTK PFRITHLVVSLHGFVRVWKSPSAVSDRPIPPGTSRDQFPSKGYASLFQDEQVLSGDGR LDVGRYEFKFDLVFPDKIDLPSSIDFERGTVSYNIVATLTRPTTISPTVHCDRKVSLV EKVDVGLLPPPRPRIIFLEPISRKTRRKKTASRSDRAVLQREPTIEPFSDADSVDPSI LSEDASQEPQPIAPRLSNEHADTRSIVSGDSNFTGSTGLSLPATEVLSQTSSPAIARS VSLAKLQAVDAKTITTTIELLKSGCLPGDTVSVRITVQHIKRVRSMHGVIVTLYRQGR IDPTAPSTTIPNSSIASTGFSSSGSDRLSRSRTSLSLSLSNKSSPSVYRKDLCQSVVP LIIDPVSLSTNVTVSVKVPENSFPTIKNVPNDMISFRYHLEVVVDLGGRLDGQFQSSG SSATRFGSIGTGGNSANGGNSMYTSWSTNIINTENLRREKGVIYDGLEIIVGTLDSTR LRSKTNSRRAFHHPNASSTSQDDGSLSLRNDGQSPMSANGVDYPSPRLPTNNNYMGTP SSPVPEGQYVQAPNHPPPPSPPPPHSPPPPHTTHPDGQSEPPTPVYVPPPVVPDESSL TEKERVRLQEQRLLPSQPPGESSEPGPSASASAPLEDNIYDADDAWGPSAPPPGALQD AVEDPHAPSAPTLDDVDPEAHDSSHPSRLSQPSQPSQPGGSSDKQELERQRLLNEASA PPDFPDDCQPSSSGSAPGPSTDEPSAPVLEDEDEYGAHYSYSNVAGPSNQGHGNSEPL PRYER
SAPIO_CDS3482	MRLTPSLYQSIRITLFTRANCGLCVTAKSVLSKLQTSRPFTLRE VDIIKPEAKPWRDLYDFDVPVIHISKSSAPEEDPKLSGEAAKLMHRFTEEEVAAKMKA VEEAS
SAPIO_CDS3483	MRGKRSKQYRKLMEQYGMHFGFREPYQVIVDAEVVKDCKKFKMD MVSLLKKTLHGEVKPLLTQCSIRHLYASKSEPGMDQTIEKAKEIERRFCGHHPQDHPD PLSTRDCIHSVVDGKDTGRNKHRYIVATQDHELRRELREIPGVPLIYINRGVMIMEPM ASSSASEKARGERGKFKAELKTTGKRKRQEDEEEETKEGEEAKDGGEAKEAVGKAGGE EQPKKKKKKNYGKAKGPNPLSVKKKKKKPGPPGQKPKKAEPAVAA
SAPIO_CDS3484	MPGRRHHPRLLPATISSSTSTAPRRPNHREEMFPFRFETGIALF AKRAPRPFPPPFMSMPSVSFSDPLSTFDRSRDRRRQWVNGELIRGHTNGDDAVYASDY FICANDGVGAWSTRPRGHAGLWSRLILHFWVASMEDDILRAHQSNEEYHPDPVAYLDR AYEQTLEATSAPNDCQGTTTACGAQLYYKKSEETGENVPTLFITNLGDSQVLVMRPGE KEILYKTTEQWHWFDCPFQLGTNSLDTPRKNAALTMMEIEVGDIVLAMSDGVIDNLWE HEIVRIVTESLEKWETSDDPRTKAMGRIQFAASELMTAAKTIAEDPFAESPYMEHAIE EGLASSGGKLDDISVVAALCVPNEPDQDSDKGH
SAPIO_CDS3485	MDISDDVIPELQPIFTFLNGHANKLYQEGYFLKLDDQNTQGRPN PDRTWTECFAQLVGTVLSYWDAAELDAAGDDGEVLPKFINLTDASIKMIESLPTRSND EQPLQNILSISTAGRNRYLLHFNSYDTLIQWTGGIRLAMFELASLQEAYTGALIAGKG KSLNNINIIMERMRFKNEAWVRVRFGAGVPWRRCWCVITPPDEKEYQKLQKELKKRSP YDRSHVPILKGDIKFYDNKKEGKRQKKARPIATITDAYSAYAIYPQAKSLIDASTLLK IEGNITIHTDPPSSTEGFVFIMPEAHPAVSGFEMLLRFLFPAWDTFGLYGRPGRLVAS TLDPRSLMFAMPKHRRYGYLDVLDVSQLIAIDGSRAWTEREWRKRLKDLTGQRMNEWD ESEKTHNRNSSRRSNRLSFGMGANGDSPPKPRAVGFADDAASVRSAGSFSPNQYPPPH GGPMIPSDFEVPFGHHARNSSDPQPGSGAAFAPPVMSMPFSNNSTGHVLQNSRVDGAH YDQSVMSRDTTSSSDDDHGSRNTPVRELEGMRQMNTPEPVSQPPALNHGPNARPAGGK PFHSPEQRRANSRLSNTTLSQLVKAGGLDYASEKSLQDGPFRGNGEGIAEHRQLVHSN INPVVDPANQDRSREAMISSPMSPPGANLDSNRPQPPPHMIPPYQEPRRSTESYDDGR SNPPGRFGPGGNFESNPPPNAPPLEPPMQRPYAGHYRPSTPPTRDPAGRSGPPQGRSG LHNEMVPPINTSPPFHRKPVPDRVEAVHAETNVSPQSASSSESFRGNMIDPAILDQIR TQDVADENSPRLQQGPYHQDSFRSEDSSSHYTGTRAHNTPQIPSGNLPFRGPPTNVQR QNSSKSSQYSDADSTTSPDYASTRKSSETAASVERPRAGVLRTVGGGDAPPMPTGGDE YDIPSIDFGPTVGYDMQRRKAAAESPPPQQQRPPFAHIHRKSPSGERGHSRQESNDTI RRSVVWQPGAAVAGNSGQGLSVEEFVQQRAAAAAAPVISHARTPSGNTLGPGRSVTPT PSMTRTISGDVLAQRHSRASSADLLQRPGSRGAGATLGFGSAGEMPSNLSARELEHVA RVTGSPLISMAGNKPTQQGTGLVGAIEARERERQQIKHGVNSQAVQHAINQRQQQQQQ QRYQQQSVNIPPPQGMYQGMGFGPSPQQAWQPQFQQQPYVYGGGPGNMGPPMMAGPFP PQGVGGYSRPPGPRVPTGRQSPAPLDPRMMGPPPGPYGGGAPAQQGGRGYMYQGQAF
SAPIO_CDS3486	MSCLHAASTQNVLDPRRIGKQNSGFSDDDISDIVCVLHPHSPHA RREICRIALENSPHVFGRAAVDGVDGDPYHEDEASRFQMAPPDLGNHAIVLKLSAAVK DPLMGFTFGRNPSRCDVCFANDPYRRLSNIHFRIFINEYGVVMLEDQSTNGTIVDGKL LKSKSHNPSNTKRMLTSGSKVKVLMHEENMDLEFMVRVPRRDGAYDTAYRERLNEYFA RVAALRGNTNANTGTTVGPGPSGPPNLFPPPAPGPRRIPLPEQQRVKSATPPSNGAMN PAVIPREWDGADKYNRVCMVGKGAFAVVYQVTSKIDGSPYAAKELDTRNFMKNGILDQ KVENEMRIMQRIKHRNIVKYIEHFDWDNRLLIIIMEFVAGGDLGKFINDHGPLPEDTV KAMASQLLDAFQYLHENNITHRDVKPDNILVSSIEPFEVKLTDFGLSKMVDSEQTFLR TFCGTLLYCAPEVYTEFTEYDHMGRRNPRNKARRPVGQRYGHTVDVWSLGGVLFYALT GSPPYPVHRGVSPSELLHQIMNTDLNIQPLQKKGVSRNGIDFLRLMLQRRPEIRATVQ ELRSHPWLGGAFGTGYAQSFDEITDDELQVNASQLSLEDGGVTRRAATRAVISDIIGD DLIMDDDESEKENYTKPTPRLFGEVDVSAIGSSGVIPSHRLNLRAATNTSDSAGETII LGSEAPDSFDDSDHFTPNRRSQPKQNAQVSIGPHNQSADQLHSLVHDVQSQSLGRTEA AIGGTISMASRSDNSQALMQPTDFMTSKRKPSHDTSDEFEQGLERDKPTIKRLKSEAN IEGLSEDVIEEYKLLASIPPVQRLQSGRLIDRPVHKTIFWDRKDTSTHHVDYPEMTQL QLDVFRQAARDRGEKFEQGKSKLWELAMKYFPPTDWSAVEEAKENEPPPQQTKPRTLL RRDSRRLRDEMMDIPSTAPPCGPECEDDSDSIPDTLPTEGIIVPVPEDPARNRLVGLL ESAPDSAVTNISIPIADSVLSWGRGLENTHMYEPKTEVRVPKFAFKILLYKEGFDAAR DRHPRPWLRNQQDEESFAFYISTKATNGIWINNQRLLSHDAKRPSSPAHHWMRLYNGD EIIVWGSLDAEKTKIIFRCFWGGSEADRSLSADDALLPPRPVDAELARRLDEICLKAE RRSRSEKQYLQRMDVASREHALREKFVEWERERSAEFEEKRREAVRFVTAARKGSRKG SPNGAAGRTRVYDV
SAPIO_CDS3487	MPELPKPDFRDVSVSPARLLTACDRFGEGADEVIKDYELPYTSL PGSAQEQWAPDEDLEEESDSESEGYGLPTGLAGDSQSRPRTSERPFVPRLQNWRMNLT ALSQVYNLYFAAYGNKIWVYRLPGPVATGLKGDPALILCPSRRSKFAELVGGHIDRVH YDHANHLITGFLGDLELVLMAYDDGDVIAYYTREIARYIAQKTTSLESSSLPHPLPFF HENVGASAWGLAIHAKSRLIAVSSNKHEVTVFAFGLNRLTNSFSSLGRPDMKREEAAV LQRKRNWRILLHLGPSGNNIPSIDFVSNDAGHAEYVCAVDISEQFWLLDIWRSFAPPT LLRPRDTHWTRRESFWGVLALSDSSFLDVGTENPHEGYEARLRNWQVALGLSALCRPS GKPPLYWIDVSASMNRVFSSLSFDPEGDYSPAAYPVQDRAGFNGGPLPDLEFYNFALA QSEGESSEDQEDFEYAEEDEDDEEDDEEEEDGDDDDDDDNGDDDDDDEEGEEDGGDEM DTCEEADHGAEDKEHQQHHHNWDEYFASIIVKGHTLDQPLGSGEPNFLEVLDFLSTEM DPPDFPPKPTYAAPLRSIPLACLPKDARISRDASEPVPRMSMIYKPHLGLTYKDPHFF PEKIRWLRRRKEVNLRRPTKRSSAAIAAIAECTSFFVTARSDIRLMSTDTDFIDLYCT DPARVPNLPGTYSHIYRVNMVLHVPELNLVVAANQAGRVALISLIKCSYAYPRAMGAR SFRVDMLLPTRYEEAKRKLRPKVPLFGVAIGPVPEVYDKSLRLRSVHPRPRTPDQREY RLILHYRDHTILTYVISRPSPYTLRVV
SAPIO_CDS3488	MSYVHTGAPVEFNGTNPDLGGDSATENLNQWYQSGDQAFILVAS CLVLFMVPGIAFLYSGLARRKSALSMLWVTMMSFSVVVFQWYFWGYSLAFSVESGNPY IGDLTHFGLRKVLGAPSQGSPLVPGLLYSFYQMMFAAVTAALVVGSVAERGRVLPAMV FIFFWLTLVYCPLTFWIWCSNGWAFKWGVFDYAGGGPVEIGSGLSALAYSWILGRRNE HMMMNFRPHNISLITLGTCFLWFGWLGFNGGSAFGANLRAAMACWNTCLTAMFAAMTW CLLDFRLAKKWSMVGWCSGCISGLVAATPASGFITPWASIALGVVAGVACNFGTKIKF MVRIDDSLDVFAEHGIGGIVGLIWNAFFAYDWVIGLDGVNVGITGGFVNGHYRQIYMQ IAYIFATCAYAFVVSALIAKAIDLVPGLKLRASEEAELLGLDDDQHGEFSYDYVEVRR DFLAWSPQKTEQMPHTKIIPVLGEQELSSMQARSNNHSISSSHGKHEQESSGPEQEPE HPPSKEA
SAPIO_CDS3489	MGSSSDPAYELVNQHDAYQEPEPADAPPPKQKFDRGRSRRTLKA TVVILAACLIVVAVFEIISNDAMDRFRKAMSDATGAEGDCPCRPSKVPQHFQTSPQLW PGPTMTGQPAFMAQTVAFDSSQTYVPNEPLMTAVPVPGMTPQNQSIFRMMGYLSPYFP SPGFGVDEHPLPPGAEIVQLHMLSRHGARYPTQGSHVEQLGRRLEDAASTFKASGRLE FLNDWKYELGAEILVPKGRQEMYESGVLHSYMYSRLYDPNTKIIARTPTQDRMLKSAE NFMAGFFGLEWTKNVTLEVIIEAEGFNNSLAGYFGCPNSGRDRSPFEASAKWKDIYLK DATERFSALIEGYEWTVEDTYSAQMMCPYETIAYGYSVFCDLFTYEEWEGFSYTIDIE FAGSSGFQSPTGRAVGIGYQQEVIARLKNQTLDYANSQINVTLDNNTETFPLNQSLYF DFSHDTNIVSILTAFGLKQFSPFLDPTQHPGPHDFTVSHVTPFGSRLDIEIIRTPKPL SSDRSGYLDGGETKYVHFILNQRTLPLGVSLPECDASRVDGWCEFETFVGVQEKMSEV ARYDYACYADLPTYKYGDISDGVPPSVAS
SAPIO_CDS3490	MTPSTVGPVIDLGNIFFNTYSSSQPLRVQNNSALPVGFPSSLQT DLAWSGQQFKNDQSYIFRLSPSDVSEVEAALRHFKTLELDGNDVCSDNFPLPVLGENL RGLACDLYEGRGFCVIRGLDLSKYSVEDYTVIWLGIQSYIAPKQARQDKKGNMLVHIH ADKSSEMKAEHHRHSTNAITFHTEESGDIVGWLTRSTAASGGKCIIASAHTVYNVLAA ARPDLVRILARSDWPFAFPRFQCRPVIYFHESKLIMNFGRTPLIGNSVHIRPSYLPSL SAHQKEALDAIEAIAQATQMEIQTQAGDMHYINNLAVLHRRDAFVNGDEPTAKRHLVR MRLRNDSLGWSIPDELEEEWTRAFEMKGDRIWHLEPMPEGFFPLRMYTN
SAPIO_CDS3491	MQRIRCQINPTDPNGPCFTCQKVSANTRVRRLPCLRYKITEVRL FKPGQVRGFEWTKRWRDNIVDNISNWASDEIRIIHVSEGYTRRPVELRVRKFIPQEGD KLERSWVVNGVKRSVSIPPYAIVDLEAARKAYSEHIDRGIVECLEAIVKSRRSLLWKT YDLAWQMAQDEKVSKDERELLQLTLRLWVSVRLTTKSTIIVGKETLGMPSNIMDESSP IHGCIPLPPVMGAQLDLILIHQIQSALRRDLLDKLQRMIQTNKQKTWLTSYLVTFILL HNVALITNHDASYARKHGIQKRFAREDKVREYHLGANILLAYFHYCNKGIYPFSNECK DQDLRNLAELDDDRLNFVKETRSYAVEQKCQWERLHREGLFEDDHFFVSQLFVENWEP RTTV
SAPIO_CDS3493	MPSTPTRELSQLSLGSSMPPSARYRSHASSRGQPKEEVSSSEDE SDSGGSMSDDDSDSEGNSPVVRSPTRLTYRIGGLQPEVQSAVRDAFEDPPKITLQYCR LKDDVYAFQMTELTLYNHDSDTPLTLTPAGYPAEIGHPFNDISNFHLDLLAESLHCEV VDDTDSDDEDDLNDYRVLEARELLASIAAVPPEKFRPDIFSNPRRGKKPLKRGDLERT LFRILLDNNELFNYLLSLTRSTDPIKDPFRKLSQRVTRVLAELDEYCENPSSPQFASS GSETPCNVGWAAHHILGIVSAIRAAIFPPHTSSPAQRVAAARALVRILSNVSDRNRDV HPGANPSDRNLYARLIGTQDDGFIISILAHLPEAASQFLHNLEVVQDRLGVHGAPPSY IKKFNDLITTLRGFPQPGSSRSSPGGAGSKRHSQGPGSSRDTKRAK
SAPIO_CDS3495	MLFSSVARFFLAGAALFTAASAHNIALPAHGRECFHETLHRDDK MTVTFQVGDREFGSAGNLDIDFWITTPTGQYETFEKSVSNGDFSFTAKMDGRYIYCFG NEHWGANSKEVSFNVHGIVYVNEEDFPSDPLEKEVRKLSDLLNQVRGEQSYIIVRERT HRNTAESTNSRVKWWNMFVIGVVIGESLFQVWWLRRFFEVRK
SAPIO_CDS3496	MGMSISKMLERLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYRNISFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRITE AREELQRMLNEDELRDAILLVFANKQDLPNAMAAAEITDKLGLHSLRQRAWYIQSTCA TSGDGLYEGLEWLATTLRSSKRDG
SAPIO_CDS3497	MGALCSKESDNFAGPGRPVGSAPPQGGTSSVPKTIKVGGPPRTL GGASATNDSDTPDEARRKAAAAAEARAQASKPTGKLGSKLEAQKKMSRMDTLASASSH EQRSRDLDQSAATLRHD
SAPIO_CDS3498	MNSSDYCTSDKDPAPSIDDPNLSGYNQITSQSSSPSPFDVQTQI QIPDSSYADALADTSFVTSPFSPYPESGFPQSLVSSQSLSPWPDHSGGVSPVLPDPAV MDPSHLFLSMADSDSVFPSYDQAMASNGMYLDRCNSPCPESCQSQCGEDGEADICCDP NCDEISDLCTDSNCVDQIKCCPSSCPAPVLSENDEDAAATLASIGSGDQPTNHLVDGD LSVQTQGSLRLAASSSPQSSLMSSPHLSSQYPTMFPNYFIQQPLMMGIYPPFPGEFGL NLELVDDLIMHHNPQQQPSQSAPHFRPCPLDNAPFHTRRCMLPRPVYHGADTQQALTQ TADTANPHMLPSACGVFLSGPDEVLPHIASTHPHAFNTLQSYLEANATDPRLSESNRL AFVSAMEAMGAGKLQGSRGILDACSTATPSSISHDSATPRTQQSDATLDTIDVTPQFT CRWREDTGVICGQHYDDAEGLHQHVLTHSRHLKKSGNGHRCRWVGCTRPEGPKGCFPQ RTKLERHLQTHTGSECSVCGLALSGTQALAQHMRTHTNETPWKCPFEGCGKEFKQQSA LTMHTRTHTDEKPLACDICGKRFSESSNLSKHKKIHTRSFKCLICNKDFSRADQLRKH EKLHEAAGDGTTALSKTHGGRVSKKTKA
SAPIO_CDS3499	MSSGKVKAGQLWNKNKDELTKQLNELKTELGQLRIQKIVSSGTK LNKIHDLRKSIARVLTIINAKQRAQLRLFYKNKKYIPLDLRPKQTRAIRRRLSAKESS VVLEKRRKRNTHFPQRKFAVKAA
SAPIO_CDS3500	MSNQQIAIVSVYDKTGLLDLAKGLAENNVRILASGGTARMIRES GFPVEDVSAITKAPEMLAGRVKTLHPAVHAGILARDLASDEKDLADQNINKVDFVICN LYPFKDTVAKPGVTIPEAVEEIDIGGVTLIRAAAKNHTRVTILTNPADYPEFLKELQA GTISDATRQQYALKAFEHTADYDAAISQFFRQQYASDGTKLINLRYGNNPHMKPACAY VTEGELPFKILNGGPSSINLLDALNAWQLVKELKAALKKPAAASFKHVSPAGAAIGIP LTAEEKQVYFVDDIEGIDASGLAQAYARARGADRMSSFGDLIALSDIVDYPTAKIISR EVSDGVVAPGYEPEALELLKKKKGGKYLVLQMDPTYQPPRMESRIINGVTISQPRNDA EITPASFTNIITPKDLTTLSEDALRDLTVATIAVKYTQSNSVCYAARGQVVGLGAGQQ SRIHCTRLAGDKADNWWLRFHPRVLGIKWKKGTKRPEKSNAIDLLVSGQLPKDGPERE AFEAVFEEVPAAFTDAEKQEWLGKLTDVAVSSDAFFPFTDNVYRAARSGVKYIAAPGG SQNDQPVFQTAEQLGITFVQQNIRLFHH
SAPIO_CDS3501	MASTTGNSGWAQLRQQARSLETQTETLFQTYSQFSQAPNIPAKP TEEEKATESKLEELLEKRENVVGKLGRLLDSETTLTSSALKQNNLSLLREKLVEHRRD LTRLRNSLQTARDRANLLSNIHNDIDEFRASNPAAAEAEYMLAERDRIDNSHAMADSV LSQAYAVNENFMVQRETLANINRRITSAAGKVPGLNALIGKISAKKRRDGIIMGAFIA FCFLTFWWFL
SAPIO_CDS3502	MAVPRTRAAVALLGPSKRPLPCLLCQWHRTISTTPSYSLPDPKP STTKTGKPDTPAPAPAPTASVRAEHVPAGAAINAPRSYGKRLEDFTPEPLPRPIGMPH PPMPGENTGIDLRSIKERRDDFVNYDKHLIRRQELKEKISRPYFRDWTNLQHHDGKSF IAPPRLFRADKALYFPNLHGQTLHKDGAERDTTPQLWGRASVIAVFSSQWGEEQVNSF ISEKANPALAEVLASDPIHGQVVRVNVEDNSMKAWLVTMFMGSLRRRLGEENWGRYFL VRKGVSDYIKESIGLLNGKVGYVYLVDPQCRIRWAASGPSLPDEKEGLVKGLRKLVGE AREVAEQMAAEKKTAEQAQLAEGNPTEANV
SAPIO_CDS3503	MFLQRGSRAIRAVHRQWVVSGGNVTKAALAASAGGPSRWFHETR RQQTVKPVLLADIGEGIVECEVIQWFVQPGARVEEFSPLCEVQSDKASVEITSRFAGV VKKLYYEAGDMAKVGKPFVDIDIEGGAVDQVDSAAKPDAETPAAPVEKADEDVIQQDS KSDVPLTAEEQRRLRIRENNRAIATPAVRHLSKELNVDILQIQGTGKDGRVLKEDIYK FVQQRNAGAQTPAAPQISPSASTAQPSQQQEETVVQLTNTQVQMFKAMTRSLTIPHFL YTDEIDFTNISDLRTRLNKVLATTAKVSTGGNGTVIPSGQSQQDLVAKLSYLPFIIKA VSMALYKYPILNARVDVDPTTEKPVVVHRSQHNIGVAMDTPQGLLVPVIKDVGSLNIL SIAAELTRLQALAYAGKLTPRDITGGTITVSNIGNIGGTYLSPVIVEKEVAILGVGRM RAVPAFDEAGNVVKKQVCNFSWSADHRVVDGATMARAAEVVRAVVEEPDVMVMHLR
SAPIO_CDS3504	MLSVDSPLLVTGNTTPSTSFTKDMSPNGSHTPGRVHVAQSARGP TIANGSTDATLSGGRTTKPHHIFLVTGPAGVGKSSVAAYLSKKLNFPYIEGDEFHPRA NVEKMSNGIPLTDADRWDWLTALREESQRRIQSGSEGVVLTCSALKQKYRDVIRVAGY FDHSLLIHFIYLSAPEELLLERVRLRQNHYMGANMVHSQFEVLEPPGPNERDVISIDV SGTLNEVQEDALRKVSEILANESYSRVNE
SAPIO_CDS3505	MNTEDLKHYLADSPPSVVRLEIEKHFEALSDKQKRYAHYISKAA FAGTRIVLRQISPESEAIYDFILSLHEASGGNWASLAEKAGVSEKDLTSFLEYAAMFL GNNGNYKSFGDSKFIPRCDESVFKALAATSPKAEGFYKATNGAIFSADKQGLMHLGYG DDGHMTTYYPETADITKAEIDAVSNWMEKKGLLPENTRLRKTNDGHFELLIAAAVTQV PSNGGDIGKETEFIVEDGPLEGKTIKLTFGDYSKEMQVIAEYMKKAAENADNDTQKDM HTAYAKSFESGSLLDFKDSQRFWIRDKGPMVECNIGFIETYRDPAGIRGEWEGFAAVV NLERTRAFAELVASAPSLIPLLPWNKDFEKDAFLSPDFTSLEVLTFAGSGIPAGINIP NYDDIRQTEGFKNVSLGNVLSAKAPNEKIPFIAEADLELYKKYRDASFEVQVGLHELT GHGCGKLLQETSPGVYNFDHANPPVSPLTDKPITTWYKPGQTWGSVFGSIAASYEECR AELVAMYLSCEFPVLKIFGFGDGSNDLDGEAGDVLYASYLSMARAGLVSLEMWDPKSQ KWGQAHSQARFSILQCFLQAGDDFCKLEYKNDDLSDLTIKLDRSKILTAGRDAVGKYL QKLHVYKSTADVENGTKFYTDMTTVDKDFWGTKVRNVVLENKQPRKVFVQANTTVDEA TGKVSIKHYEASLAGIIESWADRKL
SAPIO_CDS3506	MSAQPTTKKFGSSTRAVPHASQKAQKWYPTSDEPQKKQVRKALR PWAPRSTLQPGTILILLAGRFRGKRVVLLKALDQGVLLVTGPFKINGVPLRRVNARYV IATSYKIDISGVDAKKIEEISQPKYFVGEKEKEKAGEEAFFKQGEQPTKKEVSKNRVE DQKAVDKALIANIKKVEFLASYLATSFSLRKGDKPHEMKW
SAPIO_CDS3509	MSTKAADGGVDIKSDGCLEADSVRRDEACETRLVKCSVSPITVM AGLVSYESSSEEEDIQLQPPAPQENKSRPSSKPADEKLPEPQVPPTGPLSPATPSPST PTPFVGPMLGPSEPAIADLPEPDQELDLPIPPGSPYTSTRALLRDLTLPSHPNLDLPP SPPGSPPPALTKKVTNFLELKDKGIHFNAKLESSPALRNPALMDKLLKFTGLDDDPTA QYATTLPKDLWDPGAFPDWAHRQGLRAAQERLRKEREVERAATGRVEFVPASGSGGGV GVVSAKSGPGGLSKRRRME
SAPIO_CDS3510	MASDKDIKNETEFVAPAAKKRGCVNHCKRFWWVYLIGTIAAIIL IVCLIIFVGVPNIAQNKLDDAELTLDSIVISQTKPNSYNMAVNSTIRTDGKTHATISP FTGVMYLEDLEPHTPFASVNFPETTSEKEQIVKVDQLVEVTNMDAYTTFNTWLLLNET LRLTIEGDTHVKVKGISKKFGVTFKKTVELKGINGFKGLKVHEDESQVDPMVKTKNYK GVVDVPNASILTIDIGNATFVNMYNGEDIGTVYMDNLILSPGVTTVSIYADIDQAPVL NAMTTQPHCVDGVIPFSMKGKDVTRDGEEIPYFAGALRALTQEVDIPLKQAFADAGLK DLKCLNVSSKKE
SAPIO_CDS3511	MGESEKILSPGEVAKHNTQESCWVTLYGTVYDVTNFLSEHPGGS RAILRLAGKDATEEFDPIHPPGTLDDDTGNVVKVGKIDATALPKHDSDNEEHKKQANL TERDPPLESLLNLGEIEALATKKISKRAWSYYYSAGDDLWSKSFNNHVYRQILLRPRI FIDCIDCDLSTTLLGHKVGLPIFIAPAAMARLAHPDGEHGIAKAAAKFGALQIISNNA SMTPEQIAENAAPGQIFGWQLYVQKERSRSIAMLERIHKMRDRFKFICLTLDAPVPGK REHDEKMQFENAFEVSVDGKGDSDKKPGGGGVGQQLFWGTAADLTWKTTLQWLAKNTD LAIVLKGVQTHEDAYLAAQYAPQVRAVILSNHGGRALDTAPPAIHTLLEIRKYCPEVS KKIELWVDGGIKRGTDVVKALCLGARAVGIGRAALFGLGAGGQAGVERTFEILKAETE TCVRLLGANSVHELGPHFVNTRMVERDIYDGPSGLDIAGLWTAPTAKL
SAPIO_CDS3512	MLPASRSAARVCSRSCTAAPAWTAILATHTAPSLQARSSELPRN PQTRTRRRWLSTSNRLLLPPAANPSPTTSQPDASSSTSSTTTTTAPTTYYDLFPESLP QGPPPRGSFPIDIRALRREFLQLQARAHPDLHAAKHKTRAQATSAHLNEAFRTLTNPL LRAQYLLSLRGIDVASDEAAKVADMDLLHDVMEAREEIEEAHKEEELEGVRRVNDERI ALSEEVLERAFAEDDLEAARGEAPSLPPIHPTPTAITPTRHYPRALANQHPHPPRLQI RYRFTITKPAPPNHQMSGIKILCFGDSLTSGWFNFGLGEHPYAETLAERLRAAFPFPV SVKVSGAPGDLVCTERWVDRAKAELEKDKYTWAIVLGGTNDIAFRIPADQIFECLSET WDIALCKGTKVLALTVPECRSKMQFATDTRNEVNDLIRKHKEHNFHAFDLHAHLPYHV LTREQRQKYWDDGLHLTEEGYNWMGEHIADALIKIIQAEVVPKPAAVAKPERRKKKPK VYGDEASVEEEEGDPKRLSKGYVVVRKRDLE
SAPIO_CDS3513	MAPSPKVASALAQVQSAPDASKPEQYEAILRQIKDLSSPSTATT DLSAIVTSIISASLGIVATRSLLDLFVTTLRDLKNVDLCIEVGNHALELIASTGASSS FLDQIAALRDLIASAHESNEDYIDAARCLAQIPLDSSQRQVTNEQVARIWVRIVRNFL EVDDTPAADTYVNKLKNIMHTVADPELHLHFQLSQARIRDAKRDFLDASKRYHDISFS KAIAEEERLHTLSMAIKCAVLAPAGPQRSRLLARLYRDERSAGLEEFGILEKMFLDRL LRQDEVDKFAKSLLPHQLAVTASGSTVLAKAVVEHNLLGASRLYENIGFDALGALLGL DAAGAEDTTARMIEQGRLLGRIDQVDRVIWFEKGEASGVKGSGKADVLVGKETRRWDA NVQGIAEEVEHVVNALQTEYPEFVAAHLRA
SAPIO_CDS3514	MEAINYADPDMRMLNRPRKLSNSSTSSSFSTSRESFASVSRTPS WSSATSFESMDFISRRSTEYGYSRSSASSGRPQMHRSKPGELFAALPGEVLEVILDEL KKLHLKKTSESCSTCWARDLCSISLASRKWSKFARTSLYEDIQLNGPDSAAMKKRYKG CANPRLVLLRRTLRANQHIAVIVHSLKVPAVPEDTPLEAYHDLVASVIMACPNLERLV GLYPRYTHQFDRLFHALSTRRKLKHMDWMIDGVSAMQRPQTRSGAKQLIKSPPMGQQQ GPFLTPNDILPGQSSAFLELHFNWSHLTTLTIHTLPGGSLTPDNLITTAISYLPSLQH LFLSNLPFTAFKDDSLLSLPALKTLSLSYLSGITSNGLSNFATRSASRSIQRLVLRHI NIDEMPALARVLSNLVCLENLAFVQSFPPLMPEDNFILLMPYLASNSLRKLHWDITSH TNCVNAADSILARSIAAKGFPALRILRAPNDPEGLFQGLCRPRDRADMPGDRFRPITS HARNMSGSGKSALSVPASPTVATAAGVKSPTSPAFAPDASILAPATNLHQARLAAQAR LEAAWRLPRYHVNVVDENGMLVDKFGLAGFIGTTESKIRYDLTPDPGAKDENGGLVEA SDLLGDCGERGTDMCSGRWNMPTAPVDRKDKDKDKERWWHTERGRWTGVEL
SAPIO_CDS3516	MSPVSGLEARKAPDLGSYLPIGCICVPASQTSLSVEQLNTLNGQ DGWISFDGPEKGSQTNGFTTRDSPDNLCEDIEACLVRCAALKPFQGLLLARWIRLTYR TSTSREGLVFRIYILLDDVERRSVDREDKRLKKARSLLLERLNFSHEVWLGAWPVEDA DRIESPRLSNPTNYEDGTGQQSLLALFNSIPSPSPRPEELSDPYISEAADNLLNSKVP GLTTTLYPYQRRSAAVMLEKESNQEKLLDPRLESVLDQEGNVWYFDQSAGVVLKEPRF YDGVSGGILAEEMGAGKTIICLSLILATRHLYPLAPDMYRGAVPVRPKVGSLVDMVAS FITRNSVPWQYYLKSAEDQYDLDFSRCIKAIERHPGHYLCPEEPPSDVCRVTLRGNRH RDPHPKQFEPKYSTIYLSKATVIIVPNNLVVQWQQEIEKHTQGLKVLTISRTKGPERA GIPDVKELLEYDIILFAQTRFEALHSSGFANKSSLSLSSLAQVHFKRCIVDEGHRLGS SRIRQKSNLLLGIESLRFSSRWIVTGTPAKGLYGIDGETLPDRDVPLPSPRAGPNQSE AQDLERIGAMAALYLKARPWANTLMEPGDTPADWAVYVMQPHHSSRSRGRTDSLRSTL NSLIIRHPVSEVGKLLPPVDEKVVVIEGSYQDKLALNIFSMYIVFNSVQSQRTDQDYF FHPRQRKSLLQLVYNLRQASFFGGSFFNVEDLQKGVETAESFLEKKAVAISAEDEALL RQAIAFGRVAMANEIRTLSNQFHELPLHVHAFPGSAGGAWSLDNKDEDPVLTDAPMLL ALQKIVRKHINSPAELNRLLNGGLIEEGLTQRSKALTSDESDGGQSNPRETVLAGNAK LGDTRSPQKSRVLSSLETLQSEVNQMAEISHSADEHDIPAALAETMVIATSSAKLSYL IDAITKYQAEEQIIVFYDNENIAWYIASLLDVLQITYLIYAKSLTTEKKAQYVNSFNH SPTFRVLLMDITQAAFGLDMWAASRIYFMNPVLNPQVEAQAIGRARRISQQKPVSVET LVLRDSIDEVIVSRRQHMTQAEHRKCKSILDDRPIYNWILNAKIIPLPDNSTADSASQ MAPLQSPQLVFGKGFGRIISSDEGLMIGGPPTAATNASSTLNGTKRSLPIDVPDANSN SDGHDVPARPARRVRFSTSSDDEK
SAPIO_CDS3517	MSSFLSYIPVVNRFVGSHAAPAVVFDVPPGEAHNIETNPDRRAR CLKHLIRANHANYSIIYHNLQFDNHNAHVLSSAYLLGANEDQLRTIYDKQIAELEPWT ESPCEITEEDWVDYLGDRNYQRAFVDYFEDQLVMRFSYNWKKLLENYMFQDKRPLVSG LFGGLGHPLIHLGYAYEINCKELAIESLALASVQFNYLHKYIDDPKYTRPSPFTSHSL SELLDKVRGDSRFDNLFPKRQLENLDLLFEKHEDLLLEYWNAWEIKDPAKQFEECQEA AVSLLVATVAPGTHSYNFFLVHVLTTSHAIRVLLPLIPGKFHESLVRGWVLYTLAIYI ALLRPQIDPDYIDPSTLKGRHWTYVENKALTSPWSADAHYVKAIRAMRTAAHTWGDVH ERYLAAAAPSSHPMAPPAKRRRKNVVETSDDEPDRPQDNLLTRFLNSSPNPKAAASAS SSRAPTTSPSPLKRATRGALSSKNGANFSPSASPEKLRSRPQKKVKIEEKGKTADLLT LFSKQAQRSQASTTSSTRAKTPVDEITSDPISDDDDVSYHKAHASSIVGEKARKRLFG DPQKTSTSSTRNPTQMFVRPPKPTRLGRVDDDLRPWSERFGPINLDELAVHKKKVADV RRWIEGVLSGQLRQRILLLKGAAGTGKTTTVHLLANDMGCELLEYKSPLGSFVPGMQS PSAQFEAFLGLGGKFGGLELESGPENHPNTPSSTSTDLQRIILIEEFPNTFTRSSTAL TSFRNALLAYLNENTPSAADFTRRPKKPVTPIILVVSETLLTTTSASADSFTAHRLLG PEIMRHPGTGLIEFNAIAPSLLTKALELVVMKEARKSGRRRTPGPQVLKRLGEIGDIR SAVSSLEFLCLKGDQDADWGAKISFTKSKRGAKDGIALTRDEMESLEQITQREASLGI FHAVGKVVYNKRDEAPVAGDPVETLPAYLQHCARPKRSQVSVDTLIDEIGADTGTFIS ALHENYALSCESSCPDDANDPIDYINGCIDALSDSDLLCPSWDSFFGGRGASGAYFGR DTGSHIVRQDEMAFQVAVRGMLFALPHPVKRIASGPGKSSDTFKMFYPTSLKLWRSKE EMESLVDVWSTKLLNGEDSHAHNNRSITDGASLFLRASSSRNHHQSPTKSNNTAAQAN PKPEDGGTAPLLSLGSAARRELVLERLPYMALIGRARKKTPLQNSTLRQREIEKVVSF RGIGVGPDDEAEADDADECAVAGEAWATDRPTEEASPKKKGSAGIRVRGGGAAAGLSA SVAGLQVQKLVLSDDDIESD
SAPIO_CDS3518	MASMTSEPSQTHSIKRKPIPRRDSSSTTTSITSRRNSVVPAELF PIESPGNSQAPVAEEPLLIPVPELTTPPAPPSPPPPPPPKEEPAESPIPFPDAADPGR PTMPSLFVPESEGVLRLPSPAAPFLSNPAEAMREQPYPPPQHVDTGADGKLHKAVDQL PERRRGTSLQGRMPIPVMDDRIHGRTGRLDAVDHQPRGRSVSAQPPTSRDGGFAARVL TKPFDGDTNSPPPGQQSPPRKAEKLRRSLLPGGRSRSNSHDPGGHQLEAWVISPMSTE NSGVEYNASLLVKAEKVPELWNDAANVCVFLYPPDSGFGPSFKIPSSAIASSLIFTEL IQNTLYQTRGFGSRDRLSVEDATRPRSASPPISPTSEFEYGPYYNLYIPSPEPANPDP QGLMVPLDRLISIRNLFAFLTGQPLVGTKAHPTPFAIFMQISRLLEEFAFTSFDGLSF GQEVDLSFGFYIRQIGLGDVRNSREKTIEALVLGERMRSAELYNEAFTHAVGKYSAIV DLKSQLFEQVSNQTRQRLDRAHLGLLNRQHNVNVRLEQFDFPSLFTGVANSTSLTEFR NVRFKHWRNAFTRMRNFTLGYYKTVFGSWPPKASSRKNPFSESGLNRLVLKALYSDMC ALYDLLADRQSLTPRVIDQGLHEMKEGDDPVITALRRMLSEFDNSSPPVLPPIPFDIP MLPTMTSILETYDKMPAKEQAKFDRRIKGNELRLVLEKSYDYQTNTANRHNPFLVEFK EFEGKEAKGKTAADLADQRIGYWLFLYVVIQSLPILVMDAPGLMFSEGVEYFLCEPPM GNPPWAEDGSQVRKLWYEVSGGAGYVELSADAVLFSVEAIYHRSHCWLSAKLWEAALQ EGQQPLQIQPPLDAAIEEASGVPPATAAAVAAMDSRAGTPSTLSLDTTPSISASPVIR PRNQSPGPRQLPIRAVNHSHRSSIAFGIEPVFDLPEGLMLPPDRGSRVVSHDRGSSVN LAPAGNLYSHRSVSVGNLSALSSVQPVTSPESPSNQGATFDDILGKSDNKKKKRKTFF FS
SAPIO_CDS3519	MASPLPMGHGFVSHIPSPAGDIEYEKYFDFAAWERDQGNGVTRS SEGSSSYTPALTTDSMMTPPSEHSDGFLDAYYNSGLLNSLPDVRQYDDDWTYPHQDPS QDAFPHEFSSLMLNSSSSASSSSPSSSSSSSYSSSPPRYSSSSPPSSAASPPSYPPSP PAHRSSSPKQHSRKSSSSSSSKSSSSKSSKKRHLQHPEQTAECDLRVCAQCKKFEYLC IHEQLSTIGPVFVNIHDKAGESVRRDDLTGRVVYAPISFSETDPSAPTMQLCIQAYHP ENGGRLSGPNCAIPREHIPSWGSLARWAGQQILYESKTPFRKAVETFVMAYSDRPNSR DYYLPKFDLVDRVRKLTCMFKIWMTQHTQNSSHSNGIYYRTSKGVMRLPYSVHEELRH IAKKAMESLERDILSELDGCMTQSKAVQPFEKPLLWVCLWQLIFVYRQLLSSLSHSSQ KRCREVTRRLYTALVAYYTGFFYTPKSLNLELDLSRARMPVELKYELSALFQSILDNR VDFYQALRGSKNEFDNLLGSTIVENELKRLLKRRSRKSTSSRSTKVVDAHDDGDDEDE DY
SAPIO_CDS3520	MLHTQVVTRIARYLPLARRVAAFVLLVLFFHYFTHSGKPYADEV ILRFKDSKVVFMERFMQNDINGGSDGSALEALCSSKNWYPERVFGCYVAEGGIASVRQ WELQCIRLAIETGASTLILPNIVKRKDRDYRHQRRAHAPPTGLPMDYMFDFQHLRDTL EAHCPQMKAYASISDLHDVPSLLTPIDFKTNDVFGEWEEMARVSTIPAPAHWKAKFDI YIEAIRSTKRLNSRPQGDPYRVQLMPSGMGFPVYSDSPETRHDISALLRFRRDARLLA GAALFKLSGKYGANVDPRSGRDGDGFAGIHLRIDADVEDELGKSIPEFAYQASESVKY VTDTGLKIAFLATGGPRETITEFVEGAREFNLTVVTKEMLLEGGDLEQLRKLTYDQQA IVDYEIMKHATKMVGLGMSKFSGDLAIARAEAYGRKPILKVPEPEDTMMWKDEHTTLF WHQLIRVPETFPFGAPIAALQPFRYPAMAAKTKYITISNFKEELTGWSPDSPNQLYAI VDMGSNGIRFTITDLTPPFTRLLKCIYRERAGISLFDALSSSAPPSSTDLNFPQATIT LVSETLARFRRIADAHGVAPENFSVLATEAMRRASNASAMLDAIHEHAGVGVHVLAPE IETLFGAIMGSRSSFVNIDRGGLFLDLGGGSVQMAWADTRLPEYEVKAALAGVSLPFG AARFIHVLQGDAKVAATQKEVLRSGMRSAFAILCEKFPSLKECLAAGQGLDVYLCGGG FRGYGSMLMHNDPVQPYPIPSVGEYTVPGSFFSQTRRMQEVNEEFGGKIFAMSKRRRS QFPAVLEVLEAFIAAVPAIRTATFCTGSNRDGALLMKLPRELRESNPLEALANVSPAD KPVIDAATAVLRDALPKDQDLSSVPTIFSLGLVPLFVQRIWYRLGEDVYTNAAYVLHE AVTRDPKAAGLTHLARAVLGMTATARWGSSLGPIDAQLYTGLKGLLDAANKTSGFWAE YLGVVANILGIVIPFKPKKAEDITNAIKISASLDNGKKKDKIKLKLQIAPYALQGVDT TVLEKVVEDIGKQRGKKSDIKVRVEISKLQ
SAPIO_CDS3522	MAISKSLTRSASTASIRYRYAYGELIRVYVGRENREFIINRAQL CAASSYFQEKLKLVDDSSVMHLPHDSPAMFELFVTWVHSQVSFRKYLDDTITMACSQA ANVPKGVDSPTLCQKLHWALVRLHLFASTLNIPTLQDTAMDAIQDLYLRRDWDVTPRF IAFLYEQCSPSASLRLRRWAVAMVAYTLSSTNSLDRTPDSVPAQFHILLKTFPVFSAE YANHLRKMSVSGLDIRVKNPQLRIPANRLRNEERRFAFRQCAFHTHRAAVGEKKCPHD LAEMKLKRMPIMAPLVEDISGFEEASKTPGNPDVPKPLRRLRSISGSQI
SAPIO_CDS3523	MAPTAAPRAPGAPYFTPEQSPPAGTPLKTENLPTLFTPLKIRGV TLHHRFAVSPMCTFSSEDGHLTDWHLVHLGQFAFNGAGLVIVEATAVEARGRISPHDA GLWTDSQIAPLKRIVDYVHSQGQKIGIQLAHAGRKASDLPPWVGRNLTYAKPEQGGWP DDIVAPSAIAYSEAYPTPKELTVEEIQEFLKSYAAAARRAVEAGFDLIEIHGAHGYLI SEFLSPVTNRRTDQYGGSFENRTRLVTEVIQTVRAVIPEAMPLFLRVSATEWLEYTGE PSWDLESTIRLAKTLPALGVDLLDVSSAGNSPKQKIRMGDPFYQSDLAGKIRAAIKEE GLNLLIGAVGMINVPERARLTVQDGPLEGQEEGKIEPPRADLCLVGKQFLREPNWVLK VGDALGVPLQKPVQYGYVLKHRM
SAPIO_CDS3524	MTEDDQHEVSLDPGREVVYCGEALEAATASLSVDAQKRAAKDAQ KKAAKAEAALQKQADKLAKSVVTIKRIERNKKKFVTAVSGLEAFGLDLKKARSSVTKT PSGGEEIVVQGDVSPEIEDLLLEHYKEIPPDNIELVDDKKKKKAAG
SAPIO_CDS3525	MTVPTKTGTPTRTPRHNRSPIKMRKAGLTIAQKQALIDNLQLEI TERARRLRAQYNLQAQGLRSRIEIRVNRIPISLRKMIMGELLAKLEKQQERAGASTRP PPVPMKDIHPRLSPQKSSQSLAHSPFKPPRGYKRSSDEFVGPDKENELGIENPKKRTR GANVAATQPAQILSPTSSNSRLTPRKRAPPPSPTKSLIARPASPTKPPTTQRGAGLLS TMVEKAKATRAAGVRKATTASTVSTSSSSAAASTGTTRGRRPAATTATRTTTSRAAAT RREDDPGSCGEEDRNHNNEESHHYYCSGYGEEDGRCKDRRQDYDGISSHNYPGAQEAR LVETRMALG
SAPIO_CDS3526	MVLEAVMIVVDNSESSRNGDYQPTRFEAQADAANIIFQSIVQGN PESSVGLMSMGGKGPEVLATFTTDPGKILDGLHRTKRQIKGKSNLAVGIQIAGLALKH RQNNSQRQRIIVFICSPVDDDEKRLVTLAKKMKKLNVTIDFIHFGDLDDEATQKKLEA FNKAVKSADGSHFVTIPPSSKLLSDQLIATPIISGDNPPPAATGGMGGEGADGFDFDP NMEPELALAIRMSLEEERARQEKAAKEEEERAKKESLAAVPEEGEASGSGSGDKKGDD KKDNSGDKMDTS
SAPIO_CDS3527	MKTIYALIIINKAGGLIYNKTFHEGGLNQLSTNDYLVLAGTFHG VHAITTRLSPFKHTQTPAGPNAIPSRPEPPSGLEVLETENFRLQCFNTLTGTKFLLFS ETTQANVDVTIRRIYELYCDYVMKNPFYQLEMPVRCDAFDRKLLSYIREINSR
SAPIO_CDS3528	MSENQDGALPRAQPLPKRNVPPRVSRPRPAQPGNEEAGAVLNLG EFQDVDTLTLSEASLVINALIAKRRMDHRNVNETEMLQQTLTYLDNFARFTRKENVEA VERLLSSCTQLSKFERAQLGSLCCEYAEEAKTLIPSLQDKISDEELQHLLDEISNLQG K
SAPIO_CDS3530	MGVKSTAAGAKRKASGGRAGPAASPKKARIQEDRMDLDSNSDSD SVSDSDSDMESSSDSEDGGVKLNSTGPKFGGQKANGKPAYKTPTMLKNAEKKKEDAKT SREIHAEQRQLMKERKAAKPLADELQRTKKIWERLRRKSHVPAEERKKLIEELYTIIT GRMKDFVLKHDAVRAVQTAVKYATVEQKKMIAKELRGAYSQLAESRYAKFLIGKLMVQ SDAEVKDMIISEFYGRVRRLINHPEASWILDDIYRVAATKDQKAMLLREWYGPEFALL QTSSTSPTGDLAKILEEQPAKRGPIMKYLWDMINSLVQKKMTGFTMLHDAMYQYFRNV TVGSEEYNEFFEMVKGDEEGDLLKNMAFTRCGAHLVSLMLANGTAKDRKQLLKTYKDT ISMMSGDPYAHIVILTAYDVIDDTKMTAKAIFPELFGESDEQAAESLMAASSNPNARA TTLYLLEGASKSVFPGTLQDDLEILNEVHEIRKTTSKKDGDMRTKELVVAMSPQLLKG VALAAPTLVHDSFGCQLMAEIMFSAVGDKTAALEAIAANAAGNPGEANASIDDTASEL QPHISRTPHGGRLLKSLIAGGKYDKASGTVKKVDPPLNFANVLYPLIKDHIVSWATGP SSFVVVNMLEAEDFEEKKELKSTLKKNTSALEKAAAGSEEPKKKKADKKDKGAGNKKK GPAAPPKSGGNQGSKLLLEKLR
SAPIO_CDS3531	MLRRCYNTCEPEKSPTPPPCDVDTPLPTYAVIRPPDPTKSPEYP PEPTEEPSSDCSSVTVCADYINECGLMYGGCFPDCTPWPTFTPPPCPTTTSTLKTHAV KPTKRPECSDGGEHIICVDKVNECMMKYGGCYDYCATPTPSFITPVCPTSTKKTTIVK PTRTRRTKTKPTPTSSQSYPPGMEEQCERNGSLILCADGIDECGNGWGTCFDVCATPT LSRPTTPCSITPEPTVASPEPISESCSDITLCIDYVNECGMWYGGCHDICSTPSYAKP PCPSTMTVTTFETATPTGVDPGYEYGDDY
SAPIO_CDS3532	MKLLLALSVLAASALATPVELNTRQRGEFSRYELRIASGAADTP FAGGAVSIKDGKLGFFGDDGAGVFVDVDEGSQASNSVRITIDGEEDSESYVSLAGDDK DGLRGVVVSDSPSEGALFRFGEIDDAGYAKITREGGGRWVVESVRDDDYELLWWDGEG YTLAITFPVELVGRLAAQSRKPRD
SAPIO_CDS3534	MADITTISSSAQFADILKKSAVVVVDFFSDRSAPSQAIAPLFEQ CAESFSKPNAVTFLKVDTEKQKDVSQAYRITSLPTFLLFRDGKEVSRVTGADPRKLQD LVTKIEDAASAAESGGASGSGAAWRGADLPRGYGDITSEIELKGCDLLNADDDSGPVR VLFDSSKPSALDDSRAAAVKVSGKKDWIESGADDQLLLFLPFRSMLKLHTIQLTSLPP ADSDDDEEPPMRPGTIHLYTNHSHNLDFSEADDTPPTQAVTLTSSDWNKDGTASISLR FVKFQNINSVVLYVVKGDGDGEKVRLDRVRLIGDSGEKREMGKLEKMGDLPGE
SAPIO_CDS3535	MSKRKRSKRFCESKRRQAHDGKAKGPKRADAAAQQPELKQADLP PHQAEHAERAENTENAQNAEEVGRNHGEDREALWNDCASADIMIASRGFHWSVHQRVI AVEAPRFYQLIMTMANDGRIVDLSAWQPQVLGELLRFLYVHDYIVLDGYPPEPSNLRC VPFHVHMVCQGWTLHFPRDALRDLVVEKINQNAHEFKAALDHRLGKCRLILPGYLPIS DSLYEALFFLYSQTEINLIRKMLPVRAALCRLVDIALPWLLEQPGFPGVFNSRWVRGP VGFAWQRDHYIFSRIGCMFPSWREPTMVEFEQTVKDVEQGPH
SAPIO_CDS3536	MAKGRISKDPNNHRWLKDTTTFGQRILRSHGWTPGSYLGAVDAA HAVHHSAANASYIRVLMKDDAGGLGYRAGGGNGENDIAGIDEVKDIFARLNGKVETEE EKRERERKKALVYLGQRVGGITFVRGGLLVQEGLDMIETSVSVVSATETVVATSGDSS AETMANIGKRKAEEEPDEEMEEDAPRKKRRKDDESRAERKKRKEEKKRKRKERQESEA ELSTEVSTEANTPDDTDTGKKSKKKSKKDRSASTTQDEADSSSGVDSGKKKRNKDKKK SKSKTKGAENLSEDPSETSIPDDGEEAPTRSKKDKKSKKESKEKKEKKEKKGKSSKSE PADPDATQASTPTYVESSASTTLVNTPSASGTSTPTGLSKGRHIHHARRVAAKRAAML DAAALKQILAI
SAPIO_CDS3537	MTNNISDNTTNNNVDETNNMNRTRRGSVGNAVFRNLFQRSSSTS TGSASVLPPAALNINDAAQRRRLSVSTLGIHGTSPTSSNAPYHFRRASTSTNSNHSEA IDECAVEEDDMIYSSSAKTAPTSPFRRMSMGGPPGRGFRNGSIGTDQNTFSWAEQLRT RAESSVSGQRPSFSFASSFSTSPPRANFVPSPVERARPAVEMQAPPAQAAAVKPKQPE RRKPDPFQERILKGDFYMD
SAPIO_CDS3538	MELASRGSGASPRAVGASSAASSPAQGGSTSSGRFGDTSAQSDS AVDTPPRIGGHSITVSAPAGPSAGTASQQQHHQQQAQQQQPQQQRSSVGEVGPAAHAD DAKRSRACEACRGLKVRCEMVEDGPCRRCMKAGRRCVVTARTRTGERQKKTDTRVAEL EKKIDALTATLQARAGPDQQQQQQTPMYGGVGSTSGSSHGVGTAVGQNASRPAHVSLS AVSETATMRTRSRDWGAVLGMSENTGPAGVDTYQPYAAAAGQKRKIEDILGQGIQNSP QKKSPAAKNASLGADYVDIVERGIITLDIADELFARYNDEMILHLPGVVFPPSMKAND LRQEKPLLFLAVMAAAAAARASLQRTLVKELMEIFGQKVICNGEKSLEIVQALLVSVM WYFPPESFEELKFYQLAHIASVMALDLGLGRSNMTKRKNTSQQQQQQQQQSMHTLGFY TFRPGKDDPESLECRRTWLTCYYLSSNTAMGLRRPFLLRWSSFMEESVRLLETSPEAA PTDKYLCYLLRGNKLGEDVAMRFSLDDPGLTSTLEDFGTQLALRGFEVELERLRRAIP KELMRPTMLISIEYINIYMHESCLQAPNADSFKSCIATTALDDDMVNPRAIGRAQIDA LLVCVAAVTGTFDKFLAMDVASIRCLPVFNFVRVAYALVILIKVNIAVTAPGSVLASV VTDSLKAEYYLDALLAKFLEASSEDKCRPAGKFLLVLAMLKGWFTNKAAITTRKNSMA NKGGNDPGLGFQQQNNSSNNNRPGLPDMSTTTPASTVSGSTGDAGSRPSGADVSPPMG QGHQAYRQHQQQPTPASMANYPTAATANTPLQLLSEVATGDSDPGRRSSNMVWPNRAA AQGYNMYDDGRGSSLDMQAQQYSWPASSLGLEMDFDNLPEGLDWEGLAINLGMGLPLN NEDGLLNVAAMTTEPLLLAGVGAGDRARAVGGVQAGMGAGVWNQIYQNQG
SAPIO_CDS3540	MSTFSTPGAMSTAGRRGTSTASPTAATPSSASASHLPRAGTKAA LTTHLVIIGEVVQVLPLEQVKPEEPEPEPKPKPTSG
SAPIO_CDS3541	MPRKQSARKNRDSSEPEDPEDILFNAQHKMTELRRNLNRRQRIM TEKHAKIQAKVKERIDSRLTEDQQKIIRSREDMLVKWQEAMMETMAIENQIREKIDTL RKECMKFATLLKVVIEGRKQDAENLDLAQLQRDVYNSL
SAPIO_CDS3542	MRSTAPAAPAPIQAVASPNADTTSKPKPCCVCKDEKSVRDECML MSKAANPEEDCQTTIQQYRACMETFGFKV
SAPIO_CDS3543	MATKAPPNYYAILEIPETASAQQIRDAYKRAALKSHPDRVPDGS PEREARTRKFQLVNDAYYTLSNPTRRQEYDRQRRRFPSSTEGATDQTGATNGDTSTGA GPVPGAGEGAGAGAGAGAGAGTGTAQDAYSWAWSFFTGQANNAGRQQAEDQQFGDVFE EMLREEGMADQNGAPTRSFWSIIGGVSGGALGFIVANVPGMLAGAVAGNRLGAVRDAK GKSVYAVFQELPQDDRTRLLSQLAAKVFSHATGL
SAPIO_CDS3544	MKTSQTLALLSSIALGSADFLAPARGQTLEIDEEFTARWETDGL VEPIDISLVPVGNENAAQQVAAGVDNSGSVAFTPDASLGQFDQFELVLTDSDDNTVTS DAFSIDSGNGGAQAASNRQGGNGGNGRVSANSAGGRGNQGQQASSDDEEDDDGSNASG RVSAAVEPPEADAQTQRGAGGNNQAATGATGAANNAAAGSPGGGFIPLSGDQLTVTPT FANAQQANFVTDAAQIATNEGVVPNVGVINSQASQATADVNIQTVPAVETSAAAVDGA VPVATPPVAVIDPAAAASSQTGLVVDGGVAQTGALSLSPVASAAVGVPQAGFSVINPG AAATAIGSVASVAPIASLDASAIAAEPSAAASVSAEVIGGAAAIPGAATDVLEASTAI AGAVSLDPLASVAADTAAFSADSLAPIATPGVFPSVGAGAPGAIAGTGLTISPTIVPG GQFTNTLPAVPTGSIPTPIINPSGAVGGIGTGAVGGIGAGGVGTGANSFATNVLNSAS GLAFSSINVGASATGANAQATGATVAAAPGLSARGALVGGIAVLVALWVM
SAPIO_CDS3545	MKASWASAAFLLACGVCATPGPSIGTDDSHNSTTGPLAPRDDGI FKTASNAVTGTFKNALGIYGANFQPQDIPAGEITHVLYAFADIASDGTVISSDPWADT DKRYDTDSWGDVGNNVYGCVKQLFLHKKKHRNLKVLLSIGGWTYSPKFAPIAATEEGR RKFASSAVKLVADWGFDGLDIDWEYPQNAAEAQNLVDLLRTCRELLDAYAAEHAPGYH FTMTVASPAGAQNYNTMLLEAMDPLLDAWHLMAYDYAGAWDSTTGHQANIYPDPSNAA ATKFNTETAVNAYLQRGIDPGKLVMGVPLYGRSFMNTQGMGLPYSGIGAGSIEDGIWL YKDLPRPGAQEVWDNVVEASYSYDPASQELITYDTVTSTTRKAEWLIDRGLGGAVFWE ASGDRKGAGSLITTLANTMISLDGSQNLLSYPISVYDNIRNGME
SAPIO_CDS3546	MGLVLFETSESVIELLWDIALAFFILRIAFIYFLLTFTSGALLT YFFADRFLPATLFYISMEQSELLVLGLHLLLMTVWVRFCIVYFEVPRVKGFRLAIGLV AGVFMFLAETLLGFALYKYGHGGWILESESEARWAWATSLAVFSLLPVMMTAVERVPT DECDKCHAATSHGHEKKSVTAAVGTVGKGESASEDDGEDGSDGEELKETWLKA
SAPIO_CDS3547	MAPSEKKVFTLEGKGLKLDTAEDLDAHIKELREMEDVEEVRILG NTLGIGACKLLGEVLSTKKNLKIANFADIFTGRLLNEIPEALSSLLTSILNHPNLHTI DLSDNAFGINTHAPLVAFLAAHVPLQHLYLNNNGLGPHCGILIADSLSELHKKKEEAR KAGQEVPDLETVICGRNRLENGSMTAWAKAFSLHNKVKLVKMVQNGIRPEGISHLLSE GLNKAAELEVLNLQDNTFTLSGAKALAKAIPGWVELKELGVGDCLLTGKGGILLSKAL AKGQNKKLETLRLQYNDITTHGVKSLSEAADEFLPALKRLELNGNKFAEEDPAIILLR ELLDDRKEKFGGDIILEDDWGLDELDELDDESEEEEEEEEEEEVEPEELAEKLIKEAE EAQEEPTVQVKDKEVDKLAEKLEKTSI
SAPIO_CDS3548	MAAPKRSLGRKSSPRGDETPDSPLSSVAASSEPSDDEAGDEAEE TESRPSKRQKFTEGAATPTAVAAPEIDTAPELDGMSDVSEDTDGDVPSSPTNARYEEE DFQEQVSACAWDGCDAGDLGNMDNLVEHIHNEHIESRQKKYTCEWIGCSRKSMAHASG YALKAHMRSHTREKPFYCYLPECDRAFTRSDALAKHMRTVHETEALRPSDPIPKSQHG GSGGKSSKLKIIIKTPQSHATGQDDSVDDGADGNDSEKEQFTPLHEDLFTEKELGYPL KELHARCRYQVKLADEESERLATDIKKEEEEYRRMWREKELLISQVLQGEMDWHERRE AIKSGAVNIKLAGGHEEDPDESHVNGVTNGDATAIAVEE
SAPIO_CDS3549	MDSVTAYCYGTFGWLGIQAIPLILWPSFISSLLRTDFHQPSPLE DYYARSLGFALITLGLGTIVLSGAIPLTSLPIPLADTEVVSPYANAILLITTLHHFST SFYAYTRYMNTSQIAYVLASLGSGMLAVFGLFSLLFAGDNTRRSKKYGFDKDTSSFPF TNKESYRNKKKQVKGN
SAPIO_CDS3551	MSKKAKHVPNAWDDEDWEVLADRAEKEAAKEAAQEPPVRLSKSE RLALHHDESPEPFHFLEASSNVPLATAYKTQVKVLSRKPVIAKRDPSGANANGSLGDD DGEEDKEEEKEDKVAAEARIALEKSILEEKQRAYDEARRRIFGEEAGSEEQKQAAARS DGNSGSPRGLGRGRGRGRGGRGRGFSGVATGTGRNSGDSRGGSPARPAQSGNRELYDP GYTPKSGGVLLQRPDGGQQVKQGGARDYNQIAAVREPRGPDGSGRGGFGFARRGARGS 
SAPIO_CDS3552	MADRRRQIRIPIDKGALEKDGSLDDKHGPRYLIVDQNWKMSDFP DPLAPKPNMTAMCPPGMSKDQESRLWDQLQKYKETAKKLDK
SAPIO_CDS3553	MLHQIVRSAGARSAVSTLSRVTRGYATATQARMFIAPTAVRRAD FVQELYLRELKAYKTPVVKESDAEGHVQVFNLPKTPISPEEADLASSLKEYEDMAVDV EGADAAAAESGAAPVVEDWLETEEVEEEAAH
SAPIO_CDS3554	MDKINETSRTVAATDEDGGEQLGDETPRSGIATPRPDLHDKRLP GIATNYGQVGPASSIQVVPQSTICGNAESVLQADASAASDSCQSQFESSGPQARLATE APALLNDESGISSSQSQGAPSQPHPPPSPPTSQRSSCGPFDSGAAADVGSLKPAPSAA RRFLVSHTGPDGPTSPSAQTYPSNNAAPLTSVVTALAVAPSYPSHPSHNDHARATTLP TTQPSPSTSSPQPPQTAPPTPIHSRTSSAKSGLRAWFSSFDSVKLLTKAFKSGPTTPT RALSAAQPTQADYKNGSGGLDVSRSQTPRISPGPQAPAAKGKLTIKITEARGIKKSRD PYVVAVFQRSELISGGPRPDENNEDTPPVPAFVAMGGLPIQRQGSDSGRPPMAIPMRS RQSSNTSVTDYTAFRNRNSRRSFANPKWDAEAVFDVVDSDLLVDISVYDHGTTGEVFL GHVDFQASLDPEKPVSGWFQLQGHADTDAEHTPTGEVYVEASYQRSERKHLGPADFQI LRLIGRGTFGQVYQVRKKDTERIYAMKVLSKKVIVQKKEVAHTVGERNILVRTAMADS PFIVGLKFSFQTPSDLYLVTDYMSGGELFWHLQKEGRFDEKRAKFYIAELILAIQHLH DNDIVYRDLKPENILLDANGHIALCDFGLSKANLTKNDTTNTFCGTTEYLAPEVLLDE SGYTKMVDFWSLGVLVFEMCCGWSPFYADDTQQMYKNIAFGKVKFPQRALSQEGKNFV KGLLNRNPRHRLGAINDAEELKRHPFFADIDWDLLAKKLITPPFKPKLKSETDVSYFD PEFTNALDNSGSLHERAAALARGFAASTPLSPSVQANFQGFTYVDESALEENMRDKYD DEDMNDAHHDNRHDEDDDWDNLDDMDLRRGNRMSGIMRTNTADEHMLGHFED
SAPIO_CDS3555	MPRDPSSGINQDLLPHVHLISTHRYALMPRVDIQDVAKWLMDAP RIAKNQSPFHWTYLDGPVDGTILLTWQPLARLGTNFASDGFVWASPEQLFKQDLGNGL VLEIYLQKSGFLPGEQAALHARRRFRLVPAQAGNPHAPQPDVSLWLVHYGPSEPNDRI PVNMIPFDERVHAMINTRQYLKKCGQIARKDFMLSDRVNWPQIAFPREGGRQQQMYAS PMNARGVPQQMAYPPQAPTGPPAKRARHASTSQAHPAPQPVQPVPPPEAAVYDDEEDT SRGDMFDQVTAREIALSRYQQNHEWMEEILSSAYRIDQISPPDLGLGFKGQLASLTEG IFPAQGGEALETAIERSYSSGLDPKLAEEFQKRITNFMESSKAEIEAMKANHEKALAQ IKESAVIVQAEKDLRDTADAVGDEPWRLEGRVEEEEDEESSGSLPQKPKKKVEEIVSE VESRLNRKTRSLRPVSRIQDGGYQPPAPEPEPPAAVPSVSVSAGAPGGLAPTSQQVSA APSQQGGMDDPDLEMGGTAGELLSQMQPGMSSASTPGAHNTSALPSAVATPGDIGAPS PAAPAPALPEQKAPQPTGGDTIMGNTEPSAQNVPPTAPDQGTGSGDWVMVPKGGASPD ANAQAASGSGSASASKGTPAAVSVAGSVPAKQPSAVGTPADGGSTTFENDFSSLGDLD TAGDALASYEAPTGTDLGDGLDLNMDMDDSAFGEAFQGVSQSGTPADGNPDGI
SAPIO_CDS3557	MPPTSPPPFPSLSNLDELLADDRGSGHATSSSSLTTAAVPSSLS PSTNHHRNNHTTHFHTSAATSGQASSTGPQDIEMDSIPAGHRRRRSSLLNGVSPFRAT RARSQSQSNTLQDEPKIFEEGKDGDSIGLGEFSDGSVSDEDLHDDEETGLTKRERDRR KWRKRRNTLLDQRVAPEKISEEEKKEADQSVVRSSLINVALIALWYTCSLSISLNHLG SDMGRSRHESEPRQPIMTKWYYLTRITPCGAATGLDIGLGNTSLKFITLTFYTMCKSS ALAFVLLFAFVFRLETPTLRLVTIIAVMTIGVVMMVFGEVKFHLGGFILIISAAFFSG FRWALTQILLLRNPATSNPFSSIFYLAPVMFASLFLLGFMVEGFIPLIEGVVTLQGEW GTFMTPAFLLFPGCIAFLMTASEFALLKRTSVVTLSIAGIFKEVVTISAAALVFEDRL TPVNFVGLLTTMVAIFAYNWFKIQKMRREAQAEVHKQHHMLEGSVSTSPHSASDIETD ENSEDAGLLRHGGDHHHLDVRTASPANSSDGAHREHRL
SAPIO_CDS3558	MFRSLLLLALTQGIFALPAAEPSCPIVFDGRVPKDASLTDFDAN NGGGWMPFNPGYVKGETLKWSEILKLPEVAEASRFDSDSGTVPLEVTLSDKSIFMTQN GFRRAGLQFLKDSNEGSPASKGKKTIHFSLRTDPQRALNLTHEYILVWHETAAYDANQ FNFQTGTILGQTGLPRDTYKLLDRNNKQLWSTPIKSDTWQNFGITVDYTGNTLQVWYS EGDEELAKATDPINNNNAGEGQYQVGMLKKPTGTSDVVNSGYQESGLDEGLIYGGIFI EDSSADTCVSK
SAPIO_CDS3559	MESNDEIDLESISGQLPMLKCETYENTVLPFHRDAAGLLGQEVR GETPPTPGPLPRPRPSPQPRGRPRPGSEADNSVQPAISLIGIPGVSANRFDTTTQLSG LPIGGGAWLRPRPPYPSTTTGSDYYPTPVFSPDAADARSEAGYSSSTFPSFHDRGRPN VGSYPSSPSSFLNAPGLRDHHQHADRQIYPHNYIHNTGDLSSSPVNYVNPLGRETAQA FPGIGTSQNHTPNYNSTDEHSPGLQVVNHRNSLGHTPTFQQSNFGVTQQGSPGTTDPN PFYPAQQFLSVPDHHRSGQHRPHGPSRPTIQTSRPRSLSQTSTRPGSVRESRVSKSNR GPTSPIHPDFFRNLQVPPSLPRSPSVSSATSVKGRRNGALSAEAAENANLVDKSRSVC VGCKLSKVTCKRKNPLDHSDPCINCVKQKRQDFCALAWFIDKFKGNPSTCPTDILQSG GDGEARASVVLGNLINFPLLCEGIGQLMLDMGNSTVSVLLSQESYEIDLVKSHECLLK LWDQLHHDGAMSQDGAINLQEFLDNKFDRSAGWDDCVKDWRGLDDFPIWMDGLGSARF SVNDTELCGEQEIRHLANLCRIIMRQVELTGIGRFQEAFNSTAAGDLGRSPFPRQVGR LVKAYRNRLVNQPSEPARETLIRAVYENLYFLYLYLQDKTKPRYRDGRPPITRGYTEG TAIEIEDEEGFPLNARGFSEY
SAPIO_CDS3560	MGFWDNDSVSIVSKKSHSGRTKYTVQNKKSRSRSRSRSPSRESR HSRSASSFFFGGGSGGKHGSSRVSLFGSSDKHGKHNSSKSSFFGLPSGSRSSFFGLGR SSYYKRSPRKGFIHRAYKKLKQLLRDLVKYAKRHPLKVFLLVLVPLITGGALTALLAR FGLRMPAGIERLLGVASKAATGDGIGLVGEAMKMAGSIAGGAAGAAEVGRGRDGDFRW ERKGEDGWGGGVSSVMKMFS
SAPIO_CDS3561	MARIQIPVDVLTSRLNIGDRINSMRSGGLANRFSNLRPISEFFD FKRVSKPQNFSEIQSRVNYNLGYFSSNYAVVFVMLSLYALLTNWLLLFDIILVVAGMY LIGRLEGRDLEVGNFKATTSQLYTTLLVIAIPLGLIASPFSTIMWLIGASGVTILGGR PRAPDFAPQWGRPSRRGRRRGEHGGQDFWDPIRRVVEEVDTDEDGEAAEGRGAGNGLN KGRSRRFGGEESSRYPGIDMGEQGNNNHVTARRGFAYHSEEEEEGGDGRKHDDESSSD DDLASVDLDALSPAERDEVLLRSAFRQIERAKEKGRSDVHLTKEELAALERRRKRMEE EAAARKAARKRSRSQRVAVPLSQFEPTSRKKRVPSAADLAALDPHSSGGGYPPMGYFP PPSATRTRSSTTSSGRPPSRGGDRRGSPPFTYSYVEQQQQILMQRYASDPNASRSRGA RARHEEDAEASSNSSNTSPRPPVPVDPFQYQVAGPRAPRAPGAAAPSGARRPVSGPAE VAYGGPRRDTVTGPPAAARRQAKPNEETSEESSEGSSDDDDDDEDGDEDNGVPAKAPS PPQTRRATRATAIVVEEEERPKKPPTPQKKPAGDKSGGGGGGGGGQRRRKRR
SAPIO_CDS3562	MEESSPLAAIHRPRFAQPWAKKDLFINLGSGASGFHFREKIHRP EYIGINNVRGSSPAASLAADLSQNFRLDSDASPRFATPRRNLFSAAALIAAEARGKPN DPGGSKGGVAAMDGMLQDSPIPKSAAHCSDEMMSPTPFPDVAEVSDVQNDDDDDNASH GINEDYDEMMMDSPVPVSRKSSLEGLKFPNLERRAPRRPSITRLKGYTTSTVQCRAAK AELPYFRFGDASRLSHVSSASSLTESYEFQAPYEKRPQTANSSAPGVPPHLRCRPAMQ SFGSASRSGSPINGHARRTSNPFLRSRKTIRRSFSMFEHPEDIMKPNTETTTSPLPKP LEAVMDIEEPQEPLLPHFSSDDPGDSIPRITKDTLVDVLDGKYSGQYDQKLIIDCRFE YEYEGGHIDGAVNYNDKELLAAHLFNTPMDGRTLIILHCEYSAHRAPLMARHIRSQDR TINAEHYPKLTYPDVYILSGGYSEFFLTHRCRCYPQNYVEMSDEKHQRTCERELGRLK KTRRQPTLGRAKTFAFETSTAKNCSPFPKHRSVDDFSLGSPSDGGARGRVVTL
SAPIO_CDS3564	MAKKRAPSSEEAPQVPNKRFKRAKNPRDDMYKALESFTSFPTQP DWEDHQTEQNLYKALTHRIRDLQVKAAALVSKALVGESETEEPSEPTISEEEFKRHLE RYLFPALTKGDTVSREGTFRVISKILSALLGDRNLSSTLYPSLTFDEFLSMLVRFTPL DESNTGDDQALGRLLGLRCFVQKEVLEKDTERWRKVLPMLLDLASKNIELRLACGAII ADAVGSLSKGEATWTTNAIASSPLAKTAEGLAIWVAALQHHPDLKSENWKKPVSARSA PNVLNLLKESATKVLNENAGPVNNGKATWSAPLHFVWSRLAKYFGNLGQAGRKDFASI WTPVVEDYLFAKNATDLQKARGFLIFQRMLIEVATDEALVNTIFSRNLMSCLQNHASR KDRHLHGVAIETLSAIEEIAALKPKSIVPILKNLLGNNGSYEFEQRTNTKTIEKVLKP TESSDIKQVLELLEAPFAKASTGKTNLSATGNAYMRYLYYLASTGNSIGALQKLSTLA FGSSKVTSKYGLTESAKANCLTQLESALARAGRSVENFDLLCQLVAGVKPKNVELDDD VLEQQKAVVKTLDKILRDPEFVLFGDRQLTNALALAHAMPLFMVYSGVPGADSQLIAI NSLLETCETKGEDAGLQLVIVYILLPIMYRPSSLGRQVSKHIFEAIAPRLDIETMRML LERLEFTEDKAGYSELVQFIDAPEGSDDEDEDEEDDGSGSENDSEDSDEDGEEESGDE SDGTADIDQEQLLKALGSHLLDTNGEKAGDGEDDESDSEEEPDMSDSEMMAMNDTLVA AFDHISGKGANEANEAKKATAGVVQFKNRILDLVEAYLQQQPRNTKVIFAQFPYLVRL ASQTKSSDIRARVVDILSRYRKRFLKDRKQWLEEGKRKKQVSDYVSTLEDVHKEVGHR DSQMFAKAASAACLTIAAAVVAADKKKIGRLNKMHEKLEAELMTEKRKKVHKSFFETW KAYQQSVSGGAE
SAPIO_CDS3565	MLTFGLLALLQTSIGTGYDLANAIFSPDGRNFQVEYAIKAVENG GTTVGIRCKDGVVLAVEKIVSSKLLKAGANKRIATVDRHVGVAFSGMIPDGRHFISRA RDEAVSWRDLYKSPIPTNILASRMGSYLQAYTCHSYVRPFGITAVIAGFDPEHELPVD GEVGAGPSCGAGGKVEGKKHGGPFLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDL AAGTLTLEQAVKEAARIIHKSHGEENAKDYEIEMTWISGLDGPTKGRHVEVPEELKEE ALRLAKEAEDEEE
SAPIO_CDS3567	MRFSLLSIGLLALLTPLTAAWSKEDREIFRVRDEIRAQEGGDLT FYDILEIPPNASQDEITKAYRKKTRSLHPDKVRQNLISESKKRKKKDGKPGVKATKPP SESEIRSAQRQASERQTRLSLIANILRGPERARYDHFLSNGFPTWKGTNYYYSRYRPG LGTVLVGLFIVGGGGFHYLALYMSWKRRREFLERYIKFARQTAWGENLGIPTGGAAAA AARQSYTADSDADADEESYQPRNRRERRLQERESRREQGKKAPKKKSPAANQEEQSQG GPTGTRKRVVAENGKILVVDSLGDVYLEEEDADGNVELFLLDPNELRKPDFTDTAVVR VPLWALRMAMLRVAPRPQPVAIEDDEEEAEKENEVEDDDSEPAQPTPSTGSDVGDFEV LEKSTDSLEKAKTTGAQAQTGGKKRRNKKR
SAPIO_CDS3568	MDPPKILVLGSVSGQLKAAFGKVAALQAKNNFALLLITGNLFSE SGDDDVVTALLNGEITVPLPTYFTVATNALPSRICEKVAADEEICENLHFLGKRSVTN TSEGIRIVTLGGILDETIVAGLSTEQYLPLHTSQDATSLKGAQSADILLTTMWPNDVT KGSKVPLASGYTPGRGSDEIAALCAALKPRYHFTTSPDAFFWEREPFMHEPQDESGKS GKPKFTRFISMAPFGNDKKAKALYAFSLPKVDTVVEIPPGTTASPFAHHIRASRKRPH ESTYSRFQHDEREHGYSKHRRRRSPPPGPDKCFFCLSNPNLSSHMCCAIGDESYLATA KGPLPAPDTFAKEGLDFPGHFIIIPLSHAPTTISMGDVLDPESTSVKTYKEMTRFQES LQAMLAKASNFKLGAVTWEISRSRSIHLHWQLLATPADLIRKGLVEAAFKVEAENQSY PHFQTTDLTLEKQVEFGDYFRVWIWADDGDSKIKGKSLVMPLDPEFRFDVQFGRRVMG KLLGLESRLVWQNCVQDEEEETNDVAAFRAAFKEWDFT
SAPIO_CDS3569	MPAVSSGRISKARRGVKDAAPHKNHRWESFNSKISKLHTLDPLK KVRRHDLEAEDLSTTTSYFNNGLQRWNELNISKGFVGFKREALPLSESLPQILHFEDR IMQLFVQYISEQDKESLEPLLDLLTAFARDLGPRFEKHYPLALQLIVDIASKSQPVEV IEWTFTSLAFLFKRLYKLLTPDLRPTYDVISPLLGKAKRPPHIARFAAEAMSFLIKKA AAPNHRRTALPLIVEHTRQDLYRAYESRQFELFQDGLMTMYAEAIKGSGDTIHSTGPA TFTALLHSIPPQDSNLTDPPIWTNVTCGVLTSLIHHSNATNLEPISQVLFDLAKPSGT TVNNDNADVEYPSVPLIRVIGTLSGVRKGSRVNDWEVLVQSLMDLLAIATKWQDSGSA QIKHQFWRHVMVNIATVWVYAPIHALTPSILSFSTILTRDPFRGWYIPFCAYFADLDP VRFRSLFLGSFQAFIVSNWSDNGNEDLLCIYISRMARCNAIPSAGDKEVFNLPRQWQD HIVSKFERLEVSPFPERGVYDKDPQTWRDRCLPKYAALLKVLESAGVHPSTNARIAEL LLRKLKLALRPSSTLASDEVHFIVSQGLHAYLRMSAAAGSVDDSLSPLLRAAVPRFCR SVGFLESYMTYIQHSKKLKKGAQDAGSDDSQSFDNDAVTKSLIENLASPSHELRQVSL KVLAELDGQNTQPDGVIETMLEIEDTPVELANCRKITMYLRKLGQSYSSLASDSLTAL AITSFLFGMFNVKMAPIWDGAVEALQLVCQTKTGEDAVSDLAFQWLGVPSPRWAGPQQ LNNSSSRKFVSDFECKNVQGLEALSEEFYDIIDNPLDKTLKSFDDQQYTVEPHSPNAR AQALKLLTAIPRLAEKRSRMFVPSFLGWAAAGEETSETPDEEEEESQGGKGWSLADRK AMLGVFGQFVNPKVLYNHELVYKSLLKQMENGDVEVQRLALKAIFTWKQDGVKPYQES LEHLLEESRFKDELTLLFQGDEQRIQPEHRVEVIPILLRLLYGRSISKKGGASGRHGL DATRLAVLRNLDVEDLGQFLDIAVGGLGQVKVIDACGKTTTDVELEVVPIRRQVGFLN MMGSFVSEMGAGVLPYMDTLLNPILYCLVYSARQLQESSQDDKDDSPDDGEDQPSNVS LLRVARTTALKCLIALLRNAASFDWTPYGDLIVKEIISPRIDKLPVESAQGVSGMLQL LSTLSALPQESIFLSIDDRIVPQLVECLAVEKGKPEVKVFVLGIIRNLISLAVAPAAE SINNGLIQDELLKPVLDRLLTNVTNLLETPNLGNDLLENCVEAIIEISPIVDNSQNVQ GVLELATRFLAQPPRRVSPKTKGRIILVLERFVTFQEPLPNPSAKPELLEKIHESIAS LFSYFKDRENRESLCRVYLVLASKDPSLQESAEFCRELNSFVEGRIDEPDYDRRLAVL NSLSKPREQPLAAHQWLAILHNLLFYIRLDEEFNILSSNSADAMRQYIDDAAACTDET MRGVFEKQLKSVLLPAMYSGAREPSGAIRREYLRVMGRLVSRMAKWSSVSDLSALLDE TDEESSEPQFFFNILSPATSRQLEALQILQQANSKSEMASQNIAHFFIPLLEHFIFGR EEGSDDHGLGAQATIVIGDLASSLDWKHCRSILQRYISYISSKPEHQKALIRLLGRFV DPLLAAYEPTSADAMDVDKPQEAASKTSRRLGETIPKGEKFTSDIIQNYLPPLLKHLH EKDESEVSYRVPVGVIIVKLLKLLPPDEMDLRLAAVLTDISHILRSKADESRDMARDT LVKIALILGPEYFGFVLKELRGALTRGYQLHVLSYTMHSILLAVIPEFGQGSLDYCLA SIVTVIMDDIFGVTGQEKDAEGYTTQMKEIKSSKSQDSLELIAKTASITHLVDLIRPL QALLLQKVDLRMVRKIDSLLSRITSGLLHNPAAERRDTLVFCYEVIQEVYKAETAVEQ PKVDPRVRRYLVQKHGKKSGERSITSKHTYKLVRFALDILRAVWKKHDNLRNAANITG FIPILGDAAMDGEAEVKMSTFKLLNIIAKVPFPNSDTAGLYKVAVKEATKSIGMSSST TSELSQAALKLISVVLRDRKDVTVKDAAVDMLVGKLKDDLTDPQYRSVTFNFLRCVLD RKIETAAVYDILDYVGTVMITNDDKETRDLARGAFFQFLRDYPQKKARWSKQMNFIIA NLKYDREGGRLSVMEVIHLLLLKSAEDFVQQIASACFLPLVFVVANDDSEKCRLAGGE LIKQIFKKADKEQTQKFLTLLRSWLSNEENPAVSMLALKILAFYFDSSDRATKNEKDL RLLLDHVLGILRSEKTEELDADSINSTIDAVRVVAEKFATTLFTPDSEELWCLIRQCM VHRDNTVRLNAVRLTTSYLLHFAGNSRDTDNGTVLASKYGAELKSADIEDLVGLGIRI LSTPIVAEELATETGQILIFLGPHLPENVTDDAAPDSEEDEDEEEEEEEEGGEVEGGE EGEESAAAPSRRRKDLHFLFWKLSYILRKQGAPKSEAVNAKVTAMEVLETLCRRMNID RVRGSVKTILFPLHHLTDKHIPTPSSTDELFKTKYEGLKVRAQILMDSLQKKLGTAEY SKYLLEIREGVKKKREQRSAKRKIEAVAYPEKFGREKRKKFEKKKERRKVKGREHKAM RQAYKGW
SAPIO_CDS3571	MRPGSGQQGEKDYFESSWDTLRDAMTDIHNMNASNWQYEQLYRV GYKVVILKKGPELYERIKDFERKWLRENVMAKLLDFITPEFVATLESATTSANERRQL GQKLLRSLRDTWVDHETSMNMIADILMYMDKSCTEVAKHPSIFTTTIGLYRDDVLKAE INGVPLIDLLVTVLIDQIEMERAGDIVDRGLIRNCIGLLDGLYETDQEARLERLYLTR FEPEFLRVTRDFYANEAQRLLGQGDCTAWIRHTPDRLNEESDRCGTTILAESRGRLVS LVEEEMVHKHLDEFLALDTGLKWLVDSGKLEELSTLYSLVSLDPTAKGKVTRVLESHV IELGREIQRVLQATDFSTPAASAPEEADKNDEAAGKNEAGGRTKPSQLTAAAQQTAAA IKWVDDVLSLKDKFDRIWSECFKKDLSIQTAITKSFSSFINSFDRSSEFVSLFIDDSL RRGIRDKTEAEIDVILEKAIALMCYLNDMDMFERYYQRHLARRLLHSKSGSHEVEKQL ILRMKQEFGNQFAFKFEGMLRDLDTSAEFTANYREQMRLVTGDAGAIDVSISILTTNN WPAEVMGRSSQIGYGDVAPCTYPEEIRKLHDSIKQHYLQNRVGRKLTWVGTLGSADIR CTFPPVPGKSGVLARERRYEVSAPTYGMVVLLLFNEMDDDESLSFEEIQAKTNIPAPD LTRTLMALAVAPKCRVLAKDPQNKVVKPGDRFKFNNAFASKTIKIKAPTITSVSKVEG DEERKVTAAKADQTRSHLIDAAIVRIMKQRKQLMHTQLITEVLDQLVGRFKPELPMIK RRIEDLIARDYLERVEDASTPTYRYLA
SAPIO_CDS3573	MAAFVKAVNAKIRANPVLNYVCSTHFWGPVSNFGIPLAAIMDTQ KSPELISGQMTAALCVYSCTFARYALAITPKNYLLFACHVVNGGAQFTQGYRYLNYNY WGGKEKLAAAKAAQKDTVAPPKLEEVKA
SAPIO_CDS3574	MDHAPPQTDLTFADVFDEEDDIKETQTVHHIRANSSIMQVKKIL VANRGEIPIRIFRTAHELSLHTIAVFSYEDRLSMHRQKADEAYVIGKRGQYTPVGAYL AGDEIIKIALEHGANMIHPGYGFLSENAEFAQKVEDAGIVFVGPPAEVITALGDKVSA RKLAIAANVPVVPGTPDAVDNFEAVKTFTDTYGFPIIIKAAYGGGGRGMRVVREEKDL QESFERATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNYGNIIHLYERDCSVQRRH QKVVEIAPAKDLPANVRDSILSDAVKLAKSVNYRNAGTAEFLVDQQNRYYFIEINPRI QVEHTITEEITGIDIVAAQIQIAAGATLQQLGLTQETITTRGFAIQCRITTEDPAKGF QPDTGKIEVYRSSGGNGVRLDGGNGFAGAVITPHYDSMLVKCTCHGSSYEIARRKMLR ALVEFRIRGVKTNIPFLASLLTNPTFISGNCWTTFIDDTPSLFDLIGSQNRAQKLLGY LGDVAVNGSSIKGQVGEPKFKGEIILPELTDDNGNKIDVSEPSKQGLRQIILEQGPKA FAKAVRANKGCMIMDTTWRDAHQSLLATRVRTVDLLNIAKETSHALANLYSLECWGGA TFDVAMRFLYEDPWDRLRRMRKLVPNIPFQMLLRGANGVAYASLPDNAIDHFVDQAKK NGVDIFRVFDALNDIHQLEVGIKAVHKAGGVVEGTVCYSGDMLNPKKKYNLDYYLQLV DELVKLDIHVLGIKDMAGVLKPHAATLLIGSIRKKYPDLPIHVHTHDSAGTGVASMAA CAKAGADVVDAATDSLSGMTSQPSINAILASLEGSELQPDLNVRHIRAIDQYWGQLRL LYSPFEAHLTGPDPEVYEHEIPGGQLTNMMFQASQLGLGSQWAETKKAYEHANELLGD IVKVTPTSKVVGDLAQFMVSNKLTPEDVKARASQLDFPGSVLEFFEGMMGQPYGGFPE PLRTDALRGRRKLDARPGLFLDPVDFTKTKRELSKKYGKVTDCDIASYVMYPKVFEDY KKFTTRFGDLSVLPTKYFLSKPDIGEEFHVELEKGKVLILKLLAVGPLSDNTGMREVF YEMNGEVRQVTVEDRAAAVENISRPKADPGDSSQVGAPMAGVLVELRVQEGSEVKKGD PLAVLSAMKMEMVISAPHNGKVSGLQVKEGDSVDGSDLVCRIHK
SAPIO_CDS3575	MASIDRYRPPREGYQPPAIPQQNTSRSPTRRREVPPPAPSPPTH SSRTSPPRKRDVPSSPALSAPQTPSPNPANQWFFTPEEVLSTPSVIDGLRPAEERLRR AKGVNFIYQAGVLLDLPQITLWVAGVFFHRFYMRYSMVEERNGIHHYNIAATALFLAN KTEENCRKTKEIIIAVARVAQKNSRLIIDEQSKEYWRWRDSILMYEELMLELLTFDLM IDNPYRSLFELLGQLDLAHNKTLRQAAWTFCNDACLTALPLLMEARDIAISAIFFASA HTTQQIDDVDGEPWWRFLNGDEGKCVRAIDVMRQFYTENPLRKQNPSLPSPAFLLENT RRRGQIVHSQTPPPTATPMADRSTQSPKPQANGGADRASESRDSRDPDIVMSGASQQP PSQRVNGNASPTKRKSVDSDAESEGARAAKRPRSSDDEEGEVADS
SAPIO_CDS3578	MDPKTCLNDDSLGPNVRGCRDDFDFTLMFERLCFAVIPGSVFIA LCLARLAYLYQKPRVVLGTFFQFTKLAVITVYATLQFSLLILLTVGHGRVDNGLSIAA TAVSLAAALFMLALSYAEHSRSPRPSILLNAYVLLTLLFDIAQTRTSWLVAANLQDAI FARLFTSSVVAKAFLLLLEAQRKSRWVRWDASEHSPEESNGLFGLSVYSWLNRLFLRG YRTVLSLEDLYPLDPSMGTDTLFPKFQRQIYSPSYRPARFALAKALARALAVPWLLPI APRVALIAFKFMQPLFLERLLGYLQESQATDEPNHNIGYGLIGAALLIYVGMAVFGAF YQYYKFRVLYMVRVCLASAIYKKTTEAKLTAGSDGAALTLMSTDVERVVRGFYAIHEL WSSMIEVGIGCWLLQRHLGAAFVAPIVTILICTLGITWISGYSAKRQREWMGVIQKRV GLTTTAISTMKGLKITGMVGHVADTIQSLRAADIKAGNRWRIVLLLTAMIAIAPQALS PVFTFAVTSSTLDTTTIYTSMSYLLLLAAPLSMLLQTFPGLVASFTCLTRIQSFLEAD TRVDYRKFAYPGSGVLKPSGDESSTDSAYERIERADGIAVGILKTSKLATTASEKQEP ITEVHNAAECLETPTLAVRISNGSFGWTAGKMSLSDINATIPASKLTMVVGPVASGKS TFCYALLGEMPYSSGEVTWTLDEFGSAPKSGRKQGRGCGGGVGFCEQNPFLLNATLKE NIIGFSSLDQSKYDAIIDATRLGIDVSQMPSGHDTRIGSNGIMLSGGQRQRVSLARAL YLESNFVVFDDILSGLDNDTETEVFNRVFGPDGILRRRGATVVLCTHSIRHLPTADHI LALSPDGRLIEEGSFQDLMQNQMYIQSLGVEASDSDSSKSGSNIEDEDGGNPKPSDSS QPMGKKSEKPKVLAHHLDKARQMGDWRVYSHYFGAVSKSMLLVILITGILYGFGDNFS TIWMGYWAADTLSRDNSFYIGIFGLLRTSQVIWIGLSGVAILISLVTLTGTALHKNAL RTVITAPLSFFTNTDTGIVTNLFSQDMTLIDGELPYSLLNMSFIPFSLVGVACVIAVA TPYLASSYPVLVFILYMLQKFYLRTSRQMRLLDLEAKSPLYSHFIDTIKGVATIRAFG WSQPNLVRNNGLLNTSQRPAYLLAMIQQWLAVVLQLTVAAIAVILVSLATQLSASAGF VGASLVMLINFGETLTYLIITYTMLETSIGAVARLKTFSEVVKPEDLPGEDVKPPEEW PPRGAIEIRDVSASYDVGRPDLPRTEDDEKSSKVPDTMAIRNLSVSIRPGEKVAVCGR TGSGKSSLFLVLVRLLDPLPGCAENITIDGLGLHRIDRATLRQRIIAVPQDPVFLPGG NSIRANLDPLGMASDDECLDVLRIVQLEGFVREAGAAAATPTTEASSARELRDTATAE DLNAEMKAESFSSGQRQLFSLGRAILRRRVRDRRSGKNGGGGGILLLDEVSSSVDRDT DRAMQDLIKREFASYTVVMVSHRLEMVVEYFDSVVVLDQGTVVETGRPAELVEVEGSR FRDLWLLAKH
SAPIO_CDS3579	MKTLKSLLASASLLFASTASAQFRYCPIDNKFCMGVAVPQVSAQ SNSGNLYFQIQALDTFTWVSIGTGSSMSGSNIFVVYQDGSGNVTVSTRSGTGRTMPRF QSDTQIQLLAGSGVSNGVMTANVVCSNCQSWRGGSMDLSASSSPWIAAWRSGNPLNTA DTSATIAQHSDFDQFRIDLSQAAVAQDVNPFSTGASTGSGNSGTDSNSGNTNGSGGVN TIGSGSTNGASSGSSESRTADLIRIHGVLMAVAFVILYPVGALLIPLFGRWYLHAGCQ TVAFLVMWGGFGTGYARADATDELFKQAHTILGAIVTFAMVLQPVLGLLHHRHYLKHQ SRAVVSYAHIWYGRVLMLLGVVNGGLGLRMADSPSPFVIAYAVLAAVVGAAYGASTLI GSMRKSREAQEKQVGSTYSPTFVGGTGYSGIGNDNTNNNNYGEYPRREDIPAQFQFQR RDRGDYR
SAPIO_CDS3580	MASMNKQGKMAGYINWRMRVTLIDGRQMTGQMLAFDKHMNLVLA DTEEFRKIRSKSKTGGAPGASSQTIETEAKRTLGLTIVRGAHIVSLSVESPPPADPSA RLGKTTTGGIATALAAGPGVARAAGRGAPVPPPSLAGPAAGVGGAAPPPGFPGGFPPP PGFPAGRGAPPPGFPGAFPPGGFAPNAPFPPPGFPPGGPPAPGFNPPRR
SAPIO_CDS3581	MASEKSQNPMKELKIQKLVLNIAVGESGDRLTRAAKVLEQLSGQ TPVYSKARYTVRNFGIRRNEKIAVHVTIRGPKAEEILERGLKVKEYELRKRNFSETGN FGFGISEHIDLGIKYDPSIGIYGMDFYCCMTRPGDRVVRRRRMKGRIGASHRITRIET MRWFKQRFEGIIR
SAPIO_CDS3582	MFRTALRQSTRALGASGRAAVARNAAPAVYNAASIQARTYAADA KPSPTEVSSILEQRIRGVQEEAGLAETGRVLSVGDGIARVHGMANVQAEELVEFASGV KGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGAIVDVPVGPEMLGRVVDALGNPIDG KGPINASERRRAQLKAPGILPRQSVNQPVQTGLKSIDAMVPIGRGQRELIIGDRQTGK TAVALDAMLNQKRWNNGADEDKKLYCIYVAVGQKRSTVAQLVKTLEENDAMKYSIIVA ATASEAAPLQYLAPFTGASIGEWFRDNGKHSLVIYDDLSKQAVAYRQMSLLLRRPPGR EAYPGDVFYLHSRLLERAAKMNKTHGGGSMTALPVIETQGGDVSAYIPTNVISITDGQ IFLESELFYKGVRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQFG SDLDAATKQTLNRGERLTELLKQKQYSPMAVNEMVPLIFAGVNGFLDSVPVGKILQWE SDFLAHLRSSETELLATIDKEGALSKDTEAKLRNVVETFTKSFLA
SAPIO_CDS3583	MSIKTREILSKAQRMIPPMLERFHKGQLGRVAVIGGSEDYTGAP YFSAMASARLGCDMSHVICTPAAAAVIKTYSPNLMVHPLMRQTRPTLNQPAPTEKDLD SDPEHIAATITAMLPRIHVLVVGPGLGRDPLMHATVARVLHAARRRGIPVVLDADALL LVQKDPELVRGYPDAVLTPNVVEFGRLCEALGVDTEKAVEDKEGVVESESARVEALAR GLKGVTILQKGLKDYISNGTTTLTIDLEGGRKRSGGQGDTLTGAIATFLGWRKAYLED LWDSGESEKLDEDELVGLAVAAGSAITKESSRLAFLKKGRSLQASDLTDEVHTAFLNI FGEVDRDEGPSKL
SAPIO_CDS3585	MPTNNPFAPLSSTGSPSRQAPEAPSSASSIREPASSSKGNSKPR KSHRGGKKKRSRRKSFAPLPGDSPLDDEHAGALDAARDSLYALRRSLSDSSIEEAHLL NHSRRRLSVSAETGNEREAERWDERTPLMSTTDRLRRHESAVGAPAFDREQHRRRDSN ASSKSRTKPSGFNVNYPPSVPGTPTLGPAPGLDMSFGDAMIRDELAREAESPTGSLSL EEELPEDEEGGQPMSLSSKSRRNTGLMPPEDDVCFPAVVDLSEVAEEEGEEYQYSPRS GSPPRFQPRRRRRRSPWPDLSVLESWSQYEKEQETSRVKKITEPQLINGRLRAVQKGW YLSEDEAPYRFTYFNEEVQSTIHSQTISGLIQAGGSFRELFIPDPRVLSDDELDEEDG DSIEMVNPFASRVRRSSHPGDGGSVPPVSRKLSALTTQGNSDVFATSLDRTSSVGKNS AASAPPRTSQGSPQRPRPGTPTSNSKREAKEVGPEPETKAIRYGERPIWWLDVLSPTE SEMKVISQAFGIHPLTAEDIMMQEAREKVELFRNYYFVNYRTFDQDIHSSTYMEPVNM YTVVFREGILSFHFSPTPHAANVRRRIRQLKDYLIVSSDWISYAIIDDITDVFGPIIQ NIEEEVDDIDESIMNSWGSATKKAEKEEEKAAGSDDGTTTVELSTVDVLRRAGDCRKR VMSLYRLLGNKADVIKGFAKRCNEQWEVTPHSDIGLYLGDIQDHILTMTSNLSHYEMI LARAHGNYLAQINCKMTERSEQTADSLNKLTVLGTIVLPMNIITGLWGMNVWVPGQEY EGDLTWRVYGLI
SAPIO_CDS3586	MPGATVKDDGLKRKRKDAKNDVKKRARTDESSDEEDVQSQILLM EAGIVESKKNYNDIVKLIGLVKDYEEETESTVFAAVALCRIFIRLLAAGSLTHQRNAS DKEVVVVQWLKDRLSEYKDVLVLLVSQEDLASTALTLAMKLLKAEGEQLNFKKEFYVF PKEFLEQIILGLVRSKSSEGRDEYVEKYVDEYDDVRFFTHQAIKNILSSRSDEIPDSE WFDLVFDLLVAIDGVPDSNGELEDFYMPAPKKKAHNLYSLAQHKKQAQDAWLALMALS ISREQQKRILGSLVSVIAPWFAKPELLSDFLTDCYNAGGSLSLLALSGVFYLIENRNL DYPSFYPKLYSLLDKDILHSKHRSRFFRLLDTFLASSHLPAALVASFIKRLSRLALYA PPSAVVFVVPWIYNLFKRHPACTFMMHREIRDPELKESIESEGFKDPFLPDETDPMET KAIESCVWELVQLQAHYHPNVATIAKIISEQFTKQSYNIEDFLDHSYGSLLDSEMSKD VKKAPVVEFQIPKRIFLSQDEGSGVADSLLVKLWNFS
SAPIO_CDS3587	MASRLARSAVGANLLRPTVARRTVPALTALTTARHSSSVPTEDP KKKAQSIVDALPGSSLLSKTAILSSAAGLSVYAISNEYYVMNEETVVAFCLLAVWGGL IKYVGPLYSQWANGQNDKIKNILNQARADHTAAVQSRIEDVKQMEGVVDITKTLFEIS KETAKLEAEAFELEQKTALAAEAKAVLDSWVRYEGQVKQRQQKELAESIIANVERDLK NPKVLQQILQQSVADVERIVSSKAQ
SAPIO_CDS3588	MSDNEGQEYLQDDFQPESLTVPRLRSILVKHNVQYPSTAKKPML VELFNENIVPQRKKILARAARAKRSSTGIVNAGMEDEFNLEPPKSARRSRSPRKPAAR VKTEDSDEPTLPAYSRSSRSASRQLAQASDTDNAPDTIRRTRRTATPRIKSEEPEDHR FGRLSTDRLSTEDSVFTSDNPFQSGSSPATSKPPIGRRKTSSVERQPKTPKSSRRRTS MTHYTSEEDTRDYMSYDRATPRPSRRITSEPPEFEPGEEFTPEAQLELQQEWASHGKT NLAPRQRKQPARKSTLATSLWVLLTTVLVAYAAWYRHEKIAVGYCGLGRPATQIIPPE IPVPDWAVPFVEPQCELCPQHAYCYANFEARCEPDFILKPHPLSFGGLVPLPPTCEPD GEKVRRVKAVADKAVEELRERRAQFECGETITETGERLETPAVEVEELKETVSRKRNK RLNKQDFDDLWVAALGEVQSREEVEVQQKTGPGGIPNTYLSSSSLARLPLTCAIKRSV VNGLERHRLTIGSVVAALLLFVYARARYLSERAAAAKVPALVDLVLDRLSKQKELGEE ELDDPWLFLPNLRDDVLRSVHSLSARERLWKRVRAVVEQNSNVRTGQREGRNGEFGRA WEWIGPMSGDGARRRKSGRVSWGPDVKGDETPDASDRSHTKWEESRPIY
SAPIO_CDS3589	MSSRILLPSTRFVCRRCTGHLRTKLPRFYSTSAEPDIYDVVCVG GGPAGLSLLASLRANPVTSGLRVALVEAQDLTKLPDWSLPSDKFSNRCVSLTPTSAHY LNDIGAWSHMKRDRIQEYQEMQVWDGVTGARIEFDTLPGSKSGDVVAYMIENVNMTHG LLRRIHELGGVSIFDSAKVEEISLGEETEGMDLSEWPVLQLSSGKKLWARLLVGADGA NSPVRTFADIPSRGWAYERHGVVATLQIEDDGYGGHGMKTAYQRFLPTGPLAMLPLPG NFATMVWSTTVENAARLKSLAPADFVALVNAGFRLSPVDLEYMHSQPSGQVDELNWRL QHTQFNQYGVPQVVTGVQEGTVASFPLRLRHADSYIGERVALVGDAAHTIHPLGGQGL NQGQGDVQSLVRAIEYAVSHGQDIGTRISLESYNAERYAVNHVILGVCDKLHKIYSFG SGPLVPLRSWGLNAVNAMKPVKDFLMGQASGSGAKLF
SAPIO_CDS3590	MSFSAASRLVGHSAKRLCLRPARSLISRPISTTALRRYAEPHDL GIKFVPTDENFSNANDPHGLNGNGSATKSRDEDIESRKIRHYTVNFGPQHPAAHGVLR LILELSGEEIIRADPHVGLLHRGTEKLIEYKSYLQALPYFDRLDYVSMMTNEQCFSLA VEKLLNVDIPIRAKYIRTMFGEITRILNHLMSVLSHAMDVGALTPFLWGFEEREKLME FYERVSGARLHAAYVRPGGVSQDIPEGLLDDIYQWATQFGDRIDETEEMLTDNRIWKS RLEGVGVVSAAEALNLSFSGVMLRGSGIPWDIRKSQPYDAYDQVEFDVPVGVNGDCYD RYLCRMEEFRQSLRIIHQCLNQMPAGPVRVEDYKISPPPRAAMKENMEALIHHFLLYT KGYAVPPGETYSVIEAPKGEMGVYVVSDGTERPYRCHIRAPGFAHLGGFDHVSKGHLL ADAVAVIGTMDLVFGEVDR
SAPIO_CDS3591	MPHKLPTEEDRTIVHINRETVTNGISTDFPGHFFGEDHSFSLDE FKRGLSIKIHYNKPHDLSLSLIGVDASIANAIRRILIAEISTLAIETVFIEDNTSVIH DEVLSHRLGLIPFKGGKKGLQEFLKDFKRGEPGEDQFANSFDWNTVSLSLNVECTHNP DAEEGETDPTKLYNHANVYAKDIVFTPNGRQAEFFSGDDAIAPVNPDILIAKLRPSQR ISLEMHMHKGIGADHAKFSPVATASYRLLPIINILKPILGADAHKFQQCFPQGVIGIE KVTRREASVKGSGYENKEGEDKAVVLDPMKDTVSRECLRHAEFEGKVKLGRKRDHFIF GIESTGQWDSDELFVEALRVMKNKCLALEKQVVFMAR
SAPIO_CDS3592	MEVDSAPQPGLPSHGSSVVNEEERWKYLEQIRRNPGPYTDPDAV SQEAIDQFDQMKILVIGAGGLGCEILKDLALSKFKDIHVIDMDTIDISNLNRQFLFRQ ADVGKFKAEIAANFVMRRVKGVQITAHNCKIQDKDDDFYKQFQMVICGLDNIEARRWI NAKLVALAEEGDGPDCIKPMIDGGTEGFKGQARVIIPSMTSCFECQLDMHAPRAAVPL CTLASIPRQPEHCVEWAHLIAWEEEKPFPELDKDDPEHVTWIYQKALQRAREFNISGI TYSFTQGVIKNIIPAIASTNAIIAAACCNEAFKLATGAAPALGMENNYMMYSGDDSIY TYTFNHERKDDCPVCNSASRPLEVDPDMLLGDLVESFAMRPEAQLKKPSLRVQGKTLY MQSPPSLEQQTRPNLNRSLKDLGIEDGQEVVVTDPAFAVEFNYYFKFKAT
SAPIO_CDS3593	MDDFLTPISTTYTNPRLQPASSGSLSAHNSQEGRRSDGFGIANP TEALGILKSQPDYDTLIRTLRYLADPTSGVSLYNPDAVGSRIVVTLVNETAPNYWPLL REGSAQESEYSGSSSHEIPDLALLLTCIRNLTGINTITLQLRALTKEINSNARGPKRP DLELNTGIYLDTLSAVLDGDDTLRSIWLGTISDPKTTPGQRQTISREIISTFARGGLV SYAAEADILMGNKGSSVHWVSDGKLYTQWLARSIASWLRKAPPEEECDFCAQVLVGSW SLGYADQLHRELFDSLLLREDADPDTLSRFILALLPRERVRLSYAVLDYLSTAVLEKV ESSDPSLHASIISATISILRRVLPEEALNKSSLIHWLIPESVRSAPESNVWMRRAILA SYSDDRQFLVNLIDKGLRLFGDSIYIGHAPMIHQQALAQTILLSSAYLRRLDNSELRI ITKSSPYISMVSSRLASPSTGARFLGMVVGEAISSTVPTPAGSLRFEMPEMESDEANW YKSLTTVQDEPGPIQPLLKPSLVTEPTATKGPATSAPPRSKKSKPVKVIPEKPRLIIE EIDTDNDDDIVPYAKPDSDAEDSEEDPTLVRRDKPKAPVYIRTLIEYLRDTENYDKQK LALKTAPSLIRRKGKFGTEVSDHADELATLLVGLHDKFDLEDFHELRLEGMVALLVVQ PQKMGPWFIKSFFQGDFSLSQRAAILAALGLAARELAGYGTSEHAVGTSFPSKKLSEK MEQLFLGPSGSGGNNLVPGSTLKALPPTALDDITKSMTKSFLGPMAAEAADKFTGPDA LKLATFKSKARPRPAVRIIPNTTANLILSSFFAPLVDRYRYALNASSARISTVLTEPY VLATFLKAVAVLVHAAGPSTLALDDMTEQSWSVVLALRAHMVGKLPVTAAGITVLATL LEVNENRTRHLVQRMGRKITDTVDWLDRLLQVTRGGDKEEDEVRMLAAGVLIRLHEIV EEHQAALMGYLI
SAPIO_CDS3594	MPTYAEDRARRPIQRFRRCDGIPGVDPQYPPHLRNSALDQHYPP SIGSSITSDSDRSDEENFPPTIAGPTVSSGDLDVWDIYPPYDEYPAETESDPTDQYSV GSDQFLIDPNLIPPSPPSSFIAQGFNCFCSLFVRSPSPTVSLPKPYARCITSSNSSDI IHEWPSTTHGSSTLPTFSRLNLGRLAARQPEKPKKPSFLSSLGKFFCNLWGRKKARPA EDSHCPSIGSPGFAKKSADPAKMATLQVRGPDFSVTKQKAIEDAKIMQSTVNEQCVSA GQEVPPYILSELIGKGSFGRVYKATAVKNSQLVAVKIIDIEESDTLNPKLSDTYGEFL KEINALKLLSNSGAKNINHVIDALPVGQSMWMITEYCAGGSVATLMKPTAPGGLQERW IIPILREVAEAIFWVHKQGIIHRDIKCANILIHEAGGVQLCDFGVAGVIETKFDKRST FIGTLHWMAPELFAFEQTASYGTEVDIWAFGSMVYEIASGLPPNTQLMDMVRLGNHLK QHSPRLEGDQYSDGLKDLVAYALEESPRNRPTIEQIQRHPYIFNSSTAYPTSSLAMLV RAYKLWEAQGGSRKSLFAPGGAQVPQDFPSTALASDEWNFSTTAAFDQQVFRDNDTQT VYEAYGTQVDFGADLTDTEQTSRPQKGRGRRKPPNFPVLKAPLEKVFDPNTLTGYAEN SKNYYGVGPPPPPTSDLPLRDGSGQGSDVRESLIDLDASLHGGELSHFADMETIRASG VPRASLDYSYSMPEPQDFNKPPLSDPEQFNINRRTRDWKFPTMAPPASANPEISRFPF NEEHSAPSSAGQPGLHHYTADPLSMAPTYSSSEQLAVPRAVSQAANRASAGSLIDLDM SLPDPISDPIRPSTAHSDVGSVTGSELGGPNNPFDLERHASLYVPFSNREPSIYVSDD STFANPFSDLAAATLTQRLSRRGYHSGSQSFSSNEYSDNEYLAPQPMYQQLQQQHQPL EPQPRPQPRPPAQAMPISTPSSISSRGLLLPVAPNAPSGRVMLGQASLEEVKDEFRRL MMSFGEHLDIANEKLADLPVRRAARIDSLLRE
SAPIO_CDS3597	MSSILNTSATIQHKTPLPSTLTGPQAITSLQNHEGFIKCDPHMI SYKPLPESTSEPIPSTITCSPPAGRPAVVYEVTDRVHALPAGLWDSDVVSTYEFVDLE KGMFVRLRSPLSVVMETVWEVREVEGGGGGLELVEEVTISCSRLLVAVIKGQCEGNWK GIHGNLVAKMEGKEATEG
SAPIO_CDS3599	MAPWPFNSSSPPPPPPPPPPSKEEPPKPVSLSDYLPDDERLRIL VPTVLSTVGILSLIPIRLAGIDAPEGAHFGRPAQPFADAALSWLTSSILHRRVRAHIH KRDQYDRVVATVYVRRGGIFSFLFPFFLHRDVGLEMLKRGLATTYEAKSGVEFGGREK AYKAAEAKAKAKKVGMWSMKASEFESPRAYKARIRDGEASGTGS
SAPIO_CDS3601	MSDQQFVKPEPGVSPSPMDEDDLYEDAGDLEFFDPSIQGNPFGA LYLARIPRGLWKAWSQLDDDTEIQVGTLRQWYETEPDGTMKPRMKMLLNSDLAQHQPV PREYNLDISSGVSNHFVFSEADLPGFKAKSKARSDASNTGLPLSILRARSEKVEKPKW DRNKPYYRKAIPKKTKIYGKIAFELNCAPVHAEEQRHLLQAQTSEAARSGKLQILDRR NGQILLTGTGRAADWDSNFIKSQPKPTKAKKVEMKTIRIPESELMDKIIQCFSRYQYW SLKSLRAELKQPEAYLRQTLEKIAVLNKTGRFANNWSLRPEHAAPNLQTSGDVAPDAA DGDSEVEDEEEVKMEDVL
SAPIO_CDS3602	MATSISARELNWKKDDVERNKNIAPVDLDALKRYASNARRQVDG IDCTCQLSSEYNMGGLHVNEVDTMEAVRQWSKIPVPRVLAYDAAGDSGVGVTFMLIEF VPGDTAMDSFGGWRVHRGATPAQFKGKFHAALADIQVEIALVRFSNIGPIVRRDGKFD VGPIPCLGDPFNTAAEYIEAWAKTAKFRYGEATIRPRTPAHLVDEIPSAVWSFPYRLA TLTMAGGRNPMEEALRRFQQVAQSGRGGFGAGGRPPARGLGVGIAGLAAAGAGLFLFQ NALFNVDGGHRAIKYRRISGVSPEIYGEGTHLMIPWFETPIIYNVRAKPRNVASLTGT KDLQMVNITCRVLSRPKVEALPQIYRTLGQDYDERVLPSIVNEVLKSVVAQFNASQLI TQREMVARLVRENLARRADRFNIALDDVSLTHLAFSPEFTAAVEAKQVAQQEAQRAAF IVDKARQEKQAMVVKAQGEARSAELIGEAIKKNKAYVELKKIENARIIASTLQEAGGK NRLLLDSEGLGLNVSQIKEKVEEKEGIPPVQQRLIYSGKQMVDDKTASDYALEPGVTL HLVLALRGGSL
SAPIO_CDS3604	MVKSYLKYEYSKSFGIVASSTSNIVWTPNQRAGTGAGQALVAAN EQVLCWDIKKGELVSRWRDDRCSAPVTAIARSKADPDIFAVGYEDGSIRLWDSKIAAT IVSFNGHRSAVTVLTFDKSGARLASGSKDTDIIIWDLVAEVGQVKLRGHKDQVTGVRF VEPDPVVRDDEDPEAMVVDTETEAEGFLLTTGKDSLIKLWDLSSRHCIETHIAQSNGE CWALGLSPDYSGCVTAGNGGELKVWSLDTLSLAASAQRVDLTQPTKFLQDRGTLTRKS NDRATEIVFHPRRDYFAVHGPEKAVEIWRIRSENEIKKVLARKRRRRREKLDKKANGD ADVEGAEDAEDISSATVADVFVHYVTVRTSGKVRSVDWAVNTGVKDLQVLIGTTNNQV ELYNIPPKDKSSKSKDEVPDYTRSLAVELPGHRADVRALSLSSDDKMLASAANGSLKI WNIKTQMCIRTFECGYALCCAFLPGDKVVVVGTKAGELQLFDVASASLLDSVTAHDGA VWSLQVHPDGRSMASGSADKTVKFWNFQIVQETILGTSRTTPRLKLAQSKILKLSDDV LSIRFSPDARLIAVALLDNTVKVFFVDTLKLYLNLYGHKLPVLSMDISYDSKLIVTSS ADKNIRIWGLDFGDCHKALFGHQDSILQVAFVPHNSDGNGHHFFSSSKDRTIKYWDGD KFEQIQRLDGHHGEVWALAVGHSGTFLVSAGHDKGMIVWDETEEQIFLEEEREKEIEE LYESTLTASLEKDDDAQDQQAETAAAGKQTVETLMAGERIVEALEMGMADLNLLKEWE DEKQTNPKAPAPQRNIVYMALGNISAEAHVMSVLQKIKAAALHDALLVLPFSMVPALF TFINIFAMRSMNIPLTCRILFFMLKTHHRQIVANRTMRTMLEGIRANLRAALKRNKDE MGYNVAALKIMGMQIQENSVKEYVDENWDAGEENIPAMGSLKLIDEVTVELKNDIQIR GTLKSVDQYLNIKLDDISVVNELLYPHLSSVKNVFIRGSVVRYVHLPPNAVDIPLLED ATRREAAAQQAKAK
SAPIO_CDS3605	MTDYVGIGGSDLFSFDNKVALDSHILYDNEARSKIEYDEYVVYK RQYDMLLGHSAEEHRQNHVAHKISHDDKEGADMLHGAFREGKLVDRSGRFVRLGADDV SYNPELLEGRVRRVSPDGSQDGLSLSRTDFEVLKLHPATLQYSRRTTTESRFWSKDRS LLSVLLSFSLNAKTPYDFLSMTYDVNTRCSTILVRQSYHPRRHRLESLDEYDHRMEAC KAHWAHPFVTPVVLLQVHFLRTEEAVGVNNHNVTDLETRVSNIAGFEATAATEKSSSW KRRWGKLSKDRPQDEIVDGADDEAQTAGVMSMTNLMKSAHGVLKECIQLLDAIRWTER AVKTLIMAGDELEERMSTGQIIRPGSLAPRSVHPNEDQDQPPRSPNPNDDPRRADSMP HLFLPHHTSPDDPLGSHWHEIRQYLEGLLRICMSLETERRMSEARCRAQIDIIYSKMA QEDNVLNARMAVASSRDSSSMKALAVITAIFLPGEFLGTLFGMSMFDWMPEKDDAPSP DGAPPTIEDDDVISRRFYIYWLIAIPLTLFILTAWRGWWVTEDRFFRRHLSKELSEER YWTVDGKPRVLENSFIHDFFRLSARFDERIPKPKNNGKQKELKVLDAEAGIVPPSSQV DFGSPPKDGESLRQKRSLFVRRESYLLHRRPSQPA
SAPIO_CDS3607	MDGNTNNNRLFLNFGNNNERLAAPDRTYPTTPSTFPQPVFSSQQ PAQQAGGLHPPQQGYSGYSQQDYFVQSQYQQQYQGQQALPAYASAQNPAYQQRSGTPG TNDPNTGLAQQFSQLGGARSNPYGAAAGSQRPRTAGAPSQPAYGGYMNAPPMPSQNPA PANEFQIAPERNPDKYGPNANNNQKRCSQLAADFFRDSVKRARERNQRQSELEQKLQD PNQPPSRREQLWATHGRKEGQYLRFLRTKDKPENYNTIKIIGKGAFGEVKLVQKKADG KVYAMKSLIKTEMFKKDQLAHVRSERDILAESDSPWVVKLYTTFQDAYFLYMLMEFLP GGDLMTMLIKYEIFSEDITRFYIAEIVLAIEAVHKLGFIHRDIKPDNILLDRGGHVKL TDFGLSTGFHRLHDNTYYQQLLQGRSTRPRGDRNSVAIDQINLTVSNRSQINDWRRSR RLMAYSTVGTPDYIAPEIFTGHGYSFDCDWWSLGTIMFECLVGWPPFCAEDHHDTYRK IVNWRQTLYFPDDIHLSDESSSLIRNLICNSENRLGRGGAHEIKNHSFFRGVEFESLR RIRAPFEPRLTSNIDTTYFPTDEIDQTDNATLLKAQAIQQGRTAVEEAPEMSLPFIGY TFKRFDTNFR
SAPIO_CDS3608	MADPKPPAEPAAAAGAAAPSATASASATSGASSAAAKAAKPAGN PALRMLGLPMLPKKLPSRNWMIFWAITTSLSAAIIYDKREKKRATAKWARAVSHLAQE PLKNPNELPRKITVFLESPPGDGLRVAQDHFIEYVKPILHASGIDWEFVQGRQQGDVR AAVAEKIRRQRKAHERPEEDILPTNDKAILESRERSGIPEYDGIKGDLVVGRHTWKEY IRGLHEGWLGPLDPPALPEAPSAPEPVQPPPVEVLTQEPKEESKTDGGAEAKKEEPEK KEEEKKEEDKKPKRPPQPPPYNTTADYESSSIPLRIPHEFNPSAPIEFPHVLGFSNTL TRFKWFLNRRKLADNIGREVAAVCFAVSRDWPEDASGEYPQVHALEQEERNWVKSVWK DDPEEKKDDKKNEETKSEPQRPKEKIWPTAIVTDPRIMSRMRRFELLPEDEARSKDVV VPEEEIEGWIKGSFRQLFRWGASQWQGKHFTPNVGDISNEE
SAPIO_CDS3609	MSSRFISGGAIDPSSGERIEAAPADNERPVGKNTAEWEAVQKEV EEQRKRREEVRRQEEAGEQKSLYDILQANKAAKQAAFEEKTKIRNQFRALDDDEIDFL DEVRASKRAEEERLRRETEEGLKVFREAQKSGSKEEEEDAIEIGEDWEVGRKRKRAKE KDSLVKGVRRRVSGSEEVVKAKEIREGPKAPVVGDKRKTEEEAKKAVPSAPAKPKLGG LVDYGSDDDDDE
SAPIO_CDS3611	MGRRSGRAAARRAAAALESTPKSFDAIVDEDEPMRDADAPEPEE EKPEPEVEADEEAQPEESGEEAAEKEEEGSAAESVKEPTPPPEPVIRRRRVGRPPKNR PPDWDTAVATPDRDSDATPRRRGRGGWRGRGGRKGAPGPIKQQQTIDREGTVVDIVDD ECVLPEDPEGETKVDKLGNLLGGRDYRCRTFTVLGRGERLYMLSTEPARCVGFRDSYL FFTKHLKLHKIIVDDDEKRDMIEREIIPHSYKGRAIGIVTARSVFREFGARMIVGGRR VIDDYEAAKARAEGAVEGEIADPSDRFVEGEPYNKNQYVAWHGASAVYHQTAPAVQTP IGKPEIKKRRVNVNDTNWMLEHAREASHFNSTLTAVRLGQVNGVYDIHTNMMHYPAMM QPTHVRIEQVPPAEEEDAPSDSKHFPPLPPRVPRNFQVMDFHMETPPSGVAPSAYGNT VTADFLTPFRGLNAVSDEIKDLLPPECRQAFDGAASREKEWVSSLGKEKSVTCRRLPM VNKAVVPFFPTI
SAPIO_CDS3612	MERFSLSEDTLAGPGDHEMMEAEEADEAALRRDTDVPPDVGYVT YEIHFHPTYRMPCLWFNLHGLPPDQPAFNLDTVFRYLVPDQFKDALRGTGPIGGISGD HHPVTGFPSFFIHPCLLGDAMSRFECSRDNYLTMWLGLVGGCVGLWVPKEMVMDQVPH AHGNPTPQR
SAPIO_CDS3613	MTSTLATRGLPSNPSLPAKVLPIQPNQTLYVTNLPSAKIKKPDL RTALYLLFSTYGPVLDVVALKTMKMRGQAHIKIEYAKSKSHFVSKLDGTFKMPSASGA AEVEVTDLQSSIFNAPAPGTAAAAKAAALPPKPPTAEKAVERGETPEGRGQKRPRDEE ESEESEDSDVAMEEDSDDE
SAPIO_CDS3614	MAAPEVHHLFHNPIADHSFSADRKLLAVARNTSVELYGRVANSF KLKDELKGHDKTVTSVDIAPNSGRIVTCSQDRNALVWEPSPTGYKPTLVLLRIARAAT FARWSPSETKFAVGSGDRVIAICYFEEENDWWVSKHLKKPIRSTITTVAWHPNSVLLA AGSTDAHARVFSTFIKGIDSRPAPGVWGERLPFNTVCGEFLNNSAGWVHSVSFSPSGD VLAFAAHDSSITVVYPSGPDQPPRAVVSISTQLLPFMSLIWSAEDGIIAAGYDCEAFR FQGGPGGWHLVGTLESKGRPGADSVREESALNMFRQMDLKGKVKDDTQLKTVHQNTIS TIRAYETSGDRVTKFSSSGVDGRVVIWHT
SAPIO_CDS3616	MATPVFTKNAPPAVGPYSQAIKTPGAIYCSGQVHFNTEGVLQDG TIAEKTALCIKNLEAVLTEAGSSIEKVVKTTVFLSDMASFAEMNGEYEKWFKHKPARS CVAVKTLPKNADVEIEAIALP
SAPIO_CDS3617	MASGVPQGSLRQRNVPRKSVSPAPPAARSDDDVLDTLAKTKAKP APSETSYRVAFGLITGLAFLTRFWGISHPNEVVFDEVHFGKFASYYLERTYFFDVHPP FGKLLFAFMGWLVGYDGHFHFDNIGDSYIANNIPYVAFRSLPAILGALTVSVVYLIMW ESGYSLPACLLAAGLVLLDNAHIGQTRLILLDATLVFSMACSLLFYIRFYKLRHEPFS RKWWKWLILTGFALSCDISTKYVGTFAFVTIGSAVIIDLWDLLDIKRPGGALPMRLFI KHFCARAFGLIIMPFLFYLFWFQVHFTVLSRSGPGDDFMTPEFQETLSDNVMLANAVT IDYYDTISIRHKETKTYLHSHPDRYPLRYDDGRVSSQGQQVTGYPYNDTNNYWQIIPL QDDHKAGRHVKNHDLVRLRHIGTDTILLSHDVASPYYPTNQEFTTVPLADAYGSRLND TLFEIRIEHGKNKQEFKSISGHFKLIHNPSKVAMWTHTKPLPDWGHRQQEINGNKQIA PSSNIWFVEDIPSLPADDKRREKPARKVKTLPFLRKWFELQRSMFWHNSQLTSSHPYS SHPYQWPFLLRGVSFWTQNETRQQIYFLGNPIGWWFASSLLAVFAGIVAADQLSLRRG IDALDRRTRSRFYNSTGFFFLAWATHYFPFWVMGRQLFLHHYLPAHLASCLVAGALVE FIFCSEPAPEEPTYQAVKSGKKPAPGPKHFVTAGERLANQSMVSTWIASGVVLAIVVA GWYFFLPLTYGYPGLTVEQVQQRKWLGYDLHFAK
SAPIO_CDS3618	MTLIFSASRRKGRSSPPTLLPLTESTHFRSRSPRSTIRQRARRF LRSRIARLLLLLFCIWNLAEVLLVRRNLATPPHPRAHARRKNERIYIASLHWNDGWLV RQYWSDAVVGLAEALGPQNVFVSVYESGSWDDTKEALQELDSTLGRMGVARNITLSDV THEEEMAKDPDGPGWVETPRGRKELRRIPFLAELRDKTLRDLIKLRDQGIHFDKTEDV LTLLDTNDGTYAAACSLDVSNPPNYYDTFALRDSNGDTHLMQTWPYFRSEVSRNAMIA NWPAVPVKSCWNGIVAMQAKPFVSEKPLRFRALPDSLAALHVEASECCLIHADNPLSQ QLGIYLNPQVRVGYTSAAYEAVNPTSGSWVSPWQIFKGLWGSRILRWVYRTETRDWIV KRRMDRWMEERVGNSEPGDFCVINEMQVLVENGWAHV
SAPIO_CDS3621	MNPFPCRASAVFLRGRAPILVGRQAGRRRLFSVSSCSRSNANST LPLEGVKVLDMTRVLAGPYCTQILGDLGAEVIKIEHPVRGDDTRSWGPPYANYTPESG LEGPGEAAYYLTANRNKKSLGLSFQHAEGVEILHKLAAKADVLVENYLPGTLKKYGLD YESIHKVNPNLIYTSITGYGQTGPYSNRAGYDVMVEAEFGLMHITGNRDGPPVKVGVA VTDLTTGLYASNSIMAALLHRIRSGKGQHIDVALSDCQTATLANIASSCLISGKKDSG RWGTAHPSIVPYRAFPTKDGDILIGGGNDRLFGVLADLVGRSEWKTDPKFSTNAERVR NRSELESMIEDLTRQKTTKEWLDIFDGKGMPYAAINDIQAALQHEHTKARNMVIEVEH DACGPIKMVNTPVKYSESTPGARTPPPLLGEHTDEILGSYLGMPGEEIERLRSQESFL GLEGSNLTLDSLNLAKPHQPSSYTSATHFVKTYRIQAADNLLGVKSSSIMTSLESKGT ATSGSSNMPPTEASSSNPDEHSNSAQRHSERSSPSSSTATPSAAEMSAPTCTPSTARR PYQPQFTAMTETILQRIRNGNASISSAVAGAQATGMVQLSRAKYEDARRRLVETLKTS DNIELPAVPTRRIKGANSKTSSATSTPPNGSLKRKRSHLDAAQDHGSPFSDADLDAPQ FKKMAPRAPARKKKTKDDAAAKRCSRCDRQAWTEDNMFITCPRCDENWHLLCNPPDVV KVWHTDQAKFKCFGCLEEARQMAEYQKAKTEFAQRKKQHEIARTKEKVMASLPIGVTF DKPDLIGFGAGGASDALRAEYFSSMSRRDLLSLLAFCDKLRPNLLADLLVTATKKHSD LPIFHSPDWAAQVATSTNSRRRALSIDPGAGKRSVQNSPANPATNSTASTPAVKPAAP PNQTQTIDGTDAWDDDDDFLPPSWPKEGEGMYSALPPESEDLDHLVDEDEDGAFQGFI VKSESNGSLRLEPTTCC
SAPIO_CDS3622	MQLRLALVGAALSSLLSPAAAIWPIPQEITTGKDVLFIAQTLKI TYNGGSLPYTFGFSPSPGPKCNDREIVQGGVSRALGAIFQNNFVPWKLRPRNSNFEPD VYADKKWVTSLSISHAKSNSSSCYKPLAGEVDESYTFKLTTDGEATIEAQTAVGVLRA LETFVQLFYQHTTGTSWYTNLAPITISDKPKYPHRGVLLDVARNWYPVKDILRTIDAL SWNKMNRLHIHVTDSQSWPLDIPAFPELSAKGAYRKGNSYSPADLAQIQEYGAHRGVE VILEIDMPGHIGSVAHSFPELIVAYNLIPYQWWCAQPPCGAFKLNNTDVDNFLEKLFD DLLPRVAPYSAYFHTGGDELNKNDSMLDEGVRSNKTEVLQPLLQKFIDVNHRRVRKAG LTPMVWEEIPMEWNVTIGKDVVVQTWLGAESVNTLTAQGHKVIDSNYNFWYLDCGRGQ WLTFDNGAAFQQFYPFNDWCGPTKNWKLIYQHDPAAGLSKEQAKNVLGGELAVWSETI DPVTLDSMVWPRASAAGEVLWSGRQDAAGQNRSQYDASPRLLDMRERMVARGVGASPI TMTFCSQSENPEECSYIV
SAPIO_CDS3623	MGFIDDTVGQLSTKSVAVFVAASCTIYLVLVGVERRRRRSRLGA RPPKAKYYLPFGIDFVINGILENRRNRNLQNWVSQFGRIGAWTAEANIAGHEIILTAE PDNIKAVLSTQFADYGKGADFHADFRDFLGDSIFATDGELWHSSRQLVRPQFIKDRVS DLHCFEDHTQALFKAIANGGPLDGGGQVVDLEEGNGRKVDISDLFYRLALDVSTDFLF GHSVDSMFKPNEPFAEAFDEVQRVQGLIARAKGITWIIPRTTFWPGLKTIDSFVNQYI DRALALGPDELASKTKSDKGYTFLHAAAGFTRDRKVLRDQIVALLLAGRDTTASTLSF AVHELARHPEVVAKLRREILATVGPDTPPTYRDLKNMAYLKAVVNETLRLYPVVPFNV RVALRDTTLPRGGGPDGSQPVGVLKNTSVAYSTLVMQRRPDIYPPVSETFADPALFSP ERWEHWHPKGHEYVPFNAGPRICVGQQFALTEMAYVICRMFQKYERVESFVGPEKKKG FGGEDEDLSLKTDLTLKPGERVVVAFWEAKRE
SAPIO_CDS3624	MEPYASRIKDANERRAKAGRYAPGVAAYSDSDMFKKPPTPGMPV AKRWDNHFSAECKARRPCVLKQAAQFLKTPGILSLGGGLPSATSFPFSSLTVTVPPRP SFNLPSSSTEAPDISFSIGKDDIALDKGDYDLSVALNYGQVTGAAQLIRLLTELTEIL YAPPYADWWCMQTTGSTGAWETAARMFCDKERRDTVLMEEYTYPTSQETMEALGIGIV GVKMDGEGLVPAELRNILQGWDEEKRGRRKPHVLYTVPTGQNPTGASLSEGRRREIYA ICEEHDVFIVEDDPYYLLQMGEYNKSSSENGHSTEKRVESYMASLPGTFLSMDSSGRV LRLDSFSKILSPGSRMGWATGSAQVIERFQRHCESSQGPSGFSQAALYTLLEKAWGGH EGFLEWVAALGGEYKVRRDVLLKACEDFLPDVATWDPPKAGMFLWIKLDHTRHPRGSA GVLALEEEIFKSCISKKVLVSRGSWFRAGEHGPSVQTQNGASRADPVANGGGNESNGA NGVNGKSHLGSEDEPSELFFRTTFAAASVEGMREAMRRFGDAIRESFEI
SAPIO_CDS3625	MSEVYSNALCTISAADAPDSYHSLFSDRNPDIVSPPTTHLWIDG KLARYEFSDWDLWRVEVLQAKVNTRAWVLQERLLSPRILYFGARQVFWECVTKEAAET NPAGLTGGSVGSDVSTGRGIRAALLSAQDADVDSILSYHWPNVVKLFTHCDLTFSRDK LVAVSALARMMSTISGEDYVAGMWKRTLERNLLWFKSRNGASRVQPQVDAGIYRAPSW SWASVDGQIEPGRISGTRRRDVLIKAEDMKLDYVTDDRMGTIQGGWLRLRGTLLSVKL LRLDNPPRPEDGASWGIWIDWTPNKLQGLNYAAESETDLDVILDRPRDSFDQDNENGS LFSAHYFVASDAS
SAPIO_CDS3626	MRIVKFLPAVLAATLPPTVFAQTWSDCNPLTTTCPPDTALGMAI RVDFTKGEVNSFVASGSPIYDGDGVSFSVARSGDAPQLISTFYIMFGRVEITMKAAPG TGIVSSAVLQSDTLDEIDLEWLGVDSGQVQTNYFGKGIVGSYNRGQFNPAPNNQADWH TYVIDWTKDRIVWLVDGTEIRTQTADTAEANQYPQTPMQFKFGAWAGGDPGNAQGTID WAGGLTNYENGPFTMRVQSIAVTDYSTGKEYRYKDNSGSWQSIEAVDGEVNGNIGNVD MITATASAASPTDGSSAPRVPQGGIGTASGDATLTQTGWPWVPGATPDQGSVPDGWRM TEEGKIVPNDSASVRPPLVALLSSLLLGALIFIGHSS
SAPIO_CDS3627	MSSSGSEDDMPLARFNRRVSGGMNSKVSSDAMDIDGPLTNGSAK RKSRSSINKVNYKDESEDSDDGQPLAKRQRTRTMVPDDDSDDAPLSKSTKAKKTPAEK AIKDESDSDDDKPLAKPAAKRKSTGSALTKKANGVKKHESDSDVPLKKPKKAAATAKA KANATKTKAAATKAKATPVKKAAKPESKDQSEAPEEAEEEYEWWNAPKKEDDTIKWTT LEHNGVLLAPAYTPLPSHVKLHYDGKPVNLHVEAEEVAWFFGSMLHSTHNVENPVFQK NFFTDFKETIKQTGGATDRHGNKVDIKEFSKLDFTKIHEYWHTTNEARKARSAAEKKA EKAEKDKVEAPFMFCKWDGRKEKVGNFRVEPPSLFRGRGEHPKTGKVKRRVMPEQITI NIGKEAKVPEPPPGHKWKAVQHDNKATWLAMWQENINGAYKYVMLAANSAIKGQSDFK KFEKARELKKHIDRIRRDYTRDLKSEVMADRQRATAVYLIDKLALRAGNEKDTENEAE TVGCCSLKYEHVTLREPDTVIFDFLGKDSIRYYDEVKVDKQVFKNLKLFKKSPKTTGD DIFDRLTTSQLNKHLSGYMPGLTAKVFRTYNASITMARLLKELKGDNRPIAEKVKLYN DCNRQVAILCNHKRTVGASHEQQMEKLGDKIKAYQYKKWRAKQMILDLDSKQKKKKGA EWFAMDPELTEEWILEHQEQLVEKERENIQKKFQKENEKRAAEGEKPLPDKELKERLK AANELQAKFKKENKSKKVAAEGRGVTVEKLEAQIVKHDTQISNLRLQAEDREGNKEVA LSTSKLNYIDPRLTVVFARKFDVPIEKFFSKTLREKFNWAIKSVEDDDWDF
SAPIO_CDS3628	MLSRAARPAIRAAASAPRVVAGVPSTAATYATLREIEDRLKSIR NIEKITNTMKIVASTKLNRAQRAMDDSRKYGSTSNEVYSSAETAAIPGDKKTLLIVCS SDKGLCGGIHSGISRTVRRMFAENPNSYDLVIIGEKCKSQLSRTNAKDIQFSIAGIGK DIPTFADAQAIVDQILLLPNEYSEVKILYNKFINATSYEPTSIEAFSVDAITQSPNFS AFEVEEELVPHLREYGLANSLYWALAEGHACEISARRNAMDNASKNAGEMIQKYQILF NRTRQAVITGELVEIITGATASEDM
SAPIO_CDS3629	MGRSSRVRRSQESRFGKGTAGVRASPRSRAGRKVQPKSAAKKLA TQLATPSSPSSSESHTEIAQEIVVWTEGMSTGIDFDEKRDEGASLVTTEPSEPPAPSV THPPSIKSEPNRELVESSLSTLSDHSDLSSLPSVVSSRATTPVDVDGPTQQDAASASI AKSPSPSPRIPAAVDDQEPQDPQMSTTPPQITSTLPPMTGSPPQTARSPPTTVSPPTT ETHQTAKPPLPAIETPTLCTPAVVEPAAKSPTPAPPTPPVPAAPEPAAPNKPTSPPDD DLESSASKSVTPTQQHEFIPPQLPETQSVERPVFNVRPKSSIPTDLSPAEYASQCIEG AENSRLNPFILHPGEYEIMKNHLSYVQVTTYLNIRNGILRLWIRNPRVAVTRDEAVGC AGARWANVASVCYDWLVRNGYINYGCLEFPPMEAREKTAMKKAQKTVVVIGAGLAGLG CARQLEGLFNQYSDRLAELGEVPPKVVILEGRSRVGGRVYSRPLETKSPDVSSRVERC TAEMGGMIITGFIGNPLNILVRAQLGLPYHVLNSRTTIFDYNGQPVEDERDLRAEGLY NDCLDRVVEYKYKLKPPKMVKGNRELIMEARDACNDGQKTISQKECEDHPEISPESLS HIIGPILPKTTAAAAARSIGWTLRAGVSETDSIDLITPTSTPGATLGSVVDSCFSQYK RIVDLTDQDFRLLNWHVANLEYSNATNIHNLSLGGWDIDAGNEWVGKHTMIVGGYQRV ARGLLHCPSKLDIRMKSPVQAINYHASEPSGPATVVCEDGSTIEADYVVSTIPLGVLK HGDVEFNPQLPEWKFGPIKRLGYGVLNKVILIYKQPFWDLTRHIFGALRCPENPQSVD QSDYRSQRGRFFQFLDVSATAGLPCLVALMAGDAAVDTETASDDELVLEATEVLRNIF GKDAPLPVEVTVARWAKDRFSRGSYSSSGPEMLPTDYDTMAKPVGNLYFAGEHTIGTH PATVHGAYLSGLRAAGEVLHSLIGDIQVPTPLLIPKESQALKRKMLAEAKDPREIYEE QVYHHIIGKIGERPAPPEKMSANAYRLYCSANQDVARAKCQAGIRPGKRKGKAGPNEV RHMLSKMWKQATPEDKKPFEDMVAERKQAYALKLAEYERLAPLWDEKAVVVRAEYVEE HPIADPGPVDGEEDGRVGNQRRKKQVRYAEDSDDGSE
SAPIO_CDS3630	MAPRVSFNPEQIREKARRDLLTLLEGVRGKKNVVFDRSLVGPVG VIVKVTTLQEYGVDKFFILENQNVDTSQRNVIFITRGECGRHAQTIADQIRRLQRESQ TGHDFHIFWVPRRTLVSNRVLEEAGVLGDVTISELPLHFFPLERDVLSLELYDSFEDL YLNKDVTPTYLLARALMEIQQNHGLFPRIIGKGDNAKRVADLLGRMRQEVIAEGGAGE GTKDGLTPSTTIESVIIIDREVDFVTPLLTQLTYEGLIDEVFGIENNQAKVDTTVVGA PAQSSSVSSQTRKRPIQLDSSDKLYDQLRDANFAIVGTLLNKVALRLQRIQKDYEANQ STKTIAELKEFVGNLPAYQAEQQSLRIHSGLAEEIIKHTRSDLFKGLLKVQQNLADGA DPSSQFETIEELIARDTPLKEVLRLLCIYSCMSAGISQKEFDQFRRLILEGYGYQHIL TLHKLAKLQLILPKSSPLAGMIPMAGGSDAAGTKTNYTYLRQQLRLIVDEVKEDDPDD IAYVYSGYAPLSIRLVQCVLQKQYLFQVTKGTGSAPGGGATSTGGAQGWLGFDDAVKH VRGRTFYELQKGEDKAVKARALLSGGAEKQTVFVVFVGGITFTEIAALRFIARQEKDR RNIVICTTSLISGNRMMDAAIETNSYAKESG
SAPIO_CDS3631	MPPKTKFELKVPKGTKDWEGTDMVIRDKIFNTITQVFKRHGGVT IDTPVFELKEILAGKYGEDSKLIYDLADQGGEICSLRYDLTVPFARWLAMNKDIQHIK RYHIAKVYRRDQPAMTKGRMREFYQCDFDIAGVFDAMVPDAEIIRIISEVFDGLGWKN TYTIKLNHRKILDGIFEVCGVPEDKIRTISSAVDKLDKLPWADVRKEMTEEKGLAEDV ADRIGEWVVLKGQRDLLEKLQGDEKLAANEKMKQGMADLALMFEYLDNFQVLDKVSFD LSLARGLDYYTGVIYEVVTEGSAPEVKAPAPAEGEVKPKKKSKGKQDADDDRSSDPTV GVGSVAAGGRYDHLVGMFSGKTQIPCVGISFGVDRIFSITKARLAADKAAAAVRKNEV DVYVMAFGGKGFTGMLKERMSVCSKLWNAGIKAEFLYKVKPKLPNQFKAAEAGGVPFA VIFGEDEWNNGKVKVKELGLKDGHPEKEGVEVTLDALVADVKAKLNRRAALEDITRQA QGLKVVDGIKGEQVVAPPVEVPAEAPAEVPAAANPEAAPADAPIADTPSVENK
SAPIO_CDS3632	MPGLIPLMLKPATAGTRQSVLYSSNPQTTSPSVSRSPSATSGTP KDALQRLSSPPAFPSGQQSLPPVAIQKHMRRFSYQAAPTTLPMVPYTQAEWIKAVSEV KRLYLHRRYRPCSVRCSSILDNIKDTSNVEPAYLIYLNFYAAISKEMSSRALHPASPY RTTLLRQAEAHYNCAADLIQAEDNTMKRFSRVSTASSNINSPAGSVSSRASTTSTRLS SPTPSIYGVDDKPAAGAVTAPAKKRVTFSDMVVEPIIRPDSPTLGFDDWVAPTPPTEL KSALHIPQPQPVYQPESTEAPEFEDEERRVFNFRDSELFLPETSVDRYCSILSGLGTQ VMIHLASIRAELEKPTTEDNFMSGRSTPESTTGATDAAVEAAKALELRARIDRLKQNG WRRRRFDPSKYQALRESVLAELE
SAPIO_CDS3633	MSFSGMLNRLHGQPESYDKKSKYKFGRTLGAGTYGIVREADSPG GKVAVKIILKKNVKGNETMVYDELDLLQRLNHPHIVKFVDWFESRDKYYIVTQLATGG ELFDRICEQGKFTEKDASQTIKQILDAVNYLHLNNVVHRDLKPENLLYLTRAPDSDLV LADFGIAKMLDRKDEVLTTMAGSFGYAAPEVMLKQGHGKPVDMWSMGVITYTLLCGYS PFRSENLQDLIEECMSSQVVFHERYWKDVSQDAKDFILTLLQPSPEKRATSEEALSHP WLTGETATDHNLLPEIRSYMAKAKLRRGVEMVKLANRIAALKAQEEDPENSDVPDDPA LGGGEPSSNAAAGSSTGEGKRSLSKTIKGAIFREVVLAKVREMKQEEETRKIQEQATK DSQNM
SAPIO_CDS3634	MANFASKRLAKELSKINNSLPPGIELVSADNFEEWLLDIKVLDE NPLYKNETYRLKFKFSSSYPIEPPEVTFVKTTDRPIPIHPHIYSNGIICLDLLGQQGW SPVQNVESVCMSLQSMLTGNTKNERPPGDEEFVRGNRLRPRDIDFYYHDNTV
SAPIO_CDS3635	MDNNSKPSLPSDRRGPGTNTRLQEFGDITTVTASPLPNSEKPQK WPRGWKWVYIAIVGYCECLTFLVSMMLAPSVPQVLAEFRPLGGSKSLGSFSVTVYILG FCVGPLLLGPLTDLYGRIVIYRLSIVGFILFTVSCALSPSLEALITFRFFAGCFGGAP MAIGGAVIADMYEPGKRDWLMVSYSAGTMMGPTIGPVLGGVITGHYGWRWLFWVAAIL SGVAAGLLLILPETHLPTLERRQTRRASRPNSDENPTERASTRWNIKLLIRTISCAVS LPTRIAGHSTVLWMLVLIAVFNGLVNMVLSSLGSIYQRKYHFRPATAGLSYLGIGVGG LAALAATKRLKRYLAGMFIAKGVAESSEASLLFLAAVTPTTSIGLLCYRPNSSS
SAPIO_CDS3636	MSEKEITPAEPPPRASDALNTGQSKPETTAGSDDTAVPMPTEDK LDPKAHPQPEREAGFKDLVRVFSYATKWDYIAYLIGAVSSIAAGVSLPLVMVLFGQLI EKFQAFSAPGAFDPAALDPSSLSPEARQAMEDLENDFRKELNRLSLFMTALFIVRFGC NYINKVVFRMVGIRISSGVRAHFMRALFAQSIHVLDSLPPGTAASMITTTSNTLQIGI SEKLGVLLEFTATIVASLTIAFIYSWSLTLVCFSATAFIVITLGVLLPLILKGVAKFN KAEAKTTAVATEALGSIRMIAACGAESRMLKRYTEWSEEAKRLAQKVSPLLALQFGLV FFALWAVFGLAFWYGIKSYLDGRLGGVGDIVIVLMSSMMMVMSMERVSSPILALGKAT LAACQFFIVIDAPPPNPGHLKEPDVSANDDIIFEKVTFAYPSRPHVKVLDDLDLTIEA GKLTAIVGPSGSGKSTIVGLIQRWYSLNDQYVLEKAIGKEEMDKRKKKEKKAKARAKK MKKGKAVSADSDSSDEEDDKPHNAEEHGTGEPVKNAGRIISGKTQIDDIEAIWWRTQI GLVQQEPFLFNDTIYNNVAYGLIGSIWEDEPEEKKRELVKEACIEAFANEFIDRLPEG YDTQVGESGAKLSGGQRQRIAIARSIVRKPKILILDEATSAIDVRGEKIVQAALDKVA KNRTTITIAHRLSTIKKADRIIVLKKGKVVETGTHDSLLENPEGVYSGLVLAQKLNLG DTAADDDSEDSDHKLDTVMSRVRSGAQSATEEGSEKKKTAVEAHRTFFASFGRLLLEQ RSQWLFFALTVFFAACVGAGIPIMAWLFAKIVVVFNYANDPDKMSSESRFWALMWVVF AVAIGLSYLFMVAIAQRASAFVEDAYRKDYFASILYQKISFFDQEENAHGILTSRVSG DPKKMEEMAGLNAGMVYSAVFSLLGGLIIAFIFSWKLAILAFFVTVPIGLASAFYRIK YELEFDKMNNEVFDESSKFAAETIGAFRTVSSLTLENTIATRYEKLLQSHVVTAFRKA LWTSLFFAFSDSSGLGCQALIFWYGGKLMLDGKVDNTSFLICMMAVIQGAESAGQGLS FGPNAAQASEASNRILNMRESRNTDTVAGDEGIPDTDGGVKIELQDIHFKYPTRNVPV FKGLNITIEKGQFAALVGASGCGKTSIISLLERFYDPSQGRILVNGKDVRDVNVYTYR NYLSLVAQEPTLFQGTLRENILLGVDPETITDEQLHTACRDASIHDFIVSLPDGYNTD VGSKGVSLSGGQKQRVAIARALIRNPRVLLLDEATSSLDSESEKLVQAAFERAGKGRT MVVVAHRLATVQNADVIFVLGEGKLLEKGSHAELLKAKGVYWNMCQSQALDR
SAPIO_CDS3637	MSLLYDFLGLDLADESTRAALAIVAITILATLTTMALARSVLYP RRPSVIPNPLQTKIPALSEKEVAKLEYKPDSYPGARDVITPYGSIRVYEWGPEDGQKV LFIHGISTSCMTVSRLAKAMVDRGYRVMLFDLFGRGFSDGVGDLPHDARLYVSQALLA LASSPLPWTGTNSLRLVGYSMGGGIAVHFASAFPHMVESLVLLAPAGLIRPQNFGIVS RVLFRSGLVPDRFVGELARIRLRKPIASSVTKKKSATPSPNRAGSPDDVVAVSADELH TALHRSGSQHHDHHHQKSIADLGAAEGADPPNPEHVTALEKRVLDYIAWMAEHHAGFV PAFLSSLRHAPLMDQHDSWVKIGEREPGSTCILLARDDEIINPDDYRSDGLHLVGGED RVTWTLVDGGHDFVMTHSRQIMQELDRFWGVKAELAN
SAPIO_CDS3638	MPTSADEGSSPPADPSADINDADDHESSSSGSSYIGSDAPAVPN FNCPWRTEEFHDEECSRYFDCPTHLVERRLSESGSLSQREDTVGPGHDDVPSSGNEQR SSDSEHVSDTSSLEQERASRSTSPVQQSEEEPRYRDPASASAPTLQNTSGDITSTNPE PAASNPVLLLPTRNASLPHPTTSASQTVDASQHYRRFPSPPLPPIPQQRSRPSTLELP SSSHNRGDPLGSGTFASGSNYQEPPEIILPRWQPDSDVTFCPICRSQFSFFVRKHHCR ITIPYQYIVRPPSQVRMSQLYFGEDGLPYGDGGERSIMGNALSDPYSRGRSVTMNYPA ASGSRRPFTSTENQILAGTPPVYYSSSASASTSQHPSSRMRYRAVRDSATASSSSQRA LPPTPQIPEEDECPVCHRELPSRTLPNFEALRESHITSCIASHSAYGSSTSAAGEGGG SPGDGTALASPRSIRRTGMFPYLATEKDCVDSAECTICLEEFEVGVPMARLECLCRFH RRCISAWFVNHPGRCPVHQHDSYGY
SAPIO_CDS3639	MGTEQDPVDVGQAAAVENAKAQEEAALASANRAQERMVEEARNA GVPAFTFDPDATLEEKRAKARAAIPKDLQRPHGFSVITDADESGMPVTDLPEATTAGV LDVPKDMEGRPVRDGEDPESGEVPFSKTGWAPQFGWPAEGVQEGESLLDHSTWLEDSL PNHLYGDWYHNAAVIVFACLFSWLVAVLGGGLGWVFIIMAFCATYYRTSLRRVRRNFR DDITREMALKKLDTDTESLEWINSFLVKFWPIYQPVLAQTIINSVDQVLSTATPAFLD SLKLKTFTLGSKPPRMEHVKTYPKAEDDVVIMDWKFSFTPNDTADMTSRQIKNKINPK VVLEIRIGKAMISKGLDVIVEDMAFSGLMRLKIKFQIPFPHIEKVEMCFLERPTIDYV CKPLGGEMFGFDINIIPGLESFILEQIHGNLAPMMYAPHVFPIEVAKMLSGTPVDQAI GVLAVTIHGAQGLKNTDNFAGTVDPYSVLTLNRRTELARTKVIKDTANPRWNETHYII VTTFNDTLCMQVFDFNEIRKHKELGVASFPLENLEEITEYENERLEVTSDGKARGILS CDIRFFPVLSGQKNEDGTEEPPPESNQGILRFTVEQAKELDGSKSLVGQLNPYAILHL NGHDVHATKKLKRTNNPIWDNGYKEMLITDKRHAKLGVTIKDDRDLAGDQTLGKYQIK LTDLLDCTEEGKEWFMLSNAKTGRVKMKAQWKPVVISGVMAGTGGYVTPIGVMRFHFI RANDLRNFEAMGKSDPYVRVLQSGIEKARTVTFQNDLNPEWDEVLYVPVHSSRERLTL DVMDAEKMGKDRSLGLIEVQTSKYIHQDENGEYLVHDEKEVHKDGLRLHGKGIEKGRL TYTVSFYPCLNIADPEDEEEEAAAGEAAADGTPDGAANGTVSKTPSPRPSSDLRSIST AKSRPSLEAPAVDGEPSTPRTPRTPVTPTANGHPKKERPEPPKIRMTPEELLKHETGL LIFRLMDAEFQRSNCRVEVFVDDMAFPSYVSSVARSRTHKFEEVGDCVIRELDFSRLT LRVTEGKGDKRREGAEDDVIARLGGNTLDTLKQCLNNPTTLKLKGEDGGISTIKISLK YVPIQMRLDPSESINNMGTLRVDVIEAQDLQAADSNGKSDPYCKFDLNGQEVYKTKTI KKTLNPVWNEYFEVPVPSRTGAKFRLSVWDWDLASQPDPLGEADISLSELEPFRPMET RVLLDAKSGSVKLRMVFRPSYVTRQRQGTSTFAGTFASAPGRVVTGVAGVPVKAVGAV GHGVGKGASFIKRGFRGKKDDDSGSSTPSIPEVPVPTITTNGGGGIPGLGMKRATGLS LADADGAARPSTAHSSLGHSRTKSIGQASINSMLPPGVASGTATFNVISASGFPTSDD LYVAIFQTAPKQKTVGKTKHRDASDGVFKFDETFKFVCQPDAVFQIQVKEHHKLRSDD DLGETMFFIDDSGSGQEKQVKINEGTVVIKSSFVPTSDSLAPTDSPKSSGLKRGFLSK RESRSRETTPIAE
SAPIO_CDS3640	MDALVAKYRRPAAMMQEQFGEEEEMDIMGAENPALSLKFAMPPV THPSAWLRSATDDRSNPQCPIKIAHGTTTLAFLFQGGIIVATDSRATAGNWIASQTVK KVIEINSVLLGTMAGGAADCQYWLAWLGMQCRLHELRHKRRISVAAASKILANLVYSY KGMGLSMGTMCAGVTKEEGPALYYVDSDGTRLKGNLFCVGSGQTFAYGVLDAEYRYDL TDEEALELGSRSILAATHRDAYSGGYINLYHVKEAGWVKHGFNDTNPIFWKTKLEKGE FTNVTSSLD
SAPIO_CDS3642	MTSQPAPPPPSASTAVDLPPVDIKPLLQQLWPGGHPDINPSRIA DAISHFFTNQVSEAQAASLLICLHFTTLDLQGDVLAECARVMRVAAAKIDVPALQELI ALKNRAEGSYKGGLCDIVGTGGDSHNTFNISTTASIIASSLLLVSKHGNRASTSKSGS ADLINNMQPRAPVIEAVTPTTLHAVYSATNYSFLFAPVFHTGMRYVAPVRKQLPWRTI FNNIGPLANPVEDVLEARVIGVARKELGPAFAEALRIAGATKALIVCGAEELDEVSCA GKTFCWLVRGTKPEDITIEHFTVEPADFGLPAHALDTVSSGKEPSENAEILRQILHNE LPDDNPLLHFVLMNTAALFVISGICEADSSSMGPDDDGVVVTERGPGGQRWKEGVRRA RWALKSGEAWKQWEKFVEVTNSLAGPK
SAPIO_CDS3643	MPPPTIDQAAVHGFHNGALYDAHRPSYPPDAVEALLSELGVAGK RGAKIIEVAAGTGKFTVPLAARGEGFEIKAVEPLASMRDQLAAKKLEGVEVLEGSANA VPVGDGWGDACIVAQPGASLGVVWNIEDYNKPASWKASTPWEQKLNELIFSLKLDGQP RFREERWKDVFLDKTGDSGKIHELFVTPIGENIFRWTVWLTDEALLLRLRTLSQVSIL EGEDADKFTQTFWDILKSPEVERNEQGQVHLHGATVIAWAKRVD
SAPIO_CDS3644	MENGGISQGEGKDPSSFLSDIIGNPVTVKLNSGVVYKGELQSVD GYMNIALEKTVEYVNGVKRRTYGDAFVRGNNVMYISADS
SAPIO_CDS3645	MGDSTSNKKRLPFKPTALRKKPPPASTEKPSKDEEDDLALFKRS REILPMVAAEAERRAQKEKADRDARRRRRSETEEENTPEAGQNAEARTPGSSQKKRRT SRTVDHDTEGIYEKAIRESPTPSTRLFQRNQSGISQATTDLSSNSGLDSGIISASSTQ PVPAFSSPTPDLSRITPQPANVIDDDDDFVILDSPVAPAKGKEKEEEEEGISIVEDTA RSSFREDEEDPELQKYVQAAQERKRQLEKEQEQEQVLAKKNFTVEVVSDIPYTHAAGP CVFKHFANDPLKQVRETWCAIMQMHKIDVVANDVFLTWRENRLYNTTTLQGLGISILG NNQLYSKSGGREGFSEDRKRVILEAWTEDLFEQHRREKERERLRLLGELDEGVPVAAE PEPEEKFKVTMRPKQGDSVKVSATASSTVSVLIEKFRAKRHIPQDVKISIYFDGEKLG DEITLQEADIGDEDQVEVHMG
SAPIO_CDS3646	MATYNDRGYFDLDLRSESGSASVSAQASSHQRQASDTPFASSEV SQRLVSPPSGTALPAPTTTATTTTTTTTTTITSYTAAADFAAYYEIPGSSQTAADPSI APSPSFPSDPRIGSGSYHNNFSAGIATQRTTRSNTLSSDYNPNQVIKRKPLSSTASAI VARFSGSAPGTTNIVSGASQETSALHDAQPYRPSHSRDTSVYSSSEYAFSEVRSPPDF PAPPSAHFAQVDQEPATGSQTGQQLLLRRGHEDLTIEPLQTRSQDPHHSAIAEEDEIE EVDDSDDSLYDSIYNNLNATNDMSYPVLVEQQPSSPTLNPEYLDSDLQRTTTTTTTTS TTTQIQEISITKVDKHQSDRSAPTDTITGPKSPLSPAFAKLGTFFSWNTNSPSNSEYS PLPSPTSPRPTTTITDDTSLPSARTDPTITEKSLSDAPDIRHSSQSAIEYCESTLQTP PCITTPSSTAEIEEMEDELKAISAELASSIRREMDLEDIVDRLQSEINNPQAPGKRTS DYYSDSGISSAKFSEFDQTREEVERIQRKSEQEKAQLRLELTTKLQDERDKRRELDAQ IRELSEKASQIDAARMNSLDATGRLKDLESSCEDLRRKLSEEREMKTNLEDLLSALKG ELQTATNERDNLRDEVVPQLRSRVEGLEAEAADQTNMTYETTRMQQDLEALRLENEKL KKSKEEADARATRASMTLTRSNSIAQSKPFKLQHPPATLARSNTVKKLESKEALSERL KDVEAQRDALHNALKSLLERQEYQNRENEKKIRFLEAERERLLQDSPQKAGYERDIAN LRAEVSVLRRRAEDAIDQKWRIEKGLISLKFDLDRADEEIASLRSLLAANDILIPPVE GYRSSGSSNLSDAAGLVTSETLERAYKELQASYSESLERIKKLEASGNISVSDEKTQL ALRRLETSLSSALSERDAARDEASSYKDQVDSFAASEAKHLDHERALSDELQESAQRV EQLAAQVQAQLNANSDLRQRLSDAVSRGEADRKSNTERITRLQNRLKTLEEQLVAAQT ASEERVARHEAEIASIKEAHNTQLRRVTSSPLASPLRSPSPLRPSMARLSPLPSPLFP RSPRLPPAKTLEDDAEVSRLRDRVAELETAVAESDREMQDVIAKMSEAQIEVMGLQEE RDAAVRQAKRLQKELDGEKVRQFEDRFKTLARSGTVS
SAPIO_CDS3647	MSDQDNVDLDSLNYVVKAPYNGTGPTGGDPLTENMNIWYESGDL GWVIMATALVLLMVPGIGFFYSGLARRKSALSLIWLSVMSVAVTCFQWFLWGYSLTFS HTAGPFIGNLENFGFRNVLGAPSVASDRIPDLLFAVYQGMFSAVTVALATGAIAERGR MLPCVVFMFVWATVIYDPIACWTWNPSGWSNKMGGLDFAGGTPVHIASGTAALAYSMV LGKRRGHGTHELNYRPHNVTHIVIGTVFLWVGWFGFNAGSALSANMRAIIATAVTNLA ACVGGITWCVLDYRLEKKWSTVGFCSGIIAGLVSITPGSGYVPVWAAVIFGVLGGGFA NYATKLKFILGIDDALDIFAVHGIGGLVGNICTAFFASRQIAHLDNVQVIKGGWIDHH WMQLAYQLADSFAGGAYSFVGTCVILLIMNLIPGLRLRVGEEAEILGIDDAEIGEFAY DYVELTREVLADVENETGSVRYSGDAGTFRSFEKHNAPLVPPRAPQFRHGQGMHR
SAPIO_CDS3649	MERTGSQAKSLFPRGPSFTLDDFSNKDFTVRDFIDNLANTAVPA NRRSGPAQVQFDPKPLIRTFENALAQLGELSEDLQERESELTSQVRRAEISHNQTLDT LGRKLDQSIEAFETLNISLNSSGEGDADASENGSKAGAGGNAAVQIGERLEELDRKRR RARDANFLIRCWLELSETGQLTSLEDIQKQGGAESKVRCAVIARQLMRISQRLDTASW GGQTNGTRNGAPSVNGANGANSTSHNTREVLEKFSETLEQDLLKQFNNSYRRQNFEDM MECAKVLHDFNGGASVIATFVNQHQFFIDRDQLVNDEVTTDTGAWEAVADPDSESPGV EPSLQSLVDEVKIVMQEESFIIKRAFPYYETVLAKFIQRVFQQSIQQRLEAVLEKANT ISSLAFLRTLQSSRSYINSLVEDLKAHGLTEHPEPCSSQISQTLDQQLEELFVPYLVG NSYIDREKKNLEELYNSLLLKFTIYHSRRKKAPTGFMASLAQQGTQLLASAKDAYMER LESSDLTVTQKAMMLRIAGIQDDQSKNEIEVSEQDGILSIEYAKRMIKWLAESVRRAL ELGSASETPKDVNILLNLLLNSMGQVYVETALDASLDQATSQENSKTEPDMTYLPSIR PAVTITNVMDRFISTVLIRLAESNTTVRRNMEAQKRVAIDSIEQKTNDIMKSTVAVVS NWVVKSLYGQKKLDFRPRDGELDSLQTQTCQTICTFLARVNGQARLAVDGHNLEMFSS ELALALLKLLFEHFKKFQVNATGGLMVTQDLSKYVSTLKQWALSKQVEGTVEVLTEVG SLFIVGPEALREKSRTLTTGPTGAGRKLGKADFKAFVQKRDDSSSVGIQSVLAGL
SAPIO_CDS3650	MEPEQQPEQYEEEPILLDEDGFEVLSLHEHGVDFESYLPPLRTI PEGGTRDDASYVNWGFTIYRTAYGGTTDQAWQTLLRKIQDDLAEEGAYYKEHHDDYWS TKPANPEAADKFMSLFKLDPRSDPDLLEGATMAQVREIYQKGIGGPPMTHEIPQYHLF LLADTEVLDAVAKGEFWMKCVQGEYKAEDYTIKDEEGVPTEQLFYYGYIKMTVQSLLV LWDRLSIRNLGDFSPPFIEEPVRIWNGDW
SAPIO_CDS3651	MKALVRTPVLHLLKSECNPGYGAQWAEKDKCPLNVCCSKHGFCG TTKDFCGDKPVKRPTCPKDNGVSRVIGYYEGWVGNRPCNVFWPEQIPDGVYTHINFAF ATIDPQTFKIGPAASTDVNLYKRLMLLKKRDPALKIFVAVGGWTFNDPGPTQSTFSDL AASETAQFNFMVSLISFMNTYGFDGIDLDWEYPVADDRSGRPEDFENFPKFMGRLKTA LGLFGKELSITIPASYWYLQHFDLKALSKSVDWFNVMSYDLHGTWDKGNKWTGAYLNA HTNLTEIELALDLIWRNDIDSNQVVMGLGFYGRAFTVTNPSCTAPGCTYESGAEMGEC SREIGILLNSEIDDLVQKHGVTPVLYEKEAVKVAAWGDQWVAYDDKDTLKLKSEYAQS RCLGGVMVWAISHDTEDAKYNRALAEVAKRDIVDVPIKNSDWTQSIPIAQCSWTGCGE TCPFGYVHMTREDPGKRGKEYMLDETGCGGSGTHAFCCPASQVLPTCGWYTHNNGKCD NSCPSGTVEIGSNDMYCKKNYQAACCTTNTPSMKLYTKCEWGSWPVCDTQTSCPSSKP DLLASSATGTGGATCAMRVYPYPLIYAPGQGVIIFQERKFCCDASDENETFGDCKWYT SEGSAPDGAVDGWCRSACPLGRVRVALDAKSQDCLATGGARARCCQPKYGEEIDYEPP ELDYYRTALEAYLRNPTCEDPSGPLKSREAPEEDLAGLLEASSLAKRAELPAVVETRE LILGLLYFTHKANLREALTDLWNSEMTNLFPQMRLPSFRDFVTGEPDVKLDGPIKAVR DITCSPYTWNDRAKGSGSRLFDCTDPDCNPDTGCEEGEASVARRGLLSLPNQTAVDFT LQKRDGPPRTFKLTINFLDGTTLDNPVTLPGHPQAGDTAGHAMRSEMVTFVNRADCAN SQLTHISWAVAQPGFWNVEHVFDGNVMTMFIQDAAQGRLPSGAVSQVGAIPRDFFIQA PTMPLPNPPPLRGGANPSGLWERVMECLGSSRNTANLMLVHREINGHKTPLMRGLDAI ADSVANHRRVETAPVSLISRMRTVIAVIHYLNHSGTPNANQRLTNVVNDVYDQFQYAQ TQYNLANPGSPARIADFWREWTRDYFGTFLINRARYICNLFIPEMRRMWAFRTGDTAN VVVEGTARLMAELEDLFIDISGFP
SAPIO_CDS3652	MARILYLALGAILSGISPVEGAFTLYNSTFLDVTLGEGCISALS SSIKCLDYVKLFTQPTYRGSLNNDTLTDLICATGCSASLKSWFDSVAVNCEGKLLNNA LPTKFGGYIWAGFNETCLKDPVTGDYCNDIIDEFSLVSDITEMPQTELCHPCHINRLA MMQSSQYSTYDGFWKEQLEHVYEHCSVTGPTEIPPPLLPPQPEPEPYCLTGQWYTTQA GNTCESIANATNVSGASLYMGNQDLIKNCLDIDAGVEVCIPLTCQTYYVQPSDTCVTI ELAKGIGLGSLMKYNSWIDAACTNLQQATEFYGKVICLGPAGGEWTGTSPETPTGPQP NDGYSDDVTPPPDGAVVADGTTLNCGKWHVVGGEDSCPSICLEEGITAALFRMVNPSL DPEDCTETLQVGTALCVGPTYRWNSTESTELSTTITLDPTATETSSSTSSTAPAVTTP TPIQPGMVDNCNAFYFVTSGQGCAEVASINGISLADFYTWNPAVGSTCGGLWAEVYVC VGVTGTTPTTPTPTPTSPGNGISTPTPTLPGMVDNCNVFHKVVSGDGCWDLANSAGIS LADFYAWNPQAAPDCAGLWLDYYVCLGRL
SAPIO_CDS3653	MKQGLSVIIDSTCNFQEVLDPGTALAKQHSYTYCKDSDSHALFK KWIEHPFRPKDNAIMVNSTDDPEMLRDYIVKQIVD
SAPIO_CDS3654	MPAPPPIAKAKPKSQKSWDKTQSQRKFRLGVRRFLKNQLHLLIF ALLHSIFSLYVKIRQTWNKVCYRISSIISYHHRTPELIENDVRGLARKPQHLSAILNM HEDGRAAELERLIGEAADLAVWSACAGIPVLSIYERSGILKRYLPQIHQAILQRFASY FGEHYPGLTVAAPHTEPVDSAATGHFQDSKLKHLNVMFISYKDGREAMVDLTKTLAEM SQKGKLNPSDIQIDLIDAELSEGIMAEPDLLLLFSPHVELYGYPPWQIRLTEIFHLPD NQGVEYQNADMMQLRAPLARAMTALDRSLFSKTFQLAAAAVRDPRNISRYRKSLVDEG KLFSRPNFSAMVPHPEEELAKKGRKLLLLDPEVKYELTRTWGETLRCGYKADEVDVIP YELTLGYDKWTYHEVIESILPEELQNEVPSGFNSVGHVVHLNLRDQYLPYKKVVADVI LDKTPRFRTVINKVDNVGQESQFRTFSYEVLAGPDDLNVEVKENDCIFKFDYAKVYWN SKLEHEHTRLINKFQPGEVVCDVMAGIGPFAVPAGKKGVFVWANDMNPESYRYLNDAV KRNKADQFVRTFNEDGHNFIRRAADLVYEASKSGDHALVRPRHKFSRSHPTPAPKPKR VAVPPTISHFVMNLPGSATTFLHCYRGLYAGKEELFAPHTETKLPVVHVHCFAPKLSD EEVFEDINKRIYDEIGVRLPAGDDLDSGQVSVYDVRDVAPNKRMFCASFRIPAEVAFA PRD
SAPIO_CDS3655	MAVVLSSEDDYFSSTSLKRSSSQSKFVTKSSLRSSAPTSKIEDP YSHFPRQYADSTASSSAPSSPRTIQPSDSTDTSFVSTPASNISVSSSSDCDELPIDFQ PEDHFTLPDYEHALYHDPLEDLEPPPSPTNSPDNDGSGSSTSGPVTPEIIDRAEDDTA IRQQPTRHVDYLSHNWTEEEIWSSWRYIVSRRKDYMNAARLENASWRTWLKAKNNLKT VSPETLNWLKECDVTWLYGPLQTPHTSTGRTTDPEAGSSTLSKTNSFINKKPILKKRS MSEIMLRRSLSSSSLLKQAAAAVQAQEKDPRRGYSRPGFDRAATDYVTFPFSSPRESS DTSVPPSDSSSGIISPTSGRKRIHFNEQVEQCIAVDIRGDDDGNDTPVDQYGSSDSDD GAIMMKRTSRRRKMPLKRNKKKAKVSEGKTIAMLPSTTLKDREETSELETAMKHGPRV YRSPIVSPSSSQETLRAETVKSPKTRRFFFEEEEDVDEDAFFADGTASFDRTTTALRR TPSSSSLTAEPAGMRRTPSGMFMPCDEAEPQAGNGGLFSRVLDTVNTARDIAHVIWNV GWRK
SAPIO_CDS3656	MEVLPSGGGSRCRGLRCPSGLARAPVVASQIAFHEAAQDVATPQ RRSYAQLYGQRTAQKLVDENFLADLEKRSTIRETLKKWELDNPQENQFTVSASLAPGE TPNDMFKSQALSGMREARQVNEAEDGEFAVDEFDTIDSVSAAPTEMLRPGTLIELRLS DSRIPLLAIILGRFSGVYYFLANGGQLTADEGIPSVLFSVANFATPTEMQGLVDFIPK DKYIHPRDVANLYQPPLELGAHLQKKMTDFTTASSLLYQNNMTALSAADKKLPHATEF STMSLDQIARVLLPDKAKRNGQYGPEMLYAVHIALMNDETDSFRPLNKIHAAKHASYL YRITPASSVQVIRKVRTQVRQIMEGLGHQKLGKGGDRGALVNNSLWRFILKARRVIKK SRANRAWTDHGMLVPHYHPYTTFQNWTEEDKEFLHFIELWVGSHVHRGSTLHTFGSAI LHLTNMYQPAKQLNAATGWAFLQELGWIPSWELPTRHKSPIPGARVQSGGGYIRPSPG PFSESMREDVAADHRRDWGPLRSYCIDAPTTTLLDDAISLESTDTAGEYWIHAHIADP AAFIDPKSKLADYAAIMAMDHFIPGYRCSMFPTDFYDEVVMKKFSLDKGRPCLTFSTR LNEEGEVLDVKIQPGILQNITFLTPYDVDAFCPPIRVTPEPNGLSRLVVGPAQQQYIP GERRTMSKVKDLSEAEKEDLQTMHRLLKAVDDVRLRRGAIPQSRSSRSIRVKFNGAGS PEPPRSEDPQAPTWPGDPAIEVAFDERKDGTLVGMAMMLAGESAAKWCSRRHIPIPYH TQPGALRNPQGLRALGEQIRAMTDSGEAVPMSVWRAFDLETGPTAQSVEPSPIIPLGL EMYTKVTSPLRRLVDCIVHWQIHAALAEEARLSRSLAGEDCSGASFLPWDTLALKAKL ESLRLSHFVSHTLSRSQGSRPWLYQALLRAWKFGEADIPDTFKFVVSRAYGHLLMGEL DYMGFSAMLRKGDLEGVALMADVKDGDVLEVEIVDVNVYDMHVFVRALRRLEKPVSV
SAPIO_CDS3657	MKASVAAVAAVAGVANAAAHAHNHRRAHDMFARRAGLESCVPEC TTVWETFYGEPTFIEHGPEPEPTTTEIQTQVQTEIVDILPTPEVEIFPTPGTYSWDAT TITVTESTTVCAATATKVGPGTHTFGGVTTVVETSTTVVCPIATETTVDGVITSTIVE TTYVCPTPGTYTIGASSSTVTVDETVIVYPVATSYEPGTYTRPAATVTVTATNYVTVC PFEGGPTPAPQPEPQPEPEPEPAPAPAPQKPAEEAPAPTTAETSKPTQEWTASTGLGG NGKDHWAITYTPYTEDAGLCKSRDEVFADIRNIKSLGFDTVRIYSTDCDTLANVGDAA EAEGLKIIIGVFVKEAGCGYSGVHKQQVEELVAWGKWHLVSLAVIGNEAIFGGHCNAG QLKGLIDTCKSIIAGAGYTGPFTTAETVDVWQRDGSVLCDSIDIGGANTHPYFNANTS PSAAGTFVRGQLDIVAKICGKRSISLECGWPTQGNCNGAACPGVAEQAEAIGSIRSSC GEDVVFFTYGKAFWKDPTSCGCEPYFNVEGAF
SAPIO_CDS3658	MSSAGPIFAIPVYEDSGCDSDDQDHHLESSFDTMYDDDDDDDDA TTLNHAPHVAQIPSQYTTQTMTPPGYPFFSYTHDYMPAPDSSDDDEDNDGDGDVDMSD SDGGAPLGYGGGPLTFTTHDYQGPPPWPTSSMLNMPQTPYHPHSAIPPSYDQTNPANN TVPIPVPPFSSPSNSHTIPETANSSLISPHLPFVAAIPPQPFTPPQFSSYNNIPPSNY PYNPAPPPPPNDDLDTAFQTPIPFPTHQPHMVTQLDEDAEELEGPHPPPVTSFHTNSG FQGPQTPGILGPQNPGLVPFLRKWAHPGLSLSNDTRPRFRSPWLPTVNELENSRVKSV DYDDLMGDQCDVQGIDWTQLGVTRREARERRLNTYTNFVNVDGSDAFNPNRSDRMLQR SENYFRFRQMHIRKNVRLNHFQLRNILATSSRAKSFYPEARGIRQINPITGKDELLMD LKDMDGGGLVSTLSANRRVLVAGTFNGEYCIKNLESRETGHVEGQLTDDTSGITTHVQ VYESRNSSGPLAAFASNDRGFRILDVTTQKFVLQTMYNFPVNCTVLSPDKRLRIMVGD HYTAVVTNAETGEVLQELKGHLDFGFSCDWADDGWTVATGSQDMTVKIWDARRWSNAN GENTPVCTIRSEMAGVRNLKFSPVGSGKRVLVATEEADFVNVIDARDFWRKQTFDVFG EIGGVSFADEGRDLQVLCCDGARGGLLQLERCDLGAEAAEAALYDPLFHRFRGWRHDR DRHRDGFDWAPSVEEVVSHPRATGTVTRRKRRAAMLEPLEPF
SAPIO_CDS3659	MDTLPSTTGNNPLAEDLEEGSDRSPSRTLDAYSDASPPRDPRSP RRNDDPEKGLGGKPVKRTKSSKIRKEDRKKQKEQEQIRPPSLWNVYCAIVTFWCPDFI LKCFGKVKKEQQRAWREKMGLISIILFIMAVVGFLTFGFNQVVCGSPPPRLRINEVTG GFMIFHGVAYDLSRSGHPAAEGIPLRQNGQLANVLFDLPEKNSGKDGSFLFQNVNGRC KGLINRSANSNVPTNSNNDLAWYFPCTTFNQDGSSSPNVTVPYYVGYACHTTQAARDA FYHGLRGSADVYFTWDDIRNNSRNLIVYSGNVLDLNLLNWFDETQVTIPDRFKELRDK NTAVNQAIRGRDVTRIFQASEDKQVAECLEEIVKVGTVDTETVGCIAAKVVLYCTLTL ILAVVCARFALALIFQWFISRNYAAAKTSQTSDQRKRNRQIEDWSEDIYSAPPRLPGE VGSSVAGPGSDRGSKRVSSFLPTTSRFSSINADRSMNKRVPTTMASQGAGSTLYPGSI YQQRNDSKTSFLRSDPYASTTSPTEGPGPAGFIHEAVVPQPPSDWMPFGFPLAHAICL VTAYSEGEQGLRTTLDSIAMTDYPNSHKVILVICDGIIKGKGEKYSTPEIVLSMLKDH TMPPEEVQAFSYVAVASGSKRHNMAKIYSGFYDYGAGSSIPADKQQRVPMMVVVKCGT PDEMRTAKPGNRGKRDSQIVLMSFLQKVMFDERMTELEYEMFNGLWKVTGISPDFYEV VLMVDADTKVFPDSLTHMISAMVKDPEIMGLCGETKIANKRQSWVSAIQVFEYFISHH LSKSFESVFGGVTCLPGCFCMYRIKAPKGAQNYWVPILANPDVVEHYSENVVDTLHKK NLLLLGEDRYLSTLMLRTFPKRKQVFVPQAVCKTTVPDTFSVLLSQRRRWINSTIHNL MELVLVRDLCGTFCFSMQFVVFIELIGTLVLPAAIAFTFYVVIISIINSPPQIIPLVL LGLILGLPAVLIVVTAHSWTYMGWMVIYLFSLPVWNFVLPTYSFWKFDDFSWGDTRKT ADEKVKKGGIEYEGEFDSSKITMKRWAEFERDRRTRLQFWSSRENIANGGVSSAGGWS GPPGQAYHDDYYSDA
SAPIO_CDS3660	MAAVSPPRTVPPSSPNATRRKPIPSSLTSSPERPASSESGPHKP LPIVPLSTGALYRDAPVSPVRVSHQTGPLPTPSNAHIEVAPSLPDTPTLEFHHAEDRE LPRAPEAPPLPAHHPYHQDPPIRDDTAAPAATDKPLPPVLNPYSPTLPRGRIPESLLA ERAGNNNTLSTPVTSPEPDDGSSILSPSVDSRFLDPHRQSRESDATSSTTHAQTSTES SGATSASSVSVVNEQIECLEDSGSGSGESSVIEGGPPGSQSVPQFQYHHNSQFPPRVS SVPHEGDMRTVSNPDLLETATAMNRHLTPSQQFIRRSSLPRPNSAYSIYSDYGARGRS PNFYPGGSHIRAPSVQSRRSPDLRPSSYAELLNVPYPQPPPAPITFDNSHLRGAVGNN AALLSTQKTLEMYRQNVKKTNDFSIQYSFAVFLISTAQEMGLNPEEPEHRKPSPQSNK AGDSPSPYGQSGLSPYELVREARSILQRLSNAGYPFAQYYLADGYASGLFSKGKEDYN TAFPLFILAAKHGHAESAYRAALCYEFGWGCRKDPTRAVQFLRVAATKSHPGAMTRLG RACLSGDLGERRYREGLKWLKLATEAADAINNSAPYHLGCLYEMGYGDDIFMDESYAA ELFTQAAELGHAEANYRMGDAYEHGKLNCPRDPALSVHFYTGAAEKGHAAAMMGLCAW YMVGAEPILEKDEEEAYEWARRSAELGYVKAQYAVGYFTEMGIGCRRDILEANVWYVK AADAGDERAKQRIATINAAIRGGQGAGATSRGGKIKKNSDDKECIVM
SAPIO_CDS3661	MLCSSLRKRLGWGAVVPDILVDKSLKWREAQIGSHDIAFPVHQP GKRTRTYRISLFTITGVRTSEGRERLKTLARLNGGTDIAALVLVGETAGPGPGEGNGQ DYGMSAFLKLQVERRMNRAHGSEQPATRTLTDDMSRRVAQVACCVIGNPLSEKQARVL CTISNSLKDLAETAMSAEGQASLRKCLVPSSSSFPDSFERGRTRSPAHTILSRFRGGI DLEALSPRDANAQRMPNNKAAELKVKAAQFKAAKEKEHPPPPPAQVLEPPTPSNPKGS VYQVGKLLGKGGFAICYYGLLEGTKQRYALKIVKSKMPPKMEQKFQTELQIHSKMKHK NIVNFLRAFSFEQSTYLILELCPNGSLMDMVKRRKGLTEPEVRFYAVQISGAIKYMHG KGIIHRDLKMGNIFLDSRMNAKIGDFGLAALVVTGRDMQTIRRTTLCGTPNYIAPEIL EKGKKGHDHSVDIWSLGIIMFAMLTSKPPFQSSTTDEIYRRARDRDYEWPDPETTQKF ISGEAKDLVASMLESPESRPDPDSIVQHPWFRVGYMPVPADITPRLRELPPERSEFYM DELTEEQYKESLENLRTLSMDCMVGPYAPVQVIHSQVWKEMAAEEKACLTPMIPLAEG IVYRPFDEWLGEQPQSSSGRVRRLLPSHQQYQQQQQQPPQQIQAQIPQKLQTVTEDLT TKSGTVPTGLLRQPPQSFAAQQRAQGRPAAVMIPRAPPPTSATSINSAASTPMAPPSA VKTSMTTASTVSRQRGVTSERTQQQALETIQREELRLGLRSRPRREGHQVAAEPLALR SRSETIPRIDSEAPARTKLPSSSGHTRAASSASAERQTLTRETQSAPATVRGPSTPMI KSSLFSPLERTAEVAGTQPDIILSRLQRLQTELERALNARTMAIITSKTVAPPHPQVV VKWVDYTNKFGLGYILNDGSIGCVLREIATPEGSKAVLLPPACMVIQNSERHVQLRQD ERYADRHQPVPMNRNIHFFENRGEAGLAQLTVSPEEFRVPVGDNGVPAKLGPGSDAYQ YRKRERIILWKKFANYMIAYGRDDGVAGDASPLKEAMPSGVEEIDPAQVVIFYQRFGD VGCWVFCDGHLQFNFPDHTKLVLDSTGTWCHFWHLPEFAATQLANAGKLIPNALDERG VLSYPLQTLLNFQTKPNATRSTRSTTASTRRRPEIPPELQGIPAANDFRRKVDFVKRV VKEWVSNGGLGNSSMSRESRLRWTGFRETVNVPIPQKHVWVTVGARWGDRRLTAYVDP RKPEEIGEDIDESRRKKVAARSDM
SAPIO_CDS3662	MARGEAQKTRVHLRGKHEDFIVFVDDSETYKKWMSDKSIPLPHF ISSYKIFSTGGQGAQGIYDAPPRAILENEFNTSNEDEIIKKILEEGEAQEIEFTSRVA REATHFTTMVPLPLFKLAALFVRHISKYGANRIKTAAHDHPGFRAFAARNGQRIHQLN MRLSVASLRNVEAEMKAKDLAEAPTVKTKEQIEKEAQEAKKGGGEKAAAGGEPAPAKK SVWRRQFRQLPEAKAVALFADVVGDMFILGVAGGLLIFEYWRSSGKPDQNLERIKVLT ERVDELTSKEEELLKAEEKQRVRMDQLEEALRGLKDPKSKKPLLPSLQPSEPQPQPT
SAPIO_CDS3663	MGRLIKNHWARLIVLSASAYQVAAAIESFFWPKIFWDFITLSLD AAVKPIPILQVINLVLGIVMFAFEWPLPLLAGTSLHRSLECRLATMPLAALAAALIYQ GTNAALYYVIGILVYFWAYSEGEIICAKPWTLPQRGRGGARA
SAPIO_CDS3664	MALAVTLPSSPESPLSSSDGSFMTATQRPSCENPVTPSSHPSDR STAVLQIVDNPERPSTPIAETWTEWAGIASLLHTLTGELNTTDDPGPIPQITIDDSTL SSSPSSAASSSPRHSPNEPLLLPLSTTSDHPGACSLEQRGPFQKWIDSLHRRASHRSR RFGHSRHTATNGFEDGFHIHSKSSSGSSFAFVSAVKSASIGIGSVTTNTRSRPGTMHS RSRTDRSSRLSAAPPRFSEDSTHNNVVLGAMDKAGISRSIQRRSILEELIATEENYIG DVRFLINVYMTTFASLPAISAGLRSSINRNLTDIVELHEDILGELHRVVPNSEYTQLE VPKPPERLQPRAHQRWKSLDSVPENVGHMSWLQRVPGMVADAQVAEEVAKVFGKRMNR FFIYKEYGAKYEMMIKDINSMHRTMPEWENYQRGVEILATSLGSKQTQLDRAKKALTI ADLLMKPIQRVCRYPLLFAELLKYTTVDDCANSHMEVENTLIRLREATAEINRATDDV RMKSILEKTWTLQDRLVFSNQIDGEGLIMIQRLDAASKNRIRSFGHIKLCGALHVCWS SKEGVQGRYMVCLLYPDVLCLASAGKADQIYNIQLCVGLASLKVEEVDNGRGLQCHTA PYSWKVVFECDCQLYEVMMTACTAKEELEWRCRLASPSFDRAIQKEPNWFSWLSLDIK PLGTVFGKPAPSRGERARIEALLVDVWSRDILPFPGMTTRSRSEHLVRSSASSVMRKL SVASIASSFSRRPGSLTSLPKTSADTDAGEGDEGSGNASHNEPDSPIEWKLTFGEDAG LPMIQDENEARTPDVIDSHLSPNDHLHNLSDGRPCDVGAIRPELRRQELLATHSVPGS SIFEKGAAETEDSSIPAWPLPKPSPELPEKENQQPEKQPREIEKRESKGWSKVPKGTS RRKGMVLSGIKSIFT
SAPIO_CDS3665	MEAAYAQPTKHVIKAFNSDATNGLTDEQVVSLRAKHGRNSIPEE PPTPLWELILEQFKDQLVLILLGSAAVSFGLALFEEEEGWSAFVDPVVILTILILNAV VGVSQESSAEKAIAALQEYSANEANVVRNGGHISRVKAEELVPGDIISVSVGDRIPAD CRLVAIESNSFAVDQAILTGESESVGKDDAAVVGDEKAVLQDQVNMLFSGTTVVTGRA KAVVVLTGSSTSIGGIQESITAQISEPTPLKQKLNDFGDSLAKVITVICILVWLINIP HFSDPTHGNWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSL PSVETLGSCSVICSDKTGTLTTNQMSVNKVVYIDESGASLQELDVEGSTFAPRGVVKF DGQTVQDITQTSATVRQIAEVAALCNDSHLAYDARTGSFNLIGEPTEGALRVLAEKLG PCAPADCPPEDCVHYASAWYEKQYPRLATYEFSRDRKSMSVLVNDHAQKRLLVKGAPE TIIERCTHALIGSQGKKVALNKKLADILLTEVVEYGNRGLRVIALASVDDIGSHPLLE SASSTAHYAQIEQNLTFVGLVGMLDPPRPEVSGSISKCKEAGIRVIVITGDNRNTAES ICRKIGVFGDLEDLRGKSYTGKEFDNMSPAEQLDAAKTASLFSRVEPGHKSKLVDLLQ SLGEVVAMTGDGVNDAPALKKADIGIAMGSGTDVSKLAADMVLADDNFATIEVAIEEG RSIYNNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPATALS FNPPDHDVMRRRPRRRDEALIGGWLFFRYLVIGTYVGLATVAGYAWWFMYNTDGPQIS LSHLSRFHRCSTDFPEIGCAMFTNDMAKSASTVSLSILVVIEMFNAMNALSSSESLLT LPLWENMMLVYAIALSMALHFALLYTPGLQTLFSILPLDWNEWKAVVVISAPVILIDE ILKFIERQFFLQKTAEDSILEKKSK
SAPIO_CDS3666	MCFSSPFSINGGACVAMVGKDCVAIACDLRLGLQALTVSNNFPK IFQYGDVFLGLTGLATDVSTVSDLFRYKVNLYRLREERNIAPSTFANLVSSSLYERRF GPWFVSPVVAGLDPKTGKPFICGFDSIGCIDFAKDFIVSGTATEQLFGMCENLWEPDL GPNELFETISQALLNAVDRDALSGWGAHVYIIEKDKVTKRLLKGRQD
SAPIO_CDS3667	MSSHVVVTSSSARSTKVKVSPGTYMSDVLTQACQALNLSGNYIL KHKQKTVDLSVPFRLAGLPGGAKLDLVIQSKSPALVTVAVAIPAPEGNAFPNGRLGGK FPSNFTVWQILRQIEDLPEAVNARLNITARGVAATNAGQPSGSGQLLYEQPTLNIMGR ELGSFQDFQKTLSQIGYNSGSVLIRLSYKITDMTFFDAQNQIAQYFKALELAKPETSS ASKSTSEEASPTPVATPASAESSEKDSSVTQEQGAADAVPPHRVAPVIEHPAAPSDPL QPVHIFAAPSSSTPAAALTHHNEADFTPSIVHAQMHQARLKESGKNKRLLSNKELEEQ AAAAEAKLAAIQSIEAKVRFPDNTSASWVITKEETGAKLYKAVRTVMADRSLPFRLAI PGTRETIRDDDSPGNELMKAYKLTSNVVLNFIWEDSVADAVRRRPFLKASAAQAAEQI VVPDLPTVEDEEEQKKPPAWQQNSSKIVSDVSKHGDEAMKKIGKFFKLPGKK
SAPIO_CDS3668	MAKEAAPKSGIAAGINSGHKTTPRVAKPRVSRTKGHLSKRTAFV REVVKEVAGLAPYERRVIELLRNSRDKRARKLAKKRLGTFGRAKKKVDELQRVIAESR RTGH
SAPIO_CDS3669	MRFQVLYSVWLGLAAIAVARNIPMPKLARQANDCDISTHLPAEF SILDFKGQSTDSGETLTSFNFGYLEKTNNVTTQCHFNSSSENVGPPDRTPRFACENKI TKFIYQDQRITLIQAICPDANGDALVEASGAAVVELECDDADLSTNSTDGSELCKSKE AEFSGKFFSLSPVPPNPNAGAV
SAPIO_CDS3671	MASERESKTFLARLCEQAERYDEMVTYMKEVAKLGSELSVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSDKHVATIREYRTKIEEELEKVCQDVL DVLDQYLIPQAASGESKVFYHKMKGDYHRYLAEFASGEKRKVAATAAHEAYKSATDVA QTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDSADAEAAPVSNEPPKNAGEGEAAKTEEEPKAEEPAAPAS
SAPIO_CDS3673	MLSLEPNCELSRTEELRHLCSTSPSADNVLEAPFFDPSPRCAFD LDVIHSAQQFSLDRNEGICKLPMERPSTTGSWPVHRPSPGPEKSSQIFIREHPHRAIA IVSDSHSLIFRYSTTVSGNSTPLSVHGHRPRNGDGFESKCMVEFSRNTRHVLQHYRPL TPQPIYGTLGLISVDGDVFLCVVTQATRAATIRPGETVERIASVEFYCLNTSDYDFVY SLDNLDPELSDSSSVYGQNLARRDLAIEQHPCQELRKLLSNGTFYYSTDFDLTSRLQN RPASNTTFDIDNFDESFLWNFFMINPLVKFRSRLMPPEREALDHSRILTSAIRGFCGT MTIPQAAAPVAPKSSRLPSFLSVISRLSCKRVGTRFNSRGIDDDGNVANFVESETTYW SPAGIVFSYVQVRGSVPVFWEQAPDLIPGRQKITITRSPDGTQPAFDKHFQGLEEVYG AVHIINLLSDAKPGEAELTQLYRYGTAHCPLSRPGGKESRDHALLKSSEYDFHAETKA IGYEAAKGIRRYIEDSIDAFAYYLAHEDDDGADDGSTLHEKDSRLVVVLQQEGVFRTN CLDCLDRTNLIQTMISQMAVETFLGHRGEYAASDFWMRHSSLWADNGDALSKIYAGTG ALKTSFTRHGKMSLSGAMADFRKSAQRLYHNNFTDSSRQLTIDLLLGRLMGQQAVQLF DPISDYVAVELSKRSAEFSSNRSITIFVGTFNLNGKTHGIEEDLSRWLWPAAVGTTLP EIVAVGFQEIVELSPQQIMNSDPSRKHLWEQAVRRTLNARAKASGEKYILLRSGQLVG AALCLFVKSSVLGNIKNVEGSVKKTGMSGMAGNKGAVAIRLDYANTPICFVTAHLAAG FSNYDERNRDFATIHRGLRFQRNRGIDDHDTVIWFGDFNYRIGLDRETTLSYIQRGDL ATLYDNDQLNLQMVAGLSFPYYSESRITFAPTYKFDLGTDEYDSSEKARIPAWTDRIL RKGSNIKQIAYDSAPLRFSDHRPVYAVFNCVVSIVDEALRQSISETLYKKRMGEVGGS VTNIEDEDSEEDEDLVGYDAIEPGLPPASSDRQKWWLANGLSARVDAVPPNPANSGMT TVLNPNRPNNPFTPTEEPDWVSIPRSSSRQSLSSLASSAYEVINHSTILSTSGGTPSG RRLPPPFDAGSLPAKVGRMAITDDVLSRSPQQRETPPPPPLPRRQATAPISQAQATST SLAAPRPVQRTRTAAPPPPPPRSVSVSRQVSPPRAVPPSSQRGPSPPPGKETKGAGAG PPPVAKKPSYLTSTSLVPGSTTDKESPPPLPARSPAPVEQSASTNGTRSASPAPSTQR RPVAPPKPPKPVVGIKPAKGDVGTPPAPPGAVRLPGMSGGGGVVGVKAAAASAAVAHA KKQPPPQVPAKPQTKEPLHGAAAEVDLLDSLDGDGDGGLGGWETLKPQMR
SAPIO_CDS3674	MADFGHFASSDEENVEVKRLRAEVDADPDSFENWEKLIRACEMD GTLNRNSSPQAIAAFRDAYDGFLLKFPLFFGYWKKYADQEFNIAGPESAEMIYERGVA SIAKSVDLWTEYCSFKMETTHTPHLVRELFERGATAVGLDFLAHPFWDKYIEYEERQE AHDRVFTILARIIQIPMHQYARYFERFRQLSQSRPIAELVPEQTLARFRSEVAAEAAA YGGQPRSELEVERDIRTKIDSHYYDIFTRTQSETTKRWTYESEIKRPYFHVTELEHPQ LQNWRKYLDFEEAEGDTTRVMFLYERCLVACAFYDEFWFRYARWMASQPGNKEEEVRN IYQRAATLFVPISRPGIRMQYAYFEESCGRIDIARDIHAAILLKLPDCIEAIVSWAHL QRRQSGLDAAIEVYKSQIDSPHVDIYTKAALVTEWAFLLWKEKGSVDEARAVFSKNLQ WYGDSRIFWEKWIEFELQQPTSVTLEPQHAERIQQLFEQLRTKSRLSAAVKKELSRSY FSYLQQRGGKDAMKQFLLLDRETFGPPSVSVYSKTRAGNKENGLTLAELDDATKVKAE AKYWTYYDLHGDVSPDAQGPASFN
SAPIO_CDS3675	MASTTRQFARVALRTTTRRTAFVAAPRQILRQGGRRYNSSEAGK SGGSSSLIWIAGAAAAGGAGYYFFTQGGSSPSIKEFKPTQKDYQEVYNVIAGRLEEND EYDDGSYGPVLLRLAWHASGTYDKETGTGGSNGATMRFAPESEHAANAGLKAARDFLE PVKQKFPWISYSDLWILGGVCAIQEMLGPEIPYRPGRTDGDLSACTPDGRLPDAAQGP KHIRDIFYRMGFNDQEIVALCGAHALGRCHKNRSGFDGPWTFSPTVLTNDFYRLLLDE KWQWRKWDGPAQYEDKKTKSLMMLPTDMALVQDKEFRKWVELYAKDNDAFFKDFSAAV VKLFELGVPFAQDAKRFTLKSPNA
SAPIO_CDS3676	MEYYPYQQVQHRLPQTAYTSGLYHTQNPRFQQPQQQQVREIPPQ FQFQGHRWMDPYARNSLLQSHRTETKPRLSKGEVEQLEAEFQKNNKPTSNVKKGLAEQ MRVEVARINNWFQNRRAKKKQELKAQMQEAKNKAEEDVKVEISPTVDTEEPLPSTKQP DDTTPTKPTIKTEISSPGPLADVNVPSTRASPQQEHSRPESQPNTTYSSHASPDALNF AFNTTSVNSASYGTTQCQDFSSMMTVPCATSDPTAMSVSAPMNCSVEGGADGIGAFSY PYMMFPGSTDEVTSFETQLPMKREMPMAYQDVEATQPAIETGFHDVASEQMNQQGFRI KSPPAVDLAGRRKRPGLALSGLRSSSNGPTTGMDFGGARRVDPGSPMRRVASASGFGP QGIRRFPAQQRQFSDRRQESLLQAARSPNVMASSLNSAMAPPTPSTPVVATQQSLREA TVSSNSSSDDNGPFLVYSEVSTHPSALDQSLRTPPATPVTIGDTFTHSIEATLGFAPS DEALLTPGVEFPMRSTEFCLPNYVSDGYMSQPSTPSFPPPQMSMSTAYYASMPSGNTE FNWTDATMVSKSSPHQNHRRQLQFNNFTAQDFNGGK
SAPIO_CDS3677	MSYSGAPVQEFFHGLLFDMDGTIIDSTPAVVKHWHTIGKEIGVA PDVILETSHGRRSIDVLKVLAPEKANWDYVCEMEATLPRLYGGDATEIPGARSLLDPL IKAKTNWAIVTSGTLPLVTGWLGVLSLPRPEVLVTAESVQDGKPDPTCYLLGRQRAGL HEPEKRILVLEDSPAGIRAGKAAGCKVIGLVTSHTVEQVVAAEPDWIVKDLESVRFVQ SEGGGVTLEFTNLWTSAV
SAPIO_CDS3678	MAGSINKPKKPKSKRGTSRLRHKIEKKSAAKQRKDRKLAKKNPE WRSKIKKDPGIPNMFPYKEKILQEIEEKRLQKQEDAARRKEMAKAAKTGVTEEAGNEM ADSDGEDLEGDDFDDTNMEVDDGAVDESNPMAALLASARAAAEKYERELQSGDEDMDE DYSDDDSEDGGFRGQNEIPVGQASSKKAFEKVYRQVVEQADVVLYVLDARDPEGTRSR EIERSIMAAASGGKRLILLLNKIDLIPSDVLKAWLAYLRRYFPTLPIRASRPSPNAHT FDHKDLTIQSTSATLFRALKAYAASKNLKRAVSVGVIGYPNVGKSSVINALLARLSGG RGANSSRACPAGAEAGVTTSIRAVKIDNKLTLLDSPGVIFPSSSLQSSGGAVPLKTPT DKHAHLVLLNVVPPKQIEDPIPAVTLLLRRLSAQPEFVKKLMEAYDLPPLMRDEKGDP TTDFLVQVARKRGRLGKGGVPNLSAAAMTVVTDWRDGRIQGWTKPPVAAVAEAGTLQG MESGAPTEVTADEKVIVTEWAEEFKLDGLWGDNAGGDETMEQ
SAPIO_CDS3679	MTSLKRSLEADPFASNISSRSFVRSTKRGKVQKIVREVYLRQDI PCSSKLCKKCRQSAPRNAAGKVMPFVLSEKPAGTKAFPQGHYVVPDTNALLNAMDVFE QSSVFYDVIILQTVLEELRNRSLPLYNRLINLTKSDEKRFYVFFNEFRLETYVKREEN ETINDRNDRAIRRAVQWYTEHLAKTKAPKIPAVVMLTDDRNNIKKAKEIGVPAQTLAD YLGGVEDGERLLDMVAESQSRELIHKPSEFIYAEHYTLSKMMTGIKAGLLHQGIFNVS PYNYLEGSIKVPAFTKPLLILGRENINRSVDGDVVVVEVLPKDQWKEPSTVIIEEEAV TKNENADGEEAQDFVSEKERKLLQEEVKKTHSRSTEGRAQPTAKVVGILKRNWRQYVG HIDPSSVSRSGGQGRKMDNVFLIPMDKRIPKIRLRTRQVAELLGKRLLVAIDVWGRDT RHPTGHLVRSLGELETKAAETEALLLEWDVQYRPFPRTVLDCLPKEGHDWKVPVNTED PGWKDRADLRHLLICSIDPVGCQDIDDALHARLLENGNYEVGVHIADVSHFVKPANAM DAEASIRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERFAFSVLWELDQNADIVNVRY TKSVIKSREAFSYEQAQLRIDDPSQQDDLTKGMRILLKLSKQLKQKRMDAGALSLSSP EVRVEMESETSDPIDVKTKQLLDTNSLVEEFMLFANVSVARKIYEAFPQTAILRRHAP PPKTNFDELANQLKVKKGLELRTDSSKALADSLDTCVDPQNPFFNTLIRIMATRCMMS AEYFCSGTQAYPEFRHYGLASEIYTHFTSPIRRYADLMAHRQLAAAIGYEAIHPTVRS RGRLEAVCKNINVRHRNAQQAGRASIAYYVGQALKGKVAAGEDAYVMKVFSNGFVVLV PRFGIEGLIRLRDLATPEPESEFDAENYVLRTSGSREVRVELFQRVKVLVRDEKDELT GKRGVKMELVD
SAPIO_CDS3680	MPSQTPQTQTASHAGQVANAYTSAPAPAPAPAPAKTTPTKTTLK ALPTVRDHTTDQLNAAGDEYIPRETDEFGEKKVMPNGQLLGGREYRCRTFLVPHRGDK LFMLATECARVLGYRDSYLLFNKNRSLYKIIASQVEKDDLVAQEILPFSYRSRQIAIV TARSMFRQFGSRVIVNGRRVRDDYWETKARKQGFTENDLAGEKRPGGARAREAAAAAE AQNSVMMGGPHGEIVYSNTPAPFPGAQPQLVQPGPDLNDTTRTRDYSGILKGGPRQEI TGPAYQDQTRPSAVPELNAQAHHAAEFNRTINQQRDMRNDYLNNVWRRPHEQPATTNL TPPAPAADTTATSQPSQSPHTTAAGLPQSGIIASQSPQMMMAAPAYSQSIHSQSTMSQ APIRGMTQTSAQKSTRPTSSLSPGTTGTLAQAQGYNYPQSSQMWPPTPQTPQHGYSGY TTQTQQSHSQQQPAASQLRHSSSGQIQPGMPFSNMPGMSQGYGAAGQGMYPAESTPRQ YMPQNTQASPAVSQTWSNQQTPATQQWWANAQQQ
SAPIO_CDS3681	MPPRKSDASRKSDVAVRPAPKPAEQPQSKTTPAAETPTQPRPEP STPATGEKKDKESKETKEGVSIDDLNLPKSIITRLAKGALPQNIQLQSNAVIALRQSA TVFISYLASHANEHAQNAGKKTVLPADVFQALEDTEFGFLKGPLEAEFAKFNQIQTAK RSNYRQKVAAAKRAAADSSTLSAADTTVNTDADTSTITEPERGGGSKAKKAKVERGAS VEEEEADDAETEPEEEEEVEDDEEEEEEEEDEDEDEDEEDEEGEDEEEVGVLEERDDD DDDDDDEDDEGDESD
SAPIO_CDS3682	MTNTTLVVLAPANAQTGRPERPGRPVDFPQPQDPSASPTPPSPP VWIPTPVPPSQPEPEPAPSPSVPSPSPQNPNPPPVPEPAPQPQQPGGSSGPSQPVDQP PPVTNDPSTGDGKVNGQASGPSAGNKPNDPSTVPGSTSTFGNKTPSSTGNPLDKPNNT GNNGNSNGNGNGNPNSDGGEDDDDMNNSNPNTPNSGNASSGLSNNRTLIITLSIVLSV VAFLIVLATILVCYRIKKGRLPFIARGISPIGDEEIESWKRSAAHEKYTSAPTTAGTR PPSSTVSTRKPPSVIVYQNAASTAGAPELSPKSIHTVNKSIDLPQAAVLARAPNSRPG LTDDTVEGDQAFIPTPKRQHSRLSKSYRHVRSRSSQSSMRGAGFRPRSGSEGQWPGSS PRASNEYAYYYPRTSHSYDRKHARIYSDSSNPPRASFDDEFFMGALSPRPLLPKGNPT PESQIGRAIG
SAPIO_CDS3683	MATRVSAASSMELRDNTVIIVLGASGDLAKKKTFPALFGLYRNQ FLPKDIKIVGYARTKMDHEEYLRRIKSYMKVPTQEIEQQLEEFCKLCSYVSGQYDQDE AFYNLTAHIEELERGKPETHRLFYMALPPSVFTVVSQHLKRCCYPKKGICRVIVEKPF GKDLASSRELQANLEPDWKEDELFRIDHYLGKEMVKNLLILRFGNAFFNATWHRHHID NVQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAEDIRDEKV RVLRAMPAIEPKNVIIGQYGRSLDGTKPSYKEDDTVPPNSRCPTFCALVAYIKNERWD GVPFIMKAGKALNEQKTEIRIQFKDVTSGIFKDIPRNELVIRIQPNESVYLKMNSKLP GLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDASWRIFTP LLHYLDDNKDIIPMEYPYGSRGPAVLDDFTASYGYKFADASGYQWPTTSAITGPNKF
SAPIO_CDS3684	MAHTSPYPDVVLPQTDLWSYLYERPDVPFGPDHELLVESETGRS YTFAQIKAQSAEFGKGLKSQWNWNKGDVLALYTPNSIDVPVLMLGTLWAGGIVSPANP LYTVGELTHQLKDAGASAIATQLPMLPIARQAAANAGIPESRIILLGDKRDETGKVRH WTEITNQNRIFTPKKTKVDHTKDIAFIVYSSGTTGLPKGVLLTHHNVVANMAQIRNFD FKYLNWDKDVQLGVLPFFHIYGLAIIVLGGMNAGVKTVVMPKFDLERFCQLVQEHQVS VTYVPPPIVLALAKHPVVSKYDLTSLRFLNSAAAALTKELVEEVWQRLKIPVKQGYGL SETGPAAIVQMLDEFGKFVGSIGKLLPNMTAKIIDADGKEVTKDGEVGELLLKGPNVF GGYLNRPEENKGAFTEDGYFRTGDVVYRDSRGNYYITDRVKELIKFNGFQVAPAELEG MLLGREDIIDACVIGVWDTQRQTEVPRAYVVMRPGITKDDALAEEIIEWLAKQTAPHK KLRGGVRFIDVVPKSAAGKILRRVLKDQAKQEEQAAKAKL
SAPIO_CDS3686	MRSSRSVPSSDASRIQSGILTPTSDTSLNHDSNRDITSSRKRKR DSSALEELLKPTIVLKPHPPKILTKPVVLQPLMIIPRGYLPLSYLDLLSPTGDLTPSR FYESHVRILDLENRRGAGPHLLIARLDTNGSLFAIEGFEKSLYTACKLGSWVELNQLS SKATLACQQLLSLAQSQREATAPAAVALTTPSLHKANKEKRMAIEALQSVVRRKSRTQ SVSTLPSLGDCANTPPITSTDAGEASPSDPTDTQKPASSQEPPPTSQPPQLPPSQPCA LPPPPSGDELLEKIRTQYFDALYRSKGSLAYFPKGPLSRARAAFHLESDISLNMGDLV EFLRGLVIPLRQMNKKYQETIPTLIKEMKTRVDTSGDERPKKRKSRKMKLGRNGLYPE EDESIRAWWMSNKPELTEGEASVTESHIKSYIALLRSRETQLQLILILEILALEPLVA TENAADTQLPGVQPSIETEEPKKKKSKEQSLPDLADMHADLLCIWQSTASDEIRLLQD AQLPDQIRDGQRIQKASSEPLRDFCVDIIMPFFSARLPDLCDSLNRKLGGPVLRASPP KTMKPAKPKTVTTKQPKPGAVAKRPLLARDGKSLQRAFSNDKLQRDRRSMSRGPSKAV AALLSATETAIPGLKRENSDSLSLMSIPRMRADCGLLKSATAGSLSRSNSISGDDPRV KKKAMVEAELQDAIAALRKPNRQLAGASMVEAAEKRVSGSLSQIRKSRKPVRHTPSEQ IKIKATPLHNRYRDPMAGHSQPAPVAWPLPRLGAHEPPPSSNGSVIPATAPRKGFRDA LQSSASPAFDVITSTPARRSVVDRIDATPARTSTIAATPVRSSVKPASLPEEESLPAD EIMLPSSPLMARKAPPSQYLAVPRSGASRSADISGVRSLKDLFRTPVKPKQTAPAASE ERMAETSVVAEKKKVSVYEKLGWDNEFDDL
SAPIO_CDS3687	MAVPEDDLLSAGDSDSDTRFVEQSQLSYIIPFETDLTLEELFKN VDSSAPILDSIPRRKTLFFDETVNVLLVLRTPWADERILRSHFSRLVISLETHIINTA TADHESPSPPGQDVIFTGTVQDMDDPFIVVDESDTGSDEDSDEDQQHEQHIYAVWKMA VFLARPRLRLRRPVAVFHASAGLQPVDQVESGRDSGYLQSGVPSGLNLLEAFGSDPAL EGRTKPRLSALRVSRVAPLTDPKELTRSIKALKELKLDITPAVHSRVRIARPTMQPPN STLIAVLEVDFGGNTPCDITIDKITPHLRGGTVEDLNDQPGSELLPMTCAAHDHATFL YSLLPSDLDDIVKNPIRDLDITIEATANLAPKCTPKLNLSWTTPLDFTIPVNPGFGPA MQPIQRSHRPSQLSIGGGESSFTAPSVSRPDALPTLEAATTRTETTIPDLGITITFSA PSDPIYPGDVFSWTVYVVNRTSNRNSASPRKLAIVALPKRRRVDHHRVLRPPSVSHPR PASIANAASTATSNPDSAEQVADAVLDENIVHAMHKNSTLDAPDVVCLSSDVRVGPLA AGTCHVAELKFMALREGVLGVEAVRVVDLGSQEHVDVRELPIIVVGKSP
SAPIO_CDS3688	MNGATPPFGQPPQAWQTHRTPDGRLYFYNTITKVTQWTKPEDLM TPAERALANQPWKEYTAEGGRKYWYNTETKQSSWEIPDAYRQALGISSESTPTPGTPH PGPGGHDYSRDYRDHGRESRQLSYGHDSQSQAFFVPASTDPEYATPEEAEAAFIKMLR RCGVQPDWTWEQTVRVTVKDPQHRAIKDPRERKDVFEKFCRDMITQDKERAKERLAKL RADFETMLKRHPEIKYYTRWKTARPMIEGETLFRSTDNENERRQLFQEYILGLKQEHV ERQAAMRKDAMSGLIDLLSKLNLEPYTRWSDAQGIISSTQPFQNDEKYKALSKFDILT AFQNHMKALERAFNDSKQEQKNKKYRRERQNRDAFNALLSELRRAGKINAGTKWRQIH PLLENDERYIAMAGQSGSTPQELFWDVVEEEERGLRGTRNEVLDVLDDKRFEVSQKTT FDEFLSVVKDDRRTANIEPDLLRLIFERIQEKSSKRSDDDRHAERQQRRAAENLRSYM KHLDPPLTVQDTYEKARSRLARSDDFQAITSEDARRAVFDKFIRRLREREEDAERDRH RRRDRGSIDREVYRERDRSRGDRSHRGSGRGSRRSRSPEADAYEADRRKAIAERERNH RKATLAESVLASERRASPGLRRDRDRDRERDKDRDRERERDRDRDRDRERERERDRDR DRDRDRERERERDRDRERDRDRDRDRERDHDRHGRSRRDDDSYYDRDRKSRDEERERV YRRRTERGGSYDELPYGDERPSTRRRRADEDEERRDSKRLRREKSPRERTPTREGQPP KVTPPSQPPPKDEAGVHSGSEEGEIEED
SAPIO_CDS3689	MADKLTRVAIVNSDKCKPKKCRQECKKSCPVVKSGKLCIEVTPE SRIAYISEPLCIGCGICPKKCPFGAITIINLPTNLETQLTHRYSANSFKLHRLPTPRP GNVLGLVGTNGIGKSTALKILSGKLKPNLGRFDNPPDWEDVIKYFRGSELQNYFTKLL EDDLRAIVKPQYVDQIPKSIRGPNKTVRSLLTSRATLGNLEEVCDTLELNHILDREVQ LLSGGELQRFAIGTVCVQKADVYMFDEPSSYLDVKQRLSAARIIRSLLRPDDYVIVVE HDLSVLDYLSDYVCVLYGQPAVYGVVTLPHSVREGINIFLDGHIPTENLRFREESLTF RIAEGTDDLYADKSRSFSYPSMLKTLGNFKLRIDAGTFTDSEIIVMMGENGTGKTTFC RLLAGALKPDSKASVPEMSISMKPQTITPKFDGTVRQLFFKKIRSMFLSPQFQTDVVK PLKLDDFIDQEVKNLSGGELQRVAIVLALGIPADIYLIDEPSAYLDSEQRIIAARVIK RFIMHAKKTAFIVEHDFIMATYLADRVIVFDGQPGINSHANKPESLLTGCNTFLKNLD VTFRRDPGNYRPRINKNNSQLDQEQKSSGNFFFMDDGPKRS
SAPIO_CDS3690	MPSSPEPSPVNGHISPPTLMSTEFDAGDAVSDSDLSDVRDVVVA DPVARASSSSGDEMPTYTEAVPDISDSENQSESDSPEDNASDDGDYDMDDSPPSPQSD GADDTRSSSQASRRASKRKAAVEEDYIQQNPELYGLRRSGRATQARRFVDSDSSSESD AVPVTKRPQKKRRVETSQPTSKRATPARHVSDSDSDSDNYGGARAKTFRRKARRQREE QPDQALAEKRWSSRRAAQVQQGMYQESSEEEEDELSLDNDYSEEYVDTRPYIEKVVRH RPRNGIDIGIGARRTDFEYYIKWQGQSHLHDTWEEAEDLKDYRGYRRVENYFRKVVEY ELDVKFSDDIAPESKEQYFLDRERDEEALEDYTKVERIVAVRNGEHGTEYYVKWKGLT YDECTWEEEGDVSPDFQDKIDQFLDRSVRSWGSDRKEASLNTRTRMTKLEEQPSYIKG GELRQFQLMGLNFLCLNWTRGNNVILADEMGLGKTVQSVSFLSWLRNDRLQEGPFLVV APLSVIPAWCDTFNHWAPDLNYVVYLGPDSSRRIIRDNELLVDGNPKKPKFNVLVTSY DYILADWQFLQTIKWQVLAVDEAHRLKNRESQLYAKLFSFGVPCKILITGTPIQNNLG ELAALLDFLNPGKVTIEGDLESLSAADAQVQLENLHKAISPYILRRTKETVESDLPPK TEKIIRVELSDVQLEYYKNVLTRNYSALRDANGQKASLLNIMMELKKISNHPYMFPGV EDRVLAGSKRREDQIKGLITSSGKMMLLDQLLTKLKKDNHRVLIFSQMVRMLDILSDY LSFRGYKFQRLDGTIAAGPRRMAINHFNAEDSEDFCFLLSTRAGGLGINLMTADTVII FDSDWNPQADLQAMARAHRIGQKKPVNIYRLVSKETVEEEVLERARNKLLLEYLTIQA GVTDEGKAFREEFNKKGLKVDGPSSAEDIQWVLKMRSQKMFEQTGNQERLEQLDIDSI LENAEVTKTKVDDKINLSSGGIDWDNFMQYTDVKVDDIALDWDEIIPAERLEEIKAEE DKRKHDEYLSQLAEENAPRRAAMKNRNTESDRADRLAKKRERQMKKEQDIAKQRALLR DPKRPLTEKEQRNLIRAFQRFGCMDERGDEIVEDAKLADRDREYLKDFISDFLKACEK AVEDNNNKLAEAEKEATKALTKKDKKAVLFDFADLKKVNAETPLERPPQLRLLRKTIK SHGDWRTFRLLEASKAAHYSCAWSAREDAMLLVGVDKHGFGAWQQIRDDPVLEMGDKL FLEEHRIEKKTERDKAGDKKMKAPGSVHLGRRTEYLLSVLQAKYTNDPVAQRAVEGHH RTKKQSLTNGHRASPAPGMARKGSLQRDRDRDLDRRRSHSITDDRDVPRSELKRKHSH KKDHDRDRPSKSRRAEDPRRPRAYEDDDSPRPEKRRRRDDRDDRTPLKHRRTQESPRR YSDDPRRRDDRDRKEHDRPSDERRREGHRHTGDDHRSKALKRLAHLRRLGDSPEERNN DEDAMLWFLLKPVRNNFERILSTTKETVKSNKERAAIFGRELVVIGDFLSEKSRADKL PQALVDRFWDFLGSLWPIESSKGSTIDGTKLSSMYKKLLSQKTKGPQEGSGQFAGRSN GTPSKARN
SAPIO_CDS3692	MAMQTDTQPTSNRMPFLENPLPTVLPDVDDLFGGEGPVNLALSP PSKELRQRVDDLRRRGCCQTVAWSKAGIIASITPEGHFVEVRFVRCHPDDGSWDLSEA TRCDLVTGSDSIPLVHLVWSSTSIPELAVLDAVGRLVLLTFSTTLNKPYMSRRWDGDP VDDLNAVVGCHWLGLISPSRPFNVLHGPAIKENNRYRYDTSFIHSFGPSHPSPSKSSL LCVTTNGQIRLFYSQNNNRIEETTTDIERIGSTDDMVTHASICAHKTFLYLALATASR QLKLVKLTLQWGHSQGDKHPAPGVPLNPSLVERHLASTSWHQYGPNDSPFDSAMTQIS LLEILPSTLENDAQSWSPPLILTVRSYLPSADSPYQEVHSIVDRWQVLTDQPQSIHPA FSSLGGQKQSSTPDPQPTTRLQRGEPLTFNKVIVGIQTLQFGKVICISFSDGTLEYRD RLTLNEIYNEPNIERIMNLHQVGFTFPDPTPCLQLLLSPTNCSLVQVCPNGELKWKHL QYAQEDIGNSTQDRKVICNPVDFVSSNFQSAQYSPAIAALTVATGAAIYYMSSFDDVL AVARPFSQKKRFNYDFATDIVSMLKFSIDYSEDSHHDNLVRNTHLQMCLSIIGNLGFR GQYNPRSFGSKFATLALNARNIVILVTIASNTPQNLREKLSPLDEPEVVEALAGCAKW SIDLLSWLADSLFSLLDDSKFTALVREPSKFAAVSAYLQEKNDVALHLLLCSSTRGFL VAVCRRLQHLDALGNRVIGFWETQAQQGQADAKTSNLALHRAYQRMQQITSSSLVKAQ DFEKLLTQLGNDIRSTYTGMLVVMVSKAAGQPPPQPGAAIPKQLDAMIKQAQMQCELA MLLGGTINPMFRKALMQFFGTHLSTFKATVDPAKLFFHDYSLLEVAADSPESLARKRS LALYVDMFRKVEISPGQAETHQHRQQQQQQANGGARTMGLVWSGQWRRCVRCASVMED VSNPRHGFSYVLSQQRKCACGGSWGLLPKGGLVS
SAPIO_CDS3693	MTIEVSLHSPLAEAINAAIQPKLLEVGWGTGGQDDAALAEYIVL MLVNGKTQDEIASDISGEILGLPNDPVAKEFSAWLFGEIESLNSQINGARQSAPVSDA MQISGPDGDVSQATAGVISAPTGPRSMRNGNNPRGGRDKRMFGQMNKAMDRSHDSILH RVRTQTGNERISRGPPTGPRGGMNRQQQQRHQNGRAANVQAGMYAALASGHGMGGGQM PGMPGPNGMPWGMVSEQPSSAELMNLLQQQSQMMQQISQQMMTQGFQNPRHGRQQQHR GDRGNFRRGGGHIQQQGHRAAAAASQTERESTAGESGDKDVDMGGSKAEPTNPQEKVC SYNLKCLNKDCKFAHQSPAAPPGITIDLEDVCTFGAACKNWKCVGRHPSPAARLAHQG EQDCKFYPNCQNPRCPFRHPAMPLCRNGADCTTPGCKFTHIKTKCKYTPCLNPTCPFV HEEGQQGGFKDKVWTAESSAEHVSERKFVDENSLVEMVLAEEGARNGDEENADGIQ
SAPIO_CDS3694	MDITRVCPSCLRQAMARTTTAPRLTVASRRRLVPVPPLRRTALY RSQNGSIDGGRRGYTTASSASPPPPENVAVIGGGITGLTTAYYLAKFLPATSKITLYE ARDRVGGWIHTVKKEAPDGQPVYFENGPRILRGLAGSGFRADDYVFYDMLADLNLPLK ISPPRNKLLCYNDELVRLPPNILNPFTALKTSWQLLRGTHVVSGMTSAALAYRRANMR NLPHEAVEQDVSIGDWLRMTFGDAPAPRNVLSAMMHGIYGGNLDKLSFPSVMPAFWYN FHLEKGVDEVLIPRGDYELLKELDPRKRGIEEEVRAWSKAEVISFPDGMGSLASAIRD ELEKKANVVLKPSTPVSKLRYISDQNKVELSTTSEPPSLHDKVISTTPSGLLAPTLPS PIPLNTRAVTMRTVSFFFPQPNLTTNLTGFGYLVPLTSPNPEQILGMFFDSDTYGLHD DEKRGTKVTVLMGGHFWSELSAQDMPGEEECVRRARQVLARHVGITQEPEMTHLGLAS GCIPQHVVSHRAIMTAADEALRSQFKGKLAVAGGSYTAVGVMPAMRAGYDVACEVAGV GERGETVGDTGLAQFRGRQGADVIAVPREELNALGKQLRGSSAVGRWFSGR
SAPIO_CDS3695	MTDVGVENGALTNHDRSPRLNGANVQGSGKVQLDSGKHSANTPN GSSNHATDKSGGQSFAPMDPTKQVLDKRGRMNGLPDEIRHIAEGYIPLSLFLSRLAQQ THNVLQEKVQQLAKMPLPQAPASAVNGNSSAASKDKDEFDNSHESLYKKVNLLQFIQS IHGKWVKALVIANWSRESELVTKLIDLKSHLNDQLMEFDNRLEQVVELKRGLIFARVP SPDLKTALQVLSCGNGDWMPDLGYIEPPKLKRADHVKWLEDLDTCLSMRLNLQDFDKI PRQFKDYSIGSGRVTFKVKGEFEIDLTMADEDPEKQFWFIDFRFDFSPAARELSPRLK AMLEVHVNEALAKDHLTGCYQLLHEFVLSHKIGELRRQAYELARTSWTRTLAIEPLDR SFSIQYWVTRQPQNTSSVPAYSRYAVPQVPTVPKSWIIVSVASARKPDGSPDPKASSR LAVQWFRDGKEVKDANIEFDHNDLSTEKLLKVVIAKHVEHILRSIHKKLRPAPRFASQ EAAMVLHISPTEPGESFLTMQLSATETVALRVEPTSGKFNLHPNSKFTIQAENRLNMS GKDPAEDGATCLETLRWHHLAEEIARKGRSQGWAPTKSPLGYDDVKVLFKPQEPFSPV CFQRQGVDPKCDEPRTTARSIGFYAKLRLQLAQPGLEGPFWSNLTTFVTGVIAHATDL AELHHNKLRYERRTRKNFSLPNEVVVPSIFVKLSDVLPTAANRALQSLTNGEQPRSTP HLDSGAAKDGSSQSPESKENHGAGAISTWLSESRRRSPGFGKPWAMDYIELRYSGVHS VQTREGTGARHLTYGMDAIIRVVDRKKFSLLSGKLGDNVYYNSRRGEFCVRLRTKVGS SIVSTLKARLQAIDRVVNSLDAMSVAKDTVKCEQVTLDKVVFTYSDGSGAEGAKRWRV SLDVSKDRMVMDLEIGNPHIRILDHLSSLLNAPNGISNLTLVLPMTLNILTVLDKLHN NWKEIAKRGKGTLIINAKSLDWYTLCYEMPEAPNRPRALGIDVRAKLRNGKLWWFITR ADPPAPGQEQNDFFNQRLRKVWEAKNVPWRSLSSGAAMDIDDKSLKLLFGLDHMVREA VIGGGGSGANAGANNAQAAGKLSAAQAAAASAVGSRAKPVTLD
SAPIO_CDS3696	MPTEAGHRLYVKGRHLSYQRSKHATNPGTSLIKIEGVDDTNAAN FYLGKKVAYIYKASTEKRGTKIRVIWGKVTRPHGNSGVVRAKFTRPLPSRSFGASVRI MLYPSSI
SAPIO_CDS3697	MAAVDNAARSARNGRHRSFSFHSDRSSKGPKLVETSAEKESHRL HSKADPTMAITEAEPAEPDKSNPTRNRWERPLDTIRSFEAAIDGPQRRPPMAIPQSEA DWNRRGSYYGNRNYASSRAPSMLSVGNGNRYPQDNYYGSRPTSHFEPRPSPPARDSYH EAQQYGGYGPYNGQGGYNPQGGYSPQGSYGPQAGYGPQGGYPSGGPSGPYGARQQRAP RMQSEPQLNYNNRRETNGVYPVPHRDRSYETVTTASGGGSSGDQGSYQTDPSSDNGSV DRISPTKPAPPPTQDYGIGFSGPAAYQPAPFPFGADGNNAAIQKKPVPGTLGSTLPPA IPLKDNVMTPQANLAPAKPQPEKKRKSWLFRRFSRSS
SAPIO_CDS3698	MSRIISEKTVLDQDDHVGGPSNISPAVPTHVIVKLLGFTLAMVV LPIGSYYASLDTLFKGNSTFAGALAAVMANVVLIGYVVVAMKEDQSDLRQPKAEKAEG KKDR
SAPIO_CDS3699	MAQASSLPSAPGIIPATPPRALLYDSDLDELGDERIPGTSPYIT QPTQIVSNPNPKLLRKASPRRSSPEPILSSSPPRPPQLTKQNSNSSAGLPFRNQPITK FFSRPLPISPQRLASSNSQQMANGNPPRSSIPQKRNSDIIALSSDDDEDSLVEDRADI IPTNFSRKPKPSIPEPKPKEKPKAKLIDFESFKYKGQTRPAPPSKASTMPSKRGNRAK KNVVLDSDESEEEEEEEEEEEPIVPRRRRLMRGLRPGQESQSTLSSPPSSSRDSSTPT SSQRSTKATTPEVPITILSDSDEDEFDSKEDDTPRTDEDVRLLKLLNDLDLKDLAALT GAPEADLKLLIKKRPFASIASVESVCKIKEGRGKQKRQKVEIGEAIIDKTRNFMRSIS MVDKVVSESEARAAFIKKQLDLWHIDAYGQKKKGKSASSGSDFVDKQPSSMSKETPMH DFQVFGVNWMNLLFKNSYGGILADDMGLGKTCQVVGLLARMLDDYDNEVTKDWPFPNL IVVPPSTLDNWILEFKKFAPDLNVMKYSGSRAERDDIAEELAEDPEHYHAIVTSYTQF SQKEDLRNLNSLGINAAIFDEGQILKNPTTLQYGRLHQLRTKWRLLISGTPIQNHLME MISLLNFVDPELFRDRMEAIRYVFDHKIHTRNLTNTALLYGERVSRARSILEPFILQR QKDQVGQNLPKKTHSIIYCDLPPAQKEVYDKYEKMFRTEPSARMKEAVGARGNDMNNV WIQLKKAALHLQLFRRHFSDETTEEMADILFNRVPYKELDLAEPKIHLLLQDLKSRSD FDLHLYCQDFLPLLRKFDVPKGSWKESGKIKKLLELIEGYRKNGDRVLVFSKFTKIIE ILSYVLSHDDIEYCMLTGASAVGERQKEINRFQKNADIPVFLLTTGAGGTGINLTGAN KVIIFDMSSNPQDDRQAENRAHRLGQTRPVEVIHLIARGTVEELIYKACQKKIELAEK VTNAGGGDTEGQLKAIVREMMDENDQGED
SAPIO_CDS3700	MSAEVSHGRGGAGNINADDTKYVDGEVVRLGPVGSHGDGAYSTG RGGSGNIGDPGTTAPRRADKEVVPEAAVRLSSDNVDYHTGRGGAGNEYVASEHKKSTS ADGSPAPAAQEAAEKEAKPPTSLADKLKHKIFGHFKK
SAPIO_CDS3701	MRFSSLLMAGTAAAVPFLDLEPRQAIANVDKLMKERGKLYFGTC SDQSLLSNAQNTGVIEATFGQLTPENSMKWDQINNQQNSYSWQRADFLMDYAEKNNIT VRGHTLVWHSQLAGWVNNIRDKAQLTKVIEDHVAALVGRWKGKIRAWDVVNEMFNEDG SLRQSVFSQVLGEDFVRIAFEAARKADPDAILYINDYNLDSPTYAKTTTGMANHVKKW LAAGVPIDGIGSQGHLTPGQASAHVEALKVLAATGVKEVATTELDIQQAPANDYATVV KGCMEVESCVGVTVWGVRDPDSWRANTNPLLFDGQYRPKAAFNAIVQALEAITP
SAPIO_CDS3704	MPPQDISEKGDTGLGNGTPVHVHHAEGKELSPEVIIPDKGAVNV LLILACVAFGSASFLFGYDDKVISPVAALTAFVDTFQGRNPTTGAMVLTARNQNLVFS VPLVGSIIGGLSASPLNNHFGRKLPLLGAYIFSLGGGFLQVFAPNLASFVIGRFWTAA VVGLANATAPLYLSEVVPPSMRGRSVTSINILSLLSGVISTVIVNSTHTLDGKRQYMI PLAVQCALPVLLFVLTIGLPESPQWLVSKGRMDEARRNLRRLRGFSDWQVDDELRVMK LCEENERSLTANVRFWEIFDRENLKRTLTAGAFYSLNQISGIILSTTYTTVFLIELGI GDAFTFTVIASCCTMAGTMVAPLVIDRAGRRPTAFVGMSLLFIIDMIAGGLAFNAKND KVALTIAALGFIFNFFWGACFYSLSALMPSEIATPKLRNHTMAYTIACAQTTAVITTF AVPQLTSADAAGLGAKTYLVFGGCMACVMVFVYFFMPETRGRTFAEIDEMYDAKIPMR KWRKYETTTEAKQTTLVTPEQLLSRS
SAPIO_CDS3705	MRSSSDFGEQPTLEAVLTSFFLFGCLFGTNQHNAARLRLREAID LALMLGLNDPNSYNRLSSEEKGQWLRTYLVLSVTERAYALQRRHSIMLTGKPGFVMRP TDGFIHSATHSLVSGIIVHNEKDAAGMMGLALLMEIFDAVDEEIVDCWNGRCKVGTSQ CRGFDEAKALDIHRSLAHVSDPSRYKSNDWFDPDHSRFDSHSAQATRNLGTFLAETQC ADVLITQKWVQNRLWHLCLSHGLLKADSETKELSFDYAFSIAEATLDVCRSVRISAME AHGIGIIEKLYDIAISAITTPPSEMEGSSATENSSRQILAQQYLSLLQVLRGGNHHYL EEYKKQLAFLAENI
SAPIO_CDS3706	MATEKLDSILRNHVATDSETKGKLIGASFVVAFYTRALPGESAW RPVMQLVEQGRLKLEDDMRELVPELATMQILKGFDGDKPILEDNVNPITLWNLLTHTV GLGYDIGDPDLVKWSKAVGRTATCNDWSLEGFTTPTKFPPGEGWYYGTAIDWACQALE KITGDRLSVYVQAHIFDPLGMKDSTWWPEQLQHVTDRRVQMTYRQDDGTLQGGPAPYP IEHEIESGGSGLFTTLRDYGRFIQGFLGGELLKKETMDLMFTPQLNEQQDEMLNQVAT EFHDGFKPEFPRQLRISHGIGGILNDDDCPGKRSKGSMSWSGYCNSRWVGWIDPTIGI GAAQMVFLLPYPDAVANRLWDQLERTVYSNLMAE
SAPIO_CDS3709	MATPGVNAPTGDAGNGTSLSRRKKQIFLNAFDMSTVGHLSPGQW KNPTDKSATKRKLQYWIDLAKLLERGGINALFLADTYGGYDTYEGSLDNCIRRAAQWP VTDPTIPISAMAAVTKNLTFAITASTSFEPPFLLAKRLSTLDHLTGGRVGWNIVTSWK KAAFKAIGLDNPIPHDERYEQANEYLEVLYKLWEGSWADDAVSPDPENDSYADPDKIR TIHHHGKYFDLDTRHIIDPSPQRTPFLFQAGTSSAGSAFAASHAEGIFVSSHSPKLLK PKVQAIREKAAELGRDPQSIKFFATFTPILGKTDEEAREKYEELKKYASVIGGLVLVS GWTGIDLSKIPIDQEVTAADSLEANKVRSILDAFTTTSEYVPKWTPRVIAERAAIGGL GPVAVGSPQTVADEMEKWINEGDLDGFNIGYVTTPGTFEDVVDLLIPELRRRGLYPEL PAVGEEVTAREKVYGKGQAGLRSDHPGSRFKYDVYPEDPVKDSGAHKVE
SAPIO_CDS3710	MATQTRPQKKWYKLQWYSDADSPEDRRLINKLDLLIVPYAVLSY WVKYLDQSNLNNAYVAGLKEDLGFKGNELVHLQTFYIIGAVTGQIPFMFLFTYIPMQW TIPALDVLWGVFTLLQYRVHSYAELAAYRFLVGWFEAAFFPAMHYVFGSWYRGDEIAR RGGIFYVGLSLGTLTSGLIQAGASARLDGVNGLAGWRWMYIICSIITIPIGILGYFVI PGTPEHPNRLTLKQEDVDRAAARLKRAGHTSHGKFKFPNLKKLLLRPQFWAIILVDVL FWNAGVHTSTGTFLLWIKSLGRYSQARINELGTIAPALGIFYTLFICFASDLVLGPAW AITLSHTWNIIGLIILTIWNVPESALWFAYATIYSSYAMSSVFHGWVNTQLRSSPAER SFTLVLINAIAQSSTAWTPLLVFRTVEAPRFRKGFPFALACAILLIVATHALRLYLKK RDPGVDNPTGFIDEEVEPKADGGETGFSPAAKRQGKADDSSE
SAPIO_CDS3711	MGHAQTPTPTPVLNGLTDQIGQGLGSNVQEAVTSNGQGVTVPIP EGAPSILKPQANQSVYENPPFELVDRYADEPRELRVAVVGAGLSGVLAGILIPPKVPN VKLTIFEKNAGVGGTWFENIYPGVRCDVPAHVYQSTFAPNTQWTEEFAQGPEIQAYWE GLARKFDVYKYLQLSQKVEKEEWDPVQSEWNVTVRDLKTDTVRVETVDFVLNAIGRFN SWRLPDYPGLSDYKGLLRHTSNWDPNFDIKGKRVAVIGNGASGIQVVANIQKVVGHLD HYARNKTWIAASFAGHETSTTPIVIDEELRESFKQDPEKYIAYRKEKESIYYRGFKDW FKGSEKNEETRGNLIKLINGRLGAKPDLIDQLIPDFSPHCRRLTPGPGYLEAITAENT DYIQTPIKRFTETGIETEDGVHREVDAIYCATGANGDLAPPFPVIANGENLAEVWKPG GKYGFPYTYLGAATPGFPNLLFIHGPNGSGRSGTVPHSVETQITYFAKILRKVGREGI KAIEPLKEAADDFVQYSDAFFAKTVLSENCSSWYNGGRPGARIHGLWPGSASLVTLLQ REPRWEDWKYDYIHDSGNRFVWYFGNGGTRKESDPEADVTPYLVNPDKIDLRDVHESW WLIP
SAPIO_CDS3712	MHVAFLYAIGTLALSGKMSVMAAPRTANVTDGAPSVTAATSLAS ATSVPNAYVDPFFQNFTMVPNSYTGAFGPNGETLTFNGTIQEVMRQVREINPGYDWDG TGGNGAAFKFKKPEWRDRHVSKKDCVGPDPRGVRQRYIQDGIKYLVNRKDMAMVDKTA CTRVSCSWDAAIFICYEPPTSSSSATYTNSWDYVAAYAQGIISEWCPKWYSPDTRSAF AVRGRVWAPDGLIVSVQYDDC
SAPIO_CDS3714	MTSSEKDRPEDLQVEQKVASAGFRTEKAVGGNLHAVAGRGNVAT DEHGNPLVEFDPVAVKKLRWKLDLYTVPTVAFLYLFCFIDRANIGNARIAGLEKDLGL KGFDYNVVLSVFYVSYIIFEIPASICCKWMGPGWFLPLTSLLFGVASIATAFVHSRAA ICGVRFLLGIFEAGMLPGIAYYLSRWYQRAELTFRLSLYMVMAPLAGAFGGLLASAIL TMDHFGSLHEWRMIFALEGIITVGLSLIAFITLTDRPETARWLTEEEKELCIARVKSE RIGATEVIDGIDRTKLWRGMTNPVTLEIAVIFLFNNITVQGLAFFLPTIVRSIYPEFT VVQQQLYSVPPYAVGAFFVLAYPALSWYLDRRQILIALSSPMVMAGYIMFLASKVNVV RYAATFLIASSTMTLGPMSNAQISANVVSDTARSSAIGLNVMMGNIGGLVSTWSYIVW DAPDFHIGNGLNLAAASVILIVATAAWFWMKWDNKKRDGRNVEEELAGMSPREIADLD WKHPGFRWRT
SAPIO_CDS3715	MVSFRKLAFLLNLVPFAVAGPIVAGTIEPREPAVKTEGKYIVIL KDGVTAKGAESHLGWVNDVHKRSLGRRDLTGVETTYEIGDKFRGYTGQFDEATLEEIK KNPDVAEVELDQTYTLSATMAQSGAPWGLGAISNRNRGSTTYRFDSSAGSGSYAYVID SGVNVRHVEFGGRAVHGYNAAGGAFDDTLGHGTHVAGTIAGRTFGVAKSANIIDVKVF RDRESSTSVILNGFQWAVNDILSKGRQRRAVINMSLGGPYSAAFNSAVRSAFNYGILT VVASGNENTPAAYGSPASAPEVITVGAVNYNWIEDSYSNYGPAVDILAPGTGVVSAYI GSTSSTAALTGTSMACPHVAGLALYLAVRENINSPGALTSRIKALGTAGVTRRKYRSP NLIAYNGIA
SAPIO_CDS3716	MVSNKTLVFKKVPTGVPVPGEHLVIEDRPINLDDPAPKGGLVLK VLYASFDPYLRGKMRDPHIKSYSPAFEINGPIVNSTVCKVLKSDAPSFNEGDLVIAYQ PIAEYAVVNNPEEAKVRKINNPHSLDLGLFLGALGMPGLTAWSGLYRIGKPKKGETIF VSSAAGAVGQVVGQVSKKEGLRVIGSVGSDEKLDFIINELGFDGGFNYKKEKPLEALN RLAPEGIDIYFENVGGEHLEAALTAMNTDGRICACGMISEYNTPLEKRQGVKGLFQIV TKQILMEGFLVGRPHFGPAYFNEHQEKMQKWLADGSVKAKLYVTEGIDNAPEGFIGML QGKNFGKAVVKI
SAPIO_CDS3717	MQRRIVEQILRSTYQDIDALDVINTDTIRTTFDRAQTQSTPPIT SSVTPPPQETTVMVDPTFGDEMRSSNTPGDRDPSGQFWDFQLPLSVPYPGAEVYRTNS HVNEPLIGGLERGLAEPVDIPSYLNKEDATQQPESAGTAQPSMPRPSGKVQHAEYVET PDRPFPPSTIGSLGKERLAQQGGSSSHDSGYASLQPSEAAAKILDETASVYSIDSTPT ERRSRYVATFASRLAEDIRQIPSFSQISEESLRHFPEWVRSFTMKLHGESSSMIEKEA SVFLRKYRRDIIQELRCLPLGEPSECGLDYQEEEEMTYRAAFLPKSVLDSWLRSLQAA EDPEAASIIAEAEEDMQLPNLADLVVRGQVDFAAEIGEQLGWLASSLRSSPVSNGLLA CFPEISDFQIHDENYRPNGTVHASCRIDCQMDLAQEISSSSPGFCWANLFRNPLLVTG YPIRSRCTSDTGLELSLRVLAQLVDSRQLTSIGERIVLKGFSSLLVVTAVVADAVLWH LVFNSTGERISYCDPRLESTNYKIAEEFTLRHLETQRHVVGWCSHVRELSGRPEANLA IHVCNLQPPPKYIVIERAYLEGGAQVVGGLTASIGKRDKPVHLSRGSSFVGSLDWITD KPIVLYDVDDRRAWLVDGASALLHLVRASIDRDRERPAYRASWRFNGTLEEGQSPAEV LGNPKNLNLPLFLDQEKQTDTGIMKIFYRFQDRVEEILHSLEAVIDYQVQLAARDGYW IQQSTKGFKKSLVGFDFWDLAKPSGSTMPRICYLGKKGYGWVDYIRAIKATTIFGSKF GELIQADNAGVLCPDWKTVRVGEDYLCTSIATLKTIQKSKMGVKLGPGEITSEIIWST RYNLFSQCICRETLHPNGIPSHLDPVQILLPKGKLTQLVVPDTCGNVTLHELGDDGAV VFGHTPYHKAFPRLGSEKYREETRGEDRSASSAGSSRSEASPVSNPSSLSSLKTSPPA TQATFSPGVSLGLTNMPTPLPAR
SAPIO_CDS3719	MSSSPPDRPPGYAEENKGPLILTTTSIMTGIAFLFVAARIISRR ISIKKIALDDYITILCIVLSILYVGLASVAIRYGGGRHLDTLEPKDSSKAIYYTLVSF VPGVMSFTIPKYAVAILLAKVLGPGKIHRIVMWTLSVVYFLIAVGMLVINFAQCTPAA TQWGGAEGTCWDRRITVAYALVLGVASAIFDFYLAIYPTIILLGLQMNWKKKLALSSS LGFGYCAGAVTIYKCTTLPGLLHLQDFTYAVDDVVLWTNIEANCVLIGACIPTLYPLV KKVFGSSALGGSTPNENDGSGPQRNKYNIVTIGSSGKKRKIWTSTFELETTRAAESQD VILGIEQARSVHSGTEGQQGERV
SAPIO_CDS3722	MGQMMKIFPERPYMQAILTHLKHVHDLPDIFYLDLWPFGPKFVI ITNPEASAIPTTIHAYPQATLVKQYFAANVGSTFIEATNDNIWKNLHQTLAPGLTPAA VRSYHDIILDEAVALHDRLQAISDSDEVCQHFGYEFGKFPFEVVGRIFLGKKVGTQGD NVTLYNEMQALAETMSVLAGEQNIFSQLRAKWDERVHAGNLRKVLAGYVESRFEELRE KKVVPTRTTAASLLDRMLAPHLESSRPLTKVALTPIIENACGFLAAGSGTTTDTTSYV YMMLIIYETLRLFPIAFVIREPPPGVDFFEFDGKKYPIKGQVLGVCAHTMHLDAKIFP EPKKFNPDRFMESTPSYSRNAFRPFERGLRSCMGQTLAVDEMTILLLMTARWFHFELR DHRPTKEPKLPQSDMDTVIGVHAYQSFFITAGPPEPIKMKIKSLPMRLYLLLASATCL LSSARARPQELPTSSSQSLIPLHTVAYTTALAAKPTIDVLCAKGEEWIDTVVVGVVMP REEPRWPESGVTVAGAAVSKIPVKCGTKTMTWTTSEDLTWTLSGNSRAALSNGTTTTN QGSSPATGLTVTSEYTSIGSETRTDYPATIVRTGYTHIDFSHSAQNLQSDTSFVATST GSYLRTTTMVQVTATPTGTAD
SAPIO_CDS3723	MPPTLSKLLSLLTLVGISSAAAVVKRNPCDGVDASPELYHEYRT DVCPPKNRLDADGTCPFVDGFDGPDLKYLRCAKYCEIRTNFFWGREQPFANTYCHGPM ACTITETKTRTVTWNAGGNLSLKVREALTLGVSGSYSSAEADAFARAFSVKLENNECG YFTFVPVIHDSCGSLTEGPADNTPGFPRATCTDDRGKWSTTGNYCQSQLKRHPDETVD GDTIFVRTDCSTRKPLPDDKQDDIYKRPGVPLPRVLQDAWATTFDQMSTGDFNIQGAI ECSGEGSGPLHACRALGAGQADIEGKWTIPAGRKGDHHWFGDFPLDTNEPGCSFYIDF LEDLDPEKCPINPTGLATIIDSITDACKDKGVYGSATFGGDCPIRLVTGKRSWPEGTS RPA
SAPIO_CDS3724	MKFLSCAALVFGLLASAEAARGRPSRRPGADNTTVHKFKPSRRP GADVGRRKNLVPKKKVQLSYGAAADGVPGSIDMKLALNNPAVVLEDVDDVSGAVCGDG FVTVSFSNKEGLDEALEDWTEDKAFILITNHLGNCDAEFERGFFLANGFEANTKDLTI KVSAAKQGIEQVATNLQMNFTSIPGGTVTKRTVYDPSVSLTLSKGLDPNTVLYTDNKY FTLTANEAGFTSTVTFSGYIDYNFLLWKVEDLHFDIDTTFNAGVTLSADVLASYSKTL KFSPGSLSYDFVSVPGIVKVGPGIDFGIGCVFDASAAVGVTASVDVGIPKGNVHLDFL DSSKTTTSGWVPKYSATANITERARVGLDASLDLTLELAVELLAGLVDLSAGVTATPG FDNKFVLLGNQGAGIQGREAESEYNALTVRNDNPVLDPRQELACAKTNGVQLTTDFYF KVRAFATQWWEKEIYSFTKPLFKYCYACFGEVLIFPDVSTEHDVNAGAVQDMRSRGIV DVMSHAIQSTDGGTPPSTARTRIESTLDCEVSDTEKISEAVSQSTVIVSLLGPNLLRS FDPSTYTDFYKNLFPIMKQHNVRRIFAMGTFSIFRPEDHFSITRYLLVALVYTIANRG WQTATGIGRTFQDHGEGLDWTVFRIGGIPGGHDEESWKRDREDGEAFEGAVGEKGWSS SQRRGALARWLVDAVEDGKSQWIGKMPAVSRLYGSKRKVD
SAPIO_CDS3725	MTPKPFLKQLLVGALAIELSAGLRLFGGVEGQVNNLAQLVAGNN VNTNGIGAVNVAANINGQNAAANAANIAGADDVDQVADAIDTADDQNENDAQVVADAE QAIANEVDAEFCSALAAAADDANSILASVADAAQATAVATDDAAATEVEAAETSDAAA TDVDAAVETDAAAVEADGANADDQANAVADDEAAQEDEQNANGGEDDAQQQDDLDIVQ QAAANVLEQAAANAEACANLNVVLPDAVLATATVDNAAAVETDADVNDVADAADVADA NTADADAADAAATEDTADADAQATETVGGDSADAADIAAAAIELANGASAADVTVGDA AEDAATAAGEAAGEAVQEDVQDDAHILTPEFHPPKVSMLQNHSYRVARPLLRATRGPR TIGTRQARFQSTPASPSLTSSNGRTDNSYFAAGVAGGLAAATMLYGTYLLTPAGRMQR KINKGAREASEKYQEATRKLQQTTADGDQAIQYIKDYCYSYLSWVPGGRQFIDAAFRD IETLRTSNRDEVNQIIVDTYKQFQTLSKSDLSLKTGSEALDVLADMSKKLGNLAVDSS ADILDNHPQVKAQLDRSLDQLKEMGEQYGPEAKEQAEKTLGQIKEIMGNVFSPDNLAR ARRILEEAMESVKKFGDEAWKKGLEQAKPYLDKNPKAKALIEDNAEYLKRGNAKELFE KAKNAVDSGNLASLEEYVKKALGEARPTGSQVSEALGLDQYFKTIPSGSEVLAKINLL KEAAEKEKGEGEKLLRDTMDEIKEVLEKRSGKAKEIVDKSKKN
SAPIO_CDS3727	MLFKNLIIAAVGILGVSGGATPRAGRKFSCGTAEPDAEHIGMSK VMAAQEARLAGSNLTARATVSVGVYFHVVASSQTVGNGYLTDKMLSDQLAVLNTDFAP HGISFNLLGTDRTINAGWAADNNELAMKRALRKGTYKDLNIYFQVRLTDEALGYAYLP TSVTPGSTAFYRDGVSLNSQTVPGGTLTGYNLGKTGTHEVGHWMGLYHTFQGGCTGNG DYVSDTPAQASSSSGCPVGRDSCPSQTGLDPIHNYMDYSDDACYEEFTPGQEARMYSY WNTYRA
SAPIO_CDS3728	MFNASFQQLSKTIASLLFAYYSWTQHIPADKTFPDQCPRRIFEE LYTCSQFSYDYAPGQSPGSWGYWDWEPLCFATDPEHEAASTFCLVSSVSFNSGHGLSI VAKKETILNLHKALLNSEAASRAKPHLGRDILDAYEKKKVPGKGVGILARRKIQRGEV FITALPAIIFDNEFRTLLDTTAEGREVYQRATDQLADRQRVMGLTKRVGGHALEDVLG VNSHTGNVFGRAMTLLYPEVARLNHACTPNALVRLAPSSMIMEVVAAEDIAEGEEITI NYLSSELLELAAEEKLGPSLMKRYYHDLMRVFFEHDDIPSAIAYAQRSLELATEFDGS EDTDGLQEALRRNLEVLRTYL
SAPIO_CDS3729	MTYPDDSSFPSSAFAAFRKSPRQEDLSRLAAEHLKHDLTEGDRD ILAKASSRISTRATVGSILGLGLGVYMAYGLRRGRVEMFNAFRTARKPVQVTFADGTT EKLPDLTRIMQPTAMGDVFTYLLCGLGGLFFGGETGFLAGTWSATRAIRENPDTEKRI GVAYRRFKADFLRREAERLEAGGPIDLF
SAPIO_CDS3730	MARVSLGTILVLALTFAQLAAAHEPIILRPLSRHLTSMHDSLER RGNSEVEACKPHRRLVGHPHGERKGKGEDQWSHHNGTHGGKHGDGGHGHHEETGNGDR VKTCIVVDLQDEEELAWTTPDGTEGDAQALVSIRITPYPGQKIIDMERFTSDLAAVEC ASTTVLQFEHRSVFDRAVQTWDWVNQAADRAFVLAGDPVACKSGDDRDPWLISSATFD ASTLTIRLVAEKKEWTDVTYAYGVDFGNAGTQAFQKMKRYALDTQFRVDLEADFSGQI VNFPVRDPTPGSTNHANFEANCVNCGVHGHLAYEGHIEGGVFEGLTRFDVSLVPRDVH VDVNLEFLLSGALKFPNNLGIGDLQQEFNIRDIPLPGGWTIPKLLNLGPYSRLVAGVA LESIEGSATLTTGATARIPESSVARLDILSVANTGIDGWIPEFEVHPLQLDADLAARV SLYLEVAPGFGVVAFDQMGLTAGLAWKFVDINLNITTGFDPNGFCPEDTGPSSGVNIH VDLGTELSAQIVQRLKSASLPDIEKGKVIFLRGAEKIPQKIAEDISHLPIVARRAAGI DSTDKVLVSKPLLDFPNLYPLGDVCFSSGASCDVDIPDGDDPDEKEWWSAEIDGADDA SEAGTSRRDMNGLARRASNRVLKWKCNTAAPVDVQLKPYHGPTAIRNAGGAVPIMVPL LPCTASKCPASKWDIAPGSDWGVLDTNENGPSYGKWSAEHVYEGNWLLEFWDYLASDL NMQCNNVQKIFGVGQTPAPGSPSNVADQLLSKVGTKLNYANTMTVLPLRENGIKYRIF ADRVIDKRFSSRTPDQKVCSIGRLASVCKYMEREETQRQLRNTLISINEVLSDLDKTN AQKPTGTRHAKAHMDWFNIMYDKGIKHARQTLIDFSRELTKDPNVFSTLAVNTRTRIQ AISGLDASSALGWDAICKNTFGYPTEGIDCGDTKSDVNNCGKCFKKCDQGQTCVNGGC VGGESPGSGSSSSGSGTVPDPEPSSGSGSGSGAGSGSGSGSDSDEDLGGGRRLSGEGR PIGGARSYRRAARLRLVNRTFDHYTTDALLHTDILDHAVLPHHLTVLSTRSKIWQTSA EFCHPVWLPLVARYLAHYMITGKTHTTDEARKVTYLLRLAQHLCDLRVGPTNTGPADD VHAYLEHVAALDILKADWEKRTHLLDAVDDGAWNFEDFRGDLLDAAIWLQDIEMTRQL LAEEKQDSGDSDLHDSKLSIRLRLAAERGNVEIIRLLHLNAGGNSRGLSSEVAVSLLA RACQARNAKLFQYTLDEHDENDSWIPHVDSLKSIAQYCPSADSYRQVYKILDESYSII AREYDHATRPRFPFKPIPLFVQTNIQGTRWRTSHAGGCGHPTLIDYFVHTDALLDDRT GFWGQSKSGPPVLDRAEIMSLALAGAVSAGSLDVVQFLLDSGADPNDRLYLNRAIRKG HRRIAKLLVDYGADVNLGSPPPLATAALQEDVDLFHYLLEKGAVLEDEGHHPGALAMG LAQLFGLDSMAAELGRMGIAENPRVHWVRSIQEESEYLFNTMPPL
SAPIO_CDS3732	MIVDGEKFACEACIRGHRTAQCQHTDSESCLCFRDKPCTCAYKT KSPEDDAMSEKRSRGRSTPLSESRESPGKGGELTPLTPSTAFSDTGQTPRSIAVGNPQ GPLAQSVRELDSLAMANPLGMLDNTPTTEGLPTPSPPVDDAWMKLWSLFPDLSESFIS PELQIPDGSLPVLDPSTLPDTFAVPEGVKHDEPPGLLSAAPAPLSARGVSGEAYNDLV EYTTVFDFPSFGQSSLSNTTQSGAADIPLVEGLVRDENDQETQVNDASNLDAEAIMQQ IFASSYGTNPM
SAPIO_CDS3733	MKLSSLLLCALPAAALVLPGGGDTIIALSKRQSINTVTDELLFS ITLPAFTARRNARDPSTLDWTSDGCTSSPDNPFGFPFVPACNRHDFGYHNYRNQNRFT ESGKLRIDNQFKTDLYYQCTAVSSWTQGICRALADVYYAAVRAFGGDDASPGKRDEDL IQEYVEKLAIYHKLVQEAQERGDLPRFEE
SAPIO_CDS3734	MERLPGFNVANFLEKRRLLFAVNCIAALSIFFFGYDQGMMGGVN NAKDYIDLMGFGYVDPETEEPVITDSLLQGGIVSVYYLGTLAGCLLGGWVGDKVGRIK TIAFGSVWAILGASLQCSAQNANWMICARAVNGIGTGILNAIVPVWATETAEHTSRGK FIAIEFTLNIFGVVVAYWLEYGLAYIDGGASAFRWRFPIAFQIIPLIILLSIVWFFPE SPRWLVKVGRDDEARFILRRLRGSEGEGLERAEAEFRDIANINKLETKDGEKNSYFHM LFGIGSGELHTARRVQLVIWLQIIQEWVGIAGVTVYAPTIFRIAGFDTMKSQWVSGLN NIFYMFATLICVFTLDRIGRRWTLYWGAAGQGIAMFLAAAFSKLGQEASAAGDTSKAS SYGAAAASFVFIFTSVFGATWLTVPWLYPAEIFPLHVRAKGNAWGVVGWSIGNGWLTL LCPVMFSKIGENTLHIFGACNILAIPIVWALYPESNQRTLEEMDLLFAAPTPWVWDAE KTFAKLKAERPELVSAAHHGHLVVDAEKGILDSAADLKTGGDSTD
SAPIO_CDS3736	MKALVYSQVGRVEVQDRPKPTIKASTDAIVKLTYTTICGTDLHI QKGDVASVPLGRVLGHEGVGIIEDVGSTVSQFKKGDVVIISCISSCGTCEYCRRGMPS HCTTGGWVLGNEIDGTHAEYVRIPHADSSLYHVPRDTKDPSSLVTISDTYPTAFECGT LNGKIKPGSTVAVVGSGPIGLGVVVTAKFYSPAKIIAIDMDANRLNIASSMGADAVIN GTTTDVTTAVMELTGGKGCDTVVEAVGVPATFELCQKILAPGGVLANIGVHGANATLF LDELWSKNITITTQLVDAVTTSTLIKMVEANKLHPGRMITHKFKFGEIENAYKTFGAA AQHNALKILIEM
SAPIO_CDS3737	MAPESEIVQERPSESDSTADLGVAKFDGSFASGVRPGQPPSLPW YQTREYVTAAEFSTGQVFLNEIVASFVLLFFAYGVGLDPRQVIVFGARLGPILVGLAL GLVTFATSGIAPGYGGAQMNPARCFAFGIARQNMSVDLLVRTGNRSDPVQFRVQLGTA AASRSRGEAAA
SAPIO_CDS3738	MATQRPENGSISQDATESDKEKGIGNITPAKPGSFERHSEASSD GVFHQIIVKDGQDVLVSWTLEEEKHVVRKADFLFLPIFALMFTWMAIDRTNVSGVLTS TFLHDTGMTRDQANTGVSLLWLGIVLLEIPSNVILHRIGPTYWIPGQVVVWGLIEVLQ CFVKNSSGWYAARLFLGMAESGFIPGGLYTLSRWYTEDELTSRTAAFFFGPSISAAFG SLISSGALRLEGQQGLIFIICGVSTIATGVLAFAIVPKSPYHTGRLFGGLLRVRGWLS EREADIFSARLIRKGNAKSHGSTLHIGWKDITDVIFHWATWPYLIACLSGLQAINGLS TWGATIIKSLGFSSIHANLLNAPGFWTLACLIALYHLPVSSKKSWSFYAAYLLTQAAP GWQPINVTWLSLNFKTPQKRAIAYAVYSK
SAPIO_CDS3739	MALETQRPEPLPVYYIGHAGVGLLFNESKNNHTVQDNLRKIGDE ILTLSPQPKAIIAFSGHFEAGEIHGPGVIEVNVKDGTYILHDFVNDFHDSKPFVYQYD WPHKDSPDLAVEVWKHLVKSGVKAKRVERGVDHGVWVPFKVMFPPEKPLGIPVIQVST YHGYDLESQIRLGQIFESLRHEGYLIVGSGMAVHSFASIAEIQEAKTDEERERVRAKV LEESRAFDTYLREAVQNHNAEERKKALLELESLYEFKRSHPTVEHFTPLLVTAGAAGD AKVAPLGVDIIEAGMSYLNLRFS
SAPIO_CDS3740	MGGFTWAAFESTEVFQTLNKLTEQPTTAFTVQDALERIHNLLLA EIEANRDRPPAAANKGKPELAGILPDCVADVLVQLACRTEPRNQDRLVEFASQLYIQT ELDPESNEPVTHDGGTVWSDDMLLRRSASHTWGERLGASGMETASGWGGAWGIHSRAD DLGLEEEKKQRWINLVAFTARLTSAARPPGPHSPLSFAHIALWSLRAAFEGDIQPHEI IGTTTLRVACLWFSYAADALWYFVENDIPPESDFFSKPGSQYKEKEWKGFNHERWDIW VHALEQAQTACSECDRDTMEIIQDALAKAKSVTSAQAPVKD
SAPIO_CDS3741	MGRFLHRRSKNSATDVEAAASHGQQSNDSDQTNFPNLGEYPALD RYISIYREDATQPSGDVEGGKRKRRWWDFWKVFQHLTDKVPAQKVQDVPDAWLKTEIG TGLSRAAVLERRKVYGWNELTTEKVNPFFKFITYFQGPILYVMEVAALLAAGLSDWID FGVILAILFLNAFVGFYQEKQAADVVASLKGDIALRCNVVREGVEQNILSRELVPGDI VIIREGDTVPGDARLICDYNHPEDEALFRELAADERLGDDTHEKLQEKEEKEAEKEAE NEGEESSENGEQSSPISSRSPTSIGPRYHALVAVDQSAITGESLAVEKYMGEIVYYTT GCRRGKAYGVIVASARRSFVGRTAELVQGARDQGHFKAVMDSIGTSLLVLVMFWILAA WIGGFYHNLSIATPGSQNLLHYALILLIIGVPVGLPVVTTTTLAVGAAYLAKQKAIVQ KLTAIESLAGVDILCSDKTGTLTANKLSISEPFVTAGQDVNWMMAVAALASSHSLSSL DPIDKATILTLKRYPKAREILRQGWETVKFHPFDPVSKKITTECVLGADTYICAKGAP NAILRLMTPPEEDARKYKEKVQDFAHRGFRSLGVAVKKNDEDWTLLGLLSMFDPPRED TAQTILEAGQLGVPVKMLTGDAIAIAKETCKMLSLGTKVYNSERLMRGGLAGSVQRDL VERADGFAEVFPEHKYAVVSMLQERGHLTAMTGDGVNDAPSLKKADCGIAVEGASEAA QAAADIVFLAPGLSTIVLAIKTSRQIFQRMKAYVQYRIALCIHLELYLTLSMIIINET VAVDLVVFLALFADVATIAVAYDNAHWEPRPVEWQLPKVWVVSVVLGFLLAAGTWVIR GTMFLSHGGVVQNFGSVQEVLFLEIALTQNWLIFVTRGGRTWPSVRLILAILVVDIIS TLFCLFGWLSGTAQRTSPLDVWHQRGDGWTDIVTVVVIWLYSFGVIIFIAVIYLILNR ISWLNDLGRKSRSYRDTIVENMLGHLQKLALEHERDDRLGRDRYILAEKSAEEEEEL
SAPIO_CDS3742	MITAVRASQRLDSRGNPTIQVDVKTSKGWFRSLVPSGASTGLHE AVELRDKIKSVYGGKGVETAVKNVQDVIGPALIEKGFDVRTQLKEIDQFMRKLDGTPN KARLGANAILGVSMACARAGAAEKGVPLYEFLRGEAGVEGPFVLPVPFLNVLNGGVHS GNKMAFQEFMIAPVGATSFEESIRIGCEVYHRLKEVITAKHGPTATGVGDEEGFAPPI VLPQQALDLLETAVADCGYTGKVKFAIDPASSEFFRDGLYDLGFKQETRNVLTPPGLG ELYHQLIYQYPVVLLEDPFAEEDGDSWTEFNKTCKIELVGDDLLVTNVERLRIAREKK ACNSMLLKVNQIGTVSEAIAAANLATSFGWSIFVSHRSGETTDDFIADLTVGLGTGHL KSGAPCRGERTAKYNRLMDIESELKATGKPYQYAGENFRFPQNL
SAPIO_CDS3744	MRLPRLLAYCVALLAAGTSVTAANPAGSCDGPRAVAAQPDEWNL DSGTCGTSHLRKRKDTFFLRIMPLGASITQGEFSSDETGYRKSLRQQLRFDGWEVNMA GSRHSGSMSDNDHEGWPGYRIDQVYNRAMASVPAFLPNLFTINVGTNDAIQNYDVGSA GERMLALLKYLRSQVPDATFILSTLLPLRSQPNNARYINTQYRSLVTRLRGEGWKIVL AEMDDGFITLNELLDGTHPNDGGYRKMAHRFYLSISDAIKAGYLAAPHYAGFDDSASV ATPACHRTIGRVWGSAMLVFAMLSSGKLYF
SAPIO_CDS3747	MLSTTILRLLSILACLEALVHAVIVDEAAYEEYDYVVVGGGTSG CVVAGRLSEDGDKSVLVIEAGPILDGKEEFDDLLVAPTYERLDPSRSVYTWPNVTTVP IDGVDGRTQNMRIAKVAGGGSAVNGKLFARGSRRDYDDWARYLDDEGWGWDSMLHYFK KSETFTPPIESYRSQGNVTWDMDVHGQDGPLSTTFPPQFFPSTVYKIAAHKGLGIPLK DEQGSGDNVGSIWYPVSIRADDYTRSYSKREFYDPVKDRPNLHFLAGHAVTRIVFEGT TAVGVEYAEDPDSPTKFAGVTKEVIVASGAVKSPQLLQISGVGPKKVSEALNITSIAD IPVGENFQDHGTLTLGYNLSLTFDERMWNEKTNKTWLDDQYDQYITNNTGYYTVTGDN NMVLFSVSMLHGDKTKSLLSRFKCQDPRKYLRPDVDESTAEAYVRQHKLILASMATSN QAVDEKTSLGQRNILVKPLSRGFIEATSTDIWDTPAINHRTFTHPLDLENVLGSLRMT RKIFGSREMAPLEPIETSPGLEVQEEEELVEFIRQNMSPGAAHGCCSVPLGTVLDNKL RVKGVRGVRVVDASSWPIIPGAHASQSTAYAVAERAADLIRGLESESKK
SAPIO_CDS3748	MDMLQLDMLFSANGTPLTAPLQEAAKQRGNIPGDIENLFHFPGH PDGKVRLWVVDRILEPQTVAHFLEFLGMGLLPANRARPTGEEVGNLMKPYSAWAPAPF NQITRPAVESIMIRIGSFEDPRRLVCIAKELHAMKSRIWEGILPLSERRWKDLNLDDP EYFHIACRYIASVINVFHYLNHSGVSRALRETFNLISDHLKEAEQALNAARRLASADG TYQRVSLTDLWYEFMRAHYDDICSRAHHWVIEHIQRLRAPILEQLANYQPEYDYDLTQ WALADALHDLAENASQADSTIFIPTNGYKGNPQPASASENDPGDPIPGFLVEPIVWSP SLYRRRNTYNARVRFLSRLEMYSDPHYLAPYLREPDYVSSDVIITARSQVIAQARARQ ELRGEEPPPGLDPWVTLIRRHVHNRPNCGYVVYRLSHSNPEKWDDFKAKFEADISNWG QEISDIDDVREVCELHWLDGKDLEIKDGDIEAARKHFNTYVKSEDVSNKVHKDVLLVI DDYVIKSYLDQAEANLGFVLAVEAEYEPSEDNEESPGYSGTLRVLGSLLWDDLGAMLV TQGPHLVDLWPLAMAHPNSIYMGPRLTQVLRFTSLAETTRWQLANALFPSLVQYRIST NQR
SAPIO_CDS3750	MAELTVGYAAGIIAFGVVVAQLLLPTALSLFLVGVLRDQESAAS WTAAGKVLQSSYWPTILRSDATHNHGVRKPIMFAVLAIPLIAILTAVAGVVTPLGLYD DLMAYKEVVGSFEYVSDPSVYFDGTSPRKNLPFSRTCMFMANTGVCPFTDDSVVYSRN SSTISFDFPNGVHTDIPLVLREVYSSGTKMATTVSNFFDIEWRQLTMRTQEVLNNGTE YPVGIFRQLDSILLWDSYKAVEGLVVNGKEGGIGFRNHTIPTGLARGASWKEDLLFVE PVTACVDTNLTFDFTISSAITFNTSNFIDFRLTDRGGFVNLVHEYPYYDLSNPQKNPD VWGRAYKAAVINNFYTMLYLNVTSPSNETLGTKAFAYVNSELGKSFDLPAPTSLSFDS LGIDISIRYGDYLFDSLKPASDNYPNPWNITADDYFDYIPLICAGAGSGDIANITNIH VACGLMRGIPIRTDGGPKAIFEDNSTWSMPLYSCATASRASIKTVDFSVTGAGGLDSL QVNSIDPKEYASEDDYPIWGTEDSGLRMTEIPMIWGILSPEYTGYPNVSTIKQPSFYL PGYASNGIQRSSFTRSPVVLYQNLPGHDFAVDVGNTVYGSGISGLGDSWPIDVLGRGN LGVFARWSDLLRNSNANTIIDLIWTDLAASAVVGTKGTLGPGNNAAEAAEAPVIMIQP IVRRVRYHLPFGIPAFILLLAVILPSALADPAALYR
SAPIO_CDS3751	MTSFGASAVLLLAAIESPLAQPRNFILGHFVSALIGTAITRLWT MNPQYQGFLDNTSFHGNTFVNGALCMATAALGQLAIGAVHPPAGAIGLNAAVQVEIVA LSWLYLPTVLASSLIMLGWTLIINNLGRRRYPLYWWAPGQTFVSAKKIERRRTLLRAL REAEAGLSVAEGGVFERENGAPANGEAE
SAPIO_CDS3754	MSAKEFLAKVKSGQIPVDCHDQLLRIAFIYMDEALCADEGVFDV VNQLHARGWSFGQGNLKFNRTLDIFYPAQIAAGIYRSSDNLDDETPSFDDFDAFYAQH YQLLHEDAWREYYSETFLAASARFYRLPDLQDLPDASDGLRQPRQKGIGHFNKLPRWA QNVSLTYARQPTLPRATIIEIGLSTLQQIILRLRQDYPSVQPYSETQAHFWLNQMGIK PGRRARLAWWGPNIFGIHVGTGFYDTWRWEAYYSPKLWDSMEARIAPLEPDLDGTRRS EVQWSGWPDGGLSAEVWMRGWEPELGSEEEIEFLAAVAVKETEGVDMSNLNYEIRSHM LLGVMRVAFESEREKHLENLKQRIIEAGRVDEDKAEQWVQEALKVMEPYVQKWDAWPA AEDRSELLRHILVENGQLFGRWKLAKGSKEFYFELKAPRAYCS
SAPIO_CDS3755	MKKKKLTEAKASIEINTRLEDVPATNTKAAATPATEAATPATEA ATPATESATVAATPVATPAAAIPKAEKEAEELPAAKKETMKKLTAALKEVPDYIIKDG KRSWKNSPSNVIDAACKGGANNVVYWGLRRLEETDRHAWREALNRAVLTCAARGDTRL LSWLVSQGGDIGVSNVTEEEDTALHLAAGSGHTETVKWLLSQGVEAWVMNKNARTPQE CAGENGRTGCFQVLNKLRPGQRIVVERGVMWYDYLGNDPADRLGAYDRPRPGGGADPY PMRAHPWVTPGRTGRY
SAPIO_CDS3758	MKFSGLLAAAFAFTAYASVIPAQAPPAVADVGHCLDCTVSAVLT TVTDLKATVDKELVSITALVGAGDLTVDVVAEVKAKIVSLTAEVEVVVNTVLPLVAGI DVALAVGDLQVLLNLVVEVTALVSGLEKTLAGLVVSLGDDVATLTVDLGHILYAVNCL LTAVLNLVFSIVGSVTECVPLVGELLQAANGLLAIVNGLLTGTLAEILVAVHFVEWIV TDDQCQTKTAESRGGVVGCNLADKL
SAPIO_CDS3760	MLVHATTALPLLAGLLAQTLAAPSPGQANRQSVAAVQKSSDLQA LSVDDGSGMQWTGNIFEGEEPITLYGTAEQIYDAIIKANPNFSGADVSDAEARITPRD ILTKRNQLSCAVMATAGHYDAKNAGNYLRSLRGMCGAPNKECRRMTCQNTSASYICSE FSDGTSIFCATAADQVYWIEGTCCKNGNGVSGHSYADNRDYSVWLGYGNCGHSSSSRP SSYPYPGGSPNGLCYS
SAPIO_CDS3761	MSSPAREPRGSASKDVVETSTKSSLAATIAESNSWLAKVHPYFK DPSHDMDQSATTAAFVSGMKEDLSLYGNELVEFNTYFSIGYAIFIVPAMLFQTKIRPS LFLPWCEVIWGVFTLFTYRAKNAKTVFILRFFLGVFESSSWPGIANLIFNWYKPEELG KRLAFFGISGVAGNMFLGILQAALYNNLNGVLGKPGWQWLFIVSGTITITWGLVGLVV IPDSPANTRAIYLTLEERELARKRMADCGTTTQEIIKVKTLGKKLKLLVKTPITWLFL LAYLQFAWSQRANSYFLLFLKGLKLSDGTPRYSVYTVNLIPLGGYAISMVCNLGLNAL SDWKAWRWQVSIGSALVQLLATAVLSAWPSDWKVIMAFYFLLFSTAAWGYTLVAWLGD ILRKEPEVRGVLVALAVCLVYTGHATIPLRAWRVSDSPRYPIGFPLSAAFAVGSIIAI LALRYYVNTHPQVQEWGLGEDGEARATEETLVIEGEDDVSQDGSREKEKTVVKT
SAPIO_CDS3762	MPSKPLYPAYLPTRPDGPSVPIAVPFFEADEPARRANPAKPSLL KAGVTAINVTPRIGTEIRGVQISELSKEGLDELALLAAERGVVVFRDQDFADIGFERQ LEIARHYGPLHKHPTMGFPKGTSSEFHVVYADENSGNLRSLLGPRTTYDLWHIDQTFT ANIPSTTFFWVLEIPEAGGGDTAFASLTAAYEALSPAFRETLHSLKLRHTSASIGEVR RVGAERAYAEAINATHPLVIKHPVTGKPSLFVSPTIAHAVDGFLPEESEALLSFLNNH IRSLDFGCRLKWEKGSVVIWDQRSVAHTAIPDFKDHERRHMVRMIPYGVKPEPYSK
SAPIO_CDS3766	MTGSIITGAKQKDVRVEKETSWFSKAFYGQDTRRLQLHSDASPA TLSNVDSPLVSRSTLVSTPDERPEWQVATVYRVVSSLELVLLNKTSLILNVSSSFLPA QLSGQLHPTWPRLACLRCLEIPDTFINNGDLNVCEILRGHSEMPCEDLTRVRNVTWNR RFFAATMNQKSNKNGDTDAQKLDESEDSDGHQTAKDLALDPEKMVDTPDGAAQDEKTS HTSQSQAGVSERGSSGDNDECDGDDTHPDSSKGDNGD
SAPIO_CDS3767	MSKDFAIKSDCPVPASDLSSEEDRLSAWLNTALEESANKPFKVA NTSALPSLGKHFHGQLEKGLFIYILVWVIFSLQQSERQIKLAPLYRMVKITWRKGRTL FKEEERTSFLSLVECGPYGRNGSSKRC
SAPIO_CDS3769	MAPTTRLFNGVTSGVAPRRMNAKAHAVGELPLTAPPNPESAGPV RRTRTPGSSDDGQSTQDSESTFPLSSQTARTSIPTSPSSLAAPHPQRHDSGRLQSPAV GTPPAAAPDGFLPPPLEDDDDFDNLPDVWTDYFDKKRENCIEFMKELQKQQKPGRVKV AVYGLAGRKPDEPIRDIDEVVNLITDHETFRTEVSKLIEQFSKRQAKDPGWLKRKVQR FVKAAYWVRQFQAGVSTAIQVVPDGFGCLGWGILLIVIEVSCIYAESAEILDRIVETM ADINELLPMFEKDVSLYNWSDVMRKRLLKIYDIYVDICFAAIRYSTRFNHAFSFSRTF ASPDKDIKDLEEKLKRQIMKFDRETDFVFQSTVMERQSAIMAKVDNHAAASARRDDTL LRVMDKTHRAVSSITQESKPVSSVLELPVDLPVMSLTMEPNEDFVGRENELEQLHRYL IDESSDSGQPKSCVLLGIGGIGKTEIALEFAYKYKDHWDAGVFWVTADTTQETELERT FCDIGRTLGIVDASENDERQVARVKYWLENKSKHAPDRRWLLIFDTFVGGDDATSRRN IDRVWPSKARSPGCAIIVTSQVSVPRKYAKKEIPIEPLSDEEGIQLLLANTDIDVAGP KALDNKSLAADISKELGGSPLYLWLARQYIRDSALDLQEYLDCIRSSYTVPMAEQKGL EAGNSRYLRAASAALDLTLDRVKRNSISARELLNILAFMNHEDISEVLILHEEEESGQ GQAQRRHNDDIVILVRAGLIKLNKFKTLRSLRIHHAIQVALLMNMGEAERDESFNRVI KNLRRRYPEPSCRQIANADVSTALSLVLPHVLSALTCFERAHPRMTGDIFLARLLRDV GGMDCYDRGRIKEAYRLTEAVKTILKSLGNHETHPLLSDVLVIQGLCTDFMALSKRDE GLRVRRECLKIRKNIFDSLKKVKTDDTIRLYNCHTDLVCSLQQINDFDSVLHHLNICL EQYKDIGSEDKEPYEYSKYYNQMAYVLLYNNEPDKAVEHAKKGYELAEKACPDTNYPW LYKFDYVNILFQHGSRKEEAFNLLQRIIKSHSEGRSIVYSEILALSMEQNLGIMAYLL GKFEFAEKQMRAVASRKLQCDAWPEENVVRGDYYLSQILKARDPDSEEALQMEEKAKS DLQALLQNDTLGKAEEYAGNFPLLFDYLVHWEFRLVTPRRPPPQEPESLQNGILGTSA ESPDTRPEEAEAPVSPPHTSTETPRRGSPFPPSRSRTSTPARHQPPDVPPAPQNSSPG KNHSPSREPGVQKTKCHSIGTSKMSNIESPQNTQLPENPIQIPVEPISEEPKSLDQPW KAFIDEIKKEAIAKGDPFTPSEGFNDHSLAG
SAPIO_CDS3770	MAQVQLPSGAVSGPRPGVFSYFRFNPDVHTREKPYEILVNLPPG RQRHNQEFEDCKTTVTDVRGRETDFSLDVNGFCWRKWAGPEAWRGIDAEAVKALGHDR IMSGYVAQAEEFLRSELAVSGESEVDIVKVFDYRMRKPSKPGRSTLTMDWTPFFQFHT HTLSFNGAILRVKEHMKDDADELLKRRFRIINIWKPLKRVSDWPLAVCDSTTVPRRDL IANDLVRRRYIGESFYSTYNPEHRWYYLSNQEPDEVTLLKIHDSDESAAVRYSLHSAF HLGLSDDSRESFEVRALVFDAPR
SAPIO_CDS3772	MASDKRDFVVMVTGGGAYGEYDFNTAAMIRDELPQRVDRPGKRC IRILRHPVDTPCTYDWGIEAMDEIWSGKAIAVGEEAPVEIDLALHMGMRPRYPGYCFE TGARREGYKHPGEDGKYYPRELVDGNGPWAQLPEYLYSGFNIEQIISSVGDRLPGLDL KISHDAGWYFCEFEFFSSLANAKLKRNSSNVLYLHVPLHRSKDAIATGARVATEFITA AVEQIEAHQQGVRL
SAPIO_CDS3774	MEVRDLLQTALVGSIQPSAPPIDNAVVSRSRQVAANSGAHQPDL IIDVHTLLKRPIPPDVFRLQYLHCRVLSFPNAHYFGLLPLQGGSVPAEQRQPLAIVNK KTCNELHRLREEHQVHFDATATLQQCSKANKEWNSNISSGVTIVIDVIIYGPREVKES IGQILSEEFETFLQAPLFPQARPEVPYENPHFLPLRLSSANDIVEGRNMLAMQAAGVR CPTQRDTMDTSEEVGCILDSLDQMACLRERRIDSRVIRSNVLRHQKVAIDFILRREAG DLLSDLRLWQKDSEDEECYQHRITRDTRSTGPEPKGGIIADEMGLGKTLVILATIAVT LAQAGDFVQEAGKLLAQNPSQQGKTACRATLIVAPSALVIASWIEEINKHTYIGSMTF HKHHGTGRNTAEYSKRLYNSDIVLTTYATIASEARSDKSILADIHWYRIVLDEAHDIR NALTKQFKAITKLTAHHRWCLTGTPIQNSLEDLSALVRFLRVPIMENPKTFRKYITSQ ARSSSNVRFNGLRTLLRCICLRRTRNILQLPEPVVQRRGVVMSDSERESYLGILSEGR RRLEIAVSGRRKHSTISTTALQSVLKLRLFCNNGILTEVSYKNGIPLDPDEALTYLEA RGEATCVYCSNTIYCISSARDTDGGILIPRCSHLVCRSCLHQFNADKRKCPRTDCRAP SPETPPSAEPSLEVSEEADSTPKPPGLATVSPSTAWPVEKYPTKLQEYLRDIQQTTRG ISRRKSITFSAWKKTLKLVSDLLTSQGIKHLFIHGSLSFSERQRVLKEFKSPLGADVL LMTLGTGAVGLNLAVAECVYLLEPQWNPAIEEQAIGRALRIGQNEQVTIIRYITEKSV EDDQVLERQKRKLQLIDNGFVQERLQNIMTLFGVGSGKGQTS
SAPIO_CDS3775	MDRQNHHELANAMPIQEQVADISTGSSISRRPFQEALDEFRASL SESERRKFSISSRQTLEQALDEIQSEQHTGRKLKNMTRLRGFLEGMDVFGKVINVYCQ ASEFVAFLWGPVRFVLLVAKSVSDVYHELLGVYEVLGETLKLFVRGENLYRLDDNLVD LLAVAYKLVLEFHHCAVKYFKKRWWAKIFAATWSTYKTKLLGYASSISLYRGLFIDNA QLTEIHRRRNEESEKAKQAAFERDRQLRKELYQWLQPSDMGFHYNEHRKAREAYPGTG KWLFETEEVKEWFEEESSVTQPLLWISGRPGVGKSVLASRVIEEARRLESKPVVLYFY FRQNDAKRDNFMDAGRSVLGQLLEQMPDLVEYVYDKYKSQTSLAPTLMDEALLEEVLE VAIRNCHLVYIILDGIDECVQKETKNKVCEWFRTLVEQSEPPHENQIRCLFVSQDDKV LQKALRYVTRFEILQPHNKGDIEEFCQVWSTKVQDKFKRLSGKEKDDLAHKISGASGG SFLLSKLIAQNLLAQRTLADLKEELQPHAFPSEINDAYERILERLKRETNFDRSEPLR QHCSELFTWLVFAKRPLKWREIQAAKSIDVDRQTVDFSERQLMDTAKEICGAFVEEHP DGTVDFIHLTAKYFLLGKGHVKRAGGELEFAWKCITYLSMRGFCLPPQEQRLTDDIMQ GYYGFMDYAILYWARHLETGLLLARDDPESPDVLEKLGQELEVFLDNHSRISETSIVV SKRDEDRISVFSTQPYFRELAQALVSTRKHLKHHEEMDDAEIVLDLFEVVQVVREAME ATWNSSNAETRLLLQSKYGSSIFKCPRPSCDSFTSGFASSELRDAHVQKHKRAFRCKY EGCQFAVLGLPTAKDLERHMESTHVGLDMQFPDDEELYRPPEVKRPRQPEPENLPMIK ETRVEETPAVANPASETTTSRPVERRSETPERDPIIIREHRFKRRKTEHTCQVCQKVF TRHFNLQSHMHSHSTERPWKCQVCDWSFARESDCKRHVKGHGDALFRCGSTLADGMAW GCGKAFARQDTLRNHHKSRMGRKCLEKMLAERGGGPSASADNGEPMELDPSE
SAPIO_CDS3776	MMLPHQFTYGIFNDIERTGAKIDPEVGVIIVEPMQFAGGLGVSS KDFLPYLRDETRRIGAVLIFDEVVTSRLCGGFSFGAFGGRRAIMDLFTPGVPRSLYHS GTWNNNAFSMLAGVVATKLLSSEALDRTNKLGGALRDGLRNIFGPGDSDLVILAGFDS VVGVKFKVPEGDKWRMYSTSICLTTAYTSDTWASSH
SAPIO_CDS3777	MSLTGKVAIVTGAARGIGAGIALRLAQEGARVAITYATPSSGPK AVALVSRISELGSSAIAILADLANATAPGEIVGKTLSGFGVDHIDILVNNAGVADVHP VEEVTRDMYDHVFDINTRPVFFMTQAVLPNISKGGRIIHVSSTNARTGMPGTAVYAAS KVAVEAFTRVMAAEFGPKYGVTVTAVNPGPVATDMYLSAPDSLRDAMHEMVKNVPAGG RMGTPEDIADIVAFLASEKSRWVSGNVVTANGGMVMM
SAPIO_CDS3778	MLTPRPTPSQEPFAKFAKTCDLAQPACGRCQRLRIPCVGCGTKR FKFKQERPVSPAFRAELLTASGSTSPISADRPAPAAALAIKLVPSNEHGRRRGALVSI LSISDLRYDISSCGNFLQELPQRMGRNPALDASIDALANAYTALRTQTKSPEVFSAYA NALRCLRMVMETSETAQTPETLCAIYLVMICQAWIGNPDDQYVAHSEVVVHVLNCIAT NNWTGKFETQLFVTLCMSILPESIYNPKINLGPWFKKMVDDFIPHKTTSMKNGGPGPA LSMLTFARLIEFVRDSGGTGDATEIEAAYEGIMREVAQARKTLVRLFPDTSGKLISEG GGRPILPATTGGERERVHMLCVAQLAMLLAMGMLVNSVLGAVAFDNRELQEQVAGFPD ELVGLGRVVDQYRPLGAGFIPVCLLVGCVSTTNQAHLAQMTEAMKTYSSSPMQMSWEV WSTEMRGSFQTLSCGTNKDSAKRSLVGLGKPMGNPWVT
SAPIO_CDS3779	MITEAFQGVLVESTMTFVVFNGDDCPSQSAPPISQVLNNQPPNP RHHQFDRRNNQIHWGSCAHLGVNDTDYLFCGKLAVPLDYTDDSAAEMLDIQLMRIPAA ETPKRGSVFMNFGGPGGSGILEMAYYGRLFRMHVTLSGGSYDIINVVPRGTGNTLPFS CYATQDERTAAESTALFSTNASDVALGRVWAEAELRAEACRQVQNAAGSLIGTAFTAR DIMSAVDVLEEDGKLRYWGMSYGSVLGATLISMWPDRVDRVLLDDVMNSHEYYREDLE QVTDVDSAFSGFCSQCVVAGDRCPIAGNRTAGQLEEDIYAALDKLKYNPIPLTLPSGA GIMVDYPTLKGMIYSDMYFPIRWPRLAQALEVLITGNATGILTQLGSAATGLAGESPL DADAVLGIKCGDKQIRSERIDDVMPGVDTRWALSRLGGDVSDISAIQCSRWKMDAKER YAGDFRVDPAYPVLLISTQHDPVSPLVSAKNMSSSWKGSVVLEQEGYGHTILPQASKC TAERTIAYFERGELPEPGTVCPVDSLPFSDYDGWATVLQELHAL
SAPIO_CDS3781	MPERPDGRMSSSSAPDLTLAPLSCDDGHLERLDRENTRESTYGY DSERGWRRWRVLRPGRGIYHDIKRRLPYYKSDILDALTYRTVASTIRIYFVNLLPAIA YTLDMYRRTGEFFGVNEALFSSALAAMVFSILGAQPITIVGVTGLISLFNFTIYDIIE IYDASIYPKFMAWTGIWAAIFHWLVAIANACDYMRYVTDFSSESFGMHINNPNSQRSR GVAILYFGTIYALEKLGSGTVWNPVVRGILADYAYVFATVFWVGFSHIHGNIQNTHLA RVPVTRAFHPTQPRNWLIDFWNLEVKWVFLAAPFGFLVMLLFYYDHNVSSITAQARQY PLKKPGGFHWDFFLLGCTTFVSGILGLPMPNGLVPQAPVHTDSLTIYETQLKVIPTLE GEGTEIRRPFVKATAVVEQRVTHFLMGLALIGTMTGPLLAVLHTIPSAVFAGVFFVVG WGSIESNGILEKLIILQSERRFIQKTDPMLTVRRRKAYLYVGLQMAGVLACVAISHTL GAIGFPILIILLIPLRALLMPRWFTLKELQVLDEFTATSSVVLSSLGGKPVLPKHSKE EGWGLERKVTEERYGVHRQRIGSIHR
SAPIO_CDS3785	MAQGSTPNDAHDQPPQPNTEEVLDVVIIGAGPCGLAVAARLRED TPAALFTDEEHRRFLWLRRHGNKVTLKNVKTGKVSRGAAHRPEYRMVVLDAEGDKWMA RWNKLFDTYGITHLRSPMQWHVDPADRDSLLAFAHGERRQDELVEIRGCVGKEISKHK KKARMGVRPSFQDHQPNRDINERDRDDYFTPSRELFREHCEIVRNKYLLDEGLLHQET VLDITYGVIRGISIDDENLFTIKTNKSVWYTRSAVLAVGPANGPSIPRIPGMPEEAMH PTPGGQCQCCHTALIKRVPDDFVQARINANRKTNVLVIGGGLTSAQISDLAIRRGVTK VWHLMRGPCKIKAFDVDLSWMGKYKNSKQAEFWTADSDAERYQMLMDARGGGSITARY HGKLKRHVASGRLDLRTYTQVVEANFIEGAVPGFGGGAWEVKTEPAIADLPLMDYIYF ATGIQSNFETLPYLQSMLKSYPIHGHGGFPCVNDRLMWKDGVPLYLAGRLSGLQIGPA APNIGGAKLSAERIALAMDEFIAEEKGVDGTNGVGGTYDTPLIRYASGFGSKYSCLEE R
SAPIO_CDS3786	MTGPPGDDPTLIHPDEAVDNDDADSTYGADESLRLELQHHMFTL TYDGQLYVSPAAKDKPVSRVLDCGTGTGIWAIDFADEHPDAAIIGVDLSPTQPAFVPP NVNFYVDDLEEEWVYSSKFDFIHARMLTGSIADWPKLFKQSFDNLEPGGWFEVSDITF PLQCDDTSFPETCELSKWSDLMMEASRNLKRPLDSAYSYKKQLEEAGFVNVVERRFKW PHNHWPKNKKYKELGTWACENIANGASGLSLALFTRGLGWSAEEVEVFLSGVRKDMRN VRMHTYYTIIVVYGQKPEEAADSAAAPQAA
SAPIO_CDS3787	MAVVSLANWRAVLGLLVVGSVTSSVARRIYAWYRLRHIKGPFWA SFSRWWLVQHVSGGTMHTDLLEVNEKYGPLARIGPDTLVTCDPDLLRRMLGVRTNYRR SDWYIAMRLDPSRDNVLSMRDDTRHNELRAKMAAGYSGKDIENLEKRIDRVVQELVDL IERKYLSTDTDYRPLDFGRKAQYFTLDVISNVAFGEPFGFLATDSDVHRYIQTTEENL PAIILVTILPWINWALRLPIVKSVLPSDKDTIGLGKIIGIAKQVVGERFGPDKKVQKD MLGSFIAHGLTQTEAESETLVQILAGSDTTATALRGTLLHILTNPRVHARLLAEIKTF APSTPIQDTEARQMPYLQAVIKEGLRIFPPVTGLMSKDVPEGGDTFNGLYIPDGTKIG YCAWGLFRNKDIWGEDAAVFRPERWIEGAPEKIREREATLELIFSYGRWQCLGKNIAL IELNKVYVELLRRFDLCIVDPTNPWKSVNHGIFTQSEMWIVANKKTEA
SAPIO_CDS3788	MKSLACLLAVSALVVNNVAAHYIFQQFSSGGTKYPAWECIRRNS NPDWLQNAPVTDLASLDLRCNVGGEVSNGTETVTMKAGDEFTFTLDTAVYHQGPISLY MSKAPGAVAEYDGSGPWFKIFDWGPSGGNWPMRISYTSNIPKCIPNGEYLLRIQSLGI HNPGSPPQFYVSCAQVNVVDGGTKEPSPTALIPGAFKATDPGYTANVSDSDS
SAPIO_CDS3790	MKFIPAVIIALAAVAAAAPDDARPPTASPTASPTPSPAACTPGT YACTADARGWQVCDVSGQFVVAGTCPPGTSCVFFRPSLSPYCVPPGFRFPGQ
SAPIO_CDS3791	MSSPRAPPESMEIDPTPTSPKASSPTTGRQLDNLDRLTAPIEVT LQIPHSVTDTLSLKSSIFNYKWEYGRRYHAFEEGTYWAPNDERQQEAEDMVHEMYRIV LEGRLTLAPDENLQHVLDVGCGTGVWAIVAVRSEFADEHPEVEVIGVDLSPIQPPFVP PNCRFEVDDINKRWTYPKNYFDLVHIRSMSGCVPDWVAFYKKVLKHLKPGAWIEHVEL SGVAQCDDDTLPPGSAQRRWIQVFKQIGEAIGRPFDIAETAGDLIREAGFVNVHEWRL KIPIGTWPKDKELKQWGAWNRLFLLQGLEGFSIKGLTDALGWSYEQAQLYLAELRKEV TDDGIHSYIDMLIIDAQKADETTA
SAPIO_CDS3792	MDEIEQLRRELEREKRRREDAEARAWNEQRRREEEQRRREDAET LVQNEQRRREEEQHRREQAELRAAEAQQDTAAALAALRQEKEEHARIQLDQCLNDYLV QINRLNFHLCRLLPRAANSVPTLSEGEKSKSTTSGRTNIFGKYYPLKMRAWCDFPNLI GAAFARIQATVGTQRLFPSVAEVDFTERGLLEELPDEFLQSESFINEMKTSYFLHETL QKPTQRIINAYLNASGNTQKLLFDNRTIGWQPRPSSFSNADTDSNNDSWDDNATPKLP RKTQPDCLVLCANVSVPPVETAGDATGDRGDNGDGNEIRTVHRVTLGEHKATHRLRAG TVSLYLRGPMAEDFMVQLAKDANSSPAAQDMTQEANQPASFRSSVGPPGQVFFARALT QTFHYMITSGIEFGYMATGETLSFLRVSRDDPTTLLYYTALFPQFGRRRPRDDNDVAD PESNGIDHLAISELCGLCLLAFESTSEPARRRSINISQLAPFPKLPPSLTDDATSVVS SSATPRSRDSSQGRRRRREDDDDGDDERRTDGDAGAGASTLERGRLHPPAHRPRVPSP LKRQRSASDHPADDGDQTHRKQRRVALHQDSIRRSPMPSLPGPFDPSSFQPIRPYCTQ ACLRSLVREEEVDYNCPNVVLHLQALRRYTDSRHQTTHAITRSTLCKLVQTQLLDNAE RDCECFIDKGFSGAIGCLFKITVTGYGYTFVAKGVQSFDRHRLSREVGVYDRLSGQQG LLIPVCLGLIQLLLPYPMLNCTLVTHMLLMSYAGIPLYSSKLHKLPGIRDIDLDRETA RTLDELWAVGLEDRDEESNGNLTWCQETGRVMKIDFDQAYVRRRGGDDDENQDGKLLP PAQRPEGKSWDDTGEWKRTRRKQQPHSTVESLLLA
SAPIO_CDS3795	MEKGGICLGAEGPSLSVVLIVGKTVKVLRGNIDISGQQMPKSPR EDQRSSIIQDDKRDLTLAFLKSASDGMQESFTYFCYKEGFDPNLLRLGTLVLDYANPR TKKPYVHREVKEKSFGIGVGLQPLLELENSHRAAATHLVVGKNGSKVELIDPDAFFGQ VLAENEARIWLQTRLSVAHKLKALYKNLVSAPKIWMLTGIYLIEDAVTFSVTSKSSSG TLAGSDPLAECTGIAALLGVSRGAKVSLGEKLEALAGTQILGKRVWASQWKQVHARYA LGSSSLLAATYNLRLLDIWGIGTQRGSGDGDIFAQVSMCDGQDPESWAAEEGKVEYSE DVWKVFMAEVDGLLDDLEE
SAPIO_CDS3797	MAIPMLKQLAAATIVAQFLGLASAAALPLQARDDAEPGLPHAFD TTPYCTWWTDYDGSQTCQENPSVVSDCSGIKSSNSYSVEGFDEPTPPEEEESTTTSTS TATSKTVTTASPTISRASTTTTTATSAGNGVQTLEPVQNGIVSNCNRFYIVQDGDSCA SIAQKNNVRTTDIISWNGLNNGCTNLWLSTYACIGIIGGTPTVPQTTTTTAGNGIQTP QPTQGSIVANCKKFELIRLGDTCETVAKRCGITVRQMIT
SAPIO_CDS3798	MSTTYLVTGVSGSLGWAFLKHLSNDPNNTVIGLVRNKPGTEKRI AEELSDRKNIQVVEGDITSYESLKKSVDEVSKITGGSLEYLIANAGLVSRWSGNLPID ILSETPEKLEENLLDTFRVNVIGQINLFNSFLPLILKGKTKKVITLGTGLADTNLTAK YNVEVAAPYSISKAGTNMAVAKYHATYGPRGVLFMSISPGFVESGHQDGLTEQEIPYV QRMVASFGEYAPHARKFTPEESVDYMLKVVHDATIEKYGGAAVSHNGDDQWL
SAPIO_CDS3799	MHGELDRCQRVVRQALWWQGAPVESYTQDLNFSESSKACPLKET KSQDDDGQVLVVFGKLGACFWTIYGLVAAATDQDSCNMLFSLALDDARASESEDGWRT LTTADGGELSVHVVEDPKSWRGDLNTVSLKRVTPEVVRFLYRLLVQGGLVLFPALITA RADAVDKLRQQRPEDKHNPYPPLDVISSEDELYQILEHGPYKWSRIQGHVLILGADCV IRLGYIRPHRSHGAPDRIFPISFGTAANATSKDSPERNERSSRTFLKTLTYQVPPHTL RSPTMTSSEHNVHPEPPDLTEKLGAAVTVTTTETERAPWNRTPFYNATVLGICSFAAP GLWGAMNSLGAGGAQKPYLVNTANALTFCLMIISCWLTSGLVRYVGIKGALVAGTVGF APYSAGLYLNNRYGVEWLVILGAAFCGISAGIFWAAEAAIAIGYPEPKNRGRLVAYWL TWTRAGQILGGAINLGLNADRNQAGKVSYTVYLVFIALQCLGPLAALLLNRPSQVRRS DGKPVDLAIFDQPWKEFKATTRSFLRADYLLLILWIGQGVYSEAVFFTYIALWFSVRA RALGSFISGIVAVLASLILGFWLDRSTVTLRARARWAFAVIMTIQGAWWIWIVVNLAE FRRVGPVYDWSDPGFGRAFGVFVFLVAGFQLNYNFGIFLIGQISRSSQETVRLAALLR ATESAWQALSYGLNALPVFATLGSAAFNFGLWGLSIVPAWLVVRRVGTSRYTPEESDP ERPAKSA
SAPIO_CDS3800	MPLPPALEEVIWTKRFWTVYFDIRPDNEEPWDPEENVVCDISVG GGYNLSLSFHLKCLFERQLNFISPSSPAKPVEVAVTGPHSFPYLLRWSELLLISRARD PTSFSHPGLVVLLLAPFTPICVGDDVDAVAAVVSTFLSRVGFTHKEIRLVLMNIDQRS ADFRWRYDAIIQGWVIQHKDFPFEDWMLFLAAAEETIRNRLAPPAGDEYPAATDLPKY DLPPQHALELQVPIGSSPRRLFQNAATCLQMSLSRMLRDLDLGSAALHIATGRPARDC LTGQ
SAPIO_CDS3802	MAMPSPPRWSTNIPLGGHWLYRAISILLTVLTVLTPCVSADPPT ALPQRATENDLKWQPALDYDRDGCYNVPAIDAEGNISEGLDPNFTTGPADCRDESDLD NSNAYSRQRCNSGWCVYLYGYYFEKDVAIEHVANPGHRHDWEHIVVWVKDDKAEYVGA SAHGSYSLRPADEVRWDGTHPKIVYHKDGGSTHAFRFGNTDDDNVENHKGVWVRSPLV SYNGFPDGIRDQLFAYDFGAATIAFKDETFASNLEKAMPKIPVSQDCSQWGACHTEFG DAFLFDYGLDEGSPGTPDIPPPPQTPLPKIRVLPLGDSLTFGVLSTDGNGYRRQLHQL LVSGSDNEVDFVGSQESGTMTDNQHEGYPGATIAQITQHARNVLYMRPNVILIHAGTN DLHKNVDVAGAPTRLGSLIDLATDECPDATVLVAQIIMAVDSSVEADRAAFNEAVVEV VASRQSAGKNVMIADMSQTLARSDFVDGLHPNNGGYDKMAAVWYETIQAANKLGWMKD PVAPGNAPPADVTPTCPNGYAWLNMGEVGTGINYGMTIKWQNQGRFADGVGGANAGNV ILADIDGDGLDDYILVGANGALNVWINNRDPAHPWRNVGEIAVGVDGASPQNVILADV DGDGRVDYLRVDADGAVQAWINTCNSPVSRRRGRELPGGRLARRGVFCPINNPAHLKW TRAGLIIPHYDGASRDAVLFADTTGDGRADYLLVSDAGAVRAVSLQGDIMNPTRVNHG IIAVGVGNANRDNVRFADIDGDGRADYLLVGEKGDISAWQNTGPVGQPVWKKLGTIAV GVDGGQRENVRLANVNNDRRADYLIMNPSTGALDAWLNMPDLEVVGPNVRLADIDGDG RDDYLYMDAVGGIRAWLNRGFVDHPDWVSIGLIAPGVPGGSRERIRLADMDGDGRDDY LVVADNGAVTAWFNKGYTDHPAWNSAGVIAVGVDGATLNNVRFADIDGDGRADYCVIW NDGSVSAWLNKGDARSPTWENQGKIAVGVDGSARDNVFLEDLNGDGKADYLMVTDKGA IYGWLNTGTDPKNIVWKNQGMVASGVSGATRQNIRFGNLDADGKFDYWLIDDANSTQQ TVSKILQQLRSLLSNATALENRYGLRPFTQEMARMGDKFTSSDTISGRRLLHFNKPYE DLSARIQNLKISPLNCTRWVVKDKDSFNGLITDISYFVDRLHKLVPDTSSNFTCTMME EDFSEDLRTNKLKLVCDASRSRADIYSQGCHAKSANKVPIKDP
SAPIO_CDS3803	MSVFVNYAGPKLDKKQNQLVRLAPAPDQDTPTEVSEEAAEQAQH ALVSPPTTRSSRLALNRRCERDGIIVPGLAARGYRPDPYDLRGAPPKSTHMTGIDART FQDYLSRCASYSSYLDEAFILVGFQQPSYFRPDMSKSACIYIGWLLTAGVLDAVRASK EISYPYYEYQAVRELQKFIDGAGTRDLHELVYPVIILGMFEMVRFNPHAATHLGAVEH FIKSRGGLKKMPDVMQHLVIMGDVLECVCLGTPLAFNNLGPCPTIRLKTTVGVLAGNH IYSCPLLLCDDEDFSLATRYVDQAIVVQLVRVLQAASDSFHRFFGLGTQSPFQGLDLA TDLDAIADSPTATTNTSGLFLKTCALAARITRRTLSESLNGFDDVANKFDVLEIYDNA RFLGLKAWKGLPYVYVWVNLIGFAASTGERMRSYFVAEVVRCAFSYGCYQMEIFLAVL RNFLHLRNTVASRKIDLA
SAPIO_CDS3804	MTSPRQPTSAEASRLLPAEGRPKVDPLLPNPLEAHTDSDADPHL GEKPYSHHPRKPTFLERLAGSWLFELLALIVSAASLAAMVYILQRYDGQRLPDWGPLS FNTLISILAVVSKMAALYGATSAMAQMKWVWFTEHGKSLVDYKTFDTGTRGLAGAALL AWFLKGRNAAFIGALAIIIGVAAGPFAQQIVHFYDAEYVDVARTAWLSRADTVAALGP KMDSSTWTPDLVFKSNAVTALFLPTQEVLSQPQFNCPTGNCSWAPFTTLGFRPTCADL SSQLNRTCKPVNNQTTVQSCTVSFPGGDDALSLWYIADPDYPGSSTYMVVNSTDAMNA TALTNITWPTKIYQSIRAVVPPFQLGGGQDPNEFNNGNHLLQNNTRFIGSECALSPCV LRLKVSVSRGVYTEEILDTWTEFEYNYPGPIVLSPPWAEGKNFTLIAEWLQAITYAPG PDLFGGQMMGSVFTYDSNQAIRITDIPSVGSSSRENDALQAVFYADFNGTTCPTPDDN FACAFRSLSAAMTKSVRDAGLLKNGTQEPYVVEGTTNAMGIFIRIEWPWFALPVMIWV LSLVTLLTAMLKSRTIPLWKDSVLPLVLLSGERRTVGGVTEAELSIRAEEVKVQLVED EQKRLKILVEHE
SAPIO_CDS3805	MSSPPRGLGTTSALASERIHILGVGNLGKLIAHYLVKHDPQTPV TLLFHRPTLVSQWDAAGREIELVSDGEAQRSGGIDSELLGGTGDGAPIKNLIVACKTF VTTSALDQVKERLGRDSTILFLQNGMGTTDEVTSKLFPEESSRPQYWAGICVAGVYNT NPFSIVHAGKGPLTLGPVPSSSTEDSPDPKGNFMIEKLVSTTYLQATAVSAAEVRKVQ LLKLAANAIINPLTALLNCKNGELLDGGIKQDLVNRLVTEMGPVIRALLPPEQTETSG DFSDEKLLAYVQMVTEKTGKNTSSMLQDIRLGRKTEIDYINGFIVDRGEGFGFSCPKH AFLVDLVRSAWTIEDKEIPALFP
SAPIO_CDS3806	MGSIPPTTKFQADADIARLRSERGVENNELHNSDVILSSLDAAL DMLSDQLYQTPTHFILELIQNADDNTYPNSVTPSLELTLYEKDGKNFFRADCNEIGFT FNQLDALMRVGQSTKRAVATASGQRGYIGEKGIGFKSVFKVADVVQIASGFYEFKLDR SGPLGMILPILTPFPPADRISGHTQILLHLKDGVYNHILDDLRSIDSQLLIFLSKLKR IRVVTPRPPKAYVLYRNYRNDAVKWETAVISSEGSQVGDGYIVKRHDLQNLPADSRRK GITSSEIVLAFPTYAGDIPRIDLQNVYAFLPIGNFGFRFLIHADFLLVASRESLEYQS PWNIALREGVCDAFVDMILQFTSIPAGQSRKDLFYKWPKYILHNPDRFSFWNALNQSI LDVLRTKQVLESQEKVAGCQMPTSLYYVPPKFRFENNTLFDISSIRKQHLSFGYDEVR TELALIGVKTLDIHILYSEFRYWVGLQGAAAIKAQPAAWHRQVAAVFCDAPRALREGL RTIPMIPLRDGSWTSANASNLYLPSDSAGEHIPTGVSILIVDYEAAKDEMRRKFFSFL GIEKYAPRQVCDLILQLHSRSSTSLLTRAHEDIISDAAYLFKHRRLFNENGAPDIAFL VKNGTTSFVCRTAIYIITPALKSGLVSKYRNSPKNPFPVLDDRYETTICCGNEETTRS FYQWLLLSKNAAFFEHPQLFRSGRRTDEWNFLRDADMTDLLIALKLYMKQKAGQLFTR DHINLALNELHVRCIDGTIRPFCGLAVPTKELQQECPHLDFADLRVSKLGNLKFLSEF GILVAPSTAARLRELEALHKLSTDGVDREATVHRIYRSLNTVRSADDWSTIRTAFSRT PLVYVSGPIPMWTSHSACVWTAPRALQQVIRLGDLYPDFNRLFYQVLQVGAAGIGDVV RELSALSNGGYYNGAVPRCTELLLLLEDYLAKGHVLVPLDAVSLKSARVFPVSMGSLD VVELRSLRDHTRWYIPDTTTLGAAFQDKVHLLDFPVKVVRRLSNVFKTLNCEGRFLSA VVKESVECRGTVIRDLAKEDDLKARISFIANLAAARGTGSARSTWTMNAWSVPSITLI RKLYDVVVEEDNELVTIREKDDKIDVYFREVPRRKQSRVNFKLQGFFSAYFAIRAEDR PLVSLLLSEPIDELSEILESHDIFAQDELNDGLSDTQTLTTTNTSGPIERSENLISNE DSTESNGSSSGEGEEQDATDSDGEGRVVLASMSSLSLYPRTLRELIPSHQTRVENVIR RAANYQLSNSLVADAHDQSTPEGGGETSRHSEDTATAAYSQSSSRYSSSQRPSPSSAR FHSGSGGTSTSNGPRVRAYISPPSSPLPSPSPSPGRSQLRGTIQPRPVQDIWFREIGF LGESFVYHLFEKHIADWHFENWTSKLRVEEGFPRFVEREKHFSDFTYRDNNGLLRDML HRGRIPLDANWSNSTTYHLEVKATLGNCSDAFFVSQNQVDKMRECDGDPDNGFILIRV FHVDSEDPGIKWFPNPWKLYLARALDLRSVEGYQVTTADAPEG
SAPIO_CDS3808	MESEVVVEDDIRNEAGAFLTIAATSTGLALVFVAARIYSRAISI RRYGVDDYLCLVSITISLLYLAFVSVALTLGGDKHVNSLTQAQYGRVLYFTIIAFVPG VLSFTVPKFAVVVLLVKALQPGSFHRTVMWVLSVVYGLGVIVMLILNFVQCSPVQTQW LAAEGKCWDGRILVTYGLVIGVLSVLFDFYLALYPTIVLARLTMNWKKKLALSFSLGF GYCAGAVAIYKCTTLTHLIGQKEFPFALNDIMLWTNIEANCVLIGACIPTLYPLLVKI SGVSALGGSSPFYTRGRRPPPSAAPHNLKAQQLHVLTIGSHSIRRKPVLDDLGSVDGK GNEGDEVVTHPGSAYSGIGGRKCDQEFYVV
SAPIO_CDS3809	MPPRRRRLRRPGALSELPPLRIVTQIAALQSVYYSAAILLTLFT SLVAGLPFGLDLVFGWEAVRGDTTQGWLMAFVWTLTGGLCLGAAIIILVARSKLVPDF ALTTHFIHLVICTFYTGLLPRNAMWWGTMGASSAVAIGLGVWGCRYRELRPISFGGGG AARRDVENTAGAAGDAAGGQDGNDGEGYSRGRGRGRGRDGAGEYELARMGEEDPRLK
SAPIO_CDS3810	MYRQVALLFSAALLGLAHAQYPPITARPGPQSTAVPGRIAKRQD NVKKTIEIHRNYGAPIGVDTQYLTMDGSSTKWIGVDTAFLSEETRTSTVDNVETTTVV KPQLAVVTATVASEGVEVGDVTVLMSDAVQDELDALIKDAAASCGAGAKLRKRDAMSC MINAIQGAAQNDETLGLVNPAEWDGFALEIAQNAPEILGAAFQVLKTQAQKNKFAVMM AAAATAGALVATEPIVEAAHKFVFDDGLFGYPAGNSDGGNDDDNPSPTEQSTASSSTS SCDPSATVDENSPACDDPDCKGEEKICQAEGEKKNCPCANWTKKMVEGLFDRAWADEQ QKILQELEAGLPDVIPPQCFRNDHGNGFDGQPRAEPSAFCHCSSAGSEGGMIQGNFPT MSGEGDNACTYSTMPTETISITMRPTETAVTSCRMESSYGLDPYCTCNDDAMHGEIVT TYLGKETIVCPDATATMTGINPGMPTEEPSCYPTHGAPHDNPDLDELIKLCGAGMPEF AAVCRTDASSDQGITVRCPGGGGSQRLPINNNHYRAWFEKADDAPEDCNYLFNQGGSD NDDEVGVRVDALCIPVFEAIRDKCTWNGGEAKNQCGTFKYQSCSWKSGGCKVGSPGG
SAPIO_CDS3811	MTGSEAQRAFLVEAFAHAQTLANMGLSNMAEGQSADATQAFEWL YGTTDVPMMRAAARLSLEGISQNASPVQGEPQGGDFETADVRVYCTHDRLREGTARAG GETRFFDTVTKEFLRAKFDCTRAMAWTIVPAEDQYPDTLQFCPWFLDYAMKQTAQFQG QFKPGKIGSMISKLKLDRLTTWALYTPIDLFQLFDKVIVHELAHTRRGREMDDVGGFS GYGWKNCRALSTQRGETSPHTNADSLALYASISALIRDGGMVREDGTFAKGPGGAGGA DEGNEGEAVTKSGAEIPDENNEPEPFLEPLAVRRQVLQFNPSSLKGRPLF
SAPIO_CDS3812	MRAWSEYIVAAPTVASPSPTYRAFSPTTPSSASFQPQYAGANVS RIHTSDSSVSLQSQFDAHGQPLKGRESMVSMQSYYDSPTSPQQPDPYVGASHTAYTPP TSWIGGHQSQGYEPVPTATPLSLSRSGSSRTRRGCYSLAFSGEHEATLPTAAPMGQDQ SYEAVPAHDAADDVPFDPTSTLGPPSLQDDSFVKKLQEAEAKGHLTGGLGAGWKPEER FLGSELARALLSLQRSITRRSSHLLNLIEMI
SAPIO_CDS3814	MPAYLSDGNAAIYNPSHHVIDFDPASFGIPSDKHFPLDGSTIQP AARPDTTNASFDDTSSSTASSSSHSDLSDASQSIPMNGHRTRTRQTSMSSVSNGQPVL PGLGVEQKPTISMVNGTTTLVDRTVTSAKHQQPLATAVYPEPRDHSTEYYPDEAGPET TTPTLAAAPFPTDASSTYSHQQAPYRPVPNSTAFSTVSAARRSPSLYPPTNVVTPASP TSPPPPVPTASLDLPTPRTSDTAHRLSYPPHHNASSSSPTLSTSQVPGPGQLRQRHTL EVPRKQSRVSRDGADAAFASGRFSPTAPGGPGPAASVRRASLSLARRATRSIQSDLPR DEVAPDEDALRWAEAYRQKRATKKRRREEEDDDRVLVGTKVDEHHVNWVTAYNMLTGI RVSVSRTNAKLDRDLTDADFEAKQKSTFDIAGNELVPSAKYDFKFKDYAPWVFRRLRA LFRLDPADYLMSLTGKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHSEHKFLRKILK DYYQHVTDNPNTLLSQFYGLHRVKMPYGKKIHFVVMNNLFPPHRDIHQTFDLKGSTVG RDYPESKLEENPRATLKDLNWMRRKRNLELGIEKKRLFLSQLQRDVALLKRLQIMDYS LLIGIHDLQKGNEENLRDKTLRVFNPGGERHSDEPDISVLLRTPSKLENAKKARELRQ MLRQERPVPMDQTESRMPDELDEANTRSGFVFNQDDGGFRATHEDNTPADEVYYLGVI DCLTHYGVIKKIEHFWKGLSSDRTQISALPPDQYGDRFYRFVEGVTMSSEQAQRKALV EAEAEATRLATAVEEPAEEVSDCSRVCPPWKSHHRNSSAAAPPMPTYAPPLPPVAHLP PNVEMTNEKFPADGELNEKGHGSGGASSHIHDGGESAHGQSLPVVDEAAEGSVTGSRS FRSARSNQSRNGDEERPPTPAKDSRPATPPVKSVPRKREGPPTPPKYMKPETADSGYG GVNGVGNDSREGSLKLTRKVSRESLDKDLPPLPRSEQAISLSVG
SAPIO_CDS3815	MTIPVSRFLARRAIPRACALRSRVAFGVSRPMSTAADQAVFNWE DPLAAKAFLTEEEIAVAETAEKYCQELLLPRVLQAYRDENYDPKILEEMGDLGLLGAT IQGYGCAGVSTVASGLITKAVERVDSGYRSGMSVQSSLVMGGIYEMGTEEQKEKYLPE MAKGKLIGAFGLTEPNHGSDPGSMETVAKPHPTKTGYYSLSGSKTWITNSPIADVLLV WAKLQETGKIRGFLIDRKDCPPGTLETPAIKNKNGLRASITGMIHMDGCPVPAANMFP NIEGLRGPFSCLNSARYGIALGVTGALEDCIARARQYALERKQFKGNPLAKYQLIQKK LADAATDAAYGTVAAIQVGRLKDQGLATPEMISMVKRQNCDRALHNARVLQEIFGGNA VSDEYMIGRHVANLYVTQTYEGQSDIHALILGRAITGVQAFV
SAPIO_CDS3816	MALKRINKELTDLGRDPPSSCSAGPVGEDLFHWQATIMGPGDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRARYEATAREWTRKYAV
SAPIO_CDS3817	MDYYNQDYKGQSERSRWTPLTRMLLSGEMTQERQKELSAKEKFD RWMVNEGYRRVFVFVFALLHMLVFAFAFVNYGVKDNLQIARDTFGPTFMIARSAALVL HLDVALVLFPVCRTLISLARQTPLNGIIQFDKNITFHKVTAWSIVFWSWVHTIAHWNN LGQAAAKNNLGVYGFLVANLVSGPGWTGYVMLVALMGMVFTSVEKPRRANYERFWYTH HMFIIFFFFWSIHGAFCMIQPDFAPFCTATGASAVGVFWQYWMYGGFAYLAERIAREV RGKHKTYISKVIQHPSNVCEIQIKKEHTKTRAGQYIFLCCPAVSLWQYHPFTLTSAPE EDYISIHMRIVGDFTRTLAQTLGCEFEKRGGGGDKKDTSQVVGVDGENPDVDPALRRV LPRVYVDGPFGSASEDVFKYEISVLVGAGIGVTPFASILKSIWYRMNYPKKRTRLSKV YFFWICRDFGSFEWFRSLLLAIEAQDVDNRIEIHTYLTAKIKADDATNIMINDANADK DTITGLRSPTNFGRPNWDMIFRGIRKLHSPAEAGVFFCGPKGLGSALHIFCNKYSEPG FSFVWGKENF
SAPIO_CDS3820	MLVSWLKTPTSQRENREPPRHPLPSDRPSTPHIPTPVRLIIHPS PSITPFPRHDPGRSPSPRRFRRVVRPSPPQVTGHIVPYHVPVPNTSHAIPFEDFIRIV YRPGDGHRFAAWSSFYGRGSSVWLTDRNLRTLWMRLVGRAAREERERLGLPAEPGEET LERVREWAEETEWEARTEVGTYGGGDREGEEGYAAGDDNDDEDWDVDDEELEIYQEEL RGHQRRLQGELDGIREELRNNRRQARRIEAERRVLERIIEQATESEAQTTREAGVQTG EVDDFEVGETEEVELEHVEDVEVEQGEDADAALAEEDDDGVD
SAPIO_CDS3821	MFNFCPLQGALSQSPATQSLLELDGGVKLLVGLGWDESFDVGKL KELEKQVQSQTISLILLTHATVGHLAAFAYCCKNFPRFTHIPVYATRPVADLGRSLLQ DLYESTPKAATTIPEASLAEAAIAYTQKPSAAHQQLLLQAPTQQELARYFSLIQPLKY SQPHQPLPTPGTPPLNGLTITAYNSGRTLGGTIWHIQHGLESIVYAVDWNLARENVFS GAAWLGGAGGGGAEVIEQLRKPTALICSSRGVERPPQTGGRAKRDEQLIGLIKSCVTR GGTALIPVDSSARVLELAYILEHAWRKDALEHGPFETAKLYLAGRSMASTIRYARTML EWMDDNINREFEAGHDNQRRPNGDDRQAKGGGPFDFKYVKILERKAQVLKLISDSPDN VQSSGRVILASDTSLEWGYSKDFLKGLAKDSRNVLILTDKPGVVSNTPTLASTLWEFW QERKSGVSTDKTDSGDDLEFVYGGGRELEIQEETRQALEGEELALYQQWLAQRQLQEN VQGGGVGGMETSADAVDDASSESSLESEDSDGEQQGRVLNISATMGQARKAVRDEDLG INILMKKKGTYDFDVDGRRGRDRMFPLAVRRKRVDEFGEVIRPEDYLRAEEKVDDNGR HDGIATLHAAAAGGEESDAGGPAVGKKRRWDESSSNALLLKQGGGGGGANKRANLLGI RSKLSDDGGDNVKSEEIDALDEVEDVEEVEVSGPARLVVTTEKVVVNLRLAFVDFSGL HDKRSLNMLIPLIQPRKLILVGGSREETMALADDCRKLLAPSQKEGETGVFTPEVGET VDASVDTNAWTLKLADSLVKKLKWQSVRGLSVVTITGQLLGLLSTADTNNKQPAAALI EDGGAKKRQKTDTETTTTKPKEVEKEQEEEEEEEEKKPSPPPAHIIPTLDLLSNASLS KARSFTQPLHVGDLRLADLRREMQAAGYSAEFRGEGTLLVDGVVAVRKTAAGKIEVVG TAGDGVAAARQRGTLYEVRRVIYRNLAVVGA
SAPIO_CDS3822	MADYSMYHALGQGEQIDPNDPNRTSQPAPPQFNPPIAPQPYQQG APYGSPAAPQQPFYGGQPPPAGHHPQQQPLGYGAPPQPGAVAPGADDGLAAQMGGMSL GAGEGHHTMRRKKKDRHAFHNVEAPAAPLGVGAPAFGAIPSAAAPSPGAPAGFFSPDA GAGPQLLSPAQGFAPAMSPGLSPSLGGSQFPPSANPAFSPVPTSPAGLGLSAPGTSVP VGGGGSGFGAAPGGPATVTPDDLPSVPMMRDSTQQYFLRNTYPTFERHVPPPASISFR AFDQGNASPKFARLTMNSVPATGDGLNTSSLPLGLILQPLAALAPGELPIPVLDFGEA GPPRCRRCRTYINPFMMFRNGGNKFVCNLCSYPNETPSEYFCATTPAGVRVDRDQRPE LTRGTVEFVVPKEYWTREPVGMRWLFLIDVTQESFNKGFLEAFCEGILAALYGGEDGE EDENGEPKRQIPKGAKVGFVTFDKDIHFYNVKPSLEQAQMMIMPDVEDPFVPLSEGLF VDPYESKAVITSLLTRLPTIFSTIKNPEPALLPALNAAFAGLEATGGKIICSAAALPT WGPGRLFMRDDGKHIGGEIDKKLLTTEHPLWTKLGERMAAAGVGADFFLASPSGGYLD VATIGHVSSSTGGETFYYPNFIGGRDNAKLSSEIKHAVTRESGYQALMKVRCSTGLQV AEYFGNFIQHSFGADLEIGVIDADKAIGVKFSYDGKLDPKLDAHFQSALLYTTASGER RVRCTNVIASVSENTRDCMKFVDQDAVYSMIAKEAVTKLAATSSNFRDVRNWLTERTI EILASHRKHFTSQSAPPGQLILPERLKEFAMFMLCLVKCRAFKGGNETSDRRVHELRM IQSIGAQELSLYLYPRIIPIHNLEATDGFVDSETGELKLPPAIRASFSKVEPGGVYLA DNGQQCLVWFHAQTSPDLIADLFGSEYRSLQSLDAYTSTLPVLETHLNAQVRNILEFL KTQRGSKAATVQLARQGIDGAEYEFARMLVEDRNNEAQSYVDWLVHVHKAIQLELNGS RKREDKSEGGISSFAGFRPNYW
SAPIO_CDS3824	MTQRLPAPAASWLLSPAWKGSRGPLRLPTSYRLLSSQHAPRTVA EFLRAEPSEKLDDAVVHGYVRSVRKLKSDRFLNIGDGSTVKHLQAIVPKTLASELRVG TAVRLRGSWTPSTEHEKFQTHELKVNEVEVLGPSDPGNYPLQNKYQTLEFLRTLPHLR SRLPLNSAIIRMRSDAVATLTRFFSARGYTQTHPPLITSSDCEGAGEVFTLSAGKPKT NEPETSRSSSSSSSSSKKLKEAQQDSFFRSPKYLTVSTQLHLEALAQSVGDVWTLSPT FRAEKSDTSRHMAEFYMLEAELTFVEDMETVMSLAEDMIRDLVENLATNSSAAQEILS AANRVKGDVESIIGPAQELQSRWDGLRASAPKWPRITYTEAIKLLQAAEEQEPGRFEN KPVWGVSLQAEHERFLATAVSELKTPGYRTPVFVTHYPRDIKAFYMLESHSSSSTTTN NGDVASSNTNADAKVTGGPTVDCFDLLVPDVCEIAGGSMREHRLDYLLEAMRRNGMET PASTAKPGRSTSNLDWYVDLRRWGSPPHGGFGLGFDRLLVYLVGAQNVKDMVAFPRWF GRCDC
SAPIO_CDS3828	MRKDFSNYYLLHQHTQISSSYDRRRLHITPASFRALMSTYHVPV SLMAALSRPYMVCGTGFRKLSDGVSDHWCHLPVRVVVKCLVDAKEHTKSTAGSNQMDP FHYIHISGAKADIRGSHIGLYVRRDLKAKRMSVIVVNLLDGRFKDLIEEPLVRMRATF EKHLQREIANETSAFSDGSKDMNKALHIMAAHLHRYKSELGRLGFILSDLLSHRLDMD GDDYASGDGDDVDGSSSSGVTESEKEKIRIEQLQSQLKAITDFSDEMERKVQNILTLA DAKLSQKVALQSHELTISVNNDSIAMKTIAILTMLFLPGTSFAAILAMPFFDDNEYLG EPSKVWIWVVLTIASTVVAFWGFFHVMNRQERSMEEKRACSTGDGSTDRVDNGLALA
SAPIO_CDS3829	MRLLHTGTLELHTFPPDVADNGRPPYAVLSHCWREGQLSYSEFA NDRERALTLDSFSFVKKACQAAKESNIDYLWIYTICVDQSSTAEVSEAANSAFAWMSR CEHLFVYLDDLPPITNYAKQHLDGEEEEEDGDDDAVWSRCLWFSRCWTLQELLAPFSV RFYDQDWNFRGCKTSEPLKGILSRITHIVADVLCDSSLISEVSLAKRMSWAAKRRAFR EEDRAYSLMGIFNVFLPVIYGEGSNAFLRLQEAILKDTHDLSLLIWDAQPSDARPWRG LLANSPAEFHRFISCPPTWCNPLVFKGEITFTNKGMRIRGNYLQNPQSRGRGVLLDLG GHDGTPHRHAVLAMFRLEDCYVRPAVGAAGSLPTEAMLNAPIGSVTAKRDIDLKSSMA ASNILRYPALRPVSKGSPLVPVDRPTSITPLQQTPVQEANVTVPFSGNNGDPAPAHCG DEGDEGDVNDDDDTVSKAPSTLVSGSCVFSPRASSLGSGSILDISYYRRKRQHDPCDA PAPGVKRVKTPLAAPRENTAKIVTTPGWPVRDVATANLPAGNTGAACGLMEDKTGDSD GYHTLPDDLTEKPPILEPNHEFRVCYMEFSGASDRDEHIVQRNCELRDQPPTYEGISE EKLRLISNVPASLPAVKAWYAIWDILYPETSLPSSPWLPGEIGLQISLARDFWAETGQ LIVSGFLESKNKLSWDMPDEERSLAALYSLVLDHLIDEIFNGQDKPFSYVSGKQVSVN PGPATRSQFNVGSFVWPLISKWIPQNRQPAPAPPGAGIYDRTPPQDQREGGPTLTPSA MLSGVADNGPNQ
SAPIO_CDS3832	MGIKNLASIIKEHAPDAIKEGEIKNHFGRKVAIDASMSIYSFLI AVRSEGQQLMDESGQTTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRFQ RKQEAHEGLEEAKETGTSEDVEKFARRTVRVTREHNAECQRLLKLMGIPYIVAPTEAE AQCAVLARAGKVFAAASEDMDTLCFNSPILLRHLTFSEQRKEPIQEIHLDKVLEGLDM SRDQFVDFCILLGCDYLDPIPKVGPTTALKLIREHKTLEKVVEAIQKDPKERFKLPED WPYEDARELFFHPDVRPASDPLCDFKWDKPDIEGLVQFLVTEKGFSEDRVRAGGKRLE KNLKSAQQVRLDGFFKVIPKTEEEKAALKRKNEEKNVEKRKKLKEEKKEKAKAKAKPR AAG
SAPIO_CDS3833	MSKVFTASEVAQHKTAKDLFITIDGDVYDLTNFQDDHPGGKKIL LRVAGKDASKMFWKYHGEAVLKKYKPKLQVGSLDTKKAAAPAPAAAAPAPAPKPAPAK PAEKKAEAAPSEALEPYGSLIPFADPNWYQGYHSPYFNETHAALRAEVREWVESAIEP YVTEWDENRLVDPEIYKEMGRRGYLAGLLGVHYPTQYTGGKSVKSVPPEKWDLFHELV ITDEICRAASGGLVWNLIGGFGIGCPPLLKFGKKSLVDRILPGILSGDKRICLAITEP DAGSDVAGLNCEAKLSADGKHYIVNGEKKWITNGIWSDYFTTAVRTGGPGMNGVSLLL IERSFGGVSTRKMDCQGVWSSGTTYITFEDVKVPVENLIGKENQGFRVIMTNFNHERI GIIIQALRFSRVCYEESVKYASKRKTFGKKLIEHPVIRLKLAHMARQIEASYSWLENL LFQCQQMGDTEAMLKLGGAIASLKAQATVTFEFCAREASQIFGGLSYSRGGQGGKVER LYRDVRAYAIPGGSEEIMLDLSIRQSMRVHKALGMKL
SAPIO_CDS3834	MSQAQAPQASHPILLNLPPPSSTPETPDMPGTPTSTTTSLSALS TIAIKDGHRGHLPPTGRHAHTPSTTSLEAERADRISRLAGLERVSTLRTATSSPGLPA PHGPTPAYFDARGQPVAITKMSTVGTASRTGSCSGREDEEEDDDTRTTTEQDEDMLSL DNNYREGGSVMSHDVDDELANRSVGGFDDRMSDDGSASLVGFGEGAGSTISGPIYQRR PLPGIVASAEAGTRAFLFERTSSSLSEGRREGPPSLVHSASGGVETPISPTAVQERRA ARMTNGIAVDGAGVTSTAADDDVFVDTTAREPVQVMPSSPRRHRKGGSSGLGVPKSP
SAPIO_CDS3835	MTEFEPLDSITNAEYTYSWSGGRSISSDASFHNEADMPRSPSSP RGWSGQASVAVNHTGQLSPVSPGMDLRDPDSEASSSVLMSPVTASHTNSWGTNSLEKP GHEATALWTQQHECDGIPMVPKVEVVDEFDMNLLSQLPAANEEPDASPKIDEMKTKRP RGRPRKHPLTPVANTNKVTKGRSKTGCITCRKRKKKCDEAKPRCMNCEKNAVVCEGYH EKQIWKSGKEKAEEARLRRESLPVITMQPLFHAVETTEDKIFWKHYCNHLSNVLTVEG EHRNAFKDIVLQIATRHKGLMHSILALSGKHIDYGTPYGAKILQDNTDVTAKSLQDRA DFHHEESMKCFYEDISRSGDKNDPDHSMILTARYSQMLCLLLQTLVEGNPRGEHRVHL KAYKSLIQHSPPEDPNFLGFITEFFEYHIFADELVSYPSNSYGLHHHHHHHHCDPTLN SAEWSPEVHLHPPRLIGVTDGLFGYMRRITSIRNTIRANIIANAESAVDYESLYRAAD IEAGIRKWMPHWPPGDSRDRVSLLYKQTMWVYLFRTIYPPNDGTRLPTPWVPGPDGMV ESTNHKTHAPPSPVSSSIDPHMGELRRHSCPSPSMSTSDSHDTATLTRRASSPRPAST HSDGTFDRFHHHDESRLSSPSSPMQNAPMQDPRITLAIEQSLTIIESFKPSDPAQTLL LIPSLVLGTACVSPGQQERIRAAVKCVKGYTGLRNCNLVLEVLEEVWRLMGRGEWMSV WDWQGVARSVGLDFLCS
SAPIO_CDS3836	MNFGGPKGEKLAQPNRIVVQFCPFERLPVGFGFAYDDGSEVFYG SRSITATADNQRGCEQAPSLRGFKLTTNASAQCVFAMPHQDMEAIEFPSQFETFATFQ FTSDKPLIGFLTEIKGFDGELTAIAVVCPQDPVPATLAVTEPAFASATAVPLSLPHSA AIPVTQENLFETRYLAVTNSCVTGATTRDVRRIKFSSGAEGRSRPPDSVSGLLFQYEN PLQDRYVGQWFNEVDSLDLDEGDYVAHIKDGGYL
SAPIO_CDS3837	MRPPRAYQGSIHLGGKIISKSFLRPRLFAIRRSGEKLYNPDGSE IRPRWPKRKDPEDKYTRTESAESETPRLPRDEAGSSRFASAPGPQVIPEHLQEPSDFA FDGIAPHTPSEMSALRVPRPGNPVAKTLESAPTRDNESPKSEDERVDGIFGGAKRQRE RERGMFGVFKYGPSKNNQGNPPTPTPQKRFKSEPISSGSKRSLSKEHASGKFDDISPR LRELFKRKQSKDEESDGLSTTPSKKPRRIGLFSRFMSQPSGILPPSPIEKLVESPRGA QPVATPRRQTAPAGSATAATPRSIKVERPESEKDEQERIPFLKLPYADSNDDDGFTPV NPPGMWKNKGRNNPFARKDH
SAPIO_CDS3839	MERPASPEGARPQSHYSALEAEKMDRPSSVATSADHPALREPTT QYRLSISGATPLSLDDVQAVDIQIRDLSVVVDTSPSWLEPATYPQLFKERSFFGPKKP GDKTLLHDVSASLAPGSLTAIIGGSGSGKTTLLNTLAERMSSPRLTEAGEVTFNGVKG VHSARHAYVMQQDVLLPSLTVRETLGYSADLRLPASTTSDERRKVVEEVILELGLKEC ADTRVGSTQHKGCSGGEKRRTSIGVQLLANPSVLFLDEPTTGLDATSAFQLVKTLKSL AQKGRTVITTIHQPRSEIWDLFDNLIILTKGSPVYSGPMKECAPWFESQGFKLPPFVN PAEYFIDVAAIDNRTPELEAETVERVSRLKAAWVEESCTRYGPNTAASETEENAGHHK RKSSKAKRKKKQHATFFRQLAVLTDRTFKVTYRDPLGMLAATLEAVLMGIVTGYIFYN LGSDQSGIRSRQGALYTAAGLQGYLMLMFEVYRLTIDILTFDREHSEGCADVVPFLLS RRFARMFTEDLPVPFLFSVIFFFMAGFERDAEKFFIFFASMACGMFIQSNTIPVYVRW LKWITYTFYVFGAYCGNEFQGNFYDCPFPGGRENPLCVSYTGEYVMEFLGFPENWVAR PILILVAFIVALLALSAVLLYFVKVEMTIARARTSDTDLSAGKEKMKASSIAEVRTID VGLDSFALELDKRTTYGKKLPRKTILNPVTATFQAGTLNVIMGPSGSGKTSLLNAMAL RLHNTAGTRYLPSGKLTFNSAVPSRAVIRSVCSYVCQDDDALLPSLTVRETLHFAAGL RLPSWMSKEEKKRRAEDVLLKMGLKDCADNLVGSDLIKGISGGEKRRVSIAVQVLTDP RILLLDEPTSGLDAFTANSIMEVLQGLAAEGRTLVLTIHQARSDLFTHFGNLLLLARG GECVYTGRAGEMLGYFRGFGYECPKHTNPADFVMDLISVDLREEERERESREKVRRLI EAWKRNIEESERAVVDEEGGKKLPNIEETEEVADEKKEEKGIKAEGDEHNDSAQPLQD GTVTDGDISEKAEQSEPKNLAEEDAITPIAQTDSHENNTNHLTRTVSSIPRRSLNKAT LATPAELGALVTKRASFATALPILLHRATINFRRQPQLLMARTMQVIALGAVFALFFA PLDNDFYSVQNRMGFVQEVGAFYFVGMLQNVAVYPAERDVFYREDDDGVYGVDAFMAQ YTILEVPFEILSCLVFGVLADLAVGLPRTAEMYFIATFSCFGIVSCGESLGIMFNTLF SSHTGFAVTLTSVVLSIANAMAGVLSTNMPALLNAMNYLSPVRYATRALAPFSLKGVE FVCDEAHMLGGKCIIETGEDVLELYRLDVDPVVNVVALAACVVVYRVLAWGLLRAVRG RWRGRKVKEEKRK
SAPIO_CDS3842	MRSSIRHGVRRCSQRNYVRCNGSSSLRPFSLSRPSTCSGSLPYT RLPLLSGTSGTAPFDPQSPRAYHSSSRKSSAWAAVASVASNVVTNAAKRAVGAAGTAD LPIHPLKVVAKELSTKFLTPNIKKLVASRTPQLDRVAKYYTQSEGKYVRPRIVLLMAR ATSLCPKAGPESLRASAISIDQAISPATILSDVNPTNQSASLNSPPDAEVIPGVNPAQ RRLAEITETIHTASLLHDDVIDLSESRRGAPSANLQFGNKMAVLGGDFLLARASVALA RLRHPEVIELLATVIANLVEGEFMQLRNTEAGERNPVWTEEALTYYLEKTYLKTASLI SKSCRATAILGGADAATVDAAYAYGKNLGLAFQLIDDMLDYTISGKDLGKPAGADLEL GLATAPLLFAWKANPELGALVGRKFAEEGDVARARELVLQSDGIEQTRALAEDYANKA AAALEAFPDSEAKGGLLQMTRDVLKRKK
SAPIO_CDS3843	MVLLTMTPSIVEGLGTLDEPLPLPGASATEHENTTKNQEPSLES PAVGKPISHGQILDLWKALRAKEDSKKEYSLEALLRGSCVYIPPPPPKPEPSPEYKAL MARLRREEEARAYERMLNPTPTLETFSQHFPNAALATAFAEVNRPHNKTDADTAGPES SDAAAFKEVNKQLMLVFNFLVSIVGVAATIWMVARWWSTPARVLLTLAGAIVVAVAEV VVYSAFAWRMEQGVGKEVRVREVKEVVNTWVISGGEKDDRGVDDEGEKEGDQEVRIIE KEKDEGVEGTNLRRRNKPK
SAPIO_CDS3845	MMTLRGLLASVLVSASLVSLAVARPTHARSALVKRQDEIYEEYD FIVVGAGTAGLTVADRLSEEGKYTVLCIEYGYLDSSTTITAIGPGPRRDTLPSATRYY NITSIPQPGLANGRKPAMAGAVVGGSSAVNGMFFDRGSAEDYDTWFGVAGEWQEDFAE EWGWENILPYFKKSVTFHPPTEEEVEAYGMTYDVEGAYGGSAGIHSSYAPYQWDVQQH IWEGFKHIDGVDFPIEGADGHAVGVFWCPNSIDPSTRTRSYSKIGHYDTLDGPAYRQN FHLLTGHRVTQVLLEEAEDDDGNVVWDATGVMFTPRDGPMPSSAWRVKARKEVVVSAG ALHSPQVLERSGIGGRDILEAAGVTPKVVLPGVGWNFQDHPNYGMSFRWTKEDLGPTP ENFNQDKNFTAWADELWAANQTGPHAAYVNSGAFLPLSVLTPDYADIASAIASQKAED HLPEGLDPTIYAGYQQQLKVLSQTYNSTGTAILELPFSGRSSFSLVNLHELSRGSVHI SPDDDGTTDTGRGDVEPVVDYRTLVNPIDNEVNAIFVAFVRSFFGSEYMVENLAPVEL TPGIDEYPDGSEELDGWLRRVLSPSTGHPVGTCSLAPLELGGVVGPDLRVYGTRRLSV ADNSVMPLIPGTHTSSTAYAIGEKAADLIKRRAGWWDDAEE
SAPIO_CDS3846	MAPISRSTTGLLSLILLCPGVLSSGPAITPYARVARQAATPTTT SSAITAVSDCHLHGATIWCMAGADEFPLKTTVTATEELPASFTGCHNHGSALYCIAPN GDDVEIDIPSAAGDGDTDGDHADDESGESESENPSGLNCHFHAGVEHCVGAGESESGG STTEKKCDKVDREYNVRLRIGLIFAILATSAVGVFAPIFLAKWLPSKLNVIWVTLKQF GTGIIISTAFVHLFVHAMLMFQNDCVEGIEYEGTAASIFMAGIFISFLVEYFGERFMR SRLNKKMLNGSPEGYSVEKANASLETVNIYVMEAGIIFHSLIIGVTLVVSGDSFFITL FIVIVFHQFFEGLALGSRIASLGTNQHHALAALGHHSVHQHGPGHHQHHIHPQEHTSE SLAKTAGGEATSLNGSNQEEEKANFPMWHKMVLAACFALVTPIGMAIGTGCLSVFNGN DPATAIAIGTLDAFSGGILVWVGLVEMWAGDWMGGEMANASAFSTFMGIFGLMAGMAL MSFLGKWA
SAPIO_CDS3847	MAGEPVLSAFAARKKLLAKYNSAAAEVKSSNASEEGSSGVTTRS GAEQKRRKASAALAAQGGDGNVKTAASQRKRRRVASKDKTAEADVQENIEEQPVAAAK QKKTLPSRSKSPSSLAKMPVTDAEEPSMETEMEVDRTPTAKSPKTAISKDSRKAETSS LPTPSELLDDSSAQRLIIQNCSTQKENGVLELRIDSEERLKLPVVGQYGIRVLRGEVF IAGATLRPSTDVIWVHAPLCYSVPILRCPESSVLELHPHPSSDGFRQLGRLSPLYSNI WNRSQPDSVFGNPSYQIVCGPEDLPKKSVLRPLYSPPEWNKKLAELTADGTQSMPCLI CGPKGSGKSTFTRLLTNRLLTLPKPSPGRRTRASKEESGVLLLDLDPGQSEFCPPGTV SLVLVRKPNISPPFAHPWPDDSSATLVRCHALASVNPGSDTDLFIECALDLYSHYQQR YNGYPLVVNTPGWVLGTGLDLLTLLIGSLRPAEVIYMSEDGPTETIEGLRLACKTPTF SMLPSQQSDTGTRKSAADLRAMQTMAYFHSEQRQKPATVNPSPLSAIAPWRVSYASKN RGIAGIITYGGQLESALLAEAINGMVLAAVEIEDEKAFRRSPSSPLSEAEQAMDVDAS DGAKILQCADELMSSTTTDGIPHIELAHGATTLDIHYCRTIGLVLIRGVDTSTSSLQI LTPIPRSKLEEVRQNGRHIVLVHGQLDAPTWAYTEDLYERAASGADGLDDYEGEENED DDDAGDDGVAVVGAGAGSFGVDRPWVEGVDGNRRRQVGGGTWRVRRDLGRHNNR
SAPIO_CDS3848	MSSSSKNQRRRSKSFSSQPKIRREGSPELTNSPTQSTNSKRESL QSSLRSPTPSKTTLQLSEQPQDHIKIEPPLDGFPVLPRQHLSSPTQNRVLSQGSPKPI LKKKTIDYYSFPSQSSSVYPRGLRLTFSSSQPSALPPKLYNSSQYALGSTLIKSEPKS SIEGNLSVVANSQATATMSGRAAAASRTATDIYNLPGDESGVKKSGVKARGHSTYAKK TAPVKDDSSSSEEEESSSSDESEPESKVTSSALPPSAQPVAAKSQKKTASSDSDSSED EESSDSDSESESEVANTEASESEASSEEESSDEDSEPETNGNTQADSGALSTYTTNEP APTVIGGVFKLQQPKSLGQIARVFESAEKEGNKLWVLTHSSSTPLNLEIQKVAPLRGQ KADAIVSSNAKITVPRLKDGDSYHLISSCKSISIIQTTNSDVDASFETPPAPESAKSL KPAPTQPTGLRTRFVPIGVEPRVSQQQSSKATSAIDKASKKEKKQKKAKQDKGMTDVT APASSPSSQLAERPKKKRKVASPPPAVEAPASSQVKSADEELAELKARIAMLEKEKSG QPAEEAADSSKKIKKDKKEKKEKKSKAKAKAEESEEPPKETPKSKSKVKFAQDEPAAT SSPARGSQTPIPPPTIPTSSQPDSSQAKKTKRRRSAGEEATESKKKRSKSVSESPAPS SRGQITPVQPPQPHVWRVTPIQPPAIPGMP
SAPIO_CDS3849	MQDVASLFSKPKLLPFTVPGTLNLAKLNPIPHRYRRRIRARQRL YSRSDEITRLKTSFNVLETIQAVRRHRWTFHDLQYVGLALVGFTSLILAPASPILKLL ALLGGSVLILMPITGQFFFAGLTIWVYLLYFFCSRFIPTEIRPHIWVRVLPALENVLY GANLSNILSARTHMALDLIAWIPYGILHFALPAIVIGILFIFGAPGSGPSFAKAFGWM NITGVTIQLLFPCTPPWYENEHGLSPAVYGMPGSPAGLARIDKIFGFDMYTTNFSTAP VPFGAFPSLHSGNAVIEALFLSYCFPRFRGFFAGYVLWIWWATMYLSHHYAVDLVGGG LIAAAFFYVYKAYWMPRPQHDKATRWDYEYVEYGDRKSIFDEEFGAYALGLIDRHPPS DSDEWTVGSSSSSFASGSGSVSPTLSEESITFRREVLGMDVRPDQAWDGPRVTKNDLR QVVVVG
SAPIO_CDS3851	MDTQTVTRPQPQIQPCRYKVGKTLGAGSYSVVKECVNIETGRYY AAKVINKRLMAGREHMVRNEIAILKRVSMGNQNILTLVDYFETMNNLYLVTELALGGE LFDRICRKGSYYESDAAELIRATLAAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLI ADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKTGHGKPVDMWALGVITYFLLSGYT PFDRRSDFEEMQAILNAEYKFEPVEYWRGVSEHAKDFIRQCLTIDPNRRMTAHDALRH PFVAGNVSNGNSHEGQNLLPTVKKNFNARRTLHAAIDTIRAINKLKERQGSSSPSVDH PTTGDNTLRAPVPDPNHSSPVDPDAMDLSDGGEGRLQVQTQDSGYGSHAVSVQKDVAM GDTYDVPSILRPGSNANRVVETSNGLWTTTLKIDPSLRIRRAIHANDALLVKRILKSH PTLLHNPDTSPRGLSNSNLHLAASLGHVEVVKVLVSLGHETPCAALNESHQTALMLAS ARGFIETVLFLAEHDPSSIPRRDVRGRDAIMEASLGGHDTVLQILLTWDPEGAAKAVS RADVDGNTALHFASSNGNLLVLRTLLAAGADPDRRNVWNWTAISYSATVAAEVYLKGL VAEAQRKKAAREGEKLGLRVVEQDDGKG
SAPIO_CDS3852	MLPTSAADDTAEIHTIANLSPVSPAPLTTTTVSALPVLQSQADS LDDAGAAQAHEIASMRHMSTLSAFATMTEDGDSATNGDDTGLDDDLYGESEQEGGAKV ETATSIDQAVTEIDDEYAKTFDSPLPGEEEEEQPQNGDGDKNRESGNGGNGNHTAETA TVSGATQPIHVSSSLASSSVPDTLMKTAQPFGASSTAATATAATAQASSSATALSSTD ILMTHLNESSNLDSEVSATAVEPSSSSPTTNNAGQQMALGPAPVEKPKSSSPLPVVAS SHLATPQASHNAFETSSLPQAPIQLPTAPTSSTQTLPPASADLPSRPPVDMNRIHLDT ARPSGNQASIKVGQSVNAAPGTVPSSLPAAIPGQMAPPPPGMTETMAALGPPPGPTNP SLPQPPSGTTASSSTGAAMSYPGAPPSSSSSKPNQAPSYHQQWDLYVADEMRYIAEQK WDRFPEGSRVFIGNLSSDKVSKRDVFNLFHRYGRLAQISLKSAFGFVQYHTLEEAQSA VEHLQGIEVKGRKIHLEFSRAQKKKEPKEERVRSPDSSGPRGRDRESGHHHRGGRFDG GPPGRRSRDEPRHGHGRHGSDYRSHHRDDSYGRDRQHHESYRSRDRSFSPRGRGRDWS ERDSYRHRSRSPYGASRHKDDGRTYGHEAPAASSSPDVQLLLLQEVDPNFVNWVRGAF IERGLRTDVMIVNPAYHIKKDVISRLVVDGVCGIVELDFSAQERGEVYLHLYDLSRGL SNVSFNEYQGLKPATAADLVVRTKTKPAPPAYHPAPAPYGAAPQGGSYYGQPPPHHAI APPPPARGPPSYPSQHGPVPGTYPPPQSGSSGTSNTPNGGVDLQHILSQLQKPGSAPI LPPGASAPQQPPTAAAPGGIDIQAILGSLKGGGPSVPPQSAPSAGYSAGGTYSTGPDT TGPHLGQGPGFGQSAAPLPARSPPETQGTDAQVKNIMAQLARFRR
SAPIO_CDS3853	MVQFREKGSPLPDPDSQLYRAFAGAHPGIVVRHEYHGTVGALKA YSMPRLQGDTVVEAWRSFELEPDRRLVLAEDLAKFYVRSLQVARDSINIGPEERGPIA RTCLGRLDNLRNTLPDRFQPAVEYVQNHMDAFFDPDTSSALVPIHGDLSLLNILVNQD TGNLTGVIDTAELSVLPFGFDFYAMDNIVGERSPEGWIEHDNALEVRDHF
SAPIO_CDS3857	MASFKERRSTFLSLLSLTFFVLLALYIGGRNGSSPVEVPQLHAR SEGLATGGSAPVSPAAGNAPVSSVPHGLVRRQEDDPYTCGPGRPCSNGACCGPSGNCG YSPAYCGDGCQSNCDAKAECGQYAANPGQTCKLNACCSQHGFCGTTEEFCTDGCQSNC ILEPQPPGGSPKGAALDKVIGYYEGWSYRSPCNQKSPSDLPLKELTHLNYAFVFIEPE TYQLVTMDMEHEDDLWQITVDAKQYNPNLKVYVAVGGWTFSDNGTVTQPLFGEIARTQ ANRQKFADGVVKFLNKYGFDGLDIDWEYPGAGDRGGHDEDTPNFVLLMKTLRNTFDAS PRRLGLTFTIPSSFWYLRWFDMPGLLQYADWTNLMSYDLHGTWDEHNPIGAIAQAHTN LTEIKLATQLLWRVGVKPEQVVLGYGFYGRAFELADPSCKTPGCPFAGGAKKGPCSNE AGILMYYEIQAILKKYPNLDPVFDEEAAVKYVTWDNNQWISYDDADTFALKLDWANEM GFGGSMIWAVDTDDDKYSAMSGLMGYQVSHIDTTEVKALAMNDNNVAETLKLENGDGC QIMKDYDCTQLADMTCPDGQELVAYDRNGCGSEDDTQGVPVCCPTGSTGKKCTWRGTP KDGGIWGDCNGQCHAGETKIGDSRYGGGSSADEKWPLKKCARGRKVLCCEANDWKQVV DGCYWTPCVGSSGQTQCSGNTVQLATKKGSCFNGAEQKYCCPWDTGLYECKWRGSAPD CVGANCKTHEDGKDLFEVQVDAHAGGSSWNLCSWDRKKALCCQVRVAIPEPLTCEIDS CDIDYDLCSQTNKDEWGNDLERRGIATADEDDQGLAIVSRDVDLEKRDGGKRPYKWVT SFGLVVLQNSLTYPSPQGYMRRLREGQIELARAWMVRSQNCGSPGVEARRIDPAQGAP DRTQVEHTIPLVTVSRFGSVAEHGRMWAPRPAGYIDRRGREIGQAHPAGSYTDRPAAG NENFWRNVWNNANGLPPGLPPVTQNSPEQRRPVDRLYEALGSNSNAAHFTLLQDNING MKGRVEIFNAPMAQDRFDTFLEDATDPSNDSRNVDIMSFMAPLRELVGMFQYLRASDV VTRIDAGAAAVHFQLQLIELHSPDSQGLSALWNEFYPEYFRLVSEFARTWAGDRIRQI REHYEAHPNNEYRDEVLKALKVIEGDIPKWKYPSED
SAPIO_CDS3858	MELEWFPLRSWAFVLAVGIVGVANAQQFTDITLGHAAYPGLSEQ CYEALNTTVQSCPGFLAVHSVEMPRLASPILDALCTSGCKSSLTSVRGVIALGCAANT DVIEMGRVVYPATYLIDRMIHAYDVSCATDSASGVYCDEIYLDNLANGTTADGCSDCA LGVGSILLNSPFGYDAGFAADFQSQTASCGAAGYDITSPAPYAISTKPHSSPTGAPTC ASPYIVQPGDTCDSIATAKGVSTHSVIRAGQAMPDCSNLVPGKALCLPEPCTLYRVQW DDTCADILAAVPGLRAHDLLTWNPNINPLCTNIDSMYDDQICVSPPGRSLEDVTTITT GPPPTATQPPPTAVPRPPNAKAESHDQCAGWYEVQNGDYCQLISVQHGIEIRDFFFLN PSVDDPDCTNLWLETSYCVKAVGDINTYPSYPYSTTPLYTLTPSDYVTTTWPPLQTVA PSATPIVVLPLAAGSHAEADGCLRFAQHQPIPIPRDQSEQTDVPSLTKTVNSCDYVSA AHNVLLEEFLAWNPSLEGLDPCQLQTGYRYCVAHSNATERDTSGGECLEITTTPEPGT IDTCSCFTLLNGFDAGKYLCEDIVHSKNFTVSDLLNWNSWIGSSEADCDAGLYAGLAA TDERPLCINGVGGSPGTDPVTPPGPTQPGIVDGCRAYYLAVAGDGCWDIANNHGISLE DLYAWNPALNGDCSGLWPDYAYCVEGPSSSGPGTPPGPTQPGTIETCQAWHLVVAGEG CWDIQQLYGIPDYATLLLWNPALGANCEGLWLDYAICVGV
SAPIO_CDS3861	MSYYTALDVCNLLNSVQPDSPLTPDAQSIISIVDPTVAEAEWNE VLATRRSIPGPSGRLQLGGSNSELASTSTPTGQDALPDEVIASEGLPWCLPLGPAASL HLPAEGDALEDLLDTFRKASLIATPDAENSFGLGEDAAHISNQSQTFTTPAQSVLPTP MSHDIRANARRSIKRPGTLGNRPKSHQDAQLWDLLRQKTSDFQGHIAEHFQQVLRAYV NMERYEVEGVAEDTSLPITDEQKKGRVSELYAAILDFGDFPEGSKKVQPFWARGNLSK VVGADLADIELEMLCWEVLEAAIKSQRGEPSIKRWSGTEEATWEEFYTFGGRWRAICE SVRRKQSNLRRNNRRKAQSSTPAGKEIKERPTPP
SAPIO_CDS3862	MAWWEFEINLQPTKEEKIARRATLDRYGRYAFASALAPIIVILL FRLASWAWATLSSPKPAPYHAVSESESAKPHASRRKRGTLRRVFQRAKWWLSEPVFIF GWLCGQRDEWVFGLVWFIWLSVLCVRETGQDFLHLTKRFGIIAVSQLPIQHLLSFKAT NPYAFAFRTSHEHLNRFHRVLGRLIFVFLWLHMLFYFKFFFAAAIFPARLFEANVFAG FFALIVFHLLYATSMTVIQRWSYRLFFITHLSVVFVTPTLIFVHAKPARIYILASIVL FVVDLIVRKLTTATASATVEIVPGTNLVKISAALPEPKIRQLGERPGSHVYLSIPNDA RPKFSCSSKSFPILFEFLFNPFTVANVDHANSELTLVARHMGGPMTARLLQLGNERAG NNATASETFKSQVPLSIEGPYGTLAKHFPSLAAGRADRVLLVAGGVGATFAFPIYKAL KADDPDANVELVWAVRNPDEISWALSLSDSSTSTHSSPENVASILENPSIHVFVTGNS NSSTSLNSTGDDYSSETTSRSSSADIPLSDMNSSGKELSRAERQLRRRKRPDLKRFVD DAFKSGGADGRVAVLVCGPGQMGLRVREHVGVWAAKGRDVFWHNESFGW
SAPIO_CDS3863	MKAFRQPILHLDSSSKTSLSTEKPRVHIAFTMRIRFPFAGVFFA LLLILGYVGLTPLQLTTHINDKFLHFLSFFLLTLAFYWIIDTNRRRTLNLTLTICTFG LGVGSEFLQSILPNGRSFDVFDIVANVVGSLLALALCTWYHQRMLERRRQRRQYNAVP GSDEADLELGEGHESGVVDGSEEDGAGPSSRTLEEEVDNWDENALDDWDDEDAGDADA GGKANGKGAGSGDIGDAKRD
SAPIO_CDS3864	MHFPNGQNAWPPPTMSNSIGARSSQSKPDDAAARTSTPVDNFPV LMPEASNASDLEDDARRAHFADLYRKSEAKIARLFYEDGSYNEAAIAELEHPVLAPAP PTAALIPATTDHTPAQEPPRKKVKRTIDEDDYDDDEDEEEEEEDNAKATTSLSHIKGK SVAEASAAAAAASLLSPSKSDSPAGPPSATSPPGSHAAADKSSKDGESSSASQSQQPK TSEDARKQLEEARNATEEEVRKSFHTLFYTLENDRTAMIEQQQLEESEKQLQAEMDNN SHNNSSGANSSSQNGHGSLSSANLGASSLTLKHLIARIDMKRDQVRASDAELRLLMNE VRKNRSKWASEENVNQEELYEALEKVLTELKAHTEYSTPFLTRVNKRDAPDYYNFIKQ PMDLGSMTKKLKSLTYKSKAEFVTDLNLIWDNCLKYNQDMNHPLRRMANGMRKEAEKL IPLIPDLVIRSRAEVEAEERRKQNGGEDDNGEDSDDEPIMSSRGRKAGAKGSNKSRKA HSKKKEGTPNVDQKPHLQVNGILAKQGREGSEVDGSGFGTPIGGSATPSGINGLSLGV GGVGSNGDAMDLDGPSLNEMALGEALGEAAAQAYEDEEYKIWKQVTKKDRALVAKERF ALFKDNHLNVDAPALLRSKAAMRRFLKGQKQAERQQAIASDSQADASGPAVNEPQTNE TLAEEMQGEEVRVIPDYYEPLSLVPGVTPSLRWTEDGEGQVINHHEWSLSLVPPEHFR SPVSAFTKRMESNIRQIQETRRLATKISVIKQMQIQSQVYANQFPKSNFDPFVEVDVD QHFISDQGPVMARDTCQDALKRSVGKILYQAGFEELQPAAVDTLTNVAADYFQKLIRT FNLYREADKKPAPSTAGVKLQPRFTPEEVLLHTLDENGCDIASLEAFARDEVARLGTK LSGIHDRMKSHLADLLRPALQADAGADGAGAFKDGSEQFVSGDFAEELGEDFFGFRSL GLEKELGLDTLSVPLHLLQSRVRSQYQQAQSSGAANVDLLDTLPPCEPVTKENIQDQI GLVKNFFLAKLHANGDQPLVEDEDLPPKQRRPRPRLGATGKISSPQKRPLKEQIALAR KKKKLEAQMAEAKLNAEKGGGTGSANTTPAKKLKNIPNLNGSGPNPAVLALGAPAMER VDSLQSQGHASQTDKDEPNGMMSPESIDR
SAPIO_CDS3865	MKSALIAAAVLLGSSQAAVHKMKLEKVPLEEQLASTPIEAQVQH LGQKYMGGARAEIHDHLDAVFNTQVQVPQGGHPVPVSNFLNAQYFSEITIGTPAQNFK VVLDTGSSNLWVPSVKCGSIACYLHSKYDSSESSTYKANGTEFEIHYGSGSLSGFVSQ DVLTIGDLKVKNQDFAEATKEPGLAFAFGRFDGILGLGYDTISVNRMVPPFYNMVNQG IIDEPVFAFYLADEEGQSEAIFGGIDESHIDGKITYIPLRRKAYWEVDLDSIAYGDEV AELEDTGVILDTGTSLNILPSSLAELLNKEMGAKRGYNGQYTIECSKKSELPDITFDL AGNKFALGPDDYILELQGSCISTFQGMDFPAPTGPLAILGDAFLRRYYSIYDLGNHRV GIAKAKA
SAPIO_CDS3866	MAQPGVAEVAGPTTHDSVKVEHQPSPAMQPLQAPPQHQAQPQPA DQSIAVAVANKRKRDEDAEGGPVTEGDKAPNGTPAPVVNGGVQQLKNTKEEIETFVKF LQKYDLDPSIFTTTIPAPKPDDVHLAKRARSEDSAPASETISEKVSRGEYASIVELSK DVALVARSRVTGSPSPNQVQAIVLLHDQCQKYCKREIAYPQARPIAVSHPAKQTVLTV PYPGRNEKQVILFSSPIPTASSSDRTDAFVSRLPPGVKSTVMVGGLSEAELNAADSTT KSLGDTLPAPKNRVPLLPSATKQAQAKLNIKENVLGFQQPKFENSWTKWPNAYPNQPL SVGHYLDYTKATAESPVKTKQRERAQSLAGHKPSTSELEMSEMESLFRTAFSSFSPSR DDSGAVIPSSLAGRIWWQQKGQFDFYDLIPARYWPKQDEGDEAVEEGGKVVDKAAEIE IDPALIESIIDEMKDGDVAPGVVGPPPSTTKTAEEQDVEDLLREVDDLILTLASFQHI RNISKSVTSTNRLAVGEPDMLTNSQLPPPSDEELAIYETLKSLLASIVRSLPPYAVAK LDGDQLNDLLISHRVPVELEEYHGIMAEDEAAAQERLRQEVQHKMQQQQQQQQQQLQQ QQQKMQQQAASASRAPAHRAAHTPAPYGTPYHPNNPQFSPHPQSRTPVQPPQYYRSAS GHPVPTPQAQAAARPAPPPHPSPSQHHLQPQRAMSQQYRPNGYTAPFAPQLAKTQTPY GHQGMQQYAPNQQRPPQPNPAAYAGAPQHRSPNATFATPYQQAQPYAAQQQVARGAVP AYANYPNGAVPQRTASPHVPHQPQGYVPNAYPQHQAQPQPQRYGTPVQHAMPPNAHRS PMPRAASLSGTPHMPSAVGTAPGLTGYHTVMPESQQQRMMEQARVRAAARDGTSGAYA SPIAGLAGIGLGVAQGVNRSPAPAPVRPNMPGAPLQPVPGAAMQRGMLNGGAQGSPSL QPQIPAKVSPVPVPVIPSAQRPPSTQPGQQVDRRQSQTPQPALPPSLPQTDGPGDASD RVQTPQAKFELTAAEMEGLYAKPTGLSPLVYYTVHNSDRTGRVWVLDAFPRPKAESLL GELWEPSAPEQRAFCRADTLPPIPAISGSHTSLAGRRALLASKTSKVLAGSTSAGTGE GSSTAPRASARNEATNLAHRDPATNPTPQAQAWLQAWAQAWTQAWTQTQGRAQAPARV TATHGANATTQPGFGKGKASIGSDERRADFEAFDAAIASVLPLCSRLPPAPQTAPLLT TKSAVQTAPLPTTQTEQPSGGDATGSSSQESPQTSLLTSPRRQPAAPVAKPDDQKKTR TPLPTSPRRKHPASSLATEAKPKARTPLPRGPRQKSSSGSKRKQNKNEEPEPQESRKD DGQGLRRSKRLRK
SAPIO_CDS3868	MPSSPGDDALDKAAFGPLLSIPEASLIQLAINIRFRVFSSETSR ATLNARIGGSYNLVHILQLDNLKLVIRGPATGWGDGMTDVAARALESQVTTLRLIASE TSIPCLSECMAQLSRFRFQKIGSLHAMEDGSSSVGPCYEYRGNDDGTLRAVAFGPFDN AAAYLKDRRAEDSEDATGIGRMLLRALSRVGSQRSPLLRRRAGVHGLSTTPRRTQTRS ANTAAAVLTPSGDRSAWNQFVASGTERVSVKGGTTSNLFSNRNSAPGWKAKLAAPNEL RKDLWDRRKPSKSKKAPPHEHGNEASWEKKKEKKKTQRAPRIFEKQDRGGLEKGADCK KTPSSILMRLLDNNGKTLSDDEFTSTARFIHHYPRLRLPVVLSKLLLARDERFHKYKT REEILAEAEAGWFCRTDVEQWEWIIQGRNSDVIAERFVSSDSVHPISLLSLVLRPNAV FTKRSSLEGLLRYMAKWHATGDGSFKNGVQPAAFLIPMRRFALHCCRVWPDLIPALAR VAAAHIQDSIPNALPKNKVYNEQRKAFNEYLQMFASAPDRSGRRYMEFIWEAQRVLLA MSNGLERPLMLEKKSFDAIRIVLVGLEKTPIERDEATRWGKTWPPFKVIRDGLDEVKD AGDDFTRAVKAGLTMEEAGHAREPVDAVIDTLAGVAPDGSPTIQTRANLAGLVRRKEG KIGAEEVWATRVRTTRNPHEAWTAFQHPPDPSCRRNHQVYGELFAKLTARTPENEGYL PGDGRHVVEVHIPNLSDFERARIQPPTVTQLYDQMLQEGVKPEGHCLVVLLRSASHLR QFQKYLHDSTLDPDITEWFADRSDMDLSKIFDRMPFGVFQAYISLLCQLHPRAVTVQP PSEFNDQITEAIQLVTQFSSLARTESRQPINTRPLWNLLLDRLSKPRGVYVAEHVPYK REYATLLLYCDVLSAARNMCALDCDMFFFTARAISNAMRPHFSQHLRPENEPEKTGKP EKKEPGEPVILSIAEELRRGQNDPDTDFVRWAQQLKGGAAINLETLIGSMARFLAASF DELSSDVAPLTGPDGTSWPMPSTYQRPRGMEAHIYMQTLAHLLDYRGMLRLLEWIADE WTRVVDEKESGPALEKNRFHLRRAVCAFRALAERFVPEEELASVKSRLVEADGWPGQG EVKYYLEANWPSQEVGVVSGRLGFARVAGREYWSEGA
SAPIO_CDS3871	MDAARKAALESQCQSLRASLKEWEHQWSASHGGSKPSRDAIKAN PDIAKKYKEYNKLRDILSGKAKDESTSTKRKSAPEPAQTPVKRSKYVNLTDTRQKEDV PPVASTPSHDRFAPSPVAPTSIGPTPHRDGKVLGLFAFLDNGAVTPSKKDNEENRTML LETPSRANEIEDFKLGRTPTSSSRRQYLDLLVTPLKATDGNARAAQTTPQSVSKLQFG TPSFLRRKTLPVVEETEEYESPKKLRRISRKPFARGLSAIVANLRKVEESQFEDDEAA MRELEAETFAPREILFAKPTKPASDPVVPDSQAGKKTKKLLGGFDDEAEYDSPVEEQT DRGLPIKDYKKKGAKRTTRRAIMRPTFIRRPAEETVYHEDEVTDEEDAAVPETQFDPT AVPDELEDISDGGSEFAPDPAPEANEASGVDNLVKAIRAGRKRTAKALEEDHAEAAKE AKAKDKEEGKVRKVARKVNELAHANFKRLKLRNYGAKGGPGHNSRFRRRR
SAPIO_CDS3873	MSLLSAHLEQIAYSCEGIDSLPFPPPKIFTNALLSSHDITTLLR DTEPHERALFSVPPPPPPPTKPAPEETSTRSSRRQTVFNVSSGEVTTGGPPTRASARR NTAVAAVLGAPMHERLRRAELSSSSRDDVDIEVLLRGAETLCGVYELPGARRRIAELR GREADLRGTMEYYEGRVREQGEALEAMSKDWREGEEEEEEEEEEDVWTEEGLRGEEEE VRELEGRKRELQERLRAMERDLGGLMNM
SAPIO_CDS3874	MGTPFSQAQPTGNEPRVVPTTDGQTTDSRVAGTKEGVASSISSK VESSTDTDDLTSEQHDNSIEEEIRRVWILNLSMRFRNDSNREKFFVTYIQSPSHWRRV TVFLDYRNVPDTSLEADISRAKLQSDKSALIYKGIRESLQTIRFYNTVTNLRLQTVEG TLHVHVAEDSNEIIDYPSLDNFQDLPCRHVPEDAIEFDSHISGFVYQVKVDGQVLARK DISGPDHVRQFVKEIRALGDLRNSRFIIHLDGVVLSQDGKRVKGFLMPLCEGGTLDDV IYDNAGSLPWSQRLKWGYQIVNALLQIHETGIVHGNLNLSKIALDDNDDINIFGFGSL EIDTGWEPPETEQFIQHGGGLRMYQSPKSDIYQLGMVLWALASQVYDPESSAKPLQLT EEMETSEWYKFIVELCLSPNPRLRPYATTLLLLWKRSELSDSLSGRMPGAGSTAFGSS PSSMLGDSNDDDLFDTSSIKSIDTSVSANSTNSAIVKDATDSFVDLLDESMNLTSVVQ KALEQKKLDPNALRNGVRRLLKLFSRDLSSELPSPEYRDACNFFMSSSRQISYEIAAR AGIKMPSDVEKISPKEIETGTSRTSRERNKIIQVFDDESDAEEEEGPVSEDDAGVHPR ISVLREVIALSEAFQLFMSNVYTLIHPSFESRLKRVSQVVAEKGAEIDPDGSLAEIVA ELLYSQPSTITLSDMNSMSWIDAGKCWVENYTQQNWEWWPLMPTQARVPTGKAKLLWR CFEAWHKGRYTERGTGIPAEDNHEYFYLPKPMDRVPPVSKHEFYDRFYQRVRSEGCIL GGSRSCTETDAVERIPQKKHTISTAHNPRPQFYGIIAREKKSGLRMVIYILVSSIPGT IFFFLWLFRWDHESLQDASVLLLISFTLLGLLYATQLL
SAPIO_CDS3876	MNPAQPGAGQPGQGAPAGQGAAAGQARPVQLLRPEIIDKIPYLT PDEKVKFSAGLKQLWTALESLPKDSAEAAATRTRIADFSRTLIQKTQAKNRQAQAQAH AQAQAQAQAQAQAQAQAQAQAQAQAMMAQKAQGQPHNVAVPQMGIATAAPTAATTQAG EQPILPAAPTQTAPARAAPVPQPTAKPPVKVPEHILKHVNQMTFHVPPHMAATGADVA KVMNNLRTQYAKALMAMETARTRNQQIDNLIKERNEKGNPLSAEEAKSYQLVKDQQQR AYDDGRKFVEGLRRQQEALNKANLEKKAQAAAASGQAPTPTQPPVQPQAPPQIQAQPQ HLGQPQVQPATQTPVPTPAVVQKIAPSPHLQTPQAIPTPVTAAAVEAAKAQAAQLGTP TQQQVRPSAPAKPTLAAQQQAVAAVKTEQVHPSPVNTQVAAATGQHPSASTPTARVQT PQAGTPVSASARPLSMSAAVNLANQRVAQPGTTPTVGQPTANGTPVTSAAGISPASAV HTHAHPVSVQTTAAASSFPAKMPIPKQLPDKLTQPVQPVTVSGGAGHGRPTYTGGTGT AGGAISQPALPKVPAYVFESEGEHVLSKKKLNELVRQVCGGSAEGQEENLLAPEVEES MLQLADNFMDNVIATACRNAKERGSKVLEIRDLQLVLERVYNIRVPGYSSDELRTVRK VQPAAAWISKMSAVQAAKVMPSKED
SAPIO_CDS3877	MDWATTLFERYVSAYPYRPGEAGFDYEEYLDTDAVDFGWLGEPW TLRELADVVGTTGDYPEQRANIEAIIRYYEAGGRLPKAGVEVLYCFDGENVKVGSHED LTHEHVARKAVGWVDAGQTKKDVDSSPSTIEAAAPGYLQMAESVACPVDAASRMHTPL AQFRIPYQYGGDETIVINATICNDTGSRIRTIYEHDFNLLNGPPASRHTFPPQPLPIN TPNGVAMAPTVSMLIALLSAIKVGRSRP
SAPIO_CDS3878	MPGKTPHSNGNEPVENGIRSNQDVDMKEDLKGKGKKAAKDGDEE MTVVVPKTRPVKSSAPPDSDGDVAMANEAEDAEGEVKVDPVVQTATDIKNNFALLERA VAQFDARFSLRALRSISLIRKRLTPDIIAKVIIETFPATVVSGNAAKALLYAIDRQDL PLGRPLNGEVDHLENEHAKAPTKNGAKKELKEVIPEIDIFLGILIQVYLFDSKQYQRG AEFSKHLSDRIQKLNRRTLDSLSAKVYFYFNLFCEQLDPLPPSTQSPIVALRPTLLAA LRTAVLRKDIDTQATVIVLLLRNYLLTSHISQADLLVSHTQFPENAANNQVARFLYYL GRIRAIQLRYGEAHTHLTAATRKAPSSACAVGFSQTATKLLLVVELLMGDIPDRATFR QPTLEVALQPYFRLVQAVRVGNLEDFETIIADHADTFRRDGTYTLILRLRQNVIKTGI RMMSLSYSRISLRDICIRLHLGSEESAEYIVAKAIRDGVIEATLDRERGFMKSKEVGD VYATREPGEAFHERIRVCLSLHDESVKAMRFPMNQHRLELKNAQEAREREREMANEIQ DGDLDEDDLGGDFEGM
SAPIO_CDS3879	MAPPKRGRRRNGKNNDDSPAPPQPPSPIVTRRSTRHTPNSAPPV PPSTTAPAATSTAAESTSILTSEHPTSKPKRGRPPASATATEPMATTVAAPVAPKTGT SASSSSVPIRHVQTTLKWPNGGPRADATNVKATKVAKGNKATNGSHMATKENVKPTQD AAPSIPSAAQRTTKSSTATAESTKHQPPKSATRKQTSSSSTSKEPSKTLGKSATPTVE RNIDKVVLGNICFRTWYPSYYGKDVLGETASAGRPDASTAAGPSKSHARRDRDASPVL DRLYVCPCCFKYSKELVTWWEHVRVCESKGFIPGRKIYAHPKMTRTVLVPVETNGKAP KRRRGDAGPVSVPQTVQDEGEWSVWEVDGEKDVLFCQNLSLFAKLFLDNKSVFFDVTG FNYFLLVYSKPVAPVGRPNGPATMKHHICGFFSKEKLSWDNNNLACILVFPPWQRKGL GSLLMGVSYEIARHEGILGGPEKPISELGRKGYRRFWSGEIARWILSLDTSGGSASSA SPSDKKRKGADDESGEREGEEVIIDIADCSKATWITPEDCLGVLRDMGVVEDAGIGPG KPPAPVPSPDDAAAVEEEEDGDDVDGQAEKKDGEASKDKETASKEDGDVQPEPKMVPR VRITKDAVRAWVVAQRINLTRPCDPSGFVPHYLEELKARRVSVDAEEVAV
SAPIO_CDS3881	MSSARFASRLMASASTKVARPVARTQLASAASKRTISGLNSPFQ AAKRQQINVAARQAFANAGARRAYSSEIAQAMVEVSRNLGMGSAAIGLTGAGIGIGLV FASLISGVARNPALRGQLFSYAILGFAFVEAIGLFDLMVALMAKFT
SAPIO_CDS3882	MDTQSPIFIGSQVGGSTVVNYAYTDQPWKLLAWDVYNFFRYLPA FPWVVAPLRPYDSGHLSELSPTPRNIWCLFIHSILFVLQAGFILSLPLALFLPVWISI VAISTFFAVNGAICSLLNGKGDITYTSDEKYAPALPEHAHEQWIFVNGVSVGEHWLKN NLNRLALTFKRPVLGIHNRTWGILFDVVECLVQRNLGYATYDTRVCYRVVKEKLYDPK LSKVVLILHSQGGIEGGMVVDWILQELPQDLLSKLEIYTFGNAANHFNNPHRHLVSQQ LAKLDPDSAVETMTTTITQSSPVSSPTGEDFSSSPQPQSKRNSMTSIQTQSAAHDRAI AHIEHYAHVTDFVALWGILHFATSSLASATVPRFIGRLFIRSSKRGGHQLCQHYLDGM FPLEKDSKTGEFVGAAESSEFMDSIVQLGLEGDASKNAREAFSISWLGDDGFGSGSVL DEVDVHDDTRMKLQDGKVVRVKDLSRLWLYRNGQCPEDFPPLLIAEPEDLADGQEEK
SAPIO_CDS3883	MSNALDSFQHVHDGPLDLRVEDYLDDKLQSTTDLDTLDELLAKV ELQQSQLQSQLDNATKELEDAKRTASERQDSLSSQIQEFQDLQHSIDARLKIATASDA PSEAITRLQVPMKKLRAVKIAQKYLELLQDVDHLRAEARSHLPESPKAALEPYTKLRK LAIRLRELQEPADYAAVHLVTHVTVVTDMLWDEMKKTMSKEMDAVLQARGWPNRIDPA HAEMDEEWLICFDKLLDLQLPELLYTDSVISLLPFDVMCRIFISEFRFHFLSDKPTSN PQSINTHCFPWLFALLHKWEDFFRDNLGPSLAAKFRDTPAADRMVYVDPVCAFITSML PVMREKVKATMQEAVRSPTFLSSLMGQVMDFDDTIRSTFNYDGGEPHGWGGLTSEILD EWFEPWFQAEKQFALERFRAIMDSPDARNIDYNYGGLGKTKPTYGATRVTDLLRSVTA QYRRAPKFKHKLRFLIGIQLDVLDEYHDRLRGSLEAYGAINSAVGRTLHGVTKDQLAA LEGTGQFETLCKVFGSSDHIVATLTDWSNEEFFVSLWDQLQSRSKGSEEQRVFSGEIS YQEAKDRTSTSMGSNTDDGGLFDETIAAYALRRKTARDFLEAALVESHNKAFRPYLSR THWTPASEDLTIDPSQLAITAQLDEPLQILKRNLNFLVRALGTAPLRRVWRHALEKLQ DALWSDIVTRQNFTALGAAQFTRDLEAICVLVEKAIPGSSASLGTVLEGAKLLRLPVV VAEEGAGLSLKEASDRVFTDNTEAKKVLEELDIEILTPANARHILQRRVENIE
SAPIO_CDS3884	MTEVAVADPEHTALQTAKDLFAGAVGGVAQVLIGQPFDIVKVRL QTSNQYPSAFSAATSIWKNEGALAFYKGTLTPLLGIGACVSIQFGAFHQARRWLEQRN AAAGSPSALSYSQYFAAGAFAGVANSVLSGPIEHVRIRLQTQPHGAARLYSGPLDCVK KLGAHEGALRGIYRGEAVTIWREAFAYGFWFLTFEYLMNADARRNAIDRKDVPSYRVA FYGGLAGEVLWLGSYPFDVIKSKMQTDGFGEARKYSTMRDCFAKTWRAEGVRGFWKGI GPTLLRAMPVSAGTFAVVEMTMRAIN
SAPIO_CDS3885	MSTYEVPEKIPPASSLLPPKNNSPSETREENVNTGFPRETCSSP SISLPRARPSYQRPTSSYSLPELYEEWSAAPTKPPSGLRHYHTRKVKLVQGNVLSIEY PVPNTAITCDANDFTLKDGYDLRPRMYNRYSELLVCITYYNEDKVLLTRTIHGVMQNI RDIVNLKKSTFWNKGGPAWQKIVVCVIMDGLECCDRDVLDIFATMGVYQDGVMMRDVD GSTTQAHLLSVTPSMLLVRPRDDNPSTLPPVQFMLCVKSVNAGKINSYRWLYNSFCRI LNPEVVINLDTGTKPEPKSLLGLWEAFYNDKDLGGGCGLVRGEKGKGGRYLLNPLVAA QHFEYKVACQLERALESSTGYISVLPGAFSAYRYRAIMGQPLSEYLRGDPTLHQHLGQ SAPSNVLQLNRYLADDRILAFELVFKAGHKWHTRLISNAEAVTDVPMNTTDFINQRRR WLNGSFSATVYSLQRFYLLFKSSHNPIRFIALLVQMLYNLAALLLAWFSLAGFLLTIS VVSDIAGNPPEDAPVEGFPFGRATPIVNAVIQVTYFATVILQFIFALGSHAKTHALHY AVSFAIFAIVQLYLFMNLIYLSKRLIDFKADTNGGSSYNYINEYYTDVGPLTVLVTAV SLFGIYIAAGLLYFDPWHLLVSWAQYMFISSSYINILKTYAFSNIHDVSWGHKSGKKP AAAQISVAIKTNSETEAPIEEVDRIQGDIDLAFEQTVRRALSPYDREVAEDDDPQEKF MRFRTTLVAVYIFSNFLVCLIVMNDSIRSFSFLGDPYWHKIWFFRIWMWGNSSILMMQ FLGCLVQRFTAMVGCCFARR
SAPIO_CDS3886	MASSSQTGPTRISILGKDTIVVDNGIWLNLVAIDLLQHLRTSTY VLITDTNLFDTYVPVFEQSFRKALATRTFPTTPRLLTYAIPPGEGSKSRETKAEIEDW MLLQQCTRDTVVIALGGGVIGDMVGYVSATFMRGVRFVQVPTTLLAMVDSSIGGKTAI DTPVGKNLVGAFWQPERIYIDLDFLETLPTREFINGMAEVIKTAAIRDEAEFRNMEEN ASSILASVQSRNAERGMSRSLAPIEGILKRIVIGSARIKADVVSSDEKESGLRNLLNF GHSIGHAIEAILAPQLLHGEAVAIGMVKEAELARHLGILAPGPVARLTKCIASYGLPT SVHDKRVVELTAGKQCPVDVLLEKMAVDKKNEGRSKRIVLLSAIGQTFEQKATAVEDS AIRVILSPAVRLRPGVPEDLNVVVTPPGSKSISNRALVLAALAKGSCRIKNLLHSDDT EYMLAAINLLGGATYSWEDGGEVLVVRGNGGSLHASSEPLYLGNAGTASRFLTTVVTM CKPSGSTSSTTLTGNERMKVRPIGPLVEALRSNGVGIKFLGKENSLPIEVDAAAGFSG GSIELAATVSSQYVSSILMAAPYAKEPVTLRLVGGKPISQTYIDMTIAMMSTFGIHVR RSQTEENTYHIPKGTYQSPSTYVIESDASSATYPLAVAAITGTTCTIPNIGSASLQGD ARFAVDVLRPMGCSVKQTDNSTTVTGPSPGGLKALDHIDMEPMTDAFLTASVLAAVAQ GTTRITGIANQRVKECNRILAMREQLAKFGVSAKELDDGIEIEGVQRQCLKEPSGGVY CYDDHRVAMSFSVLSLACPEPVLILERECVGKTWPGWWDTLSLRFKAGLGGVDSSPSH AEDTSGAPERPQSIFIIGMRGAGKTTMGRWAAQVLGRDFIDMDEEIERRAKTSIPDIV RGPSGWKGFRSMELSVLRDFIGHRGRELVVSCGGGVVETPEARELLVAFHKSGGLVLW VHRPLEDIVAYLSLDKSRPAYTEDIPGVFHRRRPWYEECSNFQYFIPDLQGTGTVGQI PPNFQQYIQYILADSHHLDQVIHKPQSFFVSLTVPDVAGALQVLPDVIVGSDAVELRV DLLRDQSVEFVEKQVALLRAATAIPIVFTVRTVSQGGKFPDTAYSHMRQLYLQAIRMA VEYVDVEVTLPADIIQEVVSSKGRSRIIASHHDPQGHLSWRNAAWVQYYNKALQYGDI IKLVGLAKNLGDNFELARFITRVASSRRTPIIALNMDRHGKLSRVLNRFLTPVSHPSL PSKAAPGQMSAVEIRQALSLLGEIEPRQYYLFGSPISASRSPALHNSLFKLTGLPHTY SLFETNDYESLKTIIRAGDFGGASVTIPLKIDVLDLVDEVTEAARLIGAVNTLIPVSK GDTESLFIRGDNTDWMGMVHTLKTAGLEDQPSGSGHPSPGLVVGSGGTARAAIYALNS LGFSPIYVVARNPDRFKELATSFDNSASLKHLTDPGQILHSSSGRQPCVIISTIPADR PIDPAMREILAAVIGTPAPAGKPQVLLDMAYKPAVTPLMQLANDANCGWVTVPGLEVL SSQGWYQFQLWTGITPKFSDARAAVIGEL
SAPIO_CDS3888	MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G
SAPIO_CDS3889	MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVESYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERN
SAPIO_CDS3892	MKFNIEDLEVLFPYPRIYPEQYAYMCDLKSGNCVLEMPSGTGKT ISLLSLLIAYQQHYPSQRKIIYCSRTTSEIEKALAELKALMKYRAEQRGQNLDLLEPH NLIPNGVWTLDALLAYGEEHKQCPYFTSRRMMQYCNVIIYSYHYLLDPKIAERVSREL SKDCIVVFDEAHNIDNVCIESLSTDITEDSLRKATRGAHNLERRVAELKDTDQEKLRN EYEKLVEGLRGAEESRREDAFMANPALPDDLLQEAVPGNIRRAEHFVAFLKRFIEYLK TRMKVRQVISETPPSFLAHLKEHTFIEKKPLRFCAERLSSLVRTLELTNIEDYQPLQE VAIFATLVATYERGFLLILEPFESDTAEIPNPVLHFICLDAAIAIKPVFDRFRSVIIT SGTMSPLEMYPRMLNFSTVVQESYSFQVRNEPGVVRNYGTLLTEFAKITPDGMVVFFP SYLYMESIISMWQGMGILDEIWKYKLILVETPDAQETSLALETYRTACCNGRGAVLLC VARGKVSEGIDFDHQYGRAVLCIGVPFQYTESRILKARLEFLRETYRIRENDFLSFDA MRHAAQCLGRVLRGKDDYGIMVLADRRFQKKRAQLPKWINQGLLEADVNLSTDMAVSN ARRFLKGMAQPFSAKDQEGISAWTYDDLMKHKEAMEMEKIRELEAQANAPPKLPTVLD DEYGIDDGLDDDLMEIDGF
SAPIO_CDS3895	MSFNPTSTLLCVSSVSDTVHIFRLANATTSSSSSAALHAVSGAL ESSTSSRNDRWSRPRSHDGSGDSPTGSAAGSPVSDAADIPAPTSGRGGSSGGATRRQS GSFSSILRRSSQIMGRSVAGVVGSYLPQTVTEMWEPQRDFAYIKLPKSSASSSTHSRA TTSTTQPAPTGPLRSVVAMRSNSPEVMVITSDGGFYVFEIDMETGGEGRLTMKFSVLD GDDKLDTLSYGS
SAPIO_CDS3896	MESHKVTLYSPLTPFFADQSHPTSKKGEKVMFGQNGWLERTGET PEKKRDVQKRSIFEIVKKIAKEMLNNGRLDVAKLKKISDAWTQKGRPKVVGFRFDLET QIELIRLHIHEFRFYGQRQNSQAEISGLLHTMKVNARAMGVRTYCQPDVVIAKQLVEA QSFFDLLGVPEVQHIALQHVAQFFKVIVEREQHKANRPGNDESLAVPDIVNAQWEGPS KEISKSRR
SAPIO_CDS3897	MADDKKPSHGYGTAEGYHIPNEDLLDPIRGDFVTVSPRATPQSS SPQRHPLLQRVQIRNGALAEGGRQLKGHTRKFSLPRVSNQPAISHPEPQFRNSERASP FGDLGGTAHDSTAAPRGLAVEPHPRHYAAEEVPQKAQAPFRTPAFAYTERPSPLSLGN VSSDRRTTLAERRHQVPPHSLGTGGERSLGAHTRYNDVDESLAEGTRAQRPGPQRTDY V
SAPIO_CDS3898	MASAPEGYYDIVIVGAGPVGLMLSTSLARWGYKIKHIDNRPEPT PTGRADGIQPRSLDLLRNMGLKQPLMKFKPAKVYEVAFWDPPKNKDGIIRTGTWASCP DFIDARYPFTALIHQGRIETVFIDDLRENGVEIQRPWTIKGFTSDAKSNSEYPVEVRL EHVDGLARETVRAKYLFGGEGARSFIREQLGIAVRHKDPIEHVWGVMDGVVKTDFPDI KMKCTIHSHHGSIMIIPREDNMVRLYIQIASSSEPDWNPRKTATAEEVQASAKKILQP YSIEWESVVWYSVYPIGQGISDRYTLDNRVFLGGDACHTHSPKAGQGMNTAFLDAVNL AWKIHHVEQGFASRDILNTYEPERKEVAETLLSFDNKYAKLFSQRIPQAKEVEMASRT EDQSADDNEFVKTFKESCEFTSGYGVAYGPNVLNWSPSHPAKSTLIHPAGTKLRTGRL MINADVTRVVDANVIHLEHAVPFNGSFRILVFAGNPSKTQTALRDFARALERKTSFFT AYRRADEATVTHHEKHNPHSRLYTFCTIFASRRSDVEIVRDVPGVLARYRDHIYADDR WDRRVPSAKAAAHAKMGLDEERGGVVVIRPDGYVSLVTSLVEGTGTADALDEYFGAFS TKTLGDITAQL
SAPIO_CDS3899	MEPLPGRSRLEPKPPFYADIEGSPDRRPDTHGTEVEGSSTSKSW KKQFRAPNRYGQTSIPKTAAFRYTNRGVDVEAYSSEPHHRSTDELAVESAQRFVDPIV RIHHNWKLVSARFGKHLERQAHLFFKKKPALYGKRLVYLAQSQPKPAVPSNLRPALKK PSAQAVLPRLKKKAVLIDTPQVRLISPLGSPSPAHVATTEPVITIIAPAPPPRRDRLP LVVRPPTIILFSENAGIGDEPLGGKQPRGRKHRRQNNEEEFQWEEKGSSLGTESVEAL SHAGNVDPPEGQVEQGMQTAVKAAAKPSTMTTILAHLEGTRQLPGQIAAIATRRIRSL FERRPHDDETRIPQPKRRKLSGEDDPSPDGPTPDAPTGDEPSPKEPSVEEPMPDESAP DEPTADEPLTDCDQLATSGKPDEVALTPKNAKQVWTSPKDLAPLGDGICELLDLLSSI YSDVDHSFNGSEGPKTPTTTFIAGDSFLPYLQSLVYNDEKAPPLDGISYSERRLLLCR AIKKAESVLPRLYTTFVLSIKTAQPTPTTNILPELFSRTAQREFRFLRSFLSKLSDIC HETISPQSFPDTTMEVVLKDLDALANNRLMPSLYRLVPSAPRETPTTTAATPSPIPQI ADPESPAPPITPTTITLPGSFPMEEEEGEEKDVDIKTEIGDASKESEEQLPRNQARPP QQNPPSDEAIRSRLLTKTRVQLITERARRIGKRYQVLPRRTFDVRTQTDFGREASPAM VRPMPQINNQKRKEAAAPRPLIDQRTRIRLYQESQKKIRKSSKPYLSPLECQLYRAAN AQFNGGDPGEPDKVVLASSQEALAFLWRSGKDKSARSIGTPAPDPKDLLSCFSWCQAN PEDQTHPPETLADDLPNVVDVVESLGELKVSDLVSDRQRQEREEEERKKELERQRAEQ EERRRAEEQRLREEHELAQRLRAEEEARRQGRLRAPNCPLFPQLSPDWSARVHDSYSK HSRGAVAKSLEAELLQHDFARLVPPTVWLNDSVVNGSLLWLDKFINEAAGVTDVKAQT RKCLVLGSFFYKRLSDQGVTQTERTLRRHGINKNNFLDMEILLMPICEGNHWTLMVIH PKRRTIAHVDSLNPRGSEARMGLVQRWIKAVLGDLFVESEWRFVKYPTPEQTNGYDCG VHTILNGMCLALGLDPLQTYSTDELPSHRENIAAVLLNSGFRNEFSLAEC
SAPIO_CDS3900	MVVSNLRRQSPSSTRSASRESSPRASSILEGWDFSLRTMMPNSS RPPSGAFLASTYNPPTLAEILSNTSPPPWTLSAFMAYLSQNHCLETLEFTMEAERYRA EYNHVFVNRKTVVHDANEYMCSLWAKLMEAYIVPCSPREVNLPCPVRDRLLSHSCSST PPHPSQLDEAVNIVYELMNDSVLVPFIESVSPFFSDHRSEDNVPDRRHSRSRIRSAKE PSPTRREASRSPKFLPAVLGGRANRSASASVEAVDRAGLTDDSGSSTSPQATEPMTPP MTPPTSEWTFAPSPPGGLQRALNAHNNGWKKVGAKLGLEHSRLATAPRPSERQEQSLE LTWEEPHPGQRLPHVTESALPSWGERCARPDGCYLQNGGECRGGMAAKVPMNHRSPQY RIQRPSFVKRDIRVRKHPKTPFLQVEEGGRRKLIGLGLSDAATRTTGSLISDAFPRMV AGHTADFDSETDASIRSEATTEYADETLVPPSPSSPSSHLTVTGLSHSVEGLPAGGSS GPKLLGADAFGDAGPGDVYGWEAELERKLVMHGREKYAVVAQPLATTVSWRDLGARRL FQRVFSLSGSRATDGFDAGRRASMAN
SAPIO_CDS3901	MPRAPVICVSHGGGPLPVLGDPNHKDIVESLTKRVPKVLGLGTP RAPRAIVVVTAHWQEDHPSVSSGAKHRLYYDYYNFPKASYSLKYDAPGSPEIAAEVGR AFEAEGLQPELNPERGWDHGVFIPFLLINPAADIPIIQVSILAAQDPPSHFRMGAALS HLRASNIAIVGSGFASFHNLGILRYLRAGGTAAHGAFKTRSDEWNAALSDAVGSTTRT ERLRKLERWRELPNSFEMHPPGGADHFMPLLVCAGAVGDEEVRSYRDEWMGIDIWTYF WGADPVE
SAPIO_CDS3902	MAPVPRFRLVRRWALPVTAGLVGGAVFYSYRPREIPGFEPPVVP HPIYGADGTFKLPRFPRVKSRDEQIARLKKSAESKDDEYDMLIIGGGATGAGVALDAA TRGLKVALVERDDFSSGTSSKSTKLVHGGVRYLEKAVWNLDYAQYELVKEALKERKYF LRTAPHLSMWLPIMLPIDRWWKAPYYWVGTKLYDVLAGSEGVESSYFLTKSKALEAFP MLKRTDLFGALVYYDGAHNDSRMNVSIAMTAALYGATVVNHMEVTQLFKDENGGICGA AVSDRIPERDRRKSQDIAIKAKCVINCTGPFCDSIRKMDDPTLKDIVSPSSGVHVMLP GYFSPANMGLIDPSTSDGRVIFFLPWQGNTIAGTTDEACDISPNPLPDEKAIEWILNE IRHYIAPDINVRRGDVLAAWSGIRPLVKDPKAKNTESLVRNHLIDVSASGLLTCAGGK WTTYRQMAEECVDTAIEEFGLQTKPFENAPSVSGTDVVDDGAILDGTCQTHNVRLVGA HGFSKTLFIPLIQHFGVETEVAKHLTESYGDRAWAVAALCQPTDRRFPARGERISQLY PFVDGEVRYAVKHEYAQTAVDVLARRTRLAFLSAQAALEALPRVIDIMAQELNWDKRR QDLEWKETVAFLESMGLPQPMLSATRKQVEQGKLDFASSLEWKMYSRHDGPTDI
SAPIO_CDS3903	MELDEKSRQTAILLSTGFVSLFSGFLLGVYAIRGYLISPALADE RRRYHKDPVESEESDIDEDDTILDHAPNWANGEEADKRDGLRSGESKKSKESEDAGSS KPSAAVSMGNPNEECKLVLVVRTDLGMTKGKIAAQCSHATLACYKTLARKAERNPNSN EARLLRRWESRGQKKIAVQIKSQDDMMDLMGIARSLGITAEVIADAGRTQIEAGSLTV LGVGPAPQSLVDKVTGHLKLL
SAPIO_CDS3905	MSHSHSHDHGFGGHSHDHSHSHSHDIRFSAAEHGHSHEILDGPG SYLVREMPIVEGRDWKERAFTVGIGGPVGSGKTALMLALCLALRESYSIAAVTNDIFT REDAEFLTSHAALPTPRIRAIETGGCPHAAVREDISANLAALEDLQREFATDILLIES GGDNLAANYSRELADFIIYVIDVSGGDKIPRKGGPGITQSDLLVVNKIDLAEAVGADL DVMDRDARRMRDGGPTLFCQVKTGKGVEHVVNLILSAWRSSGAEAVSKARGGPKPTEG LDALKAE
SAPIO_CDS3907	MEDPTKAVAEKPKSKTNRPGNSNGAVVARKSRADLIPKHERVRA QRHRAANSEYGRGTGLDTRKIKDRKLRRNLSALENKFKDAAIKAKDAEILHENAVGFL ETEHELERTYRVRQDEITEHAAIETAQKRFDLTLDQLGPYVFDYSRNGRELLLGGRKG HIATMDWREGKLGCEINLGETVKDVKWLHNNQYFAVAQKKYTYIYDHNGMEIHCLRKL MEVTHMEFLPYHFLLATMSTPGWLKYQDVSTGDLVSEIRTGLGAPVSLTQNPWNAILH AGHQNGTVTLWSPNSSEPLVKLLAHKGPVRSLAVDRAGRYMVSTGQDLKMAVWDIRMF KEVNSYFTRQPGSSVAISDTGLTSVSWGTSTTIWKDLFSTEKVKSPYMSWGGEGKKIE RVQWCPFEDVLGLGHDEGFSSILVPGAGEPNFDALEVNPYENKRQRQEGEVKALLNKL QPEMIALDPNFIGQLDLRSQKQREAEKDLDTTAPDFVEELRNRTRGKNSALKKYMRKQ RKRNIIDEKRLRIEELWKEQQKQNSQRQEEQQAELGPALSRFVRKE
SAPIO_CDS3908	MSSTIYHAMNSVAWLKKNCCELIGIGQHYVDFANGVSGHHRHML AFLETMLASQFNFHSNLLMQHEAQSTLAISKASQNIAAASQKDALSMKTVSYLTFVQA NKTLASASSGFSFFGGRETKYQDAADLFIQAANSFKMQKQLREAGQAFEQAAEIQTKY LNDPDDAANTMVDAFKSYRTVDADAASRCLDVAVQRYCVKGNFRRAATHKESLGDLYE NELNDNNKAIECYEAAAGWYEGDNAIALANKLWLKVADLAALEGDYHKAIGNFEKVAK ASVSNNLMKYSVKDYFLKAGICYLATGDLIAAQRAIGGYAELDPGFASQRENLLLNDI LASVQAGDPEDFADKLYMYDQVSKLDKWKTTILLRVKNNIVKAEDEGGDDEFA
SAPIO_CDS3910	MVRNRASGASLRETVDLTGIMTMAEKEDLVILISRIMERMSRQL GESFDAAPTCVDRAVDIVNEWVHVTVNKDESPTQTGDEQNPAKGNDDPAVTIPVTSNE PGRASGSPPAVENQPKSVVDESSSRLSQLKKELVEAFEKWQRAVLQKIREIHITQPAQ SGHAPENEGPGGRGRGFRGGRGGMRGGRGRGGPAIQTTAPLRPPPFNETDPELIQRFR PSPTPLWQLPLWNRKRLLHIVLLLLLSMEDYPSYARLLMLHLTSSLQLPLRTYQEDEV HVARCLGKLAAAFDPDEAMKKFLEQKAARRGKGNGQAPVTTPLSVVNKASPIIMAEMG TPLGAGGLAKTAATGLLGYFGFVPLHGDDQNVLQKTQDVLPSDRRLQLSWVLNGWLTE ESSVTKSWKCLGRQAETYAVRWEVSALSSLGIALETAVGSAAWARAHRELRSRSGMDV VRSGQWPSSILKINKIVDNAWNVGMVRADKTGLILADTIQRKVQGGRPINLLGHSLAA RTIYVCLMALAERRQFGLVDSVVLFGAPAPSETHVWLTLKSAVAGRLVNVYSDRDYML GFMMRMSNTNFGVAGLQPIVDVPGVENYDASTFVSGHLRYQYLIGMILRDIGWEDIDV KQVAEDQATLAEMDRKFGTTTLPTPLGRTEPTTGNADTANEAADNENAGSETASTDGN SSRGGRGGRGGRGGRGARARGNGRGQPHAHGGEGRGARGAGGSLRRGRRGDRRNGGQS RAGKNIFQP
SAPIO_CDS3911	MASHGQVVQRSAATTSQAQTVVTTETQTPAPPVPILRLRGAHAS RRRVQWTEDVVDNEGLGRKSSKVCCIYHRPKGVDESSDESSSSSDSSSDSDSDADRKP ASHKDHDHDKCPDHGDHGHDHGRRRRGGKERRKRRPSPNAYERVPKQKPQPKPEGDGS KGAEPGAQKS
SAPIO_CDS3912	MEGASAPLADYFWIAGVESIAYNDAPLPTQHVESTIAEDEQEDT CDALNGNSRATARHSRQSSAHRISKLSNDARFSIHTLEEVDGSTRSNRSSATIRPTNN TQPANANANANLTVPSAEGALTMSDFDFDKALFKFAAEREHFLEDLSFSAGAKLQSRP PMVNPRAERIKADENDGPSRRSPLRSIKGSIKGSIKGSIRRKMSFRDMSSTRKQPITP KPGLSGLAHITTAVAHPATTIPIPELEERPRRADIDPSVASIRTAKRLSHYNSVIPPP EPLNTDPDMHPLKRRFEPVLLDRYPPSNGSDEISRRGKFPDYVPMFAFPNDIQIVSSD DRPRSTWHGFTMTSDNNTKIYGITVIIWTALTADVAEEVEQRCEAWRQSHMSNEEREL AASLGVRLAGERTHLSQLLAKLPQIPSGSPARETLEDQISSVEEKITLMTEMLRPLRH GAASKIDGLTTGESGLWVPRAYGILGRDATRMTFWKEWLRAVIIPMMDGAVLRVPPSS PKVGRWQPMERYVVNLCIEAFSPLGSKTQVEIGVRELRLYARQEAANEIPGSRSIDIY ALFRCLSLENVVALFEYAMSESRIIFLSSHTAMLHLACHALASLLYPLKWASVFIPVL PARLLSALDAPCPYVVGVERRYERIELPDDDYVLVDLDKDTIDATSQPIRLPRQQRRK LMSLLQVAAPAKLRYGVASGPPPYAMESFPYDAFSVEDGTIFSPTPPDGTLGKWAAQN SAAFGEDDEQAKIRSPLFNAFSQVRPDSKSDRPGTSRSTRTSPPSSVSPMSTSFPPMP STPVSRSDSGFAMAATLREKRSGHFDDKSRRSSSFGVERHGPLHRPSLPFLNGHSASM SISAISVDSVSSYGAGGCAGYAPSAYAQSTLAASTIMPNMMVQPVQNTESTAWVEGHC FDWCEEVTASCSVCDERAELDGIYKCSGCGAYSHGRCLGFVCLVCPRAFHADRVRVAF VRCLASLMYTYRKFLGRPTREQRNNGQLYAFDMDGFVKSLPYEQQDYAMMMRETQAFN EFIHERERHPASDPSIKLFDEILMAKKARGRHNLSSGLSRLSVIRASHGASVLGPSIN IHGPRGSKTTTYLMDRSDHIWRTASVPMPSAKFNGDYRAVVSRVPSRLDPSFMMEPRS IQGVPRPEQRKRGLVRKQVPSMLGMLTPNMS
SAPIO_CDS3913	MPNTSCDFEAAGSADSLSLLQAGYFNLKRRAVGEYLGLIEPKAK KKPKAEAEAEEDKVVEGALAKLDPAELEKLGLSRDDLIPEKDTSERKQEVPAEVQPPV CERCQDLTHYNKGTPIFHPSVHSIAEIIEESPHKYNHIYHLIDAADFPMSLVPRLHNL VGDVNLRSLNRRSRKGKFYGGRRIDMSFIITRSDLLAPEEKQVDALMPSLRNVLRDAL GRVGRRVRLGNVHCVSANRGWWTKTLKKRIYERGGAGWMVGKANVGKSSLFSVVFPKG HMQAPQQHGGKDLASLLAEMPFPQTAAAEEGPSKSVIEQPTELDIGEWLPPPRPETNY PAMPTVSALPGTTASPIRIPFGNGKGELIDLPGLDRGGLDEYVKPEHHSSLIMKRRVK PVQESIKPGQSLLLGGFIRITPRTPDLVILAASFTPLETHVTSTEKAISIQKQEGHLS VENIAVPGTGEKIDRAASLELSYDVTKQRAGPLTRKNALNLKPQSLAFRVLAVDVLIE GVGWVEISAQVRTKDYPHEGREKEEHLIEKEEEEEMKNEEEDPWEALERAVNPIKVAE TKKPSPPTPPTKPEEPLWPVIDVFTPEGRFIGSRQPLNLYLLNKARTEPAKRLRRSMK GAKKREKMAKRAARAAERAG
SAPIO_CDS3914	MTGVGSRWNRLHRLLSLRLGPGAAVLPNTITRIHLEFATSAGAG HVGPRKFWKECVRRLKFHNPSIPMIVNRTPSATSPAKMTLYFKTDAPKPPDAPAVRIQ PGSSGIGESTAQPPAWDERIVQIDMKHKHSDDILAAFMHETKAEPVMPTAEDEEALRE FEKLDELAAKDRARMKKILDEERKAKAMLRRAKAGALA
SAPIO_CDS3915	MTPWPIRRDSHTTSDVLATIPQVHAVDIPCQSHHFRPVKFADEK HDSSSSESDLHPSRSRKAPRRPRHSRSISNPFPSFFTSGKKKKPDSYEEEASGSDDDD SGTSSQRRSKESSRRPSQHGQHARYASKDFTSGFCMTCGSSTRWPKELHTFRCTVCLT INDLVSRAHPEARDRSVGWPRGPAAPSGQQSPTIQTSHTPPAQDPADPRSVKTISTHH TKWLVRQCLRSFLKSSLDVKENKEGLGLHLHAVHSEGLRSHVTIHSDKGVTNPVAVDM NQPGVGSLSATSPTYPPTSVFDESMLSPTSTTFDHANLRSYSSSYPERPSVDAGIRSG SERRSPRHPSPSGPARPRIFKPLEDYIVASFSSFECVNSSFSTRRHAVPARSDSRPVN SHIHHRRRESAPTEPTINNLDPKMLMVGDIAENGAWWSGGQDNYFVRRAASQRQEVSL SRVSHRSPHMDWDELRDWYSTVINAAEGWRNVYNDIVSEHQSQESFTAPSGERLKDIE FELLTAQMHTQRVLLKATDNLLMRPGRPISEPETLRFLLITLHNPLLYPNPPSFRGWL QSSTPEKAPKTRSPPPRKMSARVIPIQYATIIKRILGLLSQSSVMCHNHIISWFARLP RSHFKQIKDLVAGFLTYRLLRPNRAKPDLRVDITNGLVPSLGGSESTTAQLHAALGDN SALQNKGADQWATCSEDWQVRAAARVMAFLFAANNLPVSRRESLLSGSNAALEGQPTP RDSIQTHGQILPTSDFYNTFVDGTDLIADFETWEFKRGFSFCQYPFLLSIAAKTRVLE HEARRQMLTKARDAFFDSILSRKNVNQFWVLDVRRDCLVEDSLKGVSEIIGSGSEDIK KSLRIAFAGEEGIDHGGLRKEWFLLLIREVFNLDNGMFVYDEDSGYCYFNPNSFETSD QFFLVGVVMGLAIYNSTILDMPLPPFTFRKLLATGPAPAPGSAAHPRPLLSYTLDDLA ELRPRLANGLRQLLDYTGDVEETFGLDFAIDTDRYGTTVQVPLVPGGADRPVTNENRR EYVDFGNALSLFRPEEIELLVRGSDDALDIDALRGVAEYENWDTEKPDETEPVVQWFW ETFAKAPPEDQRKLLSFITGSDRIPAMGTSSLKIKISCLGDDCDRFPIARTCFNMIVL HRYASREKLERLVWTAVRESEGFGLR
SAPIO_CDS3916	MLIPKADRKKIHEYLFREGVLVAKKDYNLPKHDDIDTKNLFVVK ACQSLDSRGYVKTRFSWQYYYYTLTPEGIDYLREWLHLPAEIVPATHIKQQRSHAPPR GMMGEGERERRPFGRGRGGDRGDREGGYRRRDAGEGKEGGAPGEFAPQFRGGYGRGRG GAPPS
SAPIO_CDS3918	MSDEHGDELVTKPFKFVTGVDSRFPNQNQTKHCWQNYVDYHKCI NAKGEEFAPCRQFLLAYKSLCPSSWYQRWDDQREGGNFPVKLDQ
SAPIO_CDS3919	MQPSLALRMFRPTGRMMKAAEPNTPGFAGKVKKVPAELWPLAAV VGFALCAAGFSIGRKFVVDKNLRLARQGPGKDEHGEEHH
SAPIO_CDS3920	MTEARWKATVYVGGLAPVVTSTHIYDAFIPFGEIVDVSIPKNDK PGATEPHRGFAYVEFEEAEDAKEAIDNMDQAELFGRILKVSASKPPKSAEGGLNSRTA VWEQESWLAEHAIGEEDKMAEDKAHNGDHPMQGLEGLDVAGPKPE
SAPIO_CDS3921	MVRLREIPRTAAFAWSPGTSKPLLVTGTRAGAVDDDFSDETKLE IWDLDLDDEDQGLELQPVVSINTDSSLNISFYDIAWGPPDEDHPRGIIAGALENGSLD LWDAKKLAEGASDALIARTTKHTGPIKALQFNTLKPNILATAGAKGEIFVYDVNDPSS PFRLGTAAARADDIECLAWNRKVSHILATGGTGGFVTVWDLKTKKPSLTLNNNRKPVS AIAWDPNNSTKLLTSTPDDNTPVIFLWDLRNSNAPERVLQGHEQGVLSLSWCQQDSDL LLSCGKDNKTIVWNPQTGERYGEFPEVTNWTFLTRFNPHNPNLTATASFDGKITIQTL QNTNPSTSQAAAQNSLDGEDFFTSAQTQPQDTFFSLPKAPKWAERPIGASFGFGGKLV IFKKVEAGGKRSSKVIITHFSADTEVSSASEKFEEALQSGDISAICESRIEEAATDED KADWKVIQTLASENARQKIVEQLGFKQEDVTNGVATTDPKTEEKADEGAKSGLFEEDA EAEGDGDDDFFSKGAAATATDNGPFQILGDEDSDIDKEITKAIMLGNFAKAADLCLKE DRMADAFLIANCGGQELVDKVQAAYLAKKDGSPSYIRLLSSVIAKDLKDVVNNADLSN WKEVMAVLCTFSSPDDFPGLCEALGDRIITQGARQDASYCYLVGSKLEKVVPIWISVL EEAEKAGLSESKGGSSFSVHAKTLQDFIEKVTVFRHVSKFQDTGAGATSEWKLAALYD KYIEYADIVAGHGQLATAQKYLDLLPAEYPAAEIAKNRVRLATKKAAPQPAAKQAKQT TSRAPSRVQPAFGYQPPQPAPVATPIAPPAPAVNPYAPPSVTPYAPHAAAAASPYAPP APSGYQPPSVQPPYGAPTGYGPPPTNFGAPPRKATPSKPPPSQDKNMDNWNDVPFVTK APPRRTTPSVPPVTLPFGTQPGQPGPPPPGTFSRTGATPPPPPPKAGSGPPRVTSPLA GPPQTFGHQQPPRPSSTAASAYAPPPPQAGAIPSPIQPVVPRVASPYSAPPTGPPPSN KYAPAPSPQIQSQVHQPPPPAHPYGAPPQQQGPPKNPYAPAAFPTAPEQHARPPVCPP PSSRPPPPASGPPPAARPPPPSAQQPPRAAAPPKARHPPGDRSHIPANAQRLVDILTR DMQRVAARAPPNFAPQVKDTQKRLGLLFDHLNNGELLKPDTIEKLSELAAAIESKSYD AASSIQVNIQTTKTEECGNWMVGVKRLIMMSKNTPE
SAPIO_CDS3923	MVSIAHLRTLFHLVPTNQVAHEALLHADNVRFVSNSRDGRPGLE VGYHVPSIPAGHIITRLGRNADLILHQSNPTNPMSAVHVAFEVNPATELVVLSVRSKR LSSVRFAPRPDEDAMDITDDIPGSDEPGQEITGDGVILYGQDYKIFIAAYRFELIWRI KGIDALRSLTVQGYQEALRLLKDVRSRDCPTEPVDSEVLSWHMTRLDTAKGPLFKDIA HLREEAHIIEYLGHQHFHTPRPEIFMPLREGSLTSLINKDSAPSHDDLCAAVLRQMLS ALDYLASENLMHRDWKPDNILYYTLPEGGFHFQLADFGLANYLLVAKTPCGTGYFQAP ELRPETSKVFAPQSHKMDIWSLFATMVAIYTRFREFPPLTVDYSVILKALQAKALGSR LEPMGRLHPDRRASAAQMLAQLFDGWGLTTPRSKIPPLLPPKAETISQVNPSPTAMSG SPDGNDHSKSPQRQKAGSRPLVVYPPRGTHPKRPSPHIPPVATDQAGDPEQPAAL
SAPIO_CDS3924	MGSILSTAADRGREKITNVSLGMIVLDELRFPGKEPLYDVPGGS GLYSTVGSRIVLSGARSKEVGCIVMAGRDFPDAVLDLFRRWGLNLLVNKDLKRLSTRG LLQYEGVDFDKKTSKYVNPPIQPTPNDLKGSALLGSLNFHFLASPRDMEKFVPQLLEL RAAEGISTRPLLVWEPAPLSCVAGSRDAHLKAAKLVDVYSPNHQELIATFQSEEERNE DPTRELELAVIERYAYEILESGVGPDGQGVVIIRCGAHGSLAVSRTYPARRFPPFHSG ASPKVVDATGAGNCFLGGFTATYGESRDLTEAMISGSVAASYAVEQIGPPKVAIVDGV ERWNDEEYLSRVHVYQQRIADMD
SAPIO_CDS3925	MSFGYAVGDVVAVLGLLERIAVEIRNYQDAPHHFQQLRAELDMH RTTIQAVLGMEPESAESFVIIQRMRAVAFHCRLPLQQFIDRLHGKERALGHFRTRTLV SIGTRLHWSMVARKDVDELRNTLLSGMLAISVLQGRLQVLQIQRLGPEISHVAKVQSQ HLADFSREMDLLRATAEKAPQVVTELKSSIDQNRLETTGQLEKIDQKMELVQSNIKFI SEGFCAVREFGQGLGNKITAALSQMFALMVQLRKLMQLSLLLDIRRAMNRIARSIDAI PLHLSLDLIRFDDALGESWALPFQACAAWKPFWEMLELVVFGNNRPGSSRVAMGQFTL RIVNGCRDISIVDWDTSIQPNMHIEQWMVVPELPTESKSCPFPDCSGTLPTDLEPPRE FICSKCRRAAAMKEKYENVVALYEPITLFNPPNSPLTAYDSEKATQRTGPPKLGKIKS LDDEIVLFRRVQSYKSVEPIATWEEAQANLHVDKNNASANQFMGWHALTIEKDPESAI RYLRTASDSHAKQLANASQSNYLLGRAYMELADIAKAHEFYQKAVWGDGINPSYWISI GVLHYEVGQWRESLDALALSAELNSTAWEAWMNLGILYDTTHQFADSMDAYERCLKLN PEARHARRRLMGATPPPAFDSREFGMVEPPLRHVNEAIPLDGPGNDIHLNPIRLDHDE EDSEWETDSEQETSSGIDTELLSSDEE
SAPIO_CDS3926	MADNPALSAEDAASQRAAEQARLRKERREAKIRAGGSARLNRIT GLGGGIQKDVPAPPAPETTTTQATTTPIAAETSSTSPRAHADPEEVDISKHYYEPRLS SRVPVPPGMETVSQEELTRLMLGIDRPGQGETPPAAAQTDPFAALMTQMLSGGGIPNG GAGNPFAQPPPFPGMPQQQQPAASSGTSSIWRVLHALFAISLGLYVALSTPFRGTKEE RDLLGADAVSEESKRFFWIFASVEAILLTTRFFVDGGRYSPSGVLGMVMGFLPPKTAG YIGAVMRYGQIFMTVRADLLACVFVLGVATLWRRFAFE
SAPIO_CDS3927	MTAAAPPRRRATAGLHLSLGAVPPALRPAVRAFLFGYTSAVGPR ILTLLVKYCNSRRRSAGAGGPDGEKKREQIAASLKKALASGFGWNRFPAFCAALAGGS TLLEIPVRRLLQKLLGSSLGALTLTRLSRWLATFFAAALSLQLLQSRQMPAYTETVPA NPDQPPGGETKTIRYGGRTMDLTLFAVARALDVIVRELWTSRRTRLVAANKWTKADEV ISNLADPTVFSITSAIVMWSWFYYPLNLPKTYRKWISSAAAVDERLIDALRLCKFRKL RYGEDTGVADVLGSMSVDLGLPYEWGDPEKAIPFPCEIVHTGCGPSCEYHALSRFLRS FVWSMATYLPINLALQLRNPSAKGLKRALLSAIRSSTFLGTFIALFYYGVCLTRTRIG PKFLGTDIPARNAIDGGLCVATGCALCGWSILLEVPQRRPELALFVAPRALAPFFPRR YPVGEQWKEIVAFAFSAATVFCAAAEDKTKVRGVLGKLLAKVMQK
SAPIO_CDS3928	METEHPSDPSLPFGYSFNESQDVFRDPPPEPAPGDPILTAADSL NIQNFFETLSSDRNPPPSFGEGLNFTDSWLDLPPQLIGSTTSFGQQPRTSPPSHMNLS NNFQLLEHPHDGAAHLSGAMLPPANPSVYSAGTPRVAQPTMPMAAPKDDTELVEAARV LHHGPIDRSAVAQVAQHTQHQFPMNPSFPSVQDLGPDYRHEPGTLLGHGLLRNENGIA NGMNGLVDGSNAIVNHQFPSVLYSGQPSEASPGHTQATDYYWGSDANFNGSQGFVPQS VRDTTEALSAQQLQMMQCLSYNQSAGTTRPSSPVVNHGSSSSPLATASLAAMDIGEGP PRKRRKSKIAKEEAAAAGDEDESSPRPTTAKSGRRRKTKTEAAGAGSSPGEGSEATPK GTTPPKRRKSATNGAKPPRENLTEEQKRENHIRSEQKRRTVIKEGFDDLCAIVPKLRG GGISKSNMLTTAAEWLDELLRYNKELTDQLARIRT
SAPIO_CDS3929	MVVKVGINGFGRIGRIVFRNAVENPEVEVVAVNDPFIETKYAEY MLKYDSVHGIFKGDIKVESDGLVVNGKKVKFYSERDPANIPWRETGAEYIVESTGVFT TTDKAKAHLNGGAKKVIISAPSADAPMYVMGVNNKTYDGKADVISNASCTTNCLAPLA KVLNDKYTIIEGLMTTVHSYTATQKTVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVGK VIPELNGKLTGMSMRVPTANVSVVDLTVRLEKAATYDDIKATIKAASEGELKGILGYT EDDVVSTDLLGNPNSSIFDANAGISLNPHFVKVVSWYDNEWGYSRRVVDLIAYIAKVD AGSA
SAPIO_CDS3930	MEAAAKQVRLLTQRVLPEHPHHLSYDPRRRYPAVPDGKGFEEQI HRGLQYMTFVSDGDRGVLLTRPYYDMREEPPAPKAHPGAAAAASAASTPSRTDKKPST KMSLSDYKNKVKQQSQSPLTPNTVPKRTPAETPGRPLAPPDRRPDRPPPSDPRRRDGP PASKEPPKSSLLSSARPSSLPPKPPPSVHPPTISPKARKRISDEDDARPSKRSKLDYN NNNSHDRSRPPPPPPPREIPPRDREPPRPTRDRDDQLRRKDMPSTSSSSQKTSRRSPP PPPRPDGKSSTTATSSSSNVNGRGILKPSSKNHAQGSSPNARSRGTGSSSINGIRPPS SGSKTVASTKSSSSTTSATTKSAVPPLLSPLHISFNDPDPPAPPPKRVEKPEKSSRRD ESSRRDEPSRRDENRREESRRDEYSRREESRREEYNRREDNSDPRRKDATSKSKSSSR SALPPPPPPPKKKSTFVLPPLLSPTLPPLIEAELKRRKRPPTKDLNVKSPEITTKTLK KSSTASKTALDEGKRKRERLIVTLKIPRRLIKRVQRLTALPSKSDRSGSMDPPAQSQA GSSKKRPLPSSNSTEAQRNTPSPSAPKRVKKLENTSSSSASTSAKPAPPPSTPSRSSA GASHVDTPGEAGSAGPSSSQGGPSQVSALSTAAATKYRLISERYGGIGKKLKRARDGI FRNLGVNSAAAHQIPEPDQKLAIVTGIEAILAFMIGFKALFDMRRGEHKTPDPMPWRS LLPMVGELQWHARAYSFPHTLLWMLQDIIMQEILACYYLSDLKNPTNAQELKRMGNLQ ANNKKQLPGLYRLVEEAGEEGKLPVLNPGASFEVVVARSLESVKRWARSEDVNWRATL VPQDVLAGN
SAPIO_CDS3931	MYRPGFGAGFDPNNPEHLFQMARAGRRPTQRFDEYYRCYPMVMA PGPERPDLNYGSKIFLPASALDKVSKLHVQWPLLLELINGEKGKHSHAGVLEFVAEEG RAYLPHWMMETLGLDVGDMIQVKTTSLELARLVKLQPQSVNFLEITDPKAVLEKAFRN FATLTKGDVFNFEYNDEIYYVAVLDVKPETPKMGVSMIETDVSVDFAPPVGYVEPERQ PKGSGTSTPASGRGGVPAGGVLHHQGTMAQAIGYQAIAPSTLSTMPSNFAGEGQRLVI KKSSKTSTPKPSTPDSAAAAALAVPPIRRNANGPMPLRLPANKLFFGYEIKPFKTLEE KEEEQKAEDNKPKFAGQGQSLRGASGKPKGGNGDGDKGSKPSGSNRKPR
SAPIO_CDS3932	MELKSHAAAAFQSMLRQPGPPIWSIHPEAIGHTRPYLETFRHAI LPIFPVTTPQVIARIEKLIDEERYLDLAAGTTFIYQAAAFGALHRALQSPGDPCPAHL SKVAQFRLWASQSEGMENQKQYDYTGTGLRNFDHIMLFAYWDVLVGSRDEMNYRRNTL EEIIMNLKDTRGEDAIPFVLCGELIRALGSKNMRQYGRMINKVSIPRQKYQAYRTQPD IAASLVNRLSILNFQGNLSTDADKTGHFDFLTLNHVQQALPRLRMPYHCNPESLFIVE RKYATLSRFITSLGNVSTSFYITEGTEVSPEAQAALCIALQTSFYEAIAKSLAVTFGF KTYPSELEYEVLCMLAERCQASTGFYRLSSINGEIYAIARLHELYKRDPSRVNLQNVS RLQQRFFDFLKRITPRNTSRPPKVRSHEPPHEISDPEDEHRPSKRRKVFAQARR
SAPIO_CDS3933	MAAQVMTVSDSKDLRGLEQLVSAHSHIRGLGVDAATLEPRAASQ GLVGQEKARRSMAVVLQMVKQGSIAGRAVLLAGPPSTGKTALAMGLAQALGSDVPFTS LASSEIFSLEMSKTEALTQAFRKSIGVRIKEETEIMEGEVVEIQIDRSVTGGAKQGKL TIKTTDMEAVYDMGTKMIDAMTKERVVAGDIISIEKASGRIVKLGRSYARSREYDAMG ADTKFMQCPDGELQRRKEVVHTVTLHEIDVINSRTQGYLALFSGDTGEIRSEIRDQIN TKVGEWKEEGKAEIVPGVLFIDEVHMLDIECFSYINRALEDDLAPVVIMATNRGSSRI RGTDYKSPHGLPLDFLDRTQIIQTHPYSGDEIKQIIAIRAQEEEVDLSPDALALLAKM GQETGLRYAINLIMTSQLISNKRKSKQVQVNDVRRSFELFYDSARSVEFVAKSEKRLI GDDGAVDFSAGLANGATGAEKMDLS
SAPIO_CDS3935	MGDRDNYRPLPTGPRGRGREPYSPPPGRRPSWDTSRDRDRSIPE RDFGKERYPGRENSRDRGRDRDRADWDLRSRKLSFEDSMARSRPALGRGGTGGHEPPL RRHASTTSQNLPAKPPSAFVRVSGLKAGSAQDLDPFDKFAELLAGRVALKLKVQASEQ TLATRKSDLAKHMRKAPGMAGAAEVLKFSVQKGETDLRDLDRDYKEMAADQHRVLGDL MTTMLNKLSRSSSPQPSLKSSTTSSTATAPAVDGGDLRSLASRVDTLTKTMTDNNQAT QKQMQNLQSQLQSLSSEHESLKSNYAKLVDDKQKIATEREAMKSEIQSLRAREKEPSP AEKTPMAEYQELDRMQRKLNEDVSQLQTAMRQLDMDHQRSVTEARDLRSRMAVEENRG KMDHAYLKDRLDKLERITEKLDLTCNDTGSRLSRVAGAVSTAISAVSDLETANRARIA SASPSSALPPNFMEVSKAVRELEAKVNTHEERLRSFKPTPPADLSGITEALSELNAKV NTYGSRLQDLSSTLSSQETQAAQPSQAADLAEITEALRDLQATVKIHKEKLGAFDPGE FDDLFWEMDSALPNIRTKISLLEKEDENVNKRLSALEENRASPSVDVDAVADKVKVEV APEIAKSVQLSANLKAAQDAMIQMVGGWVDDLRKRLDAVEGRAAPESQASINRNTPAE TGTTITEVRALQCRQGESLKVLDAKTNNLARRADETAQLNTQLVVKLGAVEAQADSTQ KEAMELRNRLDTIEAQIKDIRVAADDVKNKIDGVARTIRTGASSVAAGGQSAVPDAAS IESIRQVTDRMQTLEKNNWTSLSQLMTQVNGLQQFCESLHAQYRNINTQSLYEAIVNT MSFDLPGGATNTLQRHSVQIRDILARLGATEEREAKRRRVVSQPPTAPPPVRTPPVAT STPTQSPALQVQNLISGRMPQPANGPPMPSAFARPPQPATGMQPNNAATAMLSSHVRP PQPVPGMSVPHNGGVPMPTAGPMPPHGR
SAPIO_CDS3936	MSKSSWTPDADQDLLFSIMMGDAVSRPSPNWEQVTAVMQELGYT FTKQAIRLSLTSPQPAFCQETLGRLRGTPQRRKGALHPVPREEDRENSYFFAEEEEVA APRATPSKRPKPVIDEEDEDELFEVVTPTKKPKVEKETKMEVEDALEH
SAPIO_CDS3937	MSKNWNDRADRDLFFTILSVKNIGVISGGEWTTIGNHMRALGYG FTNEGCRQHFQGLRRAQAKVEVNGALNADGTRRPVDPTLNPITRRPGPGRGRPRKHPQ PQAPAQPQTQFIHMQPQLKIEQQQQQQQQQKLQQQQQPQQQQQQEQQQQQYQQQQPQP QQQPQQQQPPHQTPTPNPQMMVSPVPVPTVGPMQPNAAQSLSPPVNQAHAHAQAQAQA QAQGHPHAMPVPVPVPVPMGATDSPAEPENDEDDEEEEVEEAEAEVEAEAEVEADGED ETEPEPEDDDDDEPAAKRARLDDGQLEQPLNEDVLGLAHGNPADSYPSPTFNYAEA
SAPIO_CDS3938	MANNDNQMTRFLFAILKQKCLKDIDWNEVAKDPILAQPITNGHA ARMRYSRFRSAMLGQEPQKRTKGGAAKSKSTKGKKDTKTKQEDSIKVETDINANSSTK KRKRDESPDKLKRERPDAAHFLSQFSPASVPSPMTDTSLISSCSDDILGTPALTMSPA SDLLGQTGIFGLGQCTHHPQTSGDDSQSQDPWGDTPLYTALDAAYSMSIYGNLMCDPH SQTQGHHTTHDSVEHTHDHTHDHIHDLTHEHAPDFAAEAAALIAAAGAEAHSQPVRAE CWDSLF
SAPIO_CDS3939	MHILRELRATFPEASPDAKDEQNNPGGRLANTRPAPGGPLTGGP WPWRADAGVARGTPWFIRRISAIIDQQNDSFTPSGRLLFLASFDHVLLRYRIASSFAL RSNALANCVPPWTNSAAADILSVQQNRPLSMRSTIEFEYFYPSRYSRSEINYFAALAA CLPEEPDVDWAKVAELAEMGSKTWARRHYWTLAAKHDWLSKRRSSLVFDERGQPITQR KYRPDRQLAIRGVLRRSAPLPIPERRPEVIYQSIETDEPAPAPAPATTIVVEGFVKRN QDIDMKIKADAENDMIFSMELDEDDE
SAPIO_CDS3941	MAPNQRILRFAQSRKKSAFVLVQAASTGRHPLDLKLIGTDGFSP FVVTLRQDRVLSLKHSCPDEEWEEILITLFSQKTIEGIQATARVEETQNEDEPPSHLT IEVRRSVQGITKHMGDITLRYKPEEPIDVVDWCNTSILAYEEATAALEKEGQRVSKLE KELEILQNQLNELVDAKKADENDLLEKFRDLLNEKKVKIREQQRLLDTSSHGVPPTVE DSPEAAPPSPKVKKEPKESQRHIPGPSRRGKRKQATPPVEEESSDEDGFEKMDTDEPA NARPLPDSEDERTTTAGSEEDVTASEDEEEAAPPPTKLTRRAASARTSTKVASQTVKS KTSEKAAEGAPPPKRELPFATRKKGGAAKAKPPPQEGSETESDDEL
SAPIO_CDS3942	MLRLKHRQPVEREPDVENWDDDDFMLDDGDDLTFRSSTNTNNPP SQRRDSISSHKSLRSELESVVGEEDRQVHIADGDEKSTLNAIAAAANMGIPIPKNVPH SALMGGTIKRLGGRKIKQIIEEDWETDLELPEPGKALAIKTQDASQFPDAIRQVSGGS VHTSPVKSSRPAPAIIHSDRRDSNQSRSSILSAAINLDRFRDDDDDDDFFGDGSATIK VSKTRSLSKPIPLITPPTPQKAQKPGPQGEDDFEMDLELPSDGKLRLSTRKDIPKTPS LGMDDLDWGEGSLGTRYGGTRRDGRSNRSSSASALSPSISSSITAESEDETFDGLVLP PGPVNFEQRLKLRRKSRSPERIDEEPAAPAKKPLEAEPDKEDFLSGLDIGDGAVFDSK KLTLHRNIKLKESRPESPSRPKTAASITFTNKPVAQLPSRLPRLSHDRAPSNLEPVSE SGGPIVPRIRRSQSRLGHSAQSSISSLPTPTTPSSAQSLPPSTPRRTAREVVTKTSAV SLRNEPTTTNAQLLRLKRSLPAMRAPQSPAKPTTAVGRYERPPSRTDGRPPPVMRPKT PVERIRPDSSASMAPPTRRNPLPFLPAGSSAQSHHVTAKGGRVFRRHDSENSIDFRPN SRAISRSAMRSPSPRRARPATHEKITETHYRLLSRPRHQRTFGNGSELDGFDDLPVSK DAEARYVKQPVGKDSKPLLRNKIYQNVLPDRSTTSSPVSPYSPMRADYTPSFARDTAA SRIARETSLAQRVPSSGPLAPLTAQRVAQLSTRSNLNPMAPPSPQVRSKKKRSQQLKP HLIANLNSAKESKTVNGMVYNPVTFRWEGNDNVLSAFDPPASSSPSTTSLPPYMLREK ENATPRPALITNISSTKGVQVVGGMVFDPQNMCWLKIGSSARPISEVGDPLDGFNGLE DDEDDDDPFKDIPDLEDNTADSNDGGQGRLSDVRDDWLVGEEFDVGPEFVRRQREEEE RWRKKCESWLTGNTRNYDEWRWTIRELVSGDALF
SAPIO_CDS3943	MRIGCLQFAPVKGDVNNNLSRADAVLLKENVDDLESLDLLVLPE LAFSGYYYKSLQEIFPHLEPTGSGISSLWARTVALKYGCTVVVGYPEKVDISDKWPAS PEYYNSAIIVNQDGETSGGYRKSHLYSIDETWALEGHDGFFCGDIAGVENAVLGISMD IAPYKFQTPWITYEFAFHVLNVQSNLVIVTLAWPTSQDHHLFSRSPQEPDMESLMYWM SRLGPLIHDESEEETIVVFANRCGSEDGILYAGTSAVLGICDGEVNVYGILGRLEKEI LIVDTDKEPYGKLVYRPEGAATGDKAFYSKDQQDESKSPRASPQPPPPPPPPAPPRKG IATTEPVESQPHGDKTSAGSPSSKSPEKATQKRAREPPKLSLDTGPSVTEPDLPNIPT PTGPSPTPISRRPRLSIPPAESWTQRYLDQHHFVPTPHPNVYTPPIPGRIFGGEVIIA QPAKSAEEDRRFNWDVQVTPSSTRVEYSPTQTESTNFTELSSVHTGRGGAAGGAAGAS HHRTESSRSLGAPARLSTFDESEEMQESLPKARTLEQLATDYAKLHMEEMKKRQMSGT RGFQQGGQRPSSSKGTEQPKQDKRAGKEGQSSTSHSRVPPGQDIGDSQSRSSNESKSS RGKANAGNPRARVEAQGEPERGRGPSSVGATSSPRPRVPRASSTDKFREESRGRSRRT RSDDPGSNAGSGTPSGQKQSKREGSGRGSSKTAAEPIDLSQFRMIEEYPSEDCPVHGT RSRSRSNDQKNRKPPSKAAPRHRSVSARGQRNNMRMPVLKSNVQKAQSGARKPNGQPS DVSHPDDEARPHSALETRHDFEDLLESYAMMDMTMPPRSVSTKHYPPWEGDRKAWVDE RLNALSKSVPPVSPPPRRRMVSELSKKEPMTPQAMLIVYDPVEGDNDNVSLAGQTLKC VAKTPAPTKKP
SAPIO_CDS3944	MSQSGAAVAQECITAYNELKLSKKYKFIIYKLSDDNKQIVVEEA SDNKDWEVFREKLINATTKNRAGALGKGPRYAVYDFEYQLASGDGIRNKLTFIAWSPD DASIQAKMIYASSKEALKRTLTGIATELQANDADDIEYDSIIKTVSKGLA
SAPIO_CDS3945	MTELTFARAFLTALDSRPITISADHVEDPRSFPSNRPAIILPKM PKAMSKPTTTTPQTDKPLTITLKSLRNPPLNLSLPATPQTSILDLKQALASQAGVPVD KARILHRKKPVPDSKIVKDLIEGEEDTLELAVMVMGGAAVLKAPAAAAATSGAAVPGL EGGDEGAEKVVESDAFWEDLRAFVVQRVKDEKVGGELAVLFKKSWEENKGK
SAPIO_CDS3947	MQRALSSSRTRAAVLSSGAAAKFRSGAGLRQQLRFAHKELKFGV EARASLLAGVDTLANAVSSTLGPKGRNVLIESSFGSPKITKDGVTVAKAITLQDKFEN LGAKLISEVAGKTNEVAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVDE VVDFLQKHKRDITTSEEIAQVATISANGDQHIGRLIANAMEKVGKEGVITVKEGKTVQ DEMEVTEGMRFDRGFVSPYFITDTKAQKVEFEKPLILLSEKKISAVQDIIPALEASTQ LRRPLVIIAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDIAVLTNGTV FTDELDIKLEKATPDMLGSTGSIIITKEDTIILNGEGSKDSITQRCEQIRGVMSDPST SEYEKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRFVDALNATRAAVEEGILPGG GTAFLKAAAQLSVKPENFDQQLGVSIVKNAITQPAKSIVKNAGLEGAVVVGKLMDEFA GDFNKGFDSAKGEYVDMIAAGILDPLKVVRTGLVDASGVASLLGTTEVAIVDAPEEKG PGGMGGMGGMGGMGGMGGMM
SAPIO_CDS3948	MDPYTTQTRPYQMGSATYNVLVSDSRNPVSYPDAGYTIGANKKM RCVGVDEYSGAQELSVSAYIQFNDGHEVSKRRVRAEVDVLEDTAIFSYLAAAVEPAWP QGYGVSLVGGRDGDSSGTSGDKCRSARDRPSGAYRPT
SAPIO_CDS3949	MGSWKPRLAMSKFIKGRPYFASLDVKKASPAAGTCAQTLCRAAE IPPRTIPHFPGSIRPTLNDMQLHKELIDNIREKGRMFIPLSAIGAEYDIEFDPPGMPD LLYSQEQKLREGEYPDHGFILVYREVGKLEYYLSVLVVGLLHSPPLLCSPMGKRDLVE FVPALPFTLDHGDLPNDFTYAEETRLVHQPGAIEVDDWINKKFGLKPPAGKVLPLFVH SEGSICETDATHSRFNKAQNVFGCKATLVVPRDPDDFYNKPNNQV
SAPIO_CDS3952	MVKRPRNETSDAEDRSKRRRSSSHERQESERDVQSAEEAVEVLR SHSSSLVDCLRRLQSSGGGRVDETLISLSKEILPAIQTLARAKPEDSSVQTLSNQAQP KAQPKAQQITDEILSVTSETQSINPAQITPWTTADILPSLPPLPPADPFLASAAFRYK AVALPGEIDWDRLEWIGDANIYLVSSFLIFFTFGGLDSGKASQLRERLLRNQTLAGYF RDYNMLERTKLPEWVDLKSPQGIKIQGDMFEAYVGAVILSDKERGAARAVAWLKALWA RTIADEIRRAEKKPEVHRVAELGQERNVDEASLAKQKLSVAIKVPGVDLIYEDVPGTH KNKHNGLPLYKVRLYLKGWGEKMKLLGWGTAGSKKEAGQKAALMALGNKKEMAIYEAK KKAYLEQMEQMEKGA
SAPIO_CDS3953	MSLGCHCLYDGGSTHGASSRSNLVDIVALHDVYEDPFEAWTDPE SGINWLRDLLPKHVQVGRVVSYGYDSTARSFFGNDAPENIQRMAESLVQELRANRQFA GTLRRPIIFICHGLGGVLLKKSLIYSSTRTAPKVVHLWDQFVSTFAILFFGTPHGKTT ASNWLALEKQSHQSQLATSYTLAHGPTSVRENNQLVQSISSDFMPLIKQFHMFFFWEA LPTSFKGRSVFIVDSESAVPKLDNTEAAAIYATHFRMVKFSSRESSDYCTVIAALTNY CEKAPEIISHRWGQAETALQQLRASEAWELGGYGFDVHLREPFRHQNIAVYYHFYPPQ DITPTFVGRRDKIEVVYTALFPTDQFESCPKRNTFVIFGMGGSGKTQFCSKFAYDYRH KYGRPRSYAESELLTGLRYTAVFTIYAATKETAADSFCRIGKLAGLEPTENAGRHFLS QLTGPWLLIIDNADDPKLELADLYPSGYAAHILVTTRIADFRQQGTLGFLELGGLNEE EALQLLLTKADIPRPWDPSTREAGGLITKALGYLALALIQAGNCIYRRICDLGEYLSL HSATRSTLQQRKSSAAHQVDEDDIFKVVYSAFDVSLEFLLNQRSIKGQDASDLLKIFS FFHFEHIPVQIFSKAFQNRIQGLESISTHRIHSRLVNTVLKRLEPPKMLPEFLKTKGG GLDKYRINRAIAELARLSLVSFDGKYISLHPLVHAWARDNLSNRERDVWVSIALNTLM ASISLPMDGTGEDDGDFYRDVLPHLEVCLHEHGDPVSESIKTLGTSYFRFTHFLQPTK MMIIRDQVQNAAKCGWIFSQRGLFEKASIQLQTVKEVLTQTLGEENEKTMTAMLGLAA VYWGLGRLEEAITLQRAVVDTRYRILGPMNEQTLQAMDHLGKSYWLHGLYREALELQK VTAERMRSTIKPDHPNYPHVLAAMDNYGVTLGAWRRYEESLKVHREVLEARKNTLGET HLDTLTTKANFAMALLDLGHVDEARRHMKDVYEERQRQLGKEHPWTLWALCYLAKVYI ENGELNAAEDILIWGIAAGVRSLTETHLGVLMGRGELGRVYARQGRLDEAEKLTLETM NLIEKSRGIAHPDCVYVLLKLVQLSGELDSPREKVSIEFNPREARRTGD
SAPIO_CDS3954	MFWDREQKEVEQGPSDARNMSTQRHPISSACTMGMTLHVPTDLQ LPSTWRTLLLLALAAGLVYHLSRKILDYQVRSLLSEQRDVPRSSSHVKQADTALGHRH GCQPPPELPKRWPLGIDRIKELWDSNADGRLLAFLCSVAKDYEPRNNLSQYLLIGPRA YHILHPKNVEALLSTNFNDYGFGSRRSVFAPLLGNGIFTQEGQAWKHSRELLRKQFVR AKYQNLELSFREHVDNLVNCMPADDVIDLQPLFFRLTLDTATALLFGSSIYSLRANID QAAENKEFAESFNIAQEGLAKRFRLAPFHFVYNPPDFRKACRNVHQFVERYIREKQLT DSVSRDRAAVDENAPWFIDQVASESETVSDLRDQLLNVLLAGRDTTACCLSWTFRLLV RYPQVMQRLRREVAGVMGDSISPTREQIRKMLYLSYVVKESLRLYPPVPLNNREAVRT TILPTGGGPDGNSPILVRKGELVVFSQYVNSRKKNIYGQDADDFKPERWGTGELAEIG WAYFPFNGGPRQCLGEDFALMEVSYTVVRLLQTFPVIELPEGETIEAVGSERQTLTLV LSSADGCRPGASRSSIHPEIAPPSMDTYGIQELWRPVGIEPEIDIVAVHGLNGGARRT WTSRTGDVCWLNHPDYLPKYITKARVLTWGYNSSFSSLVGDEPAKDRIHQHAHTLVAN LSANRGALSYSHTRTGHKVSHEYNIFASTYGILFFGTPHFGSEKANWLAYLKKVSMTS HSDLVSALAKESETLQNITDYFVPLMKNFNIFFFWEQRMTEIKFGKKDYIVSVESAAP TFDETERAGIAADHSGMVKFDDPSSQAFQMVIEALMRYCDQAPEAIIRKRHFAAELLD QERKNQAMEVLSSIRNPFSTPPSTSRVQSFDGGRTITGFASVHSLSAPQDS
SAPIO_CDS3955	MTDSVDRVFVHALSTVKRIPKTGAMRPPPADRLRLYGLYKQAME GDVDGVMERPSATSLGMRTEDLKREMDKWDAWDAQKGLSRTEAKKRYIETLISTMHRY ATTGDARELVSELEFVWNQVKTNTSSVSSSPSKSARQRHAESTHEPSPMKILSPMSEQ DEAELESHRRLNLADDDDEDADYDPTSTGETPAGNSKWARKVERALVKLSTEVAALRE QITTGREWKFRKEMSWRAWFAWLFWAAIRHLVVDVVVLSVVLIWMRKRKDRRLEDLVR AALKLVREYVRKVVPSR
SAPIO_CDS3956	MAWNSGQNRTPEQTRNMAPKAVLAQTPRFAWRVESTKSRYQGAW VSSDPVCFTKEELRPWISAHMAGRYYYAPFVISSLTGSLLWALTYADWLRRQRYKAIQ IFLVDTWKIPEDKFYPARFLAAYLEVPVLNIPWHDDPYHEYFAFGGVPSDTIVGHVDL PWAAKWMHTLLPALRQLRLNEGLLRSHYWLRMGWTDILGRPVKGARVTYDDIADACVI ADKFLCYPDNKDFRFPIAMMFLSLRKRNWDEEAWVKIRSAFKGACD
SAPIO_CDS3958	MLRQTLARSAWRTGGRHVANASRAFSATAQRAAEVELTIDGKKV SIEAGSALIQACEKAGATVPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPG MVVKTNSPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEIG GKRAVEDKNIGPLIKTSMNRCIHCTRCIRFANDIAGAHEFGSTGRGNDLQIGTYLERS LDSELSANVIDLCPVGALTSKPYAFRARPWELKNTESIDVLDGLGSNIRVDSRGLEVM RILPRLNDDVNEEWINDKTRFACDGLKTQRLTMPLVKREGRFEPADWEQALNQVAAAF ATLKPQANEFKVIAGELTDVESMVAMKDLANRLGSDNLALDMPSGSQPIPHGVDVRSN YRFNSKIWGIEDVDALLIVGTNPRHEAAVLNARIRKQWLRSDLEIAVVGESWESTFEF DHLGTDHAALKKALAGPFGKKLQAAQRPMIIVGSGVTDHPDAKAFYETIGAFVEKNAA NFITPEWNGFNILQRAASRAGAFEVGFTTPSPEVAQTQPKIVWLLGADEIDPASIPRD AFVIYQGHHGDKGAEIADVILPGAAYTEKSATYVNTEGRVQTTRAATSLPGASRPDWK IVRAASEFLGVPLPYDDLAALRERMTEISPALAAYDVIEPTALPRLSKVQLVDQNKGS KPTGQPLTKVIENFYFTDVISRSSPTMARCSAAKATGNPQTNFMAPGMEEDRPMGQVA YGA
SAPIO_CDS3959	MAPVIDIGLDGRSPRLYITDEEDVRTAEYVTLSYYWGKSNGPAR TTKNNLEARRREINTAELPQTIRDALLITKHLGVRFLWVDAICIVQPTDQDRSDWLNE APKMGQYYSNAACTIAACVASDSAEGFLHERPLEKLQPERECPLEPWTPSPKLFPEPP AHVTVLKPSPSRLGFRVASSPLYKRGWTLQEQILSTRTMYWTKHALFWGCAEERAAEF RPDGLSASSFPGHITLSQFLSSNADKALGNMWLSVVAHYSSASLSHESDRLAALMGLA SRLQDHFGDEYLFGIWKSQLLAGIAWQSVVRSHHRIREGMACTLPSWSWVSLRAPVIF PLDCPLEIEWLAEVVAVEGETNVLNPVGEKVFECRVRIKGKVILIDQVPPDTVQFGKE DQEWDLGGQRRTVEILMAEMDCSPKPHPNNDLGCLVLASWGMDQTATARIELSCLFLA GFSFFLGSLLPLSSLPRYSNNHPTNIVSRYPKVKSNMSAPKIPTIKLNDGHEIPVLAY GLGTARATRGGPLDTSLVELTKKAIATGFLHLDGAQMYGNEAELGEAIRTSPTPRSSL FITTKYSYYTAPTPATFSASLSKLGLDYVDLYLIHHPFCGGSSGTSLAPYDPAKLQQT WADLEQLQREGKIRSIGVSNFLREHLEVVLETAKVVPAVNQIEFHPYLQHRGLLDFHR EKGIVTEAYGPLTPIVRAVEGPVAAIYNRLAEKYGVSESVVGLRWVIDRGVVAITTSS KEERLRSYIEKVPSFKLTEEEVKEISEAGLQKHYRAFFTNRYPEDDRS
SAPIO_CDS3960	MSVPRARLLELMKTQCQVFATVYNPDGLRLGNKVLRQRLRGPAL AAYYPRRTVSVKQMNAMFGPNVETWDEDEQERLEHIEEVHARGKRAPKKKTGPPAAPT RRK
SAPIO_CDS3961	MSSAPSPNSLTSMGHTAEKGIKPSYPTMLGANDTGSGLYKTGGR PIPLLSTGPPHQGGVKVTNNQENIPTFEPSPMELLLSKLDEQRETLHQQKLTVIKNKD HDKIFVRPGDHRSSSTSVPVTPALEDFPSSEPATRPASASLSQGPSGNDEVLRLRMQL AQAEAKISQLERQPSMPRMSQAVSDYPAGLPQAFGPPFGPIVAAAMPAPGHNGGWSQD EYKPSPNEHLATIQINRAPSVSMWAGNLKTPAVGIAGPSGGSSDASSGSAWFPHKPGF APSFVEANNGAYGPMENFRVSERVTPDPDFALRVDVGGRGGRFDNRYISPAPLSAPPY GGGFNLNQNIGQYELTSGPANGPGNNGPGPGTIGMGVYPSYHQQVANALSPHATEFTS SGGSWKSEISPTEGPTYLPPTEPLNYRRLLDRNVNCNWKYIVDKIVCNNDQQASIFLQ QKLKVGTPEQKYEIVEAIVSQAYPLMVNRFGNFLVQRCFEHGTDEQVVKIAEAIRGNT LNLSMDPFGCHVVQKAFDSVPEDYKKVMVHELLRRIPETVIHRYACHVWQKLFELRWT DTPPQIMKYVNDALKGMWHEVALGETGSLVVQNIFENCLEDDKRPCIEEVLANIDIVA HGQFGNWCIQHICEHGAPADRSRAIDHVIRYASEYSTDQFASKVVEKCLKIGGPEFLG RYLDRVCEGRPDRPRIPLIDIASDQYGNYLVQYILTHAGPQHREVVAAHIRKHMVSLR GSKFGSRVGMLCTNPASATRPGPAMGPGMGGGGGGGGRMHPGSRFGGPSYR
SAPIO_CDS3962	MDPSTPPPPSPPAQTSASSPPPNDSDRIFIAFDTYPWAKDPKFL TLISTLTKPPNTPTRPKILFARSAYFTRILGLPVSVPEYTAWLSSHPSHPSPDDALLE ALLNPVSPPSSSSAVQEEEAAQTKAGDDARPAWQTAAPKADLYVDRSSASSAPGHQSS EGPAYPERFAELIRCIKEGLPVPGVREIPETVVRDPSAKPFGARGPAPRKPWEKAVES QPGSASPPQGAEEGASAGAPTFSPPAVDQEFPPLETEEASEPGTTAEGDQKGKVPDLP DVEKLNLESDPSK
SAPIO_CDS3964	MHRVAVRALRRTPFLQPTLLRTTLARLLPRRPFTSLPNPLLSAS RPRSLSFSSSSSSPASSLGKPRRPSEHAIEPLRSSMYIRRFSVALVSTLVGYGAWYSY KGQHNDSSSSSSAAALTRSFTSSAQGAVSDAAAAAAPTRTVLVIGADELRTGTVVGDG PLTKFSSEYGRQVIEMLSPEQVDDKLRESEQSYFVNRGQGVVRYDLVQLPSNNPIEDD HAEKIVEVPSREKEGGEVTDWMFWGIFDGHSGWVTSAKLRNSLIQFVARELNDTYIAA QGQLPSDASIDAAIKTGFTRLDDEIVHKSVEKVFKENSKTLAAEALAPALAGSCALLS FYDARSKLLRVACTGDSRAVLGRRSDSGKWTATALSVDQTGSNPEEEARMRALHPNEP HVIQHGRVLGGLEPTRAFGDAAYKWTREVTDRLKKSFFARTPSPRLLTPPYVTAEPVI TTTKISPERGDFLVLATDGLWEMLTNDEVVGLVGKWIETQQHQQRSQTQFDSLWARIF GSSDAASVKDLPVEKSGANPGEDGSKTPIRLRQWGISPDDKGRFITKDRNVATHLVRN ALGGRNEEQVSALLTLPSPFSRRYRDDLTVQVIFFGDGEKTGQITLNLDATAKSNQPL KAKL
SAPIO_CDS3965	MDSPHQSTPVLPVTARDHNGHNPGYQTPTERYFPQETVLSPPTT PTPPTTPTTARLRPQILRLTSYLRRQDAANATGNGLRPDPDIQPSLDELLHVSSRPPK SGVVTIKDRIACYQWTFFTMTMATGGMANVLSSIPYRAAWLEGLGLAFVMLNLILFIM NCVFITMRFRMKPGSFTRSFTDQVESLFIPAFFVSIAIILTNICQYGIPNTGVWLLRL MEALFWIYLSVSVLSSAAMYLTLWSTQVFPIHMMTPTWVFPAYPMLLNGTLAASLISG AYDTGNGLSIDPLAVALGGFTTQGTGCLIAFMISAAFIYRLMTQKLPRDFQRPGVFIS IGPFGFTVNGIVQFAIHADFIIPPNFLGAEHAVSIIRVVSVLAGLWLWGLTCWFFLVS VGSLWKYVRRGSKMPFRMTWWSFVFPNTALVTATQSLARILNNEGLKIFGCVMAGVLL VVWLGVFITMLRCLRKRELLWPKDDI
SAPIO_CDS3968	MSTVRPGGSLLTRCILPLSRTTAPTARAPTLAAATATATATCQN NRPFHSSTSRAAPRRPRFKSIKADQLGLTTPEKIDEYAKQKFPEYDEAQLEALKQVYE YTPEQMEAVKAGEAAVDAKDMTLQGRVRDDKYRIPYLEDFSVVQPVIDLKAERKLEAQ NYKWLSEQEFLEKLFEKMMDKSTENMHEAAAKAFKRSMERVKAAQGAEIDLTVQELQD METWPELQKKFLINEEEGGEKMVEEKDAKAAAPEGTISSEEAQKILSELVDDFTRSLE AKKDNTVSSEIFDEDDRLNISTSGNAPPLGKVPGVEGLYKHAADPEDEGLDDEGTYQF LKKVTGMSVRDILSLFTKTLVTRFVTNQTRLGKVRSASVMVIAGNGNGRLGVGVAKSA DFGTAAMAARMLAIRNMKPIRRYENRTIYGTVRQKVSGTIVELRARPPGFGLRVPYRL FEMCRAAGIHDISAVIPRSRNPMNTVKATFLALTNQPDPEEIAKGRGKKLVDVRKVYY GGSVY
SAPIO_CDS3969	MNPLASPPELTPLEQEVLEEYERLAENMKKLAFLLDDLADRPST EILDGLRDLERKTSLVFTLLKASVYSIVLQQEIDWGDGAAGNQGQGGEEY
SAPIO_CDS3970	MSSVAIKPDTPSKIGQGGLSSVPPSSQAILLEKLTARALTPDSE ALASSDDEVESRVPESLQTAFAPPHKPVRRASWLNDTSPQIPRPRKDSFASNSMSPTT SHPGTPSADASGGLWGSNSASSAMMGRAPASSFSWSTAIWNSERKEPSRLSEVLPSPT TALPPGAPANSVFGPETGNLSQTSPVPREQGGGSQFPYSISLHPTPKTYRSQSYSTGQ MDPDSIPPSMSSSTILGRARTHGHTSIQHRPSRPSMLSEMSNESGLDKVKEVEDDEED GESASEVLYQSSIHQSAESKTIEMLARENAMLRQQQQQQQYHSARLRPRSSTAAAYGL SSGYTLRETVPEESEYAIDELDEPNDVADLINPRRTLNRRMSEFGPSAFRSPFGMDSR KHDVPVKKGLWHSQLGFGSLGDISQSRRHSFADVPTRQASIGSLGDHAAASAGLDIGA QDAQTSQDYPGSYGDTTGFPMTGAGTSSQYPGAAGPVSSGLAQSYTGQFPSPYNLQGP FGANRATSPHRTLYGMSQPRQNQLLHIVYFKCSRADVFYIQEGTGLTVKPGDLVIVEA DRGTDIGTVVRDNVDWQTARELKEYYAEEHYKWLMMYSQNAAAAQENVGMGLMGATNG LQGSAVGGMGPPSHHHMQESNPGELKPKLIKRLAQSHEIHSLREKEGNEAKAKRVCMQ KVKEHGLNMEILDAEFQMDWKKLTFYYFADSYINFNSLVTDLFKIYKTRIWMSAVNPA SFANPTLGLQPPSGIGPGAVGVGRSLGHPERRTTQTQQETSSASQVGRGFQSTGFGQG FSGNDRGPTLASAPSYSPANFSYQQPPQQQQQYGGLGFGAASRGGAASMPYHAGAGSN ADLYGSSFSQQNDFAGGMRRVAGQGPSTGPHGHGLSQLGNQQDWVSSFQGLSLTR
SAPIO_CDS3971	MAPRRQAAKRKSVRDDYSDVSDVVSSSANKKVKRSRRQPTRRSP RLERLYPGAENGRGKGGPSLERTGENGLSLGEDEELHPVRAMAKVDKAASQHRSGQNE TRRSAKCLSQFLKKQKSEEDSLTEFVQKKIAQMENFTSAFTKEINSKCSKLSTMLDSP SEMPTDIGAQPLYRQGQETLALCRDILKHYEETNKLTQGEKYALPASSSDEDKGQVLE ITNMAAGIGRKLVERAFKASHVGGGGLGIPEPKTDKERLAHSFLAKSLASHQEKPWGE EVWVVWKAWHCISNGIRGSDALHPPPEK
SAPIO_CDS3972	MALASDTEKGEGCIRLGPPWRWLRSELEPHLDGDGTPDATPQEH SAEENIDDPSGWTAYAKLESRNDWAGVALTMALDRDLSIHDACIAEANLSLLHQKLKM RRIVRDIIQRYQDRCAPELGEDDELLDNLVTIQMKYHENLANTRIIYGFDRPSKADIK RWKDFVTRRVDQDYRLPFDGSPKDFITYANREPLHKIVGFILNHRTDNLMVNSLADP
SAPIO_CDS3973	MGALKYVEELQKKKQSDVMRFLLRVRCWELRQLNVCHRASRPSR PDKARRLGYKAKQGYVIYRIRVRRGGRKKPAPKGATYGKPTSHGINQLKYQRSLRATA EERVGRRCANLRVLNSYWINEDSTYKYYEVILVDPQHKAIRLDPRINWIVNPVHKHRE ARGLTATGKKSRGLNKGHRYNNTRAGRRKTWKRHNTLQLWRYR
SAPIO_CDS3974	MVLASRNPSPMMLEPPYLVASPLSPGVYQHCLHLQPRVAPTRLR IMHPHITKSNCFGLGPGQTRFDIRQGRLTAGQLEKKKQEYEMIREQKRRFEVELQKHE QELAQMQEDLRGPLAGHQSEPTTPPEYRDTSSAFPSIFSRPNRYSTSSLTSPPGLFNR PGRSGSQLASPQSGLLQNRSRFGSELPSWSVPGSRRNSDEDEKEEAVRQDPTSHRSTN ALHRYSMPVTRSRNGLYDLGNLDGTNTTRFLFGDDDLGDSKLTATTTADDFPTLVRRD DPLGLAAIGSGQLNGTPSAKSTNSLRHSLDLKYLSDAASETGNSIHSSSTNHVMATPP KLQSSFSTGDVTAPKAGSSSQSYGSPNNHAQQHFHNHNASLGRFPAGPIAGRHSRELS NDANSSASARDQSSGYPSIQSTLQGSVAPFGPNMVAPAHSLNSSISAPVGSGAMNYPY YAGGTYVPNAGGPPTTSAPNAYGVPILTMGLQNMGLNNYPNGSYNGAYAPPSYQGSSQ HQRDSQARVIQHRRQLDNEAMSRYHNLPIEAVVGQIYDLCKDQHGCRYLQKKLEEQNP SQTHMVWLETNQHVVELMTDPFGNYLCQKLLEFCNNDERTVLIQNASVDMVRIALNQH GTRALQKMIEFVSTPDQVKIIVEALRNRVVELIQDLNGNHVIQKCLNKLSAADAQFIF DAVGTHCIEVGTHRHGCCVLQRCIDHANSDQKAWLITRITEHAHVLVQDPYGNYVIQY IIDLNDPAFTEPVVNQFRSHVPPLSRHKFSSNVIEKCLRCAQDPSKDMIVDEILAPGE IEKLIRDNYANYVIQTALEYATPYKKAALVDAIRPVLPSVRSTPYGRRIQAKIHNYDG RNSGQGTPADPTGGQIPMRPSHNRGMSSGNVSLASAGNVGFSGIGNGLSGGNSSHIQP PRNNNNNGGNNTNNNNHQSGFPAANNIMPIGAAPGQRMQVGQFGYPQPPRSNGNTAGG PPPPAAGAASATDNGESQWL
SAPIO_CDS3975	MKVIDDGFEALLEPFYNGKSLSDPISTKEDKFQLLPAFLKVKGL VKQHIDSYNFFVEQGIKDIVRANSRIKSDVESRFLLEFTDIRVLTPRRVDFVDYRCDN EITPMECRLRDMTYAAPMVVDIAYIRDKTRVIKKDVPLGRMPIMLKSAKCRLAGANNA QMEAMNECPLDPGGYFIIGGTEKVILIQEQLSKNRVIVEADEKNQIIQASVTSSTHER KSKTYVRLKKERIYLTHNVLVEDVPIIIVLKALSGLSDADIVNLVAGSNSKLQDDFLV NFEEATKAGIISQQDALEYIGSKVKMGSRRGQFGSQPRRNHAEEALDVLANLVVAHVP VTGLESNTKAVYLALMARRVLLAARDPRLVDDRDFVGNKRLELAGQMLTLLFEDLFKR FTSEVKLNIDKFLKKNNQAVALDPANMIRNHANTIGQGLNRAIQTGNWTVKRFNMNRV GVTHVLSRLSYISALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCTSDTPEGEACGL VKNLALMTHITTNDDEEPVLEGIFMDDDVDSLKTIGGQDLHDKVHVIHLNGTPIATTK TPDTVTARLRRLRRKGAISPFVSVHTNSHYNAVHIATDEGRICRPYIIVTNKKSRLKP QHLRLLQLGKVEFDDFLKHGVVEYLDVNEMNDSLVAIEESDLTRAHTHLEIEPFTILG AVAGLIPFPHHNQSPRNTYQCAMGKQAIGAIAYNQFNRIDTLLYTLVYPQRPMVISKT IELIHYDKLPAGQNATVVVMSYSGYDIEDALVLNKAAIDRGYGRCQVFRKYTTELQKY PNGRREQLGRPPRDDGSGGAAGVREGKYNALDADGLATVGYRIKAGETMIMKQTPLDL ATTGIGLDRGPSEFRDSSINYKIADPAYIDKVMLSHTEKETTVIKIQTRQTRRPELGD KFSSRHGQKGVVGIIVDQEDLPFSDQGIVPDMIMNPHGFPSRMTVGKLLECLTGKASI IHGRKDYGYGDAFRSHPVEEMSQVLIDHGFSWEGKDYFTSGVTGEPLEAYIFNGPIYY QRLKHMVQDKMHSRARGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGASQLLLER LMISSDAVDIDICQECGLFGYKGYCHTCRSTASVTKMTMPYAAKLLVQELISMNVGVR LKLEDEFPHPKR
SAPIO_CDS3977	MGHRSIPKRMGGADQGPHQRQGEEREPFNENYAQNDEQTPALHE DGSDNEMGEAQIDSSDFLRTREEEPTNDTDRNPMVQNSGVEEDAAAGTRPPADDDIRL LEEVTALRERLFDLERQARPKLNAASLETDWKRDLDKLGPGEAALSWKKGMQQRRVAL LLDNATYTEGRSWLRRIEVEVDRRIKEDLEYNTKLLKLRKEWERKNSISRKIAQEQRE GKELDIDESDADSHDLGDDSDTSEAASEFYGDRQRLLQRNYEYEKKALEVNYRMRKKR QARRMESRRARRPAESSSRPLADMGGGSARPIHQPKPSQIPTQNPFASPKINPKAGTT RRDRTGQKPGIFAQPSALSQALHLVWENFKDTVDTPEWQACAVDVLIGEPVINFDSRR RNMLITRRAGEKRKIDHREVERSQNEDVVDNRDAALLPTSPSWVPERIRIHSRQLIQI LAEVHGASLATPPPTLTLLRPFRSLVHYDQALKEWREKLEKNHPKPDFFEWNPDSGVS SSSETPETKEFKRKNSQQMVHSPSGENLRKETDGSDSEGKWGSLYSGDDGVHSRVAYE HLGVLLDIMDNNILQRNRYLESDACKKVVFSDLWYLFQPGTHCIAPGDKQAYRVLSLE NVGHRAIDPFSKWQAGGDGEASIIIKCVSLDFDGKFLGPVSSTFRILKFERERDIATL DIYPLRFYSAATSEARGAGTADGEPENHATRLWGTLIERGKKFLQVSASNLAAVKPLH YFGPVIETLDEVESQVVVDFEAAFSIEEHLKKGWRPELENLIGDGREPPKPQLCNAEC CALENIHDDSFVERKRNEEFPSRLLPESSEEMPSIMIMRRQLDLDSPEKGLSEDDLVI MPYRVFGFILRSRKWAKLDIDHLTDIRPLDDDDLGCSDTKNDEVPVTAFDRLVLPAGH KRIILSLITQHFRRKELAKTWNAHNDVSILISRVHVGKGLILLLHGAPGVGKTTTAEG VEKFKRPLFQLTCGDLGTTAKEVEAALETNFALASRWGCILLLDEADVFLAQRTKEDF QRNGLVAVFLRVLEYYSGILFLTTNRVGDFDEAFASRIHVSLYYPELDKESTLEVFKL NLQLIRHHFHERDRKFLPDEMGIGAFAQKYWDENPFDHWNGRQIRNACHTALALAEYE AQGGGIETSVRANADAELKVSHFETVAAAYLEFARYMKDIYGTHTARRAKEAGLRAMW VNEKGQVMGSLGPKEAAALKLSTSKSRYKMRSQGRYPGVEPWQTPNPGDLDPQPPRRT GGNQGYEQGPGPGPGLPRQPSPAFGHGGTSQEYFVNPGQPWQQRHSPQNLQWEVPAVP PIDRGQRGHAAGQEQQYVAYGRHEDAHGVALHEPQFSQSRFANQEPALPIPGSQAEAS SRGGQTYRQGGEFPSDTGNRQI
SAPIO_CDS3979	MPSLTRAALFTITLAASTTASSPAARTAHIDFPFDQVLPLPTQA PSVPRQYLAARQNAAASSKTTAYVGPDNICGYIEGRPGASLYCPAGANCVLFTAQPTM TGAVACCNTDACNIRLTCLDYNQVISQSLCDNGCMVDAFTLKCTNSLRPYCNTASFSS SIIDYWCNDASISTPQPVLTSFSGQTADRSFSPLVLGDTTSLVIPSSTIEDDPEVTTS PGTSPTPDPDPPKPKKKSNAGAIAGGVVGGIAALGLLALAAFFLFRKRKTPPAPAPAP APAPAGPQQPQMNYAQGQHPQSVYNPAYAQPGQFTTTPPPQGFDPSKGVYYAPVPGLS PDGSTPQDPNRVSTVVTSPALSSTSGGFAPYQQGAPQPQPQPQGYAPGQQGQVHEAAG NPVDPHRVHELA
SAPIO_CDS3980	MTATQVRVFTPPRALGETLEQSVPAVVDSPQPQVRTIPRVNGVN GANGLNGINGLKAALKRPESPLSKQAYPRIPPIIPRKMPPEQGITFASQDSLPKLPIP DLEQTCERYLAALKPLQSHREHADTRHAVHEFLRNEGPDLQEKLKQYALEKTSYIEQF FVLNLNPFFLLEDDPTPARNNQITRASSLVVSALDFVRAVRKEELPPDTIKGSPLCMY QFSRLFGTARVPTEAGCRIEQDPDSKHIIVMCHGQFYWFDVLDDNSDLIMTEKDIAIN LQTIIDDATQTPIQEAAKSALGVLSTENRKTWSGLRDLLTREEGSNNADCLNIVDSAL FVLCLDYTEPTTAAALCTNMLCGTSEVERGVQIGTCTNRWYDKLQIIVCKNGSAGINF EHTGVDGHTVLRFASDLYTDTILRFARTINGQAPTLWASTSPDPSKRDPESFGDVTTT PHKLEWDMTPELSIAVRFAETRLADLIGQNEFECLDFSAYGKNFITSMGISPDAYVQM AFQAAYYGLYGRVECTYEPAMTKKFLHGRTEAIRTVSEESVDFVQTFWADNEAQKKVD ALKKACQKHVKTTRECLQAQGCDRHLYALFCVWQKLVDDEAMSDYSSNCYSYTYSPVD GYSERDANSVVGSPGRDSVLNGDVGGGGVGVGVGSATSVASGGYRRGRGDSQSSRSRD QYSQPLPLIFADPGWDRLNTTIISTSNCGNPSLRQFGFGPTTGEGFGIGYIIKDESLS ICVSSKHRQTRRFVDTLESYLLEMRRILRITGRKATMTKSTRAREIDLEGRRPKMHAR HKPRGRMITGTDMHRLSINGTMSPTDESLALSEDDDIGGYGFFDAGMLLQALKARGEH VDDGETKPSERAAVQARRRVDVGKKLRLIDY
SAPIO_CDS3981	MVLSLIGSAIGAIFNIISLIVNTIFRLIGAGIDFIFKALGLRKP APKASLDVTIIATALTTIALEFGELEQQNWIVSSFNLTSAAFIPFWGSMADIFGRHST IQVAMALMLIGSAICTASPTNAFGLLLFGRAIQGIACAGLDVVIRVILADKVSLRESS TNWTIFSFVGGVSFGLGPVVGGYLTQADWRWCFGINLPIATAGIILILIIIRKELLGP QPIPQLSETPETGRRTRFAYRLKTIDYWGQVLFLLGFGLLILALTWAGPIYRWDSPAV LVPLCVGVVTIVAWGVWEFLMAPDRMLGLRWPWKAAMVKWDILTDRNVGLLVYSSFTT GMAMFSVFYFCSIYFILVLGMDPADAGVNLIYFTPGVAAGIYISSLMTRFWPRNTYSP ILLGSVCHAVGIGMLAWALYVERKPVIYGIMGLIGAGSGLRFVSVPLHGIGMFPKHIA TIVSLIAVANPLGGTIGHTLMSTVFNNVAGVDPSSDLKTDFGSVLKLPEDQRAAVIER IKMGIIWAYVTLVPFMVLCVLAASLLGTVILPKGKGSEEDRSNNIVIHEPYPWALLRG TARSKTWERVEASEMDELTAPRRKVERTREESAYGGRGMYEQEEEMMPPREVYVPGRE GQHVGVRDERREGYSL
SAPIO_CDS3982	MASIISQASTRTARHTLQTSGGANLRSGPVRTRRLLSSRAVAAR HLPFLVSGKRLRVQCHDIEALQSARTQYLAGREAVTLQVSRSYWVQAGEEPEPEEGGK KKLDDETRLNLGTTIRILKDRLPTILQQPLPSEILAPNISLHLFPSTHPHLPVANGKA AYKAALWSSPLAWNRLPIIGNVGLEIQSIRLQPGPLPFTPLRVGSLEDVLVVRWVTEP SSLPSEFLRNMAARISGGGGDHPAAQEFMGLFAFQFDHKGRILDHTIESAEHSRHWSK GMGSSVLKFTDKLLRGIRQRGEPDPVPFPACSGRGRGGEKEGKE
SAPIO_CDS3983	MSKFKKWVRRVLPFPSHNSQSSSSHPSELSKSDDWPVDQCPVLP EERPRPLTASSSNESLVHSAAGSTASSLFFRLPYEVRRKILIEAFGERILHINLTFGH PKVVMDKEDIEETYRGESAYLFREVPHCGHKIARPDSPRRVNGYTEAVEVLYGTNTFH ISNNPTLLRLLPELLLPQRREAIRSVELKYDFFRIYSPIDPPMTAAAQEACDARLKAF CSMIDAVPKAFPCLRQLHVSPRFEWFHYRMDREDCVARWKADVFPHLAAIVSQLDALE ECVVTVGYLVAEALVSEALIEGRPWCHKQYRGVGRLETDDGDGQWEYRAWMPVSKCVE GVEGQAAAEEEGTGKGFWTVMGELELDTNAPVCFAF
SAPIO_CDS3985	MATASTTTSAVAPQFLPRSHSGSRSGSGSHSHSSTTSLQHANNS PLSSSTAPSTVHFTTTAPSSKTRHNRLINSLKSPWNRDESHNNNNSPPHAHDAADEMP STKNAHGNSSQFNQKLKNFFRINSFASNSPGSSSNAGHSTSSAAHHTSSNNNSHAALG SSSFSSQHHLDNSSNSTKGGTFRSTRFFSSTVGRLRSQTVASEGNPLEDTISPTAHAN PYFAHQGLPGLRHRNEGSVPPSPPDTPDIKVNGGAGAIIDQPTAATKEELARKLRRVA SAPNAQGLFAKGKGSGERPATAELGKDPLLVSKDSGHLGLVDGKPAATAAGAAGDAAR NRSMSIQDNDTLGALPPATTRTPATFRRTYSSNSIKIRDVEVGPQSFDKIKLIGKGDV GKVYLVREKKSSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQS EDYLYLCMEYCSGGEFFRALQTRPGKCINEDDARFYAAEVTAALEYLHLMGFIYRDLK PENILLHQSGHIMLSDFDLSKQSGPGGKPTMIVGKNGASTNSLPTIDTRSCIADFRTN SFVGTEEYIAPEVIKGSGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFANILRED IPFPDSPNKEPVSNLCKSLIRKLLIKDENRRLGARAGASDIKAHPFFRTTQWALIRHM KPPIVPNAGRGIDTINFRNVKESESVDISGSRPMQQHLKGVPLDSGLATPGDGTDPFE EFNSVTLHHDGDDDDGIHLTIGRNGAS
SAPIO_CDS3986	MPPKKNDKQAGGKKPTAAKMVEDRTFGMKNKKGGVAKRQIAQMT SNLKSGGTPEQKRKEAEKAQREREKKAAEEAKREAEALLNRPAQIQKVPFGVDPKTVV CIFYKKGNCEKGKKCKFSHDLEMERKTEKRSLYTDTRQEEEEKKKAETSADWDEEKLR SVVLSKKGNQRTTTDKVCKYFITAIEDGKYGWFWTCPNGGDKCMYKHALPPGFVLKTK EQRAAEKALMDKSPLKTLTLEEFLESERHKLTGTLTPVTPESFAKWKKERLDKKAAEE QAMKAKEATGRALFESGNWRTVDDSEEEDEGESDAWNLEKLRKETEALREKKEEERLA SLYVPSTTHPPAAPKPSQNAPTISSQTGQKATPRPTPTQSQSPSQGRLPSQSQSSSRI SVVLRPPATPHQYHDADADELGNDELVMSDLTPQFRRKVTQQVQQASALSREARQTSA PTPKATTPVLPRIVRTTTTAATSSPRRTKTPTQPSSAAESPKPKPQRLGRPKGWKPGM SYADVWRFGPEVAAENARLNPAKSAEKVSPKRPGRPRAAATQPNYYSGVPKRRGRPPK PPPPTPRAIYDGLQPRYIQFQCEWEKCQAELHNLETLRKHVRIVHCGGAMPATCLWGD CAVKAYPPKFATREALLGHVEARHMTPFAWHVGDGPRISMPDSTGVGKTSPTDGEKEQ LPDFLFDEDGNQVTPSVRDQQIEDFATWKERRKKLKALLRKINDALPEEEESGASDDE NMHYAPLLGGTSGF
SAPIO_CDS3988	MAEAQLTPEVTWAQRSSSSDPEKNFVYLTIVVPDVPQDNLKLDI KDQGLTFTGRSDSLKKTYHLELELYGVIDPDKTKVHHTGMKIEIKLQKKELKEEYWPR LLKDSKKVHFLKTDFDRWVDEDEQDEAADEDFSKFGDMAGMPGMGGMGGDFGNIDFSQ LGGALGGGAGAGADDDDDDDDDDMPPLEGEDEAKDEPKADKPADK
SAPIO_CDS3989	MCHSSFAPLWVADDNVAEHDLFLQARTVRGYSPCNADDQNVLDI WKRTVHVCDEVGGWMTSNPWANCHHNPVTPYTPYVIAIVVTCPRCLSVAGFYMGRYFG KEKFLRSAARASLQSALASAASTIDFCDPYSVFDILLHEIVSLRQEEPDYGLLHEIAR HAIHVSETLTVASGSAKDLHQQHEDFTSLYVKDSSQWRRHRSPLRFPQRILEALLARS ESNKARLQTEIQLAFHTAAQRDSRIQVQIGEEARKETTAMKALAVITMTFLPATFISS VFSTPFFRYEDASEEARGHIAVSDQFWIYWALAGPLSVATFALWAFWDRTRARTGLAR RVDDAGQKDKEASNSIIPWRHKLASIYRDV
SAPIO_CDS3990	MENIRAFFAKPDPQAQIRKCNVLLRSNIRKLDRDIAAIKQVEIK TKNLIIQADRRGQRNPHQQKQAAREVRDFARELIRTRRAADRLVTSKAQLNSVQMQVN EAFAVRKIEGSIRTSVGIMRDVNSLIRLPALAQTMQELSAELVKAGIIEEMVGEVLPE EVEEDELADGEVEKVLGEILKDRKEAAQKLPEVPVMPEPAAPVAEEEDAEAAAMMDQM RNRLEALRS
SAPIO_CDS3992	MTRPNLLLCFDAFGTLFRPRRSPALQYAEIARLCGITGFSDDEL QSSLLAAIKEEARLNPNYGKSTGLGATRWWTNVIHKTFTPLIKKDQVLPKDLAPRLLH RFSSHEGYEAEPNLTSSLKALRSQRRFDNIVIGVITNSDDRVPSVLSSFGLNVSPLRY GMEVKEPPSTLASRHYDIDFHCMSYDVGVEKPDKLIFTAAERMLARVLSLRDGKSHID ANEADVDETWRKVYVGDDYAKDVVGAVNAGWNPVLFGTTEQAPGNVPRLEDYPGRTLD DMFREHAVTRVDSLQNLAKWFVGGVN
SAPIO_CDS3993	MESSTPLPDPTQAAFNKAIREFKANLKNEALYSEILSTTSADQV YDLTDKLQNEQGRGGHLRNLAKLKPYLERLQGYSGAIDTFVQAKAEVLALIWGPIKLL LQWASTLTSSLDALINTTAEIGLLLPEFGLAVKLFGHNSMVKDVLALFFQDILDFYLV ALKFFSMPRFKSFFEAVWPKKKQQIDSVINRIGRHTTMLRNEVRLEHIQEEYNARARD MEHFENLERSTRRTEYGVLETRVAPVFYDTKLNHLRGRLCGGTGSWFLDDSQVQKWLN VTKDSPKVIWLRGIPGAGKEYQFRDIIDAGADQWSTSRQDIPRSDVLCEISRETLSSD PTAATDILIKLLTCSGRVHIIIDGLDEIDEIERARLLQEMLRVSESCEETRILVSSRA EHDLETLLKPVSTEIRVDTRNTDSIQTYVSNRCFDWVQNRHFSPEDRKEIMTLLSPIA GRAKGMFMYAQLILKSLEFLDTIDEVRDELKILPTDLDDAYGRIFSRVNERLPSGSVR DKARRILGWVGCSAVPMTVEELEQALSIRIGDYSQIPKGYSTLNLVRLCGPVVEVVDD NWETADPLTLRRISASIQNALDKTANTPAEHAQHKRDAEDAEDAEQCHCDAIRHNYGA RPFKCPFMSCLSHRIGFETKKLRQSHCKSHDRPWRCDVPTCEYAKIGFLSRRMRDDHL DRNHRAEDPEQLITRGQRSNDELVSLLLALIRTDKVHNVRSLLPAAQDLKNDQIIALF AETASLGSSDMIEIIGSHFWDRMIDIHDWEGNPFWEQVLIPAVTRGNLETIGWLTTKA GSLIERRTGMYHVKDVFVAVLMSDKSVALYNHLELFMIAVYSKKRLEDSRFARNSLRA MDPTLISATRGRPQSERILISLWKKLDDMGCVPRRYFGTGLLSVAKTTCSITLASALI DLGADLEYQNNRPLRRAARKDTEEAARFMRFLLYRGANPEKNCNKYRSTPAEGAITRV KISDEVGAKGISKWLKKSWEDLVTEAREARMNHDKPPISED
SAPIO_CDS3994	MKGVEGQFGNWIATPQEGSPHQRDWTQPASQEIPTTGNSSASML GLQPSGAHLQSLEYQGYVRDWSTAGETPTFSNNNSQPVPATDPSPEVGIKEEPDIECM IPVKAKDDSESQLPLVSEASDEVDEIVDYDTCFGVVIVEANLSTTDNRKIADCPITIR SEGDILSLCFEDSGHFAGIIDSRALKKLLDRYAVRLTAMLLCSKPSHNGKETKVPKRK GATASKWRLADVTARVVVYGKMEDKDDIANLLSDAGLFFQHLTADEYDPEVPYFNPHF LLRPGAEMPKIEGLSISDSQSASGRGGLLDEVSQGKIWRIFDGASGAGTLASVTASPR LNSTLRERILVSDLLKYDMVLTTYETHREDFMTSKNPETKTLYSYHWYRVVLDEGSLA HRIRSRGSILHQSALSISKCAHCRWCLTGTPIHNSLDDYAALLSFLQVPKLSDKREFD RLIAKPVKNKNQYGWGRLQDLVRATCLRRTISGLDAGILQLPPPKSKIEWIELGDDEP LYSFFKRKTASLASGQGKKKARSAKGSAGKVKGGDNILSLINFLRMICNYGEQMLPAK ALEAWRQRDLSSIDWQEMEAMQRQCAGCGKRLRDADEGHVLPCGHHMCGKCQLDAEEA DEGEVDEAVACSACRDSDRIGESKNILAASEGSRSAKVQALIHNILEQQPSPGASPPR KSLVFSCWTRMLDLVQKDLQDVGLGIQRIDGQASLQQRRAAMEQFKSDPNCTVMLASM GSAGEGIDLISACHVHILEPQWNPMTESQAIGRIHRIGQTQQVSVTRYIVNRSIETSI DSKAISQEEVDQNRWKKLNGSLS
SAPIO_CDS3995	MSAAMSSNSRQRSGSHHSGRSSNRGRTAEMADFAMQPPSLPKYF EPCAATASMFLYAQGPSVVCCHHDTLTIERRFARHSHEVQLLAVDNQSERGAGRLVVS YDAGQNAIVWDLMTGDEISRFASYEHITAAAWMRSGNVAFGNSQGTIILFEPRTQEHI SSRTIDQIAVTALAPSGDCRTFAIGYQNGQCLVATLQPRFTVLHNLMMSRSPSPIVTL QWHASSSRQKSDMLASQTQEGDLKVWSVAKSFNAHEPAKVVRSLKRTEIFRPGPNWMA WSKNGRIIQYSELETISWDVRTKHVTHDMIPTLEHIRGLAVYGPGASLFTLGANNTVQ QFDLNAPSIMVANVQHPANLLPPSPPVSLENEKATTIASESEITFNPSDVGVSESDDD YSKQSFSQDESQQERAENRSMLSSQSGYSTVSGSRTPNQYGGGSIRSRGLTEHTYISA GDSLKSKQRSYKPSDLYSQCSFSSISTKSHQRPSRLRNEVGQTGSPAPEETRVDDLFR FTRSRLSDIPYKPPFALDKGRLTNDDLRRQMLSTIFGWHKEIEDLIADEMSRHPSGSS SRIMLAKWLGIIDQDIITRGAESMTSSDWMLLALSGIGRQQGAQHKIGRAYVTTLLEK GDVHAAATILIGMGDHNDAIEIYNSHKRYMEALILTCLFFPAVWERQAAIIKKWGEWA IHHSQRELAIRCFACSGQESTEPWASPSAAQLTFQNAAASIGEILSPPLSPPGLNRGP QRLVGKNSALKIITSFGDLQGGGGRAAKFFSQADGGQTPIAAGVTPIAESAVDADPMT AVVRGNRSAFNTPTSVKSFASSAYSSRAPLPAIGEANGELSAGGANRDDTEEEESISF GLSIVRAHTASPMMMRDGLRNARDNMPPPDASVTRKMEQHMTNRRNGSRNRMPTGLNL HIEPVDHARPTPDTAVAQSAKYHWPRRRGPGSVSSVTSASTSRSTRTGASRHADYIHS LDAATDFRKSGRGRESSRERQTQREPSLERGRTPIKVYPGKRSPTSPIPMSPEDLVVL SSAKYTDVTATDPIGHRKVSNKSRSSNKGASRRASPERRPSLQDSRGRTPGLEGIRSP TSPQPMTGVPPQLDDTEDEEDYFKAIEAQQKFREKHNRSTSRGLVTPTTAPLRQDRSR SRTRKDSTSNLNGPAPYLRGGSVEHAGDLKAMKEERQRRREQAARELEERRRELSRNS QAGPITHPKDLAPALRYTAVEMSSSSNAISPPRAKTADPHLSPYGRGKLGTPQIGLPA TPKAMRLVIDTSSQSQVSAPPAVPPIPSSFVQVKAEEDQAPAEIKRQESPNKEPEVGG LTLLPSTVYQPPSRPPIPRCMSAPIPDEPLGGKPNHRTSSRSGTLSRNQSIRSKGRDS HGAGETLDSRTYSRRGSQDTQHPPPPPPPPPSAPLLKEFQHLAMPPPPPPAPLPFALQ QQSSNSALASGMIEIVMDDDEPPSPSAPIHVPPTELTVPILPPPAPPSLKGHSRGRSI TDSSLAGRISKATERLRSGSRTRKDNSMRVQKSPEVAPYESIQAPGLYTQMGMMAHPL DPNSLPTGLNKNEMI
SAPIO_CDS3998	MAEIPISIVLVGDTRCGKTTFISRVSSKDKTGDFTILRDIDQPF IFEVNRGPYRFRLEFRDSSGPDNWKTLDPDVVVLCYDISQRLSLINMKRFWITDVKMT FANRDTVPFLILGLKRDLRSEDDPNGIIYPQEGYRVAQELRADKYMECSAVTGELVGL AFEEICKTALMTRTTEGGQSEGGCCIL
SAPIO_CDS3999	MKILEAQNAVLTNYEVYRHLTEQRERYAQEKRRGPPNLETVVRE ILQYFRSPPNPLSQNPITYTPECIAQLLESLRPYSFSKAEVIMLFNLRPQSVANLNTS IEDLEDRFTMEQQEAIIDIIVSVLGKFESSEDQPAEGDVSMTNGES
SAPIO_CDS4000	MVRNIVVLGGNSHPQLVENICNILGIPASNRILTKFSGGETRCE IQDSVRGKDVYIIQTGSGNVNDHLIELCIMISACKIGSAKKITAVIPLFPYSRQPDVP YKKAGAPLSKLSRNDTFDSVPPTPGPSASRTPPEFNHKAINGVDGLSQKLSNAKLAEI QNGVDEKEPATNGAVTKTERPTTPAQNGMTNGYVNGNGSYTSNNTGANTPFRYTTHDY ENQNNINGFQPRPGYKQWIAQAGTLVADLLTCSGADHVISMELHDPQYQGFLDCPFDN LYGKPTLQRYIKESIPEWREAVIVSPDAGGAKRATAIADALCLDFSLIHKERRPTKFS EHRNSTMMLVGDVKNRVCILVDDIVDTANTITRAAKLLKKEGALKIYALLTHGVMSGD AIARINRSPIDKMVVTNSVPQDEHRRLCPKLEVLDISPILAEAIRRSHHGESISVLFQ Y
SAPIO_CDS4001	MGGQRNGDGFLTREEKRLKEDREKVKYWKKWGPYVPERQWGTVR EDYSANGDAWAHFPHDHARSRTYRWGEDGIAGVSDTHTLMNVAFAFWNEEDDFLKERL FGLSNPQGNHGESVKEAHFHVDNVPHSYMKFLYKYPQKKFPYEQLIQENAKRGKEDRE YQLFDTGIFDEDRYWDIFIETAKEDDDPDELLFRVTAWNRGPDPAPLHILPHVWFRNT WAWGRDVPENKPSIEMYAESMVKTKHHKLGERYVLMSPSPGVGLTGNDVIPEMIFTDN DTNFELLYEGKNQVPYVKDAFHRYVVDGEKGAVNPAQEGTKCAAWFTFNEDGGVLPGE CAVVRFRLTKRNDPFLDEEEFDNIIDRRKEEADEFYYKISPLPISDDLRNIQRQAFSG MLWCKKHYYFVYDQWANGDPTQPPPPRERKAVRNMRWKHMHCDDILSLPDSWEYPFFA VWDTAFHCITLAMIDPEFAKKQLDLFTREWYCHPNGQLPAYEWDYEDVNPPVHAWATF RVFKIERKMHGRQDLDFLERVFQKLLMNFTWWVNRKDMEGKNLFEGGFLGLDNIGIFN RSEPLPTGGVLEQADSTGWMAFYCLCMLNIALELAKYRRTYEDIASKFFEHFIFISDA MTFRTGQKDEKSLWNEEDGFYYDAISWGGPHNQQLPVRSLVGLIPLYATLTLEPELVN KLPSFKRRVEWFTKNRCDIAERNMASIRKRGKGNRILLAMVSKDRLEKILRKMLDPAE FLSDYGVRSLSKYHKDHPFSMNVNGQDFRVSYVPGDSDSNLFGGNSNWRGPIWLCVNF LLVEALQRFYLFYGPEFRVECPTGSGDYMHLGHVSEELQHRLQHLFTRNEDGRRSMNG GNDTYDFDPYWRDYLWFYELFDGDSGRGLGATHQCGWTGLIAKMIHDTGMSCRLPQTP RTPAVGLDHYFDEVIRRPSLSLTTSSKPHKLRRASTARSIGARSDFINDQSDASQSQS LVGLGIRADDNDNGEVEEEEEGLPSSFASPTTEVDPRLHSYVAEQLERYSALLGDEAG GIEQLEEEFEATP
SAPIO_CDS4002	MTSDMTDEARAQARTRALTLTLLSHRMSEGSSSQTTSQARQSDT PRSLFDHDSSNFLLSPALSVTTVNSRPVSTISCLPSPTFRDSVISILDDPFFQKLRDV GAHHSDHHYDEEDDADAAGRIGIEQTISGNAAADKLAVSDEEAPPLWNQQQHQHEHEH QYQQYEQHAPQQGVGIGTCNSGEVHLLRNANSILDALDLVVDHQSSDEEDFDDEQPVT KLSLPHLAHGHGHHRPHPHAPDANVPDQPYKRPPPRRESMTIGVFQSATIAGPLRSHP TSYTNPNLHSNTNTDPNPAMEALNIAIIGARGVGKSSFVQRVLGLSRPPISNSSNLRM IIDNQNYAVTLLELDLEHFDMAPSQPIQWPKQMNGHILPRVDGALILYDVTNKETIMD LPRTLAIGLSWNGRGGEHPRTRARTPAPWIIHAPPERLALLQITNIRICLPAAIAALT NSGLPSILVACKCDASENARQVDADGMANHDLFKACVANYNISSNKPEHSRACLNTIL RTVISNRKGAIAEAATSRRRAASVAQLDASFDPTGGRPHSQQSKHSRASSDLSLLRGF PNPPISGEHYRGGHNQGSSRHSPRLDYSPSTTPSGTFGGEPVDGASQSVSSQLRTPGI RLDLAVHNSFLDMDESDGESYRYSDDIPILQRNEDGLLQEKPAKIPGVSFDELVDRLV AQRMTKADHSFSDIFLCLYRQFAPPSRLFSAILDRLDRVRDDRTSHYLTKTATQLRMI EVVAKWVSLYPGDFARPSTKRNLQEFVKHLSTEPIFFTSAQQIRRYLERNVVEDDDTW WAKCDPVEDPASTAGKESSASPSSNSSSSSSLMQESFSGISSLRLDDDPMDSGGGDRR GSSAVSGGGFGLDGSAVSNFAGHSQIHQYEEYERQASRLEPSDGHLPMNKARYHSFMA IPDEDVAEEMTRIDWIMFSSIRIRDFVRHVALSSEEKARCRSLKSVNRMINHFNHVAK WVANMILLREKPKHRAQMLEKFMNVAMRLRQLNNYNGLAAVLAGINGTAIHRLAQTRA LIPADVQKNFARLVILMGTQKSHFAYRLAWENSPLPRIPFIPLHRRDLVSAEEGSKTL VGPKGDRINWKKFEVLGEVLLPIMKSQGTAYGKLGKHVSARELILDCRMPTDEEEIYQ RSIQVEPPTGGPSETGKKKFPWFAK
SAPIO_CDS4004	MPNPADPETRPEEGKANMGETSPDSNAPAIPTNSKKRSSTEPAS TANASRSGVCGICNVNVGKYKCPRCRMPYCSVACNKTHRENHPPDPEPPQALPLDPTP KNAEKPKIDPSNPFGVLESSEKLQMLFKKYPHLPDQLLQIHAATQPPSQPTTQPPAFL GGRPSESRRLEKEIWSRATGIRRGKEALHRAREAAGEEGEAVREYQELVLHLLSNREE GEGAVQKRLADQDNELLRNFVAAETRRSKD
SAPIO_CDS4005	MASRTNPRSALGLLARTKQFVQIPKNATTTSRNVARQLHTRTRP AAPILSATTRPRLSSRATTPALAGALLRPQGARTIFIQTEPTPNADAVKFVPNHRVIP ESINVPYIEYLNPRSTISPPYPSPLAANLMNVEGVTSVFYGTDFITITKDPDANWAHI RPEVFALITEAVTSGQTLVNTVEGKDGASAAEEDSLAYDENDSEVVGMIKELLDTRIR PAIQEDGGDIEYRGFEDGYVSLKLRGACRTCDSSTVTLKNGIEGMLMHYIEEVKGVHQ ILDPEEEISMHEFAKFEEKLRNQRGSQE
SAPIO_CDS4006	MKGLVLVCVAVLAVSSAAVIDRQKVVRAFNPKRTRSSEETPLQV GNGNFAFGVDVTGLQTFKPFATMSTWGWHKFALPETENQTSPEEFKGVEWDTHGRPVF YNQPNEDQPDLSNWLRENPHRLNLGTLGFSFADDISEDDLTSKTQTLDLWTGLITSSF EYKDTPVTVQTVADPYSDTVAITVDSPLLATGALQLSLDFPYPVNEKFNAPFVGVYNQ SELHNVTLRNIGDQSAEVRHVLDETAYSVFLRWEGDATVSGPLYERTHTYLLRGWGET LRLTATFAERKVCGKAASVEEITEAAERWWGDFWEKGTFVDLTATGAEDAREVQRRVV LSQYLVAVNSASDLPPQESGLVNNGWYGKFHMEMYLWHALPFALWNHWPLLNRSLSHV YPDLLPAALNRASTQGYKGARWGKMTGPDFNDAPGEINSLLIWQQPHPMYFAETEHRR AVSSSEEEGAVLEKWDEILSHTADFMASFAWWNEKSGFYDLGPPVYPASENTDPRLTR NPTFELAYWRFGFDIAILWKERQGLPTPQEWLDVRDNLAPLPTVDGTFPVYEGVPDMW VSNATTMDHPAMTAIYGLLPPPLSGPELDLEVVHRTAEHVKEFWDLDQSFGWDFPMLA MNSLRLGNVADAVEYLLHPTFAFDDAGYPLGGTRVPTPYFPSSSSFLIAVAMMAGGWE GEEGAHFPEDWEAVAEGFRPTL
SAPIO_CDS4007	MENLTISDSGPRGGGGAAPPPAGSAPGGLQGPPVPQQLPPQMFT TAAQLLDLTDKKLMVALRDGRKLIGILRSWDQFANLVLQSTIERVFVPPPQKEDGTID TTQRGLYADIPRGIFLVRGENVLLLGEIDLDKDDEPPAGYDAAEAAVVQKLARERKEA EKAKSKARIGRLNAIGFEGEHMGDVLL
SAPIO_CDS4008	MAAATSSRAMESRTGRSKQRYNAKGERLVAGVVPLTEDRKYVLL IQSTRRKGWVLPKGGWESDEECTEAAEREAWEEAGIIIQIDYDLGDITESRPPKPSKS SSKDNSKALYRFYEATVVREETEWPEKHKRERQWMTFKQAKDALSARPELQEALERST MKKH
SAPIO_CDS4009	MCSLCYSYYASAVSLTPEFYQSLLDRTWRRSGSLLYRPDPRSSC CPHYTLRLDTDLFCPTKDQRQTINRFNRHIIGEAYAREAARLYPRSREEAKKRDNVFD LTERIHEAEIGALKTPPSPSHEFSVTIEEDSFTEEKYKVFENYQMKVHKETQESVSRN GFTRFLCNSPITRRTETLKSGRKRRLGSFHQCYRIDGDLVAVGVIDLLPQCVSAVYFF YHESIHKFAPGKLGALREIVLAKEEEYKWWYPGFYIHNCPKMRYKIDYSPQFILDPDT LAWEPLDSQVLAILDRGAYVGISEALKGGQTKKVNGASGDAGKASESSPPLKEEKEEE EEEEEAHKSDEDGSDASSDEGETLLFTKKMPGIPSLDEMKEVDLDDVFLRLGVNGSLH RTSDLIVWHDLTIDDSPNIKMMVAELVSALGVDLMHDFCLDFTHR
SAPIO_CDS4010	MFSRHALIRSVRAATAQRVVPMQLRTYAAAAATENVRAPVAVFG LDGTYATALYTAAVKTSTLDPTAQALDTLGQIVARDVKLQNILAAPTLKPEDKSAIVA ELAKQAGAGNETVKNFLETLAENNRLGLLGGVCEKFGTLMSAARGEVEMRVTSAQQLD NKTLNRLETAVAKSAYVGAGKKLKVTNEVNPDILGGLIVEIGDRTIDRSVSARLAKLN KLLTDSL
SAPIO_CDS4011	MSSFLTTVNQRTRNQFRPRAPGRGGTTSHQLRQYAEATLGGGSL RKVVKLPEGEDENEWLAVNMVDFYNQINLLYGAITEFCSPQSCPEMKATDEFEYLWQD SENYKRPTKMSAPAYIEQMMGWVQSNIDNEQVLPSRIGVPFPKSFPSLVRQIFKRMYR VYAHIYCHHYPVIRELGLEPHLNTSFKQYVLFIDEHNLASGKDFWGPLGDLVDSMLKS D
SAPIO_CDS4013	MSEQAPAPTKTPPPLEADGVRDDPAEPVKPVKSPVPVEEDPSLP PPTSNTVILDHPSTASDHELSPVPSESPSLPSSMNINPLHDEIVVSSQNNIVSSNPPY SHFPDTDGEPQDDTSSRGPTDARRGTKRVLLPDSPPRRQHDHQSDRAVTTDISKELEP RRTKIVRRSVTGPGIKGVVLGYWRDSRVPSVEDRHAVVGFIDVRDRLRTQIQNTTRFG EPVPPEYPLPPGPGGSWVTFERIVFDDHLVGLDQHHIKEYVKVRSEMTSADDSKEAFS EADLAARAEAISRLKANPIPDTAPPAPMAYGENVPETLLTPARVDNSAKRRRTSGSFV PGSSSSPSLREAAINAILSLDPHDPLIGTRPTRVLVGYWKGSSERDVRNRHAVYGILG QNDMFRVKVVRETRDGRYMNGNFPTGAGALWIHYDEVQFEPALEGLNRNEVKEYCRVR QYQMDRGDESEQQRLVNEDVAVRYARERVAAGFRGYTSAAMPRSARDDDSSMMAETRP VRSSYQQPPQLQSQQQQLHHQHHQNNHHHHHHQQHILEQQQQQQHPLHQQQLQQQQQQ QQQQQQLQYRNAGSDADLPQRRTRDIRPNYQESSSSSATHHHHHHRATSELVERTSNI AQREIARAEAAQLRQDRYAATKEAAVAAAAALSTGHSTPMQGVRHEAVLPSNGHLASS SGYHGNHNSNNNNNSNASSNTNNGGGGGGSSSGRVPLHESDEMARLNNVWARQEAHRA KAAAPSSSQDDAKIYNGVKYERKATGPFQGRFVSQGTIISIDGEDYVEYRVLTKPSFF 
SAPIO_CDS4014	MSTPGPMALALARPALGPSISSILLRPLGQRLPVHLARWNRAAL QPSPQSRTLYVPRTLLPSFSAKANSINPRFVRSSSPSATLGLRFSSQFTTLRTGDSIG ISRSLLATREATANRNPNSATAQNAFYQLLLKAGMPAIVVERYQSGLYASNEAADAAY VRALEMLKQGVGETASVGGASSQFGVPSNNNLTPEQMQAVAQAVAARMKGTDMAASTG QGLGSKQGPLHVVVEESKGSVLFRWIKTLLYFGLITYMCMVVVTLAVGFFDNLDVYKR GNKKSQGEVRAETQKTRFSDVHGCDEAKEELLEVVEFLKNPDRFSTLGGKLPKGVLLV GPPGTGKTLLARAVAGESGVPFFYMSGSEFDEIFVGVGAKRVRDLFTAAKEKSPSIIF IDELDAIGGKRNVRDAAYMKQTLNQLLTELDGFEQNTGVIVLAATNHPQLLDKALTRP GRFDRHVVVDLPDVRGRLAILQHHAKKIKTAPDVKFSVLAGFTSGLSGAELENIVNQA AVRASKAKASAVTMKDLEWAMDKVIMGAERRWVISPKEKLMTAYHEAGHALVHLFADK PPSELHKVTILARGQSLGHTAYIPEMDKYSYTLMDYMTHIRVALGGKIAEELAFGPDH VTSGVSNDLEKATKEAFAIVGRFGMSSKLGPMDYANNYEKLSSETRAAVETEVQRLLS ESAEDVKTLLSSKRKELDLLAKALVEYETLDKREVERVIRGEKLTGRMPASPNGTMSV PVPDKPAVAPPPLPTGRPTTGETTEPPPSVPAP
SAPIO_CDS4015	MELLELVENEPTARPFQCDWQSCNKSFNRKSDLQRHYRIHTNER PYSCMTPGCGKSFIQRSALTVHIRTHTGEKPHQCAHIGCGKRFSDSSSLARHRRIHTG KRPYKCAHDGCLKSFCRKTTMVKHQRRSHQRGLHPNELLDDCTSDSDSGESPATPKHS GMQWPVQNVMNAGPQPMSHSHNLHRAASFAEFGHQMGPYNSLPPQHYGHRHTVSGGPH EYHGQPVPPQHPGVQMIHRTASMPQTYYVTEQSNPGVATMNTNPMPQQYQIPRQPIER LPLEIPYSAPGLTSSIQSSPSSFSAASGRSPSNQEGFYTHQPTQAATYALHAASPVEQ QQTHQSMVSYPSPIPQQISQQPVGSPQQAMPTPTPSQDSTSTVAAEQYQQPTPQSEGE QWYGNMPYQPPVEVATIGQIPTYGSGVYDPWGGPKLVEFEDPSMQLPSARIANM
SAPIO_CDS4017	MKFGKNLPRNQVPEWAAFYINYKGLKKLVKAAEIASQKGEPVDL AEFFFALDRNLEDVDSFYNKKLADALRRLNLLNERYGYVPEVVPTLDADEIEELIGAL LELRHQLRNLQWFGEINRKGFVKITKKLDKKVPHAATQHRYISTKVDPKPFAKDMNVT RRLAEINKWLSVLGDAQNADDAKSDRSSRSLGRASAKGATNLPQSRLDALDQAARADD VTSLETNLQETNALNEPSFQPLLLNLLQRSITSRSKKCIEYLLGKIKTLDEPDDINSR NCIHRLVMHIGRTKTSASKEHSTNTYPFHGGTQFSSQYLQPAASAASSPKALNQNEAG LLGKDDEAVRLLIYLLDKLSPEQRPALKSKDSFGRLPLHYAAHFGFVVLCEIIAAKMK EWGQFTLEDDLDSPEWQDVDGHGPLHLSVIGGHPKTTQALLTAMSKKQQPTSNGAVEV TNLPVSKSGAILGLATKANFGVIVKMLVDAGLDINWQDKAGETALHIAARFGHTECAK VLIEGTADQKADIEIIESNYAWTPLHVAAVDGQLEIVKLLVDAGADLAKPDSSGWVAK EHAALRGHMEIARLLDSRMRDAHVTEGKPSRSPSPRPENASIQERRSNGAASKPIELV KSFGHRYLTNESLILVSLGSMDMRKNVEAVSLEKVPLTEAHLTGLDTALSVVVSASGA QGEPTIIDLPAHENIATEPVVFTAQDATKVKILFDIVPTYSGNAKDKIGRGVALLSSI RPVVGTKRMSLQGDVCVPIMAGNLEVIGTVNFNFLIVTPFSHPKMEITSKQTYWKKLA DTMVIGHRGLGKNVSSTRSLQLGENTVPSFIAAANLGAQYVEFDVQLTKDHVPVIYHD FLVSETGIDAPVHTLTLEQFLHINPDSTRSMHKDQNLRDPRMRPRSSSLDARKSVNAL VEEIKKRTEMEERMRHTRDFKSKGYKANSRGNFIQAPFATLEDLFRKIPEHVGFNIEM KYPMLHETEEHEMDTYAVELNSFCDTVLSKVYDLAGDRHIIFSSFNPDICLCLSFKQP SIPIMFLTDAGTAPVGDVRASSLQEAIRFASRWNLLGTVSAAEPFVNSPRLVRVVKER GLVCRQVKEGIDAVIVDNVLAIRKGLTTNNDRGVVDQEDSTTVLQDITTKENLGVVGS 
SAPIO_CDS4019	MLALVLTAELSGVTNLRPNDTEANPFWYTFKDMNEMSGSRGEAN FVWKCKNCKARYNLSRESSANIKAAPAAYEQNDPPKKQKIVEFDCRGLEFVEFQPDGE WLAEGTDSGTKFTAIDLTEGEWFDYDEKAGEEVGITNLKWEIHPYHCAMATLGLQARL SYLTDAAHMLAASAPEVSAHLMSRRNLLTSNNGIPISDVEKEHVCMACGNILIPGCSA ILKIESDKALRRRVQQKSNQRFSNQSDAGGATQKKEGIAQEKLERMGISKTISCDRCG RVTEIQLPPPPSVARVKAAQRSKLATSDLKSKASEASSVKTSANAISKKRAKNRKAGL QALLADSKASKPSAGLSLASFMKK
SAPIO_CDS4020	MSAEETKPTAQANPARPSEFEAIGSKVDKVAAPTNGEGAEEDDE KVVQEIESLCMNCEKNGVTRLFLTAIPYFREIILMSFECPHCGFRNNEIQTASEVQPK GSYYELRLTDMADFSRQIVKADSATAKFIELDLEMPPGRGQLSNIEGLLRTALDDLEL NQDVRKEREPELYEKIAGVVQRGRDMLDGKSFPFRVAIDDPAGNSFIAPNPTDGVGKW EKHEFLRTPEQNAALGLSATDDGATLVPGPKQPGLTEEGEIIPNEVYSFPATCPGCMH NCTTHMKMVDIPHFKQVVLMSTVCDACGYRSNDVKTGGEIPELGERITLEVRDSRDLG RDILKSETCSLECPELNLSVNPGTLGGRFTTVEGLFTQVRGDLHNQIFQADASGAGGG DSLSSDEKETWKSFFDNLDLAIAGEKPFTVILADPLASSYVQSLVDPPNPDPQITRVK YERTDEEEEELGLKDIKVEGYENDPAVAKKEGEEKAEEKPEEKKPETT
SAPIO_CDS4021	MKHHPREEDCDVSTKKWGSLATDVRDSEECILGCRQRFVSGVLA ESGKPSTASLAVETTDELCEVLASSADHSGAERISAFYRLYCSNVDRIINECHSKGYT DLRDPGLPNTDFECPDSSVYQPGEKKACSASLRAAGLEDSSAPSPASAPPSTQPASSL HPSLTPSATGATFPEENLTASADGASPSSLGTKSGMGPGVKAAVAVASIAAFLAVLSF FVCLWRRRKRPLPSAPNEYRCSFRSRIKHGGESITTAPSSFTASPTSFISPPPPPKSS SSTTLGNGGPLSSPLRLKDRKLLPTFVNDAARKPPHGNRHRLARSPSDSFPTSPLCAP TTSKLEPRLERGLYSGSKPTPFHNHGTQGGIPISRSASTTGSVSGTTTTTASSSSTPV VVGGAIPPPPSSPTRPPRPHDTPLEIPGLVTPATSPRALQSPAVPPPALPRDIGVAVS SRDKGPSGQTISAESSSLYDLTEQCAREERESWGMFRTAGGGAPGVSVSASGKEGGLA SPVLGEGELERMGGKYN
SAPIO_CDS4022	MTQEYHIILQQPSTTEIIVSHDGQATVVKSDWVLLSFPPDELDP WRHVRISVGKSPRHLEFEIVFPNHKKQPLQDQYLNNLRAFVDTSRSALPRLRGLDLHD IRSPISQAPVFKDVFHVIRTEGRGAHGVVDQVVSLRNGRSFARKSFFEPTPQTGEKRR RRAREEWLWRIRNEVNLMKNNSHLIDFQERPRPAILMPYYPHGSIEKFAGLHSLRESQ YLLAFFQLLTALDHLHSRGVAHRDVKPQNILVTEVNPFTIVLSDFGVSKFRSRRSPLE SFCGTECYAAPEIFPGKCPAEGYTVAVDIWSAGVMMLDWAFGYPESPRWASSQQGLEY WWKALVRKVDGCKADDEVRDILKHMVVMEPKDRFSARQCIEKGYQNGLFHVDEDSQRI LVRTRTCEDERHGDPSTECGCHRSNDNGRSAGSAEAPVNEVLASPLENRELDSELYLA WEASSLSNSPARKRRKLAPRSQLNLPQSSDSSMSS
SAPIO_CDS4023	MQRQRSKRVKFSSSDDEYDGYMTYRPLSNLPTPPPSLRSSAAQS PKNPLEDGERLKPRYLGPAIHLVNLIPAAASLATPSVPLVQAILSRANLPLESIALAV CVLDALDNRFALSWRLSCPLTSVPTFPSSSSNKRHTLPSSPREQQLHIDSVYPELIIL AALTIAVKFLDDPHSSTRYYCSAWGGDIWSCRQLNVTERCIMENLNYRILPLCEDDLL TDAMVDMQLAVRQGGFYREEKDFRPEASAYLSPADDSGEDDAGDEEEEEREGLYDGRR GRAVVGLGLNLTPAETPRS
SAPIO_CDS4025	MAPNKDEIEEQELDLSSLSPVLPAVVLWKTYSSAASENEPNANL TDSQAKTKKGKNPVRTKVASNASKKISTAPRASGNTDAAVVAAAEAAEAAGNVPNVPN VAAQTVDISGDVENGPVWYPDFEQFQQEPQTEGYKFLSKRASTLSGCNLGPNPQAWEE GCFTKESFIQFGPPQPTLRTRLDVHDEKEQRWSLEHAIQTEIGAEAVREKFGDGGLPE DVRFQMLLDAPPPALPPAIAARMHASGGTHHPLTPAITVPGSSQHFSPQPMIPAAQGA VMPAGYDPRNMTSAIGQGATTTGGQYASAHFDIPNLNPGMPITSMPSGPIAQTPTQQH PLGKVANQGQASILPRTRRSTAQDNQAPKKSRKQRKNATASSSRQGTAPPIPRAIAPR PATAVTIHQDNPDIGPLTFQHAASGAQGAAVRVADRAPARPPTLDNMFVPTDLPGRFR APPGSESVIRFDPAMTLDPEGPLFFDEGNPAAGTQPYSSFFEDEYAAIMREQALTEAT MSRPWEMFPAQELPEWDPFLMVMDIEGPSEVAGAAPSGQASAQDQGVLGTSGQVTTTE STTPAPALPVPKLRKKPQAQGVDSAEVMINMRANLQGNQAPFSAEQLDPMPSSMATEE DRLQPVQPKLDPTEENAHQIPEKTIKGKNMAWGGCTAVTEHMDFSNDPDLKDLSIFYE DWD
SAPIO_CDS4026	MDWDGEDLIQFSPVRQDEDCVGLPPAVNCDFGGRPRESQTQASD DGAVGENLFTGLASSMEYPRYLLSNRPEPHSDHLFSKMGSREAMIVQSDDVFAPASES KTEYLDFPPSPDIEALDSMNAVVDKDRDVADIEDNGEKYRLVSAPAVPKRVSERKRTD AAIFEAWLRTKEKSQAFKKQRIESQSRRIVDSPREYQIELFERAKEKNTIIVLDTGSG KTLIAILLLRHAIEHELERRAAGYDPKISFFLVDKVALVDQQWSALVANLPYAVARFF GKELDSNWNLDFWRKAFDENKVIVCTAAILQKALSHSFIKMKQINLLIIDEAHHAKKN HPYARIIKDYYLKEKDIQDRPRILGMTASPVDGKADIQAAAEQLEALLCSQIATVSTG KLNDEMGIRVHDDVVLEFKKLEKRFDTSLVKSIGPLIKYNPMFTKHVEFSKVCTYELG PWCTDRFWKLCFADGEMPQLIAKAEAVAQQTFGQIPFLPDGMDPVSAIHKLGEVMREH SLRSLERVSRDLSSKTLLLLRKLEEYFTRPSDHKCIIFVERRRTAVMLVEICQQLSNQ FPYLKPGYLIGHSNDMGLAGMSYRDAVLAIHRFRKSEINCLFASSIAEEGIDIPDCDI IIRFDVCQSMIQYIQSKGRARHHQSVYINMVEEGNHTQATRLAQASHDTTILRKFCSK LPENRRLDSNQSRFIDWQGDLGFKTYIIKETGATLTLSQSLNVLDAFTASFQEPSGPP IVPQYAITKVGKMWVAQVNLPEQFPIRSILGEEHKSKQAAKCSAAFTMCLELIKKQFI NTHLQPTMKKRLPAMRNARLKLSENKRQQYKMLLKPSLWSTDLGQPGRLYGLALVLEN PGAIGRASCPLVLLSRMKLPALPDVPLFFGAGKNSVVKPVQLDEPMTIAGAEDEERLE GLRTFTLRIFDDVFSKSFDATAAELPYFLAPCSKPHAHEFAEVHNPKEAIDWAAIDVV KSNERLEISEGDDPVEFYRDRYVTDPYSGSRKLYSIGVREDLKPLDPVPEGVPPPAFR GWKTVEHNIKEYSISIWSRTRAQRTWKEDQPVVEAELVSLRRNLLDNFEDDDNEPKTC FVIMEPLHVSALPVQAVAMAFTFPAIIHRIDSVLIALEAADKLGLKIRSDLALEAVTK DSDNTNEHGEHQVNFQGGMGRNYERAEFLGDAFLKMATTIVMFTQRPDKDEFDYHVER MLLLCNQNLFNTAVDMGLQEYVRSKSFNRRTWYPAGLRLAKGKAPQEEAGHSLADKSL ADVCEAFIGAAYLSYSNEEVDKDSSKMPDFDMAVKAVTAVVKSKKHQMQSWSDYFSDY KVPDWQAIEPSASQVEVAKLVQRNLGYEFKYPALLRSAFKHPSYPYEKIPNYQRLEFL GDAILDMVCVDFLFKKFPDADPQWLTEHKTAMVSNQFLGCLCVELGLHRHMLSIHAAL MQSVKTYAEDIELARQEAIETARSERGGKKDKYKRSFWVNVPSAPKCLPDIVEAYVGA MFVDSGFDYTRVRQFFERHIRPYFEDMSLYDSFAYSHPVTIMAHRLAQEFGCKEWRVL VKEDAPEAEEAGVGCLTDTDVVAGVLIHGVVFAAGRGESGRFAKKDAAKVALGYLDEI KLEEFKERFRCECTVEDGAAVSMEQHGTAI
SAPIO_CDS4027	MGLLSFLKRENERLKAPLRAQAYHNTTASKPPVKGTYPVAGDGP KDAPVRKHVPRRIDTNAPKKPVVQDEAAEEDDVDAAPTPFVPRFNEPVLELRPPTSNE LRPPSRSGLAHQRMSSWLKRDSSSGSPLLIKRQRPASMLASHRSVPEKLNSVEEISFQ PNPAVKPPPLPRLTTHRRGASIYSSTTSTTAASKGGFVDILDAQSEIKPSSFRSRVQA TGARDYGEDVADRNIALNVSEPPLASRRTLSYYTPSTTTTTTTETPFHLRRLPMSREA SQGLFLDSHRYYAASDADELERTNTVMSSPRAVPRARPVSSAGFVPFPSRGHAYAPRE AGTAPRPRLVGMINSSHAGYMSDYQPRGRHSRLASRSNSITANDVTSNSSRAPSLNRG YQSDSRAMQRPKTSYQMYGNNELGIYSSDFGSTHFGQTPPMHVPEFSEIMSFKTRRQR SKSGVSSISVATESITKERPKTAARPSSRDSISSAIPPPIRTMARRPSVTSVSSDNGD GRSPSSGAYEWGRIDIERNADQAASPAPSPHVRRGDRGGYDADSLYNYASLRNRHKLD DVVETVPRRRSSLRHSSISSATPTTSSMSSNPFGRPHSRHTAQTSLDLPLHSPTFTSS HSSLVSGSGTGLTSSSYCAHPESGLQTPVTSSAAATIVRQSSFNMDDYLSSEDEMEGE PFLVSKRPKMPDDETLLFNDAGYGMRGTQLPGLFEAIPEIPYESPPKSSQYLSDSFSR RPLAPLSRPPDFSPRPYALETDDEDELGGLGEEAPLPIRDISARVKAMRAALYEAIAE EKLGKVDVKAAVRMRKEAKARERASARKANGRVDLDDGHHADVE
SAPIO_CDS4028	MTTTTSDQKIAFIPLEANPPLLTSLLHKLGVSPALAIHDVYSLD DPSLLAFVPRPALALLLVFPVSETYEAHRLAEDADKPDYAGSGEGEGVVWFRQTIRNA CGLMGLLHAVSNGPARNFIEPNSTLSTLLDQAIPLPPKERSVLLETSQALSAAHRETA EQGATSAPEAADDVDLHYVCFVKTDDGRLWELDGRRKGPLARLEGMSEEEDVLSERAL KAGPLEFLGRERGDLRFSCVALAGALD
SAPIO_CDS4030	MASSSTPATPLLYACIAHHSTILSECTTSASSQTSSLASLILPK IQHTTPQKLTYTHGTHHIHYIAEAPSDHPDHPDAGGLTFLVVAESSFGRRIPFGFLFE IRKRFFDAFPVESTDFADMPNYGAGSFNADLRNLMVDYGTTAGGQNDAITTAKREIDD VRGIMTRNIEGLLERGERMDLLVDKTDRLGGSAREFRVRSRDLKRRMWWKNVKLMGLL GLVLVLIIITIVIALKTSV
SAPIO_CDS4033	MAISAVQEQRDIIINTIRNITRGNWKILVVDQASKKLLENAVPE DDILNQNVANIEVLEDPLREKSPDIDAIYFLTPEPHIVDTVAADLERGSYRSGFLLWT SLLDPPLRRRLESSPAYRAMVVGFDTIPLDFFPRESHLVTFRDPWSFPVLYHPACNNL VTEHMRILAQRIMSVCVTLGELPRVRYYSPKNPTHEASVLSKYLARFVSEELENYAGF NRNFPPHSSRPPSVLVITDRSMDLMAPLVHEFTYQAMAHDLLPIDEREKVLYHMTINE GTPLQEEKDMELQDNDKVWVDNRHRHMKDTIDKLMGDFQKFLDKNPHFAKEGAESSTN LNVIRDMLAGLPQFQEMKEIYSLHLTMAQECMNRFQHNKLPDIASVEQTLATGLDEDY RRPRNVLEEVVRLLDDDAISPSDRLRLIIIYVLYRDGLILEDIKRLLAHASLPPRDGD IVTNLELLGARPLRGLKEARTPPPPLFPPENKNGPVNEEYALSRFEPVLKRLLEDLCK GTLDQSTFPYVNPPADPNEELIAAQGGSLRAGRPNWAAAGRRPPENRQRVFVYMAGGA TYSESRSCYDVSSRLGRDIFLITSHMLTPALFLRQVSDLSADKRRLDLPMDRPKPRVP AHLLERDSRPAPSGPAPPQQQQQPQTQGRNPLPPGPGGLPSGQRMGGARPPPGGGGGG LPSRPVAPPTGAMGSMTLSSGGRTGGMPPVTSSLATEPAPAAEVGGKKHNKLEKKKRN FLGIKK
SAPIO_CDS4035	MDSNPGNAQEPAGTGRDNSHIPSEGQAQIRPRPHHGPTEPSDEG ERNLPTKEHDLALELEAQKQLYKHAEKAMDERQELLNAERKINKELTKNLDELREKLQ RAYDHMFLPQPQRTDITEAEASEQFRAIFKNADKWVQNWLDPVLEKRNQGELVGKARP GLPPQLPGLVRPPAPRYVGGGRADECYVLIGIMQKLKRKLFNKSFRWPLGGDGTEAEA VKYIDMIADAMAKLPRDTLHCRNWRSEALAAITNPEIFAKDEERLIDSLTEEMMRYLS PLFINGFMDATTKCHRAMRSDVVGPAVKLAHRMQLAAKEFCLKWPSVTADGVPDLTAY ECQNILGNTIQIDPGDRAPRIVVGFCPGLYVVEETSEGERLLRAVRRPIVLLHNEGGF NPSPMVLAWLSSSGGL
SAPIO_CDS4036	MEDSDEFKEFVKMMGEVSDDDAESESGVIVDYDLGALGDALASH GGSGSGEAREVIRILHDAGIPSCVVGAHALRYYGAGRIGVAWEVGVPTEKLDEAIHLF KAEPQSKAYEPWPSHHIPQPWSLVHTFPHFHLKGVHFTFYLIPSWDYLMDCKESNFEK SSMGIPYPKLEILAQSLLDTQRWADLEDLIDGMNLSEEWGNEHLQLDRTYDIAYAEEK NKRIRASVKKTFLSSLLELNSTQRVEVIRD
SAPIO_CDS4037	MTIRYEESFLLHLRDSPLCVKPTALPPAEEWMGPPPETFRPQNK TTGEKLKTQEGAIGSFDLNPRRNIDRLLSRSTTSPEDTAIGSFGAPRTGFPSATLRST GKSSFDPDKSNKDGESRGRFDFRTRNSENDPVGDRFRDGRNTNYRRRGEGDQDNDGWS TVKPRKSFGHEGAERFNGRMGGGDRYRDDRRTRDRDDADKANINRRTFDSAPRDRDVG DDTTDTRPRNGIARGKSESWFKTDAAPSDGLTARERIDRAKSWRDRDRDNDALEDRSS GRTFDRRWGRDRDHKVEREPEWMDEPAEDKAGGHTEEDFKKFLESMKARESGASSKPA TLQQPTEPPAAEAPKPKAESAPAVEPGPDKFFMAFASPPAVESSTPGAVSDAKDAAGA ARAKLPGKSSRFTSFFSAAQEEKKPREVIPQAPGPVNDGHVESNNHPLPPPDEEKAAF QQLLMKLQKQSLQVSPAPAASSSSFPEPPPAVSSAGPEFPPTRAAANATTSPEPANVP YGGSTDVPADDPRKRMPWAMQDIIAPQPMLPQQTAAARQDQLLQNLVGHRGDSAQRSD PNAARNNSNTEFLMNLMRSQPEAPRTDQMILRVPQPQKSAPIRHVVEREPDFLHNNRF PPQQQHAQSVQPQLQHQQPQQQQQQHLHHHPQQHLLLQHAQQQHQHQQHQQQVQRQMR HQPGFVDEPSFHHGEPEPPRVPQQQPTQILQRPPPPPGLDQMGPGGPNWMPQGVGVGV GGGVGGPGPGSQQRPMMPPPGLPAGLSRNIPLPPGMYPPNFPPGGPGFAGPDAMSGMP PRNMQPPPGIYGAPPPGFFPPPGVGGFQGGPPGDVHGFGGPGGHFDARGMPPPPGVPR GGFPRP
SAPIO_CDS4038	MVKRPARGAHGPLESRKRQKLTREPPTSEDVTTSRQLKKLLEFH QDVRDARHGLQSFKLLLDALTANKDNEAELALLSGYLEAVNPTVEGPDAVYLAEIMTM WSYAAQVGNDDLMSEVAAVLALLLQATSLSLGLLPCGRGICETLLQEKQLKLIAKNLS ADKDKGFVISPALRLLREIASYDGGVFSRRVFRAQAWTFAELTRNLDIRFRGEGVEDA KKPSVRTNAARFLFACLKYLPSDNKSELLLQKGIMPGLLMRLPDDPPTVVHEALECVT KDVLKDEKIPTNTKSRVFWVRVMERLAGLYSYAHDPADAEVTVADKVHEFLMFACTSP TAGIVSKCNGFYPNSINLDDFLTGDFLWSDMGLEQLSWMDRYATDIEVRNSVLLEVAL KLRPWASIQQGELLVSIFKVAPEVVHVYFLEQRSFTFDPKLSMTWIGYSSFLFHTMQL PVPEYFGLKGDYHAAPPPTTVLLASILPLPLTQNALVRCFEPKWPLVSLFGARLLILA LEKMETVLAMHNEAAAGLRNSPWRYAAKKLVNDFCQRIPDLKEIVRWYKSIPDESILC KATASQLLLLYYKVLPQVALAANFDVSPFLAKALGALDKPFEDPRDKSVVLMELENLL EAASLSPGMRWFSKLQGFPASPFTVLLRLASVGVQDESSETLRSILESVATEHQIVLT DTGLRPVYRAAETLAKEDSEVSLDGVWSLLDNCVTKCAASPMKYLDLVPEYVGGGDQP VSEIDTSLLTIALAEQLPFAVKSASGAELEMISKFLSGYLGYSVELGENEVWVKALVA RMNSALPGKTKVALPKIIKEEGVEKKSKTKKSDNTKSGASKDSTWQGSSADASTISKE QLEEMLHEPFAGTEDNSALTKWVNKGIDDIIEDDYAVSLIRLLGSEHASIRIEALTNI LKLATKIRASTHSEKDQLWLLLSELAESSRPHVNAGPAPSAFTAFATHALPVLINPLH PLYPKVNKYLTRAPTWPAGKIPMVHDILHGEPSDDDKYYTELTWLFGFLLDCLQRPED VGVFHQTRWFEKVFASACNPYMKAGLRARVFKVLYRVTCIEGGSNTLVTRFGVLSWLE ALRVAVEGEKEEGEEAAVITRVMERVWETCDRGRVEKWSRGGVGALMERRALGSVA
SAPIO_CDS4039	MGRKLKKRIPHLTPAAADFPVLAPTKEEEPTTAQAPYLEELDDP FNPYTKETLSSPTEKQPARKGFTSTLRGFSARNLSFLPQRPPRSHRLRISSPTNFRHV YSHSYQFSDPFTTTSHREGVNVGIPRQEEDRQFQDPRRLDSPFQPLQLGADTTELSPI LPYFESDDRVVTPPPAAWLRDAPREGEGYVLKRSRSNLSFHVPRRRVGGVDGSSSQAS TPKQGSPQMGERHSPSLSSPSPPPPAVPPKSRARAYTAPEVDHLKERIAEAMLERDRL QEMIEDVIERQSIYLGSRPSTAHSMRTQILASAESVPAIPALPPLAPSFAERLNPDLN HSTTTLPNPSPFAAPTPTRFPPPPHPTTPFQFSELAPAPLHIVPFQAPPTPPRSRASD RPLQPPLPLVLRPPLRKKKSFSRVSTWLFPHERGLSVDSITNAPRPVRDADGFYQCVS PPGTGRRMSGDSVSTVTTWASEEEETMGTTLSPCQSPGVVMGREMDVQNGGAGAGAGA LGVPEQRLDRMATFGGGRSQASSGTAGSASTATVVGVAF
SAPIO_CDS4040	MADIKARIPGFSSGPKYATLDTASSTVDIADDDAPRDTPWGARN RRLLRIATLTLAAALVAYMILTSGLGFDYASQPQKVSTKLWGQYSPYFPVPSEIDSAV PEGCTITFAQVLSRHGARDPTLYKTQIYNATLARIQKGATKFGKGYEFLKDLEYTLGA DQLTAFGIEQMLDQGREVAQRYGHLAFVDNLPFIRASGQKRVIDSAENWAHGFYGELV AGDGGPVPDEEEYLGSILIVPETHGFNNTLDHGRCSQFEEGPESQVGNHARNAFADKF TPPILQRLHDNLGGDLALTRDDAINIMDLCPFYTVANPNPQTNVSDFCHLFTHDEWKS YDYYQSLGKYYGYANGNPLGPTQGVGFVNELVARLTRRPVQDHTSTNSTLTGSPDTFP LDRALYADFSHDNTMLSIYAALGLYNLTEPLPRLDRTSPGKTEGFAASWTVPFAGRMF VEKMTCSAEGEEELVRVIVNDRVVPLQGFKTFRFGCK
SAPIO_CDS4041	MVNAAGGIVIAIIVILIVAGAGWVIFTQLRARRLGLPPPPLSSY IPFMKSNNSPFEARPARGGVVGWFNDRVRDFKHRNNRTARGAYEPSGGPARSGFDTDD AWDSRVHDDYGYYEEAELGNTSYRGGAANTTAPSGGNRLGDDLGDSGYEMNIPRTPAA RTPVQEERRGRQPAERNPFDDDAEPSNISVRRMSPRPIDTGAGKQGKKDDDSPTDNRR SVFREDV
SAPIO_CDS4042	MSDLDLKAIRDKLVDIARVAGRMILDANFKQDFATDTKLNSVDI VTETDKAVEDYISTTLREAYPTISFMGEETWQPGTKVTDTPTFIVDPIDGTTNFVHSF PHACVSLGLSVSRVPVIGVIYNPFLDILYWAIKGQGAFMQTRPGGSTIDRRLPLAKNP TPLKGLDTALVAVEWPAARDGPNFEVKADTFRKLTASKETGGSMVHSLRSMGSAALNL AAVAAGQLDMYWEGGCYAWDVCAGWCILTEAGGLMVGGNPGVWEPGVDERKYLAVRAA PGGQREIVEEFWGVIGEGRMEYEH
SAPIO_CDS4043	MTITKQRCPRNPDDFPAGQLFLLAIVRLAEPVALTSIFPYAWPL VKWYQVGKEEDASFYAGLLISSFALAEALTGMHWGALSDRVGRKPVLLLGCLGTLFSM IMVGFASNMWFALFGRALGGLLNGNIGVIQTMVGELVTKPEHEPRAFSVMPFVWSIGT IIGPAIGGTLANPHHAWPTLFPEGSLFHRLPYLLPNLVCALLLLISVVLGYFLLEETH PDLRPRDVVCPEVTYTSEEMPLNETSDAVKRPAVDVRSGTYGTFNESDPPPSPAVEVW EKREDLTSRRSVFTKPIVALVIALSIFTYHSMTYDHLLPIFFEDDRVVPQTKSVVNVF ATPLSSILYSPGGLGFTVRTVGVIMAVDGAIALFIQAIVFPLAAAWIGIHRLFVIVAV LHPIAYVIVPGLLYVPQSLLFPAIYLCLTIRSLFAIILYPLLLILIKDAAPSRKALGK VNGLAASAGAACRMIAPPIAGHLYGVGSKMDCTAIAWFGSALVAIMGSFQCFSVERRR NPAGDEEGESRGSRGSRELCRPSRDEVRGEE
SAPIO_CDS4045	MLSLSSLLNPAPPGPPDPRVHASPTFSSPATSYSDTEMLPSFDR PIFSRIKMRDSGSFTKSARPRGTIKFHPYEDVDEAALREIIRFQVTPFGRIRERCAHI PYNSGKKDFYEKTGRESFEVFKYDFRVPGDEMEYTVMWDYNVGLVRMTPFFKCCQYGK TMPAKMLSLNPGLKDITHSITGGAISAQGYWMPYHCARAVCATFCYKIAGALIPIFGP MFPAMCVRPDSPEYGRMTINPRIIADAAREAELNRRHYLSMHSPRGTLSGSNSPRSAR TPARSTPEGDLYGSSHHQRQPYNHLRLKPRALCDSPYNTDPEEGCHRSVPDSASSSSS STTSSAYFYTPTGPTAMQTRSSGWTPANRPPPQVPTPHHREDQYSPSNPWLSAVPRFA PPNPVQQAPPHLRPWLSEGSGAGGDSSSASSSASNGSNSSSIGKRPMESEANSNGHDA GGESLAKSPALARGLRGGATVKVGAGAQVLGAAGPRGLVRRPADGVEKNAALLLMNLS VRDGGGMEECAERAVEELHRAKRRRASSM
SAPIO_CDS4046	MNLDAFDDSVVSLDDESDAYSPEVVKPKAKAAPKASKAKATKPA AKKLTQTTLTGKKRPKAIADDDDSDDNISGLSHTPPSTKKQKKSAPASKKVGGGKPLN EIENDSMQLDSPVAAAPPKAGSKKTATETYQKLTQLEHIIKRPDTYIGSVEMTESQIW VFNKETKEMELRKINYVPGLYKIFDEVLVNAADNKQRDGPGIAPMTYLKVTVDRSTGE ISVENNGKGIPVEMHEKEKMYIPHMIFGELLTGSNYDDLEKKTVGGRNGFGAKLCNIF SNQFSVEIQDSDNGKRYKETWTDNMSKFSKPKITSSKTANFVRITFSPDFARFGMDAG IDDDLEALICRRVYDMAGTLKGVKVSLNGEVIKLKGFKGYCELYARAISRERVAEEGG EVKTTVITDSASNGRWEVGFAVSDGSFQQISFVNNIATTSGGTHVNYITDQITGYLLT HLNKKSKGHGLKQNHIRNHLFIFIASLIDNPAFTSQTKEQMTTKVSQFGSRFTLSEDF LKKVTKSDAIQKIMDFAEKKADKMMAKSDGNKRSRINNAKLVDANLAGTKNGYQCTLI LTEGDSAKSLAIAGRAILDPDRIGVFPLRGKMLNVRDASIDQITKNQEIQNIKQFLGL KHKHVYTDTKGLRYGHLMIMADQDHDGSHIKGLLINFLQVQYPSLLKIPEFFREFITP IVKVWQGSNPKKPTKLKSFFTQPEYEAWKEAHRNELSRWDYKYLKGLGTSSNEDAQVY FTNLDAHLKEFEVMQPEEANMLDLAFSKKKADARKEWLGNFVPGTYLDQSTKTISYSD FINKELILFSMADNIRSIPSVIDGLKPGQRKVIYGCFKRNVVKDQKVNDLAGFISSLT SYHHGDVSLQQTIVGLAQNFVGSNNVNCLEPSGNFGSRLAGGSDAASARYIYTRLSPF ARKVFSALDEPNLDYQFEDGKRIEPKVYAPVVPMVLVNGADGIGTGWSTSIPNYHPMD IVNNLKRRMGRLEEGDDEEKPFEHMNPWFRGWKGTIEPAGPDRYKFNGIAYQNDKTPN EIIVTELPIRTWTDDFKARLEEVISGVKGPSWIKDYKEFNDHKNVHFEIMLSDDKARE AVLKEGIGERFKLTRQIATSNLVAFNVNGQIHKYEKVEDILEEFYVYRLQMYTERKKH WLGVYHSDYRKLKNQARFIQEIMDTKLVVNRKKKARIIEELREADYEAFPPKSLEGKK KSTDEELGHDEEEGGVDGAEKETDPGVRGYDYLLSMPIWSFTTERLEKLKEQIAKKKQ EHDDLLALSEKDLWCADLDAFVEEWERQLRLDAEIQTSINRLGRRASKKIGAGRDRKA AVKDDDDYDPGKKTKGRPKRAAAAAPAPKVEKSAHRFAEMFTAKPAVKPKEEDDSDAY KSDGFSDNDFAALSRGKSSTAAVKKSESVEPSEASAPSRSKRAAAAKPKTWIVDSDSD EENGDKMLGDFSAMVKGIKKPEGANGDTGNGRLSLFTMSRPESQGSSALPKMKSKPSK TFDFDDFDDTNYEMLAKSSPRKSIKGDDIDDFLSDDDFPPAKAAAAKPAPAPKPVAVA AAASAAPKKRGRPAGSTNKKDDAKTTKAKPAAKKQTTLSPAAKAYAAKKATKKPTIFD DDDDDEDIDDAPAAKVDSSPAPAPRRGRPARAAASKPKAKPRYVVSDDEEEEDSFGAQ EEGDDSDDPYGMDDSD
SAPIO_CDS4047	MADTLSHGNGTAKPNKDDVYRRPSPTTLTNQPLTHYHSYFFDLL SWKNPRASAIVYASIISLIFAARYLDVIRYFFKLTWVALGTTVALEVAGKVLLGQGIT SQLRPKKYHVVSRETLDALIGDVHELINFFVIEAQRILFAENIGVSAGAFIAAFVSYY LVKVVPYWGLAIIGTTAVFMIPLVYTSNQELIDAQLKHASELVNAQTAQVRSAAKEHT AHVAELTKQYMGDYSAKAQGLIRGRSASPEVHPIRAAPSAPTTVPVPALTDADFPAAP SSTLEEKKDKEEEEADGHPADSAEPAKPASSDEPLVSL
SAPIO_CDS4048	MEPFLLGGGGTNWQTPAQAEPEKYQYQYGTSNHVSDPTTTPTKS NTRESGPTVATVVPAATMENKSNNSYSIAQSDETHDSMVTIPLSGPPSLAIDTNVPVP VGPSWLGRNVETIEHVPDEETSSNVSVVEADEYHDAVTQNDEDALDDTTPKQGDLGRS LEAELQQTEESDGENDVVDRNLNTPTPTTHTREASVNWDELQKTEDEQPKDEQTETST NLLRARLEQENAKLEESPKNNRIESIKQRDPPQQRPTPPSISQLKRMVNGPTPQALRY SMLPPPPMTDLEFYAALVKDYQQTAERLPTLLTNKIRKGIPPPLRGVVWQSISGARDP ALEEQYDRLCSESSPVEPLFSKELARSFPGVDMFREPGGDGQRMLGQVLKCLSIYDRE IGYCQGLAFLVGPLLMHMPGKATFCVLVRLMEQYDLRRCFRPDYAGLHLRIYQFRQLL RHNLPTLSTHLDDLRVDPSYVSQWFLGFFAVTCPLPMLFRIYDVLLAEGATETFMRVA LSLMRRNESRILACTRSEDVSHFLLSRGIWDSYHYNADEFVQDFISLSGEVGREKLLQ LEITFKESQLPTGTLPNQTSAVESGSAHVGDTSSSANAVRASEDIINAAASKFLGRAS PSSPSSSSPTSSSPSSSPSSSTKPANLSPGLSAPSTPVTMLRRSGSKQSLASTLNSME ASSVSVVSSASTGVTSVSSQSSSSSSSDSIKDNRLSSPVGGSGSTSETTTTSTNVVSV PPAVGSPVIIRSGSSAGGSNSTERPPPSIAKNPSEEKYLHDQIEDLLMVLSELQRNQA LLASQLKQEQAERAEDKEVVRVLANTLRSGGAVVDTGAAELQAVEERFGIYAGQDGLS PLPSKTQLYEDLTEAKEKLAAALAESQQYSRRIYELDQEVASLKQTVRESHSHVRTLH QEKQRLEKQVHTMRTRASAASNNSSEGVFGVVSRGRTDVPGAGVGVGGGTGGDARMSM LGNGLREFKLGRSGSPPSGPSAYNRRTSSLAPANTNGANNNNQNNEDMLLRELVQAKT AEAIAKEEADEARQKLDAFKRAYGIPSGENPPPLGSSLTVASAAQAAQNAGQAAMGIL GRFAAAAGTDGGNKGAAAAGNGGGGGGGGGGGGFWGWRR
SAPIO_CDS4049	MNQRLNLVGATLLVGRALSQLSSPAPTLVSTYTPASTSSDSGWG WDDWGDWASTATWWPWDWPDWIPGASDQTSSATEASTTSSTLGAGFTLTGVLGETATS SEIDEPTTFPTPDVPIPGIPFSTPSGLYSAAGSWEAAGATGLSGNPAFGPASDSSSFP GFTDTPSRSSTFSEPWVPGTTEDSWPFPWDTSFSIPSPSSLGIPDSSNVPDSSFTEVP FPSVSDIPLAGSDAVSSTLLPSFTQAPIPSFPDISSEEALVSTSDDVLWITTITVTVA ASESPWFPDSPAEPSFTELPEPSFSFPPPPVWSTDAWSDEVGTTGWPVDVSTYKAASA STTGTASTSDVSVIPGPASLPGLSSSSVKAPCPWVTWSPASDTSSLPLSSLTEVPFFS DVPEASGVPDWPSPDWPPEESPSDWFPDTPDFTSSEAPNWSSKLATILPISDEFSPAE TEVPSSLTLSSDFPRVSHDPSFSTAVSRTDFPMKRRRASAPAATEASSHSGPRHLARC ECGQMYFGSSCSDSLVEFHVSGARMCRNRGSRMRPSQAMFSCECDEQTGEQSLELMSM ASYYPTRDESNDYLMSYDEDEGENDDDYQDELGWDDWEEWAMRWATHH
SAPIO_CDS4050	MASIARSLRAVRPATLCKTIPVRTIFRARAFSSSPVVLEKRYTK DHEWIDINRQKLTGIIGISEYAAEALGDVVYVELPETDGDWVSAGDAIGAVESVKSAS DINSPVKCKVTVVNTALEEKPSIINQVPEDDSAGGGWLAKVEVDESSLEEFDALMSAE EYATYSKEVHDEDH
SAPIO_CDS4051	MEHDQTPTPTHDPHEDTIGGIEGSPHIGGAAQNNGYARTETRHH HQSQSLDQGLSNSPRPEDTMDPTNRYTTPPIPLAPTNISRPASRLSAGGGDRNVQGYP PEYSSRAGPSEQKSRDHVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFME KTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQ GRGFNKTAIPILVGTKYDHFVNFPREDQEEISNQARRFAKAMRAALIFSSTSHSINVQ KIFKIVLSKAFDLKCTIPEIENVGEPLLLYQSC
SAPIO_CDS4052	MSLTKVHPLRTLTRARISPRTPLAHFRRRYAAEDSNIGGASSGP TNKGPQKTSPLLPITLAVTIPALAWFFWGTSRTTPTTAKKALTGEKPSDSVNRQKGGS FNEEPSRTVPIVQTTGKEEKPPSTEAAASDEVRLESEKPPSTTSKTSSADSPKAPIVV HGRPQSSVSSPSLALSIGHELTWQRERARGFGLKEAERFENFRAWCLPCTFLVESEEQ IYELCAERNRRRQELAGYEANAPMTISPWAGGRCDDPDNLCGTVLGEFLSEHPCEGAV AAQTGLGFISPTLEPVRDGGVRRQGGVSRFRTRLDDIEEAKSSRGSENTLKTLDMVRE SNKGSSDPVAALDSDAGEGSSKANVPDAGRMRAPTLTLSGASGSSVEKDVPYKPRSNV VGIAWTRPQDRRSRSHSSMSHPAERSVEEMRFSSTDECDIATEPGNPEMAPQPVLAQE QQASSTASNSQNIQSDGRGAEIPTLVVSPPSSSLAQTSKPDQGRKAVSTEKSSYQTAQ GDGNEDSQSGEWDVRMYGSVSKIRGRSVSGKGKGAQTRSSSGRSFVSVPEGSQG
SAPIO_CDS4053	MSNELPVTEKPGAPGFSLHPGFYILNWMFFSNMTILFNKWLIDT AGFRYPVLLTCWHLVFATIATQILSRTTSLVDGRKKVKMTGRVYLRAVVPIGVLYSGS LVCSNLVYLYLNVAFIQMLKASGPIAVLFVSWLWGVAHPSFENIVNIVGIAVGVALAS AGEIEFSWIGFMFQFAAVIFEAMRLVMIQILLSGDDMKMDPLVSLYYYAPVCAVMNFI VCLFTEFPSFQVADLVQTGPFMLILNAGVAFMLNVASVFLIGKTSSLVLTLAGIFKAI LLVIASVIIWNTTITFLQFFGYSIALFGLVYYSLGWTQIKNLSAATSTWVSGAYASGF SDTRGTPRVKRYVTIGLAVFIGFMLLVGLTRGSSGSTTPMRSPDSEGWGFGWMSNLGW GQ
SAPIO_CDS4054	MMRSPVNPNKVLAVVAALVFIWFVYRLSDAHLYTDDEPPPPPPP QEPGPRPPMSSQWNHGPPEDLPANNATDIRVYEGKAAEDYCERYRFKPFDPERVGRRK IYDLLLINTEIEMLEVRIGEMYPYVDYFIIMEADVTFTGNAKKLYIAENWDIYAKYHD KMIRRTVDFSELKENPNAWAREELSRNAMMTQVLPFLEGDQAPSEDDVLLVSDVDEIP KPAVLEALRNCEVPLRITINSDFYYYSFEWLSREEWKSPQATLWRGKETIQPNDLRID ADDYYFKHGAWHCSYCFSTMEETVGKVNSFSHQELNKPEFKDPKKILQRVRFGKDFFD RKNNLFDYVAPNHNIPSFLKHNQDRFGFMLDRTPPNANFRDIPLDGNLE
SAPIO_CDS4055	MTYSRSDQAVTPPPQVQSASSELCCSSTSFNMSAKHFINDPTLL VNSALRSLTYTNPSLALDPANKIVYRRPSYAPRQVSIVSGGGAGHEPSFAAMVGHGML SAAVSGTIFASPSSEQIRTGVQSRVDTSRGVLVTVMNYTGDVLNFGVAVEKARAAGID VEMVVVADDVGVGREKGGKVGRRGIAGTVLVHKISGALAALGRPLSEVAKVARLTAEN LVSVGASLEHVHVPGRSLTDSAQEKLALGEVELGMGIHNEPGSGRAKVELPELVNRML AQLLDPNDRDRHFLHVNSNEVVLLVNNLGGVSVLELAGITTEVVEQLEKTWKIRPVRI ISGTFMTSLNGLGFSITLLNVVNTDIGGPGMIELLDAPCEATGWSAPVPKKTWEEKNK AERTDTVDANKPIKESGLKWDTQTATAALTKGLERLISAEPEITKYDTVVGDGDCGIG LKRGAEAVLKHITENPFTGDVVVDVAKIVPVVESTMDGTSGALFSIFLNALVHSLRSQ GPGRATPAVWGSALQKSADALGKYTPARPGDRTLVDALNPFVDVLVKTGDKDKAARGA RLGAERTKGMLPALGRSVYVGGEGFKQVPDPGAWGLACFFSGLAGSDPKEEGWEAV
SAPIO_CDS4056	MADPKIQELLTKPRNELTEYEIAQLEEHEFSAGPLSILQTAVRS HTQVLISIRNNRKLLARVKAFDRHCNMVLENVKEMWTETPRGAGGKKGRPVNKDRFIS KMFLRGDSVVLVLLS
SAPIO_CDS4057	MPLRAKLDERAFATKAQSGLDLAAELKPLPEDEADDVVIGSKYG LRTIELNRPKKLNSLNASMIRKILPRMIEWEKSDMANVVVMKGAGPKALCAGGDVAAL AQMNRTEGGWRESAKYFALEYKLDHYIATYQKPYIAFMDGITMGGGVGLSIHAPFRIA TERTVFAMPETTIGFFPDVGASFFLPRMNGAIGTYLALTSDRLHGANVFYSGIATHYL HSTSLVDLENRLGELRFRDYDNLETRLRLINDTIEEFASGVPHDEPMHLSGELRKAID RCFSLNSVEEIMKALEREEGATKEWATRTLETLHKRSPTAVHVTLRQMRVGGKWSIAE TFKRELAIASKFMQHPDFTEGVTALLVERRAPTWQPATLEELASTDSNAAGPFFNFED VKEEDKLELLTDRDYSEYPHQYLGVPAESEVEEAVLAGGNAGRTREEVVSEVVGRRKG RQGVKAVVEEILDRKTSVDGQGKARWIS
SAPIO_CDS4058	MSSAGNEELGFQQMPMGLKVLYTFDKDSEDRCLARHPHIFQIQT LKLAENTTIGLVDIKHCLDAVAKCSPELTDPSADSDYVVYAYDYSEPDTPLVGQGVLS WLLDPSKNDGSNNNQSLSMVTGMVTENRMRLLSGNGIKDTLEVKLKFVRNNKIRRPGQ QPTSNQQQHSPSPLQSFDIQNMAQTHAPAQAQDQRQAAPHHLQLQTSQFDMSMPQGMD TAQTSSMEWNYLMQSFPPLGHDASVGSPLPLDDVSFNANSLNNIPPAPSTNEPVAQAP PARASSRAPSRPSSRASRKRQPTGRPRGRPRKRPLATEGNTSGYEDGTDGDDGPAPKK RIASTVVGDRAVSAPFAGAPDSLRVAASTSNSLRNFRPLAGGGEGGPSAGSHLQDVPR APTPVPKADQRIQGARGGSAGSRRPSTLSREPSTLAPGQQSFCDSRLALSPTGEDGHS PPIAATPAAFSDDSGAEISSSPPVPRPSRFLQSSPPPSSPILPPMPQPDSGFMSGSIE ESAQFEEPKLHDGCPAEALPSDGMLINAATCPEAYEQSVAAQKNRDVGKVPVQVFRLQ DNGGGGMVQIPSPYCTRSGSDKQSAPRQRSKSGRRSSQPAAKPAPALTAAADSHKAKS SGKVKEATQITADVPPQTEQPAERSQSLAPTQAPTPIPLPLPLPEGAPTALDSTPTPA EPVVPSIQSRPPSAPVASMPVARPHNYNRSQSMSALVLPSMPDEPTTTPTVTATPTAP TTEASASTSTSGANGRAAKTAASIPSAPLKRVQTRSSHEMPLPLPLPLPAVPASDTPS APFLPLPAVPASDPVGPPQQRLPLPSATSFSEAPCPPSDSAAPPPPRTSPPPPRSNKN YVKKQSIRDRLERAVMNGEMPPYCDNCGAIETPTWRKIHTRDIIGFPDYTEYSEKPGH ITAIEVTSRDANNKATSYRMVKKALGMSDDKSLWTERLLCNPCGIWLSKNNKHRPAER WEKDHERLGQERRKRGTGRNPVRVRKKANGKDSRSGTAPPPTSEACIPTDDMAPDAVM SPPVDAPPAEEGMGPIPMDRFASLLETANSHEFNGHKVEHVNQTTASGRSGFSNAGST HTNGTGTVNSPITLDLDEDHLGSTRRILFPSPRHKSGAHRDALNPVSPNIITPSAGDG EGGNRADKELAADVAEALARNENQHNATFEDDLDSLFRSPSGLARPSTPPPKEVSSET RNPFRTPSRPTPSHRPVTRSISRSMRSAQSSPINSQFALAQTTPTRTPMARLMLPESP SLRRSPRINGSAIKNDHDRRMKAIEQIPEGDMDATLNALREAFTPPWAPQEEMQAILN RCLDPWTRAFNEEVEEEWNFELLGGCEPEKAEEPEEPEEPEE
SAPIO_CDS4060	MSSQDGDVSAALHNYFSPNAPLEPDVLAELQSLLRLHGVSAEDL FYKWESYCMRLETEANVVTLAALKGFKQSTLDDLEKDNQAKATADRRIGATPRSIAKG GGDVFSMLDGLVPGTPATARKATGSLRKKTFETPSMNRVRSNAPGSSPDFKTPSRAAA DNLGTPAPVNFNDRPNAGEVIEVLNDNLKIPDPPFAPYPEPRVRLNAFPDAKKIDYKP LAMKLSEASEALDKRIKSFMQELVKERGIDESEFGSAAAKSTSRIVAVGRIASDSSEG KLNPASLLLETSMEIGNGRRIPLNMKKLKGYSVFPGQIVALKGSNASGHEFIVDEVIK LPLLQNGASSPADLEIHKQRLRGDPNAMDTDADPAPLNIMFASGPYTPDDNLDYEALH AICDKAANTYADALILTGPFLDVEHPLIASGDFDLPEDASYDPDTATMTTVFRHLVSP AFNTLTQLNPAITIILVPSVRDILDKHVSWPQENFPRKDLGLPKSVKIIPNPRTVFVN EVILGVSSQDILYELRHEELLVGNVAQRDSASRLSQYLIDQRHYFPLFPPTDRSKLPR TGTEEGRAPGAMLDTRNLELGELPALLPDVMVIPSALHPFARVVNSVLVINPGSLSKR RGAGTYARMTLYPRNISPEESDSDLVAHRVFDRARVDVVKI
SAPIO_CDS4062	MSALEPLSLYITLTFLGNDARKNFYHWTLHATSSNPPSGTKFHA TDNGDQRNLYRYEHVEINDPADSNDVVVCLKLGLVNSIQKIHESLKKVPLGSARYLPR REDRWTCRVFLKEAFKQLDQDHVLALPPGMDVDEIEAACYRHADYYYALKKRTKKIGP VIVNDADWLRWDEPNSVDGSSRMSYTQPTDALPTTGPAGTERQSDST
SAPIO_CDS4063	MAQNLLAEKPSTAGGRNALAAAYHSLRVIDEQLQETNREICRLM AVKVAHFGAQPEVSKQFRKYQLESFEDSSHMLDSEWWAWATRNGLLESEGNLDRELEK TKASKIKFEGIWSDLRSCIDELSRPSQLLVRLVRVSFDELSLTRLEEISHHPAIAKGV RVVQIALHFYNIALNDFDRFISYLIDMLENQVNLFDALKDFNIPEQSALEMIADTRAV ILELHQLASTQPELGEHFQEEQGLRARLEEICSEHLTLFEKQQSLIWSGRFSQAVGSA IARMPGARRLDFNDTEFAVSTGNRQLMIPKGNTWRALRRFILQSLTGYRAKAHSLDLP NYQCIAKIVDAVRCAGVSLKTIKIELSDLGCPGSLMPSPDIRREFSSGLQQLAEFKFE CDSPDEQDAEGLNDALSVYVDTPSLQKLSLDMRSYDAGEPGRIDIGQIMGSRSRDRLT DISFAYAAMDFSRMVQFLNRLPQSTTCISLIDVRLLSGTWKEALDALRKKNIRIVHLS EPKGAECDNMSPEDYKRIFDDKCAYRTLAELYITNRISQQRNPLETP
SAPIO_CDS4064	MSTLIRQPFAPLDGARLQTLTSIKNRQNAASSPSAKRKAADLNE DDFENVDPSIFAKRSKGAGDSFFSKEVLKAPVFNITNKPTARLAPTSPTRSLPASPRT RTILQPKSPISKINTSISRSSSLSAPAGRSPTRSRRSGILSNTRRRTTAGSYSRIDPP HFNLGAAAPFSLDAALKGTIPSYAARTSASSLSARASVETPAEPSSSSGSFDLGRAEM KASWFFDIHEDTPEQEMTNLLQHGTCTLDISSDEESEQRATRERAEGRDKENIPPADD ISQTSRRAAARRGATEDDMQIEKERLALADLDASEYYATGCDAASVIIVPGDEDDNDV VEKNVVTAEAASVVAPQPIEEELAAPEHQPESLPVNVDFGPELNLETTEPLPTEDVDT LMAKSQDEPCAKAAVLEPIDGTGESFELWESASAKDDAEATPAVVEA
SAPIO_CDS4066	MADKFLDLLGGSPDGKGSNGKAKDAPPSTTSSANKSPPSPDLST RLSWVAKAILRSFSAIPETPYSTAGNTVDATATTGILEDLQKMGFKDVETLLEFLHTA VHGVQDDSKLLLERIVDLLSKLPPSSREGAALESGFIHQLWNSLQHPVLTTLDDKFKY READGSNNNPYMPQLGAAGTPYARTTPPMTYQRPDAPEPSLLFDTLMRRGDGFQEHPN RISSVLFYMATLITHDIFQSDGKRSGINLTSSYLDLAPLYGRNKEEQLAVRALEAGRL KPDSFSSKRVHGFPPGVGVLIIMFNRFHNYVVTQLAAINEGGRFKKEPTAQELKGKDA ATQEALRAAALAKYDEDLFQTGRLVTCGLYVNIILRDYVRTILNVNRSDTAWALDPRI KDGQNIFSKPTPEATGNQVSVEFNFLYRWHSAISVRDQEWTNDAFKEILGTDDPEAMK FEEFLGKLHSFEAALDDDPVKRQFHKIKRKEDGSLPDDDLVKVWFESVEDVAGSFGAN RVPPVLKHVEILGIIQARQWNVATLNEFREFFGLTRHRTFEDINPDPEVATKLRNLYD SPDSVELYPGLVIEKAKPPIDPGSGLCVNFTTSRAILSDAVALVRGDRFYTVDYTPKH LTNWGFNEASYDAKVNNSHVIYKLVFRAFPNHFRRDSIYAHFPFTVPSENAKFLAKIH KDHLYSWDRPSPVGDLILVRSYDTVKKVLGNQKDYTVVWGDAIRFLTEKDGHAPGATF CLAGDKRANAESRNLVLDALYGPEAWESEVSRFYSKMVPVLLKKYSYTWETASEVEKK KPGTHAREVDIVRDVINLANTRLCAALFNLPIKIEENPWGVLTEQELYLAATAIFSSI FFDSDIAKSSQVRNVAKELAGQLERLVIVAAHAVDKVGIVTDAVESVRGYWRGEGFPA IAGYGHELIRHLLEKDKSVEECVRGTILPFISSNVPNQSALLAQCLDFYLEEENKHHL EELYRLAHENTDEADLKITKYMLEGSRIRGTVAVYRDVQTATSLPDSVPAIPNPSDPT HVNPLTPPSQTQFHLPLRKGDRLLLSLASASRDPTIFPEPDKVKLDRPISSYIHFGSG PHKCAGMELSIVAQTALFKQIVGLKNLRRAEGDRGHMKSMPARKWKGQVKGKREREAE EGAWSGLRVYMLADQSGYWPVPTTMKVEWDE
SAPIO_CDS4067	MLVMPVPSSYTFSPSQATPHLTINHDVASDLDSTHAFEGPEKLL EVWFAPSPTSLPPSAQPTGLKAVPAKTWEAMLDMVNCQILSVLESDRVDAYLLSESSM FVFPHKIILKTCGTTTLLLGLQRLLHIAAADAGFPFHNAKCATEIKAAATPYRFFYSR KNFLFPDKQRGPHRSWKQEVKFLDDMLDGGSAYMVGKMNGDHWYLYLTSPSFELTPPR TPDEELPEAFNGVIRATKIPAGTTTFMPCSAEDMNDETLEILMTDLDPENAKQFYLSH ASAVACERDAAPKPRQNGYTNGTASKEFDDVDVFSNGCESDLHDPAQADAEPAATTEG HALGTVVSENSGLSSVYPTSLYPDARIDAYMFSPCGFSANGVIPAPRHVDTEAGVEVG ASEGLVNGDKGENGEHVESAPSGPAHYFTVHVTPEPHCSFASFETNVPGEPTGRSTTE IIEHVVNIFKPGRFSVTLFEGHGKRENAFVQDDEDWAASRRKHITRFLQPVPGYRRVE RIVHEFDDYDLVFRYYERDGWSGIAGTRVGEDD
SAPIO_CDS4068	MSTTRVKHIVLILSGKGGVGKSSITTQLALCLSLAGHSVGILDA DLTGPNIPRMLAIEDAKVRQAPGGWLPVTVHEADPSTGKGPLRAMSLGFMLRDRGDAV VWRGPKKTAMIRQFLSDVLWGETDYLLIDSPPGTSDEHISLAETLANNALPGQVAGAV VVTTPQAMSTQDVRKELNFCSATGIKVLGVVENMSGFVCENCADCSDLFGSGGGKETA EDFNVPFLGSVPIDPQFGELVESGRRPRYPQGTMANGRDIGVKEEGVTAESLEGEVKD DVESRDPTLLAERYKDCYLYHVLGPITENVIENVEAGTR
SAPIO_CDS4069	MARSGIAQQSPAKAPRLSDGQKKALLNSLRFGQIDARQMSIKAA HHKTCEWLLKQSEYLDWLDIRRLPDHHGFLWIKGKPGAGKSTLMKFALANSRRFMRDT TVISFFFNARGTTLEKSTIGMYRSLLLQILEQLPKLQDVFESVGFANWNISSGHSWSI ESLKDLFQQTVQSLGQGVVTCFIDALDECDEDQIRDMVAFFQHLGAISVPAGIRFQVC FSSRHYPHITISQGLSLVLEGQEGHNQDITDYVDSELKIGQTALARKIKDDLREKSSG VFMWVVLVVGMLNKEHDAGQPARRLQQKLNMIPGDLHELFRDILTRDGSRNREDLLLC IQWVLFAREPLTPEQLYFAILSGTEPEDMLAWDPDETTMDTVKRFILNSSKGLAEVTT FIIPTVQFIHESVRDFLLKENGLDEVWSDLGKNFAGQSHDRLKQCCFRYINVYVEACL DIGDPLPRAFSTADASHSELGLPALIDIYGNVKNGFVVENEHYGTPIFAALAHGNHLA VARILEGLAGTDKHLSSLCTQWKFDPRELSAFRNFTFAQRRPVLSYLAEKGAEILLAF ALAMDQVDADVKDESGRTPLSWAASSGHGHIVKVLLATGQVDADSKDNNGRTPLSWTC SSSNRHITKVLLATGLVNANSKDNNGQTPFSWAARRGHEAAIKALLSTGQVDVNSKDN NGQVPLSWAAKHGHEATVKVLLAISQVDADSKDNNGRTPLSWAASHGREATVKVLLAT DQVDADSKDNNGRTPLSWAASSGHGHIVKVLLATGQVDANSKDNNGRVPLSWAAKHGH KAAVNVLLATGQVDANLKG
SAPIO_CDS4070	MLRMFFGKGFCLGFMAGVAAIFLAPHLLLDLSILSTARTRSGIM QHLDSREWLQRGREVTDDELSQGGDLTGHTHSKRKILKIDSTTVVKLSPNLDMAEVDN LVFIRSHTTIPVPRVLNAYEKEGCRYIVMEFIDGENLKGVWPKLSVEDRESICSELHE YLFQMRNIPAPEALIGSVSGGPAPVHQDIYEAMHRTDHEIVFSHGDLAFHNILVRDGH IAAILDWEYAGWYPEHWDFCQSLQFFGGIDEHYQFAKKAFGKTYLSEALMDMWFTRGV KHGGW
SAPIO_CDS4071	MAAHVRESVKQLDKNSWLIGDKSILRRRSSPPAEYLWKDSDGFW YSLSHATSPLPPAGPLPSDSHIRQVHDAGDASAVWSFGDALGWLAKQQSLSFVIAKVL HYTEGDDRSYLWVTRVPGQVLSDAWQTMDEDEKQHYVCRTVDICKELSAWRSEAITGI DGAEFPDTWLDLLHSPHNFNPQALQKPCSELGMDCSTFYFWHSDLGPYNIVVNSGEKG TMGIIDWEMAAFVPRAWIRTKVGVSWAMDFAWPEVHGDDPSLREWRERVEQKFGEEGY PEVKAAWKKWLMDQYSPPTEANVGSLGKT
SAPIO_CDS4072	MRISTKSSVICTSSRALSTMSVVTVAGGLGDVGRTIVEETLRGM KHKVYILTRKPNANAAPKEYPSHLPRPVEIDYSSVDEIAQCLRRLKVDTVISTLNLHW AGASDSQINLIRGAAASGGVTRSMPYGPREEFLRAGKELKAHHNLTFALVRCGFFMDY LGLPYADTNLHPLYCLPDLPASKAVIPGEGNGHVVFTHTRDVGKFVTELLGLEVEKWP REATISGERITSNDLVQLAEDVTGKQFDKVLRKFGELEI
SAPIO_CDS4074	MALLSTNTLKSVVSKLASELRRLDSESPATSIVVSITAVVVTVS FVRWFRRKKLKVKILLAEEIRSSRERALEYCYRPRELMLKGYDKYADEVYGMDTRDGI KVDMQVDYTYFGGPPEYVIHAIKANLTASLPAFTPIWNQMTRANMPKIVGEYKDWTPV AIHDKILKLIGTNNARVFLGTSACADEEWVDASTGYVLAVFDTIRALKEWPPWMRPFV YRFLPERAAINGQWVKGRERVRECMQERKRKGWNIDSPATMLDYLSSGKDAHMEDDLE KQVLFQMTLVAVGTVTTFASTVQALYDLVMHPEYIPILREEVESVPVDENGMFTKQAI PAMQKLDSFIKGSQRLAAADLSTFQRAATADMTLPDGTFIPKGTKLEVNTYSIHHDNS HYENASTFDGLRFYKKRQVTGHENKYLYISVGKDDLSFGYGRHACPGRYLGHLNIKLA MAEFLRKYDFKQAGPEAPTNHAFEALVSPDSEFKILMRNRNQA
SAPIO_CDS4075	MRMHNSAAVAFAALSALVVPVVLAQQTTMPMWIPMVDPENAEEF YSGQEAVIQTITNQETVVAIRCKETQRLGCPTVTLTIGRSSKENPGASKKYQNINWVC HVNCLGLQRSDLARTIKKRPVSHGIQAPEEYHGLADDEELGTGLVTRSAPIDATDLVF HPIALATPLPEADSTQCDSQPAAGTTGGKSAETGASAPSTENSGCKIAVWWPGVAAVA ALGLFI
SAPIO_CDS4076	MHLTISLLVAAGIAFGAPHINPRQANACFVIGNEVLPESVVDSV EAIRGAVTCNTGVQTLSGVPDVTSGGVTFSDVNFANSNLTPLEFALTEFAAATPLADT DLQKFEDTLNVYLATEAGIRSVGGPLTIKVPKFFLEFQVSRIQTAQGNPPTAAGLQVD HLLDKVLKNAGREDQALLDQVRNLATQLS
SAPIO_CDS4078	MASTQGPVTTSGETSELSVKYETPMGLAHGTMQALKDRIKLHYD LASDYYLRLWGEHIHHGYWPTPESKTSESKEQAQINLIRLLLTSASLPPSPSPSPLRV LDVGCGLGGTSRYLATTLGCSVTGITISPKQVEMATRLSKTEAEKNGASSAVDAEGFI SLGGEKGKVRFVEMDAEKMDREFEGRGFDVVWISEALSHIPGKDNFFGNAFKVLREGG KLVIADWFKAEGLEGENADIKAIEDGMLLPPLQTQQGYVQLAKAAGFSVVSEPKDISN DVSKTWDISWSLVQNPALWAFAFSQGRDGIAFLQAFRAMRRGYANGSFRYAVMAFVK
SAPIO_CDS4079	MSPNVQEMEGARRKRTHEEYSSEDIVMNDVSSLKPCEFNGAINP PNHISIQSPPRQRSPESLTDAGSITPPRPSPSPSPTPTKNRATSPSPLPNSMAPGTSP TPKNGTANQPPAKRKRLTAAEKAAKAEEEAKKKKEREDLRQQKLAEKAKQDMEKQIAK AARQAEKAKKDAEEQEAKAAKQQEREEKRKKKEEEERKAREEKEKKERSQLRLQSFFK APATPKKPAAATLGAVDAKAGTDGGTTASTTTTPRKKPQSEYERMFQPFFVKEHVRMA PTSLPIADQETLEAKSKILDEYVNGHRGIDVTVKPFNPIDTFHLIQPPNRTGKMHPPV RALIEELHDNSNAGALAKLRSIPMKLLCFRQDVRPPYYGTITCLPHQFGPARLRRAAR RPTQRVLDLEYDYDSEAEWQDDEPGDDLDDLDEEEEDLEDEDDMDGFLDDSEDAGVAR RIFANTMEPESTGLCFENAQGVGPVASMRAHRMEIILGNLDQNCGIDPFSTQYWDPEP KPKSTASKGTMPPPAAPTNAFEALGTKSAATAATTSSNTNDNASGDADTKTGKTQAQI TKPELLPAFKKAVIANQKLSKMAIVEVLSVQIDGLTKAEAKVMLEYVAERRGAGRAKV WELKDGR
SAPIO_CDS4084	MPTLSFSAPSRALIILLIALSVFSLDVYSHPDISHERHQQRLQE GAAEEHRPVVMGSRPGYQLYSAEDLSGTDFSTICRTALSAIIMCRDYTRIFTTPKYFG TPLSGDAGDICTDECKSSLEAWYHGVKEHCEDQRFDNGLPAYHYGGSILYGYTETCLR NAAGDYCNDVIDGFDGDDRAVGDMPIIELCDECFILKLQTMQSSSYSVMSWSEFYQDL WARAVDVCNISGASWDAPDPVLPGEDLGPPFCLSNNYYTTVPGDTCDTIALANNVPSA GIVLGNLGLFAEMNCTHLTPGTKICLGSIICLTPPGGLYEDQPADGPDHLGHSDRLVS RERAPLPDGAVPAVGTSLDCSLDCGGWSMAEESDDCTSLITRNNMALDDLLNLNPSLG VNFASRSAGFTINAAYCVPWYFPPRPPQRVSRLGCWRNLDEGFYPLYHRSYTDETDMD VLQCVRFCRSEGFLYSGILAGIKCFCGAEIDINSELLDSAECNAACVGNENHQCGGMT DAITVYGLTDTLKFTWARVGCFETSAEDPTLSGTLTTIMYNSPADCARACLNDHGFFG LHNGDKCICGDEINEKTAQVADELCDIRCVLGSTCGGAEHMEVYGTKLVRPQPEITHP PAPDSLDMTLPKWIPFPTEPVDPSRPWF
SAPIO_CDS4085	MQFSALQTKRAQPPPPKEHISVTAQLQGTAREGELTVRSQSAKV KLRTASAIYITSGNGGRDKGENGQDEQDAGKKWRIARSSPAAEAKSHDLPCFQINLQR LLGGDKPGPAEQSSAPREAGFELSPIIIPGAENMDDEIVEELKALFKQAGEDNPSLEP EDRVFFLHREDVFGLAVALPGLLTEDQGYWIVGRPPPKMVKQAIRDEYNLDSIAEVVK YVTENMNGLTDRQFRLFLRNFHPIGDELFHTGRTLLASLSSPNALSTDGFVQAATNSL LDLEGIGALFRKAALVYHAPERRRVRREKTLQRMRELDCMSEEESLAWHRDMDKKRAA WEAGAAARQETFAEIERWGEASSKLSDGQLAEMRRLRELGPEAVQRAYLKSLGVGEED IESYMESIDTDE
SAPIO_CDS4086	MAKGPPCPANEKTPMKRVVGYFESSGKPRRRCHTVEPEDIPIGV YTHINYAHAHIDPESFRIFLARLRDVSLYRDVTGLKKRNKNLKVFISIGGWAFTGPGL TRETFSRLATDPDKQRVFFSSLVFFMSTYDFDGVDIDWEYPGAPNRGGRPEDFENMPV FIANLRKASQSSGTGRTGVSVVLPVAYWYLQHFDIKNIAPYVDFFNVMSYDLHGTWDK TSKWAGPYLNSHTNLTEITEHLGLLWRDDIDPAKVNMGLAFYTRHLTAASLDCMEPGC IYDIAEIMDLAEQPGPAAVLDPEAAAKILQRGRQWVTYDDETTWHLKLTRARELCLGG VTVWAVSQDVSSGRFSRELQAATGYSSPGSAEYDMGNGEMLQTAVGETLTDQCRWSGC GKECPNGYLAVPRREGSRMKGVMRDPTGCIGGQTSVFCCPADRNMPWCIWSDFNNGRC GKENQSQCPSGWSEIGSHSLACRSNSHWQVACCAATGDFTDLSIRNYAACSWQGTPPK CSFDKGTDSACNNADGRQYLMDSYGGSGGAQCSGADDSESPRAYCCDVDSQSHADWGD SAGLAQASPARRQSSDFATATGTQFTIDGETGYFAGSNSYWISFLTNDADVDLVMDHF SSSGLKIFRVWGFNDVNSESNQVWYQLLSASGSRINTGANGLQRLDAVVASAEKYGVK LIINFVNYWDDYGGMNAYVKAFGGTKAGWYTNDKAQTQYRAYIAALVSRYKDSKAVFA WELANEPRCQGCQTDVIYNWAKATSEYVKSLDPNHMVTLGDEGFGIAGGTSYPFQFSE GVDFAKNLAIETLDFGTFHMYPESWSVDSTNFPIEWIKAHGAACVAAGKPCLLEEYGM TTNHCGTEAPWQKASRTTEGVAGDQFWQWGDQLSSGQTHNDGHTIYYNSADFKCLVTD HVAAIKSGN
SAPIO_CDS4087	MALGDSITGSPGCWRALLWQRLQAASITNTDFVGTLPGQGCSFT YDGENEGHGGYLSTNIASQGLLKGWLQSTKPDVVMVHLGTNDVWNNKSPEEIIGSFES LLGDMRDNNPAMKVLFAQIIPMAPSNCPQCGSRVEALNAAVAEWVPTVSTEESPVSVV DCWTGFDTAKMTGDGVHPNAVGNEALADSWFEPLAEVIRSFG
SAPIO_CDS4088	MQTPSASTFSLRLHHPDDRPDTPPSPLSAATKAFIISGIDKRTG ADRIVCESCFEQLDEAQRTNLRAAPWSEGCLLCEVKEDKPEAYTRPFCDFLTENPTVF HAVQYFKEKLSAAGFEELPARDQWLGQIRPGGKYWVTRNGSSLIAFTVGRAYVPGSGV AMIAGHIDALTARLKPISKKPNKAGYLQLGVSPYAGALNHTWWDRDLGIGGRVIVKDP ETGKTSIKLVKLGWPIARVPTVAPHFGAGMMGQNNKETQAVPIIGLEDRKPTSDAPET LGPAGSFASTQPPRLVKLIAKELGITDYSLILNWELELFDTQPAQTGGLDREFIFGGR IDDKLCSWPALIALLSASEPETASTIKLVALFDDEEIGSLLRQGARANFLPIVIERTV EALCASSRTPFGPGIVGQTYARSFLASADVTHAGNPNFLNLYLDEHVPRLNVGVAICA DSNGHMTTDAVSTAILRRVAELEGCETQIFQIRNDTRSGGTVGPALSSAMGVRAADLG LPQLSMHSVRATTGALDPGLGVKFFRGFLERWEEVDGEWN
SAPIO_CDS4089	MKFAKELDRELVPEWRVKYLNYKLGKKHVKAVTKAIVRANSTPR NFNYRSGPSPGTWTHTRPQRTPVATRTEPATISERADESDENVSPCTTPIGTAPRSVT RPIPTRRPNETDLLTNSPGFETQYGSFVPTPGYLSPAPDAEDSNPFELPAPALKEALS TSVPPGYSSRRWKGSLAHAGTWASSLLRTHSTGVDIPNGLRAEPTTGPGTGPGATPTT VATATPSPHPLQRLFSTTSIRVRPGRSPADISLQNLDLVREKQQEFYNFLDAELEKVE KFYRFKEDQAGKRLEVLREQLREMRNRRIHEIANAKAKAKSRSKVASDEEGKDLLLGI NGSKWINPIKSKMVRPGPNSKALQKMAQTPVVGPQSADARRDYIRRPDDRVVPYRSAK RKLKLALQEFYRSLELLKSYALLNRTAFRKLNKKYDKAVRARPPYRYLNEKVNNSYFV TSDVLDTYIQTVEDLYARYFEKGNRKIAAGKLRSLTRPRGNKSGSAFRCGILIGTGLV FSVQGLSFGVKLLLDEDTGFTLRQQTSYLLQIYAGYFLMLYLFLLFCLDCRFWHKYKI NYPFIFEFDPRHQLDWKQLSEFPSFFLFVFGIFMWLNFSQYGSPNMYLYYPVVMIFAS VAIIFMPLRTFMLKSRNWFVYSHWRLLLAGFYPVEFRDFFLGDMYCSLTYATCNVELF FCLYANHWENPVQCNSNHSRLLGFLSALPPIWRFLQCLRRYRDTKNIFPHLVNGGKYT MSIIAAVMLSLYRITPSRATLALFITFSIANSIYCSIWDLFMDFSLLQPGAPRFLLRD IRALKSRSVYYIIMLLDPILRFSWVALAIWTHSTQHSTVVSFAVAFFEVTRRGMWALI RVENEHCANVAQYKASRDVPLPYRFVTTDEETTTAAAEAAAAAAEAAAEEGGTSPLVG ADGVVDEEGRAGRVYRVDTARSFSRMLADAHTQDFEKRRKIEGVVGVDEEDEEEEEEE EEEEEEEEMRSDEENSRKGEGSSRGGK
SAPIO_CDS4091	MSSQHQGPPFRAEHMGSLLRPQTLLDIRASIRDKNLSPEEAGLP AAEREAIGNVVKLQRDLGFKGVTDGEFVRTRFWGLTWDEFEGTTRLQDAEAAMFRLYH PDVVSLIEKDRKVMPGDSVIAGGKLVHDPAKSVSNLHEVKLIQEFVPKEEWGNIKLTV ITPAWFHMRYKQGRAYTPEAYKNDEEYFADVAKVYNAELKQLYDAGLRNVQFDDPGMA YFCSQKFRDGWAADSDNIGTVEDLFDAYIKLYNDSLSGLPPDLHTGIHLCRGNFIGGR HFAEGAYDIIAKKLFENLNVNTFYLEYDTERAGGFEPLRFLPKDKNVVVGIISTKVPQ LEDKEEMKQRVYRAAEFIAEGSGQTREEALKRVCVSPQCGFSTHESGYPLGAEEQAAK LRLVREIADEIWGEP
SAPIO_CDS4092	MHSDKRNYSQFACVGSGFSAIGLGATLQRWYGLNDIKFFERSSD LGGTWFTNRYPGCACDVPSALYSYSFEPNPDWSRVLPSHDELWQYLRGVADKYALVPK MTFGVDVEKCEWVEETKRWRLHIRHLKTDHVFTHECQFLFGAAGQLVQPRELDVPGAE SFKGPIFHSSRWRDDVSLEGKKVVVFGNGCTAAQIVPSIVNKTEHLTQIVRAKHWILP PIDGAYTDAMRLVFKYVPGSMKLQRLIIYLAAENELKGFPMTSSAARFRQKRRVEAEK YMRSRAPAKYHDILIPDFEVGCKRRIFDSGYLDCLHSKNLTLTNERALKIVPDGVMTE RGLIEADVIVLANGYVTNKFLTGINVVGRGGEKLTEHWESFGGAEAYNCSVMSGFPNF FILLGPNAATGHTSAIMASENSINYALRLIKPVLDGKAEFVELKRDAEQRYVDRIQED LSKTVWNSGCQSWYIESGPEGKTWNAMSYPYSQAHFWYRSLFPVWSDWNIASSNTVVD KVPIAPLL
SAPIO_CDS4093	MIEQAKQHFLDFIEKNPTVLAFGLVTLSGAAFFVAIAEYFKPVG KRKLKDGRKSHLPPGPRGLPILGSLHLLKDARHDPYHKLLLDLAKYGEMTTVHVGSKT WIFLNSHRVVSEIIAKRGSVTNTRSPMPISSGIVSHDGRSLILPQEAWAERRRVMHSL LSGSALKQYGEWQELESTQLMAEYLFKPHLWYKHHYRYANSVIHRIALGERLSKSTQE LADLQNCVTFFVGSIGSSVIDWFPDLAKLPKFMQFWRPHWEALAEWNYNVYMSWWAPA RKQVDEGTAPPSFIRDVLLHSDTKYKGNDEDCMYLAMQLIEAGSDTTREALNIMVMAS LEYPEPFRKARLEIDQLCGTGENARLPVLTDMDDLRYICAIAKEVLRWRPIFILTPDH VASRDFEFEGYRFPAGTGFVINEVPVGNECENPEVFYPERWMDGHETDIAHGLWQFGG GRRICVGYRLAQRSLFINIARLVQCVDFKPDGPYNSKILNQESTDEPFPVKATIRSKH YENLIVREATKAGVLGDAHHILEQVAYIFAACSLLLSANDILNQQFHNNWTSNAGWNW DKEIVIITGGSSGIGATLAQELYARNPKTRIVVIDYVPLTWEPEKGSPVSYYQCDLSN SSNIQAVCNKIRQQVGHPTVLVNNAGICRGVTVCDGAYGDVESTIRTNLIAPFLLAKE FLPWMIQHDHGHIVNISSMSALLPPSKVADYAATKAGLVALHEALQLELKYAHDAPRV RLSLAIFSFIKTPLFRGETRQSHFFFPLLDVRTVAESLVDVLYGGYGKTIYMPGIMRY VAIMVMLLPLLSPQLPEI
SAPIO_CDS4094	MSPTPASPFVIEGTGSPFYRIPPSVASGRIRELFENANFDAANP NRGASLEAIREAQDTQDYLYLLDNARFKALRFAKLNPTTPFDDLRAQSQKIASAVGIA EDWKTMCVNELGIPASEMESIEPSAAEIGYASFLNGQTSIDDWFKFIEANRQFWTSDN DKNVWNTLFRTALKFEIGLFDSVLVQTPDFAAVPLAAIHGNCVFSDAEHPVDIFHLSG RAVVWPSEVGNSTSQGKIHFPLGQMPGLSVAWTDHGPTGAPNIWLQVDCDQVVPSGRS VIEGVNETDGRGYGDKTRIVKAEIYFGCSLVASKDLIPPKIRSFRESLNRLSLPRPDG VLNGPKGWEVTLTLEFGQIIGMSFCSVAVSVMP
SAPIO_CDS4095	MHPFFPLLFVLLGVEAAVSNSSTALVEIYQPFLTNVPDDPSRFN PYLGGQSFESCCLLAINESLFIDNDTLRIRPNQTFFRGDMAMLERFPSFPCAATFNGT MEGPPQDFWTPYSWCRRRCPGWAATSPKTFSYWLKPLGAFILPSLIFCLSIPRRRRIE MPTALAASHGPDSTLFGLLIYAVKVLAAFVVSTIDILIWLSVVFAIAGPILVSAIYEA LLDAGILRFLQGRLSSNSLSLHSRAHLLLIILIGNLDFDPAWHQSKLLVQDLSKDPFL SQSQMAAMEMPGFLSRPGSPASFHDSSSVKVKLRAILDSQSSFGATVGAPVLFYIASF IWSVYEIEASYGTYQSAHQISFGMMWMTIPHVALVTSILLAGNNPSAFQAAVSCDTSS ENGTSVVRLGTPPLSASTSPCPALTRRRLFPFIYEGHWSTSPYRTAWVWNRGSNKARW IAKLADEHHPHLDALHGEVLGGRFGPMLWYSGFWAFVLIFIPVFFGGVVSYHTPSVGL GCWSLTMLCYGAVQLWLIILWLVSMLVWQRDANGRVIHDTTLIKKAGTWGGYVWYAGF ALSVCIGIFTSVGATIFILIGLYSNCLCFTPLKARYWYNIMTNPDATIFWNSTTDAQL YYSQKWWFPAGVAGTVFMAAVACLGWWYQKRLRSRFHHLVERMSAVDEKHLRESTGAV HNG
SAPIO_CDS4098	MSTTPLSKFKEHELQGPRTSLSFRAERITVALIAHLRVNLIETP DNDEASPGADRSDNEDAGFEYVKANTIPNWHACDPDQMLQLEDGGVVVDARLRVYGID NLGVTDRSTPLPYVNILGSVHMIAENGAELIREDYDGG
SAPIO_CDS4099	MKLEPFACGLVAFGSALFTTGRIDTHFHALPPPYVDTLEEAGGD PSGYPTPIWSLEASVKSMDAIGTSIGILSVSSPGVGIAGTGEKARTLARTLNQQLGKY AQSDRYRGRIGFFGVLPDFEDVNGTLAEIQYLYEEQQLCVGVTIFTSYGGKLLGHPQF APIWAMLNKHEALVFLHPSVLDVVPHFIGPGIPQPIVDYPLATTRAAVDLVMTGTIRA NPNIDIILSHAGGTVPFIGGRAINALGIPDIAGLANVNILQAKKDFARFYYDIALSTT AAQLDGLLDFANADHILFGSDFPYVPQFGIDAIVASYAKYVATNARGSQIAPEVLRRN GLRLLNKHSQGQLYA
SAPIO_CDS4100	MLSSLNPVPTFPQYTGPYKVGTVDVEIPVSELPSPSPRPDNAEH ILTVQFRIFYPASLDSKGLNGGDRVTWLPMPQRQHISSYTKFVGAGPLLAEVLSYFPR HLHYTSIPVHKNADIHPANTPNGRWPTILFSHGLGGSRNAYSHVAGSLASHGAVVICP EHRDGSAVTSFIRNPEKHRQLQEKKRKGGERGFFLRGSSVGARNVVVPYRRISHTVSP EMYAAREEQLRIRTWEMGLVHEAVLAIDRGERGLTNLNSSTPSLEPFVGMMHVHEPGS IVFAGHSFGSATTVQLLKSTYYADHPALSTMKKAPLFTPAEGSEIRRQITERTVTILL DTWVTPLIDPGFAPLFDLPLPAYADTPNAAGGKAILSVLSDQFYKWHEHLRVTARVLS PSPAHEIPTPSLYKRSDPSLPDFPEPNLFYVRESAHLSQSDFGILFPWLTKKMFSAVE PERAIRLNLRAQLQVLRENGIPIARTCAADLVDGVVDGDEVFEEVRKGNGHGDSNDDR AILDKSGDVHVGHWVRIDIVALFTGSDSESESLHAKESSDMNKERVEQSDEAMAQHLE PGLEGTARQGPALTPPYLPYALAGRCDGVAVIIDHTVTGSPNTTISFVYENWIQRKLS QAQNDKNLEAITRLQYNVEPLEDSDSSLLWVGHRKRAKKVVLFFHGGGYISPMLPGHL EWCWRAYVEAGLEVGTEVAVAVLEYTLCPGARFPVQLQQAVSGLSRLLSSGFHEHDII IGGDSAGGNLTAQMLSHLAQPYSTVRKIELSQPLAGAFLVSPWLSRHTTGGSFTDNRR IDMLSGPIVDKSTKELLGYKVTDQERRISAKLAFPLDMDGSPSTLGGLADVADQLYVT AGSHEVLRDQAVSYVHQVKHLNPRLVVKFDLFEGQAHDFILLEGQEERNGESIEGMKT WVKDVLAAYSGHSGSGSGPACASQQRVASEVLPPQRQDSTSEGCLPGQTSITSPSATL VSDIGQRGQTNTPSATSQDTHETCSGVTAMGTMVSEDVLTASPRGHREFYGSSSAVSF LKEACGPISSASPSNMNGARQEEVQARQTRDILAAYSNPEKFHLPPRPVADHFVQRYF ERVYYLYPFFDREAFEAEYQRLWQPGSPTDNSGASVEPNLGIGGHPDGDISTGVFQSS LNAIFALGCTYSDLPAAEKPAAVEAFLNRSKDHIGIDLLDTNNIGVVQALLLVALALQ GMPHPHRCWNSVGVACRVAQGLGLHIEASYHQCEPREKRVRRQTWYGCIVMEMLVSMT FGRPYMALNMPTFPPTGIVNDISSLPNSEQEHQKFQFHEESVRLSKILEGILSKIYQP WLHRNPTGEPTATPVLDIAAHYSLDDTVELHAQLDGFERSVRAPLSWSSLRDQDVQAR DYIILQTQRNVLHARFLYLRLMLHRPILSRLVTKMGAFEALDSTRINTLTDELTSSFE LECGKVCVEAAIKLSALIFATHQTETADMWWWNGLSAFSPLSRKSIDLLIKVDNIVLA TQTARNRGPVEQPEQGVNGDGPGVVVAEDRGVACGDIDPTLAVSFDIQDAGQFGFPGS FLAWDQTLDFMPPDFY
SAPIO_CDS4101	MHLLSLPPEILEHVASEVTAKLILRDGYLCSADGWHIHEESQPV KGLSSRLQSVKHLTVASPDPHLTVSTTNKGRCVSCLTQVNETAQGRRSNGGSYKAKPS STTIGWQLDMLKPKTLKSFSWLLGVCPPRCVFHELAFDQPTIQELTLITCYRRYGIRC QWRDFRLDVFENLTRLSWTGVTQGQLSVLAAAFKKVGHQLVHLHLDFIATSTGVYTVN FGDEADDDIDADSLFGRLIVSLTGGSKTGVVFPSLKSLSLTNTVLGGPRAEAMCKAFN TKGLESLKLKHCLAVSLFLRALERELEQESTPPPLKTLELHLIPQAPMAEDVRIELST LMRRWVNLEDFAEYIVTKPSIAGGYGLASSGDLSRTEGRYPMGIRCCRTPSLRRYVFH PGWRWYCREDTSEPPPVPVLGPTERAFHSAPVMNPLSHLRLEFLGYAASPLYMKLFLQ HCILTEALSNLVVLHVRQYYEKKPRFYSHAFGNNPTGLPKITSSANGRKDPATTWEET PVRERLTPQCYEFLTWIFNSTKFPKLKLVALGDFGAIEPNNRLLVCRSDIPIDDPPLA KIKYEPVAVTDHTLPGWHVDYYNKPRRSWRLVKAGDQDIVSVVDEYIDSLVVGPDYSR PYLRDTGATLTSNAWKKKLGLAFVRESELMSRRPKRKVAA
SAPIO_CDS4102	MSQIEADSYEVVLVPDESQESQNLLQRYVSEFGIPGFGLNLPKA RYDGLKEGAEPVTKPGSEITSAVESSRDVKLRSSHFPTSPEPQPISIPSSSPTSQRAE TTAENRDQNRRSHHLDPDHVHNPVKFPAPARAITRIMDISESPTQANDDRDYETECHL PLDTTDPLTSPGNHHGTNQSDADELRTLQEGDTGAVNFVPLSQDGKDMSQESDILSPF AENPILQRGDWRGQRASQRLGTTPARPSTMAAPPETPAGPVNPFGARQRPASVLRATQ LFNATQFSSGGVKKFSPTSSRPSPHAFHQTFPTDTSPAGLLTSPLKNRTTSSPSIFRK SSPPRVQIPSTSFAELPPSRSPPHQYSSRDEEAIPESPPGDPDPSPPRKRQRQELLED YEPVAVSQQRKLMSDELNKVSDSDSGEDDDLRRRRLARQKREQAERRLNDISFVPPPR DTATNARSLRKRNTRRGGRLFTNEANLDDERNELASRRRPSSASGQPFETPSNVRFAS VPPQEHSSIDNRRNMTSPAEAQEQIPPTSSPLVAQAPDLEPSERSSPPLKPQPPESSN TPSSVSLPVQLPPSSQVSKRKYGFRRVAGRTSSKMLVPESPMVVPESDLAQGQGGVPI SGGEGDEDDDTVLAPDTNSRATTRSRSPVLDDLPPTQPPPPATQTETRESRQEEDTVV EEPEAVQTVPDSAHGAPKKPPSPLSNLSSTPSLPHSPRETTSSTSRPDLYDLPTSSAA ELPPPKSVRAPLLRKTYSKVRRTLRMTRTAIASSRQQHHDRYDPGSTDELAKSPSRGT FNSSMLISRTSVGRSGRLSDSSMRGNQLLFDNMAFAISFQARRDDETEDEYRERCSMS EELCDVVSRAGGKILANGFNELLDPATLKQWPVVQSSGHGGAASNDESDFTLELGQQA KGLGFTALLADGHSRKVKYMQALALGLPCLAYQWATACLEKGEILDWSPYLLCAGQSV CVGNAILSRHLVPYSAIGAALADVVSQRTELLGSDRILLVMKKSRVEETKMAYLLLAR ILGARLIRAYNIRDARNALRRSEARDQKFDWVYVDEETGSVEQLFSAKAPEEKTASKK KRKRSGGGGSQVAAEQDGPAPGRVRCLSNELVIQSLIMGRIIDEDERAFTSL
SAPIO_CDS4104	MEFRTPDEGVPPPLPPMLSPAELAKLPHDNLGPTVLGTTWTFVT LSLSFILLRVYCKFARHRALWWDDYILIASWMALTGSTGIITRQVQLGYGKHIWDIPP ENFFSKMPLPMNISGTLAILAAAWSKTSFAVTLLRVSQGWMWALVWCIIVSMNIALGL SALFIWIQCTPIEKSWNFLIPGSCYPMGKIVDYLMISTGYSGAMDIVLALLPWKLVWP LNMRKKEKIGVIIAMSMGVFAGITAIIKVAMTPRMKEGDIYYGVVLIIWSTAESAITM MAASVPVLRSLLRQKSKSREKESPISKAKFTAESFTMQSQSTVVIESRRVSSDKVSFW RSGSHSRAASRDVANGEVEPPMGQILQVNEVAVEYHDMIKKETSV
SAPIO_CDS4105	MSYVAIPTSTEPSYPRPPYVEFDSDITSEQPKPKTFAVPRKPVF SATVQEREPTPTSQPPPPGWPEHPQILGRRGPFAVWLPLRLWDIVLALCPIAFLILAV FALALDFQPISDYGDTVVEATRLGPTVFPIIFAAISSRFFRNLARWKAEQRRGIGLAA LEQILGSQSFAGTFERAFFLRTNLAMAVVTLMVWAMSPIGGQSSSRLLYTEMHVDSES MTLFYPNITEGDSWVSSASGLDDAEAILSTIYSASLLTSPAMKGALTDMWERPKIPKW DLSRTYQTDSDGWRDIDQQALLDGKDDYSALVGLKMQGFTTEDGVSYDVTVESSYIDF TCSLMADTVTKNDTTKIVDAKRLNVTILFDKVTPMTERQAPLTGVFEIPGNVTFFDWK DAAGTEPLTLLLAVRSWDEEKLLQAVSYFTCPATPVIVETQLSCGPNPSTICSAKRQR RLHTNEPFGVVWPPNLWTVSMVNTIKSWQRASIVIDHDTASATELYFAGDTVLFLHKS VSNWTNTDMTVFSRRMTTAFNTLWQSTLDPFNATTAPLKVLLSNNPEAAATAPNPSVS SSSASSVALPFDTLNQTLLGDPLRGIVPAAATKSKATEVYRANRWWIGLLIASTIILE ALAVASATFEFITLAPDVLGYASSMVRDNVFVPQQQTGSALHGTERSRLLKDLRVQIA DVWPDRDVGYVAFTTVGSRPRWTPLSRHRVYK
SAPIO_CDS4106	MESIISNYASNVNELVRAFVSDVSTTAEDRTTLISFLNARPIRL ASVASSFIDTPYDLPDVRQVLDSIPKYPSFMVDAQVSGSPSFSGSFSASENDCFFSLP VKLRQDIAIHLPTSDFLTLRRVSRAFWPVFHSQQFWASKFFMYGERGWVIEFQRRSSG ASIPDWRWWWHRTRDCNERCGSDCDGYACHCEARDRDYWAETDYEGRRPCSCHRGAHL AVHRQRTRVWRCVCSLHSILSVDWDTSATSAAHSLPEGISWVEVSGTIERRVPLYNSF REGCRVFEKRLVTIPSNLRRIGFSFVRLDRAEYISGIRLITNSTEDVKLGFQSSTHQV VVDGSDIRGFIVAADQRGIRALQMITSDGCASRWVGNSKVIPKTRRLAFREGLVVAIE CGFDALKMVSLAVPTPDPRPSHERNRLRDNAFWYPDIPGTQLELNENSFPQRNQDNST FRPIVWNLFGGSGGIYLRNLTGIVVIADRNRILGIKFQHNSKGVPVECRQLGRWSWST AQEVCLPIDGPNGELLTRVDIYLEFTKCADSPDENLSGSSRGGGCLHECMSHGIPAGV RLATNWGNQRQFGIVDEEAYEKDAPFRLKGVFTEIAPGTTITGFYAQQNDGLSHFVSL GVVSEAIQEVPGSISK
SAPIO_CDS4107	MTTDEPTPSETVPAFQEMHQDQKELFDTIDDLRSLGIGKIVDLP QIIVVGNQSSGKSSVLSALSGVQFPTSSAVCTRFPMELALRTGPKTNIDVSIIPEEGE REILTTRSSTEEIPDAIEEGKKALGIDKNTRDMFPNVLNIEITAPNVPNLTLVDLPGL YNNESQWQSKEGIKVAFKLAEKYMSQKRSIILAVVSAAGNVVMDQAIKVAKKKTVDPN LSRVLGIITQLDVIERNQASTQEYLQVAQGRDPTLRPKYGWHVLRNLSEEETRLGLTD KDRDVKETEFFGSGPWENYASENKGIRTLHKKLIKLSRDHISESLPRVVFDIETALAK RTAAIESLGASRNEVSDIRDYLHDIVQKFRETAQQAVRGSYFTQDFFQHLTTNPLGTG NLHVRKLRAFVRELNRAFTTIMLSKGHKFAIQWSNGSSMPSGYLNPLRPDIDPLIRQY YVHLKDPEGISEPAVKQRWASKVVGSKGYEFPGETNPVAMLDLFAEQSSPWQGLAKNH LHLLVYVTEKFAEELLQHILAADPKTYESIRVNYISPFFKKKKRLVEAKLDEILPSTA AYEIALEDRIESETSIRYRQRLISQIETLESALKEESEEVRRFIEAGEHKREAILRNP EYGREYVTHLKNELAQRGDPLGKESSDFALEKTIDNMMVYYEHHLTIFIENVIILAAE KQLIHDIPKILDWKSFDRMDETALRALAGEAPEIQQEREQLIVEKEELEKGLELCRKY LRRNQSARHITPNVQVNGFGVNGVNGPVEEEL
SAPIO_CDS4109	MSSSSRTEEEDRDVRLHAEGGNEPIWWKYTLVAEVLVVIFLLSC AYMGSTRESQQTPITSGSSVSPVPPPTAPSSAAGTNLNINSRRQALTPNIDIACGLHL RWSAVSLQEPYQFTLYDIVGVYATWESMGFEDFTDAVTFERVVLDTLTRAARSSEQLP QDQKGCSCLEDDSTASDGNMSGGGHSCRPTPEFTMHDSKFTIQISAADFLLDKKRKAW YDRSFAPLTKAGTTFHQVSDPESASSTSTIPWALRRENPDLERNTDRQKRIDVADMKA ACANIWDRLNEALLLNVSEV
SAPIO_CDS4112	MSAKGDQHQDASQVRVSLEKPVDSVLSRTEGDVEVHNLDLVTEA IDAIGFGKYHWQLTFTCGFGFLVDQMLLVSVSLVTPQAAMEFGPRYATLLSVGLYAGL LVGALSLGALADNLGRRFIWQLSIFGVSIVTLLAASSPNWAALNVWVALSGYFAGGNP WPLLVNFGCPAGSTSETCAKGANMGWRYLYITLGGLCLVMAFIRALVFKSRESPRWLV SCGRITEAVDVLNKISSTNGSDYSVTAEHFIQGPRHSVQVNSLRENARRAARLFSGAR RPRLMACLTVLWALIGIAYPLYTVFLPYYLAANGATLGEASTYITYRDWAVSSVVGMF GPLLSMYMVSTRWLRSRISIAITGVACAAFSAAFTTIRTEGQNLAFSSMINFWLNALY AIIFSYTPQALDVENRGVGTGLLMAIGRCASLSAPFIATFADVTTSAPIWVSCGCYVV MGLIALALPVDTAAFRGRASQE
SAPIO_CDS4113	MEKEQAETVEEQALVYNFSHVSFNFSIASVSNISILLLRYENRA NYSRPPRRLRCTIIGAGVSGLLMGYKLRKHLKDYVDFQIFEKSPELGGTCYASSQEIN SYLKGVALHFELEQFIRYNSKVISAEWSDNTSTWTVQIENGPAVEAEILVNASGILNN LQMPDIEGLSTFSGPVLHTAQWDSSVDLRDKKIGVVGSGASAIQLLPQIQPLADKIHV YIRTPSWICPPVALPDGGTASYEYQEREKERFRWNDEVYLETRKAMEDKFNGMFRAFV KDSPEQRDLRTKFEARMKSLIPDEVLQKHLIPSFEVGCRRINPGEDFLIALQKPNVEP VFHQIEKIVPEGTIAGGVLYPADVLVAATGFNTTFTPRFPIIGLNRVNLQDLWSENPI SYLGTGVAGFPNYLIFLGPNTPISNGSLMGALEATSDYFIRILRKMIRQRIKSFKVRP DAQRDFDVHTQASMRDMVWTGTCRSWFKRGVDGKVTALWPGSSLHYMQVLAENRWEDY EWEYEGERYAYWGHGLSWVESPKLDPLGIEEQEAWRTATTIPRKGSDLSFYLWKSPPL PRSCLLPFQNSQSRLRTRPDQGVEVTEKATNSPKEVVALNGVLGGVATDSGKEQRVGV TVPV
SAPIO_CDS4114	MSGWFSVLKGSSAGNSEPQAVRALPASWYRSPAMYDLERRAIFS KKWLVVSHKARFVEPGDFLKVTEAGFTFFLIKDRQGEIRAHHNVCRHRAYPILEKDSG KLSILACKYHGWSYGFDGKLAKAPKYQELPSFDKAANRLFSIHVHVDKLGFIWVNLDA EATPAVSWEDDFSAVDLQPRLQGFDMTKYRFDHQWEMDGEYNWKTLADNYNECYHCPT GHPALNNETDLSKYWVETSGGHIQHFNVDKPDKEGMGIHSTFYYPNVAITISHNDASD EDFTGIWEFFKQVLREDKDLCNAAQKNLNAGIFLNGELHPRVEKGPLFFQDLTRKLVM EHQKQEETVGHEIWPATPRHKLTDKNEEEVDFCNALDRAACQARKAELSW
SAPIO_CDS4115	MDHLLQQLLPVAASLRQTTPPSQASTPGSCHVVEGPWITGSSNT SHNTEHQRPWLFDPAASPRITTHLVLDDATLHEAIRLYFKWCHNQPISLFTEDKFLET LKSRDHELLLAMQALSLRFPPNTLTPSKQERLDAMSRLSRQRTMDCLVNRRVKLSTLQ SLCLLSMVDMAALTIRSLFPDPFGQMGIRCKLGAGLIGWQTLAAITGRSSFWTLTTNP TVPLDGGTSCLNSRSDMGILKYTSQLSEVWHMARIYAASHVGPDTPPPWSPQSDYSAV TQRHLEVDCSVPLRYRFAANKFGDQTPESLQKHRHYWGPWLFLQFIYAAIPCLLNHPF LLSMRLRNFRHTMPQFFIHQSFDLITRHAGWITYFIDLLDKKSFQPSDPSLAHCVVIV ATIHLQHSFVEEPSLREKAQAGFDKCLKFLRLMGSTWPRVSVMADNLDNLRSSVVVAP STTPTRAGNENSTGLRQAPSINAQLLWDMLIYERAGQRDAAADQSMFHDSLAQIPAAI QDNNQDTTTAEFDLVGSAGISGHKTVPKDSPAYPPDDAATPLDHASSGPGTAPEATAG VADAERYVDGIGAESNYEGLYFQADDFSRAIDDWMSINLG
SAPIO_CDS4117	MAEALGIVASIIAIVELSAKSCHSIKGRIDSVQNAPQLLRSIRN TASSVEALLNDMRSLGNERLAASESLKKALAIPNGDVEACKRELEGLDTLLETYIDNA GPSASGKRRKWMAKIITWPRTEEKAKKHLQEIEQYRKNIHMALQIERRTVRGYRERRE EVFKWLAPKNYEVDQISTINRRSDGTGKWLLDSPEYRGWLGAEKATLFCSGIPGAGKT FITATVIKDLYSRFPQESGPKVGVAYLYLNYQQEQSDHEPECLLKNLLGQLILSLPHT DEALETFQFARKLYESYGQRGDGTRSTQQTFSEVFRRVGNWYDKVFVVIDALDECGSS AQCHAFVSQIVSLQSETDMCIFATSRVREDALLFGEYMCFSLDIRASDEDVQNYLDGR MAEFGDKFKKNLALKEEIKAEITRKADGWQRASFLLARIYRDALKDLSTEWEVRQQLL NFQQGKDLSETLALAYERILERINHQAESPKKLARKALTWVTFATPPLTEVELRQALA VDVTMSQFEESNVATVDRINRVCCGLLRIDEGHIVQLAHYSTKEYLVKERDKWLPDAH GMIAEICITYLSFQEFSRGPFPNVEWFGSGDLDALAKSKPLFRYASSNWAHHLSHAGE EEIRRLLPKFADRSDNMRLSFQAHLVGSRRHFPDGIENTHIVSYLGSPGFVRAFAQKG LLRRGERDSRQQTAVHWAVSRKDAGAREMVETLLSLGFDVNVVDAHGLSPLHIAVRSG DLEIVQLLLDKGANTEIGNQSVTPLIDACCGGHDKIVRVLLDKGANVNVVSKLGPPLA AAILGRSEKCVAEILKDRRLKKYQRNYQYGTALNDAAFHGLPGIVKKLLKAGFDPNKT VGGPDTALQVAAAAANKFAHPKESEEVVTILLGSGANVNAPGGQFGTALEAAQSNENK ELEQLLRSHGAVEVNTCELLRQNSVLMTGLDYGQSSLVPKILKGQLRHFTIAVMMNNE AVLEDYTNITVIAFKEAIRERKIKDIELLAQLALMAFEQLVALARNDMGDGDVSEGSG GGSGNSSDPGFYHLLLMPLVRSTAKASEFISFILGNHGAKRETPGVALHAANTASSKL EVLTKSAVAILTLAIELGDEDIVRLLAERWASALRHISFPGKASDRMMEVLMVEAKVR AKLGVELLAAAIKGRRADARLAQLSLNLAKIWSLALRNVVDNGYVSYEQLEMFMRELQ DDITTGVDLGSLRNIERFGTACIEILVAMVADENPRTAHIMARMVVEGWQHIINHGGG PLIDKTHITDIAEEMWNLIKDKEKKSEEGNTCRAWNLGCGAIKALHVAVRYGFPDVIV RLSDRIVYYLEQASKLREAAAAQAAHDQMLKWIPQLCEVDPSPVYYFEVILALFIYAA RGKYLRAKRAISRGISSIIREPSAERTLLVGHIRSVISSTERPDDAARLRVAIKALQG MIEKFPALEEIIGEPSDEAPLGEHLNSKVKSEDPLLGHLHI
SAPIO_CDS4118	MTNTAGNRDFLAELVSAAEANDVDRIRETFQAWEDAGATSPLKG KHNYPLIHFTPALNAALKLGNIEAAGEFFDRGLPPAMDQFGSARLLGGSEPSQAACMS KIPLVKYLLSKGADPNLNAKADSYSPLECAALWGDSETLSLLLGRSPVEEIRCSSALL NAAQVGDIKKIDILLAARADVNGIPDNWRLSQSALKDEKWGTALHVSVAHNQVACVAH LLGMGARKDVKNPAGLTPKEVAEKLGHKEVMELLK
SAPIO_CDS4121	MTHINSGLGNSQVAAETKNGYVKITIKAGSAPLTQYLVAKPDDA TIYMATYITGEVDPGELRWLARLRRSAVPDGWHGTAGTLDGCTAFEGKDTFKCPNGET RCKMYTADRFIDDIVHGVTGPKVGIWMIMPGVAYETSSGGPFMRDINTQSGDDQELYW YMNSGHVRTEPWRFGLMGPYAMRFTTGEQPSGDVDTSFFESLDIQGYVPTSGRGQVSG VAGGVPQGFEAVVHWYNEAAQYWTKATVEGNYTSPPMKPGTYNMKLYRGEFPIAEDKV TIVAGQTGTKDIISIEKSPPVIFRIGDFDGQPFELKNGDRIERMHPSDVRMTSWGGNY VVGKSKPEEFPMALFSKEGGVATVTFNLQADQVTNTVLRVSTTLSFKGGRPNVKINSW QGKDPGAPKLIDSRGVTRGAYRGYGEQYTWDVPASALKTGENTLTLGVFGSGDQGFLS ANYIVDAIELQGPIGPDSPAAYVY
SAPIO_CDS4122	MELAVFKKLVAIFGIHPTIGRTIQRQVAHFEAQDIYDEELTDMK IVKAYTLRMAATVEGDLALSATHFTRGPFNAGVVFGCDEEQKLHYIKRMGKSAGSSVH PMFLPGIFIEEDRARLCKAIDKILDVFTLQAVHTTSKDGLLTNMDNSKMLSFLQVSFQ SQDLSNTLKSSRRQIVKMIAETGRTADRAPRRSRCDTCRLDITAVDKDQKLTGELIKY RLREILVFTWYWWTHRPLIRRRMRAEQDLEFSMNSKKKLGKSAITVKYPELRGQILMI PCVVNMYCYEPQLKKLKHPSTSSYEECKNAPVLSLETCKIFTDLLKIEDPQVDDLKLN PGNASLGDVKGLPPTVFGVTGLDPLRDEGLLYAKLLTDAGVPTDINVFKGLPHAFRRY GSKLSASTRWDKVIEEGIKWALSNPSPSYEFEVKEE
SAPIO_CDS4123	MPPGNDIDYGEKGKLGLSDKRVAILEDRGNGDYLDDKSHLYTGE PESSDIQSWIQQKGTPCDKIRDELGGAEVHLNGVNSVNFFIPRCTAYRIMTLPKVRAV IKEILPEKTEPDCNTLARSVVFGAAGDGRGRAVIVLAALILKGRESYLQSVLDDGISD DCLPMKLHPDPDQRKTAICEEHTHMFDNVHLCRDLCQASRFLLAPYFKGIGGKHRHLK LTDKDVLPFHSRRPVNSRQPVNSTSSNGLHDGNGGFGVVEVVEIDKDHVNFKELGIPA DMTKFALKRLNEPDGIEAFNLELASLLPFRSNAGWSLIQVLATFEILENGARYYYFLF PYADGTLWDFFKRFDEPSKPPSHTERMMYDCHALAEALTLIHNSRLKQVGGIPGIADG EENELYGRHGDIKADNILYFYAENSSRLVITDFGLGRLHTGISRSRQNPRNLDFSSTY RAPEFDVTDGKIGRKADVYSLGCVFLEMVTWDLKGFTAARDLFAKARATPNEILKGFV EDTFFTCTKTSGERVVAKRKPEVDNWIKDLRTHPKASRCSNEFLDLIEKMLEPEPRGE 
SAPIO_CDS4124	MGATKTELPSDLKEVDVIVAGGGTTACVVASRLSDADPNLSILV IEAGPDSYQDPSVIHPLLMLTHLMPTSKNTLFYKGSKESQLADREVVVPSGGTLGGGS SINLLTYSRPQRDDFDSWDTPGWTANDMIPYLKRFETYHGPGSPATHGDLGPIHVSES TFNVEWSESDFIQAAGKLGWPGVNDLMDLDTNNAVYRNLRFANKDGLRQDAAHRYLHP RLRDGEHPNLHVLVENQVIRVLFDENKRANGVEFQRNPSLSQNGDSSTTPQVVKARKL VIVSSGALGTPLILERSGVGDPEILAPVGVQPIADVPGVGRNYLDHHLLTYPYKSSLL PSETADAVYGGRRDIPELIRTNDAILGWNIADVTGKIRPSDEDVAVLGPAFQAAWNKD YKEKPNKPLTIITSLSGFPGDPTGVEPGQYMVSDPPDFKTGFFSDPEDVDIKMSVWAY KKQRELFRRMEIYRGEYAPSHPPFPAGSEAASIDTSEPLKDVKDIVYTSEDDAILEQW LRKNVGSTWHSMGTCKMAAKENLGVVDHDLSVYGVKGLKIADLSVVPKNVGANTAATA FALGEKAADIFLEELGLKSAW
SAPIO_CDS4125	MVKSSEKLVGKKIAVIGGTSGIGFGAAQAFLDAGASVVVISSSE ERVADAVSRLNHANVEGRAGDVRDEAAFTTLLKELAPLDHIVFSGVDKIIRGPLAEAD LGQAKHLFGVKFWGSVVVGKALAKYDILKPGGSLTLTSGTAALRPGKGASIGGALNGG VLTLTKGLAGELSDKRIRVNTVVPGLVKTELWDKLGQSKEQQDALFERGKQLPVGFTA TPEDIAEAYLYTAKADYATGTLIVIDGGGQL
SAPIO_CDS4127	MVPSTQPRYHPVDPSTFFMMDRDTLDFMSQESEVRCAFCRSPIR LAPLVLVRPIDPQEAAEEGGKDSFKYSSSTEILNGTAYLSGEGFYVGNTFGNWTDGDI NIPEADRNPPCYRSNERQETKRVCYPFHCSCYRLFSKCASGSFDAELDPDRLYKSISD SVSQWHPMDLNLPYANNGLEFYHDVDVSRFRTLLGTEQLVCDPMSCDEVMEYLKEVLQ DPRFRRILPGDPVAGVPRLRQIGYGIRRLYKSRAAPYGLRNRPEKDPFSVLPFEVLLG VCQHLALREALLLLHASPWLYRNMRHSIEFWFAAMARHLPWFFEIREIIKCGDSCIHG VDLYAVILWAFEVSWGALRQSEPGEAPRSLWERPHAILANRRRIWHVCEKLRRFYRRE FPSNYVAAS
SAPIO_CDS4128	MAPQPSGRSSTEQPSERTLGSTQEMDQSSERDSDIAAPEKTHFA PVLGSLGHSRGRSHSCSSHLSRQKTSESRKESLDPDLDINLPYRTLSNNADMNEYRTE TTAGEIPGPPIPMGDGETHYQLTTFKPNDPENPKNWSKAFKWYCTMVVAVTCFVVTFA SSNVSADMIGVMEEFNVNEQLALATMSFFVIGLGLGPMAFAPLSEIYGRRLIYASTLL LAVIFIIPCAVAQNIQTLLVCRFIAGIMFSAPMTLVGGTLADMWRLEERGVPMSAFSA APFMGPAFGPLIGGFLSDAKGWRWLYWIQLIVAGVMWILITFTVPETYTPTILARRAR KLRKETGESYVTEHDLDMRPLSERLGLFLVRPFQLLFTEVIVFLFSLYMSILYGVLYM FFVAFPIVYQKGKGYSSSKTGLMFIPLAIGVLASGLSAPLVNKHYVSVARKHPGRPPA EFRLYPMMASCWLMPVGLFIFAWTSYPHLSWAGPALGGFPVGFGFIFMYNATNNYLVD CYQHQAASALAAKVCLRASFGAGVIHFTVQMYARLGYQWASSLVAFISLACCAIPFAF FKYGARIREWSKYAYAGDDEDDVVDSGPSDMEKNSAKGAEPAGGVLGAH
SAPIO_CDS4130	MSEEFLDILTADALRFDEYAEESKDHSGRARSVRVTGSSRPSST AVKQSTRICDSVLLKASGLEEPENQKRIRIWSFPLSGI
SAPIO_CDS4131	MSETASATTGQAAAPKKKLILNAFVESCSGHQSPGLWQHPDDHS ADFNNVKHWVKLAQLLEKGGFHGMFIADVLGAYDVYKGPKNPDPSIISGAQWPVNEPL GPISAMAAATESLGFGVTVATTYEQPYHLARRLSTIDHLSGGRVAWNVVTGYLDSAAR NLGHTAQPEHDDRYAMAEEYIEVMYKLWQSSWRDDAVKLDRKTGVFIDPKLVRTIDHV GKFYQVPGPHICQPSPQRTPVIFQAGTSRAGKAFASKHAEAIFVAGHSPSTVAKNIAD IRAQAREFGRDPASIKFLAMLCPIIGKTTEEAQAKYQEYLSYGSEDGALALFGGWTGI DLAQYGDDEELRHVESNAIRSAVESWSKASPTVAKWTKHTVANHIKVGGLGATVIGTA EEVADEMERWIAEADIDGFNLAYALMPRTFEEVIEHLVPVLRKRGLLQDGPVVPGGTF RENLYGQPGLARPPADHPAAKYHWKAE
SAPIO_CDS4132	MIGITRVLKRTIGEDNRKTEMFITANRTIKTGLTSSAVISSWLW STAMLGSTLVGYNFGVAGPFWFAAGCSPMIVFFSVLGIACKLRVPQAHTLLEIIRIRY GTVGHIVWIILCLINNIIAVANMLLGASAAISAVSGMHVIAATFLLPVGVVVYTYIGG IKSTFLTDYFHTFVITIIICFFTIKAFITEEISSPAHLYDLVVAAGKAHPVAGNHEGS YLTMASHDAMLFGIIHILANFGLVIMDTGFFVKAFSAKVEAVVPGYIVGGLAYFAIPW CLGTLMSSVALGLEDNPIFPTYPRRMSPVEVSNGLVLPYAAIAIAGKGGAAAILIITF MAVTSTLSAQVIAVSSIISFDIYRQYINKTASDRDVIKWSHIGVIFFGLFSACFSTIL HYGKVDLGWTLYMLGVLTCPGIFPTTFAILWKKQSTAAAVISPILGMLTGLAVWIGTA YHFYGEVSVASTGQAVPCTWGTAISALSPAVYSIIISLIKPANYNWADFGKENLALED SSNDDSAANSTDEDVSKYKANKALLKRWGRIAVIWSLATFFGHWVLWPLPMYAAKYIF EKGFYVAWLVVAIIWVWGTMFITGFYPIIDGRQQIWNVIQLLRKGETEAETIDGRESV EREQVTITEKKA
SAPIO_CDS4133	MASTSPVLLILGSGPNVGHHVARVFIAKGYKVALASRSVKEENN ADLVRISADLADPHCVKGIFSKVEASLGLPSVVVYNASAGAPNNPEEPLSIPLADFTR DLHVNTTSAFVAAQQAALGFERLPDHQSKTFIYTGNILNETTIAGLLDGGVGKSATAH IIRSAAAAYSNKGFKFYYADERKADGTPAYSKISGEAHGNFYAELAEHKSQGPWQQTF VKDVGYKHFPAA
SAPIO_CDS4134	MSSWVITGVSRGLGFEFVRQLSENPSNTVFGLARDKAAVESKVA AEIGRKNIHIIQADTTDPVALEKAAQYVSEKTNGALDYVIANAALQSKTALVGFDTLS RDPKALEQDLIDHFRVNTIGAVHLFNAFMPLILKGRAKKVIAISTGMSDPEMTLKADI YQATSYAMSKAALNMAIAKFSAVYREKGVLCMAICPGAVDTGSLNIETEEEGQLAMAM FGKFKEYSPTFQGPMKPDDSVKSVLALVNKATVDGGYAGVFLSHTESKPYL
SAPIO_CDS4135	METGNTVAVVGLGALGLVAVKNLAEEGFDVTGFDQNPFVGGLWH YADDDRVSVLPSTVINISKERVRVGIYPQACVDLEQTADTDAPSYMHGLEIERYLESY VDHFGLRSKLRLETRVTKISRNENERNWNLQIEDSEGQRIESFDRVVVATGINQIPNI PVVEGIDIFEGETIHSRAFKQPERFTGKRVLVVGLGNTGADTATSLIGHAKSIHVSFN HGTYVLPRVIDGKAIDHAITLQMVNIQGLLERYIPSISERVFNSFVAKLQNKAFTIRP EWGLSPAPSLKNGVIPVVSDTIVSALESKAVLPVTGLKRVIGPSSVELKDGTILEVDT IIFCTGYRNNFSIIQGEFDPTAKTTPEWAKAPFSNGRCLPRLYQGVFSLEAPDSLAFL GGVGYPAGAFQIYDLVMMVITQVWKGKSSLPSVEEMAAQVDRRHAELCRRAHAGPVNP AFFSQSEWISWANPIAGTGLDVYLGWGREAWKLWWKDPELYRLLARGLFSPHVFRALP GKRKKWDGAREELIRINRAVKEQQKMKRS
SAPIO_CDS4136	MSVRSDKAQDERSDGMNKVQTTGGISLSPELFEQLYLAPKQNVH GHLRERFGNPTPIAIGGLMLCTTSLAMVLLEWQGAGGFAGAANVACYIYVGGLLLLLG GIGEWIIGNTFPAVLFIIHGGFWTAFGYTIIPSIGAYGAYSTTGVAADGLKEPQFFAT FAFSLVAMAIVSTFFTIAGLRTNVVLFVSLALLVPTFAVIASSFFAISHGQLERARNL QHAGGGLLFAVSCTGWYVFLSMLLASVDFPIALPVGDLSTVIVGKSSRLASKGEV
SAPIO_CDS4137	MSRNPTVVVVPGAWHPASCVDPFCESLKKAGFPSKSITLRSVGN ADISVSDDVAHIKSVIEPLVQGGEDVILIVHSYAGFPGTGAIAGLDKKGIKARGEKGG VLGIVFLASFVPLEGETLVSLLGGSLLWWMTDNIRDTHLYDTPKKEIVAPRFVQFCAA MLLAVLSTNTDMHGAADLEVPHFEVDVIFPLRLLRDFELIWGIMPYSHGYVPRGTTYD LGNLTDPDPLEDNNSDTATPTDNTNATDWITRKQVHESYMLQLYLHWPEQESRCGFDV GIFKEPLMFSVEVQYHTSDKSPPISLSSRSKENGKKSGSTVPCFSVDRVF
SAPIO_CDS4139	MRATALFVALAATAANAAYPKDIVQYWVDQSALFVNGSIIGGIQ SPPSAWFPAVVHGAIYTAALEAKGESLSFQQLAVSHAAHDALSWTFQGTRLYNSIDAA LRNILPDIGIAQSSKEYRQATSIGRRAAATVAEKRAGDRLANFVDYVYGPAEPGVYQR TPGGNPLPDTPQAAYLRPFGGIGDISKYRAPPPPNATGKDYEKWVAEVKDVGSLNSTS RSEYDTDTAYFWRESSVTGWNRLANAIIGDSLSKDVVASARFYAQFSYALANAAIAAW DSKYYHNHWRPVTAIQRPGIWLASGKDISDPNWTPLLRPTPSHPDYVSTHSTFGGAAA EVLRAYVGGDKINASLSSNVTLDNRGVITRRYTNLTEAALENSRSRVLGGVPPKIHFT YAGSAGIELGSAVAKATLNKFGRHWDEY
SAPIO_CDS4140	MGLSISPDATAAPVYASDREKTSGDVRSSSPTGTSVSFKRDHTH RNLKARHIQFIGIGGTIGTALFVAIWCTFILAVTMCMAEMVTYLPISSPFIRFAGRYV DEAFGFAAGWNFFVFEAALVPFEIVACNLILHFWTDVIPTAAVIVIISILYLLINILA VKWYGETEFWASIGKVMLIVGLIIFTFIVMLGGNPHHDRFGFRYWKNPGSFAELYYTG SLGKWLGFLQCLIQASFTVSGPDYVSMAAGEAENPRRVLPRAFNAVFYRLTTFFILGA LCVGILVPHDSDELQGALSEGRPGAAASPYVIAMRRLDIGVLPHIVNALILTAAFSAG NSYVYCASRSLYGLALEGKAPRIFTVCTRAGVPVYCVLVVLGFGLLSFLQLSESSAVV LSWFVSLVTASQLMNFCIGCVSYLCFYRALKAQGIDRSTLPYKAWLMPYAAWYGGIGT FIMIFVGGYTVFLPIEGMWSVPDFLFSYTMVMAFPVLYVGWKIVHRTRIFKPEEVDLR KDLDVIEEYEREYIPQPPKNTFEKILDKMFG
SAPIO_CDS4142	MSSAFIQRPAPAFKAMTVFAGGEFKEISLSDYLGQWVVLLFYPM DFTFVCPTEIIQYNDALPRFRDINTTVLAVSTDSHYSHLAWQTQPRRQGGLGPDLQLP LVADRSQAISRSYGVLLEDEGIALRGLFIIDPKGVLRQITINDLPVGRNVEETIRLVQ AFQFTDEHGEVCPAGWMQGAKTMKPEPKASLEYFSTQPEGANGTSNGATNGTKRLRTE 
SAPIO_CDS4143	MDLEQSKLHLEQFFQTNQDQHELLTALGAFLNTAPRRVERPGLY VTEIEERIEIFEDIKRSVHRHAIKRRGLLSDALQSILGMFWTSARGARLQALITDNAH ILDTAKNMICLTPTLHSWWANAYFALEPYEQLPNGVRIRLRWLKKTAFVPWQAMPEPR TDPRDYLNWPGEQEGILGIVDFESGHPLIDGSLFDLVSDDVDTQVSWDLIEIQWDLLR MAALSGAGEVADDPRWDSDKYFVELFESLHEEGMQGPADNAPSSSRGR
SAPIO_CDS4147	MFLIIVLAFLFSLVVPAPAQQTLRGVAKSCDNRGNALDQLASLT TATGCDGGDAYMCRDFQPIPVDSNLSYGFAIQFGGDYNGNNANCCKCYEAEWTSGAAR GKKIIVQIVSPGKAAGNVGGNDLIIYTPGGGAGPFNSGCERQFGAGYNW
SAPIO_CDS4148	MLLTANLTLSVVVIIVAENFRAVNDKYGPLARIGPNDLLTSDPE VIRRMGRTSSKPAYRRSSWYDAMKMDPYHNSLFSIRDTQAHDKLKAKLSFGYGGKENP SLEDGIDAQLGALVDLIRRKYISTALELKPIDLATTAQFFTLDALTKVAYGYAFGYLS TDSDVYDYIKETEALVPSLVMSAEVPWIGKILFSPTFLKFAGPKPSDKKGFGMMLKLA ADLATTRFEPGAKDEKDMLIIAGSDTTATAIRGTLLNLMTAPHAYRKLQNEIDEAAAN GSLSSPVKAEEGRKLQYLQAVIYEGLRINIPFSGLVSKEVPPEGDTINGQFIPGRTRI GQNFLAVQRSKDIFGDDADLFRPERWLDIDEAQSTQWRHHVELVFSSGRWGCSGKPVA FIELNKIYVEVSPFPGMGGYERARLIGFKRKLLRRFDMQLIFPTKPIETINKNLFFQK NMWVRVTERFPESP
SAPIO_CDS4150	MESPDSAIGPLGTSEKTHGVTEPSALTGNAETLYGDQHKIISQW HQQLDQLRHSDGAQAEALVSQIAEILETHAWKQDSLILAFKNITDELRDKLSSNFQSE FEDNFPNVEDGISDAVKRLMTGSPGDGDTLGHVVETGQWARIHLAPDGPLRPSDPTGK RPLSNSQPSSPVAGDVKRARTRQPEEQGHDGDEQTEGDTVMAGDTIITVLHPEDTRET EAESEGETGHQLDL
SAPIO_CDS4154	MAKSNYSYLPRAAPASIKCPYEGSILLQTARYNKGSAFPDDERK IFKLYGLVPPRIQTLDEQVERAYQQYKSRPDDLAKNLQLLQTYLKEMFSVIYTPTEAD AIQNYSRIFRDPDGCFLNIRDQDKIEECLSNFSTPDDIDYIVVSDGEQILGIGDQGVG AILISMAKLAIATICGGIHPSRQLPVVLDCGTNNSELLNDELYLGLPQPRVRGQEYFE FVDKFIRAARRRFPKAYIHFEDFGVHNARRLLDKYCSEIACFNDDIQGTGCVTLAAIL TALHVSKVKLSDVRVICFGAGSAGTGIAEQVSDAIATESQKPKSEAMKQIWCIDKQGL LLKSQEDKLNPAQVPFAKDIGEWPDVENVDLLSVVKKVKPHILIGTSTKPGAFTEEVV REMAKHVEHPVIFPLSNPTRLAEAKPQDITNWSDGRALIATGSPFPPVEYNGTQKEIA ECNNSTIFPGIGLGAILSRTRLVSAKMLVVASKALAAEAPALYDLIKPLLPDVEEVRE LSVRVAKAVIQSAVEDGLAQEEGIPSDESELEEWIRVQMWEPKYQPLEKIEKD
SAPIO_CDS4155	MRFYLALALVALTRAVSAQGVTLTPTTVCKGSTCAGSPETTGPE IPQDPTNISDVDVITETETVTETQVTTEVKTETQSVTETTTSVIISVQEVTVTEEVTR IVTLTTERVVERTSTITQAVTLPCAPQAACPTLTRTGTACRTCLLPGHNTARFVGNDA PAAVAVGVLLSNLFRYQESTRE
SAPIO_CDS4156	MATGADLDIVEGTQRPAGATVDPEKNRSAEKTEPPHYADDAESL SGPNGEVYPTAEETETLRHVHGKVNWLIYTIGIVEMAERFAYYGTTAVFVNFIAMPLP EGSTTGAAGTDGQAGALGLGQQVSFSVVMFNTFWSYFMPLLGGYLADTYWGRYLTIQY AIVIAIIGHIIIVVAAIPSVISHPNGALGCFIVGLLFFGAGVGWFKANISPLVAEQYE LTQPRATVETLPSGERVIVDPVMTISRVYMRYYFLINVGALTGQISMVYAEKYVGFWL AYLLPTILFFLCPIIMLICRNKYAKRPPTGSVLGKSIALVTYGIKKNGIGAFNKDYFW DSIRPSQVADKPSFMTFDDAWVGEVRRGLKACAVFLWYPIFWLAYNQMNSNLVNQAGS MRLGGVPNDVVSNLNPFALLILIPVCDKFVYPAIAKTGFRFTPIRKITLGFFIAALSM AIAAIIQHFIYVRSPCGYNANDRDCYDERGPPDMSVWIQTPAYVLIALSEVMASITGL EYAFTKAPRNMRGLVNGVFWFVHAFSSAIAQAFTGLARDPLLVWLYTTVAIISTCGGT GFWICFRKLDKEEDALNALPESTYIGRNVEKELENKSVA
SAPIO_CDS4159	MQVIQGQGDQPTASNLIMNQDPEKANSVEQLSAYSDGNDQSADA QDVQPLPPPDGGLMAWVQVLLMHIVFFNTWGVANVGVVSGRATDAGYFKITFTLGVIL QVFGIFMTSLCTQYWQIFLSQAVCLGLGNGCTFCPALAVLSTYFKRNRALAVGLAAAG AATGGLVYPALINQLINHDDVGFPWAMRAMGFIMLATYLPCLIWFTPRIPPRKAGPWI DYSALREVPFVAFTLCMFLNFWGLYFAFFYMGTFARDKIHASEPANLIMVLNAVGIVG RIVPNIIAERWTGLLNITIPLSALAAILIYCWAAVTTEAGLYAFAIVNGFVAAALQAL FPTLATTMSPDPSKAGTRVGMVLSVVGIALLIGPSISGILIERGHGDYLYAQILAGSV VFLGAMVGIVVRIAKGGWVLRIKV
SAPIO_CDS4161	MSVQVPLFSSELEKSTSPIKDFEMAPEFVNNTSSAQSELPQVLG GLPAIFMGWPIWQYVVTFLIGVVVYDQVAYIIRKGSIAGPPFKIPIIGPFHQALHPKF DAYLAQFVVLASDRDLAHKVFKSPTFAEPCLVPIAKDIIGHKAWVFLQGKAHAEYRRG LAPLFTNKAIATYLPVQDEVFTQYFDKFVAASEANQGRPMAFMTMFREINCALSCRTF FGHYISQDAVKKIADDYYLATAALELVNIPLSMYIPFTKTWLGKRVADAVHVEFTKCA AACKANMAKGAKPTCIVDQWVLHMMKSKEYRDRIAAGETDVEKPSNLIREFTNEEIGE TLFTFLFASQDASSSATTWIFQILAQRPDVLDRLREENLAARGGDRNKPFDLPMLESL TYTKAVIKELLRYRPPVIFVPYLATKNFPVTPNYTVPKGSMIIPSCYPALHDPDVYPN PDTFDPERWISGDAESKIKNWLVFGAGAHDCLAKKYVPLSMAAMIGKAALELDWTHHA TDRSEEIRVFATLFPMVRTRYGLAPSYVCPSHELTLRIGWVPVGI
SAPIO_CDS4162	MAPTYLKEANPAAKAAGVNPSLDVTGIVKGVIDTIRKDGDDAVR RYSEQFDKWSPPSFKLSKADIEHAISQVSEQVLRDIQTVQSNVRKFALAQKDSLNEFE LEMAPGVFLGQKHNPIDSVGTYIPGGRYPLLASAHMTILTAKVAGVRNVIGCTPPAAG KIPNETVAAMHFAGADEIFILGGIQAIAAMAIGTDSVPKVDFIAGPGNAFVAEAKRQL FGEIGIDLFAGPTEVLIVADETADAFMVATDLLSQAEHGPDSPAVLATTSADLARKTM SLCDELLKGLATAPIASVSWRDYGEVILAESLDEAYHIADEYASEHVQILTSRPRDAL EKMKNYGALFLGPMTCVSYGDKCIGTNHVLPTKGAARYTGGLWVGKFLRTVTYQEVRS AEESGKLGRLCGRAARVELFEGHARSGDLRAHLHLKDEFDWIKSES
SAPIO_CDS4163	MSSPKVALITAASTGLGAAIARTLVIELGMSVVINYHRNTDRAA KLVQELQDDCGVRYAKSGAPVPVVKMIQADVGEKSDVYRLVEKAAEEFDGRLDVVISN VGWTRMRNFSDLEDGLDEEDWDRCFAANVKSHLWLFHAAKKYLEESNQRERGAAVFVS TASVAGVKPSGSSLSYAVTKAALIHLVKSLATISAPSIRVNCVSPGILLTEWGLSFPE ERLNAAIKANKLERFATVEDVAEQVKTFVVSKSATGQNAIIDSGFSL
SAPIO_CDS4164	MSTPTIPKDIRQINRFITTHDNEGKTAFSDTLPDEAPFKTLPDG VGFALCYATNKFPVSLSGDKDVSTYQHYTENLPGITIPTGTVLRVVDMPPGALSPMHR TISLDYGVVLEGEIDLILDSGESRHMKRGDISIQRGTNHAWRNRSSTSWARMLYVLQP AEAIVVDGKAMEDNSAQTIPGYEDKP
SAPIO_CDS4165	MIFGECGVLRIYEASPDVTPSAILRTCIEKLGLPTSAATLYRQL PGITSKPSSDSTAEESNGSSSSGDGNEGSGGSSKAGVIAGAVVGSVVGIGLLAGAAFL FWFLRKRSKPGMPQNPVPQNPVVENVATGPSELGGIGKTAEEIGKPEIDGQEKPATES AAELGARSPPTAELGSLGPSPLPHTQELSAQPTATELAGSPPLTCQSGSPGELPASRM IYEMPAEPYEREERRPREEGQQQTTELQLQAIHEATEEPINMYKAEQRKRRKRGPGVK IPPSWCRSFGKSKSASAM
SAPIO_CDS4166	MTSSAKAGSATAWNNRTFVKDCAVFASDEFSPVLAAGKPLGTNL PKIGARIFSFRAADTDPWIGFVLEFPLGKSQESNDDSGFGVRYHFDRAPNAGGKDGPS GPGIRQLDMHRITVKFPRGDVAFSCHEAKPEQLAVFPNAKNVKKGLTIVSAMLRSPAR VDGFGIPFANPEDQELEGWVNDNQPIVDGVSLLDFLSRDTFHFLVDYQLRETKWELRE ELLPPSFHYPYGDQHDWKPERYKRLLETAKSDRQFLPAYSYPDDNAHLAVVTQANIQD IFWLHLAAEEIAKRRFPAYFVPIGFAGPSDAKTFYAIVALTPQFKAKYEAPWRRLAKG GVLRVNLYGTAEQVEPEACWDAMLVEHPEGVQLLAAHPTEKYEVVLKVRRPKVGPQYA AKTPTNPEAFEAMEDMSAASMASFYERHGYRMDLHRALMRGTGFYEWMTQGGPAGGDV KGQHSIEVDDSSIINQVAEALDSRTLGKSKSGALRSLPVVNFLNIQGQKYIEALMQEV LPEDRSRLSKYLSHRALGLGMVLAGPGFGKTTVLSVATLAMQARLGPILASGPSNIAV DKFAERLDRVTNSVCDRYSKGKPHDAEGQARRRLVVRGYRLEHEYSAFLHLLEKPTDA DNAAPSREWGNPSQWKLHLSVAFWALVCLRSQATENIRQLHPDDCLALHKLRLKMDKD VEYERLRAVASGGMAWEEYQGGLGPIQPHLVSHVFEWIVSHADILCTTPAMTTVGKAY PRFKGETARGVAVDEAANMNRADLACVWGNTLLPCLLGGDPRQLPPTIMTGHEKDSTG HFIHRLADDGKISPLAFFQATGMPVFRLRKQLRMAKGMFDWIAEEVYPEVKFQYGANC TINLPQFNVGRDLEAYIQARHPGVKPCPPGQLLPVFLHCQGSRVFIDDMTGSKRCPGQ VKAALDFATAFVKSKKADAARLAIIAPYAANVQLVGQMVKHPEYSALRAMPPASTVDG YQGGEADIVIVVMGTTSASGPGFTSDAQRLNVMFTRQRCGLVIVGDLYVCGPVDKPNF SRKVKLVDYDGHETWTTATLLRKMYNRLYKAGRVVRVSSTI
SAPIO_CDS4167	MEKGAQQPVVEKRVQTLGTVRLRHHETNEIIRIPVPSNDPNDPL NWSQSYKYVMVVVICLAMMMSNFLAAGPTIAIVQTAIDFFPELPVPKAVGRVAYFFTT TALLQGTSNFFWVPLTNKFGRRPVYITSYAIYFAVCVWLIFETRYGAFLAGRILLGIG SGAAETIAPLSIADVFFLHERGFVMALYTSFLSIGVAAGMIVDGFIVLNHDWRVIYQV AAALIGFVLLLAIFAFPETAYIRPIEGIEDSETATSDTASKKSAPPRHLELSSAATPP PKETYFQSLKIYHRVWTEESLVKMFVRPLGLIILPPVLWAALVESVTIGFVVAVSSNV APAYQQAYGFEPWKVGLCFIAAIIGSLMGIPAGGWLADVVADIFTRRNGGVRVPEMRL PTMMLCLISTPLSLVLYGVGIEKQLHWICPTIGLGLLNFSIAQGTNVCLVYVIDAYRP VAGEITLTVMGFKSMFGFLLSFYTNPWVEKSGYMDAFGAMAGISVAVLILWIPLYIWG KDIRHASWRWPILSYIHWEEDREVGE
SAPIO_CDS4169	MRFQLVAAFAAMASAVALPTTNDAENPTIGHAQNVCGSFNKQLN CCSEEQEIVGLGLLSNLLGGLGLFNGCSSLSVTALIGLDDILNDKCKQTAACCDSGTV SQNGLINVGLPCIALNDVL
SAPIO_CDS4171	MISELQSTADLGKNGQDEEKEAFLSVPLTNDHVPPSTKEAARRS AIRTLHNWIELSSDSRGYVQFQDADSYDLPNPYITPVNRTSDGPGYMVSLYHQLHCLS YLVEHFQAGYSGVELTDEIAHHSSHCFDYLRQAIMCAADTTLEGDTEYGPGWGSKHEC KDYDAVLKWANEHATMRWRTNMPDESTL
SAPIO_CDS4174	MAKVFTSGGVAIITGGASGIGLALAKKCHGYGMRVLIADKNETS LESARNALNGDIAVFKMDVGRLEDWSELKASVDRDFAGRVNLLALNAGMGVRGASWDN TAAFQSTLQTNFMGIVNGISTLLPLVKQSAAAGDYASIVITGSKQGITNPPGNPAYNA SKAAVKAVAEHLSWDLRAEERISVHLLIPGWTFTGMTNRAGPDAPKPDGAWWPEQVVD YLEEKMKEGQFWVLCPDNEVTESLDRRRILWTAGDIVKGRPPLSRWREDWKARAQEGI DGMGDPAQK
SAPIO_CDS4175	MKLSLLFTVLPLAFARPSTLRRREEPAPVLRPRDAEVIPNKYIV VLKPTKGDFTIQKTHSAINSLRRKPEHVYDSANFKGFAAELDDDTLATLQDDPTVDYI EQDAIVTINTYTTQTGAPWGLARISQKTPGKTTYTYDDSAGEGTCAYVIDTGIYTSHS DFGGRATFLANYADSSNTDGHGHGTHVAGTIGGTKYGVAKKTKLYAVKVLDANGSGTN SGVIAGINFVASDSKTRNCPNGSVANMSLGGSKSTAVNSAAAALVDAGVFLAVAAGND NANAANYSPASEPKACTVGATTSGDARSSFSNYGSIVDIFAPGTSILSAWIGGTSATN TISGTSMASPHIAGLGAYLLTFLGKKTPAALCSYIASTSNKSLLSGIPSGTVNYLAFN GNPSAS
SAPIO_CDS4176	MSSGIVKRRFRVAVNQFHLRIIGVGGTLASVWKPSALINQDTDS VRSDSSEWVLDMEGVTGHASAYRTATTTVLYCCDEHSIRFCGRNTGTVDICTLDDPEN TVTQLYRHRGTFTSDTLIDWAYKPRLVVSADSSNCFRVMWIDLAGVWSAELLLEGRVE GESPIRQVLVHQCGLLVLVSSPESDSLRKIVLDYCPA
SAPIO_CDS4178	MHILSVTAAVAVFLGAANVVAQVPQLPVPTTCTGVSAMRFPQYT VAPGWQFLKIAGGLQGVRTIVFDPLGNMLVSEARKGISIHTFGENGCISASTMLISDI SLNHGLALTPDGKTLYASSERNAMSWTYDPATRTVSNKKTVVTGMDSGIHSTRNLLVS PKSPNLVMLQVGSNSNLDMQSVQAATGRAIVKIFDMDKAPANGFNYKTDGEVLAWGLR NEIGFTADPNGHVWGVENSGDDFRRNGADIHIDNPAEKLNFLGDPTKDRDQFFGYPDC FSVWDPTPFNGQLKTGDHFVINSQGGNTDAGCNDRAIKPRLTFQAHSAPIWNSFDANA TNMYVTFHGSWNRQPATGFKVVEIPFTQLENGQYDPVAAPDSREGYKDIFGATNPGSC TANGLTMSNCFRLTASTWDPAGRGLFVGSDNASEGEIYLLSKKA
SAPIO_CDS4179	MSSPWYEEKSIASSDEIKSIDDAEKGLYEKTNGVPVRPTSARSD KKKSLHIDTNQWPLSPIHEGITPFTSVPELSLSWPRGFKAPEEPAKKPARPKPKISRW IRFNLWFNTYRKFFTFVVSLNLTGIILASLGRFPYAEDHLGALVLGNLLFAILMRNEL FLRILYIIAIYGLRSWAPLFLKLAVTSVLQHVGGIHSGCALSGAGWLVFKIVDIVRHM SVQHITVVVAGIITNVLVLISILSAFPWVRNNYHNVFEGHHRFIGWLGLAATWIFVIL GNFYDIKLGQWRADANSLLSAQEFWFAVFMTVFVLIPWCTLRKVPVEVEIPSPKVAIL RFERGMQQGLLGRISRTSVMEYHAFGTISEGRKSGCHYMICGVQGDFTKALVDDPPKA VWTRELKFAGVGHASAMFKRGIRVCTGTGIGAALSTCIQSPNWFLIWIGSDQERTFGP TISGLIHKHIEPERMILWDSKKRGGRPDTVKLLVDTWHSFGAEVIFITSNMQGNDEMM QGCAAAGLHAFGTLWDF
SAPIO_CDS4180	MPALMFLLIFQEAVELHGVRPGGFISNGYGSVIVRVASVAAGSV AIAAVVIKYLLSTRRPKDYPPGPPTILGIGNLHQIPLKQPFCQFHEWSKTYGDIIGLK VGPANIVVLHSPEYVRELFDKRGAIYSGRPYSYIPAEHVFREHGDKHILNLQNGVFLR RWRAAVAHFVGPVGLKQSLRFQEETASTLMYRLLLTEGHQDSLDHIKHWALATPLLAI TGQRLEDRGKAFADRFFDAQEKWLELLEPGNTPPVDIFPFLRWIPERLADWKTKARTV REYMFEEYFGYLKTAKDLRCENDNQGSIADLECLMTKILGDADKDEGKGKSFTDDEVA YLGGGLLDAAVDTTWATMMSLIMFLTAHPDIQEKAFEEINQASPTTPPTADVLDRLPY VRACLSETFRLRPPAPNGLPHVLDRDDTFNGYKIPKGTTLLANVWGIQRNADDYDDPE EFRPERYLLHPLGLKPGLEAAHGRKATYTFGAGRRICPGEQFAENSVLMTVAKLLWAF QITSPEPLNLSVETGFHTGLVLSPMPFNVDFVLRDEARRHAIVLDYNGSLSGTGLNAH NSH
SAPIO_CDS4182	MPAPKDGAHFDFIVVGGGTAGNAGRGGPENEELITTPSSAMELR GSDYDWGYKTTLVRRDDYERIEKPNTCGKVLGGSSSLNYFTWVPGCKPTFDRWAEFGG QEWTWDPLVHYLRKSATYHDDLGLYSKDLQKVGKGGPLPVSHAELLDEFADFRDRVTK AWVSRGHKIQENIYDGEMIGLTHCLDTIYKGQRSGSYLFVKNKPNITILAYTHAKNII LDAGLTAKGVVVIGPNGGEQSFYATREVIVSEGVFESPKLLMLSGIGPRDQLERYGIR TLVESNHVGQNLIDHPGVPFVLQVKDGYGADDHLLRQSSKFSVAAETYKRIGPKDPLY KERKAANGSKDPFSPEGQPHFELDFVCMFGSAFQWHFPTPKKGNHITVVVDLVRPVSG PGEVTLQSNDPLAQPAINLNFFADDLDIIGMREGIRFIYDVLTKGEGFKDIVVAEYPW DMPLDDDKQMERAVLDRGQTAFHPTGTCRLSKNIGQGVVDPKLKVHGVKNLRVIDASV IPIIPDCRIQNSVSAVGEKGADMIKEAHKDLQA
SAPIO_CDS4183	MALSLRNLVVSFLAAGTALGAPAIQERQETAACTSTKKRRSWHS LSDEEKQTYIEAELCLMNTPSTSDLRGSRTKFDDFQAIHVLQAEIAHFVGQFLPFHRL FVYAHEYALETECGYEAGQPYWAEELDAGAFSKSILFDPELGFGGNGVGDSQCIQDGP FANYTNPLGPGYEISDHCIQRQISDRASTSAQESVLERCMNMTDFMDFWPCIEGGPHG AGHGGVGAQMLNPISSPGDPLFMLHHTWLDRLWAKWQEQDPETRLSAIGGNNRGGLGG GFPGGGFPGGGFPGGDFPDFPGFPGDFPGFPGGPDGDGPIPEDPENPPEGDPEGAPAP PFGGGGGGAFMGMIRPDDVPEPQVIGDPGNDTTLDHLLEMYGIVEDRTIAEVMDISDL CYEYD
SAPIO_CDS4185	MPRVYTLAEGCPQRNNTDTVQLREEHNKPGGLVLLQSTQLIETL AHFARERIPERVVHAKAPGAYGEFVVTKDCSDITSASFLKEVGKKTKCMVRISTVGPE RGSADTIRDVHGWAMKLYTDEGNLDWVFNNTPVFFIRDPVKFPSLNRSHKRHPATNRP DDGMFWDFHVGNPESIHQLMHLFSDRGVPASLRHMHAFSGYTYKLIKEDGSFKYIKVH IKTQLGNKTFDASTAKKVAGDNPDHLVQDLYDAIERGAYPKWTIPFQVMDPKDAENYR WNIFDMTKVWPHKDYPLREVGVLTLNENPKNYFVDIEQAAFSPSNIVPGWAPSADPML QARMFAYPDAARYRLGTNYQMLATNRARCPVYCPYQRDGFMNFGDNYGDDPNYVGSML RPVEFATGKNGPISTTTHEHERWIGEVVSYSSKFGKDDFTRATMLWHVLLREPGQDKR FIDNVASSVAGVHSKELRQKVYGLFNGVEPTLGERIEKATAQKLA
SAPIO_CDS4186	MAPPAADVDVVSPTEAAPVSAPSKIENLSGTTNSSASRLSGPLK YSGSLDSYESFDTTGVIGREFPALQLSEILHDDDKIRDLAILVSQRGVVFFRNQDLTI EDQKLLGQRLGELTGKPETSKLHRHALSNSKRGIAVDENGKLDDEVSVISSEQNRKFY GERYTHLSKKFASDGWHADITFERIPSDYAILKIVQPAEDAGGDTLWASGYEAYDRLS PALQKLAESLTATHYQPNFVKVKDTFGEELIDDYRGAPENTGLDFQAEHPVIRTNPVT GWKSLFAAGHQVEAGWINGVTDRESEILKNYFTQLIVENHDLQVRFRWNKNDLAIWDK 
SAPIO_CDS4187	MIALTSSFLLLATTVFAHVGHDQTPMIAGPLQGLWYNSLPGDGG TQADSVFSGIATFARLPYFPCLSSDTERFDIAFLGAPFDTGTSYRPGARFGPSGIRQA SANVGFSGGYNVPLKANPFTGELRILDCGDIPVTSYDNTWAIRQIEQGHNSLLMRKPF TNAEQPGPSRAGHTLPRIITLGGDHTITLPLLRSINKAYGPVTVIHFDSHLDTWKPKV FGGEPSDVAAINHGTYFYHAAMEGLLKNDTNIHAGIRTTLSGPSDYENDGYCGFEIVE AREIDTIGIGGIIKKIRERVGTTNPVYLSIDIDTIDPAFAPATGTPETGGWSTREFRT ILRGLEGINIIGADIVEVAPAYDTNAELTTMAAADVLYEVMTMMVKAGPLSMSRSEEL 
SAPIO_CDS4188	MTITGGEATRANEYTSLLPNRHCGSIYSEDNNAEIVVPDEGQLS RKLVIDELTLLLKGSIPVVLAYTLQNSLQTMSVLIVGRSSPESLATAAFSYMFAMCTA WLIGLGGTTALDTLASSTFTGGSNKHDLGILLQRAFIVLGLFYVPVAMLWALSEPVFI FLGQDPELSRDSARFLTFLIPGGLGYVYFESMKKFLQAQGIMRPGTYVLLITSPISAG LNYLLVYTFKMGLLGAPIATGISYWLSFGLLVLYARHVAGAECWGGWSRSALDNLGIF ARLAFMGVIHVGTEWWAFEIVALAAGRLGTIPLAAQSVIMTADQVLNTIPFGLGVAAS VRVGNLLGAKDPKGAARAAHSAAGLSILLGLVVLAVLMATRNQFAKIFNDDEQVVRLT AEVLPYVALFQIADGLNGSCGGSLRGMGLQHIGAAVNLISYYCGALPLGIYMAFHGWG LKGLWIGQCIALYLVGILEWAIVGLRNWEKEVEKAFLRMDKADHEEPGMLH
SAPIO_CDS4189	MDEKHIESVGPESTSRGDIETARAPAALKLHPQPTSDSLDPLNW SKLQKHTILAIVMFKYFLFTYVTTTTVPSFAEIQEQFNISYSQVNWTVAVPALGLAVG PLIWSSLSDIYGRRIIFIIGTIIALVATCGAAAAPTYGEYMAARFFQGLGVSPAATVG MAVVGDLFFEHERGQKLGLWVLALDTGLLVGPIFGGFLNLVSASWINWFNALLFAALL LLELFFMPETLYPRNLMLSQLPPVLESPDVTDSEKHESTPQRADREVDLRRTKTLPFL NFTPIPGLKHPKPWDTPIRFLLTFKQPVVVLAVVGYSFIWYWWILSVITMIGAAYIDY TPLIQGLLFIGLLLGTVFSEVFCSGTLSDWIVARLAKKNGGVRVAEMRLWLAYPAILL TAIGLIVWGISVDKGYHWMVGQVAFFLFAAGVQMGNTVTSSYIVDSYPLQSMSVITFY AVFLNLSAFINPFFIAVWQESSGWTWTFAAQGIIVFFAGIPLFALLHKFGGKIRQKTS QPTWVNPEFDISI
SAPIO_CDS4191	MEISILLLGVAQTSSPQTWIVVAGLATGQSLGAFVVGEPQVSLE ERDIVWYVEEFALNDPYSEGRAEEIPQRLDGYAAQLSRLLRPAVSRALRASGGGVGSL SLRLHVQALQGHANIHSIKWELLERQVACLELNANSVFVIRQVSPTTRPSSSTAQRPK QNILLVSARHALQDPIPYRIISLPVWNIAEAARREEVNVELYFSRPGTWGTLKRTLRD RPIGFFSIAHFDLHGVRLRDRSFCFRFSPSYYNAQVPEDVPVAEVARVLSEYGVKAVV LNACNSGNQPADPILRSAAHILVSQGIFEVVAMSHSVMKSTAVDFMTAFYEKLFLEGS TIAGAVQHGRNYLRNHATKEGRFSLLVRVHDDFVPVFYRSEHSPGGLFEHHNNLPGPE IAGPSSRRGSDDKQPSSGVQLLGRENDLLSIESALCENAIVKVVGDHGVGKTCFANRL LRWWRETGFIDHAFAIDCSDLSTSLIETLIHQINGDTFHGTRGSQKRVLVCVDNFNIR KAGQGSNQRLQWKTFRDYVTRLKTHCPRSTWLLLGRFSETASAANDIIPVAEYFLKGL KEDEAAQLLRRGFECDGMKLPSTREFNEQMEEFTDLQDGNPLGMELLGSFEPFFGSFL ALTLALKFWVPPLVYLGLDSALKRDNWMMSSPSAERSLEYLNESSNTLHEFKCLIDTL EQRSKLALQIAMSLSACQRVIPFDLRDWVTWLFQSGGMLSNQSEAEEASLGTVEAGTS SKSPEHPPEPAVRCVSWVLEQLVDFGFIYLASQTPGSSVPKYYEVHPLLPHLLRYEMV KRSNNPSTEVACVKLHQLPSMLWHLYEFRISSLIELTNGTQDASGVPVEVRKFFEAEH INIYNAVEACIQQPEFGYRTMNVLGILLSEAVTELSSMWARAQTRLLDCVLRGLEHLY QIQHYRSALAREETADALGQIVVLVWWRGNTLLAAAEYAAAHENAKRALLMLEDLMPS VLDRRNLGALSFSLRCQAAFVASSSGLTDALLQLLSEGRPAGLEKNVAYIWAASRYLI QTRYLMSEGEAAGGPDAVSFKYLAKLYAHAFRGSELTGVEFPNHQDVLRDLEDPSLDE ECWSTKLRPAIASAFVKVGRCFLFEAASSLLREAEMLKTDKRQHRESLQIALQVARRT HDLKAEASSLDELFTLAAGEDVRAAMRIHEELVNLEQDLEAAGQDWRGTGAAERNCSI GTLLIRPTDSGPVSGHLYSEARPYFERGIQGTYEAMNGTKAKMKDLRMLYRGYMGLSR CGRSAGDRAEYVSNTVRAIQVDLFLSEHGVSAEWGDGAAMRWVLEEFHQSVQTHTIPD FIIQVAAGVIWDAAEVLHFLIQCQMHAKTLLEGNSRAALEETQRLFFGPSQVDETRLK YWRHGQQGGSVTYGVGSSDGNGYRQFLGDMLLADGYQVRFVGSRRSGSMSNGDNEGWR GYRLDQIETKARRCVATLLPKVFTINAGSNDCIQDFQLEGFGLRMGSMLEYFWQTSPA STVILSTLLVNADKDVNSRVLRINDQLRELAQSKAAEQKRIVLADMNSPEGPQLGDLV DGTHPDDKGYKKMAAIWFNAIRQARNQDFLKA
SAPIO_CDS4194	MLLRSKRTAVPLRFDSDTVVPMHFWDDVYVNRDFTLCWTLRFTQ VLDPDKVHHALVRLLGIGDWRKLGARIRLNKQTRKLELHVPAEYTKDRPAVAYSHVSH DVDIAEHPLASKFPTCTSSTLPYIHDGPASFRQLGARPDAPQKLADYLSSDEPSLSLH IVSFRDATLVSLNWSHTLADAMGCRELIAAWCLVLAGKEDQVPQLKGFQEDPLASFGA SRPGEPYLLADKELKGFRGLTFNIRYIASLLFGPKALSKTICVPEAFIASLRSRAQEE LTAGLNEGAIDKTQDGKLPLLSDNDILVAWLSRLFLSSCSKRYVMIKNIFEVRTRLGE LFDPNAAYVQNTYLGAFVISRRKHFMSKPLGHAAAEVRKAVSEQTTTKQAHGQAYLMH QSIKKYGNILLFGEPSSDFLAFTNWSRARFYDEIDFSPAVAGVEDRDEKGPTISATSG KSPLERQQ
SAPIO_CDS4195	MPEVRKTTTEVPDITLFRGWADSGNHVWSPFVIKLEARLRFAGV KYTTDSGSPLAAPKGKIPYIELRGQDISSFVDSGGEIKSSEWAIRTGDDGSHIASLGD SALIIKALTAPGLLPDINERLSPETKCHDMALRALLEDKLYFYHVRFCSSLQFPPHCA SLTRERWVDNYYTMRDHALWSIPYPIRVVVGILAYRKHTAMLHGQGTGRFNGQEVKRF KTEIWQTIDGLLRASKSAVKDNGEPFWALGGDGPTEADATLFGFVVSVLICTAGPESR EIIRAFPTVTEYAQRIHDKYFPDYHKWEEPRT
SAPIO_CDS4196	MSDAGDVAPVVVSVTNGDEGHGGDDGQTVPVRKRSTARSIERGV ASPKLTPDANPLIRRATSLDLDDYFKGPRDIDRHSKWPLFLRVHGSILPKMIVPLLWV GGWATCITLISKYVHDLSVSSVLLTITGFVVGLGLSFRGSTAYERYSEGRKYWAQVML ASQNLGRIFWVHSKEKDPEKRKLHMLHVMTASNLVVAFAISLKHKLRFQPYTGYEDLS HLVSHLHTFAGEATKKDPSKSIIPKKTWFKEMGEYLGLSFAASNPRKTLKKADAPLGN LPLEILNYLGAYLDKLIAEGKLSVSIQQTIAFNNLASLNDALTGTERVLSTPLPIAYT IAFSQITWVYVMLLPFQLYATLEWVTIPATIAASYIILGLLFIGREIENPFGEDVNDL PLESYCEQVANNLDIIAAQSIELENLLSHVESHENRVLFPTSSAPFTSWTQRSEESLK DAIRGKPLATFESKTHHQSFDEKRERKEELKVGEHAV
SAPIO_CDS4197	MAARSIYSLFLTVLLAVLCFAETPAEVYDDGTNKNAPVLLNIGT GGAGQSGLVKELAQAFIKFSTGKGKSPFRVAWYKSDTTYTIQNLETGAVDVGITYNIA AEEIAIKQGIAKDPAYYAFRDHFLLVGPMSNPANIDGSLNITDIFSQLHEAAEDPANN GTVRFLSRYDKSATNIKESELWARIGQVPWATAYSTWYHQYISFPIQALTAAILLDEY TISDRGTLLSLDPELANQTTVYKMATDDAHDDLLNPAHLLVGAKAVNGQEAQDFADWV VSSDGQSVISGFKKNGQQLYSPAP
SAPIO_CDS4199	MLLDSVLASIALLAVGASAHGAVTSYVIAGVDYPGYQGFSPGNS PSVIQRQWSDYNPITSAADPKLRCNGGTSAAQSATVKPGDTVAAVWGQWTHSQGPILV WMYKCAGEFSSCDGSGKGWFKIDEAGFNGDGVKVFLDTETPSGWEIAKLVGGNKQWTS KIPEGLAPGNYLIRHELIALHQANAPQFYPECAQLVVTGSGTAVPDDSHLAAIPGYCS QNDPNIKVPINDHSLPQTYAIPGPPVFTGTATKKAREFTA
SAPIO_CDS4200	MYAEEITDCGNSSRTVQDHVLFEFCSELGRQVGGIYTVLKSKAP YTKKEYGDRYTLVGPLVPQSASIEVEELKPPTPELSAAIEAMEKRGITTLYGRWLIEG SPRILLLDTGDVHEYLDQWKEDFARVADISSPFPEDDELINESILFGYLTSWFIEDFA RYETQRVIITHFHEWPGGLALPLIKERRVDVTTIFTAHATVLGRQLSRKGIDWYDTFE TLDIEEAARKIEHYHWHCLEKAAAQSCHLFTTVSQITSQESEFFLQRKPDAVLPNGLN IGQMTSANEAETLRRTSSKRKLQDFVRCHFYGHLDFDLEETRFFFLAGRYEFRNKGAD IYIDALAGLNQRLQAEGSSTTIVAFIIMPAETTSILTETLKGKAVVKSLRDYLAEINR SISDKLFEKSLQWKEGDTIPTQLELITEQDNLELQRHLLQMQRVDLPQICTHNLADSD SDPILDRLKKVGLRNGPEDRVKVVFYPSFLNSSDPVLPMNYYEFIRGTDLGVFPSVYE PWGYTAAECVAMGIPSVTSNVSGFGLYVKELLGEHTSDYGVYIVDRRSQDYKNSVMKM TDFMHTYCEMTCRERTSQRHLTEDLGEILDWEHLNVEYIKARRAALQLGYPEQIPAEE EASSDEDELWSPALNFGSVNLSPSLWSFAKRYTPKSSSPRGSPAAKGQSTDWIASGSS PLQTLALAILETCRRAHEEIGDSWISQILFSFEDPETMLHTLTALPPKTLSKIRHLRI VGDTLMLSYDEDDVYYRLSSTLKLLPGLRLDVLTVLGLRVHTVSYETLDGLISNSCGW KELRYICHSSETLGFARYEHQSFSLEDQMCRYWRKPQPAHWQRVLDDRDGALSRPLVV IYRSTIPDSPGSVTNPTTRVRFEQKTSEDTQAPETFGIEIDKELMADGERGKEIMVLA KRGFGVDYEEKKNSPFLPSDICRDMPGKSWKEIHYECYERFREMYDDSISGSDEDDGV PVKMDSYENVDDYVWPPLHFIEE
SAPIO_CDS4202	MTLHQYDYIFAIGTIFAFLDAWNIGANDVANSWANAVASRSVSY IQAMLIASVMEFTGATAVGARVADTIRTKIVDTTLYRDDPAILMLGMCCAVIGSSCFL TMATKLGLPISTTHSILGGVLGMGVATVGAGGVLWVGKGSGTDKITGGVVQVFLGWII SPILAGVIAAALFLLSKYAVLVRKNPALRGLTLVPVFFSVTAMLITMLLVWKGGSYKV NLPDEAIPGVIVGVGIAFGILTAIFLVPWLYRYIVLGDWQLRWYHMFQGPLVLRRGEI PPTPAGFKGLVRDFYEGRLTKEQLDAKRTAEVASGGSPGQDDLITNSAEKGIFSSEAQ SAPIKGDSSDAGVVVDEPAQIKSLVGPKPEGLPWHHKSMLWWYFKFALFHGVDKDVVN SQNEKSALGGDVEEMHTRAERYDNKAEFLFTFMQVSTNAAMAFTHGANDVSNCIGPYS AIYQIWKEGRLPADGKSPVPLWILFFGGVGIIVGLWTYGYNVMRNLGNRLTLQSPSRG FSVSLGSVATVILATRLKLPVSTTQCITGATVGVGLCNGEWRAVNWRMVAWIYFGWFI TLPVTGIISGCLMGIIINAPRWGMGNA
SAPIO_CDS4203	MASNATNGTTDANAAASRFDPNFTQHVIDLMAPETKPRHRQILT SLIRHMHDFCREVELTQSEWVLGVNYINALGQAYEKNRNETWRVMDILGIESLVDEIN HSLVLEDGRAPTSSTILGPFWSPETPFRELGGSVVQNMPKDGELTFFHGVIKDVDTGK GIPGAVFDMWQASTNGKYDVFDPENQTRHNLRGKFRTDENGKFWFYCLKPTEYAIDTS GPSAELLKIMGRHPYRPAHIHMMVTHPDYIGVTAQLYPKDDPYLATDTVCAVKDDLLL DFKPVQNEPNGAVLDVEYNVRMAPKKYKPDSTMLMENANQNKF
SAPIO_CDS4206	MKTFGALLFAVISRATALSIAEINGNRFISPYNGQIVSDVEGLV TAVSSSGIYLRSIRPDKDAATSESLFVYGSSAAKKVAVGDIVTLGGKVSEYRSSVDYL YLTELTSPQNITIVSSGNKVKPLTIGKDTSPPPTQRFSSLDKGGLFGVPNNVSLISVA NPKLNPKKYGMDFWESIMGELVTIKGAYGISRPNQYGDVWVRGDWKVTGKNKHGGLTM SDGDANPESIVIGTPLDGSKNPTDTKMGDYYGDITGVVSYAFGFYRVLPLAHISSQRN SSAEHGAVSFTSKGSCKGITIADYNAENLAPTSSHFPLVVDQIINKLRIPDLLFLQEV QDNSGSKDDGVVSANITLATLTDKIFEASGVQYDFVEVAPEDGKDGGQPGGNIRCAYL YRPDVVELYKPNQGGSLDANEVLAGPSLKYNPGRIDPTNTAWEDSRKPLVAMWRAVKG AKKPFFTVNVHFTSKGGSTSLHGDARPPVNLGVVQRTAQAEATANFIAQILKKDKKAY VIAAGDFNEFVQVQPLQVFHDKSGLTDLDEVARISTNERYTYLYDMNSEALDHMYVSN AVGCGGVKYEHLHLNTWQNYDDQVSDHDPSVARFSLC
SAPIO_CDS4208	MGEHMFKKSASEEKANAVDEVEVVDKKADLGLQFINQHGGGIEI TEEEDRHVRRKLDLFLMPILMPTFGLQYLDKVTISYAALYDFRSDLNLEGQQYSWAVS LFYVGYLVGEFPANYLLQKLPIGKFAAVNLFIWGGIVMLCAVAKNFAGIAALRFLMGF FEAGIPPCWIYITGMFYKASEQGARTPDVGHIETAVKKWQFVFLICGGFTVLWSVVVF LWLPDTPLNAKFLSDRERAVAIERVRQNRTGIKSTEFKWSQVAEAFRDPQV
SAPIO_CDS4209	MVRTTIACARCRKAKIKCVHDGIPPCRGCSKRDATAECVLSRPV LECRQSPQSPVRSHQTNHRRRRIGSTKPGDEQRSWPNVDDKSRRCHHSTVALAPVSGH KPDIGFVPLVRRAAAVFVTHFPELGFLHMPSFTQELQQLEEPVADGVNGPDLSLPSNY RVKLKALCSGIVALCAPLMTEEIHCRESYAAPAISRISVFDLPDRHTVQTLLVLAMYE WGNGRAYRAWVYSGRFISLIFTVHFINHAVALNSSCSPGMATRMVQLLHTMSKSGDLS DLQLECLNRTFWSCYVMDRLIVCGKPQPLSLPLDAMEICWPVGQRDFAFGHAPDRPCP AGEDGRAAVCNDLDDYYRLLLQGYEIWAQILKWITVGGRRREDLCSAGGVPWAAGSLW SSLHGQLQAWRSTHGSRIRFPDTTVEAHEGNTFLSSPRPPRSHQAPSILHSFPKNPLL HWWSTRADELFSSASHLTSILRLMDSASTPFLTPFAAFCTFSAATMNIYVSCFPRMNL GRSEGSSKADSDANLAYLDRFRAHWRRVGQGWWDTICQTQSLYQRASRDRVKYQGKTR DDFVALESSMHDSSGISPSSATADATATKTMAAGVETTTGLPSDPHEDGPPEDISGPI SLDGDNIVAAAMSLQDLSHSNSHPHSGWGLNSPVFPDPASDWNDIWPLWGEQRAFPYG FDGVQWEGNADGTNLSALGFK
SAPIO_CDS4210	MNVSKDLEDLATYVLGMGSGHDLARAYFVGSGSEANEAALKMAR HPAYDYQYTREGETEGQFAARLVDEVEAEFKRIGPEKIVSLIAEPVVGATSACVAAPK GYFKGIRRLCDKYDNLLHLDEIMCGVGRTGSYFAFEQEGDIFPDIVTIGKGPGGRYIP IAAMLVSRKVVEVIRRGTSAFNHGQTFQAHPVACAAGLAVQEILRRDGLVARAKTMGT TLEKLLREAFRGCKYVGDIRGRGLFWALEFVRDKETRESFIPSVRFGPRVQHTAFEMG VAVYPGVGTVDGLRGDHVLIAPPLNISSEDLGKAVSTIRKAYGKVERDYDSVVYKI
SAPIO_CDS4212	MASQTQTLAGWKWRRHSSDEEWHACAHNNPTTEIFVDLIDAKRI PNPFLDQNERLVQWVGETDWEYQCEFVHEPNAKHSRRDLVFEGLDTYASVFLNDRLIL ISSNMFHRHRIDVSDVLQSGVNVLRIVFRSALHEGRALEAKHGKFRAFNGEGSRLYVR KAAYHYGWDWGPILLSCGPYREIRLETYASIIYDVFACAAVAEDLQEASLDVSWSAIL DRDVDINVTVTSPSQRSFHALSTLASGDSQGRTTFSITQPELWYPIGHGSQVFYTVEL HVRSKDGDAVHASTMTVGIRRVRLVQQALLDEPGTSFFLEVNNQPVYISGANYIPGHS FLTTLTPEDYAATVESCVQGNQNMLRIWGGGIYEHEALYAECDRRGVLVWQDFMFACG QFPCYPEFAQSVQKEAADQLKRLRNYCSIVLYAGNNEDYQVIESLGLDYNPDDHSGDW AGTTFPARTIYETLLPAAMSEHSPGVPYHPGSPWGGSRTDDRTIGDIHQWNVWHGTQE PYQMWDKLTGRFVSEFGMLAYPSIRSVPRFATDPRQRHPQSAVMELHNKAEGGVRRLA LYVLENIRVDSMRLESWIYATQLIQAECLAFAVRSCRRQWKGPSREYCGGQLIWQLND CWPVSSWGMIDFYGERKLAFYSTKRASAPLAVGIHRSNPELKRLKSAPPQIPGAPHDL SKKSYVFDAWAVNTTLQDTQVKVEVRLFDTVTGQVRERRILEPQVLPSNRSLELIEDC KVDDKTAVQAIMRDAEGRVIARSSDWPQPLKYVHLPRSYDIRLRVLDRKVEITSNAPV KCLELYMAQEERKVCWDDNGISVFPGDTYVVHAAGLREGDDVRIRYYGADWVPNKAQL NDGSAIEDDDGMNKTDNVNCSNNGLTNHLSRARVNGSAEAPDSG
SAPIO_CDS4213	MLVKLEILLAVHAAGSVALSGEARAMMEDSMAWMDKFYDPSLSQ LYDLESKAAMNHETLTSAWYAVGLLARNGNHGKDVSEAESIISYIIADQHDNPTDLWY GGYTREPEEPDVGTAVYPAKAYGSWDPNWRGFVGLSFITMYEDFGHLLSQPLKDLMLE SLHNCSVGDSYREGGVNNDNLYPAYSNPAIMRAIGTGWTGRHTGDDNMTKAGEDYAKR IIELWDMHETLSEFNSATYTGISLFGLTLWSKYMPEDSIMAQRGPDMLRGVWNTTAQL WHANMRNLAGPWDRSYSFDMTIHLGHLSLFLAPIIGRREAGLHQHPEIMSHARDWAWA PLIAVHSEFHNSLLSDELKDSLKTFGGERTWKGQAYYPPYDLESRNISVWMGEKLMIG AQSYRTKSKNGPSNNNGQFHPAVAQWMYGDGKIGWLSLRSSQAHMKVEVLPNRLKLTY PDGRADSVFTFFVSPSLRQPNVKTWDDIQGVSITVSGNVDEKYDLKFAGRYGGSAGPY YDHNYWRFEHKMPAGFEGTPELIIDFASEE
SAPIO_CDS4214	MFKSCDYCRHRKKKCVVPPASARCSDCEHLDLPCAFSLRLPSLK RRQTSKRIASRIKAVASKVPETGDDRVNNDHDADAANLKAGDCQLRLAGRPDMANKRL FQPRDSADDRQDGPLSMSEQYWRDVHPFWPFVTSEMLAEGECGRNPDFKHCIDLACHL SLNSMRELEDISLLPVPPQVLSGALLTNVWRRLAGHFHQPLHLPAQILQSYAETLDPA TFGHHYLRLSRHAAEFDQRRMAMEVEGVKVDTASAWSKLEYECLLWQVCLEPSLLDTR DDLPATPKSVVIHCLSSLLLLSFYSYVLERTDTLGRLIALRPVPGVLLFLCTLARSTF ICPRQLLDRMSLLVSIQAQTARIMLRLWHQTGFENFRAILNLWENPGGRFPDLARQVR EEIGFGPWAVDEIDGYSVFWTFRDLRTLTTKFIFAGHL
SAPIO_CDS4215	MCTTDVYTFIRADDTYYETREVHRCVAYRRGDPCNPITYKHPVS TDSGPHPASSPTASTKTPVLRREGMVSSQKQDDPQVSDPTCASAQSHSPIDDKASYDH IHTYDRLLNIGSDSDSDAHGQDNLRGTGPSGLAEADRERIFVFEDVSESDPMDGQVQS ILQPDGYESADSEGSSSSSQRRTGDAGKDELLERFGNLEAGGFSSEDDDFDEEEILEF MRWQRELKRRNRIHRGSHLGMRTFSETESSDNEDLPSSATEARNGLAHRKKMRKIGSD SDAESLPRLKLATTLDVEDQLADVPNPRKPVPTPSIPRLVHIEDSKVSMLAKNFEQLS REFENQRLRDMEKRVEKPAQSGTQVGHADRADVGDETEPASQSELLPEATSSPNAALP VNLEVTPMGDLDVEGLLHVANSDEDELDSVVSATPSLTTASTLSSFAVPANLIDTAPS WFLELLVKDEVMRNLLASTSSKITPDKFQRNFLRLFRSMLSGIKADSNIVDGDLRTGL RFLRHQSRNISSHICKEIFGIKDGEDWSALSQMRPDKSWQMKKHLAEYGQAGYPGDHA HLNEGEISSDSDLAGVDSVGIGTLTRQRLEVILLQSRSFLEFRERYQKFLFPDLAHDN ADKGNAETDNTENGDADGITSGPNDLNIEQQLESNLGMRHWITLAYTSLVRVCHRITR PPLKQGYRRLEWTCDCKEELYADFLESDSESLEQLRLLLDCKNVQPENSVTTTPDGGM ETTPLTSHSSSRLPQLPGSTYQLSKGREDSDHQIGRLPNQQLNRHPRSGDSDRISEPS KPQKRFLELCVNTGEFQRHLGEINITDVETDSQLFNRVREKYLELRSFRAKYFLLKPV DVHFVQFSLEDRYRVGILDKPMAIPTEEEMLSEGYGYHPCPMKPPPIPAHIFLHYLSH EKGAHQRLIWGRRIPQKLHKSILETYRTDGLILGWGVHIIEGLDRFRALIATLAILFV SGVIGISWALARADVQGGVGIGAWLTSVQAVVLMMVLTKWNEL
SAPIO_CDS4216	MLSDTRNVNWGVLDGGPWKEQSRYPCGDEACFGAFLSLITFAFD QWERGWMETLDDIDMVVGVELSDSMDDKKWERLMFDNSFRLSKLYFTVLQVLRIASSC IEESVSDLRLVQEKWSNAVQYETTDARNVTRELEEMVTSMEAKKQRLLSRVDKKVEEL LGATSLREATKAMALNQYIYIFTIVTVVYTPVGFLAAFWALPFLNNPDDSGIVRTPSA FVSTFIAVPVATYAVCLILAAYSAKKRNSISLLFELQRFLWRRSYRRWQEGEEEDSDH EATRDVSASSLSDVSKAKNSASVPPV
SAPIO_CDS4217	MEILNLLLGDEKLEEGLSVDIIARSTPSFTGPDLKSLCVSAALK CTQELEPDKNGEYPRRILRRSHFNFARLYVKRSGSNPLIKRKAATLNTTMSTYQDFTT PIPLSSAERVAAYSLQEPGSPTIELGQARHRIRIINTWGIPRGSRILEIGCGQGNCTA VLAEAVGPSGHIDAVDPAPGDYGSPFTLDQSQAFLSEGPMGQRISWHRADPMEFLAKG NETWDVVVFLHCIWYFASPETLRDILAFLKGRVKAVYVAEYALHASKATAVPHVLAAI ARGTVEVHKAESNENIRTLVGPREIRGIAEAVGWSLEEESRIIPEDGLFDGMWEASAV AGKGFLHEVESEIEDSRVATLVRSGRDAVISSAENVGGLKRVTTMDVWIGAFAVKREN N
SAPIO_CDS4218	MVPFAARMSPSRLLSLRYASTRHATLRHPPSTFIPPYKFLWTSA RRESKCCSEKNNDEPRVISAPMTSREFWASTSAWKRASVNTLRCLIGCTMGDFASLWF LQAFHPSLGMGTIMAISMASGLSTSMMLETTLLRYSRDRLSWPVAAKTAAGMSFISML TMEMVQNITDYHLTGGIVDVTSLDFWIAAAISMGAGYLAPLPYNYVRLRKYGKACH
SAPIO_CDS4219	MAPQTMKAAICKEAGKPLVVEEIPVPEPTGRFIRVKVKAASLCH SDLGIINGDFGSLMMPLVVGHEAISVVDKLGPDAEAYGIQVGDIVGASLWHDSCLNCV DCKTSGPEFCGTMQIKGLTTPGCFSEYTLIDPVATVVIRRAEEQSNDPVSDLSPLFCA GITVWDAVERANVRPGDSVAILGVGGLGQLAARYATELGAKVIVLDVRDEQLVAAKQD GIAEESINIKNLSREEIAKKVAELNGGHLVDTAIVTTGVIPAYTSSFGIVRVEGQVVV VGLPRDPIPFTSGEVAGRCIKIVGAKVPGKKGSQRCIDFSLKKGIRPKINERKFVLED INEMIALMAAGEVDKGRMLVEFF
SAPIO_CDS4220	MSQQRPNFLIIVADDLGFSDTSPYGSEIHTPALEALARDGIRMT NFHTAPACSPTRSMLFSGTDNHIAGLGCMWEHMQKHKDYFKDKPGYEGYLNHRIAALP EILSDHDYLTILSGKWHLGLTKEYAPCSRGFKKNFTFLPGSGNHFAYEPQLDSGEVFF PALCTDGHWMEGDRFLDHRKDLPDDFFSTRSFTDKLLGFLEERSEFEKKQPFFACLTF TAPHWPLQASKARREKYAGKYDEGPDVLTKQRIQRLVELGLVSEDSVIPPPSGKWLPP TWDELSAEEQKRSARTMEIFAAMVDEIDENVGRVTDYLSSTGELDNTLILFMSDNGAE GAALEALPLMGRPGTMANVIEKYYDNSTENLGNKDSFIWYGPRWASAGTAPSRGLKSS TLEGGIRCPCIIRYPPLKAQPGSISKSFTTVMDILPTFLELAQVQHPGTSYRGREVAP LRGRSWVSHLSSTKPDDVSVHGEDTHIHGWELFGQRAIRQGKWKAVWLTYFTEKEGWQ LYNVDDDPGELNDLAARYPDILGRLVDFWDHRFISSSLEFLAAALATPSDPTFAYVKE KINTVI
SAPIO_CDS4221	MTLLKDIAGVGSCFNQKLLLTVTVLAVSQFNFGMENRAFDAIQA MDYFIQHFGETGPNGKKIIKSTWLSLFNGFGVVGFAVGVIVGKQLVSRLGRRATVQFM CVWTIICTTILMTSRNRHQMMAGRALNYLYMGMELAVIPLYQAEITPLRARGAMVATY NTSLALGMLVMSSICRGTSSIRGKASYLIPLGLFYLVPVIVLSFSFFIPESPRWLVLH DRHEEAFEVLKSIRAGKFTEEEIQEEFAAIRAIREIDSSNDAPAENFWNRWIRIFNSR HMKRTVIVLGTNFFLHGTGNAFSTKYGTIFFKSIGTVNPFTLTTINTVLTVITSIVTL FLVDSLGRRPLLLSGSFVQAAALLTMGGLGMAKRTTAVSGAIIATKMIFTVGYTAAWG QLSHTITAEVPAAEVRDTTYATGSFLAVATQAAVTFSLPYLLDEPYAGLGPKVGFIFG GLTAISVLFAFFFIPECKGKSLEEIDVLFNNNVPVRKFQDTKLVVESSGKEGGSAVEI ELVEESKRRSTKC
SAPIO_CDS4223	MATWTVGSYLAERLAQIGIERHFVVPGDYNLVLLDKLQKHPKLE EIGCANELNCSFAAEGYARAKGVAAAVVTFSVGAFSAFNGIGGAYAENLPVILISGSP NTNDAGAFHLLHHTLGTHNFEYQREMAEKITCAAVAIRRPEDAPRLIDHAIRSALLAR KPAYIEIPTNLSNSPCAPPGPISAVVAPERSDAETLVAAVKAVTDWLNHRQKPLILAG PKLRAGRAEAQLLELANALGCAVAVMPGAKSFFPEDHPQFVGVYWGEASTEGADSIVN WSDGVIGVGVVFTDYSTSGWTALPTSQNLLTVDLDRVAFPGADFGHVQMAELLSLLAT KVRPNRSTIVEYARIRPDKLPVPAEKRGLALTRHEIARQIQQLLKPESTLFVETGDAW FNGMQMKLPKDSRFEIEMQWGHIGWSIPSSFGYAIGAPDRHVLVMVGDGSFQMTVQEV SQMVRLRLPIIIFLMNNRGYTIEVEIHDGLYNRIKNWDYAGMVQMFNAEDGHAKGFRV KTEEELAKAIEAGKENTAGPTLIECTIDQDDCTRELITWGHYVALANARPSAVTGSRV DVTTAGAWDLIARSWGMDLVPRVMLDDVALRRRAGAAEPGSLESRSFSKAFMLIALAA RSAFALRLNYEHPQLPFEQQEIRRRIMWSIWAMEKTWSGGVLEFKLCHDSTMHIRLPC PEDCLENGTAVEMEFFDPDATVTGLGTPLNALAQTHAQGRWLARYVLAHTSWHQCHCD IYRLLLDGYHKALPQRILKDIEPSLIEHARRRCNKHAQAIIDILCALLDLRIDLPLFD SDLAICAFHSAFILHFVHSTTPDRSEMEDWRAYSRLQSCLEAVKKAFGTSPVTSIHLR DLEALASTPFSRHHEFAKMITAPRSQTDILWTAESRQQLERSNLTRRANFINDSPDVE PDSPRTEHGEARGKQSEERIHLTHASFQQRPSHSSPSPALVSTLEDDATKVTPQPWQW DEMYNLDEDFETLLNPETLDAHSWWGDVDRW
SAPIO_CDS4225	MATSAMKAPKGAIHEALKAVKIAVVGTGNVGSSTAYGLLLGGLA AEIVLVDINKPRAEGEAMDLSHASPFSHATRVRAGGYQDCAGASVVVIAAGINQKPGQ SRMDLVHANVAIFKTIIPEIVRYAPDTILLVATNPVDILTYVTWKLSGFPTHRVIGSG TALDTARFRFEIGRYYGVNPESVHADIIGEHGDTELPVWSLSSISGMHLPDYCRHAGI QYDQKALTTCFTNTKNAAYEIIKRKGWTNYGVATALTRIVETILRDEDTLLPVSMVGK FAGVEGVALSVPCKVTRLGVFHTFTLKLSPEEESELRMSAESLKLVLKQVEPHLTQGL GL
SAPIO_CDS4226	MSAPKIPSDQLAQVIDKTGGPIVYKRIPVQAPGPDEVLINVKYS GVCHTDLHAMMGDWPLETKLPLVGGHEGAGIVVAKGQLVGNDIQIGDHAGIKWLNGSC LSCSYCRQGEEPLCAKGLLSGYTVDGTFQQYAIAKAAHIARIPKHLSLESIAPILCAG LTAYKGLKESEARPGQTVAIVGAGGGLGNMALQYAKAMGLRIIAVDGGKEKGEACKAL GAETFVDFQTSKDLVKDIKAASFDGLGPHAVILFAVSEGPFQQAASYVRPHGTIVCIG MPANAFIKAPVFSTVVHMIRIKGSYVGNRQDTDEAIDFFARGLIKAPYKVIGLSELQK VYNMMEDMTIVGRYVVDVSR
SAPIO_CDS4227	MVAGIYEPLDEENLDVRFLRLSPATRLDDYINCLVYKASVLDDT YPVYRALSYCWGSPTPACQITLNGVLVVGPNLDSALRHLRDKSTDIELWVDALCINQD DLDERASQVQLMDSMYGDAEEVVVWLGDSSNDSKIAISTIKKWSEWYELKGKADKDAA FSIAEDLPLAFKASAIEAVSNLLTIASLDYKKSTEEVYCEVYCEVAERLLLDDQSLRL VAIASGVSSCPTNITKLSLPSWVPDWTCGDSLERLNFDFVKSGDNLNDGADIGQFVRF SDDHHTLVAKGVIYDTIAKTYPPEWLTDMKAHKASILGYLLGEESSNRIPKFQGLFRA LSLNMEWPKPGNAESRMSFLNKAGWYLWKFWLRDGEESSHNPTGVLLARNFFHGAAVV LFQEFEAMIRTGIRWKESDVQKDIVDFCEAATRRMRRRILFETEQRRIGLGPPDTQMG HKISTLVETRASS
SAPIO_CDS4228	MDPVSAVGLVAAIIQLIETTAKVISYVNDIKDAPTERAQFARHA SSLLAMLTDLRYRVEEAKSAPDPWFVALRGLGAEGGALDQLQHQMERLAVKLEPSTGR LKKVGKALIWALDKKEIEEVLAQIERVKTLITLALQNDQFKLALEMKRDLIDVKEDIR GAIGEATAERQDEEFQEVTRWLSSLDFAAKQIDFLNRRQAGTGEWLLSDPRFQHWIEG KERTLWCPGLPGAGKTTLASMVIDWLETRHQGTTPAAVIYLYCSYKEKEVQTPQNMIG SLLKQMVQQEAALPDDVRSLYSKHRQKKTNPKLDELTRLLIQEAKTRSLVFVVVDALD ECPERGNTRGRLLAEIQKLPQNARILITSRYSPKIEKSFEKVPHIDIRATDEDVKIYI KARIENGRSLAKHVRSNPTLLEEITATVVESSQGMFLLAQLHMDSLAKKLTRREVRTA LGSLPKELDETYDQAMQRIQSQDEGQAALAHKVLYWISCSLRPLTVAELRHALAVEPG DDDLDEDGLYETELMVSVCAGLVTINEESNHIRLVHYTTQSYFERTRIAWFPEALSVI AKTCLRYLSFSPFAEKYCSNTEELTVRLDKYPFLGYAASYWGDHVRDGMDDDVSELAL DYLSNNISILSTNQAVGEAKSRLKWYSTRGGVPNLSRLHAVASFGLVDIARNILANGA SVNARAEWGTTPLHLAAAAGHIQMVRLLLQAGARIAPEAIDRHTPLHMAAQEGHESVV QALIDAGGDCNDRRNTLGKSPLHLAAEHGHIDVARILIERGADVGQKDAVARRIKQHS DRESSREPDDDEVSDDEVEYGGTPLNRAAEQGHRSLVLLLLDSGADISATNEDGATAL HEATRAGHVELVELLIARGIDISTKNEDGQTALHCAAGGGQAEIAQILLENNADVLAE DWRGKTAVHEAAASGHEGVLKMLLESVGRGNETERWLATAHLRGAIDRADEEEVKCLL ERGANPNIDSNRGVPLLYLAVVRENADVLQLLLANGASVNVKESFGRTPLHWAAYRGY DAGLRLLLEHDCDIQATDHDGATPLHMAAGYGNASVVKELLDNGARPDAKDSYSRTAL SWVFNPSSSGQYGLMVGDDDDDDDGDDDDDDDDDDDPMGQQRKDEEREKKRREEEKQR AEERLAVLDLLIENGADLKAPLPHWRTYLLLASQCGQGIAGLALIRRLLENGADASAQ TDDGKSALCYAAYYRQPEVVRLLLDHGANVNQVNKKTSYMEGITALHVAARCGSEEIA RLLIDAGADMKAADEDGFTALHEAVTSGSDAMVDLLLAHGVDINAHYGEKKKTVLHQA VIEENIAMVQHLLQKGAPIAATDADGMTALDWAERTRHEAMIFFLRRHISSAV
SAPIO_CDS4230	MAQKPSLATSDWLNLAKTLAITTATLVLSSVTAPFRGTSGASTY KRHVIYTVMKAFTRNASVRGTQALSPTTVEAYQKFVKSKSLTPDVVDLSEGAKGCWLG DKGKGTVLLWFHGGGYALMANAGHFQLGWDLIQSAREKGRDLSCFYLQYDVAPGATYP TQLKQAVAAINHLIEVESVPLSRIILGGDSAGGNLSLAVLSHISHPHPEASPVHNKDR FKGVVLVSPWVTFDQTASSMKSNAGKDLLDLVTLRKWSDNFMAGTDLDFYNTPLTAGE DWWEGIAASEIAVIAGGDEIFVDDIRCFVEKLKKHQEGKFTYVEIKGEAHDAPILDYM FKLSHGEQKRAIDTWILERV
SAPIO_CDS4231	MPEEQLLEQPPALLPELELSRDSVGLRVYERLSNWLTRIERPKE GVHRIQYTCGCGEPMFVDVKELRPGGIERFRNELMAPVVGPQERVLVESGGGDPGLPR IPQRAYVAASSSSSDGTSSLSLVPDGIGLSGQSGDFEIEIDGLQRAQPGDSGSEPLFL LLCINTRNLTKLLHVDLSICPDKVRPGLPPVGQVKVQRNYLYEPFPTDVEVLSIPKFS HLLKPGAHHYDFWLKTIPKKLRTELVYRPAVVGWGLMVHEDWDWVIVLSNFLALMIIF GIGVVVYAALSHDPSSAFGFGAYGMAVVTLLITIKYWAWQEREVS
SAPIO_CDS4232	MADVEYDTILGRAKQAKAYYSAWAERIGDLKSTDETYEEAVQKL RDKIEHEREGITVGRDIDEIRSAVDRMLKYNTQLKELEMTRESTADGRRKTHKSLLVK ELRALLKDLADIVRGLASTTDVPEIPDQGPSGTAESGGANGTSEPRRESRAAPDTETP DGPSQPSQQTADAPPEESASLPPPDGVPSSESQTNANDPPSTEATHSSNRRSATRRAA SDARDKARKGTFLHTTPTRPVKRRRVETNYQENGDEEQDPPEEGSGQQPPKKRPPIEF KDVYQDGKAEFRHFIIEYPPNSSKFYIFYCEEHGVHFTTNALVGAAKHLNGEQHNNQR RSHENAIKTLGYEVLNCTTALAEMNNAALTESIQNGTYTVFNRNKLRRAEKEAYAASG ANVMPTPQGSLRARNNATETGSVPSPTPGASEPPPKTRKRKSFDGVSEPLVGEVYFGC WSKNRWFVVLLLPRGEAFGDPTFEDLNLPGGLINTGLLGGKIPRCYKYSPGATELLGW SEGFGDGGRSVLERQFPIIFFDNKEFPNKCSVGWMSTKQLRPFDLDDDLASNTPQLDT VQEYLSTRKPLNILKRQGGRGEPGEDGGGNPSEDEEDEVGEEERMDTDTGVREGDEKG GQDRLHVEGDGSAGTGSGGQGEAKEQERHVGQEDGPSEHLEDFFGGSRDKGSPLNIPL PSASSRAIFQYSIDDDEEEEEEGEDFGDDDFTFTTQNLSSPLQSPKGLAKNQLQDPET IAGPSGNAQDTSNTSDYEEKPISSTVPGGNTFSGNSSYTVPPSAVETLRREQAKIMRE GGGVQSPAPEIASGLSETAFRALHMDNTPGASGSAQAQTRVPDARTTQQPVQQRVSPP TANVDQNQNRARAPGSGKSPRVETPPLTHMHVPPTQPPPRTQETYSASNPAASSPVKM QATTGSRAGRPSPQAPPQRPAEHVSEQQQRFANPATGERPSDRTASSPNVGPHPSFQF QPVQQPLGSNGPWSGPRAGPLAGAGPTGNATLPNLNPTSHSGGEWPHYMPHSNAYNYG GPHGSWK
SAPIO_CDS4233	MESNNTSNLAAEGDGGDSPPRQLPQTEAEVYGDDVLLEEESLSW FDPEKWYPVRIGEVIQSRYQVLVKLGFGSVSTVWLCRDLRRRHIYVALKVYETGHRQG DNESKVLQHLGSLTGNEVGRNLIRTFRDSFELQGTIGPHVCLVYKPLCMSLEDLRLYA GGKIPRDVVKPLIRTLLPGLNYLHKVAHVVHTDLQPGNIMLSTDNPHVWDELVEEEWD TPTPRKIMSDRIIYQSRDLDIPEDGEPVICDFGEAKVGDGPFIGEVMPDLYRAPEIIL YIPWTEKIDIWSLGLMIWDLFEGKHLFNNRLPSREATRPAHLARMVSLLGNPPKDFLE RSEYSVEFFDDDGNLKMQPEDVAGSSLEEEEDVLEGDEKEQFLSLIRKTLQWRPEDRP TAHELMSDPWLNS
SAPIO_CDS4234	MFLLSLLPLLAVGVFGADGPVTIDGSDAYKQQRNCAAGCFVQFN DVGYPIAMEISCPTFRVQNDCFCRPDLQQEANLYISSCVNRGCSQNAHDISLATKIYD DYCTGNGYTRQAEVTAPSPTGEATNEEKSSSGGGLSKGEIAGIAVGCISAIATVIGVV FRWKSYRMKKKKLQAEAIQPIQPHAIQPPVQQQWGGKKRSIYVMSSDSVTRNHGNAHT VRTLKREEFPINNRIRSTSSVASRFSTSLELSRGPASAFAPPPPRRGLGEILGLSDSV SPGAVSSRFEALLLVTGWNGAAEDRTSRTRTGPGRLGQKIDLLDHQWPSFAGSREKST TLPAGNHEWPFECVLPGDTAETVEGIPEASITYKLRATITRGGLARVLHTQKHFRILR TFPPTVLELMQDATMESTWAGKIDYSISLGARAAALGSSIPLEMRFTPLLKGLKIEEV TVALMEAREYHGPTLAFLPAKERQVARKVSTWTFQLKEEDWQDSPDGLSQEGWVLSKS LQMPTRVGDCVQDLEVHGIRARHWVEVKTLLHNPDGHVSEEGYGIPTDKPLVSPHPAL IRIMALRLMPLGASITYGSGSSDGNGFRKFLRELLVNDGYTVRMVGSLKAGTMENNDN EGWRGYRIDQIEERGKLSAAEFQPNIFTINAGTNDCLQNHGIEGAGERMRHMLDELWK LCPDATMIVSTVLVNSTAGGTVEPRVIRVNEQYREVARRCVAEGKRVVLVDMHGPDGP QLRDLVDGTHPDDVGYFKMASIWHRGILEAETKGFLGTKRWADTSVREDWGLRVDLHL DFSRGN
SAPIO_CDS4236	MGSPPMGTMASGQPILELHIPSAIHPIPRCSQWILGRSVGKWET SHASETTRREREEIFEEATAPFFVNFCQAYDPQYNSSIGTLYESINIAYVVIQLTNML DALTRSPFSDVATCTVETTEKLQYLPPAMFIYSGSYECNSKTWSFVGVGLSILIELVR TAVKMWLSKTYATSGFSWLMLLVRLASGSGEPIVSSVVLQRSSFDGTSAFLIGAMELL QPTAAPLIAGVAGWYISKGEGFQVLTTDAVISLLGLFLAAPHIALTNSMPASNSGYNL RLVPLGFTLATGPWAPAYGTGGTSSLAFQFCFVLAIIFKSGKLAEFASINLVILIGLI AFVALTPIWVLWELVWKLVHTRRQKKLEWQANKEDKERELKNVFPLAWYFKVKFQTTS SWKKGLAIFVFWFFILLSFVSFVGKWMVMVNLLGAAGDAYCPSHFAEVTFAGLGVKVG VLAIGVALQYFGLTA
SAPIO_CDS4237	MAPAQVTVDYYAILEVDQSADEAAIRSNYRRLAKLQHPDKNPND TEATARFQALLAAYSTLSDASARHIYDIQYAGIKASRASTTSGNTKNGPTSQSNDKNA HSDGAFNQSIRDLQQSINNLRKNHASLQVQTDAVKNDLSQAEAALRKLRDEEQKAKDE DVRRNTWLGYFFMARLSTEEKEARERRDVERRAAQRVRTAEVERLRARVTGFETRLSN ITKSIAEKQTEISRAWAREMARQAAMKREEEERNRAKMWEERRAREAERERKEAEEAA RKLREWAERRAREAEREAAEAERMFKEWEQRQARDAERERKQAEETDRKFREWVESQV NEGAQPSRKRNKKKKQESQACLHKGWWTRESGRAVCQECNSVMPRFAFRCPGCQMVAC ASCREALKRRAS
SAPIO_CDS4238	MARSESGSAQEQSQDTKASSKARKKDDDDSKKTKFWTTGKSTAF KAALMVFIIGEGLPKNLRWVFFALMISSGRSFAHKIYAIIWVGVLALWYWPFIHPTQV YGILRSIDLFLRDVTPSIYQERVFSTTTYLVTMVLLRNFFDISTLTESLEMQFGPSEK WLRALWPAVPCLLIIHDDGSVNGFVPEGWDGVWMGIVAKLGSLVVPFWIRCVVWLFMT MPDMNGEIIWIVEWIFCYIAWTISDIEPYVSYAIYILVHQFPHAEFALRHRGGAPSDI LLQQRKGTISFVATIFFIEAIAGEMQDSIATSIFSFSISSTYWLPVLQILLVAALFLS YVSPSSKARFEYKPLTGEKPIRLLRLRPQISIRQNSTVRCDMIYANLKTPPRYIAVSH CWSQPQGAKEMILINGAPFIVSQNIFSLLMAKRDPSQHVYLWIDSICINQADDQEKAL QVGMMRQVFQEAYSTICWLGNEPDSRKILSFITRLNGVTEIEQFAVLKGDKDSGWDEF RNLLCSPWFERTWIVQEVAVSNDPVIRCRGGLEIPWRLLTHAMGKVQTMLLHSGVHEE LLQNKMLLNAIILQTIRLQEYDSDPLKLRDMLKVALRFKATLPVDKVFAFLGIIDERY SPLFRPKFTAKEDSKPTGEISDKGEIFSQVVEDFTESLGSIADILSAAKGLEPSRRGQ LLLDSRRGQGGLRLLKNLMRDFTLIQRKMDRIVKGKGWEYELMRPDYSSNTTPEMVYT YVAKDLVRQGELPSFIRLAGVAQRRHSDLLRSLPSWVVDWSANPETYLLPYDRPKAAD PVQAEEDLHTEEANEAEKKADGKETPTNDPDRGGKDDLTVRLGDLDSLHIKAAVLGTV AHLSQLSVPVESSKLDATRQAKKDMAIRKRNYKAAMALCQKYGHSCYTSGGVALDDAF FRTTLANRTHDGITPATDDDIARQRRWIELPEGLSTSALSDFSPQTPPPSGPRSEEED GKESHEVGNVEDMISLYLSVRKMQCSANSIFAHMVRVDIMPSYATYRATEEEKSRYSG SKSKETKTEDKPGNGDQQATKPVPKSKQTGISIFGDTDSNITAIYRPFVDYTLGRKFA VTECGHFALVPDASREGDVIVWVKEWNLCLTLRKSSVAEEWEEDEEEEKGDGVEAASQ KPQGDGLDPQEKRADGSYRLVGESFIYLPDDCFPSQPGDMCFPQLHVLIHLAKKKSLP PWVTAASEVFSGMPSPKAERRSLPVNVRILADHYAAEVNATVYVPDFFGGERIPADII RDQSRWGELDLPSFLRRNAKDIRRPEIFECAKQLKSLHRRTGAMGFCYGGWGVFQLAA KGNELVDCISAAHPTLLEHHEIENVAVPVQLLAPEIDPQFTEELKAFSNRVIPTLGVA YDYQYFPGLERGFSIRGDPNKPGEKKDMERAKNAAVLWFRQWLH
SAPIO_CDS4239	MESISDELRTKFTVALSEMYKSEVPLYGDLIKIVRRVDDLVLRQ QGLKRQDLPLRHDLERHGAIRVGSDEEMRIIRRLFAVLGMHPVGYYDLTIVDFPLHAT AFRPISEDSLASNPFRVFTSVLRKDLLSEDTRDTVERILKKRSLFTPRLLEILDAAEA SGSVKSEDADDFVAESLKIFKWHGRSTVSLKDYLKLKKEHPMIADIVCFPSAHINHLT PRTLDIDLVQEEMIKRHLPAKDRIEGPPRRKCPILLRQTSFKALEEPVSFPGIDGSLT PGSHTARFGEIEQRGAAVTRKGRELYDELLRCATQQAASDGSNFDEAWAKVFEAYPDD WDELRARGLVYFRYRLSGDRRNGTNGVQNSKDLRPDIVSAEDLLSSGVLECEPITYED FLPLSAAGIFKSNLGEDDSQSPSIRDTLGSISDLEEILGCEIENQSVQHIPVVIGHHA PRGLALQGQDCGNELSLPYVDWNRLCLIISKLTWLDLIEAIMTTPLVPPAKRARADDD ESSSTWGKKLSRKRAALACEECRSRKRRCDGGFPACGGCAKRMSTCIYSSDVETRAWN ARYADNGFELGTTCSMIQLLRNQLQELEAAEQSNRAPAEGPGAPITENRETSTPSPAP EGRRSQSPNSLPATNKEALDDGATDLHAATAPIEIIGQPTDRDSCCRPPKIIFYLSIS SRLTDRDRNRRQRLSVAGLLRTTRLRKADEAAAPGNRRRLPTITIVKSKHPRQSERAL FACAIDDELLGDIKGKWNSQPKDVPSLLEAYIQTIKLYNILGHVLDDDEPYESAFHRN VGRSSNEAILDFNALLDLDTAAMKWRDSLPSYLRFDPTSTADFDEPRDESLVYLHARL LIQRPALDLLFQRQNRNKVAARTEPPEFPPLHDLMLRNIAPQCLLIAHKMVESLDADI RSRDLLAWWYNVRYLHSAASTLFVGQLSGCFDGSTSPAASSAGFDLYVQLLRESAKRL LPDQSYQKLSQVNGASGSRSKVTAHDTVRQHQRPNTSGQPDRGFFPHYDVSTSSEQQQ TEGTNHGPNDKQKSYQLQRPRSQQWVAEDLAGIELDVELAGDGSFTGDLWNMDLPQSP TWLPGPFIPNWDTFTMPMD
SAPIO_CDS4240	MPIPSTQKAVVVEQVGGPEVLQYRTDYPVPTPGEGQVLVKNTLS GINFIDTYFRTGLYPSPKPEVLGRAGTGVIVALGPNSEKHDFKVGDRVVWLGNAAAPV AQMMKLPDGLSDVDAVGSYLSGLTALALTEEAYRVGPGDCVLLHAAAGSTGILMTQIL KTLGAKVIGTAGGPEKVAFVKSLGAGHVIDYRSEAGKNWVDEVKRLTNQEGVGVFYDS VGKETWEGDREVAKRKGTIVWFGNASGPVPPLPLNLLSAKCLKVARPTLFGYIATREE FQHYADELFRLLLSGKLKVKVHGVYKLEEVQRAHKTLEVRAEMETF
SAPIO_CDS4241	MKDAGYQPNNGLDDQRLALLWIKDNVAGFGGDPERVTLVVESSG AASGCFHLHSPEQLFHQFISMSGSSVQRLTLVSQADASYNSVTEVLGAKELSPKEQIR TLLDATREDYSVKIGRRLPIGPLVDGIKIPTLTSYKALADPDETISLFPGLRQCKRIL MGDCQMDGMAFSARFVGRTDILPKTLQHCLTAVFDPVNPTIATALIKAYRIDAEATSN TRKTVELVLNFANDVLFAQPARVFSRAWSVAAVPGTEAFLCHFNCPNPWDGPWKGYAT HILDIAFVLQNYNEHLSPGQAKCAERYAKDVIAFVNGASPWARYDENESGSGAMVYDA DEEGTEDKSRFVPATSDEAGKESKRRNFLEHILKEELFDKLLDVWQMFVASGKD
SAPIO_CDS4243	MHEAGVFEEFKKNSRGGDATIHLLYNHLGEKVFSVGEGRDSPEM DRWQIRKVLLTAIPKEKVVFSKPLVKSHRDEKSGEVVLTFSDGSTASGFKLVVGAYGT WSKIRHLMEAMGGQGARIIMGDGKHMFNGRQGGGHYRIDIGLKGPEDFANNAVNQSDF DAAKKFQLQEQHFGSYAPQFQEIIQQSEGPFRPWLMYYMPTDRLNWKPAQDATLIGDA AHVTTPFVGDGVNCAMRDSCILAEKIKELGVTQGAIAAYEKEMFSYAIDVITRSLQSG KMFFADDGPKEFLQVMTAGNPLIGASDGS
SAPIO_CDS4244	MATPPIAPNPGASPEDQHASKYITETALPKNATFLSAEHFGLSA YTITGRLIAVEPDGTEKTYFLKVAFEEHGRIMLNGEFVSSNMIYKLMPDFIPQPFGFG TYKWKEQDAYFNLSEFVDMDVTKEPDPAEFTARLAKMHKSSQSPTGKFGFPVKTCDGK TAHTVDWEESWSVFFRKLFLGVCKLDLEANGPWPELERATEQVASKVIPRLLDNLRTR EGDTIKPCIIHGDLWEGNMGVNNKTGVTLLFDAGSYFAHNEMELGHWRCEFSSVFRKK EYTEHYLRNYPAAHPIDEFDDRNRLYSLKGAINYSAGHLGSSLRKTYGPDEV
SAPIO_CDS4247	MPSRFIERSNEPLIGAIDQGTTSSRFLIFNTNGDLIASHQLPFT QLYPRPGWHEHGPEELVSSVEQCIEGAVETLERLGPYSCDLIKSIGIANQRETTVVWD LQTGKALHNAIVWTDVRTQHIVSELKCRPGADELASRCGVPLSTYPSATKLLWLLENV PEVSSAYERGTLAFGTVDSWLTYKLNGGVYRNVHVTDPTNASRTMFMDLETRQYDDFL LNWFGIDRTRVNLPFILRSSDAEGYGSLFGTSLRGAKITGCLGDQSAALLGQNGFVTG RAKNTYGTGCFLLCNVGHKPVSSARGLLSTVAFDFGEGKAMYALEGSVAAAGSTIEFL KNNLGLIGSPGEVTKLAETVEDNGGVVFVTAFSGLFAPYWIDDARGTIFGMTAFTKKG HIARAALEATCYQTKAILDAMTEDSGSALKDLAVDGDMIGIPVKRPMTLEATALGAAI AAGMAVGIWSGFEDLQKLEKRLAVFQPKITAEEASTRFSRWEKAVKMSRGWLD
SAPIO_CDS4248	MQPAVERCPSVVEESHPSESSGEDDGTLDTTSPSPRKRKRDENT TPSCELCKARKVKCDRAKPACSWCARRNRICVYLERQKPGSRATFGLELEAQASRTQS RLEELERRFDEHLAAGRCDDTTARPASPPPSHRTPSIRAYGGEHASHRGVLAYDQSSS IAQSHSATPVSNPYPSLGPGSERDAYGMRANTQSHSGYPSIIQDCSASNRLGTPHAEQ TSSVLVVDDLPPQDMLYTLTDLYFKHCNTWCPILERKTVFSVFDTYPRISEEDRVLLH AIVATTLRFFRDARMGPEAKIRQYAISKRAVQMHSLEHLTVPALRALVVLCLDIFGTQ NGPCGGVLMASLSESVKQLGLCDETSVFLACESSEDDTTRSGLVFKLTTAQPGSFIED EGRRRLCWMVYILDKYATVAAAITPDFTFADHHMRRVLPCSYDLFSRDIPVETHWFGS PGDLDGETRLSMINKSENLGSFSYHCEVVRILSRAHDFATTPLDIYSPSETANWRNTY RQLDTTLDGWLQSLPGEYSRLSALCHTDPASRVANWFILHSAYVVAVVRLHSPAAYPV AQSHLLVPSDYAMQRCISAVKSLGGIVEDVRAGDGLDLLGPLFASSLWIAARVLLVHA ATLGEPVDPTIDLFVATLEDMGRHWSVASRYAEVLSRVVRSGRQGNGSWAAMRRSAHD LVNLTAARRESGLGLMSTQIATQWELDNIEVFAFFNYPRHR
SAPIO_CDS4249	MWERQLLDGVVKTDRINETWDDVHIHASIKRALQNLTLNFLEPE EFKTGILSRASAPSVLLYGPPGTGKTLVARAFAKESGACVLEVSAADVNQEACGQSEK IIRAIFSLAKKLMPCVVFIDEADGIFGKRVSGEKSWERSMKTQFLREETDKEGVVLIA ATNRPFDMDDASLRRFPRRVLLDIPEVEEREAILKLQLRGEVLAEDVNLRQIARETLH YTGSDLKHVAYQAAVMAVEERRGLAEESVPRPGGDSPPPESRQGRAAGARVIHQRHLE GAKDVIRPGVSMETLKQIREFHARYGNTAKLED
SAPIO_CDS4250	MASSEKKPLPSPGEWETHKDDICKLYPLMKLEDLMKFMESKGLY ATQAIYKKKFRDWGLRKYVSAAQALEADEKKRRLEEEGHAADEIVISSGIAVPSSKIQ RHVKRHKTAASLATGMTATGTLAPSLGMNRALQDVNNYGVMPGIAARTLESTAAFQNL GFGGSHTSQGSAYPTMTVVGTQTPQVFQQRSMLRFDQLTPENTVMHPSLEAVMPVRTE PELLMTEPSDDSWLDLFLLQPDPINSVVNAPSPGTGVRPHRQSSGSRHTAPGRQPIHQ AAQNGHLSIVKALLRARPNCCDLKGQFGETPLFLASQEGHIDVARVLLDAEADPSIQV PKNGRGPIHQASQGGHLTVVQLLLERGADPDSHNGQQVSPLWLAAQGGHEAIAKLLLS KGADPNFQAVDVNRGPLHQAAQGGHLEVVDLLLKHKAKVDVMEEDKATPFWLACQEGH LKVAQLLIDAGANVNARTSNWGRHPIHQAAQNGHTDIVQLLVDNNALIDAGEDDNVTA LWLACQQGHRDIAEILLRNNANPNNMATESRRSPLHQAAQNGHADVMRLLIEHGGKVN NVEISGWPPLMLACQQGHLGVVELLIERQADIHIEEKDGATALWLASQQGHVEIVRLL LIKGAKQTATRSTGRRPIHQAAQNGHLEVVKLLKQFGGGIDAPCKGDEVTKQITPLWL ASQGGHEDVVLYLLEHGAEAVIRT
SAPIO_CDS4251	MDTAPGAESHHANAGDGDGADVIYVVDPLKQTLAFPFEKVKTWE SRAMLISQSCPLAPGMDELREQLIKAQYDILGPENAVIIPRLWESLARPGLKVNIALW PVPSAPPPASVPPAGPTPPLRKEEDSWDRLLELLDSDDGFLHGTRAPPEPRFVPPPPK PAAGVRLGTRRPPSRTSSLSGSDSLASLPHAHKSTGRSKKVLSWVTGGLKPQRKKRAR APRPAYAYGSRAKESDSDSELRAPLRHAHHRALARSFPPPGVPGLPRQGDRFFRPPKI RRETSVGLDHFADSDTMRRAEPEIIPCSPRAEIAYALESSHSESSDSMEVRRRQFQLS DDSTDSDEGIADPRTCISKRASARPRRIPGRFNALLSEDEPPLEILEFREARVYKDER RNPASSIELVECQRMDNHDRPPPTTSKQMKWIHVSSGTLDLEELEDIALSHLINPAED QDAGLAIETLMDTLRERTFAKPGGVTLRCNMKNRSQISPGLPGSAIFISMPFLYVDAL TASPNTRGKGASSPPGIARRVRQFLGPRATPRDDASSSPTGSRHKKVVLAARRLHETF SVHDKSIERDKKQAFNMMSSQGAEDVLWVGYVWILVVEGPEGQVGVSTIATESAESTL DDLELDDVDVPPDVFTTTDSKKEYSRLALRALDPRVPPFCVGGDDPDEKSRTGIPPAI QSRNPGHEVRHEWNAACLARIKLALRKSQRFLVSQLKEEFPQLDLDLGKPASTRDGLP HASRFSDRAQILATDFCAAARSTLEEFVDNNPKSFPILQHYLNSLSRLLRTSVNSTDT PSNSQKCLLRQDLFRILGPEYSKGPLNLDSCTRCGRRRIDQSEEGALKHLRKRHALKA TPEEKVRSCIVTLSEAAPSALEAEYVATLDLCRDTMARLSELCCEMQKARVVDGVFRD PIQGVPSDLFAALELIFVYVLALPLLFDEVEKHYVVAVSKPETEFLEMPWNIRYKRVV IERVGLAARDFIKDAERSLRLARDLKPIDYGIRYFEPVSLGTLASQMISNLSQDVVYN GMTVCDIYEACCENWSSEVLNHARKLQVPAIGAFTDEVRKLKTISEWQSQLCEDLTSL PGFIPPPNDYRRRRGNGIPGNPYNVQRNMINRTEANLEYQIELFDATLERSADLVESV RDLTNIMRDEDNKAILLFTLITVIFLPLSFVASYLSMSDGPSSEDWDVVQGPFWKTAG PLAAGIGLTLTRSPYCRNNGIIALFLGLFTVQTAIERLRVTLFGGRHGLEKDEGGEKE VNEIEETQMKGDKRV
SAPIO_CDS4252	MSVDLLYPDNKNRGSRVKQLVAQISTIQNDARLSKATVSDQLKV AESKLTDVLQAHGLQSLDQLKKELIDSLPEEVKKHYLDLVKHYEKDVADDTQVIDIIG LVLTVSGVWPVRLVRFVTSGKVAAALGCIVRALAVLATVNLARGIDIESAQKLIKLGS AVIKSISPDIELGEAALKCLNVVKVAGTVLTALGVFIDGIILIIVAFKGAEQREQLEA AINVLSYRRFSAQAVSLMGEVYAQYISSLYALVVKMKKKADIEDVVDETCDDLKKRMQ GLEGVNLESVYDSLKKLDDSDANTWNEKDPTKQALEKWWDDGHDPTQYKGPLPDKHPD GRK
SAPIO_CDS4253	MGNTVGDIAFPDNPRRRKRAEGLRQDILQFVQDFEREKAKKEEV FNQLKAELDAVLSKLGVNTRDELDEYIRKNMKNDADLRAYDKLRERLKMEFLVVWDKS DHAATLIVDILGLVGMDTLVIAGAAVVLGIISFAAALGALELVAGDVIHKMLSKRAEA FQCVQRMRVLNSWALSIVNDLKKGKFFEQLKDLLEEGLKEPWNQCTLGYCQEKLRETD VQSGAWMDEDGGPSYYSSVSARKLLEPTDAHGKVVRARLVFTPLDTGVRQSVTLMVRG AISSTICIATSGKDTWFLSGKPVEKLSELENERYDLERIPQGRTSTHDVFHACRLEVD S
SAPIO_CDS4255	MFQFNLFLQLLLASLAFAAPVDVDTTGGHHGNAWQYGTGGGVVG FVILILDIIVIIEVLKSNRSTAGKLIWSLIVFVFPIVGLIIYYLFSHREAHRTSAGYE PVA
SAPIO_CDS4256	MHLFKALPIFATIASVALADIDLDREDIPTQCTSVCEPLRSLVE QCNVDDDAVGGDRNEDLLEKQCVCTNTSFDVAGVAALCASCMEQNVRDREDLDDIHEI MTACSFQSTSFAPSATTIIEGVTVTATRPTGINQLTTTTGSQPTSASNTNGGNNDDNG NRDNAAGSLAPGMIVSMAGAVVGLVMILQ
SAPIO_CDS4257	MCASDPSPATMSSAGMWVSTSLQPSQILAGAALVTHVMLGRLSA WYENDEELRNLVTELHLMLVDHLLRELATSTSVALNGQPWPLETFRSALLNIVLAFET GRLELISKARLLHGILVTLFRDNGLFSAEAIECQQDTHYPGTFTPWVHFGFQRWVRIV TAAFKVDVHLALLTGHPPLIQREELDLPLVSTYALWNAYGLDLFPTRFRTQPDERAGY HMCDLLTFGRNSQVQPRGDSIVLLKDVEVGLLGVVHNTWQHARWSRKWVDASASACDA EHQKPLHQLLGFWKERLNNVRGAEGALLEEYVRAYAGEEEEDKAEDRHAILDRLRAYV LHAVLLYHFVTVHSYADVETLRQVLMADAAAEECPRGRDREAQMRQWANSPDGRRAVL HALYILKAYRDDAQLAALRNLEVDPIAHLALTAAALVTWMWMMAGAQACTYAQNIAGL DLGQYAPESPQIADWVQSSGVAWATTIKTDWCGLAEPSNKRSVNGQKSNQSRTTT
SAPIO_CDS4258	MAAITNNGEKPAELPTHQSTPTGDETGTTTLASLYGGGRSSSIN GEEQNEQQEQHDLAHGHSNAEPVWLATTLTLPREILFASVTCLVQFCTQAAFFQTLFL IHQIGGSFGVTNPAKLSWLVAGYSLTVGTFILFSGRLGDTFGYKLMLLIGMGWFSLWS MLAGFSVYSGYLFFVFSRVLQGIGPAIALPNALAIFGASYPPGHRKAMVFSFFGASAP VGAIVGAAAGSALELAWWPWVLWVLSITLFVIFAFFVIESRWAKRPLLPFDAINADVA FVLGAIACGWATFGVWTLYFVQILQRIRHLSPLLSSAWFCPGAVAGMIAAVATGTLLG PLRTPPPVVMTLALLAFAIGVTLTATTPVDQIYWAQTFVSVIIMPFGMDMSFPAATLI LSDAVKKEHQGIMASLVNTVVNYGISLGVGFAGTVEVHVNNGGKTEEDMLTGFRSALY LGIGLAGLGVVICLTFLQRKYRKQ
SAPIO_CDS4261	MLPLGICLEGNHKSYAPGDVISGYVYRKIHIVSPNASLRLALHW RSKSKITTGGKAQCVYRGRFAFLEDTHLLYEGPLHVEAGTPGLKWPFAIAIPLTGNRR NMRVASPDESYLPLAAGNEPNPPLSLPPSFSATVLLGLETPISIPCVTERCPVNVGEL MNQRLRSSGHIRQKITMGGMHIYPSSTTYNIKHTHVLSWSFALSLADESIEVSGDNVV TILAPIDDRESDNVSPSNGYQNGQTGPTGFGKRSESWMHPPPAEDAPSSFAEVQGRKA AAG
SAPIO_CDS4262	MTGRRDDDGDDARPDKDGQEASFAAAVDCQTDWDLDQEEKARQI AEEDLNRGKKQSFSGKVLLWLAWQSTGVIYGDIGTSPLYVYSSTFSSQPSRDDLVGAL SIIIWSLTLIVTVKYCFIVLNADDDGQGGTFALYSLLSRYANIVYRDPNTPGLIHLDR HLTNDMKPVGKSVRGFIENSSAAKFALKAIGVLGVSMVLADGVLTPAQSVLGAIQGIK VANADLGTSEVVGISCAILIVLFAVQPFGTSRLGTSFAPVVVVWLLFNLCSGIYNLAM HDYTVLRAFSPHYAFAYLIRNGSEGWKSLGGLLLAFTGVEALFADLGAFGKRAIQLSW LCLAYPCLVFAYIGQAAFISTDESETAYTNPFFYTVPPGSFYFGMVISVLAAIVASQA MITSTFQLLSQVMRLSYFPHIKTVHTSRKFHEQVYMPLANWLLMIGTVIVTAVYNNTT SLGNAYGVCVIIVTMITTCIVSLVAIIIWKTPSFIVLPLFLVFAALDGAYMSSALRKV PHGAWFTLLLAFILSSIFILWRWGKEQQWTAEAKDRIAPSELLQLSPTRSTSIGDGQR EGGPQLTLMLKPAFGGGKISAASGLGIFFDKVGSGDNAIPRVFTQFIRKFKARPGVIV FFHMRPLPQPTVPPEQRFVITRVQQIPSAYRLVLRHGYMDDVLTPDLGRIITNEVSIF ITRGIAVDNSSVSPGLRPAAHEELKALNDAQDAQIVYVMGKQVMRVRQDNGNIIRRLA RVIGLETFLWIRENSRTKLADLDIDYNNLVEVGFVKEI
SAPIO_CDS4263	MASPDPLLQTFYDAKTEFEKSLPSNVRFRDILAVTSADKLWDAM NKLQAEQAKKRRMRWLGKIGPFIERLESLSGVIEVFTQAKPEIMALVWGPIKLVLMCV RQWSQAFDAIVKSIQRIGDLLPQFNNVLPSFINNKHIKDVVVLFFQDILDFYREILQF FTLPLAKAMWETVWPKRKEAIRDVEARIELHATLLRDNVTFEDIRRAEEARAASFREF REAEAQRSKENFQALEIAINPRILLWLQGIPGAGKTFLASWVALEAKKKGRVVYAFNS YIHTDTTSISIMHSLLFQLASEDEDLQTILTESNKRDLKTNMATAKELLTNALKVVGG AFVIVDGLDEVEEVERKLFLISLMDVLDACRDTTTKVCISSRAEHDITQILVPKATTI RVDKKNIVGIQVYVGSRYKQWMAESDFSSRGRQEIQALLSPISVTAKGMFLYARIIID NVVDLTNIDDVRRELRTLPANLGAAYERVFSRINHLPKQKQQSAKRILGWIGCSPTPL KQHELEQALLVAAKTYDDTPTVDSSLNIVKLCGPIVEVVDGTLRLVHFTVKEYLFGGE NGFVSMHQSILDLVDTCVTYLCYDALGPDIEDDQLKENLLAGKYRFLSFASYWWFVLA KQYIRLTRDKTQLDDVNGLLRKLFSERENLNFEVDVGNKGNTEQGQKKDGQPSQKRRQ LWSGAPEFVSATVSFYRDQNDLWTGIVGRAATPCLFQCHVPEWRICTKSYSVMAENPR NLLIKKIAYVRTYKGTTGNTYTSATSSHALRDVMDSLRIRSANTTERDTAVHGSARYH KAMREGVYSNPSVMEDEALYPLLYELVISGNIDELEATWPSCRQKVNEFTKAELLTMA AGQGSLPMVRLFLEWDEDQHKPRNDAVKFIVVIQDAIQSANPELMRWILCKAAYWGSV SGRKYRDVVLAIGKSESTDAFDIWQEVITSLPSPNDNLIKELFEKTVLTTINKYPDQD ARLYDTWKTLYQKGLLEKNLADLGRALTTVARTTLSIEQATAILACGAPIDYPRTLGG TGHTALHWACKNTSREAARFIKFLLVEGANPFTSFGKLAAEEEGARKIETWLNVTWDD LMEWAFQQRRSKGLPILRDKQRFPRYKLTGKELDAAIKDRRKIETALPFTNAADGGKH HSTLRIVAKRSHGVLSAY
SAPIO_CDS4264	MVGGKDGKDGKDGSGSASQKRLVETEGHSNERLRKRLRGESAQV LSLDSDLSERPQHRQAAKSPQSPVSHLHHSQSASRPSTSATPGPPIIIDLTGDDDEDI AMESAREEPILDSKADEHDSHQQGQSSKAKAPLATTSKFTMAHDLPQTVAPDNDAWYD TCFGLSSVMKDVDVIPVELELSKETAKIVTMSPPGNIGAASSACSPTLRRLQTSAKVF WTALVVIPETRRQCQYVQATLRVVVYGLMSEKLTVAKILGNGELFLQHPSLSECDGRV PYFNPQYLLRPGGSMPPLENLSISPRSAGGEATTEVEMTQLLKIFESAHDPDATFGIR PSKRLQSKLKESSSRVTLIVTPKSTIPEWNQQFEKYHHSAPRSEKVTGGPLYQMKWAR VILDEAHHVRNRGSKGFSAVQALHSPCRWCLTGTPIQNCLDDFGALLSFIGVHSLEKA TDFNHWIADPIKKKKGYAFEHLRSLVKATCLRRTKDIIESSLKLPKKQEVNTVLELGK GERELYNFFKSRAATIALTIEKAKGNKAKPPKLKRGRSSGSGSNILPLIGHLRRICDH GENLLPVAALRAWQDRDIESLDWQMMAMGSQKCDSCGEELEDDDLDVLGPAEFGCGHA ICAKCLEADDRDESQSPQGSCPKCSANSPVPPATTNGNTAGEYQPSIKINALLQNLRK ELAGESTDGEGGGEVSRR
SAPIO_CDS4267	MSIQSLGNPNIIYEDLPKVPSLAKAHGSLMGLAFVVIFPIGAVL IRVVRTKNAIWIHTTCQFVGWVLMIGGLACGIKMGKILDRLHNNTHTILGTVVVALML LQPFLGYIHHRRYLSTQKRTVWTHFHVWYGRVLILLGIVNGGLGLKLSSDSPAYSRAG TIGYSVLAGIMGAVVIGLVILGGVKTVRQKKSDGTDILEMMLLADAICIGPDNVTSGL VVYPKRIAAHVQTELPFMLTGKLNDLVSRIKRNQFFEPIWDDLDGMLNAELYTDRSAE IVDK
SAPIO_CDS4269	MEYGQSIVSEMQSELATMEFVRQNTNIPIPKVYGYDLNDQNTVG CPFSFLGYVHGNTAEEVARSFPGGHEGIPEQFEEKFWRQFAEVMIQLASVRMPKIGSI TRDEADPTKYVVGPLVETNSGPYESAAEFYTHYPLALGNKLRAEGEQADGQDEVLAAF RTLSASFRNNEAEGHHTETFGLANYDLNANNILVDREFNILAVIDWDSVIAVPDAALY RMPFLMGVACPIPGVVDNHPAVIRRLLLGRRFTRVVEDVGCEQNIGEDTKWPRFLFTQ TGFSSKEATAFRSLTYVKMGQEEVNGRWLTALRWLRDHHDMDVIQLSFQS
SAPIO_CDS4271	MYDAVDKHDILIADVRDLFYARVPWSIYQFFADIGTVPHIMDIH PINMPANMAEGHREKIENNCKHLKPLYQLPIRVQEFIVPEGIKRDCDGLKRILQTGSE VASVLSSMVSIICLDKMKSPKDLFAGKTFKQVVSHLELELGPLEALDATKEIIQLLEK KFPEPDHAVIAEKTAATLNPVLPFHRNFRGALRQVQMRFPAALEISSLEAGIEKVRSE LEVVYSRQQLTGDVMGGVGVAGSIGAGAIAAGLESGNMWVAAAVALLALSSVLLAKCG IDKRMDTSRKLGRIDDVDVTLTDLKKIINTAQAASVAIYCSRVHQMPLETMSRRERDK ILENFGIDVSALYIEDYNEALISASLENFLRGSEKFLDGMDKLMEDANVAISTKREEV KGQGSVLQLGFD
SAPIO_CDS4272	MPLAVEIGAGVIGVSGTVFRILNLLGIAPHGPRTVDGDAGLWCL HNNYRGCVGLLSDGEPVPAAIIERQDVGPCNVPMYNFDICHDQIANQAANIWSSIPAP GVAQFDNVPPACMNLAVVLSGSCTGEGTNPVPCGSACIQYTGLSDEQFAALSMALHG
SAPIO_CDS4274	MGSCATSSTKTHRFLSQRQRVAQTSSALSPVIFFFSMPLLTVFL ALLAAPAATQEPPTKFQVDLVFPRNETYVRTAFFPVVYAVRNFQLADSLNLEIWGVLE TDGSRNFQEGASDDYTLWLFPTGYLGFSFGGESPDADPLFFIESTNYLTNGTDTHFHV EWDASLDNYTDRWISGKQRLEFSIAPDGKVPDIAEAIRSCGYKSVAVDIPNAEDSVNR HRVLKDIEPTDQCGTLTDYADEVAANASKLSPPATIDERLLSPAPAVIAPIIVIPTTA TTLPYGADLPFVEKALFPFSETLKADFLPDGAVKIFVDFGTVPERAADAKLGGVLLAF SKCSRIRTLWEVFEVPFHDTKGTAFSFNGGTVSANGCAKCWSGNQAKEGNEKLHGVEL LCLELWMN
SAPIO_CDS4275	MVRGHSISILGTLLGILLIPATAFRSGLNVVSGGEFPTPCPRTC FDAGPDHTGWDVYASLAELRQCQQSVVFDFAIYNPPTVNPQHIRACSVWGGQLFNEAP SPIQVSRRAANISNKTTVPSDVELAWWGDSPVSDSEDSGAANAASGLRNAGQYLRAAS KQGKKTIFLVSAGKSVVGVYVGQRISNVDVADRLIPHLVGHILPRGLQDAAAVQLCGA GRAAEETLGIMASTDITKVQSALQNWTSGSCAQEQTGSSTLEGVELDILQPRTSAFRF SNSSENSPMRRYNPRPRQISKRDQCTTITVVQGDLCGTLAAKCGISDADFLKWNPKPD LCPNLVIDQEVCCSPGDLPNRRKQQNSDGSCATYDTVPDDNCSGISIRHGLTLDELER FNENTWGWNGCEGLWPGVRICVSEGDRPLPLPVPNAVCGPQMPDSEPPEKGKDLALMN PCPLNTCCNIWGQCGTTADYCTEATGTRQELAKVQNRCISNCGNKIIMGEKPSNFIKL GYFEAFNLERECLNMDASQVPSDYTHVHFAFVDLTPDYEVNIGDPLVKFQFEQFKNIP SAKRIAAFGGWAFSTEPASYKILRLGTEPENAEKLASNIARFIIDNGLDGVDIDWEYP GGPDLPEDDPGKASEGGYYLDFLKLLKQKLPGKSVSIAAPASYWYLRQFPLKKISEVV DYIVYMTYDLHGQWDHGNKWSTPGCPIGNCLRSHVNKTETLNTLSMITKAGVPSSKII VGVSSYGRSFHMAAAGCTGVDCTYTGSRIVSDARPGRCTGTGGYLANAEINEILKRGG NVKSWIDEESDSNVMVYDEVEWVAWMDEDTKTRRKQIHFGGTCDWAVDLQNFVEPPSY NTAEDIPGAAGPIDWTGIKLNLYRTGAPACDLERRTVRSAYDSYKTIGQDVQRRSHEF MTIFSPKEESLGALSMVLDLLTIPVAGGGAMIFKNIFGSIVADDVLTDVAKDMTNAMI SVGFSAAKSTDPASRVAGQEMAFNSIIDSTIELWEIQAASVLKKLFSGDDDSIRALTE LTKDGRLTPGSRNGTVKDSDVSSKIAEEFAQEEIGKRAFYAVAIPTVWNETGQFPAVL DAGDCRGENAIPDIVSKDGSNYSYSCYDRRAYFLVSAPGTSEGWRCTPGEVKTIDPCD TQPFRLPRGINQLDGTKWGTVTHHDIIAGAVETYKKAGNRNGGNVEPNPNDKETFDAL YDRDIRAPGIIKIPVCPAEQGHRNWVNGAVAKKEKFYPCN
SAPIO_CDS4276	MKPYASLGAMSALVQLSHANLDIVTLLTPSGNFIQEAAATLVVG DIPNPVTGDVALWSAIMMDRQDFLQGVTQNSPPGLGYCQDLGQNWCNFAYKYGNGNPT AGTPVKAPPGSRIKTHYKLNTGTEQWEQRLYINDQLVSELTSSRGQHGSIFYISTECA AGNCAAAPAHSWEDIFITLNQPDERFLYRGSWEHEATGGEMSTPDGGKTWNFTTLFVP ETRP
SAPIO_CDS4278	MTRNAETMELDAPPVSPILRLPVEMFAAILSMLDDLPSLLSAIL TTRAFNNAFLEGKHTILSHVLIKHVGVDVIFDALAAIEAEATATTRASPFTSESIQAF YVEHFRIGRPVPPFNDLGKAIAVAKLSATVKAFTTRFAQWVAATSPEGMSTLTVTESA RVERAFYLFETFCNLFRNRHRRSAEPVSRFHFFSTFCPWELEQLGCIHDFLFHQVQPA YDDVVYHDVAWGAIEVEPAMSLASPEIQAVLSTGLSSVYRLATAETYEARHELLYRWG GPPRKDDFFYEGLARRFPTRFPSLPPYLDLDLGPRLAWEWAYASSRRNMKIYHRSREV LRKWGYVFRDKARLDDIALFDKPFVPPRLPPERHEPSDEFTDTWWAREEISRAGGSGY WTPTYQSRVVYPAASKRSHPTVGRRFATPSFANAKRESSVESARIEAAMRNKWRKTED S
SAPIO_CDS4279	MDQFLLDNARAYEKLVGQFKPAAKPQDKKDGGRNTDIIIASRLR PMLEDEIAAGLVPGVLPRKDDDLNVDLHELRKTVRGTPALNSSSFRLDKVFNAEDSTE SIFEDLVQSLIPWAWGGGVSTMFAYGQTGSGKTFTVSGLQRLAASSLMDGSLDGSRNI SISILELAGNSAFDLLNSRKPVSILEDSFGSTHFAGATEHSVKDLPALLSYIDHAASF RSTASTLKNDASSRSHAICRIRLENPAIPQFEDGLLYLIDLAGSEAARDVVAHSAERM KEAREINASLSVLKDCIRGRAMLDLVETGGKVSVKAKTHIPFRQSTLTKVLKHVFDPA GMRSCRTVVVACVNPSFTDVAASKNTLRFAEMLRVPVPKKDAAAYNPSRPVTWPNDKL QEWIEKNSGSPAISGPLLAPFETGHQLLRIPVPEFVSRCLKTPGVTVDQAQAFQAKFW RLHVDSRSPASSESKDDATEKAEKDYVDSAGISSRDSRPEMANVEFKERIRPGMVVEW KPPYIVTDSIRPLALVLSSVEGSGEGEEKKYTCAQVAPGLMHGSLEVYIWRQVEVRVG AMLKEVLLEYDVGTRYYYETL
SAPIO_CDS4281	MNGASRAKLRTKTGCLTCRQRRKKCDETFPICGNCSFSRRDCKW PSGADLVDRRYSSHSHSRHREVVVKPRRKPERTDERHTDLDCIRTTYPVVDIDVEYLD ACWHCHPEFHDGWLAEIQKLMTTHKSLYYSVLANAASHMYLVDGAWRLQNLALTYYAD AVSELSKLLDRVPQLENHNGLLMSVMMLYLHGFINWDTGLDIPQHVTAATRILTLRLL NHPSPIGKLFDRLAIESVMFQMFTYTTGLWTDIKAAVDPEFDSSFWDRAGKLLDEALF FPDAPKSFNSPVLGFPVSLFRTALSLRHCFRSRRSPGIAELERLQSEVGDWEARVLCD RELRSCSPSSGEWDKLDSREYCFRDASYLFAMVTSLLLEQVGNSDSIPDLLPQVVPYD SWQLRLSVQILERHAGDEEWTRCYGCNWLVYTLGLFMDSSEDRHIIREEFSRRWDLTK FVQIARYSSDLEDIWAARDGNVARILGRATKPQTQAQLAAIRFVH
SAPIO_CDS4282	MSDSDGHIPGTVQLVDLDHNLHARHAGTGDIILNPTPSNDPNDP LNWSRRRKLLSLICQNLYTWFTGISLSTVYSVLVPLSKSSGVSVATLNEGTGYMFLLL GWGLLFWQPFSLRYGKRLTYLVSTLGGIGISIWSAYVTSNGEWIANRIIQGFFIAPIE ALPEISVTDIYFTHERGTYMGIYSLTLAGSNYFAPVICGFIAQYQGWQWVFYWPAIFL AFVFVFLFFFMEETNYNRSYQQPRAMAPVSAENSEKPTDEQQSIDGTKDSSQVESGEV YAPPKTFFQKMSIWQPSPGQNMIQRIIRALKLLSWPVIFYAGFSYGSYVIWFTVLNAT ASIILSGHPYNFGSSMVGLSYISCCIGVVTGSLVSGRLSDWLTIKLARRNNGVMEAEH RLWPFSICVVMVPGALILWGVGAQHEVHWFGLVFAMGCLAFTTAVGITLSVNYLIDSY HDISGDAIVTIILVRNTMIFAISYGITPWLMNLGYQNCFISAAFIGMAASSVCFFMIK FGKGFRVRSAQAYHELVKGDRAQWEGP
SAPIO_CDS4284	MARAELLPRSPDGVPLAGSVMSMVVSLVATTVLAALLYLYSFKH MPRTAANVRLRNIAFRTFVGGCLMLISSIANLTVLMSLNGEPGWVCFMSCNCDITFCA LVINWVTSKDRSEPSSQISATTGGNSGDDSNLLGGRSLSMPLPAATAPSSNAISSTHD TAGGLPLATITRSPVGPRDTAAKIPSPVRGYR
SAPIO_CDS4285	MAYSRSRFLADLTLGFADGLTVPFALTAGVSSLGKTEIVVYAGL AEVCAGCLSMGVGGYLASRGEVLDVKDKLAQYAELAQEDASSRSTDADSAIEDLEKGL LSDDEDVDISGLEKAANDNVTLEISRRALLEYLAPLKLPGPIQDAVEAHVLGQPFTLS ALALPGIDEVDQYPWSPVLTGLSIALGTSYAPRPQAKERSGQEIQIARASRRVRVDIF GLERAVTPESRD
SAPIO_CDS4286	MRPSLIALIGSLTAASALALLVTNTEGFTTRSFIPGNTFDRIVQ IWLENQDTPMPSSTVPNLAYLATQGRLLTNYFAITHPSQPNYVASVGGSTNGVTGDGD YNLSPSIKTVVDLLEAGGISWATYQEDLPYSGYTGSSYQQYRRKHNPVMSYQSVTGNP SRLAKSKNFTLFFDELNNNVLPQWIFITPDMNNDGHDTGLAYGANWARNWITPLLSNP NFNSNRTLVVLTYDEGGYTKNNKVYTVLLGSVLAPGQIGSTDSTQYNHYSLLKTVEQN WGLGSLGKSDVGAAAFF
SAPIO_CDS4287	MDRTTPQDSVSEESPRRREPPRSLLSNNWRVRDTAPQPKQDERS NYASRDSPRSGNDTRKAPFGQKNDWRNRREEPTGNSTTAATGSPQRAASGRPIEDSKA LAEGRRIYIGNLFYHIRPEQVTESLRAEGFGAIEKIHISIDPVTGRNPGYCFVEFESR DVAEAAIRGMAGVLIEDRPLKTGTCQPKQQQQRPKQSPWSQQGSWRPREFKPTFERWG NWTDEGGEALENGQGPNGAEEHLRSVVEDEQRCRVYVGGLGAAASHEEHDQELRAIFK DFDQDVVAIGKRVTPHQSTWTKPGKHHFAFVDLASEEAVQAAIKALNGMTWQGSRLRV NVSKSIPRKIQERGVPYSDMPVIAHSKLPRLSQCSVTVEGRTPATSDMFAQGLEFGFY SKALMAIKKGPEMSLGGRGVLQASYVVVFTVARFGAWWPLFSRINRRPWLAKKLVTDP TKLLKVASRDDADKCTNTIASSISSTSTPPEGIIPSKSGLVEAGLHAWNHHNNLVLRS DDFWIAILSQLNFYINRHAERLRGFFSTDYALFADLTADAIGDNVKDPELKEWILPNF STTTGEVRTTTAVLFMGAMQAYFPYSFSSIRCGIPRVTLLGEKEDWINLQRPIDKILL WGPEAERYHRDLAPILKYILASFDNPTSDEVSGFWAKMVSKYGPAHASGNAGPYITGW LTGLFLWNDKGNVRSEVGRMNRPSSDRKLCVIDDAKYAYLELGKRLNPAVASVPVTVS QIDEDGRVARKLSTMMVAGCWGYRPCSVEEGPGSETIFQNQPQSTNGSSEAPIISSET QVRGSTYIQPVHFWWIYEVHG
SAPIO_CDS4288	MLLYAAPAVYDKKPSELPSRPSTVAVGKRHGTFDVPLSSLLSLR RGVGATDPRDTIYGHLGVASDSNIWSQHIKIDYNKTVTEVMVDAARYFLSVTNLGKLL DHAITPPPLSTTPTIPSFIPRWAAIQPTQELLFRKRPGNDAPYVEFEGRHGILLPGHP VLCVSGFYNTRIDGISPVFPEHIAGLDIEGARKTLETVGSQDLTFHEIGQLLDTLFGT TEAEKDVQNIFTKRLADLHGVPRYSAGFRLGDLGAVSRALRTYIEIGAGPFAGKRFAT TADNELAIVPAEAQIGDIFVQIADCYHNVIVRPTVEDFSHLDERIREVFGAANPLGLP HVGFRERIPEDYDDNPWLLVWETGSRVYPIGHYLLVGGADSTGNGGIPPWARGVPSLS DFAMFSLH
SAPIO_CDS4290	MKDTKERQVGQPISEELLETIEEMSRAFTEFVDEVLETQTIGPG NPALLNNLHHVSARILSLAKGAVDVEGMGTPSPTLTHPNNQLGIGQGAEAKLVVSGGP GSLGPISDYNGMDPTVGSSLRTPGQALPSNIRVSIPTEQGTIESTFQSMSRTTSMPII PSRQVFGNGWTTSTMPELSGIEPIQRLSPDITTALSALGSFSLRLLEATLIYGYRLLL EHVQEKTDEAGLALCSRPPQQLAAVFRWLLGPGKEYMYRMSGVAWEASHGAALQPPDS SPPFSMPPCSDATLEVNHSREKTEYLTAIGVQRRLQSLGAKMLDADTMELQVDGSRFG TEDDLRFFSSGPMPPASWSYIDFFSVYHAQPSSVTMILRVPEFIQNMTQISTCLGNGP GYSKKELARVMKASASIVK
SAPIO_CDS4292	MTSTNPQSTLSPDDEHWTRYLSEIIDTSDAHIASVNSAIHSNPE LAFEEREAHKTLVSALQKLGFPVIPHAYGVETSFSSEVGRGGRLIIFNAEYDALPGIG HACGHNLIASSSFAAFLAVAAALKESKLPGRVRLLGTPAEEGGGGKLKLIEAGAYRGA AASLMLHPGAGYLLKPPIRGVAYYRMLANIKMRVYFTGREAHAAIDPWNGVNALDAVC LSYNAVSMLRQQIRPHERIHCVFPRAGDRPNVVPADCCVEYYVRSDTKANAEALWQRV LKCFEGAALATGCGMRVEPINSYADLRPSVSLCQEYMEAMPKGTVSYNDPPDFLAGST DMGNVTYECPGFHGAFGIDTNPGQGNHTKGFSAAAGTRDSYRRAMEAAKGMAAVGWKV LTDDVFAEVVEYEWKQDMKKAAGVS
SAPIO_CDS4293	MDPASAIGLASSIITFIEFSCKVVTIANELYESNNGMTKENARI LKVIGDLKESSLGLKVDTGSSSKHDVALQDLAKECESTSGELIAILEKLKLASQSKRE RLKKAFDSQRKRKAIASLESRLGEYRNQMGFRLLCLLNEETSTTKKQLDVVQAASKKL DCASSAKLEDIRRELISLLSPLQDANLLSKINEKLQSLQAVVKSTDRETRILEHLHFE TIHTREDSIEDAPYETFRWILDSEDNNHRESNPKTSRHALSSSPKRTGDEDVSLPTTM KSARELLQNWLSSGTGVFHISGKAGSGKSTLMKLLCTHRRTHELLRSWVGDRKLIFAR FYFWNPGSDLQKSLDGLYRSILFHVLRQCPQLIPSVFPDQWEQLSADDSSSRRLEVDL FRPPQIKKAFDGLIQTSLDSENIAICLFIDGLDEYNAKAYDHRLLAERICRWAVSKNV KICVSSRPHVEFVDTFDKRLRINLHDLTAQDITRLGCRMFENSSNFSRIKETYYALVE EIVSLAEGVFLWAHLVLKSIISEVALYSSYKRLRQKIRSMPRELDELYDKILGGMEPD DRTRANLLLLLMLSKPFSTLSPIYFAGLEITGITTDPDFPSDGQVIPFMLEEDYHVQC DIITRQISSLTRGLLVVTTDPSLLLLDTHPSVRIDFFHRTVQDYLETPRRLTELLEEF ANIDLLQLHGRLKLVPIIRYIHEELRNGFEQRNVSSAVFPATTDARGLNALLSAALGA ANPSLSDPSKREDFTRLLRDPDDRSYAMLGGASESDVLFDNFAKDWLVRPW
SAPIO_CDS4294	MAPASVERMTALSRDISEKTKIITDYLTAKALDAASFDVNGLAE FPIPPEDEEPYKARLDLIALTKELHDTAVGPKEGLRYLAWDCVNNLSLQAIWEFKVAQ AVPLEGMISYEDLTAKVVKLNNGLDIPVLNLRRLIRHAITNRIFAEPKKGFVAHTRTS RLILEDAPLNNWVGFMCNDLWLPIANVVSAMKKWPASEEPTQTGVNLAYNQNLPWFDY LQQDEVFAKRYNLAMQAHGGGEGYSLALVVEGYPWGDLPEGATVVDMGGNQGYVSFAI AEAFPTLNFIVQDTAGMRTPETIGKVPDALQSRVQLTMHDFFTPQPVTAEAYFFRMIF HGFADKYCVQILQALVPALRPGAKIIINDGAMPEPGAVGYIEERTIRTLDLFMQVTVN AREREPDDWRELFRRADERYKFNRIWKPERSRMWFIEVEWAG
SAPIO_CDS4295	MPASDSKASTPVTTKPPLPAARESSPSVRPTSTAHEPQQAPSGA ETTTIETDAIPYDDSVSDAGYETDGVSQATTSISSSVRDYSFENSRRYHKFQEGRYQF PNDEPEQEREDMKHAMIVHLCDGKLHFAPLESPQRILDVGTGTGIWAVDMGDEYPEAD VLGIDLSPIQPQWVPPNVRFIVDDAEAEWVYPPDSLDYVHIRHMASSIRDWPTLLSRA YHALEPGAWIELQEICFEMKCDDETLRTDNQVAGFFENVKKGLAAFNVDLLAMRHNKQ KLIEAGFVNVWERVFKVPLGVWPKDPRMKTIGLYNRSMIHDALQGVSIKPFTHGLKWS PEEIEVYLIGVRKDLMDSSQHAYIPFHVVTGQKPG
SAPIO_CDS4297	MILIEPQTNQRFGAELVQHQFPCSGVSRQLWRKIKTPEADSTEI NPLEKNEPGLPDARTLDPPDQGFPAVTDDQYNKIRKAFIESLDPDAVCALASKHNNSK ACQVVKKASGSFNVCFFVEFDLDGSKWIVRVPIEPALDDPWDKLLSEVTTMQYLECNT QIPVPHIRAYGRDITLTKNGTGTQMFTITDLIPGEPLDRKFLIEAKEEHRRNFYSQLI DSLTELRKLEFPSIGSLMPNPDGSPHPVLGPVLSMSAATLRQPPQPALTSAKEYMKHR FSLISSFFSPPVSDHTVDEIKQEIFALDGLERIFQQVIDPRFDDGPFVLNHLDLRSAN IIVDESLRIQGIIDWEFATTVPLQMFAPPSWITGHDSIETDKQMHIEFRDVLDEKSKA HSVYDQLKREWYECSGSSSELGIDKTDMAFCVAHVLRRPANATDIFCDFFAQKLSEKH LDDIMSDFFDRNQELASEAQRRAEHCERYTQYLKENGLYETESDKLLAASKALKEKWG WS
SAPIO_CDS4299	MRRSFRDCLRPKSEDSTVESNRVISNSLHDGIGPLVVFDNISND DTGTDIVFVHGLRGSRINTWSKDNVFWPEKLLREDLQNVRVITWGYDARIANAFRPAS QDSLFGHSNTLLEDLSRLQIDMNRPIIFICHSLGGLLVKEALITSSQYHAHERHPPVA AIYSNTIGVIFLGTPHRGSGKRAYGEILSKIAKLTLRRPNAQLLRVLWSDSDAIERQR HEFTTVSKMPKESAIYDGFNVRQGAINANHMEIARFVSSTDQGYQRILGYIKELLLIR TPDIIGDLKLRQQKLLEYLRFPSMLTLESSDKPAHMGTCDWAVKSEISPESRDPPAFM SWTKNEEPLFWISGKAASGKSTLMKYLYYNSEVNRGLREWAGDKELLKVGYFFLDSGN DIMKCREGMLRSFLFQILEKRRQLIGVAFPSVYNQDYLLVDEQVNSWANLSRAFIALL NGLETFKVCLFIDGLDEYRMKDRLHDYASDELELITEGSSDDEAWGINEWIVDGHMEI AKLILSVKDQPNVKICCASRQLNVFESRFAKIPRLQIHHHTAKAIEKYCQERLEADAP DLDKRSDFAKAIADKSLGVFLWVRLVVDALVIGNDKGDTESELWQALERIPQKLNGKD GLYMMMLQKIARQDRCESAKLFQLAMYWHASGVRRGNLDILTICFAGEGHLDDNGNIR AKQDKIDPKALVDLQPQVAQRQRRLRSRCAGLLEDTGTIQFMHLTAKEFLSQPKMWDL VFKKEHGFRSHQEIPLALMSGIIRRLKCCEEASTVSVQSCRPPRYPTWVQDMIASCLE LPGEDLSLAPNLFKALLDELDYANQNLVRIDGVTWVEIFCCPANSSSFGEVRPTSMLE VAMSYRCLRPYVILQVRRREGISQDHLARLLSMASFVTELIYTTD
SAPIO_CDS4300	MDEQRATSSTPSNGEFSGFPFKYRDLDLERPTFRLVRLFKGEST DCLGCEIFHASFCNRETSISYDALSYTWGNDEDRRILYVRGGQLEVTPNLYAVLEALR SSTQDRILWIDALCINQKSLGERQHQVGQMGRIYGSADTVLFWLGPSTSATNIALESL KKLQEQSAMYNYKTWNRMDQRWDKLWSDLLPELENTYPNLSSRLREGLQDLLSRSWFE RVWILQEVANSKKAYVCCGKMDPENLPNPLGNTTILPRKAPIVPAQYLRGREIWDVLL QQWSSIEIPNEVVREAARNGGKEDMELLLQRRPHDIDITREVIEAVVENTTGGNEIVA FLLHQRGYETTIVAGWTSQGEDMIIRLLLNEMACLTENIIHAAVITWSPAGLRQLFKK MPLRTTMTSQDIMKAVVGYSEPLQSGLHEEKWYKIPVRREARDSKEWILDLVLQERGD EITITEEIMKVAARNPNPGVLEFLIRERGYEITITEEVMKAAAQNASPLVLELLLDKR GHEITITEEVMKAAAQNANPLVLDLLWRKRTGIAEYVADLRQSIIRSDIMSGPE
SAPIO_CDS4301	MASGKPSYLIVGAGVFGVSTAYHLIRKYPDASITIVDRDAYDAD SRVAASWDWNKVVRADYEDKIYCRLALEAQDIFESDPLWQPYFHRTGVYWICRSDYAQ TVINHHKELGRGDDIVALPVAEARKLYDGLFDNADYTGAKEVLVNKSSGWGAAGDSLV AITKKSIELGVKYVTAGVAALEFDGRSRCTGVKTEKGDVLSATHVIVATGAFTPTLLE RSAAASGNEGLSAGSRILAAGITTGMAQLTPEQHEKFRNMPVGFQGYTPNEGKPFIGT LPPTKDGELKWWGSKIFTNTREVLPGRSLSSPPPTSDYNQWKVPGPLKQDIVEARNLW YGPTSADWEMTKHRICWDAFTTTSDFIISPHSAAKGLYIASCGSFHGYKFFPVLGKYV TQMIEGELAPELAEKWAWDRQRPDSSQNVEYPNSEMNHLLRKV
SAPIO_CDS4303	MDAPTNKPDNPDEVSSSKVHDHDVPVSMTEEKRDTAEHTRPPPL TEDELALEKKLRRKIDLRIMPLIIWTYLMNYIDRNNYAAARLQGLEADLKLTDTQYQV GLSVLFITYVLGQLPSNIMLNYFGKPAYYIGFFTIAWGLVSALTSLVQDFTGIVICRL VLGLVEAPFFPGVIFYLSKWYTKKELSLRMALFYNGSLIAGAFGNLIAAGILRGLDGA RGISAWRWLYILEGVITVASGIAICVVLPDFPESWKSLSPEMRDIAKRRLAMDAAEAD VDTGGSKTAFKGLKMALTDPKILVLTLAQHAFIGAGGFQNFFPTLTRTLGFNNTISLL LVAPPYVFMAFYCAFHSWMSDRVQNRFWFFMCKSFTAPHPIPVVITGCVIFMTTTSFG PRYFSLFLLNFVFTMNGTTYAWMSTCASRPPAKRAAALGFMNAVGNAASIWTSYTYFA SQGNRYPVALGIVIALMVISGIGGTLQRQILVRENRRLEKLEDEDAVLDAKDLKKLQA MADITGVDVATARELQKGFRYLV
SAPIO_CDS4304	MEYTDEIDFQSSTEGVQCLLLISMLTLHSRFLGINPWYLNYQCL AAVLDLGLQQDLATGQPVDAFEREMRTRVFWVVFSMDRIIATTLGRPVGLRDEACDLR LPHGLEDHELDALRKYSDNQSSPHATDNSPGAIACAVVHFKLALVNSEIMYTLHSISP NAPQHTYPRIPDVEAWQNGLYDRLVAIRRSFPTFGDESKHLSVLCEIRYHEMVMLLFR PTPRIRSPSKDSLTHCYQSAEATIRLWKELYNSDRMSYSWTSIHSICLSAIIILYCTW MVRKLALKTEIDSLTQTMVAASNLLSAAGEHWVDARRCRDSLNNLTAATIRWLVTLRS TQQQTQTRVAADSGAQTDPYAPSTTVIDDPTMHTGYHEQQALSGGHDEMGAPTVNSDF PWVDTYINGEDLASLFRAPNPFNSDLSQTMEGMFSDYQPLFDFYQGNDFSMTSVNVEL LRRVAAKNNITIPDHDIEGYLAVLQSAELTATTVEALPDYVDERLLPTPTIGGTRAYA RPRPQNNDMNAWSHRTNLVSVNPSSTLLKGRRVIVKDNMSVGGVPYTCGTFPQLIRKG SNKYPLSPIDASVVKRLLDNGATIAGTATCENYSLTPMSYTSANGPVHNPWLRGFNAG GSSSGAACLLGLRLARQAGVPGLHGSGDDVGMALGGDQAGSIRVPAAYCGVYGLKPTH GLVPYTGIAGLHPMIDHAGPLAVKLDDIALLLQAIAGYDGLDGRMGPKTPLRNNVQPY YDELRQFTPSVLIQEQKQRGRPLRIGVISESLISPHTSPEVASVVREAAFTHFTAAGA IVSEVSVPMHLIGPSIWTASCRNNLGLLGMGGRVPDILTHSLPHWTPRWPPDQEMFDL LTHHNPAVIHVIFGETMLAERFSPTIQAKAHRHVLQMRKAYDEVLEEFDIVVTPTAPT VAPPHPDMRRVSEGGSTVLDKAMLALGAMNNTCQFNATGHPALSVPCGWATACDGESK LPVGMQLVGKRWDDLGVLKAARVFEMGGGGLGAWPGAEKMTRVNGRGKL
SAPIO_CDS4306	MNLRQIALTLWALCPSLTPDAPASNHRKKIIIDTDLFSDVDDVG SLAIANVLHNCHVAGLAGVIINTPSKYGALAASVVNTYFGNPDIPIAAIRPLTEETFV DTWDFLRGEYASRLAYNWPRSLNDSSTTPTPTSLYRSILSSSKDHSVTLISLGILTNL AALLRTPSDSVSPLAGPALISAKVKELVIMGGQYPSGREFNFAGIDPESTHHVVNNWP RDVPVTYVGFELGRDVFSGAALATDAPPDSPVRAAYECYKVP
SAPIO_CDS4307	MSKRKPKKPHLSPSPTVSEENPVFISGADKWRAVIEADKEKEAA RSKGGPSWTKGNNNGSKYGGPRGAGGDGGGWGKKGGSSSASVAPSEAGSGNWNGNGNG NGNGNGSGSGSGSGSGSGRAGTSTPQILQRPTTDMGNNTMPPGLNPGAAQFNPTVAQF NPGAAPFMFGQLPLAIVPDSVPYRPPSLMSSESEKSDEEVEDETMELQYNWNGGSKEA TGGQKQRSDGPRKYRGKKRTQERTVELPHSRFGEIPKEIKVARGAFDAAAQEFKPAEK SVVDEPQENRQTSMDAYDPRYDPDESYAPGSPSTIYEPVEVPEPLEKLSGALDEAPVD QVVNTPDPAEESQVPESGRHASANGDSHPDNAEARSGLKPPSESTQRPESVVLADAEP ATSVEPQAQAQDSRPKSPEPKGMERSEEPALPVEIAEEPTYDAEGNEEEPGLYNAEEP KYDAEGNEEEPPHYDAECTQEGQEEEKEKQTPYYKENDEPASYHTEEVEKQETGYEAE LDAYNEPAAYHIDETGAGYSGHFGTEYNNNDDYVERVPWNPPRDDGPKGYKKRNWFAG ADNAAVEPFEYIPPSERQKFKPSEEIADEVEPAPATYILPRPQHSPRLPLFPPPPARF PDAKPPFLKKKGKKGKEFNEVHDDPLMSRASGPSKSTMYHPSTTSADWRAVDSRDEEE EAEVLFSSITLCALRPEELPLEAQQLYIEVYNMSKGRRVIPEVVKEDLQSRFERFGKA DLLTGYHHQDGTAMYELSHLREIVIEGARCQISGASAADWNSSVHHPLLSVAFRNLPL GTKIRVWNTSAASILPDFLPQDLLTTPSPPAAPSFCIALETNPYICNFVRKQPPDSPS INQTTYPPLQTRLIAVPIETTTPSSISPPGTVPPVAVWTWAWMARIRHLAPWAHIPPL PVVRVVGHDWYLSWAYGEWEEAELRMKFVGELIVGNTRSVVGMYKILAVLRRLATWVD NEFEPWAKEVFG
SAPIO_CDS4308	MKRTNSPCAEIFETNVPRPITDTILSDCRPPRYQDQILRLREEG EDADDEGGLDDEDCEEEGDDEESISPLLVEDEDEGKDEDEDEEVEADDEEQDSSDSEA EGANGEDSSSSSDSSDSEEETSTTAIFAPSSTGQPLLTLTTVPSSLLSPSPPTSAATS ITRETQTSAITGSPAISNDGGNNLVALLPPMVTFSNIGLPPADLLGISATTLPSTDPS ATVPADPQVGDANAAAQSNTAGQTTGIVTGVAAGFALIFTGIWYFRKKRLAASDADPL TEKSPSSPQGERISPPLSLSSAQMVGGQLPRQMWSDSMRDLEEQVRVAYVAEQREGGR AV
SAPIO_CDS4309	METEYGFLFAPEDRLAALQLLCGQIVWGEDWLLLAGLPWRILEK LDPKSRYKTKQTWATHLLPASILLGKPEIYGPLLPFLDAEKGIKSGGHDDDIKQVLST KSCEFGTPVYAAIVGNQKRLLRRLIAHDTPFLWAAAHYALLGAVRVEETSMLEYLLSQ GPPRRRTDLTIHKAIIKAAILNRPVHARMLIDHLTKKRHSRSRLKWAMQWGLHHACVH GNRELAALCISHSANPAQTTWLHPDTTPEYLAELEHSEPGCELVPAPICIAAWAGDME LLQWLTTYDVPLGPAIDARRAWLYDLHDAARVGAHRVFRYLVFEAKVLDLAAEYEAPD GYILDMMIVACKYGHIEIFDAMVEAGIPVDPPVQRPESD
SAPIO_CDS4310	MSNAPARSLVPGASEIVDVDDNTLDVGRSGSDSLHSATASSERR SPPSPDFAKSLVDAHRRGTPTPRPEGDRGGSEKKNMERIPSTTTIGSDPGCYGRPRTV NRDTSSLPADRSEEDDVCHPLQNGQTKGRLCIDFNYLEILMTSEKRRQSSPRVFPSLL PTSITSKSLLRVSGDRDHTNIQAGQSPLNGADAGNGLTDICQGRADASRFSFFSTARD STIYAAEFGDLAPPGEDIRQLFSFPKEDSDGFWWLNMENPTGEEVQAICNAFGIHPLT IEDITTQESREKFELFPSYYFACFRSPGRVVEADGIDYTPFNVYAVVFREGTISFSFV PNTHASHVRSRMATLKEHVQLSSDWVCYALIDDIVDSFAPAIDEIERKTDIIEDQVFT ARLDDDREFIRRTDMARKDIICVMRLLGGKADVLRSFIKRCNEDYDLAPRMDMGLYLG DIQDHVITMTNNLIHFEKIISRSHSNYLAQLSIDSLNQGDRANDLLKRVTIIAALFMA GYLIFVLFGMNIIRRLFDSGRGNKSRKKISTVVGKRRCTSGAMATIQGIEMGTATAWL LLGSRPSALDGLK
SAPIO_CDS4311	MLLTSALGILALCGHVGNSVLAQTLNDPDGLVNLRNLAQEIERS VPDNKFSTVNPATKSIDEVYDVDVDYGNYFDGDPAHAPEQTSTNGRRRAILFSNSSDF RNWTWDRDHKVHFVCHSQGGNTVRYLISLMAQGAGTLHRAYFTEAGRDDWTISVTTLG TPHRGTTVVNALESFLSDLGYDLILPSPGRYIPRKDVMPLLLPSVYAMGSQELTSAQR NILGPNLGDWYQNDGIVNTESMSGPDNFVRGINSLPDFNFSVAEKRGIYWHLGVNDRM DHADEIGVFIEQSTV
SAPIO_CDS4312	MKLQSSPLALILGLLVLSAANPVSIPARDGSVSIPNEKRGGVCG SCFKGPRPPGRGSGGGSIPGGAVGGPGGSRGPGSVASSQGNGGSQYGGASQQGSVQHG EASPPIQGEGTPPDAAAGSRDGTDSVPIGSDEGSSLPVEQNALRRGTALKARLDLASR NQPVPESKPILSKDEYLKIIPVDRWTRNVQSDVPIEASILNNSSQTGSMMWGTDAFRQ DGPDAITFGRYSEKIPLEDRPFTSEHQFFAWEDARVMNPLTKPLWWMGSLNIVNREGQ GIIEDAQRSLAAGQRPFVVTPANEPHWTEFLGSSLGGFRFHFLVDRAQDMGNIVPRRA FVYADASKGANPSSKDYHVMWQVGPAEAGPVSVKNRMLVMVRMLT
SAPIO_CDS4313	MAVVGNTILNIIGVGIGGIASIPALTSFFPPPQVENVALFDVNG DFIGKASSNEGIEAGGFRRLEVPGAKSPVYLKLKASGHNAVCIAWIHMTASTTDFRTW NAGPAISCGVPWYHSPAIFPGSDFEPPCMWMSDDGRFIDGMSARLLDFSFPGSQDASA LAQQWEEHPETLCRAPARQQFYNSTQMPGCVPFYPPTMPDEQETELGNDNPDDEPQDQ GLPEIPELVGTSNRLLRRQFIARREAVIEARKNAKREGQVCTDNLGSWGPDFISLSEM LYCDMCEKNLWPVCGDSVDMEEHPEAGMSGQGVTENCFDLGKREMRLSTLAVRDLDLR TTADIRKTWKNVDLWKKKRSI
SAPIO_CDS4315	MILTLEKIPINRALKSHPLDHFVLVSIDQFRQLKTRQSDEVIRA EGQLQPSIWSDCTAYVTRFLREGISIQGVHYNFYGHSNSQLKSRSCFLLAGPKDEVSR TVEALGDFSKMKTVAKKAKRIGLLFSAAQVATTVPYDRVEDISDVESPDYPYTYGFLN DEAIILLESVGIDRSILLRKQDEHFNLLASARTDFRAAFCFLSYINKPQLAEKVLMEG VESTQSQIKKFVNAEFGKMLNKKEEQKCRIFVRKSRLLFGVCDAWNVLKEGECAVKVT MEGNGVPYALKNTEVSVIRNPCLHPGDWQKFRAVEVAELAHLVDCIVFPTRGRRPAAD MMSGGDLDGDQFFVCWDMDLIPPKLSEPALYPGVREPLRFKPITDDDRLVYFAKYTNA SLGQVKNLYLGWARAIGPMSPECQELNRLFSQCVDGNRIKIPERLKTPPNPPPDAPRF ILDELHSRAKESIRQHNQAAAQQDQDWDGYEPDAVELLLAHDDIAMSEFEVFKLALRW CQRNEGSIEELLHLFDFNALTAEEKAWVICRLPPSISSPSLIMNALCSSNLLNESELS QYQLDIPRVRWKRVYDSERGDRLATFLNAATKYLELFHRKLIVFRPDERLTLAIYVPQ KIDRAQDCVVDDKVRLFAFPHSQGHERQSRLSLPTKMTYRLYSDDNIFQLFENARSNS WIFISRPGSNDSEYRNIADTGDRRRAKQATIDAGINFEIRASIALDKFSKGLQRHVGR EIYIISNRDVKSMQNLDLWLEYISTGERMPLVQETVKDYTIPKLHEILSPSNNPPKFL VQILKHHKVSVVQDLEGVDGFLLVFNSLLQLDDKATLLRIFDHLLVNLHLVRCDPILA LDAMVGFLRKAPFLAICFGRFPDYDKFPEELADAIRSYFPVILRAFILAANDASEFVV EPFKAMLARVPDGMMLMREFSELVRLASLTVRSVELVMDLLLACLEPESERVLSAAPE VTHHFVRNMIAIALDHVGEASEPTKKPRRYLQLRPTDKEEDGYPIIEVTFRIDAPGGT PERSSHFATEREQCCQVASSILGMPSSGLDLEVDLGNGWHPLEKLNDSQNAAIQAALV HSLMCLWGPPGTGKTETIVETICALQEAHKGARILVTAPTHNAVDNAMRRYIQRMKER SHTGGLRALPLRVSTEVRKVAEDLRGYTCDAMAEKEVHSDYKAMNEARKRVKESKMIF TTCIGAGVGLLRSENFEIIIIDEASQQTEAASLVPLVKGCGKVILVGDHVQLRPTVQQ HAVAVGFDVSLFERLYKERGTPRDETEDTQDDVHHRTSGIQRLMLDTQYRMHPEIFLT PYTRQADLLKRLTSGFSGIEVSSIDGFQGREADIVVFVTVRCNDHREIGFLKDLRRMN VALTRARAGLIVIGHRGTLTEGTSDPESAEMWKRLLDSLTHVVIELEDGKQGP
SAPIO_CDS4316	MMDSSHDKTPKDGELADVTPALEEVQTDDGTGQEQLRRHRWPSL STVIYVLVWVVMTGWWIAGLILHRHDLGWLIPFLVWLGLSIRIFTLVFPLNLAQNVLP LLPTRKISSAIDSIQPRYRLAFLALLTLAVVLAGTFGTQETPSNTRADRAVSLFGLAV FLTMFWVTSRNRKEISWRAVLMGILSQFLLGLFVLRTKAGYDIFNFLGFLAKSLLAFS GEATTFLTSASAVSSGWFLIVVLPPMIFFVSFIQLLHHWGWIQWLVGKFAAVFYCLMG ISGAEAVAAAASPFLGQGEAAVLIKTFLPLLTAAEMHQVMTSGFATIAGSVLSAYIGL GIDPLALVSSCVMSIPAAVTISKLRYPETEEPLTKGVSRIRVPEDEEGKAFNSLHAVA KGSWTGIKIAGMVVASVLCILALLALTNGLLSWWGSYLNIDDLSVQLIVGYIFYPIAF LLGVERNGDLLKVAQLIGIKVVTNEFVAYAELTQNATYAPLSPRSRLIAMYALCGFGN ISAVGVQMGALSQLAPGRTGTVTQVAASALISGVFATLTSASIAGMLMVDRE
SAPIO_CDS4317	MAQPCLRRFPQYSRNNVLVLLSVATLLTGLVNIVAWICVLVLDL KLAGSADPAVFCILVANVVPAVTFSLVAYYMSSKSLGYLVAVGGLTSTYAFLMGILCW YLGGPEGQPNHANMRGLVASVPKASAFVHALIISFLREKLDDDPIDDEAVRGMTHVLL GISLLMLIWDIALFFFYVGLLPMS
SAPIO_CDS4318	MSSLESGVPIASLSRTYQKALRLTATLGVRYLWIDALCILQDSD EDWLQESPKMALPAIEGLARYCGERNCDMGTYVSGLWENSVHQGLLWILGQCPSQEVP KDPRGRPPAPTWSWGRWCGRIHYPRDLSSTSPVLRLVDDPPLQSPPFWEGNETLALEA PVCEPRGNTAVKLPRNIMFAHYPQNSTPLYYVPNDPLKVLILDNEERSKVALGNVLLA FVAKNEVKEYLYDDNHDTFLEKVTCRSYFLLLKPVASKPDHYRRIGLGWEFVTSTVNK RRRQRIFLS
SAPIO_CDS4319	MYTEPALARFPEYGTYTALLAGATFLVFGFDSVAYLSSVLLRLK LEGSADPFIFCILVANALPALTFGFVAYHIPSKSLGCLVAIGAFVRTYFFLTVWTLVV LLIALIAVVIYSRSFIGHWMPTAEDLEVF
SAPIO_CDS4320	MGNSASHATPGLGNEAAAVLITPLDTLAAQPIEPGQGTPSSSVH ASQTARDEPVPLVPPGGRDAFISAANKPLIEDEERVVHNVVSHQDSRPVDFLKLIGLW ATSMGQDETGEEFRVEGPTSMAAAKTLGRGLSFSVSLVSIEDQIQRRLRLGSPFVVYK KLRHLNDNLDVIERGKLLRAVLLEVRALTHRPLRTHDNIARLLQLVWEPDPDFPDQAW PVLVMEYAENGTLADFQVDYPNLPFSVKKKLCRDVACGLSALHSAGIVHGDLKSENVL VFDTGDETEVVAKLADFGCAVMDLEPSDTAAIPAFTPPWDAPESYDRLPRDSLKFTDV YSYGLLVWRVTLDGANPFRHVNTLALLDKAEFHRQVRTLKVEDRVLLLAKSTLKDPFC STEESYIITDVLNCTLQLDASKRDLNRSLELLHGVSWLKPSSIEPLMPYEYHNVDISV LADAVAPMCVQLSVIRELETLSTKHGAIGQLARLNLFELFVERLEWPGAKCSAAKWYR RAIEEDPTAAAARYALGFFELLQHSYPRGMDLLSLYAAFARKGCYHSLMELRQRFPSR FLALRKDYRRHGGLLRREEKHDIFHMHRYDAFEGYFSHVLAEEDNEGFKLGEMLVSKN NDGLLHAAARYGCASAIDHLLSLGVDVNITNRAGETALFQACRAGSLEAVEHLLDNGA NPAIAAANGETPLHWVFAFDDGDVERATHLLLLNGGEACLHATIAAGDSSAVEYLPLY GTPLHFAILARNETAARSLLSAGADPFIRCDHELVGGASHCTPFKLAIRELLPSIIKI MIVSPTSGPRLGGYLASMKESILHFAIKSSSKAIRRVALGAKYVSVLIETIDLLQTIE GKERPLEFGMSLLHASIVYGLPVEVIEHLLETGCREDLELVSRPHRHTPLQVAMHLNR EKTFLLLLKYGADTHRKLQFPEGVSYLHFCAHLGPRGVFYAKELIARGPIHHEGGEGP GSLSQFPPPFFALVMGNFDLATFLARGSGSTNVVQLNIDVFWLLYRFFPRLPVSRLRY LLEPPAGLEPRKLIGQPTLGRTCFHSIVNHHFRGELELITGFRYLLKQSELRGQRGLL NQPDAMGMPPLVTAITFGRLDIVGEMLAAGADPNLGAMTSVNWAYLFLKRLQKSPSQY YVPSGGLPLSRREARWLQDDFKSMILLLKQYGGQEMRMSNGMIDFPYWSYFSRYPKLV LCASRDEYRRGREYGKQLIAQHGVWKGLQEMWKHAAAERIRTSKKNTLGPLDLLASSV RSSWVRYQEDLAKRERFSTGDDVAAGASETHTPGARTGGIASGVGSLANFALWYFSSP ATEIEEIEPGPQASHLQGQYQGKPQQSIQYSPISADPGQNRDPHRVPTPPLAGRFAPG VISPLYPAPQPFPRPHRVPIQPSAPVQHPPPHSHTHTSAQTPESHIHILAQQLSSILS SPALNPGELHARIQQCLDAHFTQAGGGALSQSANTDPGAPLGSLSVYELENGLNRILQ GRLNVTVAKRDGAIKAILYYPGETSSGIPDQVKAFLSDGWMGWRELEEKEAEILSCAF ERSMGL
SAPIO_CDS4322	MSTESSPEGSGPSPRRPSLSSNRSRIPPPQSPRPQDADNSAAEN EIPDFTTPITVTSSDPTAYATGLRRRATTATAFRPYENYDDNDFNWPGWHPGSEPGVD PAMPHGGHPSMTALNTLCEITVVDMSQDRVEVKNFENDDFIEFMKEEEPEWSQCRWIN VNGISWDVIRAVGKHKNLHKLAIEDILNKFNRTKCDWYPSHAFMVMTLQKLVRVIDPD ESSDSDSDWDDLVDRNTSSISSAASVKSMPVRTFQRATQKVKRFFRRNRRNSLTDAEK DLPPNSTNDASNNNNSSGADVNVLSAIDVMPNLLSLQRYRASNLARSEFMERHSSLSS RGLAVSAEQVAVFLNSDNTVISFFELSADDVEKPILKRLSTRGTILRQSCDASLIVQA IIDAIIDLAVPLTAVYQEVIGDLELDVLTTPTIQQSRSLYIIISEINKMLSFLNPIDN LVSVLRDHRTNLTQEQLTTTTVLQNTGTGVIVTPLTYTYLGDVLDQCLVVSEALQQSK QSAENLINLIFNTISAAQNETMKQLTNATIVFLPLTFLTGYYGMNFNDFSDLDKSTRF FHPHRCCYHRRPHEDSSALMGHIFRPEAAYQIDEEEEQETEEGGQET
SAPIO_CDS4323	MPPSSGRNSPSPTDGLIAASTSSSEAELKLPPTPSSTSSDFRIS DSDDAASALHLGQIGPIDGDSDDENDEWQLLPTQRSKTTHPLAHKTHARLADMGRDYA ENALGLRDERDIRHFRMGAIAAGLLDRAKAEGASAADLRDMYDEVEGLEEGEKMALVR EGTHKWRQPLMLYYVVANETVVNGAQSIYKDQFGIGGDSYRDTWLLGLTNAAPYLCCA FIGCWLTEPMNKVFGRRGTIFISCAVSAFACIWQAFTNSWQAMFVSRFILGLGIGPKS ATAPIFAAECAPKEIRGALTMLGYIADLAFLDVPDNGITGLAWRLMMGSAAIPALVAC ALVFLGPESPRWFLTKNRHDQAYHAMVKLRSTKVQAARDLFYAHTLLKTERDIEGAKS SRIGELVRHRRNRNALLASEIVMFMQQFSGVNVIAYYSSEIFLSANFSHRDAYTASLG FGIINFVFAMPAFYTIDTFGRRYLLLATFPMMAAALLFTGFSFLLPQATVIQIVCIAA GIYVFGMVYSPGAGPVPFTYSAEAYPLRLRTLGMSIATATTWFFNFVLAVTWPSLQAA FTNVGAFTWYAAWNVAGFFMVLFLVPETKGRTLEELDAVFDVPLGEMVRYGKEEVGYW VQRRLYGRKEAREPAVPRRGDRRRDGVIPLPAHLQTLPLTITTMSVAEDLLEWAAAKG IVLNGISPQRIPGQGIGVLATRPIKAGEVILKVPLTAFHTHTSIPPTISRKLPRISIH GLLAASLALIFANDDYPTAPWRAVFPSLSDFRASTPFFFPEELQLYLPGPARDLVRAQ REKFAADWAIVEAAFGGVLSRESYAWHWFLVNTRSFYHVTPSTRSLHPSDRMVMIPVA DLLNHASSGCKETFSSSGLTITADRPYGVGEEVYICYGRHSSDFLLAEYGFVPQNNSW DEISLDEVLEPRIDARGRKVLEDLRFWGRYRLDEGVAGCYRTQVALRVACGVGWRAFV DGWESGREAQRRVDAFLGEEVLRGYLGVIEGRIEELEGMDVGLREQREVLLRRWAQIG VLVARTRQRLKEGK
SAPIO_CDS4324	MQLTTTLLTLLAAAGVSAQRNRWRTTQAPSPTPPPPPPPRGATQ SMWGQCGGRDWEYATACVSDAYCYNDGENEWYSQCVPLENQNNPPLNENPDVETRILT TIFDVPGPTPTILTTYITIYTSVEPAPPPAVTITVIPDTPIQPKQKRWDA
SAPIO_CDS4325	MTTARDERNHQEWYGFDTGPPPGANAAPPPGYYQNQPPAYQQQP YPTQRPQWVPVTQVRRPRRKWSRTNSVLRQISTVLPIVMIILISYLYIRAHYRMPMDF PADNIIWVPLYTVLPLSFANLVWSVVIIAVARRAEHRGKPMPAMLQFGVELVLAVGAM VCFAVMVWYLQKTWDDYSLVSSTHSLGWLLGLQMIASTALCVIAGLKMKNERKERAQQ EESYVIR
SAPIO_CDS4326	MKTSTLILTLLASSGAVQALAVGRPSAEKREEVEIVVPAFIARR HRDAGNFGGFSGFGGRGGFGGGRGAGGAGAGAGVGAGQANNAAQGQQGQEQTQGEAQD ADQNQGQDGQGQTQGEGQGQDQGQDRGKDLGQGQEQGQDQTQGQDQAQGQDQTQGQDQ TQGQDQTQGQDQTQDQGQDQGQGKDLEQDQTQDQGQGQNQNQTEEQGKDQTQDEGQEK TADETQEQGQDETQDQGQEQEQDPSQDQEQDQDQGQDQAEGQDQEQTPEIINGGRGNL TTPAPSNRGGTTGQGNRGNSNGGKVTQSNRGNRGGQTQGGRGQTGNTGNTGGNTGAGR NTGNTGAGRGNTGNTGNTGGGRGGNTGNTGNTGNTGGGRGGNTGNTGNTGAGRGNGNT GNNGGANNGGNNGNNNGNNNGNNNNGNNNGGNNNNNNNNNNRNCVNPQNIQANSARTG QEPGTEGVGDGQSPASTDDANFINFCTGQTLTNGNQITSGSCNPIPMGQIPARDNMIS TIITFPLPGDTIRANSDFTISFQSNHLQAGSFTNPTVTYYSSPQELARNGDIIGHAHI TIQDIGSLRSTTPPDPRQFAFFKGVNDPVDANGLLSATVPGGLPAGAYRACTMVSSSN HQPVLMPVAQRGAQDDCVKFEVVNGGNANNGNNNNNNNGGNNNNNGGNNAGNNGGRGR GRNRARQ
SAPIO_CDS4327	MHSFEVAVILAAVGALAQTSTEHNAAIVTDSPVDARYIANFEGT ITGRVLAGSDAFGIGVTFHIDVSGLSETDGPYNYHLHEFPVPEDGDCAGTGSHLDPFG RTQEPPCDGDWPETCEVGDLSGKHGTIEGTSDSYSFHDLFTSLNPASDAFFGDKSIVI HNNNGTRVACASFAPVPKENNGEEPVEVVPEEPVEEPEPEPEEPVEEPAPEPEEPVEE PEPEPEEPVEEPAPEPEEPVEEEPKPEEPPAADDDECEAEPEEPAPAEPAPEPPVEDE DEECEAEPVEEEPGEVVPEEPEEGGEVKPDVPADGDDVAPDVPDGGDDVVPDEPEEGG EAAPDKPVIIVVGPIEGGEDGEDGMKLGP
SAPIO_CDS4329	MNRTRSTSTEGTYIGSAESPTALKRPPSSAAPSPTPSSAILPPT ASRPPSSLATTTTAKERPKFSSFESQRIEPRRSRTPSQSQATASSSAQQHPAPRQGQQ QQGQRVIAGPAAAAAAVAQEGTSTPRGQERDARLQPEVKAGDVFRPDDENPSQFTFYR EGTPTPSERSLEVGRGVAGEREKRRKSRRASRRARFSLATVGFPYIERPGGRRDDSDD DDDSDDDEEGDDDGRRRRRGAAGAGGCCALSRTVVWALLITAFVIIVGLGVGLGVGLG SQSKSKSGGAEAATSDPATPTATPTSTPFTASTSPAPAPITCPASNRARYSVPASSSP SGSTNKSFLIFCGIDYTEGTEELGEVKASDMEECIDSCAERENCRGCGWGFIVGDVDE RYRCWLKGEIGLNHVARQNWTFALLQEE
SAPIO_CDS4331	MGTNVQPELPGLESPEQLLDDDFDDLDVLEAEAALHDETNPALE EPDKPKDGETTAKDVALKEEDTHDTLKYQLLGPSLTKAGQDAVDQTKVAEIIYNASRG SKFFHREEARDKLLTEKIDRILARQRTLTEPQLTRFRREADALLEQLEATRDLSQYIV HVDCDAFYAAVEELDRPELKEVPFAVGKGVLTTCNYHARRFGVRSGMASFVATKLCPQ LILIPNDHAKYRAKAAEVRDIFADYDPRFESASIDEAYLNITAYCAAHADDPRGEEGE ESSGGGDPAEVVARMRKEIHERTGVTVSAGIAPNARLAKICSNVNKPNGQFVLPNERA EIMRFVRDLPTRKVNGIGRVMERELAAVGIATLGDVYEKREYVKPLFGEKAYSFLMHA YLGLGRTRIQPAEEYVRKSVGTERTFRAIKDPGELRDRLRKTAGDLEGELEKAGVKGR TVVLKVKLHTFEVLTRQVVAPKVVWKADDLYALALPMLVKLEEEVRASPVGRKDGFCI RLMGIRCTHLVSSKKPDTAAFFGLRSKASAEEDASSKRRLPELDSDGWEKWPEEEADG DDNHDVRPQTSNNTEEPPPSPPKPQDEETEELWDCPVCARPQSADERLFNEHIDLCLS RQTIRDAVQEDGVTAAAVPEADRAPPMETFAKKKRGRPKGGGVERERKRERGGGDPRQ MKLFFG
SAPIO_CDS4332	MESIEVKQNEVAFPLPLRNAYARDVPKMASKSRLNTTWSALLSR HPHLEALIDDLRPWLPPLNFVTLHYIYFVLLSLLFSVVFWISSDVEDREDVSYVDSLF LVVSAVTNTGLNTVDLSALTGGQQGMLWVLMTLGSAMWVSFWTVLVRRHKRVERMRLA RVRKGLDGGFALADLRGGGGGGYGLGGLGQGRRRERGSSGATTLSSVVDVPPMILRKI MSKEKEKVDEEPDVGAGGLASGVGSGVSVADGHGSLTAMLHHSGSTGEDKSHHHSHSH VHFHPSPFDNPSDDSSSRPSSSPRAWFNFRKLFPAKKDKQDGDRDPASQLLLLESTAA TGPGSESERLAQRDHQALNLLALIIPLYAVLWVLVSALILGAWISRHYASAVEEYGGY GVGAWWAGFFYAGSAFNNCGMSLLDANLVPFQHSFLVLFLLASLILAGNTAFPAFLRL MVWCMLEGLKLLTPERLFVAEKATLLYILKYPRQVYTHLFPARQTWLLVGMLVATMTI DWVAFEVLSIGNPAVERIPVGPRILDGLFQAISVRGTGFYVVPVSELYTSLQVLYMVM MYISAYPIVIAMRHSNVSEERSGALAMHAKFDAVSSSSSSSATSDDPELGRLLPSSSS QPPQDLVLTSPTATATHNNPLQTALRRFTSILSARPRRNRDHHTSSRPSILGQKIRRQ LLHDLWVLSASTILITLLEIYAPHASDSDPMDHGDYHRPPVNPFHVAFEVVSAYGCVG MSVGLERASVSLAGGWAVASRLVLVGVMLKGRHRGLWVVLDRVTGVGGDGGDGDEDEE EEEGFEEN
SAPIO_CDS4333	MAGVPQNCYRAITATAVDAYIYNSGSDSEPWKAVLYGGDIVFGG AVSLSKDPDRTQGLHRRLVEELWVAIVLRIRGTIGFGHKEKSAAFLFHFEVGAPWERI GVVTTNLEHRRPPDARTECNVLLNHPHDCHLFHLNPPSLSQLFHTHRSSTKAMKLLAG TIALLATAAIGVLAADEGIKIDVTNPVECERKTKKGDKIEVHYRGTLASNGEKFDASY DRGTPFSFSLGAGQVIKGWDEGLLDMCIGEKRTLTIPPEFGYGQRNVGPIPAGSTLVF ETELIGIAGVPKPEKAPEKASDEKPSEEKTTEEKEKDKRDEL
SAPIO_CDS4334	MASNSSNTYPSTASGSTFYSSGSREHREYAAAFHTSTSTTTRKA VVVQHNKGIEEPFAPTPSSYGSQAYRETASTKSRSSSQR
SAPIO_CDS4335	MSDPEVHRKLVEEHAIDETPISPVRSRDPSRRNSLEHHLQTRPS REELIGKHILLDTNAAPAIQGQQKELEKSMRADTLKGKIAQRPSPDKLVNEGVLRDDP RSAEKRYEEAIEDEYAKREGGA
SAPIO_CDS4336	MAESLAIATAVVQFVDVAVRLSCKLSWLCSELRDVPQWLHTLKS ELDQQIAVGQLCQHTYATTLDLPALGVNSANGNTAPSWGPLEDYLRTMEQLSALIEKV SHDSATGKIERSWKSLKAVYHRRDITILCETLVQKKGTLSLWLTSINANMYSEIKEAV KQIAPGVAKAVDQTTGQQVQLDRMSQQIDNIHNLMRNNTSRETEVLGIVSETSLTVGA LIREYQKVSLTLPMLDRHMSLDFAALVRYLLESGANPNTIWTNPWRNLDSISYCWAEQ QEQPLRYDNRSGTALDQFAWELVRSCGEGYEQDVGNTIYMDLVHSDGYFSRPPEIDLE IHPYFWFGMFAIEHRQLETFPEQFEVSGLGEVARAVFRRSEIELERAMQRGDLDHASP TGLTAMHLAIFWPQALRRLIDAGANINCEDRHGRRPVHVAVSCGQGEAVSMLLKADCA IWTLGYSGSLLQESLSKGRSFDHITELVIDALIDRHTRLMNLALSILPQNLALMKRFV GNELREDLAPLVYQELSTRGHHLWQRDFGEVDSAVLTTMVRQD
SAPIO_CDS4337	MALPIVSIAFIVLAALAILELGLLAYVADAFRSFTPSSVAFSIF NTIWTLLVAAYFLATPRFAPQFFHKLVGLALLAVSTIFWFAGAVAMAAYLGVPRSCSK FNACRTFQAGIAFAFFIWAIFTGITALEALDAVKNRSAAPADVQLEANKPQPSAAPYP AA
SAPIO_CDS4338	MRIFIALLLAAMAQLALGHLPKHRCLMYLTGQHPVVPEYRLTKH ITHVALAFLNSNLFNEDQPPEKWPIFATPDDLRDKFHPGTKFIVAVGGWGDTAGFDIA ARDEESRERWARNVARMVEELGADGVDVDWEYPGGNGEDYKQIPNSQKAWEIPAYPLL LQTLRTHLGPTPLITAAVPGLERDMLAFTPTTVPQILPSIDFLNVMTYDLFNRRDNIT KHHTGVRASKAALRAYMRRGADACKLNLGFAFYVRWALTEGACERGKEVGCPTGLMED PVTGGDLGRAGAFSYHDEVPADLKDSYERALSEGTYDEIGGGYYYWDEEEKRFWTFDT PEAIAPKFEVLVRDLGLGGVFAWGLGEDADEFRHLRVVDREMGRLWRDRDEERREL
SAPIO_CDS4339	MKRRSPGPRSRPKDTLRGLASLLLLGYRTVRTNAQNKGRGVQPI HEGEGDINIFNVPGDFNDFLDFRWNDHAYTNNRNSGGARSISDEDSEEGYESSEERRG TLEGTTRNPGRTISTLKEPI
SAPIO_CDS4340	MFENVCSLPLPGDVFAQAVHPTEPVVTVGLYNGRVQCFRLPPPA NGGGASSGGEEEEDMETSILSDGRATIESVWGTKRHKRSCRALGYSPDGDLMFSAGSD GIVKHFSSTTGRVISKVLVPKFGNHDDAPSILHAIDPQHLLLGTDAGALHIFDLRQDG LAAKPARTSFPHTDYISSIVPLPPPNASQGSSPGADPVFPKQWVTTGGTTLAVTDMRS GTLVRSDDQEDDLLCATYVAGIGPKKAANRGIVAVGGSTGVLTMWDRGSWDDQQERVI VDSGRGGGDSIDAVALIPEELGYGKKVVAAVGDGSLRLVDLVRREVDVTATLRHDDSE GAVAVGFDCFGRMITAGGAIVKIWEDLTELQGNGAEDDEEEESDEEEDEEEEEDSDDD EEEDGAEDASGKKRAREEDSDEEDSDSDSEEERDRERRERQRKRREAIAARLGPMGAH GVLRFEGLD
SAPIO_CDS4341	MRLYRTNTIAVFGLLLFLIASLASAQQCQGDQYWCQDRCGTDVD TCCETPDGQHNLCGPGPPVPSPSDPSHVGEPDTVITTLTSGTDTLVTAIPGPSSGGTS EDETVAPSLEPSSQAADHSSTGASSSPTEKPTEGPPGEPDQDPTQEPNPGTPQGPTQG TKQPNEEPSQAPSQESTKEPTQDPTQDPTQDPTQGPTQEPTQEPTGEPSPGAPQGPIQ GTQEPGEEPSQTPSQELTKAPNQEPTQEPTQEPTQEPTQELTQGPGPGTPTQDAPKSD PSPPTATENSSDTIPTITGWPESVTISPVPTEVDQPRLESEDDGEDDDDDDGFIAAII PCKLWFFNVCPKFNNIDFGGWRLPLPPGVYPPGPPPIKLPSPIQIRGSLPPWPRFTVG PDNLPTFPPEPDPTNCEIQTAELCYATTSYVVSTLENGAVETVSSVVPPPACTNIQGC VVKDADEESTVTHTAECPTETVTDVVITCSGTGTTACSTKTEVAKTGCSLTATTTTVS CTPAPSGGNTRKRDGDDSGPFCQTRSGYSIWPRDEKNLDEAKATWDQMIAILGDGGWI ENLQDRKFTVDFWHATLTEDEAQAIREMPTVSGVYADCTECEYDPTTAWVYQRAFQRI PISKDPAEKRRFGIEQMAYLSYPPSKNRKFENTYVFDDSAGSDIPVYIVDTGAQLMHS EFIEGDNIADKVNWMFVEPMDFDGPARKDDSATRVDGYCDPSLVKCKTHGTSMLGFVT GSYLGASKNVQPWVVRVPRKREGGGGATPNDWLRGVAMINEKITEKTEVTQAIVSLSW MFGVGDIVPDSRLREWQKKLETEITQLIEKGVFVVTGSGNSAIIKGWPALFGAKEGSM TVGWPQPQYYIKDLLVVGAANVLTGDIWGASGVDVEKGLPHVYAPGENIVGVDGDKRN WAFKDRGSEYRITEGTSVATAYTAGLAAYFLKMHQLGRLPRDNAGNQPDMSPGGLKRF ILDKAWPRVNNPKLGPVNGIWNAVDERTALPEGWCPYERARAAMRIFRRQDQGDTFVL PDQCILGSDPPTGDSGNTDGDGDTGNTGDADGTAGAGGTSTTAVAAPAPTGFECTEET ADKCAPAVICNGIQRNGCVNGKCVCVMPELECTEETADKCAPAVICNGIQHNGCVNGK CVCVMPDLPTTTFATITTSRKLTAPSPDVSKECHIHIRELGWSRAHKVKVWVDAHVNG EFAGESGVLSTEWGNYIWADEVG
SAPIO_CDS4342	MPGLISATGVLAFLADEEPELKVYALQTLNDDVDTVWTEVAGSL SEIEALYEDESFPERQLAALVLSKVYYHLQAYNDSMTFALAAGDLFKLDAPGEFEETI ISKCIDQYIAVNATEHVPKASRVDTSLPILADTFTTGADGSSLISPTTPFSQTTLPPR SLLSRASVDNAILDPSFQPIGKIGRHGSIAYLPDRTTRAALEAVIERLFSNCLKQKKY RQVVGIAVEAKKLDVLQRVIKRAKDDASGDSATSAEELMDYALSICLDIVQERGWRTE ILRLILELLNDIPNPDYFAIAKCVVYLDADQEASTLLRKLVDQGEQASITNAYQIAFD LYDNSTQEFLGKVMKSLPSGEVKPKPHQATVADEAAENEPLLADGAQDSSAADDTEDL PEDVAKVYKNIRSILTGSKTIQLNLEFLYRNNHTDLSILNKVRDSLEGRNSIFHTALT FCNAFMNQGTTNDKFFRDNLDWLGKAVNWSKFTATAALGVIHRGNLSQARKLLEPYLP REGGPNGGSIYSRGGALYAYGLIHANHGAGALEYLKTKFVQADEEVIQHGGALGLGIA GMATGSEEIFDNLKEVLFADSALNGEAVGLSMGLIMLGTGNATAIQTMLRYARDTSHE KIVRGIAIGMALIMYGRQEGADVMIEGLLNDPDPTLRYGGIMTVALAYCGTGSNKAVR KLLHVAVSDVSDDVRRIAVMSLGFILFRKPGSVPRMVELLSESYNPHVRYGSAMALGI SCAGTGLDEAVDLLEPMMKDPTDFVRQGALIALSMIMVQQNEAMNPKVGEIRKTLKKV VGDRHEDAMTKFGAALALGIIDAGGRNCTIGLQTQTGNLNMAGIVGMAVFTQYWYWFP FTHFLSLSFSPTSIIGLDGDLEIPDTKFHCATRPSLFDYPPEQEAKAEEGPALIATAI LSTTAHAKRRAQRKERALRRESMDLDVPAVKPSGGAVGAEDKMDVDEDKEKKEDKEKK DKEDAKDEKKEGESAAPDAKKKAEKEKVGYDIDNMSRVLPGQLKYISFPSGRYKPVKK PTGGPILLHDTRSGEEKTLVEEKLKKVTTERAPVAPAGGAGRRERGGLTSTNPFIDAL QRQAGGDLTLSELSNLFRPARETPGAGASTTAPETPAPAGGAAAAAGVLTAVDEDAEG EEEATVPKEFDYYTDDEE
SAPIO_CDS4343	MDLRGILNTSDSGDRPNAAASTPKQQPPQRPPQAQLQQQPPHPP PPQYQQIAQPPSTPSQQKPHFQYDYPHPHQSPAKHPDYPHPPQRQQSMPYPAQSPYQS PAPYPPRPGPPQGLQEQGYPAVQSPVSTPMAAPPNAYNRASSTPLAAGPPPPGAGGSY FPGQHTPTEMRSPIQQHQYPPNAYPPRDGPYQPGGPATAGVPGGPHGPGGPYRQQQSP VLQTPSTPGAPSAYPHQRSQSTHSLTNMSTPTSSQSQHAPYGASFHQQPPPPPQQPQQ RSPVATNRPPISFNRQQSQPPVPSGPPIPPPSRQSSDISPIFGHPTSPHLRRLSSAGP GIQQQQQHAHPPPPPQQLPQQRHQPQPQPHGSPLPLPTSIPAGAGVANRIPSAHTSPI QQASELRRTSQTTSDRGRSISVSPKTHVHKLPNNSTHPHGITPSPAADIHNNAASSQR QAAVVAPARTDRAMTPAKRKMDDRDTDDDSDRRDVRQRQGSIVNGKAAPITPRQQQPP LPRANSTMAAAPAPTPGPPPRRPSLSPELEKKKRKPKPKIYTEPPIWAQSARNPSIKL KRANFEFPKKRHLANASTSSVNGRAASFRPERKSRNTSPEVTRPQGGQQQPAPPPPQA AGGPTPAAIDPNAEITAILGPWEPTIANVKPLDELNKAVADFLFLNVINSPDAVEIAR LGIAFEIEAKLGTVIDKDTNDRVAYPVGSECLLRDRGQFAFRSSMTEAQHKGLNEYLN RMVIETDPRNPNPTSPVPRVQVHYKHRREEDRYFELPPQLRDTLPPCVRNLMRPRHVP KARVTYDQRSRQVLAKIVKVRVADLSIHMPNSPLDCRISVNLEMNWPGPIEELEQTGG GAGDRANMPPRQKDRLSYAQGSIQVDLTQVTTSMSGPGGAPRAGKEHELEIELNPSTL IDQGRRLMNHEPHKFPELIESFVDNIRLLSRKTNEFVAR
SAPIO_CDS4345	MATPPPDRQVADGEAIEKAVEAIRQRWDATVSHTSSTWQRIYTE IRDKVNDPKGSLDDVLKEYFLPSPERDLLLPATAPKYLVKMQEDNTRRFMTLMATRFR NVKIDLPFKLTMAYFGLPVEPLNPELFSCLDEDVTMVDCAGLTAYDTRPPLHFLTGHE TRNWGLDPLHGSEAEYIYAPVPEQYVVIPTDRIEGTGEGESTPLKGTPKIKVPLLTPI SPDDEDKSARGRLRGGAGSDTESNLFSDSDDARDKDKGKGKGKGKGKNNDSDSDQTTG ILVKGRHARILDAPFAKDKDIQAASASGPWLWMCGYQGGYPFIGGNGESLAVAIRALL SLTGKQAQKNVVVSRFSVADKRWMEHIDVSLPLRADSKLYKAITAHTIAYNLRDHTDC CAWFVRLHTDAEPPAHAFEPGVFDSLWQIVVLQATRAVPGAPREPEAYFALRHDVGPV LGKACARSSYALFIRSAMQLLQGGYLSPGDGHTGVAVSDGIEVKLADAMTNYGGISMP GKLFSKLYRRSLGSPGNASGPRNEWQVQIDSLQENEIVFWMPGYESREKREYEVLKVE GDRPYQNAWRIVNLVLARYFSLKRLRVLKPNVAFWSGWQVWNSRTPFDPAKGPAFQVQ VGGLERNPDNEELITPAWAPEIEKMLGDALRNSRERIFVLQPIFKKYILYGSWNKEPP NDEGGEEDLAKYPCVEMEPTASMEDLWGLIDKLVDNTKNPEGEPKTPEDWSNIWVELR SLPLWKYQMTYGSVVISMSTTNEEFDLLRLHLIGPYISVTLHDNPIIDYSTTIQPPAT EWGQRYGLISLLGCGDRETAAAAIAPKPQGVEESEEDAGADADGEDEGDGRFNTKGRP KRGALDPRDYRESLWARQPSFFDSGPQPRVPRGGAPVESLLRVGGPNVPQVSRAVLTP TEQRKLQEAFYAMRNTKLNRIIKCHYDKCEFTCRVDHEAVMRKHLKKEHVVEKCPWCT VLFPPYWTQERREKHIFKKHASRVTKSKTADAKTARDKDGDVQMQTQEDRDREARGLD AHWVQPVMHPKEPPPAPVKVASKSETKYVFCDRCGREHAKFDHIRDRIVHDAICVPGA RAVGLFTWCGACGEKSWDTGEAANKFGEGDKFPHRCKVDTEIQKFCHKCGFRGDDPTP VAPATAASAAPATGGDGTVDNPEAEPKSYLTRHIDACQGFKGKLAAVCPYCGYKMSAI VDWKIKQTHIVECARHNGVEPIVTPFNLYPEKYYDNKPSGPDPAQDRFYSGWNTGKGY VPPGRDKTLWELAVGTVDRNKPDAGPRTMKKLLEEIQREQGIPPGVVLTTKQQYQLFQ DWARKSFPGQWDPTDLGLPEEKNSAGRGGGDKGDGDKGDGGSGGGGGPGGGGSVGRNP EEPTSEDEEERRRKEEKERKQQEEEERAWEKREEERRERRELKENIESVSDDEQEKAA KRMRREKHAEERAKRVEAEDAPEANVGLKQFKSRFNSLNRDFDESQGYPWTGFPWDRV IYVADDRPVLSCPLDAECGPVDNRNRRLHPMQALMSHILVHHGIDYRKPYVHEAHTIR LATLDHEGWESPENSDEEGSVDSRNELYGLRVREQYYRRRERSPDWNKVLPPPPVDWK PTAKMRCTRCLRKRAAVNARADYPANETQFGFHGIAAHRSCETRYGPGVSVWGEIGLP NRSGWIDEAEFRRTRMTLKELKIHFKEKYPMYSGTIYPGTGTGTSNSWAYDPNSEVFS TYWPLVWPPFEGDVPQDLATAPILHHDNYDYWTDVSEPEKDDDDKDGDGGGGGAGAVA ATITVDGMDECSDRSTEVTCGEVGSAGDDVGTETASRKRKRISDEDESEETGDEESGE DEKIEGSDVGTAAAAIDDVATETANRKRKRTFVEAMGDKCGPWAETEKVYSENLEPGP DGVRRDNSGPVRRNLERLASPQNPSGTGLLPRDSITVDPEWRPAKRVRFATEFAEPPA AEDPGHAGTAESDTDSMGCSQPTTEADSGED
SAPIO_CDS4346	MASNDTIPPMEGITPTNEDGQGGDVVGPNDGANQVDTGMNNVWP NIGNDVIEATTTVQPQQHLPGQQPPLDEAAQQGFPPQSLLSPFVSWDLLFQDYAVPGP FSEMEGENDAHRQMKQAEALRETYEQNIAEAFERLGPTLQVPQ
SAPIO_CDS4348	MATRTASNLLEELCQRSTLDCDTMDLDFVKEFTAKRGPFADCTS NQAIAYSEASRTDSNGQYVHADLIKQAAEYARKVQAESGSGDISVEALAVEYLIVKLL LRFIPYLTGYVLFQIDPKYAYDTDKIVATAETHSSPGILAMVKKSDPDFDSKRLCFKI VSTYPGLAAAKILESKGVAALGTALFCMEQASLAGHMGCTYISPYFNELRVHFDKDFQ DQHKATSLCRDAAAYYSAHSQKTKVKAASFVSADEVMHHAGVDYITVFPPVIKELSET PVANMPVEEVGKYMSSSPDETAWKGYEEAAAGGREVWEEKFNGSGGGENARKLREAIE TFSGEQKKLEELVRKVAG
SAPIO_CDS4350	MYNARLSMSDYYSDVQTASGPIQLQTEQYEQYMTAGPAMQEAVP AQQQPPSARHRPSSGAWSKEDDETLMSARASGLNWSQIQQKYFSTKSPNACRKRHERL IEQKTADNWNKTDFERLSMEYMRMRKEIWSPLAAQVGEKWNVVEQKCMSNGLKNLQSA ARSGARRQRLETGHQIQGYDDDSGISGIGLTPVDGLDASYSSPETTASAGHSSSGIST SSGYQMGAHPQLQALGHPGNPYGYSHPTGPHHGYTSSVSSNGTSAGPYVPSGSQSHSS DSSYMTHEQQLRAQRLERADMGVERSSNRKAGRGNRRGMQ
SAPIO_CDS4352	MAGGGTDGVAFYDAALGRRQKLMGASGARALWKNFKVFRIAAFA CIGGVLYGYNQGMFASVLSMNSFKSHMGEYDPLDDSADQTKKGWLTAILELGAWIGTL LSGFIAEVLSRKYGIIVACCVFLIGVIVQACAIQAGPSAILGGRFVTGMGVGSLAMIV PIYNSEVAPPEVRGALVATQQLAITFGIMVSFWIGYGTNFIGGTALGEQSDAAWLVPI CVQIVPCLILLFGMAFMPFSPRWLVHHHREDEARTILANLRDLPEDHELVELEFLEIK AQSLFEKRTVAENFPQLREETAWNTFKLQFVAIKSLFQSRAMFKRVIVATVTMFFQQW TGINAVLYYAPIIFGQLGLDSTETSLLATGVVGVVMFLFTIPAVLWIDRAGRKPVLTI GALGMAACHFIIAVIFAKNSSKWQEEPAAGWAAVAMVWLFVIHFGYSWGPCAWILIAE IWPLSSRPYGVSLGASSNWMNNFIVGQVTPDMLQKITYGTYILFGCLTTLGAAFIWFL VPETKRLTLEEMDIIFGSEGTAQADFERMEEINAEIGLTAILQNQFAGGNGSGSEAEK KSKGEHAENTV
SAPIO_CDS4353	MAEQRAEPLRLGSIAPNFKAETSAGPIDFHEFVGDNWVVFFSHP EDYTPVCTTELGAFAKLEPEFTKRGVKLIGLSANTVDSHNGWIKDIAEVTGGNVKFPI IGDKERKIAYLYDMLDHQDTTNVDSKGIAFTIRSVFVIDPKKVIRTILAYPASTGRNA AEVLRIVDSLQTGDKYKVTTPINWVPGDDVIVHPSVSNEQAKELFPDFRIVKPYLRFT PLAKDKVTGQQ
SAPIO_CDS4355	MASEKVPVAVNEPSAESPAPAPAPAPPTTADEPNSSDPPPEKPS DQRRRMFIILSFWVLIVLLGLPIWWKTTSIYRSDLPLTEMMEWADGKACKPVFPLQVT VQADSLQEQEAQTLLRQTQHALDDLNDFTAHHLRLQLAPRDAAGSSEPKVDSQSAVTI RLVPGDSTMSSSLDPTQPVLDITYPPNSVPSHSSSSTPSTLAAYIATELRATFAEEQA ILSYLLSSSSPSPSSSEARTKSLSPELLASLEKRTTRALKYSHTYHLTFSLFTAGASP STWDIDAALAEYIRPVLDVLSPIHNFTVDTQVQLYATPGVQSEVLSKDDLVSFINAAE WPLSPSIGGAPTINFIIYIGNQTVRLSDPSESPEATTTSQSWLVPQWGSVYLLSLAPT TTHVDVPALKQPLLTFSSHLLSLLGTPETGSLPLRLSTLSRIRSADLLLRASSTLGSL ARLSLALPSISIPRSVADGVSKTLTRLDRACENLAAPAALEYARVAESEAERAFFEKS MVGQLYFPDEHKVAVYLPLLGPVGVPLVMGLVNEIRGWVRRRRERAEAARRKEE
SAPIO_CDS4357	MHFKSLLLSSALFLSCSNAQLHKYAVAAGLKYFGTAVDNPALGN AAYMAIARDPDEFGMITPANGQKWANTEGSRGGFSYGMGDAVAGIVGAGRSMRCHTLV WHNQLPGWVSSVFSRADMEAVIRSHITNVMNHYKGQCYSWDVVNEALEDDGKFRQSPM FRAMGEDFITFSFKVAEEVDPSVKLYYNDFNIERYINDKIRATHALVKRAKESGARVD GIGMQDHARVGNAQSKKELMDTMAFFSEVVDELAYTEVDIRHTKMPVTEADREQQAKD YMEVVAACLETEKCVGISVWDFTDQYSWIPNEFPGEGDACLYDRNLQKKPAYHSVLSM LQSAAEAKTATGPESTATAVDAETTSTSGAGTASTLSTVVVSSPTNAP
SAPIO_CDS4358	METMLPEPTITFTIPSYRDATVLSCRVYHPSSLSGGPTARVWGR HAAVFAHPYAPLGGCADDHVVDVVAGTMLRRGYLVGTFNFRGAGDSGGRTSWTAKAER ADYISVAAFMVYYVHYLDPFKGEHEGIPPPAQKEPPVFIMGGYSYGSMITMQLPPLVE MLRPFVAPELGTAAAEIRLRAEHLAEHQNAILASVREAWGHGSRLRSPGLRVGGTESG EVRGSIEGRRSLILEAEERIRKSFGDVVAKTKRARKSVDGAVRGKKVDGDEGVVVEEE EEGEQRRGHACLEEATDLVMPRVAYVLVSPLQGVISHLASMSILPTHLPSLPKLRNPF VRKREDDKNPEPDNSKKLPHPDSDANSSTPDSPADTDLAELKLVRNPTLAAFGDRDVF VAANKLRVWAKRLQEVPGSRFHAVEVSSAGHFWVEDRVLGKLAGLVDGFTGGLIEEGE RKR
SAPIO_CDS4359	MPLPLSVAAPAALAGLSYVNAKTSLWYDWLLIKSIVPTALSGAI REMRDRLNSFYVLESNAKSSSTANITFLKFEGKEYTYAEAYTIVLRYGAWLRQKHGVK PKDIVAMDFENSDTFVFLWFGLWSIGAKPAFMNYNLSGATLAHCVKSSDASLLLVDPN VAAKVTDEVRKELSNVRIVELTPDAEREILTTDPERRPDSDRSEDFGHNLAILIYTSG TTGMPKPAIVSWTKCISGGGFVSRWLGWRPGDVFYTAMPLYHSSAALLGLLNALEAGK TIALGRKFSTSHFWDEVRATDASIIQYVGEMCRYLLKAPPQKDEKTGENLDKKHRVRT AFGNGLRPDVWAEFKDRFGIDAIGEFYAATEGPMATFNFSRNDLTKGAIGRNGWLYDL VMSFRVALVEVDMDQDAPWRDPQTGRCKRVTPGQPGELLFKLPKDTQKKFQGYFKNSK ATTSKVLRDVFSKGDAWFRTGDLVIWDNDGRIFFADRIGDTFRWKSENVSTAEVSQIL GSHPAVHEANVYGVQLPHHDGRAGCAAVVLNGAPDEVVLRSIGEHVTRNLPGYARPIF LRLMPEMETTGTHKHQKHILRTEGVDPSKVDLSAVFWLRDQRYVPFKEKDWKMISEGA AKL
SAPIO_CDS4360	MDPTARFGDHTSMARRDERAPMHGIPFNNHGNNNQPQAISYGSD GMASFGQGGFNNQSLLPPQGPLGFPALQQDVGFSLQGNLAPRVDPGFGFCLVDQWLIP DGTANMNDVSVDQNLQRNHGFGPVLMPRAGDVPMNGPRTLWECWCETLALWNPDRFAR DFEKAVFPSLRPAASATGSFVEENPAKALGKRKADEIPAAAVVPPSKVQKLSPEKMTA TAVDGGRTSSACNAPILSTSEAAGSAASTAERVASALEDSPVSADQNIECGEEIVVAD PTRAEQGDGEALDTPLCRLQLPVGEAEPRIDDANPPDLGASLTGVDLDFRSEAAGQDS VGLALARKPREELPILVAAHDSNVEVEGGDDQSVEASLEASKSRNSDEVVGPDNSAVV AYQVGAAESTPPAQPPAQVQAPARQHTEVIQRKTYNFTRAAILSSHPLLIARPSSTEP TSPMSPEQMKEARERDRALRGEYIRIELEMARRLASSGSQGPFHVGDYLVNIDPTNGH PRLQGLRLEVRVKQTPRE
SAPIO_CDS4361	MGTFKKEKNRRTREGTSTDGMGNVKVKGENFYRSAKKVKTLGMY KEGKAQRNARGEITKAAIYQSRDVPQARIEPNRKWFTNTRVISQDSLTAFREAMAEKA NDPYQVLLKSNKLPMSLLKDDKGINGLKQHKAKMTVESSPFSEVFGPKAQRKRVTIGA GTLEDLAGEAEKNLDTYHDKVEQAKYLRGEGEGGDVPLALEPIFSKGGSKRIWNELYK VLDSSDVVLHILDARDPLGTRCRSVEKYLKAEAPHKHLIFVLNKCDLVPSSVAAAWVR KLSKERPCLAFHASITNSFGKGSLISLLRQFSTLHAKDRKEISVGMIGYPNVGKSSII NTLRKKKVCAVAPIPGETKVWQYISLMKRINLIDCPGIVPPNMNDTPEDILLRGVVRI ENVEHPSHYIPALMSKVKKHHMERTYDLRGWEDYMTFLELLARKNGRLLKGGEPDVEG IAKMVVHDFLRGKIPWFTPCPTASDADTDGVVIEGREGKLGEMPKKRKRDDAQQDTPA LSRDEEEDEEEDEEFGGFDSDDESVDGSAENPGLHVNSDDAGEEEESDDEEAEDRISL GASSDEEDEEDENAETVGAVEQADESSSDSGGAPITTNEDKGGRSNKRRRTRT
SAPIO_CDS4363	MAPPYPRSFLLGALAVLSSLSAMASAQSNYTQADMLRAHLTLLG DRPPECPPCFNCLLPAHTCTQYASCNEFNGKCDCPEGFGGDDCLEPLCGSLARGRDRP MRSGDKCECDEGWTGINCNVCTSNQACDALMPTGDSGVCYQNGEVVKHNYQICDVVNK KITSLLGDKKPQVTFTCKKEDATCQFQFWVDERESFYCDLDSCRSSAEYTESQNSTSY KCDNVKCSCIPDRMLCGQDGSVDITDFLKESIRGPAKFECEQELGNPNNCQFREPQMD DLISALIGDSSILLTCRSGECLYHTEVPGYERPVKRINTPLIAGVIALCSLFLVAVIL LTWYLSRRQFKYGPIHLDDSDDENAKLMTDHKPASLYFKGVSYSLNGKQILSGIGGLA RPGEITAIMGASGAGKTTFLDILARKNKRGLVSGDFYVNGEKVNDSDYKSFIGFVDQE DTMLPTLTVHETILTSALLRLPRNMSRAAKEQRVVEVEKQLGIHHIRDSLIGSEEGKG RGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAFNAYNVIECLVTLAKTYKRTVIF TIHQPRSNIVALFDRLILLARGETVYSGPFHHCQDYFDSIGYSCPPGFNIADYLVDLT MHAGATTEIDDGTVIPDSASVGPSSTRAIKSVASASIMSGNDDGNNPPSTPSRPKSKR HDSVRKQQERELFTRRRQTIDTAASSDAGVEDAGSFRLQKQAHPEHDDLHDLPPAALT GTALDEIVQAFAESAIANSTNDEISQAVEAAQIANGANSNGYSVDGPNIGVGAMGKGY IRVGYLTQFAILSQRTWRNLYRNPMLMLTHYAIAILLAVLSGYLFYGITDDIAGFQNR LVFASERLLFVRERANGYYAPITYFTSKVLFDIIPLRIIPPLLMGAIIYPMTGLVADF EHFYKFILILILFNLAAAAICLFIGIVCKDHGVANLIGSLVMLFSLLFAGLLLNHNAI PKTAVWLQTLSIFHYAFESLIVNEVVHLTLIDNKYGINITVPGAAILSSFGFDNGALW SDIFRLGVFGVVFIILAYVAMHVLLVERR
SAPIO_CDS4365	MVQIQPFEVELWLDKYEYTPGVLNISETCSAPITLDELQGLSSS ATPSPLLDTTLPLSYGAVRGSEQLRDLINRLHVGEGHDPENVIITQGAIAANFLVFYT LVGKGDHVICAYPTFQQLYSVPESLGAEVTLWKLKPENGFIPDVSELAQLVKSNTKMI VINNPNNPSGAAIPTQTLKEIIDFGKERDIVVLSDEVYRPLFHGLGVDGADIPPPATA LGYQKTIVTGSMSKAYALPGIRIGWVATNDESIAQALREARSYTTISVSQIDDQIAQY ALSPEVYTNLIARNSGLARQNLELLEAFVKRYPTVCSWVKPNSSSTAFIQFRKNKPVP APVDDVAFCLDVLEKTKVMLVPGSKCFGEGKDFEGYVRIGYACSSEVLIEALQKLGEY VEQHLL
SAPIO_CDS4366	MRRSSYVLAAGALAVNALGSQPVPGVLLLQFEEKRNPEAPVLRR RQDQTVEEVITNEKQRGGYFSTCTIDDSKSSRTHTTFLSDKLKITYLDNSYVQGDYFR DTLEIGDSELANFTMGLGLNTTLSHGVIGVGYSINEASFETASVIYDNLPVALANSNI TSTIAYSLWLNDLSKLFDSALGATRKEHKLTGTGSPMGSILFGGVDTEKYVGDMMKVK VNPTTIPDTFDTVFDHFRISMTSVEANSPSGSDTLTSASFPIEVILDSGTTLTSLPID LVKQIWEEVGAEFSSVLRQPLIPCYRRDSSGKFTFGFGGPGGPKITVPMDELVLDLTL GRAPLFPSGSKYEGQEACLFGIQDFGGEPYILGGTFLRSAYVVFDLVNNEIGIAQTDF NETKSAIVAFPSNGSIPSATEAPNQGQTDTPARLVDPAYNARSGFRNAAWTPGVFGAS SILAMAAAFWMVL
SAPIO_CDS4367	MRVIRAARAVNGGLLRPSHSLASRAQRLSFLNTYHVAQPSSGPQ PARVPLVSSCRRFTTSLRLRSSAAAEALRAAQEEASNVTVETAAARLSPEEALRLSRV RNIGIAAHIDSGKTTCTERILFYTGRIKAIHEVRGKDSVGAKMDSMDLEREKGITIQS AATFCDWTKTENGEDKQFHINLIDTPGHIDFTIEVERALRVLDGAVMILCAVSAVQSQ TITVDRQMKRYNVPRISFVNKMDRMGANPWKAVEQINSKLKIPAAAIQIPMGAESDFE GVIDIIEMKAIYFEGPRGTKVRVTDEIPAQFKDMALEKRQVLIEKLADVDDEMAEMFL DEQEPTIPQIKAAIRRATIGLKFTPVMMGTALGDKGIQPVLDAVCDYLPDPGEVENLG LDTSKNEEPVALVPYNSLPFVGLAFKLEENNYGQLTYIRVYQGKLKKSSYLFNSRTGK KVRIPRIVRMHSNELEDVNEIGAGEICAVFGVDCASGDTFTDGGLPYAMSSMFVPEAV MSLSIKPKKSSDADNFSKAMNRFQREDPTFRLHVDPESEETIISGMGELHLEIYVERL RREYKVDCVTGQPRVSYRETIGQRTEFDFLLKRQSGGPGDYARVAGWIEPNDDPETNL FEQQVVGGSIPEKFLSACAKGFEQACQKGPLLGHKVIGVKMVINDGSTHVTDSSDYAF SLATQMAFEKAFKEANGQVLEPLMKTHITAPNEFQGNILMLLNKRTATIHDTEVGSED FTSVSDCSLNSMFGFSTQLRAATQGKGEFNLEFSHYAPAPPHLQKELVANYQKELEAK RTK
SAPIO_CDS4368	MAARTLRIGLIPGDGIGKEVIPAGRRILEALPASLGLKFDFVDL KAGFETFEQTGAALPDKTVEVLKKECDGALFGAVSSPTTAVKGYSSPIVALRKKLDLY ANVRPVKTVLTAPKPIDMVIVRENTEDLYVKEERTFDATDGSGKVAEAIKRISERASY RIAAMAGEIALRRQKIREAGAPSIHSGPLVTITHKSNVLSQTDGLFRSTARAALSEDR FASVKTEEQIVDSMVYKLFRQPEAYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVG EGFAIGEPCHGSAPDIQGQGIANPIATLRSAALMLEFLNEEEAAAKIYAAVDGNLEDG KILSPDLGGKATTEEVLQDVLRRL
SAPIO_CDS4369	MSQVRNLRAMFENKGDAVSPPERGRTPGPSGNIDAKPLSKVRTN FIAIEKDGRVGLRRDHSDESSVSRRRLSNETTEGAETVATSVPEKPESIIALEEPVKS IHIEFSTEPVPISFAPETHPTTVSRASAASASPQGPPQEPKVKLAPKTAPKSGTKQAR DPPTKPSTDAKADTRPRPAHLPVSSAAKPPTRAGAKSPSVVKPLASPSLREPRVAKAP RSPALVAPKKTTATATPTKPASAAPSQKATPSSAARKPPPIHVSPSNSLTSGIGFVKP KPKSPTKPVKLPASLMAQTAASGSKINSARLSSGSAGSHQAAQSLVRSSSRASTSTAA STLRRKSSSIGRPRPSVGPPPKKTTPEKPALKKEKQVDEGFLARMMRPTQSSKSKTSE KAPVTPPRKPPVKRAPTRQGDRSGQRGENRVRSVSSNRRLASRSVSPSRTATPGSAAK EPPAAEPITEEMVEAVKAAASKTAPAEPTEPAAAADAKDAEVAEPTIEPVEKSQEPEE PEEPAVEQPLTQEDSTSVAELASDVAKLEINEEPVETAEIAPTVAPIEADDAVEAVEP TVSDETDVISPSEAAAVEDGPVIAPEADAVAAISTTEAELETW
SAPIO_CDS4371	MAANPGEENIATLYGDIHYFYGPPTQKPPHHRFDKGSYVYLFEN PSERRARVEIANNAGTDDQDAFEGHLDKAHLRYSYKQHTLVSLTVGETNDANEWHLPT YDPKNENKYHYKLHSLDIYFWTQSDALQFVNGIRRVLPAAQVEILDEPAPSEASSVVQ QLEKVALDGSARGNAGKQVEQAPANFAPMAYNPAAPAAPETLTHREKTPPPDDGAVNP LAMAMAYDNHQQPFSPGLPPPPPGGPGLPGPPGLPGARGGPHPGLQRSATMPLHGGLG SPGLSSPYGNAFPGSPGFAPPPPPPPAGHRPYNPASGASQATAGRQDYSVHQQVYKPG EGEQASKYTSKSRLEEQTGRLERGVGGMLKKIEKRFG
SAPIO_CDS4372	MPSNDESTPLLPQIDPAASGNEAASEDDMSALTFWRVGAALGAA GVGLGAFGAHGLKSRISDPQRLANWSTAAHYQLIHAGVLLAASGNPIASSLFTAGITM FSGSLYALVLDPERFRFLGPVTPLGGLCLIGGWVALAVTKNPRFLRALRQ
SAPIO_CDS4375	MAPKIAIVYYSMYGHIKQLAEAQKKGIEKAGGSADIFQIPETLS DEVLGKMHAPPKAQYPTLDDPAVLAGYDAFLLGIPTRYGNFPAQWKAFWDKTGGLWAK GGFHGKLAGLFISTGTLGGGQESTAIAAMSTLTHHGIIYVPLGYAHTFPQFSNVNEVR GGSAWGAGTFAAADGSRQPSATELEVAQIQGEQFYKTAAKHFG
SAPIO_CDS4377	MHHKQKIVVVGAGPVGSLAALYAAQRGHDVEIYELRPDLRYPST VPLNFTKSINLALSERGINAIRNAGHPTLLDSVLRATIPMRGRMIHLRRSDGSFYQEA QNYDVHGRAILAMDRAGINKDLLDAIEGMPNVKIFFNHRLTGADFRKCQAWFEVKNET QDGDAEGRPREIQVDFDLMIGADGAHSAVRYHMMKFTRMNYSQHYIDTLWCEFQIPPR EDAPADDPMAKFAISPNHLHIWPGKEFMFIAIPSDDGSFTCTLFLPSASYARLENDTA SIPSFFDEHFPGVTELITPEALIQSFEANPHLPLISIKCQPHHFAGSGVIVGDAASAM VPFYGQGMNTGMESVRVLFSMLDKYAASVADNNPLEISDPAAASAHQRALALAEFSTY RVPDAHAIIDLALQNYLEMRSSVLSPAYRLRKFLEETISVYLPGLGWRTKYSRVSFGN ERFTDVMRKSDHQGKILWRTFVAALCSPVVIAGMVHWARHAERFPRIWNGVLRLLRRP 
SAPIO_CDS4378	MSSAPRKCLGVGCEKAADSLQCPTCIKLGIKDSFFCSQECFKKS WSEHKALHRSQGNILHHILAPKVVSEPDPATGHFNPFPTFNYTGALRPVYPLSPKRVI PKSIRHPEWSEDGVPKYRAMPGRNKIEILDAKAQDSMRKVCRLAREVLDIAAAAIRPG VTTDYIDEIVHKACIERKSYPSPLNYNHFPKSVCTSPNEVICHGIPDQRVLLDGDILN IDVTLYHEGFHGDLNETYYVGDKAKADPDSVRVVETARECLDLAIKAVKPGVPFREFG NIIEKYAKSKGCSTIRSYCGHGINRLFHCAPNIPHYAKNKTPGEAKPGMTFTIEPMIA LGSYRDVTWPDNWTSTTIDGKRTAQFEHTLLVTETGVEILTARMPDSPGGPVSMPAEA RETNDRK
SAPIO_CDS4379	MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNTYIIPEAAVHVFLTFLFLINGYWLPLILNLPLVAWNAKKIVENTHLLDATEIFRK LNIHKKESFAKLAFHLVMFFFYLYSMIVALIKDEAS
SAPIO_CDS4381	MYMSSGLSLVLLSSIFALASGASLNYARIPIQNNTPALSTRQSC GTAIDELVGYAAGTTGGGDGEGITATSCSTLESAVKAGGVIKISGTLSGCGIIDLKSD TTVIGVGENSGLIDGGFRIKKINNVIVRNLKFHKAPKKGDLLSLDNATQVWVDHCEFS SEGIAGDKDTYDGLLDITHASDFVTVSWSKFHDHWKGSLIGHSDNNADEDTGHLRVTF HHNHWTNVNSRLPSVRFGTAHIYSSCYVGNPSSGINSRMGAQVLVEETSFTDTRRAIV TNLDSQKDGFAVSRNNIFTNSDTSITQAGSLTIPYAYSTDSASCVCSFLESKAGTGVV A
SAPIO_CDS4382	MSSLLLGIIPFRETASTLQPVVSSEKSLAHPRKKSPRNSAVNAL SRALRDANHLALSLWGKPRPAERERRRVVRERKQTLSLRMKMATTLEEWETAAQELDV LEGNDRWKDEPSTSGLNIALVESRLNDLDSARSSRDIRAMLYLIRTALSRDLGGMGGV GLYRHSHIGTKRLIERYVDSAIQTVESLVRESALSLPDSMSSRDILDGLLFARQSFGR SALLLSGGATFGMMHIGVLKALFNADLLPRIVSGASAGSIVCAVLCTHTDEEIPTILE EFPYGDLDVFEAVGVQDNMLLHLRRLLTEGSWSDIKNLTRVMRGLLGDLTFQEAYNRT RRILNITVSTESVYELPRLLNYVTAPNVMIWSAVAASCSVPFVFTAASLLVKDPVTGE HAPWNPSPQRWIDGSVDNDLPMARLAEMFNVNHFIVSQVNPHVVPFLAKDDHLIPTEE RDRIEDLKAGGRGPDWLYVVTSLAKDEALHRMQFLAELGIFPNLVTKLRSILSQKYSG DINILPEIAIQDLPRILSNPNSEFMLRCCLAGERATWPKMSRIRDRCAIELTLDRAVH ALRARVVFSESQVDLRRLAVGPVQQPWPDTPAGEQAGAPRNKEKDRQPIPSTTRCGQR RGSGSSVQLLARHRRMLQDTDVTDDETEEEERLEMLRWSAHVASTLPKKPSLRRAARS QLNVHLNPVSLPTPAATREEEQAPFDFSRPILPTQSEVATSPDGQRTSMEAWAAGPST SRNSDICSSRAAAMPPMVGAASGGTNYLDGDYDIADETSSSDPDPYSHPWDQITSMES DVASGPKSTDIAPVDELMDRPSSHSIPPAESPGEEPTD
SAPIO_CDS4383	MRSMRLSGRVSNLLTSWASGPLRFRQDCKLSRLHSTVPHRQGPR RNGLPEFSLQGRVAIVTGAARGLGLVQAEALLEAGATVHAIDRLPEPKPGAAFSVVRQ RTLDEHGPKLHYHQVDVRDVDRLNRVVREIGSLHNRLDGLIAAAGIQQETPALEYTAQ DADQMFSVNVTGVFMTAQAVAREMLRLGQGGSLVLIASMSGTVANRGLLCPAYNASKA AVLQLGRNLAAEWGQHSIRVNTISPGYIVTDMVEALFVSHPERRLEWAGHNMLGRLSS PNEYRGAAVFLLSDASSFMTGSDLRIDGGHCAW
SAPIO_CDS4385	MAIGGMLNANPLATRSTSAVVKAGDLKLLTYNSGPLQDLILSAR HRLQNLMVVSFYETEPTPPLNSLESVPSSSEDCFKGTRCDAKTEKGQHAFVEHETWFD KTENALLWLIGHPGCGKTITSLSLANHLEHRQKPPDRPATVLLYFCDDKVNRQKDAIG VLTGLILQILHRHRSMIRYVRKVHKYVKVLLTSRPVLGELHPSDAPPGCQLSIDENQP GYSEDLQTYIRHRVDDLSRRRDWAPDIKAFLLDALCSNANQTFLWIHTVIESLENTLL ASIQDLRDIISTVPPDLEAIYMDFVTGISPNYQAVASKLLKLLLASERPLQLDEINIS FTISSHHDNAEDVARACQTSIRHTLQGILGPFIRISESTVSLVHQSVKDFLLRDRYHG EKFPAMLSISEGEAALEMASACIRYLQLDDFSTDISASTDVESSSEVSGADCESPTTD LIGGLWDEEEQALGMGKLFRESGFADPETWQTLETKYKFYDYAALNWTKHFALCEASA SSQLREAATALIDVENWNCYNWLQRYWADPSTHGDSNPADFHPIVAAAYFGLPETVVG LLESIDRTDPTLDRALFFASQQGHLRVVSALLQAGADPNAESRESQRALAAAAEHGHL GCVIALVTDDRTDLNGRGGKFGRTALSYACSNGHREIVKELLTRAECRVDQADYKGLT PFIHAVKGGYLSIARTLARRPDVDINHRDKEGRTALSWAAEYGMDEVVKCLLNMRRID PNVADENRKSPLSWAAGNGRAETVDILMRNKRINKASIDKNKRGAISWACAGGHPNAL RVLLKHECPGVDDRDVDGWTPLAWAVQQDRSDVVEALLATGKVDIEGRDDSGLTALFW AAGYGHLPVVRALLREGANPRAMNHAGKMLIEVASSLPNVRREILDELNRHIEEMAPT GSS
SAPIO_CDS4387	MILRNAGVRHCRRVMSTPIARTCVRCIATESSAPPTVNNRGNPL EIIKPPNPRAKHQARGIQKSDTRAIGSDVEQRYAPGLLIKNPLPPKHITLERLMAAQT HMGHHASLWNPANSRYIYGVRQGIHIISLETTAAHLRRAATVVEEVSYRGGLVLFVGT RRGQMEIVTAAAKEAKACHLFTKWTPGSITNRDIILRDRLVKIVNEHDSDLPGFERHL NQTRPLLPDLVVCLNPLENYTLLYECGLASIPTIGVIDTDADPSWVTYTIPANDDSLR SVAFIAGVLGQAGKQGQKRRLAAARDGNVTWRTPDDITKFIKGMHARRGEILSSLIDK EIIGGLKESAPEKLVSKADEELLQKIAEEDSLPDELADEEDDLIKELVASSREMDALS EEESKLLETVIAEEGESETQVNEKIMSKVGVEKAVEEGKAGEEKAAGEEKKPAKPE
SAPIO_CDS4388	MSTTLRGTPSRSQGRGAIPFTGSPAGSSIPRPVGDHVGGGSDVG TGTSGVSASRQKQSKRDEAIRRKLENDLSKKKNLATRARHSRKAPPGTVLALKPSQAL QIKPSTTVAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVGAGLKANNVTIS DIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGILDITKCFYDAMEKLE RAYSSSRKLYDALEGVQSELGTSQPQQIIQYVEALRSKMSGPTLESVLTGMPPTTVSV RTSVKEAAQLMKENHTTAVLVQDQGAITGIFTSKDVVLRVIAPGLDPTNCSVVRVMTP HPDFAPMDMSIQQALRKMHDGHYLNLPVMNDSGEIVGMVDVLKLTYATLEQINTMQSA DTEGPAWNKFWLSLDNETESALSAEGGSLHHTHLGSRIMSPDLTRDRPGDSLAPGDSA SHAGLESPPRSFAGVAPPTAATPFAFKFKAPSGRTHRLQVVASEGIAAFVEGVNAKLG SEIETIGGSPTVEDGKVSGGYALSYLDDEGDSVSITTDNDLLEAILLARQNRKDKVDL FVHDPEKPPAALPIPAESSIATPVESIPSGLRERRRAQFDEDDEESYDESEEDLLPRK SRKSRQAPVPEQVIAGVPNELLLPGAIVTLAAVIVGVFVISRATSR
SAPIO_CDS4389	MPTIAQRRRQHRRQNSTPSAFETVKIQKNLPPNHSNNINTNNTN SNGRPAGGHRRGLSLDTRRQHIRQRSTASATTATPSPAGPRQDFTTVGPGTQYAYAMT GTTQAQPATAPPTISSHEDFLVSVHGNPHAQRFDPNCFDPNSIPFDQYLIMQKSRANF ENSMAASNELFAANSALSTPTFVNFQENPVQAQGWTSEGEASAGRRTVRRISNGIMDR VAKFENLNEETQRASTPPPQNVNNCLPTPQTEAAATQVQVNQEQPPRPNRFSDGYDES MEETIKPARRSAQHKSTVFDEMRRQAEQQEQQQQQQQPHQQPQLQRIAPAGPLPQRAN TMPDYKTTNFHGMAVIPGGHDFMDMNNFSHEFMKIRSGYDGMNFGMVDGIPDMANPDL PQPSPFDNLPDLRPHDSVSQPNSPSRSHSSRRASPHRRTDSLASIASAASIADINIEE TRTETGITIEDIATYIQGPDPVDNKWLCLYEGCGKRFGRKENIKSHVQTHLNDRQYQC PTCKKCFVRQHDLKRHAKIHTGIKPYPCECGNSFARHDALTRHRQRGMCIGAFDGIVR KVVKRGRPRKHRPDMETRVDKATRTRKKNLSISSMSSQSGYSDASSAPGSPRNDFDML DSSGFDLMDVAIDNSTINGVPPMLGGPAPGQHQMATLPAADTSNLQQYANSPESHHSY VSPEAIMEPPQALPPHPQSPAKSIASQFNEPPELSQSSSPPPSRLFDVEPNTSITDDS NLSAFVPITSAGQNLNGSLTVGIGEQDDEMLLKAFTNDERLLPLDRDPSMFMMSKFDE EYAVSMLNAENEMFFGS
SAPIO_CDS4390	MATPAHISSPASPPTFNNIQDSHRPATSLTRSVPQGIGNVEVLA LGSSQLKNVLYTAYHEKGFPQRTAHITLVDSFELDIVVNAVFLTLVHDHGRQLQEADL EDLWQILSYASVSRKAHDKLVQHLKKLAAAAKSLSAWNESPYSKSVRFEDEPSRSILA AHINSVIPAVEADAKSERIVETPNLGQAKENDEINRYVASAAPLSQQARRDVWSSSQD FFATGTLSPASNDTLPNPLYFSGPKALYLPENPLVGFHLATAFTPLTELSPLRPLITD DESNKAPSSAKVCFTEWTHALAEALGQTLTLSLVYADPHSYLDSLQHLVLGGQDPSHY RTAYSSGPLQISSAAVREFDVIDAVHVTGNRLRIFLTLASASPLLKKQPWATVFTEFN IRMGEMRENPLEDILYGDTHSMCLFLGLSPPEIITNSSSTSIVDDVLLGVENGVALGN QTSPIYYRLSWKHNYAVSGEAFPNLLNMAVDHLFDFLMELDHKMYDLGQRHFENLRTR GPNGLYRIGLLAPFIQAASRHVDFSATDLCRKLLDQAPMEGRRAGISEELPELAIQLH TRGIYSAPYLVEPVSLTSEDDALTLPNVAAVNVVVDDYSQTQNFFEVAELDTKSILFE ARVIHGPSKFDLFRDLQVISASALETSLSSNPLDFKENSLRIRTGPTKHTIFSFWVPT SILTSDDVEIELIGSPHPVVDTMYGITIARGRLSGSLAGSEPSFVVTPQLPTSTEDAI AFSRNPPVKQAAPPTKPQDAVDWQEPVSLQFVADDKVGTVVARQILHSEKGRRLLTEK APITLRQSNPFSIDIVFGKKKDETIYTIQYPTTVTTVGSKTRIARKSGYVEVLAKYPT HGVTPALADLVFPSTLSPDAVPVPLNLPALNLDSLPALDLSDEAANKWITTLTSFQFS SRERGEREQANEKTGMTNSTRVNFKESLFSMFMTSTGLQGGQTGLFALNQPGEDGVHM LIFVSAVRLDCASNSVVLDAAVMPMTTDMLKNPEIEGFLLLLRTLEICSLDVDEDELK TWKKALPAFAERCRTWEHLPTCEYKAPNATIPLSLEKGGRVLCSCGKGEIPEHFLNLP GWRETASKYAVRMAISPAFACPLVERTVDFGPLMPRELDVVRWQCQKKDWKKHRFECK EAEEHKES
SAPIO_CDS4391	MSAAQLLNPKAESRRRGEALQVNISAGEGLQNVLKSNLGPLGTI KMLVDGAGQIKLTKDGNVLLREMQIKNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQAERHISEGLHPRIITDGFEIAKNESLKFLDKFKLPREIDRELLCNVARTALATKL NSSLATKLTPDIVDAVLAIYQAPAKPDLHMIEIMKMQHRTASDTQLIRGLALDHGARH PDMPKRVENAYILTLNVSLEYEKTEINSSFFYSSAEQREKLVESERRFVDDKLKKIVD LKKRVCGNDPKKNFVIINQKGIDPLSLDVLAKNGILALRRAKRRNMERLQLVCGGIAQ NSADDLTPEVLGWAGLVYEQTLGEEKYTFIEEVKDPKSVTLLIKGPNQHTITQVTDAV RDGLRSVYNTIVDKSVVPGGGAFQVACAAHLKSDKFSKTVKGKAKWGVAAFADALLVI PKTLAANAGHDIQDALASLQDEYADGNVVGLDLITGEPMDPELEGIYDSFRVLRNCIA SSSSIAANLLLCDEILKARQMGRQGGPGGIDAPDMADYDSDSDIGEFTETTTLLGYVS KDPADDSISRLGGQPEWLDPKTPPSAALARCAACGDMMSLLLQLNGELPDKFPGHERR LFLFGCRKPKCRRKAGSIRGLRSVRVSKEAAEKARTEAEATRKAAEEKRKREEAAAAE KAKQPGLGETLFGTKGLGGGAGANPFAAGGGNANPFSSGGGLVAANPFSSAPKEVVTP ADVEKAAAELPKTFAETLSLNNPQAQKPQSLPSPPEPWPTEVPGAYPTLYLVDVDYET LDPTPSTIPANARMEIDSSEGGGASASAKDDFESAMDATFQKFADRMAQNPEQVIRYE FAGAPLLYSKDDEVAKALGGRSGMPRCKGCGGERTFELQLTPHAITELEEDDMSLEGM DWGTIIMGVCAKDCQAYGVEDGQVGYLEEWVGVQWEELVK
SAPIO_CDS4392	MNGEDPPERPEHISSIINGLERYNPEAVGTLEAYLGDQCEEKFC DCNANRTLLKLYQLNPDRLKDEVVSNILVKAMTQFPSPQFSLALHLINPSTAASSELD EAVTTLRDLNSALEGAQYERFWSSLNGNDICADLIADINGFEDIIRHRIAHLVSQAFR EVGLANLQAWLGLNLEATKKFVGEVCEWTIEGDNVKVPSNPDNEAQKAEIREDVSVDM FSRVIKRSWEDALTA
SAPIO_CDS4393	MSSPAKRRKLDSGDKKSSVPSRGLEYFFSKQRQGNSSSSAEVTP TVSSTTAQSDEDFARKLQAEFDREALQLSQNSNDVVTKQPSNDSANDEGPPQLSVDRP NDEAKSPPKKVASTLMLQASGITEDTVSSTIPLDESPFTFEPAKYLPRLQESWAGQGG QASYALLTRCFVLVNATSSRIKIVWLATNAISPPYISLELGLGGSAISKALKQVCGLD NRTLRSIYNKYGDAGDVAFEAKKKQSFTLRKPKPLTIKGVYQSLVKIASTQGQGSAEG KQRIVDRLLQDARGGEESRYIVRTLCQHVSYRLVSEERVRPFLLSKPPNAGFEVRDPK EMGKLKKEEVAEIWGRGEEIVKACFARRPNYNDLVPALLEVGVCEELLLRCCITLHVP LRPMLGSITRDLSDMLTKLQGRDFACEFKYDGQRAQVHCDKNGKVSIFSRHLELMTDK YPDLVALIPRIRGDGVDSFIMEGEVVAVDSATGELKNFQTLTNRARKDVEIGSISIQV CLFAFDLMYINGEPLLNRPFRERRELLRSLFIEVPNRFTWVKSLDATSQDSEAVLEFF KSAIENKCEGIMVKVLDNLSTLPNDVDTMGVDASEPAAVSEPTAAKALPSNGSNGKKK GKGKAPDEEEKKAKTRRKPVLATYEPDKRLDSWLKVKKDYHASFETLDLIPIGGWHGQ GRKAKWWSPILLAVRNDETGSLEAVCKCMSGFTDAFYKANREFYDDGELTGERNNTST HRSGFIEYSGPEPDIWFEPQEVWETAFADITLSPTYTAALGLISDERGLSLRFPRFMK KREDKGIEEASTNEFLAALYRKQEARPPAEASTPAEEAEDQE
SAPIO_CDS4394	MAVNRIQKAFAVPRKGETFELRAGLVSQYAWERKESIQKTIMAM TLGKDVSALFPDVLKNIATGDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDAEDPN PLIRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPTMCI ENGFLETLQELIGDPNPMVVANCVQALSEIYETAPETRALVITPNTLKKLLLALNECT EWGRVTLLTTLASYPARDVKESEHICERVAPQFQHVNPSVVLAAVKVVFMHMRIINAD LVRQYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQAKPDILSKEMRVFFCKYNDPPY VKLQKLEIMVRIANEKNCDQLLAELKEYALEVDMDFVRRAVKAIGQVAIKIESASEKC VNTLLDLISTKVNYVVQEVVVVIKDILRKYPGYEGVIPTLCKYIDELDEPNARGSLIW IVGEYAEKISNADEILSSFADGFMEEFTQTQLQILTAVVKLFLKKPAGNQALVQKVLQ AATAENDNPDIRDRAYVYWRLLSGDLDVAKNIVLSQKPAISTTIASLPPALLEQLLGE LSTLASVYHKPPESFVGKGRFGADEIQRAAIQEQRQNAAENPIAASVAAVASANGAAQ NNVENLLDIDFDGAAPASQEPSSNAGGASSSSMADMMGLFDAPPAASSSSPAAGGASG GMADLMNGFAGLDFGASTSSQPLPAAMQLAQQSGSQPAKPPAQQNDSEDLLGLL
SAPIO_CDS4395	MGDPSVTEISLKDALIERLGAVYVEVTDISGGCGQSFKALIVSP QFTGLNSLKRHRLVNAALKEEIGKIHAWTARCQTAEEYQRENATNGMV
SAPIO_CDS4396	MGSKGREWSGIEVRSPAFTQLVVKSMRELFPEELADRTWDNVGL LLDNVEVPEDPPTAPLVLLTNDLTYSVAEEAISLGVSVIISYHPIIFRPLKSLTCADP QQATLLRLASKRIAVYCPHTALDASPRGINAWLAETVEYAAKQTADAGESSDLTATRQ VLRPIATPPEGNEEAGYGMATTLSKEVPAAKIIKGVGRLLGGFRHLHLVQPAGCDLAH ATVTKVAVCAGSGADVLRGSDAELWITGEMSHHDALRAAQEGRIVVTTYHSNTERRFL EHRLRSALEEKLQETEAEAEVFVSQVDKDPFRVVDIEAL
SAPIO_CDS4397	MSTIASPRDPSTPLRRTHSGMNTPTSTSARPSIDSLHPGPLASP QPPHTPPPPNQASAASSKRNRTALREYYNLRRAAGTPNTVPNEDSKTSEVPPSEIDAE GFDAKVWVDKKLAECGLEELLRLYTRVLGEVRALDAEKKALVYDNYSKLIAATETIRK MRDNMDPLTPMASMLDPKIAQIYTQASEIRDKLRMSVPKPADGVPLDEESIRRRERTR QLAAEVVALPPKLRALIEEGRAEEARKAWEMPRRLLQVWAEKGLGGDDVGKLLEEGDA IVGWSGSDEESSSAASSQGSS
SAPIO_CDS4399	MSSVKAQLKAIGDAVKTNQFDDAIELSRALIQKDSKNYLGHIFL GFSLEKRQQLDAAERAYLDAAKIQPQSPQAWQGLIKLFEVQDRKKLSAYRDAAIKLAE IYSQVNELPKCRDAINKFVEFANENGDRRQYVDALALTTPESPVYEALEGHVPRPAHT YEKMATIAEEDERKRINTLIGERRTRIGARLNDVTVEVKREVLTESKLEHIYRQLINW VADDELRRLYEEKLLRHCYDRLVVTPPGDEKTAIRDTVVQLANDMVIIKHPLKFAWDI AIDWKDYKDIAEWDVNILREYCSFFPDSDVYKVITGFLTSSISPFPAAPKPQVAADLV ESEEETSEDDSDGGAPTSFVPVTDEDRLLMMTDGIATANSLLAYRLMGEYYQHLEEYE STVELMRKALVHVNQERVKSGFSFRNTIDAYSLYLGTSLVFYQSPRHHEEAMSLFNKV LDHDPTSTPAMIGVGLIYEEEEEYPKAVDFLTRALERDASNLRVRTEAAWVRALAGDY AAGKEELAQCVALLEKKKSSKANKELLALTQYRLGCCIWNLDKSKAARKDRNGAYAYF LGALKNNLNLAPAYTSLGKFYADYAKDKKRAKRCFQKAVELSSSEVEAAERLARSFAD EGDWDRVEVVARRVVDSGKVKPPPGSKRKGISWPHSALGVALMNKSEYRDAIVSFQAA LRISPEDYHSWVGLGESYYSSGRYIAATKAVLHAQTLEETASPEVLGDAWFTKYMLAN IKRELGEFDEAVTLYTEVHSSRPSEEGVILALMQTMIENARDCIVKGFFGKAVQLSTD VIELSVETNALVSQTFNYWKVLGDACSVYVSVRSRIEEFPVNTIQQLLQGADIESHAD VLADVDKVQPNAAEAESIFPSGELNGEILSKCLHGMILCFKLAIHVSSNDIHAQAVAY YNLGWAEYQAHTCLPLKGKKKKSSQYLRAAIRAFKRAIELEHGNAEFWNSLGVVTSQI NPEVSQHAFVRSLHIDERNPIAWTNLATLALLQNDAQLANEAFTRAQSNDPEYSYAWL GQGFVALLFGDKDEARGLFTHAMEISNSSTVAIRHQYSASIFDHIVTSPAKMGIIDLL QPLYALSQSEAMSPGDLAYRHLRTLFQERIHDHSKALGTLEDICGILEAEYEESESPE ALGRFALAKVDLARSYLATGRYDDAVECGDMALSLTSDEAENELDKEQRKKGRLSAHL TVGLAQYFKGDIVESISYFESGLEECDGDADAVCLLAQVLWATGSEESREKARDVLFT VIEEQPDHIQSVLLLGVIALLDDDDESLEAVVSELHSLRTSDKVKGADHSRIGTVLKC IRMMPEDRTEEDVLTEIQTDIMLYPHLPHGWTSLATVSGAEYPAEMAVKVALAGIPPR GSLDAGDLAKAYAGTGKAADAQAAIFVAPWASDGWKSLGEIVEAAMNG
SAPIO_CDS4400	MANSSFRLTIEDGQFRDAHGRQVVLRGINCAADAKFPSTPDQTS YVGDDFFEGDNVKFHARPFPLSEAHEHFSRLRRYGYNSLRYIFTWEAIEAAGPGKYDE EWIQHTIEVLRVAGSYGFYIFLDPHQDVWSRFCGGSGAPMWTIYACGLNPQSFAATEA AIVHNIYPEPDEFPKMIWSTNYFRLAAVTIFTLFFGGKDFAPKCIINGMNIQDYLQSH FINACAHLARRIHEAGDLEDVVVVGWESMNEPNRGLVGYADLNVIPKEQKLKKGTCPT IWQCLLTGSGRACEVETWDMGGLGPYKVGTTLIDPHGEKAWLPADYDDSRYGYKRDEG WKLGECIWAQHGVWDPETDTLLQKDYFAKNPRTGDVIDYPNFTNTYFMDLYRKWRDAI RTHHKGSLLLMQYPTLELPPEIAGTEDDDPKMAYAPHFYDGITLMTKKWNRTWNVDVV GVLRGRYLHPVFAIKVGEAAIRNSFKDQLASLRQEGKDRVGNHPCVLTEFGIPYDMDE KKAYETGDYSSQSAALDANYYGVEGALMEGTFLWVYVAGNTHKRGDQWNGEDLSIHSL DDKPAPISAVPKSINGASSSTLVKDRASLDVADEMTVTPDNLRQVLSTPSISSEPGKA PETADTPGYRAAEAFIRPSPVYVSGIAKEYGFDLRSCTFSLKVEAEASTSHDAPTVIF LPEFHYPAESCTVEVSSGKWEINSEDPHCPYLQRLLWWHAEGTQSLKVQGLVRKHNVV EGTAEEEGYLEQCYQTYGFGPNGNCSVM
SAPIO_CDS4401	MYQNGQRDPSRPFQVPPPPPPMSPPIRQPLGNIMAIPPPPPRYP SATQPANGVMLPPPPGPPPTNPPWQNVLSRMYDGRAGLNIPPPPGGQVQAYNPRLHGT IPAGSTISTIPPPPPPSEQMSATYIPTGDTWGEGVGIPALGFDEPKPLWAMPPPPNGA DTANSTPLDESISRDRLYANAMQNARGVSNASTATTTSTASSTSIPPELAAQWPLDRV LSWLQANNFSRDWQETFKALNLYGIQFLELSSVRTGRGNSGMMHQHVYPRLAAQCTQS GTGWDQPAEREEGKRMRRLIRTIVRGEQHDMGKIGPGGDVESPNTPLNPPGPGFSARR YSQVRQLPTLNNNAMSSESHHRSVLKHIDVDGGRRQSPIGDSGEPGFTVKSRPESPRG SPSLAGQELFLPPSSGQLSASPHSAKFGHRSRDSTDSASSNAAIYGSGVPAGASRLNI SDMIMTGRAGERQRLSPQDTTPNSDPPSAKDGPRLLSFLSRKKRQEGISPDGTESPTS PGGTLKVTPSQSASDLAAAEKSRSASQFMTHFKSKKHASARNYVLATMDCWNYRMCDV TDLETPSELRHVICGNLGIIDFENTEIYITELGRAEHDEPLDDQKLLATHKKLKAEVP GGLKFLVRRTGPDNSRLSPAASTAATGYLSPGLLPPGMTVDEDTYARLSGGRPRSSST PPSSRQKSMTEDTIDETSLREESRAYKATLEKKQREYLASRKQAAQKESPVAEAAQYG SIVRKTEINFDQPRSSPYEDKKPDHLFPVRKPPAPPSEPTVTVLKADSLRRRPGQHLR PGSDSGGFPSPRRPGTATSDSSHSRHPSDQLPSLSNALRGINRSLGAIGQPSVSGAPR PISPNRVASQPIMKQGSPESRMSRRTSHGRSQDFTSVSFSNFTKPPAPAAAAPSYDSD DDSDDGLFAVPIKSKNNSNNAAGKRPSLKLDTDRSTKRKSVVFSEPTDTRTGEGDDDY PGSGRSGRSSRRTPGTPSEGWESSAEDSAKLNRRKSFVEKDIWANRPTTDALVNNLDD FFPNLDLDQPVFDENQDVDINAPSPIAEGDETGEASSAGASNAQPPTKENLAALPGSK VSKLYNDNDTLGSDESTLKALERPVSIQRSVRKSGGLSRLKSIREVARGAHEANKRYT TASQGGAGQSSALMRRKSTKMFNANIVQIKPQRDSIMMPQIPQQDANNTQLKRQTTFR WFKGQLIGKGTYGRVYLGMNATTGEFLAVKEVEVNPKAAGGDRAKMRELVAALDQEID TMQHLDHDNIVQYLGCERKETSISIFLEYISGGSVGSCLRKHGKFEGGVVSSLTRQTL SGLAYLHREGILHRDLKADNILLDLDGTCKISDFGISKKTDNIYGNDKSNNMQGSVFW MAPEVIRSEGEGYSAKVDIWSLGCVVVEMFAGRRPWAKEEAIGAIYKIANGEAPPMPD DIAPIATAFMLDCFQVNPYDRPTAEKLLAEHPFCELDPNYDFRHTELFAKIQGRDL
SAPIO_CDS4402	MLPRRRYRLLLFVAALVVFLFYRAAHYSDWDPSEYTRIGKQQQH YSSHFDSDRNPLYKPLGHGTQEEPVKGDPHPPHPPPPPPPQQEEEAPVKIPTLKPGDQ DDGSFGLPTAAAPLDSASSTSSAAEPSVTAPIPWYEIIAPGMHTTPESLTTSTYYPRW QKFGEQFPVAAEDLILLPTGTPKPIPKIQFDFPQEKPAAKEKREARLAEIKAEMTRAW SGYRMYAWMHDELSPVTKFYRDPFCGWAATLVDSLDTLWIMGMREEFDEAAHAVKNID FTYSTQRLDIPVFETVIRYLGGLLAAYDVSGGHEGRYRMLLDKAEELADILYGAFDTP NRMPVLYYLWRPESVMQPRRATIVNVAEVGSLLMEFTHLAQLTGKEKYYDAVARITNA LEDLQKSGTAIQGIFPEMLDAGGCNRTATELQRQAALQAQNELNGVSSSPGAAAAGAA AWPRNPQKLEIINVGDTVFGTSFAGPSSPDEGEDGQVKNASDATASESLAAGGLARRG FREANAANDDGAWDEGDDDDNGVAIPVNSFNPWSAANMIDLDCVTQPPLVPTTYGYQS YSMGGSQDSTYEYFPKTYLLLGGREPKYRNMHEKSVAAIKKWLLYRPMTKDGRDILFS AKLTTTGVPEVDAQREFEVTHLTCFLGGMFGLGAKIFNSDQDLEIAQKLTDGCVWAYE STPSGIMPEGSTVVPCASFRECPWNETAWYQALDPMWEYRDQQVQDYFENKKAAEAHQ KLVESQQEIQRMREEQAQAQPLGDDATIDEERPTEPPSPPGALDEETASEKKTMYEIE VENSGDAAPKMVVEPATSGETYRYPRPEPGAPKKEVKKKRDVGTGTRRLDGEDADGQD FNVAERPTSTVDSAEAEPGSNIGSSSAGASGGQIPLEDKDPNKPPTHLEFVKAKIANE KIPPGFVRIPHRHYILRPEAIESVWYMYRITGNPEWMEKGWRMWKSVIEAVRTDVGHS AIHDVMVEKSPLKDSMESFWIAETLKYFYLLFATPDTISLDEWVLNTEAHPFRRPKGE IV
SAPIO_CDS4403	MPSFQVGWYRFLPFLGYHHVLMILIAVTIILLSLLLAGCSSSSP LIPDIFLMSLYYEQYTAVPDTAQVNYNVHKAISNIAGDARLAARVGYFGICISPDGGS WLCSNNATALANEVAVDQDPLNLVWLASQFKDMIVFPYLIIIAIIFAFVCVLLLATFP VWHEEVDSEGSEREVKPFPSRPVSQVALAIIFIAAVFVLVSVLWQHTASVAASIIAED FGNGSVKSGVGTSAMVMGWFSFTLLIIVTIGLLVMILSISVLTKLAS
SAPIO_CDS4404	MWSVSHFFMYPNGPFFYMSDDDITDNSDDEGPSSKSKSKEREVV LPADPREHPFGHPAESSARAKVGRQPRRAEADDMISARLSLTSNSEHSKDDVRAAALR SIDKMSRNERELNALINGGCDIVYGSVKHELFLKVIESGHTGCIVLDIGSIRALFETM RDIAQANPVDMEALRDVFEDYRTELAVRLNALHGLATLLGPAQPNSP
SAPIO_CDS4405	MSAEETRIAIPNSVLLAGLPPHCSLLFSAKAAKALTFADLATRL NRSEVSIAALFYGQLPASPADVDALSAALDIPRDTLLQSGVTGFPDRGRVAPMPPSEP FVYRLYEIVRNYGYAFKAVVNEKFGDGIMSAIAFSSKVEKEEDENGTWVVITLRGKWL PFSRW
SAPIO_CDS4407	MANTSLRRPQKGYKRGGKIAYHGAKSRTFTSTGRNEATSADEKW ERTLLAHGIDEAMGFGRYESGKRREGWLVNVQPTSIQDERNPNGRAAVDCYFIEEGGS TFKAAVEYEPYFLIAVKRGYESVVEEWVKRIGGGGVVKAVKRVEKDDLDLPNHLLGLK RTLLKLVFTNVTDLLTARREVMPIAERNRKNQSAKDAYAESVAIDGKLDLFDDLRDDD YQVDGKIADASEYIIDIREYDVPYQVRVMIDLDIRVGKWYFVEAKAGHITVKCNEEKL APADPVVLAFDIETTKLPLKFPDAAIDQIMMISYMIDGKGFLITNREIVSEDIHDFDY TAKAEYPGSFTIYNEPNERGVIERFFGHIKEVRPTVIATYNGDFFDWPFVEARASFNG IDMYQEIGWKKDSEDQFQCHYSVHMDCFHWVNRDSYLPQGSRGLKAVTVAKLGYDPDE LDPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTILPLGPDHTLRKG TGTLCEMLLMVQAYKKEIVLPNKHVAPKEAFWNGHLLDSETYVGGHVESIEAGVFRSD IPVNFAIDPAAVDELLRDLDAALEFSITVEEKKSMDDVENYEGIKEKIAAQLRALKDP ANRTECPLIYHLDVASMYPNIMTTNRLQPDSMISEANCAACDFNRPGKTCDRRLPWAW RGEYLPAKRDEYNMIRNALEGEKFPGKHPKAPMRSFHELSYEEQTGLVRKRLQLYSQK VYHKIRDSTTIIREAIICQRENPFYINTVRDFRDRRYDYKGKAKLWKGKTEALKTSGA SASEVEAAKKMIVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGAHIIQ MAKELVERLGRPLELDTDGIWCMLPKSFPENFAFKLKNGKKLTISYPCVMLNHLVHAR FTNHQYQTLTDEKTFRYETHSDNSIFFEVDGPYKAMVLPTSKEEDKNLKKRYAVFNDD GSLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGATLPECYGAVARVADRWLDVLYNK GGDLSDDELMDLISENRSMSKTLQEYGSQKSTSITTARRLADFLGDNMVKDKGLNCKF IICANPKNAPVTERAVPVAILSAPEDTKRKYLKKWLKDDPASTDVRDLLDWDYYLERL GSVIQKLITIPAALQKVQNPVPRIAHPDWLRRRIAAKEDKMQQTKLSFAKLQKPLNDI TNRAATPRADDMEDFGNNLLKAKPGTVLQSSQARSAAVAAAAALKRKSPEPAETEQAE EELPAVMPSMHDDYAGFLAYQKRKWKRQKETRARRRQLFGDRRGVVGGNSNIQQSFMR AAHATFMTTWQLIYLKPEDANGIVTAYVLIDAKIHTLKVRVPRQIYVNYKRKRLLSTL PPLPKGCVAEKVNHTLPNGHKSAMLHRMTMPEESYTASQQWLCDLRSHSSVEGVYETS VPLYMRALLKLGNVCTIDETQHAVLGKGLEQGFDLSGLIRPQKSRTYLEDVPVSYIYI SHIVAPERQIFGVFSTTSDQAHIIMLTKHRDAGQDIPNLSKLYTQRLEEHLKEVGEES AQFKDLFRYQEKLNFKVTQVTTRRKAHLEVGDVVKKMRKEEPRAQILAIQSLNRESLV RDIPGLGELPILPLKYDVYDGALPPLGWQAAVARRLVRHYLGLGGELTHLMTLARYAN VPICNLEKDDPRYLIDVNYARRLITNDVVLWWSGSPRPDHGSHEFDDVTGPLDVVKMP TVNHPGTYNAVCIEMEVRNLAINTILTASLINNLEGADANPMGGLADVIGDTDGSIMY SEGAFSDTNILVLREMVKSWWSEACRGSPMADLMVNHLVRWIEDPDSFLYDRALHYYV QLICRKAFQQLMGDFRKVGCQVVFANSGRMILQTGKYDVQNAASYAQYITRSIKSQPL FHFIDLEIKEYWDFLVWYDEYNYGGKGCETIPEGGGGEEDAVIEQDFETVMHWQMSTY LPVRVQPTFFKWVAEFIGIMHKIKRPELASSNGDDGALRPTQLSTRERVKQIEEKRNE QAAPAIENIISGKHFERPLKKDIASLIKTQQREIVHAELAADYEFPSLPGSLFLAEST SGSRSRDGVLELVKCLMQVLSLDKNVTNEARLLRRDLLNMFDVREFSKDGAFRNPAET LRLGDFSCENCAMPRELDFCRDEDLLLPPPTGDGDDEDARRRRREGGNNNSNTTWRCR GCGWEMARLDLEERLVGEVEKMVVAWATQDLKCGRCGAIKQNEFMEHCTCSGEWVDGL RREDVRERLGVFGSVAEFYDLRMLGAVVRETLEGM
SAPIO_CDS4408	MSSDSTGSDREPQPNQSGKRLHIWGRPIEPPAPSTTPTTPTTEG KALSGDDFQKNFPETQGISISDAFKTVKPEDMLQVHKSVCGRQGLLTGIAAGAIIGGL RFVWRGTPIKAANWAVGGFLAGATVGFERCQYLRRLERISMKRIVEVHQADVAEKKRL KEEEDRQKQLQEEAAKKKWYKFW
SAPIO_CDS4411	MSDAPISLLPLGAILQSLTVASHNIVQGFPTQSDYEAHNAPFFG ETIGRVANRISNARLDSLNAGKSYALAANNGPNNLHGGVVGWGKRVWEGPKPVGIRKI VGVKHDDGENGDVEGEAVKFTLVSEDGDEGFPGTVEASAVYTGAVQRVGGREVTVVEI EYSAVLVGDADETVINMTNHSYFNLSGDPTIEGTIVTLTSNTYLPVDAGGIPTSGPAP FASITPNEPFPLGPVDPDIDDCFISNLDPASVPLDTRAACPLALHVSAHHPKTGIHLE VLSTEPAFQFYTGKYIEVPAVEGAPARGKRSGFCVEPSRWVNACNVPEWKGMVLLKKG ETYGCRIVYRAWKE
SAPIO_CDS4412	MSRARKLWKTRKDHLLRVAGIWRANHESRSEDRRDAKNMSRDGH RVMNNGSEPSKKRKKDRGEPKEGAKIDGANPKSSQGKDGEARPVVDVVAEDHKEPENL EELVRGRIQDILSRPGVAQVTVNLVESGVERKILLKRPPQSEIEGSVVERTDEEEGHH KRRKRRKRSQATPEKDETPERITAQSELELPAPSRELDLGPSPADLPSADLPSEPPSL TTGPSSPPLEVVQETQLSLLLGAQAAVAARKQPVPRYVEIADSQSSMSSLPDPIDYRY LVDPKDAERDAKVVTTHGLPINLFDSRPSPVLGEYSDPVSEVFPSKSGGKVPTAVEPK LQLSREYDSSIHFDRSQTSQLPTPAPESTRSETEEVCASPPRRPRDPYELDFSTPRRP TPSSRRSTARKIQPPGSGSGQAGSSAKGQEKKEVMTPSAAASKTRVTICSEVKGLPVV SEEELASIFSDGEIDFDEFLEPTPRKDSFPPVQMTPGLPLDKTKKILTFKTPRRNDEE YLSDDNSLVGLMSTPSKDYGRETPFIKTTPRAGLISRKPEMTKKPKIITYTRKSTRAS SRGKVVGVLKTSTGPDATWLGEFDRTPVRGSDVENEEPSPELPPLPRPVPNLKADVHG MSTTTPGPQSRSVEETPAPVVNAAAATPEPLRQTKPAVTTRRSLEGLPPSTGGDSSKK PTSSRSGPISCTPVPVPKPWLAAQRAQNTTPTTKTTTTAGAAASPSSTTMPPPARIRS AAPSKTIPAAASKGGPIKQTPVPLPPYIRALARSG
SAPIO_CDS4413	MFVLKNVGKFIFGSSSSQEVLIELPQGQLYLVRPNSPKGYSELI FKDSAVRIRRTAQDFQYQLVVQRVYEEGEAELLAEEEGDDAEIDALAAEGDEKTFLLD EALHFRVESREGSEKVLAWRDLSGDTGDVYEFVCDRSVSSSQADDFLLIAQQCQYERK YRKPHTTASDDDFEQFIFEDEQPIPPASPIHSPVLTRSIDSFDAMATPKRVVSANTSA KRDATPVIATPEKRDVETPPRSAGPPKALETYAVENAELHFYDFPSGSFVSQDESVTC TVIETGRWEYWMQVDGKDKSWLGIPITADVNPVFNFEYLSFIFNQFTPDGQAFSWLLR FKDQATLERFQEAVLRCLWEQLNQMKWEKVKEKDREYVAEAFADLAMEDAEAEEAEEE EEEEEEEEESEPEEDTRPRSEHYDSDEEQDDTETAEDDGNINSQLAVGYKHDRSFVVR GSKIGVFKHTPNNNLEFSTNISKVETPKGKLFSPKKVMLHNEDQDMILQHSGEPNKLY RMDLEYGKVVDEWNVHDDIPVSAFAPDKKFSQMTSEPTFLGISHNALYRIDPRLAGSK LVDTQLKQYASKNDFSALAATEKGHIAVASNKGDIRLFDRLGINAKTHIPALGEAIIG LDVSADGRWVLATCRTYLLLVDALQKSGKNEGKLGFEKSFAADAKPQPRRLALTPEHV AQFAYETGQGVSFTPAKFNAGTNSEETSVITATGPYIIEWNLKKILRGAKAPYMIKRY TEDVKADDFKFGTDKNVIVALPNEVNMVGKQSLKKPTRESIAGGFQRLGTPRRSTGVR GSARFRLDREDVVDSPY
SAPIO_CDS4414	MVRSLGRLGVLALAGIASLSWASTAAGDSGAGVQNVAIIGAGAA GSSAAYHLRQFADEEGVSINITIFESNKRAGGRSLTVDAFDDPLQPVELGASIFIRAN AILYDAVSEFGLNVIGTRDVEGNLVVWDGESFRFEQSDNSWWSFVKLFWKYGLAPYKT KKLVDSTVARFLKIYDAPHFPFKSLTQAAIDLDLVKLTGVTGDQFYEDSGIASLFAQD IIQAATRVNYATNLAHIHGLEAAVSMAPEGAMQVWGGNWLIFANMVKASSAGFLPKVT VTSLQREKSATTGDGASKFIISTKKTRSSRPGEAYPITFDKIVVAAPWQYAGIEAPED LIQPAIDEIPYVQLHVTLFTSPLKLSPGFFNLTPESKAPVTVLTTLHADDKAEPGSGA AGKAGVFSISTLQIVLNPKTDQEEYLYKIFSPKVLDVSFLSRLLGVDIPDTFTGAVNA DNFEPISWYYPHVFNSYPIEFPRVTFQDPVLGGGLYYTSGIESFISTMETSALMGKNV AKLIVDELAAPKAPQEAKHTTTEPGSPRFDAAPKADEL
SAPIO_CDS4415	MKNLSVILVTAIASVAVQGANLQKPIEPPLPNALRSQGCFKSVG ELVDPKGYPATRTTSGYCNDNVCNKLNATVLALKGAVCYCGFTYPPLNTRVDDSNCNY ACPGFGDEACGGIEAGSYYSVFNRGIEMDVPFYNPPSSTSSTSSSAPTGPTQSQTPTD KADEKKANIGGIVGGVVVAVVAVAGAIGGVFFYLRRKRNKEIEEEHRRNAAVNSFIGH KPPGSSGGLSITDARLDPVMAQRRLSDGSIADNHDYSRKILRVTNA
SAPIO_CDS4417	MAQDNLQIILAERPRGDIIPGQTFNQKVAKAPTAEDLKDGEILI ENIYLGLDPAMRGWLNDRRSYIPPVPIGGVMRGATVSRVLASKNDSVKPGDIVRAMPG WQEYAIVGPNTPGGFEVATGSSHLPDHLSVLGLTGLTAYFGMLRIGEPKPGDLVVVSG AAGATGSVAGQIAKLKGARVIGIAGGEDKVKWLVDELGFDAALNYKAPDFKEKFKELT KDHIDVYFDNVGGEILDLALLQAKPFARFVMCGSISQYNTTDVRGPKNIMSVISMRIK MQGFIVFDFIKEYAQARQELTEWLDAGKIKRKETIIKGGLKNAEQALVGLFKGINTGK LIVEIKNPQEPPKL
SAPIO_CDS4419	MTLNRRRRELLDENLAVSSGSYWNRLVGALLAGLQELAASWIAN DRRRRGSYFTRRIAKTAFYASIFGMSIFQATTWAVSKIFSLFAPSLGMEIAQLVRATV RVTVAHYIKLSWISTIACAILARIFLPPGWWPMCFGFGGFLVRVVSDARTKKLRINAR RKKRLRELSPEYVENRGTEKLAESVAGSSRRPAHGEGELFGTGEERPDVVRLSGKEKG EENRPSDSG
SAPIO_CDS4420	MSNGNLAECDSNPRIVPMKVIVCGLMRTGTLSMRAALRQLGIHD VYHMQTTGTNPQDIPLWMRAIDAKYNGIGRFGREDWDKLLGTHQATTDVPASFFGTEL AKAYPEAKVIILNREREKWYDSCMASIHAAFSSLSIFNKILIVLFDPTFREFGMFMNK INTQIQGFQWPEREKALTFFDGYYAEWRSEIPKERVLEYRVQDGWGPLCRHLGVPVPT VLGPNGQVVEAPFPRLNDGASMRAAAQIKMRQMHSRVFKRLLGWAQTVSLLALVVYVL YTRRSTRGVSEL
SAPIO_CDS4422	MNLPLSLLGLLATMLAQTAASPIAEPPEQTAGCTVTIGACPNGS LIELNLTECACKCLESSCSEIGGCDNPNGTPFKGDDLGNEFQNCVQSTKCLPIFAAGQ ELNPSLINCAP
SAPIO_CDS4424	MPTRTLLRLGQRAPMRITSTTARRFYSAESTEPLIRVTNLPAPG SGHIRVLELNRPKARNAISRALLTSLRQEIDAVHSQYGENGEELPPKKIFGGAAGVDE KGPTRAVVLASAVESCFCAGADLKERKGFTREETEIFLTNLRGTFSALSTIPVPTISA ISSLALGGGLELALSTHFRVLSSNAVVGLPETRLGIIPGAGGTYRLTGLVGLSRARDL ILTGRRVSAPEAYFLGIADRLVEVTEKEDAAAGETGSVLERAQKAALSEAVRFAMEIC EGGPVAIRAGLEAVGWAREEMENKMYERVVATEDRDEALKAFSEKRKPVFKGR
SAPIO_CDS4425	MSVTKILAGRASISPRAITPRILLTNAATRHFPRTFSTTTPEQR TVTESVKDSLKKADRVVSDKIVGGIDIGASVAHKVKDAGDDVLHGRMPGHHVPGSTAK ASQAAGEEPELDTTELLEEARNAAREASGEAGGAAGKSKEKA
SAPIO_CDS4426	MTSFGAPRIRRTAQNTQFTHNLSRRVHDVKVYPGLSPQGATIII YGHENGVTLLWRGGRRFKFAKPQPPPVNNEKQNGTSEDAVMIIDSDEDEPPASKKEDL PFVDNPEFEDEIPNEPYLDIVQKLDLALGTAGLHIAVLPLPPTTADDASWGGSDLLKE RLVFAVSCANSEVYLLTLPLTPPSPESKARPELRSGLLAGRPGSGVWGESMVLLSGQT QHSGGLAITLIKPKSPSPSTSRPSQKEGPTPSTPRAVVAAHNLEASGTLRLWDISLDL KVGMAQSPVEPFQTEFLPSPLSSISFNVSHMTQLLAVSTTHAVRIYDYATPSISPDEL STGPFPSQGSWLLSLYPPFSRNSPHRKPVVDAAWISHGRAILVLLADGTWGIWDIDGV RPSGTTVLGKSSSGIIGAATTSFAVSGYIEGTSPLRAGAPEDKPRASGEFVPMTPHTR KDAQVSLSSGAIPHGRLAATRGGISVVAHPVSGAVGSDESVVLWIGSAEHVCVIPGIT SFWSAQIRKSSGGGVNLFSGAQPTRMIKLHDLGVGLLGERCCGVSAIVDLAKAKGDSK SDGGLGVEVLICGESRLVVLRESEEGPGRKIGGVIGRRRFLGDRTSSLPSAIIVHPRP DATEKVSFNLNSGKFGSVRTTKFARPGDEESVDGDADRGTLNLPSRPRTGLAFAESIS AAADVQEDAAERDVEVEMLDLMEIDRTLSELEDLRGSGQKRVFFEDYT
SAPIO_CDS4427	MLFTNLLLATLATLSAAEVALESSPSVGVFSFAEWIEGIIQNPD GDNLTPEEAYAAWELSVNQTELSGHDAVTCANYLADKGRRGINCRANTYTIMCDHRTA KIFGGAGNGVSDTTSNCNDVARAVGFILDRCSRNDGMVQGSDFAYGNGNFQIQIRNAQ HCGSLYR
SAPIO_CDS4428	MKSLLLASILAAVSFAADEPEYETYDYIVVGSGPGGGPLAANLA RAGYSTLLVEAGDDHGDQSVYRELSNFNVAGNDERTRWDFFVKHSDDAERELKYERLT WRTADGGFYVGLDPPEGAEQLGIYYPRAATLGGCAMHNAGVCSLPSADEWNWIANITG DDTWVAENMRKYLVQTEKNLYMPAGSEGHGFNGWMSISTSDSSWATEGDWPANKILTK LAELTDQDPEKVGELVNKDILAGGEDHLSSFYNMAQHGDSRGRRSSPNDYIKATLADE VKYPLKLALNTLVTKVLFDEEAETPTAIGVEALQGQSVYRADPRHDPEGNKTLTRFYA KREVIVSGGAFNSPQILKLSGIGPKDELEKFEIPVVVDLPGVGENLGDNYEGSVLAIG KGPTGGSRITVLFRTPSAPTENRNIFAWCGAFAFEGFWPGFPEDYGADEYTCAMVHMN PKSQAGSVRLASSDPQDSPDINFRFFEHEGDKDLKELVEAANLLRESWQVAGDTVLPY DELHPCPGTGAGNCTVEEQAESFKLQAYSHHATSTCAIGADDDENAVLDSKFRVRGVK NLRVVDASSFPRVPGAFPVLPTAMLSAKATEEILADAKAAVEKEKEAEKPAPKKCKK
SAPIO_CDS4429	MDSEKEAIQHLDGADAPSSKDERDEFTWTEEEETKIRHKIDWHT VPWVTVLYMFCFLDRINIGNALIQGLRVDLDLGKGLRINWALAIFYIVYLTVEVPSNI ILKRVGPRFWIPALVVGFGIVTIGMAFVKSYEGLLAARAILGIFEGGTFPGIAFYLSS FYKRRELLFRIGIYVSAASLAGAFGGLLAAALSKVPEWGAAGARLHQWRNIFFFEGII TVIIGSIAPFFLPASPSQAKFLTEREQRIAAERLLVEHRENPEPKVELRHIKRAFLCL HNYTCALGFFLINITVQGLSVFMPTIIADLKIDVNPQLLTVPPYVAACLVAVLIAYIS DKTKRRGIYLAVFAIPATIGFALLRWYTDKPSVRYMAVFFVTIGAFPGGPAFLSWAIN NTGGPAVRAVSSAYVVTLGTIGGIVATFTYVASDGPAYPIGHSINLGGQIAVFFLSIF GILYCMWENKMRRSGKRDHRLEGLSAHEARDLGYRHPDFKYIT
SAPIO_CDS4430	MSDKAVDKETAHGADGVVLQPTDDVNRIEAPVTWKAYMICAFAS FGGIFFGYDSGYINGVLGSQIFKDAVEFEGAKEVSESRTSLIVSILSLGTFLGAIIAG DVADRIGRKWTVIAGCFIYMLGVVIQMITGEGDALAAIVVGRLVAGLGVGFESAIVIL YMSEICPRKVRGALVAGYQFCITIGILLAACVVYGTKERDDTGAYRIPIAIQFPWALI LGGGLMLLPDSPRYFVKKGRIEDAAQALSRLRGQPKESEYIRAELTEIVANEEYERAL IPDTTFIGSWMNCFKGGLSHGKSNLRRTILGTSLQMMQQWTGVNFIFYYSTPFLQSTG AIENVFFISLIFTLVNVCSTPLSFWTVERFGRRTILLYGALGMLICQFIVAIVGVTAG FNHTHKVPDPTADDGFKSIANNIPAVNAQIAFIAIFIFFFASTWGPGAWIVIGEVFPL PIRSRGVGLSTASNWLWNTIIAVITPYMVGEKRGNMKSSVFFVWGGLCTCAFVYTYFL VPETKGLTLEQVDKMLEESTPRTSAKWRPTTTFATTMHMEKGTVEHV
SAPIO_CDS4432	MARSYRTLKGYLALLLVTLFTISSSAPASSLEARGSWTGLSSRE FTEEGCRPIIFIYARETIAPGNMGFWVGPEISNRLKLYFGVDNVATEGVDYLAWRETN FYKGGTSPFGIFLMQVLLTKAVTQCPTSKIVAAGYSQGAAVVHRAVQYLDEDIRDRIT AVVTFGDTQTLQDGGRIIGFPLDKTLIICNVGDIICTGTLYVFPIHLDYTKRVPEAVH WLIWKILSSYFNPPITPWYWWQHPPPGWKLPPGEGDGEGDGEEGDDDEDSSDDDNRWG TMLPPHLSMFPSIPVGDPAPTVTVGIPPEPTEPDISDILSDYPELSTILTSHFPGFET ELPEAPTAVPTHDPNFATTTTTVTVTVTISQPIGSECPLPGEPTDEALNRRSGDSHGL SFWKRWW
SAPIO_CDS4435	MRAPSPLLFSGLASAGVLSPAIQPRAASKATIRSVTANGTLCPD DTTYTVHISPDEDVFTMGFINNWVATDQPSTSGGCKLSVQMNYPPGCTTANLSATASG FMDGDETVQGLLNIDYVSPGGRRGNLIRETEVNWHWSLTNPGIVWLHSAKINGNMEVK NQEGVDVTVELDTSILVEIVQDDSVGGKFEFEQITMNITDVEWDDDWRGCTLG
SAPIO_CDS4436	MATATPDRATATSSSQFRMTSHRCGTCEVSFSSVQEMRTHAKSQ LHVENLRRRLIEQDPVAHEPEKDYGDYPSASEEDEEEEGANSDASIHSDSDTQSHALH PPSIPPFDPDTCLFCRETTSDFDSNLTHMSKSHGFTIPSPESLLVDPDTLLSYLYLVI EGYRECILCGTQRNNPHAIRRHMLDKSHCRFDISPSTEYSDFYSKQDAESQNYQLTIA TTRLHVRSDEGTLILASGKILASRSAPAPRPPRLSKDKGNNYTTPTEKTAVDGATCTE LTPRSSGALTKAEKRDVTLASKMTSLSISDQKAIAHLSPSEQRATIERRDREMQRERR ADRRMMSRVEALSNKTKMHHYRPAGNERPNG
SAPIO_CDS4439	MSPSTQRRRPPNLNLSSSNINNNKSKTATTTSKPPPLPVLKPRD PIPQTPPNRRTATSETRASPSAVSRPVKAQTQAPTQAPVPTQAQAAQPSSSHAQQHAD YRDHALVPSTTPVHRTSSSSFSSSAPVPADLDVEFVDMSNKENEFVLRDSHDLSMPPS QSTRDSLMASMLLSLDQLTLLGQANSTHMNIYDESSRGYSSSYGAGVSSLSDDRTWTR TSRTSRPNTSSRFGPGPSHGHSYSSDLEAGDDAAKINRSRRSNSNSTYQTSGPTRMNS LRESLKSSQPSTPRRLHTRGGGKNSKSSSTNSIDAGYVQVLGSQRWASGFGGRSSSFD YGHQRVENTQSTWHSDFTHTFLNDDYDAAPTPTVPGGPRRTAPPTPVTATFMTSEPMP IPMSTRPMAEPKTPTLERKRSTRSARSMTGPARKGESKFGASREAIPPIPTFADLDLD SAPAPHVGYEKTKEALPAGTSAAPPYVTTPQPKEKQGFFRRVFGGSSRNTTPTATAIA TASAAHDLSHSPSQFSSSTNGGNADRSGQPQIASQTRTNSTPSRENNNNTNASSSSSH SPHVLQKKPSSFFRRRKKSVHDQEAPPLPRSQDAPPLPTNKPLVPPISLQPNLDKLSP GPEPSPVSSLRKVMSPYLRGSPTVAVAPLQSLEQQQQKSSSPLAEPTKSHFVEDIEGY KRDFSPDYEPSPRAVIRAVDPASASPDVGSASSPRPDITPDHPPPEVPLSSETRNNSF LNLDPPSDNEFDFPTAPSNRVKPLGKPTRSSTAPEVATDREDETDGGNGRGVVRGEDN DGMLATESGHLGAPHHRESRADDTFKPIRRRIRAALDVTDSEEELNSATLALPIEGAR SASAHSDSAASGFKSSPSNTPSVRVELTPDDSRPRNGQTTNPLDGKPIDEPDFVVGDP TDDDKQKAQKIFDGNEDFIQKDKAAAWMGAEGLVRQRTLRAYMDLYNFSDLSIVASLR QVCGRLVLRAETQQIDRILLAFSTRWVESNPNHGFKSIDVIHTICYSIMLLNTDLHMA DIDSKMTRSQFVKNTLTTIRHALDDSPADVYDRPSILPGKAGMLAAEDVRPSTDQPPS HNRLSFLPAGRADTNASDASPDDCGPLVKAPFDGPMRAWEGQVESVLKDIYVSIRDER LPLFGAEPDRYHPGPASQSSLSVMGMLKRSPSVLSKAPSESQSSLRGRIAESSRTTNS RWGSKSRSRHRLGGNGFSSSRTSFDDGNSIWSPATSSATWSRQSLGRTQTSMSMDSFG SAWPRNDYQQSIGFANALSQAIIREDIPGGGAPSIMSNDEKSGAPLLEDESLELAGPP WVKEGIVTHKHHLDGIDRKAKDRNWTEVFAVVQKGTLSLFSFSPNKSLRNKARSARHH NKAAGGGGGVPIQVGGGNWQENATSLGTFSLRQTLASALPPPGYSRSRPHVWALSLPT GAVHLFQVGTPEIIKEFVTTANYWSARLSTHPLVGGISNIEYGWSEAIVNNSLVSAIN DTTTAATANSVPPAVVVGTGRTSRPGSSATGGTSHAHHARKSSVQSGRSFRSGSFDLG VGSGPGSGPRHKLPGDRIHIAEWMPPTQSMRPSMQGEEEQLRTLEAYVRSIEEELQQH NQLRSPMLLAFSPRGHNATKAMANWERKSAYLLREIVKYRTYVDCLQQAEGRKKEIYG EREAVDVGGE
SAPIO_CDS4440	MKTSAITAVIAWGALALAAPAPTPPGIPTESTARTELAALKVAA SGSGTGYSRDLFPHWHTVSGSCNTREYVLKRDGTGVVTDSACAATSGTWKSPYDGATW TAASDVDIDHMVPLKNAWISGASSWTTAKREQFANDIDRPQLWAVTDDVNQSKSDKSP DSWKPPLTSFYCTYAKSWIRVKSYWALSVTSAEKSALSSMLDYC
SAPIO_CDS4441	MFPISVHALREYHGLPAPQDIRVPTASKLAALCTGIHPRHYNLG LAYPSEAPVFWIKYGPSITWNEVPAQVMAYEELRRLSSPVKAPGIFYACDLELRGAQR ERRKSYIVMQYIPGKTADEWLKNIEDLARADSIYSHIAFALSELHRIPVPPGSRPAAI NGGKIRHSLFDDQDAPRHYQDVEQLEDHLNQFLTITKKKDRVEGPSREPLVYGYSDVW LENFIISESGDIAVIDFSDTSILPSSFSRFVLSGAQSKIKRDISGLVQVPTTDGVDNT LALRAAAGPMVMGPSSFASLGRKMLGGDVETQESFDQ
SAPIO_CDS4442	MRSTSLAYAFAALSSSALVAAQTFSDCDPTKGDKCNPDPAFGNC RKTTSFDFSTVAGGEGWKTDKTFLDFWTPEKGIVGDNSPLTIDEHGAVLTISNKDQAP LIKSNKYLFFGKVDVTVKAAPGVGIVTSVVLESDDRDEIDWEWIGGEPGNVQTNFFSK GVNNYIHGQTHGVAFNAIEGLHTYTIDWTPDYISFQVDGAEIRRATPAEADNGATWPQ TPVQVKLGTWVGGKPDNPQGTIDWAGGLADFAQAPFIAWYKEVKITDYCGGKDQAGEY VYTDSSGSQGSIEVRGSSSDVGFGDDKGKSSTSTSTTSSSSPTSSSASKPSSSSTSSS SSSSSSSSNSTSATGGGSTPTDGADGGSSNNGSDQDGNGQGSGAVAARLSSTLAAVVV LGYVLFS
SAPIO_CDS4443	MNFQQNRPPVAQDEGIIPPTNGHAEKNGAASNGAKEPPRYQRLF GPFNRRNARNATSGTGPTATATASAAPRPRRGWRRNRHVNFFVIWLKQTWFDIATILV VGLVTLALYLASPVGGRRFAITYPIPGPGSRPSTQILDPNIAYPYRSWAIDSWLAAVL SFVIPIILIALFQIRIRSLWDVTNGIMGNVYAVIIAECVHVFLKTLIGGFRPYFLEVC DPDPAQLQNGTGFGQVYYSTDICRQTDKGLLKQAMTSFPSGHAACAFSGLGFLFFYLN GKLKPWSNYRPMSWEVALTLMPLLGALLIACSVLINADHHPHDVVVGSIIGFLSALAV YRAYYAAVWDWRFNHIPLKPRELVEYDLAREENLEGVMLTKGMWKVSHGASRRTGPDI MLGGLPGVNGAPGGQAYRRGSGMANGPAMTNVNAYNTGMAGGVPDRRPVGNGNLAPLG DNIV
SAPIO_CDS4444	MSVRVVARIRPLLEKELDKDVIVRADSTEEGKHPTIVKIPNPKN GTEEFSFAFNSVYDQSTTQEKLFTAEVAPHLKSLFQGLDVTIFAYGVTGTGKTHTMRG GLKLADRGVIPRLLSGVFRRGKKIMKDSNGKTQINVDLSYYEIYNDKVFDLLEPPEKR PPAGLPLREKDSKTYVVGLTERPCEDLKDFERLYIEANNNRITAATKLNAHSSRSHAI LRVKLTQITGNTIRESTASAIDLAGSEDNRRTDNNRERLVESAAINKSLFVLSQCVDA ISRGDKRIPYRESKMTRILSLGQNNGITIMILNLAPLRSYHLDTLSSLNVSSRAKRIE VREIENEVVFKQVPRTTSALSGAGIQRQPLRPLANAHNVHSGAVVAKAASSDSNKPTK AFSVYADRSKPSLATRPIANTSQIRRSNSTKRKSETGVPVPTHRLAPPTKIARPPTQA SLHPNPASITEKDIEAMVEKKVSEILASRAAAAQNAAPAPAPVQPDINEAVQRRLEAL ERRIDEEDRREDGRSDGLRYLLAARQSKERGDDAAALKMYEMALPFFPGQAKLLSKIE RLRSKLGLQQEASSKVQEAKAEKKKRRRVDDDDYEAAEADDDVSFMDRSSPKPKSRKK KAVKVASVEEEGPVTPRAQHLLDIINSRDLMQIKSLNGFGPKKARDLVDYLELLPEND GAKIASLGQLRAVPGMGGKMVERAYEGLGVAL
SAPIO_CDS4445	MRGQSPLIRVAAGLRAQSRRSILSRQCRAIQISASPATESQQVG ADAFGLSASSRDPADARFEVLGSPYSLLSVTLSASQKLYTRRGTLVAVAGNPENAQST LSILSPFTRAFFGVPFLYQRISSTTPLTALISTKSPTTTFSVLHLDGTTDWIISQRNA LLAWTGHTLSPTPRLQRHLSAAHWGTTHVTGRGLVALTSPGQVYRLTLSEGEEFIAHP SNVVAYTVTRTPPQPFRFKSSVFRLQIPSLPRGIANAEFFRVMRTTDTYKTLARLFYN VRTSLRRTIWGDRLFLRFQGPATLLMSSRGVRLADSLTNEDVNEIADAEAGKAAEVVN KLKREAVKAEEKAKETVLVRKAPDAVDGKVTLEGLRRLKDTMQ
SAPIO_CDS4446	METQTPKASSRRDPSPQSTAAGNLDSDPEKVLPVDPRKSDLSLG GDEKGRDLKKGAEDDAASVRSGNDVAAPAPAGSPPMDFPDGGTQAWLVVLGGWCSLFC TFGLVNCIGVFQEYYTRDLLSGTNPSTISWILSIQVWMMTFPAAIFGRIFDAHGPRWL LLGGTVTYIFGLMMTSLSTKYYQLILAQGIVSSLGSSAIFNGTLSSVAGWFLKRRATA FGIVVSGSSVGGVIGPIMLRHLIDRIGFPWAVRAIAFMYLGLLTIACATIRSRMPHKK TPFVLREYLEGLREPVFATTCLAFFLFAWGMFLPFTYIILQAQKQGMDPSLTMYLLPI MNALSIIGRILPGFAADKIGRYNAMIVVGFLTALFTLVLWIPGKSNAAIVVYMVIFGF TSGGFISLSPPLIAQICDIRQIGTRTGAAFAIQSFGGLTGSPIAGAIVSAQGGDYLGL QLFCGITMMAAVVVFMVARYMQAGFKLKVI
SAPIO_CDS4447	MQMARSSNGTPVAGSIDPRDLTFAAAGNAIMPAGMTQSNGFVEL LLRHMKEDQNAYQYDRDYCASQLREEGTLTAQEVRTLRLRMLDLGHQIRLCQHKIEIL TSLAKYEQPPPSTATVINSLNAVTHPSPANSGRVLGVAAPESPSLSLLHQASQAVSQT PAKRSLKSAEHSSDGQSSAKRPRKQVPDAPPPDENPGNTVQRLGYWKCRLCASPKYLT ATPPRQPSAPCKWPLKDVAKMITHFTEMHVEHSPAERCEELGDALNLNRGPFEYWLNR SRSMDVGDGSVIDECISMLRAGRLPPLLRRLSRAASTFPSDA
SAPIO_CDS4448	MTDQKQSPCRILVMASGNGSNFQALINAVASGVIPNSKIVRLIV NRSKAYATTRADQAGIPWEYFNLISNGFLPKGEKDEAKVAEARAKYDAALATKVLELE ERPELIVLAGWMHVFSAPFLEPVEKLGLKVINLHPALPGAYDGAGAIERAFSDFKAGK ATRTGIMVHYVILKVDRGDPIMVQEIEWKGEDLPQLEERIHSYEHELIVKATAKVVGE ILEARGQ
SAPIO_CDS4451	MQLSVFAVVAFAAVALANPLSAPKAAIRARQQPPTNPNAIVSGP AVNQPAMTDRNGNVINFDSTKVYLAAKEAGL
SAPIO_CDS4454	MTGVKRVISFGGWTDSTSGGRSFILRGAISTGREQFARNVVDFI IQHDLDGLDIDWEYPGAKDIDGIVPDASDGENYLETLKLIRSMLPSGKTLAIATPASF WYLQGFPIAEMATYLDYIVYMTYDLHGQWDWGKKYGQISCPTGDCLLSHVNYTETENA LSMITKAGVPSNKIIVGVTSYGRSFRMVEPGCTGPECKYMGPESGAKKGLCTGTPGYL SDAEINQIIQDGTSTPWKVEGEDAASSLRRREGVQVFYDHKSQSNVLVYDDVEWVAYM NRSNKEARKSAYQALNFGGITDWAVDLQEFSPNEYITPFVMKQLAEGEECKWRWLEGV DCLNEGIRNASSPKKWQWHEAATDCAWRFVKTEWSKQKGNESGEVFSLFVSKKLNGPR NFNCQEMSINGCSGSTPLCTVFQTIESGPAAMFIISSFMKLHEILLTFYDGVGSAALS FITDIDEFMAVFSPDPPEQRNDMNIFIDILGIAFTFLGVGVFKTLGTEGNKKDLMWNL LALGGSLIKDIPSNDKKIDRKAIISIGLHYLQDGLKDGVSEFSLYLLSGRAEALPVLD NLLSDGKFITGEESSAEDWSKKTMENNNMRLLYAWLIPSAWSLAQRSPVVIEAGDCSR GTGEYEWITSSTAEETGGCIDGRQYFLVTTAVEWESETPNCFCASPLHGRTTTMLDTG EQ
SAPIO_CDS4455	MGRHRAHARFDRAEWRLRYLVPLWILQILLSIAILALFATRLRS TLEAWKQGGGEGGFPLFVVIWECSNLALGVVAPCLAGYEIFRFVQETLTPRTVLTTQF ITFGVAAAALTLSVFVHTQRRVPGKDSVIGLILSSVAVAASLIPALYALRNHRRLASY DELTYPTNTKPYGFFADPESQQHLDRSSRASIISTLSGENIPSARRISSASSILSIRR LPSAATNPPSLAPPTVTTTISVRSSPAGAPAPLVPSACETVPLQDLNRHHSVYNHERD TQFDEYVSARNSQLSFREHVDQAFGAELGWNLGASPSALAEGLNRKGSVVSSGTLGSG GVTVARVPSGTAHSLGAVPEEVEERGGDVRRGDEDRAREALLEDGRH
SAPIO_CDS4457	MPTRLPPAEKLPLAVRKNIRDEWDAKKADLEKNLSEALGTEWTI EADPLAIYPYATSDWGKNSIGSVLHQYFSSAIDYLKDFANKHGEQGVSEINTLIPAHA ITMDFDEAGANTYCGCDITDGKLRILFSETYLGTNISDAVGYYTFEKALNKAEQAEDA TLNFFARKGIREVEPEIEKLTKEVQTILNNENLKLNPNWVAVFDKLKSSKMDRDDWDS NLGSFLKYYFEGLVSQLKYQKFDGDDMLQEGFNEVVEKGEIAFRVVDKLEKSNYNECV IEDGVLYLQTVPNYFGTNASDAATGLLDLL
SAPIO_CDS4458	MATLTLKVKVAVRDHWDSPESTVQASLAKLQSIIGYEVCISPEW PLLLAALGDHYSDKGNFVPAVAGVVKAWSEAAAQLLEDDNNEEWTEEVLDKLKESGSR LRLVLEASKSEDASTTWNPVQEAFVISLPNTQVTNPLSYISPFQAHLLTAFDEKKAAP TVPVLHDSGHSDIPGGPGDDWASIVVEPVVKTSINPTPSSQRRAPNVEYIPDINSVPR PDELLLRPPYHLIVFARSLNEIEIQCSHSPTLKFLSAYLAKWCRTNHQLHNKPPSVES NLHQSAYGLGTWHDRLTLYAENRYSGGHCITPPIILSLVESLLGYELTYQESSRWVYR KDTPFKNL
SAPIO_CDS4459	MLPPFLPPISILILLSTAPSTLAQDAAPLRPEVPCYAPDGVTLA DDSYRPCNNLGVLTDPGMFSSCCRLNAVPETKELCDARGLCVQGDIVRRGFCTDSSWS DPACLNFCIDDDENGSFNGSATLTSCSDGTFCCGQDNDTCCGTPSAFNVSLAASITDP SQMTTVTATAESVRVQKMIPSYVWIAMAASILFVMLIALGAILFLLRHIQALKKRNEE LAATVRDSRAPVLPPTPHVSSMQGFHEFKSTYGELLAQREKQEQEEKEKEKQREQDIE RRRKLSMSVRSETDTMVGERSEVGVSPMLMKGTTASSISEESPLVSPPPPTTGEDTPP IPPRGRR
SAPIO_CDS4462	MGGASNPAVDEHQSLNRADEVEDASGSLPSNYSSVPHNIHVLLD AVKALIIPFIRSADEAAPLKASGNSTVTASTGEKWNALVDEHEPEALLQKFKLELPDK AQGKDGVLGLVQQVLKYSVNTWDQGFMDKLYASNTAVGVVADLLLSVLNTNLHVYQVS PALTLIEKLTARQFARLFGFTGPRAGGVVCQGGSSSNFTSLVIAKNTLYPETKLTGNA GYDFAIFTSVHGHYSVEKGAISCGLGKSSVRTIPVDEFGSMIPSALRDAVVQAKSEGK TPFYVNATAGTTVLGSYDPLEEISAICKEFNLWMHVDGSWGGPAILSRKHSLKMKGSH LADSLTVNPHKMLNAPVTCSFLLTPDIKVFHRANSLPAGYLFHSVDEGEVWDLADLTL QCGRRGDAVKLALAWTYYGAQGFEQQIDHAFNMAAYLATLIDRSADVVLVSTNPPPCL QVCFYYAPGGKVSDDVALNTRTTSEVARRLVSRGFMIDYAPGDKGSFFRVVVNCQTLS GTVEGLVKAVEEVGKEVWNENKA
SAPIO_CDS4463	MSSSGLTRRRGAGAGGGDGEAGSSSPGAPRAGSSSNFKDSAPET SYESGENGHKIAFDPRDISESVERSKQPKLTLMEEVLLLGLKDKQGYLSFWNDNISYA LRGCIVIELAFRGRVSMQKDPSRRRFPLPDRIIEVIDDTLTGEVLLDEALKLMKSSEK MSVSSWIDLLSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHPV ADGGAKEELRRRVRNVLTQRTVVLAGNQFLPENLEFRYLRTIAMVCAAYAANVLENAL SSLGHEARERAFAQTDDLLADYSQWPFGRKAVGNGVGANLPGVIAEEVNNAKDRELQL EVVAACLNVFTTLDSLL
SAPIO_CDS4464	MGPSVLQKRLAEDENAFSGLLSLIPTRMHYADDDEPQSKKKAKK AKAKAAKAAQAALDAENAVNDGDDNDEQETASPEKEGNKRKLEDRDAEADNKDTPADD ETATVQGTPGPKKKKLKVDSPTDEKKAADQLLDEATREAKKARKAEKAQKLQEKKAAK KAAAKEKKEKKNKEDKEDVTAPATTEDIEMTEPATETAEQTNNVPQADNESSTSSEPH SPTFDSSDALSQQATQAEAASTTTSVSSAVPPSDKPKHIKIPEDTTAFRERFAARLAA LRAARKADGPDGKPIRSRQELLEQRRQKQAQRKEHKKEMRKVAKLEEERKREEALKAN SPSVMSPGDEFDEGNFSFGRVAFGDGTQLSHDLSYVLNREHKKGPSDPKTALLKLQNQ KKRLAELDEEKRKEIEEKETWLTARRRAEGEKIRDDEGLLKKAVKRKERTKRKSEKEW KDRKQAVESGIKQRQKKREENIRKRKEEKKLGKLGKKKGGVGAKKKNRPGFEGRFGGA GKK
SAPIO_CDS4465	MVTARQDRAAAGISSPELSSPPSVISPPASPPRTYKPTRKDMDE IVVGSEAATKDGQPVQLTAAGLPRKKPGRKPGTTVKPKATTAATTETTQEAPKQRKPR KPRDPNAPPVQRKRKSAPNTEANVGADSKSLASGASNASPTPTSATPPAVPSRQTKIT DLVSVEPKTEPKTPSQQDFPVKAPKREPHVSSMKNILNATDVPVRTSGQNYDPIRGNY DPVRETMITRDPYGTNSINSPRQPTTQVSSRASASPSISILVDPMPHAHPISPPQVSR QSSQQPVLPLRPTQEPPSIPPSPTPQVPRSSLPDTKPKPTSFPALAVETKKAASAKSE TQAKDKPAKESKHGQTSKKPSPKQRIAPTAASSPKTHNLEEPSAGGEKENERSILDFG KAKPGEEFQAPTIVLEISIAPGETNKYVNFMRLAEDRYGWDALHPRLAAHRDRKARIA AAASALEKSGSGRESGDEMSEDVSDGEGASADHAGGAASGVDVPAKPAKKKRNFKEDE YDREDDFVDDSELLWEEQAAASRDGFFVYSGPLIQEVEKPAVSEPPKRGRGSRGGRGS RGGTTRGNGGTGRGGGPGSRGGGAAKRARGAKAKAASEREKAEKEKPAKSTSPKPNSN PYGLLQPTTPLTATATAS
SAPIO_CDS4467	MGWFNRKIVVADSAVTSAASLTLRQSLVPNALVTILFFLWGFAY GLLDVLNSHFQKSLHIDFSRSSGLASAYFGAYFICPPTISGWILRRFGFRITFMTGLS VLAVGCLLFWPSGVKKSFGGFCGSMFVVGAGLSTLETAADPFLSICGPPKYSEIRLNL AQAIQGVGAFVAPLLASRVFFAHTIDTDQGLKNVQWVYLGVACFVGLLIILFFLAPMP EITNADMDAQENAIGEVDPGPFRKQYNLFLAVWSQFCYVGAQVAVANYFIPFAAQTGR SDATSSDLFAIAQGLYALNRFIAGGLMTIPAVKPRYVLAIYLGLCFVFIVAAMTTTGT TSIVMLTLVLCFESACFATIFTLGLRGLGRHTKFGGSLLVAAISGGMVFPPMTGAVTD RKGAHTAMAIPMMGFILAWVYPLYVNVWNREIMDTHRATNFGIEGKEDIQGKMESQND TVPGKADVAMNEIAPTKSSS
SAPIO_CDS4468	MALPLQFAYRTQKAVGVVDADPVYKPVLAITDVNCRCSQYSPCG RWFAWATTTEVSISDASTGSNVLIMPLSSVYELSFSPKGTFLSTWERPSKDEAGDATK NLKVWRVEQTSEAKPVGQFVQKQQDGWNLNYTSDEKYCARLVTNEVQFYQSNDLQVVW NKLRVEGVKQFSLGPGQLRSVAVYVPPKQGFPAAVKIFDVSNFTSPIAQKSFFKSDKV KLDWNSQGTSLLVEALTDVDKSNKSYYGETTLYLLSAKGDFDARVTLDKDGPIHDVAW SPNSKEFGVVYGYMPAKTTIFNHRAVATHSFPIGPRNKIIFSPAGRFALVAGFGNLAG QIDIYDLEKDYRKLCTIESGNPSVCEWSADSRFIMTATLSPRLRVDNGVKLWHVTGPL IYTQDFTELYMALWRPVPAENRAKIDPLSAIPAPHPSATAYLGTVKTPSKPAGAYRPP GARGLATPLHFKREDEGGAAHVMTSSTTATSTLGLNGFGRARRVVPGAEPVEAPETRQ VPGAEPVDGDENLSKAALKNKKRRGRRGKGEGAGGQNGAGADGETAATTGGANANANL APPRQNNNDGRSPERRSNHRHHRSVSRNPGSRSRSNTTRGVRPEPQGGVLLNAGPNGP NGQHQHQQHQNANNGSAAAAATDAAAQNPNAKKIRSLQKKIRAIEDLEMRLARKEKLE DTQMKKIATKQAVLAELATLETSR
SAPIO_CDS4469	MTPVRFLTAQHLQLLHYIHITPLVFKTPSTRKTTAPIDTSTTDQ DSSICDPCYLESAASSPINHHHYGGVDDTFQLAALLAEKVALNHAFRDGNKRTALYAA DMFLRVNGGAGLLVDDPREARGISPRGLALADAMVDVATRKWSAEDLASLRRKRRVRW SAEGMKLKAEPWVELSRLEERRMRDAVLAASVRLELYQDYKNKVQRAGQPLCHPSHAT KLTIDDGNADAVLAEL
SAPIO_CDS4472	MEPSSAECLGASPTLDTSNISLQSRIASIRYTSAEHGDLKGFFV ETVWPKLAPCYEDLVGEVQKICREELERQRIEFWISGRVKKAGSIEKSIERREKTQLG RGGRGYESVEDIFRAVHDLAGVRIVLRFDTDEEAVKELIRRRFEFQDETQFPNKNAPK PSYEPRFGSYEAVNYRFKLRSEDTKVLSSFSGVLFEVQLTDIVNYLHNQLAHPFLYKG IASDLGVEDRIVIDISRGLARSYALCLVYFKDKEGKRLSKSKVEAELGQPLMKEIGLH ESNASAPVPNPPLQTTTEDTASEFVENLSQRAGREMRIEDIRGLYEIMRGGCDSITDL RLQLVRELDKIRNTDQPAVRLPNVHAAAYDSGDDQHLSFCQDGTRVELLKEVTGWIYG SHEETILWINGPAGIGKSTVSRTVAHMCDGMGVLGASYFFRRGEDARSDPLRIIPTLA TQLAATVPGFGKALRESLDDPRNEGIESKNIKKQSEALLLTPTRGAIKANSTTTSSRP MLIVIVIDALDECEGDPSVHQGLLKALATLGSIDRVRFRVVLTSREAHEVDAAINQDI PQRAWRALPLHKKFQEQTEVDISRFFEAQFERIARKKNIRETWPSASDLERVIELANT PSPLFIYAATLCRYIYKGDGRKNPKRLLHDWLRQVDNNASQLDQIYMPILDYVFFGRH KDTDEPDPLNEEEQLQLRQLLSALVVLGTPLTPQAISDLLEIPLDDTDHYLRNLHAVV HLPEDPDMPVRLFHQSFRDFLVAEGKGREFFHVDEREANRWLAQDCLRVMRSLLKQDI CNTKEPGILRHEIADEAVENHIPQHLRYACCFWLHHETILDVAEVREFVYTHLLHWIE CLAILGKYRDCLELLEHLLDKCRSTPELGTNHDICRLVEDALQFAKIHAAVIRRAPLQ VYASALTFLPQSSRVRSHFWKDRWPYLKIAQGIKQVYSSTLEGHTDRVTHVSFAPDGK CLASASVDLTIRLWDVDLGTYRLLLDIDSVAHDIAFSSDGATLMCVTRTSVFSWDVTT GTPQNIAWPTLPKGRRSLSPSSKMLTIATREHKEGAIVGHIQVWDVAQRSRKSTITDV RWLGYFAFDLESNMLAFSSHNSISLWNIPGDTMDWSVGTPKSAEVGSLVIRSNKVWAH VRNNIHVLNIKTGGVDREINLAEIGEISDIIVPTDGETIIGSCVDEKIRIWDSVKPRK GFVIGSAEGITGRLASGPNGRTIVSPSKGNGIRLWTIPERWREINQLSHGPARGNVKC VALSPDGTTIAAGSRETVQLWNAETGSERRVLTGHGSPVDAVAFSPDSRYLASSSSGV ARLWDMMTGEEKQSASCVSGSYIGTNAGEPGQSPDRDSRWAECGLAFSGDGQALACAS QMGMRVWDGTTGVFRFTSLFFKAQDRSKAFPTDRCTIFSPDGSTLITANGNAALFYDA GSEGIHSPYGLEYDVSPLLDLGG
SAPIO_CDS4474	MAKSDSPADGTSVREDEAVGDINSSSKAPDVAEGTVDMVAYRNT VPLWLRVWRHSFTQMMLLSMQAFCGPAMSDAIQGLGGGGLATPQTSNIATAIKYSMLA LVCFFGGPIVNKLGIKWALVLGAMSFPIQGSSYYCNSKFGNQWYLIFGAFFYGIGTGC WYVAESGAIMTLAPERKRGKYLAFWIVSRNLGQLVGGAINLSKNYQKGVKGGVTADTY VAFLIIECMAFPFALMIAPLERVVRSDGSRILMAEALSTKQEIKRISRTMASKLIVLS AIWAFWSFFYGLTDIQRHMVYVSWHVLFCALSRAIFAYFAILLHHIETEPSVGCFGLG FILDMKGVSQRRRAQIGLFTVVILNVGVYIWSIIMQVRFNASDPGAIDWDEKLYPSAF LPYFFVQTTGPMSQSYMYWLLSSFATDAQANVRNGAAFRCIEAVGQAVAYGMNTKTKG SPLVGFCVTFGLLAAALGPMIVLVNSTPDRIPADVIVEEQDRAQEKGVSASVPVDTKV 
SAPIO_CDS4475	MGASTALHLIRTGYCPQNITVLDTYPIPSAQSAGYDLNKIMSVR IRNEVDLQLSLEARYMWYNDELFKPFFHNTGMGWKAIFAKDGGWLAAAKAINAIGEVL KSRGVNFGFGSAGSFKKPLFAADGTTCIGVETEDGTRYFADKVILATGAWSPALVDLE DQCCSKAWVFAYLQLSQEEAAEYRNTPVVYNGELGFFFEPNEHGIIKVCDEFPGFSRF KLHQPYGAAAPRAVSVPRSHARHPTDTYPDASEVSIRRAVATFLPRFKNRQLFNRAMC WCTDTADAALLICEHPRWKNFILATGDSGHTFKLLPNIGKHVVELIEGTLAEDLAEAW RWRPGGDALKSRRAAPAKDLADMPGWRHDPAL
SAPIO_CDS4476	MCGTRLVTAKVCLLTEVVRRKIFTLNTGARMPAVGLGTWQSKPG EVEEAVESALRCGYRHIDTALAYGNEKEVGVGMRASGVPREEIWLTTKLDNAWHKRVA DGIDESLKNLGTDYVDLYLMHWPVALDPSDETKVIDGWDFVDTWMEMQKLVATGKVRN IGVSNFGIGHLERLLSAKGLEIVPAVNQVELHPHNPSPKLLDYCVGKGIHLTAYCPLG SSNSPLASDEIVRSIAQSHGRTPQQVLLNWGVQRGTSVLPKSVTRQRIRANIDLEGWS LTDDEMAILSAVPNRFKVAGDDWLPVRVFFGDDEETVSKGNL
SAPIO_CDS4477	MSSSQAMSAEKPMKQDDMKRALALLDEQIGESDLLMSVAPIRLI SIGGSLAVCVLGNRESSYDIDCVLDPNIAAAAEYADEFKRAVEKVATKGDLANLWLNR QLETFISRTKRANLFMESVQQGIPIYSGTNLTIYAGRLDWALERKLRRVAHAKDRRKQ KDVDIPDAAALIRRMVSDSGRPLTFEYTSSLNYNGFDVPPTKGALQEVAQYYAGVYGD VGLVELVWDDDHQKNKYRGLDDQWVWYE
SAPIO_CDS4479	MPPNHDQTGSCPPMKSMSLPLLRPRVLLAPHVNALDRQVETKTH KRTRASKPKSRSGCLTCKARKVKCDETKPTCTRCAAIQKQCSWPVHCPRPAHPRKSKV EAAHRPLYYLLPRPSPVPPTVVASGSDTGGAGPDWSKTQLPRPLTGLPSLNGTEAVYF SIFRYQVAPELSGYCDTELWSQVVSEGLQDSCVRESILAVGALAKAIFWEGTTTTPQR HTLSATRPPPSVLSPWSAQNIANEHHRASLVHHLNAISAFRVSLGGDGSEDPSRHRKI FAMTLLLIVYEMLQGNLSAADNLVSCGIGTLQRSITLFSGAELATHGLEDVEYVLPQL AVMGLFTHQLKSTWSYVRHLRVEPEFRFPRPTIDPISKAVAYWGRFYTLAVTSLCLTT YDNNFCPFEVSVRRAKFLSCLCRWKSVLEGYLDDPKTSAAGSRTLRLLLLHWQVIWIM VSCCLDPTGVELDGFTAQFRDLLDCCIEFVDQEMTGKAPALMMLGEGVLMPLLCVVTC CRDHDLRMTAAAVAYSLPWREGTWDTKVVLLGQLGAVMMEEKGRGPDGFIPPESRWYP MRPGNL
SAPIO_CDS4480	MVQIFTTAAALLALASIGRVASAPTEPQGNVTFVSRTLEEARAL SELFKRDGPAEPASLESRQVPAFGAYFENWAFQGAGFYHRANIDNKGYYIGDEWNNRI SSIQNFDYDKKCTYWTDWDGNSACYGVGIVLAGRVEYAYLDYPFNDAISCRQCGWN
SAPIO_CDS4481	MNSPTNPVPDPPYVADSVIHTVGESIATRLEEVVRSREDATPDF ACPDDADEGSQWRCETLRNHFKPAEADRHRMQISQSKYWETEYLYYDNALREKLSEKV NSAHSADYDSLADHWRALARLYQNLLRLSGLSTTEIKELRLSIKDQKYWEYEADIYQQ AIRAQEYKLRDALLKRDERRRRRLARATEPAKRTQPTDKQGPPDGGVASRTRSRMRAS AGAGRMKPDLALPPPFPRQSRRLQSPGVLLLPENHTQHNILLIVLSILGMSTTKALDL SSVVLILGSFVGVDNILKLSDNSRYEFLPRDEDTDESWKGGQAGEQMPRPPFLCLRIG EHLFDPQGWVLGSHDDSDQCDLQLAENNQTGISRRSIRLDISPVTHYPRVTALTDKRI SIELGNRSFRCRPDEPIELLCPATVDLGAVSFRAWAPERTAAEAREYGKLARAFSQDI LSALPKYIPSIKSHPETEPHNVRYGRNGAVYVGGWRVESKGMHASVMMVKDRKTGRTF GAKEPYYRTTDNPDIARKRFETLQKEYKYIMQLDHPHIVKAYDLVVAEDVTLPPWMIV EYIPLNLRDAMPNLDECDRLTVMTHLSSALHYMHGRGITHRDVKPDNALVQRDDHGLT VKLADFGTSKHNESGKMDTFTGTEIYMAPELFETPRNYTNKIDLWALGLVGMHLFTSW SPSRDSRSDGNDFGPWMRGVIIPHMSEAPQQFRPFLKGLLYREPKRRWTAWKCLKWLW GNIHLDNPLDEHLGGREGLAADLEKRSAATLGGSHENDSTARRRRSPNPSLSTTRART AHLTSQGPEEGSPLPDGLFLGTSIPELVSPALTPHADDAASESNDGGDADSTEEGNVS LEDDWGGDSGEFGNMAA
SAPIO_CDS4482	MPLLYQRNIKYGKCSGLKAQLTPLETKHPIGRFFAEMEEDLEEY NHPSQLTTPDGLPMPVTEEIAIRDAVIGQFVKHGANLDALDQVKYGQDMSLLHGNAMA RNAPSTFLLVKHGADIHATSRYDKRTALHWAAVGGCAKIIRFLIQKGSDVNAREWEER TPLHFAAQEGHVTAIRILVENGADINAQDEEGCTPLHLMMMNGKPAPDSWCIPALRAM FELGPNTELGMFEDNKTALHVAILNERDMDFMEALLESGMDLNSRTVEGRTPLSCCVE RGDMKTLRMLVEAGADVCTRDEEGRSLLQIALEDEDRAYGCLPTLLEEGLFTLDSDAG DGKTLAQALKERGWVLRQRDDHAVAAVLQDCAVKTWPYKLVSWVLETLLKEPNGAFNL QTLTPVLHLQRRCCKAHEKSSCISLERNAKAIQKERWHESTTSGGCSGGPGSVCCLDV NKAYSRPPRQWQTNIIGRSFYPRQYHRQFYTAASTYRIIILSVRPREVSGFQAWLAI
SAPIO_CDS4483	MPSRAEITHFGAGPAALPTEVIENAAAALIDFQETGLGIAEHSH RSALASNIINEAKADLATYLDIPDDYEVLFMQGGGSGQFAATAYNFVGAWVARKQKEL GGSGPELEAAVKNLKMDYIITGSWSQKASAEAERLFGAEHINVVADSRKTNNGKYGTI PDESTWNLSQDAAFVYFCDNETVEGVEFPEFPKSLENGQIVVADMSSNILSRTIPVNK YSAIFFGAQKNLGMAGVTVVIINKKFLPPTTSQPPAILMRQLGLPIPPRIFEYETIAK NNSLYNTLSIFDVYLAGQVLKRLLKQSPKVQGQQAIAEKKAELIYAVLDAHPEVYRIT PDKTVRSRMNICFRIEGGDAAEDVFLKEATALGLTGLRGHRSVGGVRASNYNAIPLEG AEKLAKFIETFAAQRKTS
SAPIO_CDS4484	MASIDPAATADVLSKTLSEITEHSQIYIHPNAFPFATAAIRRIL SLLTQALLATMSLAAGPLVDDRLGSLASAHPPTTDTTGGAPDPLAITNAALPPGPASS PPTGPNPVRRAAAARLHPS
SAPIO_CDS4487	MASLNPKLIKRFETTAVYTHPSATADIVFVHGLNGSPDHTWTAK NNVFWPLQLLVPSLIHTPANVIVYGYNADVASWTKDSSPSENFIYQHAQDLVTSLTTH RRDAGTARNPIIWVCHSLGGILLKRALLYSNDLRDPDLEIQRSIYISTYGIIFLGTPH TGSSLAPWARVLQAMSGALPKKFLDSEPVLLKTLKKDNVVLQEINNHFLDIYQRFKIH MVRENKKTDLKYTVDYVVDSQSAGPQLPGVTYYSIEATHSTMCKFETADSPGYSNVSS AIRQWVQEAPDSIHTRWTLEDEEKNTRAISALNQMAKQLGTASPAPTPAIGFQPPSPP SPKDTLVAQETLVPVTPEPLATAPSEIPSEVSKGKQPAPLLMYPYQFKPNAHFKGREQ ELAQLHSYLRNKNRRGLGTSAVLLQSLPGGGKTHLARQYIQLYGTSYKGGVFWIRARS EQEMEDAFWKIAKTKIIEDAADEPWKQNLLDPKRMVKTVRNWFESFEDWLIVFDGIHF DSHREAAFIPHAKNTSLLYTSTNRAAIGDYKFDNPILMELGPLSKEQAKELLLEEMGK QPPFSKYDLSDAEEAVELMDRLPLMIHVAGQHLKITREPLRKYTRAFNHAREAGTLDA YENVKQDLERRGAIEALNLMYILSFFAPNIPVEMLALGLGALDKRTPVKAADASNRRS LNYTLSILIKYALIGRNEEDDSSSTSSPSKRRHRGMATDHSDTLRLHSIIQRFFRTKM GAPKEVAFWLERAVAVFCRSFDVADSRIRRTPAVGLPEDYRRYSIQGQQILAHVDRHT KKHAALVSCRVSVIDRLDMVEQSIANLTRAVSSDLKSRRTDRRSVFEMSSSSCSDSDS SRTSERYTPDREEDEGKGWMIHEEMAVLESPAPYDDLGHHPWDEFPYPQVNMMPMPPL PEDMDLSDTEYIGTPRPRPQTTFKSKTKEDLVNHRTVKKMEERRYHDRKGSMRYVKGG PYSDVRVSVNVDHEPPKARMFNSMPSDLTEDEVEAAKAERAKNSKAKSQLLRISSSQS LGRGKEKSKTLPNGGNKSASPFLQFFAKALRKSPVAQSDVTWSPSASTVRVGEHDTPR PERMTPSTQFSPDPNIQSPSHGGSPPIRTPSQDSWAYHHDRMSKSELVQGTTSPPSSN QPSKTGRKRGDSAPPVWQVHTNEYLDDEDLRAGLPVDIGANPHAGDVTVWNVNLRPTG YSSQPMSRQTSDNPNTGSRQGATAQSLGSSFPPAVLRAIPLSDRPPSHIETEPSPRLV TLADAGPSKNWEERHAIRGRARFSSSIPASRLSHMSPSRISNATGSRPVSRGEDEGPG SGGILTGNGSFVSFGNNATEAQSQGPLVEHDEEEGSRTQTRQTQQDRDQALLGVGLGI IEG
SAPIO_CDS4488	MLLLSCVLSSRAISLGHGKHASTHSRETLLSIAVVSRLADMFVT LSIFWSKLFVVAVLQPNVPSVSRRRKILDVVVALSALGMAAAIVLASIPVDKVYCSTG GDSCVSLGSFVTAARLLAFLVLIATSIAVRLSLEPKERIGAFVAIALGVCAALAALIK RSKYAHLEDGDVTYHGAYILLWSVAEATLTTIAGTLPSIRPYIKDTPHRSPSPPPESV PLRDMNSVDAEKGQSSDSELHESEVLYRSMVRAGLL
SAPIO_CDS4490	MEAASARAAARDRWNDFGRSTPSQLQRFIQSACSPENFEPNLAL CLEIADLVNSKKGTAPREAANTIVSYINHRNPNVALLALHLLDICVKNCGYPFHLQIS TKEFLNELVRRFPERPPIRPTRVQMKILEAIEEWRGTICETSKYKEDFGFIRDMHRLL SYKGYTFPEVRREDAAVLNPSDNLKSAEEMEEEEREAQSAKLQELIRRGTPHDLQEAN RLMKIMAGYDTRSKTDYRAKAAEEVAKIQAKARLLEERLEAFKPGDTMKEGDVFEELA SALSSAQPKIQKMCEEESEDHEAVAKLLEINDSIHRTVERYKLMKKGDLDGAAKVASG APISTAASSSKSAAAELSLIDFDADTPTTSAAPAAPVASTPGGLEDDLLGLNIGEPVP TSFGQPGSIALGFGANTGIPGPALLSSVTQSNSAGAPPSPFSSFISPSASTSATPQPP AQLAFSPPQPAADPFASLIGVTSPAPTSTTPAAPAATASNNDDDEWAFSSALPPEPKE YNALVSDAAVKIEMKAARNPFDQNSLRVIFLFSNNSYEPITELHFQLAAPKVYELKLQ PQTGRALEPRQIGGIHQIVIVHHLGANDKKVESVKLRWRVAYKANGIQKKDMGEIPEF TVP
SAPIO_CDS4492	MISRGIWRKRRNLYICSLCNRLPATRTPAAFAHALRWTSSDSSS SDNKGGPAVPKSTGWGSSSTFVPRTPKKTTESHDLSPDELAARRALQPSSASNGQGPR SNSQQPRRSNQYYTPRADSKSSTSHKPNWDGPASLRSGNGNNAAGQNRGGGNRPQRRN DRGRSSWGGQEGARMASPNVTGADDFWSLFGPKGSGDKKGGAGVSAEDDLPTWSGLPR RPWNNNGYSRNNNNNDNSADVSQISQGAWGRLSQAGGGGTAKGQQRSNTSQKGGTRRN QESQAPFRRANQQSESSNTWSTNFGQAADSRRTSGRNWTESSPSKNAWSSGSNQFRRS QPENEVPSPVEMTPPEPSRSTDSDWGSRQAHSLEADRHSPRPKKSRGARWSNRRDQDD EDGYDEDAEILAEERRRLKAEEKAARKARRAEKEVETKAQIFLPEYIGVTDLANALKV RVGDFLKALEQLGFEEVTEDSIFTGETAGLVAQEFGFDVSIDDGASRDIKPQPPPEDW DSVPSRPPVVAIMGHVDHGKTTLLDWLRKSSIAAQEHGGITQHIGAFVVNLSSGKSIT FLDTPGHAAFLSMRQRGAEVTDIVILVVAADDSVKPQTLEALKHARDAKVPIIVAITK VDKEDARIAQVKSDLSKHGVELEEYGGDVQAVCASGKTGQGMSDLEENILTLSEILDV RGDPDSLAEGWVLEASVKPVGKAATVLIKRGTLRVGDYLVCGTAWAKVRVLRNEAGQE LQEAPPGTPVEVLGWRDELPNAGDQALQPPDEARARAAIDYRREMKERQKTLDHIAET EHLRRQAEAKAEIEAAVAEGEGGSQEAAELEPSMTTVNFIVRGDVVGSVEAVCATIQE IGNNEVRPKILRSAAGPIIESDVEYASMSRSVIVNFNNPIPGHIKHQAEEAGVKIIDR SVIYHLADDIKAELSAQLPDSVSQRVLGEAEILQVFPINVKGRVYKNIAGCRIRNGAL RKVDTVRIFRKGKKIFDGKLESLKHGKKDVSEMKKGSECGLGCIDFQDLMVGDQIQAY EEVREKRTL
SAPIO_CDS4494	MARAGAPPGSNSRGRGGKFRKFTRGGGKHYSRDLRPQDENGNEI SMWSEDAQKHIAGLSSNSEEESDESGSEDERSGQALSREDRKKEKKARKEAAIARKNA QSVQVGDLPPSDDDDDDSEDGDMPANPNHSKAARNQTKIVDNVADDVSKLALSPNRKE RESADAQAAKERYRALHEQGKTDEAKADLARLKLIREQREAEAARRKAEKEEKDAQEA ARKADLEAKLAAIESKKAGKKLK
SAPIO_CDS4495	MDDLYDIYEDDAPDDDYYDIDDADDAYGSGGDDVDEYDAAEQDN ADSDDEFLAGEDAPEFFYFSNNPPYFHLGPSPQTPPRTPPRVPPTVASQAQDAIQSGS PTLPSPGSAISGRKSFMDMVLDVATSNLDSLVHKKLWYSMPSSVVLKVYRKAEKKNKL SMDAWGLLSGILYDTRDEAEDVFHREYRLDLPPRYFASTDTTSLERCLAPAVSLSFDF ITHLIIKDTCGLSAREFLCISDMKNLGLLRISEPRSDQEYVNNSLGSVERRITDRVIR AWSEKDDPFPVLRILQFYSCTEITPESMRYWSRFPALAVVTVRGLRKVWDCIEEGSVV HGWKIPTNPDDLQVLALRYISTCRDFESDTMRTESYAAAWAIARTNASKLSLLYGSNP VAKLQTPLPVRRWNGRMPTNSTKETVAISSIYSPWGIRTYADNPMWWLYAALGYILFN DEDLRARNPDIGSQYFAQRTYLLPPAPVMTVTLCPTGDPPKIGSPTMFLDYQHVRAAL HSEARLVRYRFVRKEFFDDIATREPGWTPGTYEEDYLRDDDDASGSKGSEGSMSSKSS KGAGSSGGSGSQARNEGSIRRGRKRKLGDVLASLSGI
SAPIO_CDS4496	MPSFGLYARTLRAYAHHGSNPVPVLSRAFSATARRAEINKVYPS AKAALADMKPNTTLLCGGFGLCGVPDTLIDEVLAKPEIGGLTAVSNNAGTDKSGLGKL LKTGQIRKMVASYIGENKTFETMYLTGKVELELTPQGTLAERCSAGGRGVPAFYTPAG VGTVVQTGDLPLKNKADGTPDEFSYPKDVKVFNGKPYVLEHSIAGDYAFVKAYKADRL GNCQFRLAANNFNGAMGRNAKMTIVEAEHIVEPGEIAPESVHLPGIYVKRVIQSTSEK GIEKFTWAKDENDADAKSALGTGDTAAKRERIVRRAAKEFKNGMYANLGIGMPMLAPT FVGPEVEVQLQSENGILGLGPYPKKGEEDADLINAGKETVTLNPGAAVFGSEESFGMI RSGRIDLTILGAMQVSATGDLANWMLPGKVKGFGGAMDLVSNPKGTKVVVTMEHTDKK GNPKIVKQCAFPLTGPACVSRIITELGVFDVDFKTGLTLVEIADGVTVEEIKSKTEAP FKVADDLKPML
SAPIO_CDS4497	MESSPKRRRMSKDGATLTKTDLTFNSEAAAQIATASTFVLGAEE LLRDVQVDYKKVLGDVDKVLFRLREVIEGIEGHEAISIPEATKRIEKKHKIVIPWPLP KPSPDAPYKFAYAKPSQYNVVGSYVSRTMIKGQPRHGVDMVIEMPKELFQEKDYQKMR YFYRRAYYLANVAAGIKRELGGEMELSFEYLNDCQFLPVLVLTPVDKSTRGVVRVIPC APSGLFKKSKLLPSCNCNQRGSSQDRPKDKLPGTPFYNSTLKAEDTFIPYLQVLTLAR NECPSFDKACILGRVWLQQRGFGSLVSSGGFGHFEWSIMIALLLQMGGRKGQAALSSS LSSTALFKAAVQFIASTDFISKPFLFSSYKLGADAVKEDGPVMFDPVRQLNILHKMTP WSATLLRMHAKSTLDLLSRPLEDQFEPTFIAKADLPRQMFDTLAEITVDPAEVDLDLG GDLGLVRFQATRVYNVLHEAFADRAKLVHVQWDQPPPWPVNAAVPVSGDKISYIRIGI SFDPVHMGRTMEYGPPAEEQVEATKFRKFWGPKAELRRFQDGRILECVPWEGSGPFTL CRNIMNYILVHHLGRGAIPRVLSTGVEDIGPPISQVAVFNKVLEIFRDLEKTIRELEG MPLQIRRFSPISTQARYASSSEQDLASPHFPALEVVLFFETSNRWPENLVAVQETKLE LLLDIAQRLQETSSSIETYLGRDNVELGIENLGYLDVVPVAPITIRIRVHSDMERTLL ERQAANITFSPTIRAEAEQALEKFKWQYEILPLHCQIVSTFCTRFPALQATINIVKYW FESQMLSSHFSTQLIEVIVLYVFLQPQPYRVPSSVSTGLMQVLLFLSRWDWRDEPLVV DYDSHNISASERSAMYKKLEEWRKRDGNMKSHVLFVATSHEKSGEAYTRYGGPSRMAA MRMTVLAKAATKLVRQQQDFSARSLFQRSLEVYDVVLHLSEKELNRVLRAAGSSSAVA AKPSKFKNLEHASGLAAMPVATWPIERFVEVLRDVYEETLEFFYGDPRNHKKAAIGAV WKRRSGGQQKFRVGLPYNFRQADPSSDKVVVDRTGILAEIARIGGSLISEVEETSIPE DVGMD
SAPIO_CDS4498	MASTPRPRPGHFPQTPANGPRANGSRANNPRDSTASLDSGIGGS GGSRREKRYSLPDAPEANPVQPANPPVIPVTVIDAPTQRFYAAAVYVALLCWKLYDWI HVVENHEVSLALLVKWSIIDFIYLFGLPEMRIPWLELSQQAVLILYAGHFIMNYMLMF DIPLPFQGWLLAAGKAFFDKEMALSEHTVRVSNILHNESLIMGKQIINILPEGSAVLN PNGTPFCIGPDTPSARLPIRFNGTMPVEIELLRIDLETSHQEAVRLSKREVANIVKEM KNQMLDSGSEEAEGWYTVRKPGVYRLGQVLDEYKLEVQRTTRDTYVVPCPKASFRNPD SSNRCIRDLSDLYLDVEGTAPLKILYGRTVNGIDNGFHFQSLQPEGFTSPLVSAPSGI IATDADIDDISWVRPAKVRVPLNESLTTAGDWQYVINEVHDAFGNVVNYTPSPDDLEP KPRPKHLVQNFFVNERPRARLQGCDLRNPLKVAKGRATKLPVSIAMAGPARDDTGYSV EWRFTPVDKLTDSGDHGEHPEYGSFNGKNSKEAPKISQPGLYTLTTVTSKYCQGEVEE PSSCMLLNPLEPQLSIRAEDIADKCAGNSVGLRVDLDLMGTPPFVVRYDVITSTGTKH EFVEIKGLRHQLELRPKEAGKHKYVFRAIDDAVYSGQILSGEGMTLEQDVKPAASATI LHPSGSTTACLDDEVDVDIMLLGDPPFSLEWEIVHDGKKKPLKVSDIMESTYRIKTAP FTKGGDYVLALNSVQDKSGCRTFLKDELKISVRRQKPRAGFGLIENKRSVMAVENTKL ELPLRLQGEGPWTVSYRNRDVDATLETTITNPNGYIPVKYQGIYEIIDVSDRQCRGSV DPDASTFQVGWEPRPDISLAVSRSISGEGRVFIKKDVCEGDIDGTDINLSGRAPFHVE YEVRHTAPTGSGTSIQNKKLDVAQFKASIPLDTSKPGTYVYRFHSLADNLYNSDRNFS PLVLKQVVNAKPTASFVKPGSSFKYCMAEQEKEDVIPITLTGQAPFYVELEIKHHSGV TPETFTIPSIESNSYGIQIPRRHLRLGTQQVRIRTVRDSRGCQQTYDHGGPSVQVHLY DAPAIYPLDTRTDYCVGERIAFTLSGTPPFEITYDFGGKRIAKSPTTNFRRIAESPGI FTVTSVSDKASECHAAVNITKTIHPLPSVRISRGKQVQVDIHEGTEVDILFEFWGTPP FEFTYTRSSNARKGHPSTVLETRHDISYDATKIVRASLEGTYEVVAIKDKYCAFSNQQ VERKDDKKLLKY
SAPIO_CDS4499	MSPPPETASSPTSADSPSSSSLPQSSQSIAPDEIVPSRHASPNP ALSPTSQSAGETNRRSNEHRSTTPEASVHAFSPLPENLPDADASTENQNPVGNALPKE EPGAPGLNGSATAGARRNPKRTASSALMDPPLSDIPIPDNLLEEALAPLTQEELSEWK GWIEFESDPAFFNTILRDLQVKDVKVTELFDLGDLALLPKPVYGLVFLFKYVNDDWDV EEESDTEDVWFANQTVDNACATVAMINILMNSPAVELGEELRRFKEATQRMSSARRGK ALAENQFMRTVHNSFTRRMDHLNTDLWLHDKAMEYRKKARRATKKPKKGKPTKKKAPK SDAGFHYVAYVPVNESLWELDGLRSRPLKVGPIDPTSWETDAVEHLYSRMCQYDEGLE FNLVAISRSPIPTITAQISQQIHSLRELRRLTPLCTKDWTTLQGSHPFNFFEANPDLS RFQLTEAIISAAPLPQDLEPILSDLSDECTELPQRCVDYHASILSRLRHLIEDYEKEV AFVEDAERAVKGRKKDYTPAIHAWVKKLAEKGLLEDLAT
SAPIO_CDS4500	MEAEATTQPRIPASLELFPNEIILHILYFLPPEDNLLCFQLLSK RLNDLANKPFLWRHHCSNAFKYWNPDHEFQRKLEGPVSDVDWKRLFILRKQRNARIAH LFDGILATKLGRLRKFEQICHLGYDAKEFLLDQCHVDDSVEDVLARRYHANSILDSIH REVAIKEWKSFPRDIEINNGHDTVQTAGGRRLNTTVERCLAAFDMFILHDQPGDVDEA SSPPPLPPPLCPTISQMLDNLAARFRRLHPDFDDLSTRDKALTLNLWLRSQKLLGMDS PGRNYRRLRNCFIGQALRYSPHQSLPLVSSAIYCSVADRLGFDARPCLFPSHVHTLVF PPSGVSLDGVPLQPVEDSDEPPPLPEGMHLDPYGTDEEVPKSYLLALLANFGWQANAD VFLSSAQPRNLIMRLAHNIRAAFTPDLSGPNQPEPYVPASDTSRPTSGSSVINRDAAL LAFIWARLMLLPPNGLEWVDSLHRIFDRLTTSWIGDTWLIEKYICPLYDMAGPHGQVW DNPRNLVQTKRDQDMVKLRVRRRSETTANIRYKVGQVFRHRRLNFLGVITGWADDGPP VAPEDIMAPIVDTSESGTVYYTCMRMDSLNQVKVLQHTILPVYDRAQIPDELFELAGL YFKRFDKKTCRFVSNIREEYPDD
SAPIO_CDS4501	MVQPGFDSLDTPRTNPGDATYLSRRPDFDITQEPSFLSPPKDGN NLLNQLRNGRSGGLNLRTPRGRAPFHDRRNLPANLGGAEFTPMLKSATRSVRRAGKEN GVPATPAFDTLDSDLTPVPAGEVSMFSKSYADATMPVVDSSSTASTPMALPTRRGNGK GPLQDGNQLSLREQENVIDKIEKENFGLKLKIHFLEEALRKAGPGFSEAALKENTELK VDKVTMQRELHRYKKHLTTAERDLETFRQQMLELQERTKKKYADESQKAELEQLRRIL DDKEAEIEDLKHELGEGQKDQARVDKLRDEIVDLEADIREKDRVISEHEDNIDDLKDK LNGDAQAKDDLIEQQQEEIQRLKKSLAESEADKKAAQRRAIGLEEKAQNNHELDEAHE TIDDLERNVRVLEAQVDEMKDKLADAISEKERAKNDLEELQEEMANKSVVTKGLSRQI EEKVTRLQNELDQAGHDYADLERQFSECHKENEDLRTKFREGKRERDSSDRELQTLKS EYGDLKADFDAQKDQISMLETRNDTLRSEATSLQREITQFKHSISELEDSLSKERQHA LDIERDLRNQYRQEIERLNDEISDLQAEIRERDNLYDNDSDKWESEKRTLESERDRAE EKAAGLQKTIDKLREAEGTLSNKETKLQEAIQSETERHKNEEAILTRQIQELQQTLDS RQAMLTDLRTEISSVRDELRQSHLDFQAQTERVNSLEDEVDVLQAALDDNSDKSRKDL DKARKECESLRREVETLRHAADLAKSATNASHETAQATSGSLDRLRDQLSSTSTQLSQ LTKEKQTLQDQLATANIDLHSLRSTLAEVKAERDELQSELHRSQKHGEETYRFDQERV DLRTAKIKLDNEVRRLKEENRLVSEERRAIEESLDAEIDRAAREEERLNEEILQLQTK LRQSSSSDNQDLAAARRTIRELQRRVDDYELQLATASSNNNPAFAGEGNSELSMIRRD LTEARAKELDYLQKETAHKDVVRGLKRQITELERQVHEAEMSRLIASPGSISNGSIRK SEIAELRHQLTAAHQTMNDLKIKLRDAERKASQSSKEVQMRLEELEDERLALEQALED TKNESEEATAQHERLVRKLKQKLEKAERDAAAAAAAAAATTRKKTTEDSSNAAERRDL QSLLRKEQAETEALEHDVRQQQEMIESLLAAEASLRKKLERARSERAAYRVSAEKLQR DVKALKAAQAVGELDNGALRLSASSERTAVNSVRSSAAAAADQEKDMDKDHRHEKEIR GLIMQMEWMQKRWEREAGLRADAGYAKKFLQLQLDVANACNKAQLRELESIRTELLGS RKSPPPTRRQRLLAKDGSEAASTPTDAPPRPTLRTVALAARFIARARIAARAWAKQEE KRRRLAACVDDMKRRRRAGRQSGLGGRGVIA
SAPIO_CDS4502	MSGFSGYGGGYGPPQPPPGGYYPPHTQPLPHPQHPPYTLYPQPM PPQFPPQVPFGYPQQQPPPYAYAPPTTLPQQPYPPFANPSPHPGQTSPTTGPLPPQQQ QPPRGQPQQQSPGSQAGSHNSAPLPLPPTGAQQFGHGAPPSYAYQYSRCTGIRKALLI GINYFGQRGQLRGCINDVRNMSAYLVTHFGYKREDMVILTDDQQNPMSQPTKQNILRA MHWLVKDARPNDALFFHYSGHGGQTKDLDGDEVDGYDEVIYPVDFRQTGHITDDEMHR IMVQPLQAGVRLTAIFDSCHSGTALDLPYIYSTQGIMKAPDLAREAGEGLLQVVSSGS LSEAANGFTSLLKRAATGQEAHERTMMTKTSPADVVMFSGSKDNQTSADENTGAMSWA FMSALKKNPQQSYVQLLNSIRDELSTRYTQRPQLSCSHPLAHDAELRGRHGFPDSTFP TMSS
SAPIO_CDS4503	MFGISLPSLGSAARPKVDPKDVPAPTRVIFPPQHVPDSSETGSE AVDGAAPPGSASLVKTLQAICRPGDITGTTFDAFGLKTFYDATPAEVVPDPSYIPDFS TWDSLTIDEAREKNASTRLPLNNGNLSPGCQTYVERKSELSIPNQDAFRTVRRLPAAK GKPQPRLGNSYEFFRCLELFTTYWDDPTVPLPKSSSEEPSTDPSLPASDPAVVGSTST STSDDNDGYYRTMTGTAMPAEHRHALLSSFIRLVAYDFGCNVTTPRAEPRLQLCTPLS AVDPGHQPRKSYVPSGCIFVFRTPTKREHARAGMVEGPVAAVSARGTLSLDLELEKNQ DLAREILAALVTAQHRAREGKTEQRFGEGQWWTTKKRWGGGPGGPIGREVDKDDVVGD KDASSPPTKKPRKTMAVYDNYRMIRPPSLSWDKKTRYEAIGRPAGVTEYDDIFVISSL FHHVSVLRVRVPQRLLDVIEGADEETVRSWGGLVLWRSKWYDFFVAEERIQAMKLLWG VFAYQMRKTDSEDTAMEG
SAPIO_CDS4505	MADPLSVTGSAVGIVSLGLTVSKGLIKYIEGVRGRKSNTDNTAR KLKCLLDSLNVINSQISDPNFRPKQDLLSNIERSIQDCKDSIGKLEAENKKFLDLPMD SIPTVARAGLRRVAYPFKEETLRKLEGDVEAAISCLKLALDALQKHDRNEMKLLLDLV RADQISSDIRDWLKGPDASIEYNAACKKKQEYPSTGLWFVKGKPFSSWLESSNSLLWL NGFAGSGKSVLCSTAIQSTFSHRGANKRIAIAFFFFVFNDKSKQDVSAMLRALILQLS TQLEDRGSLLELHNRYRNASPPDDALVEYLHQLISMFDHVYILLDALDESPRDKSGKR KDVLNILVEMQNWAEPGLHLMVTSRDEPDIREMLSAPKDKIISMWDKSVDHDIATFVS KRLRDSKEFRVWEDDYDKIETAFTCRAKGVFRWVECQFKALENCPQSDDELDELLDSL PDSLDETYERILLNINPRSVKYVQPMLTLLCYAKRPLTVPELRDAIAVDLSEPPQFKP GRRLKTVDAIRRICPEFIEVDEQPDGEPPTVRIAHFSVQEYLESGRLSSEIAKFSNTK AFESWVTIWNIDEEDGKKPIGEIPSPIYYTSLIGLDSVLTKLLHSRVGNISDVNAQGG GRFGNALQAASLGGYEAVARLLLEKGADVNAQGGYYGNALQGASSRGHEAIVRLLLEK GAETKGRKL
SAPIO_CDS4506	MDNMHSQQSMNMSSTWQQQDSVADKVLKRAEVSKNRLALAQFKT KHGWEDLTLDTIEPKVEEERRRRRLIEGDVLSDSSSSTSDIYPSRTLMSSPLKAPGPM FSDSIGPSTGHRKRSYMGSLETIDDLSPSKRYRLSPSTHKSFPSGHATWKDMHQLAQS SPIKPRRQQHFTTQAGPNVSFLTSPALLLQSDDEDDMLPMHSFNVGPRSSPPRTPPMR SRPLNGRRSRERLNGNDLGGAAKTGEEGADLLLYLAASPSPAVGSRRNMEPPSTPPPK NNLALPSSMMVTPGGSGLFPNTPGQNFDFSDFVNITPSPAQKPWKTPVTLSSTRTPLS VARRRLTFDEPLV
SAPIO_CDS4507	MPRPPLTSHSAPGSIRNSVSSMSGLGYNYPPLNRKPSVPLSQYA PRISNISENAWVYQKVILLHGTIGDPAMQAIDGTVSVTRLDDSFPQVNWPVCSSHFKA LVYLQPGANRIRLEFTNPKLRSSAPVHVSCLTLHMLPPTSSPPLQLAILVAKDSPETF DAMPARIEREGNDLDLAVRKFRMAAYLWQAFTAEQMFRNRLGRRAFRFEEEWTLGSST YRDADLCTMRSEAKVHIIRTDKTVEEIRDLDKAQQYNKATKKNALFDIAAEAVKNYFK PLPGQKQYVSVLILDAHWDTEAKTIRGHAALGGSAGANLQLAVFGSHCLQSYPASFEE VVPAFTDCTPTDTNHVANDCNQAGSSWEAANIGIGAHLHEVGHLFGCPHQESGVMLRD YVTLNRSFVAREAYCTRTRSRGGPVAMEDECKWHRLDCLRFRSHPCFRLPNDPVLHPD SSVQTYPVDNNSVAIMATTGISFLEVFTDGDDVCRTWIEYPVENGIPQRQIVLSEQDL RARVPEGKRVTKLKVCAKSYGGGSVDIPDFKAFCSKESAVKLGSTGRLGFRGQKVGLS RLKGSVPQEVVFMPRESKGQSRVVSKIVVFHGKAVDGLEFIFDDGSVEMFGKKGGKPG GDIFEFDIRRGEYLTGFHVRSGAWLDGIQILTSVGRKSPIFGNSQGGSPQTLIPPSGY NVAGVSGTCGSWVDGFSLIVSR
SAPIO_CDS4508	MANSNGTALKDGQAAQPAFDKQKLLLSADAGRFSLIRALHLADA ITLLNGLCGILSIFSALHYCLGDPQDPTLIYVALTFLPFGLFFDFMDGRVARWRKKTS MMGQELDSLADLISFGVAPATIATAIGFRTPLDALSLSFFALCGLVRLARFNVTVSSL PKDATGKSSYFEGAPIPTTLGIDAIMAYWVANGWILENLPFGVWGEGTLLEVHPLVLL FVAHGCLMTSRTIKVPKP
SAPIO_CDS4509	MAPEKKAVDAVTEGVKKVAIAGGKKEKKKGGAAGDAPQRPLELQ PAPEFLQRRIELFDRLKKKYDEEIAKKPRERITITMPDGSIREGTSWETTPGEIAKGI SNSLYKRTVVARLDGDPNKLWDLDRPLEANCKLELLSFEDEQGQMVFWHSSAHILGEA CERRFGCSLCIGPPIESGFYYEMALPDGGAVHESDWKPIETLVGSIVKEKQKFERLEL SKEDLLEMFNYNKYKQHIIKDKIPDGTYTTVYRNGPLIDLCRGPHVPDTGRIEAFSIL KNSASYFLGSQENDSLQRLYGISFPDKKRMAEHKKFLEEAAKRDHRKIGQDQELFFFH PLSPGSAFWLPHGSRIYNTLQSYIREQYWKRGYEEVHTPNMYNADLWRQSGHWDYYQD DMFVVDVDKTKFALKPMNCPGHCLMFGHRERSHRELPIRYADFGVLHRNEASGALSGL TRVRRFQQDDAHIFCREDQIKTEMDGLFDFLQEMYGLLGFTFKLNLSTRPEKYLGEIE TWNRAEAMLKESLDEWKVRTGGVWELNEGDGAFYGPKIDVKVFDSLRREWQVATFQLD FMNPQSFNLEYVVGEAPVAKKDQDPKPAAAPKPKVEEAPAADKADGEKTDGEKPQEKA KEVAYSREKNMRPVTAGCARPVMIHRAMAGSIERFTAILCEHFGGKWPFWLSPRQIIV IPVGKGFFDYAEEVRSIFHKQRMFVDVDTSGNTLQKKIRSAQLAQYNFIFVVGDEEMR GRQVNVRYRDDTSTQDRGKPVPLDEAIEKLVTLRDEKGMYNPFPAAAKKA
SAPIO_CDS4510	MSFRGAPRGRGFSRGGGRGGYQQRDMGPPATVLEMGKFMHACEG EMVCESINPKVPQFNAQIFLENKTPVGKVDEILGPINQVFFTIKPTEGIQAASFKEGD KFYIGSEKLLPMERFLPKPKVLGVPRVKKPSRGGAGGRGGGGRGGFSRGGRGGPRGGG FSRGGGRGGFGGGRGGGGGFSRGGGGGGFSRGGGRGRGGGGFSRGGR
SAPIO_CDS4512	MVTKSPAVSPVSTNREPLQDTTSPTYRSATPPKNMASSSAPRSS GYFPPYSLNQHQNDEPNDAEPQRGIGNDADDIDTEIHARYGSSYTDYHRHYYTHSAAQ PAAQEPVDSTQQEQLHPGQSSSLGRSQSARIAPRPNTPSREHGETDQRGSSGPEQNIN NNTGKMRRSNSFVSNVPDDIQLARGNTLRKKASMRRSGSLRRSSSRRSMKAGSVRSLA LQPDADPDQMHSALYCPVPTSASPTEALAERFQAWRKLLKELIAYFKEIQTHYEQRAK STTRLANASSNFSQHPSFLSSDGLADAMQIIRKYNRTAIQDANRAQEIEHDVILALTG LRSDLQQKIKEIKNLSGDFKNSVEKEMDGTRKAVKALQDVLGRAELDTSLATGRQDPY LLRLAVDRQVERQIDEENYLHQAYLNLETSGRELESIVVGEIQKAYNAYASILRREAD AADAAIEELRLGPVDMPKDHEWERFMDKNDEFVDPSIPMRSPENIHYPGRDHVACQEI RAGLLERKSKYLRSYTAGWYVLSPTHLHEFKSADKAQAPLMSLYLPEQKLGSHSKEGA SSQKFILKGRQTGGVHRGHTWVFRAESYDTMMAWYEDIKALTETPPHERTELMRSSSR SISRTSRRSMSSDAGVVDEEDEEPFAAGSQMGMNTAVNRQVAQERRPQAGGRFPSDLQ VNAERGLQATVSQSTSSSGGGAGGRDGANSIIANGGIPTGGVVAAGALAGSGTDEPVY RQNGNGSYQYGATAQTPMEQAQSHAAKVNREAAQDGVNPYTGQPIDQLQTTSYGNLTM NPALGGFQHQGTSTPSQTGSLNYVGQTPSHLDVSTATTTEQVLVHSPQIHAARTQSPA SQQITSAQTRASQVSPLDSQGPETAVNEDLTMRHNLSNGAAPAADGSVASMAFLSVSV SAGNHGEEGKKVSEGRVEEITEASDDGAAERPSEAERSTTQGSYHVPGQFPRSNSNVN VTRNGVAT
SAPIO_CDS4513	MTDLDATIGLQSEEQFWQDLSRILSTQCDTHEKIDDALRGWLYL ASAFREQFGDSDSEISHCAQQLLHRPIFQENKQYVRTQIVFSFLQEDDISPLHIISSF LLADGRSDDTVFGRMITEGCFPRLLELLNSNRQVDFRLHRTLLDLMYEMCRMERLRTT DLLQIDDAFVAHLLQLVEQGSGDSSDPYQYLVIRVLLVINEQYMLASTDAEAAGPLSP TSPLTNRVIKLLSLNGSSYRTFGENIILLLNRETETSQQLLILKVLYLLFTTKATYEY FYTNDLKVLVDIILRNLMDLPDEKMSLRHTYLRVLYPLLAHTQLNQTPHYKRGEIVKV LRILVGMGNHFAPTDDTTLRLVDRVNKVEWLSERSGDSSPDSPEAKTAPKFLGISLAD SRAASSVSVEDVAAVMEKPGVKTPSRKIESAAATHEHESAERGKIGEVQGVQIPVPVI KVKKALPEVPKHRHGVPFTQMATLHVNGTKKIPPKTPPPRRRGKLLNSQAKVEGSGVP GQ
SAPIO_CDS4514	MSATLRRRGGQPSLAVPVQPAESEPDLTHQADDKQHPVELHAEV VQEKPKTRKRRNTFIFLLGSLFGIVAAGFFASSNDLIDFPELGDLSMDSLLDVLPAGL VKDYKDLLKGERDMFDAYEAFSVGLKAQSEGLSAHHPIIMVPGVISTGLESWGTANIS RSYFRKRLWGSWTMMTALVMDKESWKNHIMLDKMTGLDPPSIKLRAAQGFDATDFFIT GYWIWNKIFENLASIGYDPTNSFTAAYDWRLSYPNLEVRDKYFTKLKSFLEVSLDISG TKAVLVSHSMGSQVLFYFFHWVASEYGGKGGDDWVEKHVDSWINISGCMLGAVKDIPA ILSGEMRDTAQLNAFAVYGLEKFLSREERAELFRRMPGISSMLPIGGDAVWGDASGAP DDLPGQNFTYGSFLNFRTEPNSTHSYRNLTVGGAMDYLLAATEPWYRDMVKRSYSQGI AHTKAEVDRNEKDPRKWNNPLETRLPLAPSLKIYCFYGVGKPTERGYYYREPEKAGLS RLNITIDTGLTADGIVDHGVVMGEGDGTVNLLSTGYMCNRGWTMKRYNPAGSKITVVE MPHEPERFSPRGGPKTADHVDILGSQSLNELIIKVAAGKGDTIGNYVVSNIMEYADKV KIRD
SAPIO_CDS4515	MADVTPTPPRTLPCELEYIQYSHDLEEKYLPSIRSLISTDLSEP YSIYVYRYFLYQWAHLCFMALDPRDSSLVGVIICKLDVHASHSPPTRRGYIAMLAVAS SFRGYGIATALVKKAIDAMMLRNAQEIVLETEETNIPAMRLYERLGFLRSKKLHRYYL NGNSAYRLVLLLRSIHDEDDPGEYIEGSVTSRIYTSNTLCS
SAPIO_CDS4516	MDLDDSDFYGDDDTVAELKQRVKDFDTEKWWKDQAVVPLLPQPS RTSPKNDTHLHNPYQGYDFAWQLTETLDDFFARLPPETTDVGDKLPWIYICNPYVERV PKAEAQSQNSKGNEDEGPEEDGSNLTVANAGGMERLHLLTDFIQGALGMGGNTPVVLR DIESQKLQAIHDILNLAHACKWMIFCDPLSVDEIWETVARATANNELGIAAKVAPRPA EEFRRKERLICVYTADFRDRRDVGRVLKRLKELGLAGARGKVLYYKPDIFTYLGIGSG NPWGIRASIYSSKDAFPS
SAPIO_CDS4517	MEQSSGEGSLISSKTSCILDLPPSCIEFCPAHLGYFVVGTYNLE KREDEQTETQTGDDDEDDHQEAAASKAPQSRNGSLIIFRLEEGQLHLIQTVSRPSAIL DLHFQALSGYQDIVAVVSSTGTISVFRLDPGRSASEPLQALSTSRIPDIDEDVLFLSF TWHPSSPDLLAITTSTGELRLVRLDLTTFEIRASQILPVANSLECWCVALSPPTSRED EEQTAVLYSGGDDSVLRYISLSMPPDIDDSVLVDVPYPPAVMKKDHEAGVTAILPLPL YLEDGSRIVMTGSYDENLRIFAMQDPQTTFGLKRTRLLADHGLGGGVWRLKVIKIDKI RGSEPSWKIRLLASCMHAGTRIVDVSISHDGTASVEVLYRFEEHKSMNYGSDWIQAVD ERGINVVSTSFYDRLLCLWQA
SAPIO_CDS4518	MAAQPLNGGAGNLTTPAPGNGPGPDGIAKIHQALEVIHSPFSSN DARRDAQNFLEQVKAYAEAPLQGYTLASDKSQSPVVRHYALSLLEHAIRHKWASYGPD EATALRGWVLELCRGVSRDDPTYLRNKTAQLWVDVAKRSWAAEWMDMDGLLVQLWEIP DSPVHKELVMFVLETLSEEVFNGDDAAVVMREGVLSKACVEIFTPANVLAESFPNRQA GPEVRYGGEGWLARLSEFLDQCLGADVQSNEPVRTCAVKALSALHSLMTWAIPKAIHS ANCVPIMVRCLAVSCISVQKASLDALHALYCRTNFSDQEFKELVMPMYEADTVNLFRR LAEWSIVDAQDIDEEKYLFAKKFSENKSLVVSIPVLVSWTRLLSSRYIGPNAANLDLI GPLLEVCSSRMIRYENLPEDSHDPSYLFLLEDTDTIPERHAFLGNYRRYSSQLIELIV QLKLTDALAHILRQTDDILQHLYDGQPPLDVAKYSKHSLPVLRIDAQFTVVEAALKGF VKWRTIPRADNRRAELETNLEAWCNKLLGMNFEDPQIRKRVLQLLVAFSTTALDNNAN LMLKVLEHILMTWPALQPDHRAYNDAIKDLQADSMIELHRLATKMPDHLLNVYNDLEA KVKEMVNSGTLDDKRIVAYESFLFLIIHRTSRLDLQTKIQRLQQFVDPIKAQWQSPQL KESISSYQAFCQLLGLDKAQQYLASRKVNQVKDWGACDLDAEGLALQAELEERLRLLP LRSTKSFIAFSVERIDKSSSAFMASYKLWGDSVVGVLPYILQFLSHAHASHNPENWAG LPPDMRSIVGRVLSDRFWQAGISEGSKDDFYARVMDKKGTLEGLGSTIRGSLRFVRET CYAIIYCLSRLDFKFYGFDDLPEPLARALLGDATYLSTHQQVNILNLVRYLVDDCPVE QREHFLPPLLSTAFQQMDRKISSEWEAIANRQTVSAEGDELTEEMKAESILRQVTYTA CLMVADFLDPTKNNPPLRPESGKPPMKYPSLRKFCLMHVNVVEPLLVFCTHAIRMRDT RCCSIILRVFKSIVPEFQDQQQTDNHHNASAPNASSAGGGPPDSSNADQYLDTSPLPA EVVPMIREYIASDVLKACITSINEAYFVDLQKDLAALIAAIIVYYSPLTNTATNILLS LPGVTEAELQRFTPFISKPASHSRQQRALVLDLLKDVKGVSISEMGKLSGAGGSGGSR SKRTGRTKMAQEFMKAPEPNQQERGTATGSKDDELDGVSRLFEG
SAPIO_CDS4519	MVYLGKPSRGCQMCRTRRIKCDETRPTCNQCAKSRRQCPGYRDD FDIIHRNETAATERRARKATQKLVISAKAAPLDRRASATSTDSDKDRSNSPASATSSS SSSSSSSSSSSSSSSPTVDAIVRALNIPIDYHATCHFVSNFILVPHQDGSRGFMHFVI PLMKAAGPESPIAHAFSACAYASLGNRPNVRGKQIPLQAIVQYHRALKSLRLTLADKE ASTSDATLCAVLLLALYENLTSREMTMGIWAKHVEGAIQIAKARGREQLRTRRGLLLF ITIVHSIASGQPPAMGVDWWVMDAVNDRFATESQHLGIQVGALRAEVTKLMTANVKSP EVNKLIQETIEHIHAIERRLDEWFERLPGYWHHKTVAWDDHVPDGDYAKAEVFPGRVD VYRDFWIASVVNMARVSRVTLASTSVRCAAWLCSPANYRTTPEYATAARTCAEAITDI IASVPYHLAWHLKRPDLMRRANLSGFACGEEDSQKGLAGYFLTFPLACVQNSDFCTDA QRAWVRGRLRYIADELGVFYAHTIANLDVRLPSMHIQRDCLLAKPFPVGHNFEKILSS RQSQASPKYPLDPWQQMEALRKEFVQQKKAELLAKATGGAGEAVEDYAQMVLTV
SAPIO_CDS4520	MTDLGQDYGDFVNLDDECEVEDDSEPRNHYCRRYSYPVYIGEVL GEWYRIEHKLGWGGYSRIWLTQDTTYTPDILRKQRSILNCTQLEQATWDSANVSQASM LRAVFSRIHYSSQLVPLVVLFLFLGSLGFIGYHIYLSATKIQETATERMGRKNVVFTK DGLRVGVKEMKNENYVDKTQSWVVKAWNLSGQGIEDKSSKKNKKTN
SAPIO_CDS4521	MSAPPGDGYGQYPQQYGGQPEQPQEPSSPHAAHHHEGDHKKKKR GYAAQAFDFGTGANVAAGAGPAPIAAQYGIPQPQAPGYGGYPQPDAQAAAAGYPAPYG AQQPGAPVGGYQAPEPYYPGPGAPIPSPGGVPGIGGITAGMAQMHMGPGAQQHMPQAQ QALTLNQLYPVDLLGQPFNAAELEYPPPPIILPPNTSATNSPTANCPPKYVRSTLNAI PTTNSLLKKSKLPFALVIQPYGALHDIDDPIPVVQDQVISRCRRCRSYINPYVTFLDN GHRWRCNMCNLTNDVPQAFDWDAASQRSVDRWERPELNHAVVEFVAPQEYMVRPPQPL VYLFLFDVSYAAVSSGLLATCARTILDSLDRIPNADRRTRLGFIAVDTSLHYFSVPKD EDSNSETSMLVVSDLDEPFLPVPHDLLVPLTESRQSIENFLTKLPEMFQNNQANGSCM GSALRAGHKLISSMGGKLVVLSASLPTLGVGKLDMREDKKLLGTSKEGSLLQSANSFY KSFAVECSKSQVSIDMFLFSSNYQDVASLSNLPRYTGGQTWFYPGWNAGRPEDAIKFA SEFSDYLSSEIGLEAVLRVRATTGLRMNTFYGNFFNRSSDLCAFPAFPRDQCYVVEVA IDETVTKNVACFQTAVLHTTCNGERRIRVLTLALPTTQNLSDMYASADQCAIATYFSH KAVERALSSGLDSARDGLQSKITELLQTFRKELAGGSMGGGLQFPANLRGLPLLFLGL MKNLGLRKSAQIPSDLRSAALCLLSTLPVPLLIQYIYPHLYSLHDMPDNAGMPDPETS QIVLPPPLNLSSERLVSYGLYLIDDGQTQFLWVGRDAVPQLLADVFGVEDRTQLRVGK GSLPELENDFNERVRAVIQKSRDHRAKGVGSIIVPHLYIVREDGEPSLKLWAQTLLVE DRADQGLSAAQWLGVLREKVVQ
SAPIO_CDS4522	MSRSQAPNPAGSRKISFNVSEQYDIQDVVGEGAYGVVCSAIHKP SGQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRNYESFNEVYLI QELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCD LKVCDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAE MLSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPFRTL FPKTSDLALDLLEKLLAFNPVKRITVEEALKHPYLEPYHDPDDEPTAPPIPEEFFDFD KHKDSLSKEQLKQLIYQEIMR
SAPIO_CDS4523	MPEETGPPQSPQNGKVDGSPTSPQSDKFEECPASPRLPHRASLS SSLSNMWSGLVRSFSSADGRTLPSQSSGSLAAHTSADSSSSSYELPPLEPVRLEGYTW ASKPGLRLMRSDLAEEIRLLIPERLKLADEWQLIYSLVQDGASLGTLYTRAKKFKGQR VGFVLVLRDTLGGTFGAYLSEYPHPAPTYFGNGECFLWKSTLIKDPLPDSSAGPTPST DGESTTSPSETPSNTAQDLSSSGANGTANGPSASPPDAPPTPTTHIRFNAFPYTGLND FFMNCEASFFSIGAGYGHYGLWVDSSIEHGHTAKCETFGNEPLSLQGEKFYIINAELW AIGG
SAPIO_CDS4524	MADDTRDSNQNNPAPASTEQPGSVDSTQPAKKFPKGVVLGKDGK PCRSCTSFASWAAQTNASLKSKKQLTPPSDCPPDVETLGRSSWTVLHSIAAAYPETPS TSQQSDLLGFVHLFAKLYPCWTCAEDFQTYIARTRPQVGSRSEFGLWLCDAHNDVNKK LGKPVFDCSLWEQRWRTGWKDGHCD
SAPIO_CDS4525	MADIRTNALKEPVKVAEYLFRRLHQMGVRSVHGVPGDYNLVALD YIPKNGLNWDRLLITAPAYAADGYARVKGIAALVTTFGVGELSAINGVAGAFSEQIPV VHIVGCPTTRSQKNGMLLHHTLGNGDFRVFTTMNKEISCAVAQLNNPVDIATQIDYTL RECWIQSRPVYITLPTDMVEEKVEGARLKTPIDLTEPKNDPVRESYVVDVILRTLYNA KNPIILVDACSIRHRVVPLVKKLVEKTHLPYFATPMGKGALDETDPLYGGIYAGSGSQ EEVRQRVESSDLILFVGSLKSDFNTAGFSYRTSQLKTINLHSTYCVVRYAEYPGVRMS GVLKTLIDKVDVSRVTITPLSSRNGTIAHPKQSQAITHDWLWPKVSEFLKERDIVVTE TGTANFGILSTKFPAGVTALNQILWGSIGWSVGACQGAALAAQDARDDRRTILFVGDG SFQLTAQELSTMIRHKLNPIIFVICNEGFTIERFIHGMDAAYNDIAGWQFKELVTVFG GTDKTTRKIQIKTRSELEKLFKEDEFNAARKLQFVELYMPKEDAPTVLVKTAEASAKV NSERD
SAPIO_CDS4526	MSENKRKQPPGSGPQGQTKKSKRGNDGKWQTPHQRKMISQRMDM GGSVESGDAGIWVTCQRGLEKKAISEMILLCDELGETLYDIKAPSKLEENEALDAQDD KDIASSIEDEIKRMKKDKTSKADYTFIPVRSGIECVFFMKTRSPVEPVEFVNRICDDA EKCTDMTERKLRHINRLTPVVLIGKSLDSGIEKVAREVLKSTFALNPENASEPTTEAE EEADGAAHSYAIRPNIRASSLKRDEVINRVAALVGPKHKVNLTTPDKVILIDIYKNLC GMSVVDGPRWDALKKYNVNEIYKQALAKKSERPETKSDAAAPPAAAQTEVETAG
SAPIO_CDS4528	MEESVRRPKTWEELKEQYGGLDIQLAENCVEYALFLLTEYPNGG GARKHLATLETIRKAALELSAKLTKDFIWQREEFTLSIKHDAGLIYLHGVSDYGDSVE DEWLVVYILREISKLYPQAWIRVWDADGEFLLVEAANVTPKWLSPTMDQNRSWIHNGR LYIIPPDKSASYIPGPLTLSDALTNIKANPDSLFHSPRVEKEAFYRLEKYPRYITLSL HHARVRIPRKLAYLLHEQPKAVAPAVEKFYLRDAVGLKSIMLDSSSLHFPPEDFVTVS VRFTRVLYAQLYSQRFEPPPRWKAVLERAIGKSPAARVTAADSKLRLQAEIGMKLTCG FELMVNKASKSNSRVVREVSLLLQDVEEDGPESLPTDEEIKSWKDVDRDDDDSWMDVD FGELEKELGGSRGADQGSTSKRGFGDLNAQDDLRKIVSRFEDFLNDEAAGVEGAEFDE EESSSEEDGEGEIVSEEEDRDVSLDEKEFTRLMKEVMGLSSEAVKGKSVQPQPTEAGG DNFDMEEIQKLTEGMEAELKEHGALSLDLAPKKRAVLKGKKDSDDREGSVEESGDDEE INVDYNLAKNILESFKGQAGTAGPVSNILGMMGIQLPRDEEDDSTSDGEGYPTNQGKH QSGS
SAPIO_CDS4529	MAPEKRSRRVVEEDGDEEVVGVVQASSNFRQGSGRKRVRVSDVV DERRASTSSPNSEDDESNESDHARASARQASPDAPDSPPRTQYEIYRDQDYSHLQHEA EDDMRATQKVLSSARAGARTDNRAAANGIIETVTCINFMCHTRLHVELGPLLNFIVGE NGSGKSAVLTAITLCLGAKASSTNRGGSLRTFIKEGQEQSSIQVKLKNQGEGAYQPEQ YGESIIVERYFSKNGTSGFKLKSALGRLISTKRRDVDELVEYFCLQMDNPLNVLSQDN ARQFLNASSPALKYKFFVQGVQLEALDNDYKLVMQTADNIEAKLESAAEYVKRLEREH RDAKRDLDTLKKNEALREKRRLYRNQLIWAQVVEQERMLKKADDDIANADERMNRAER KIQERTQVLELADESLRQAEENVQAMTGEAEALEAEEKSAKDAFLAAKAELSTLHNEE RTARDRLKNAKEDVKSLQRRIEEEERRLTEATGDGRAIKEAELKAANEEVERLSAAIS QSERAYPDIQRRLDEAKRATYGLKEEMNEKKADIRGVEKRIHDLQQNRGSDFGGFDPN IQRLLKMIDEDRGFENKPVGPVGRHVRLLKPEWSSIIERLLGATPSAFIVVGQRDAVR LRNLMRRAGVRNTPVLISNGRKLDLAGKEPDPRYDTILRVLQFENDLIRDQLIIHHKI EQALLIGSRTDAEKVIFNDRPRNAAFGLCHHDRKKGEGISLVLNSRGDQSLDPVRPYT GAPRLRSDVEAQIRHQQDILTHLRGQLREIQDRAQDAQTRVNDFQGAIRAESAKRQQL EKDKRIAQANADNLEAELDRFEGGDIELTNLKESLEQAEARAKHEGEQFGDMVVKKPE LNQMCERLHRAMKDESARVAQFKKRLDEAVRKAENKADSRRLALSAKNEAFEERDQAR LVRERAVADRDRQQATVTALEQQAQETVGERVYIADDATHKSIEAKYKALMAQLEKLE RRLGASEQEITERAERAQLAYDKAKREHESQLQLQQELKQALADRLKRWRSFQRFLSA RTRVNFNYLLSERGFRGRMFIDHRSRMLALQVEPDETRKVGGGRETKTLSGGEKSFAS ICMLLAIWEAMGSSIRCLDEFDVFMDNINRAISTNMLITAARRSVSRQYILITPNAIE GRASLSKDVKIIRLSDPRQRTIPDMQRGN
SAPIO_CDS4530	MQALRRTSATLAALPRRAALVARPILAKPYHSYDHPSTQSSFNE TETAILDAAYKHVPDLGFSQAALSRGARDAGYLDMSTTALQDGPFSLIRYHLVTRREG LAARSGEIFAGEGGQGVGVLDKVERLTWERLLGNREVIHKWQEALAIMAQPSYVPASL KELSSLADEIWFLAGDKAVDPTWYTKRASLSMIYASSELFMTTDKSHDFEETRAFLQR RLDESNKASGVLGAVGEWVGFTANAGLNVLRSKGVRI
SAPIO_CDS4531	MSNIRETSQQDRRVYVGNLSYDVKWHHLKDFMRQAGEVLFAEVL LLPNGMSKVGAYTIVEYATREQAQNAVNTLSNQNLMGRLVYVREDREAEPRFIGSSGG RGGFGGGMQGGYSGYGGGAPGGGGRQIYVANLPYNVGWQDLKDLFRQAARNGAVIRAD VHIGPDGRPKGSGIVVFESPDDARNAIAQFNGYDWQGRLLEVREDRYAGSGPMGFGGG RGGFGGGRGGFGGGYGGRGGFGGGRGGFGGGYPPRGGNYPGGGFESSAGPAAQPNPFT DYATAGTERSEIIYVKNKQLPWSTSNEDLVDLFSTIGKVEQAEIQYEPSGRSRGTGVV RFDTAETAETAIAKFQGYQYGGRPLGLSYVRYLHPGGGEDSMDTDTHGGLTQDQIM
SAPIO_CDS4532	MGSGHLDNNEFLVKLSELFADRKGKERGSIFLVQKRLSYNQGVP QPTAENPTPDIDVEKPLPVIIRATNGKSKVNRAKKIKLSTVVAPEDLEAFYTRYADLC KAGMVALKPRDRSKKKAKAKKKKSAATGAGQSSLP
SAPIO_CDS4534	MLSARLIPRTAAKSAFRASSLPSIASRGRRSFASSAEEKDLVII GGGVAGYVAAIKAGQEGLKVACIEKRGTLGGTCLNVGCIPSKSLLNNSHLYHQIQHDT KNRGIEVGDVKLNLAQLMKAKDQSVSSLTKGIEFLFKKNGVEYIKGTGSFVNEHEIKV ALNDGGETSVKGKNILIATGSEATPFPGLQIDEKRVITSTGALSMEKVPESMVVVGGG IIGLEMGSVWSRLGAKVTVVEFLGQIGGPGMDTEVSKAAQKILKKQGIEFKLNTKVVS GDASGEKVKLEVDSAKGGKPESLEAEVVLVAIGRRPYTEGLGLENIGMELDERGRVII DSEYRTKLPHIRCIGDVTFGPMLAHKAEEEAVAAVEYIKKGFGHVNYGCIPSVMYTHP EVAWVGQNEQELKAQNIPYKVGTFPFSANSRAKTNIDSDGFVKLLADPETDRLLGVHI IGPGAGEMIAEGTLALEYGASTEDIARTCHAHPTLSEAFKEAAMATYSKAIHF
SAPIO_CDS4535	MSSTEKSAPGKTYPLYTREEVGRLIARGLKIVIVDQHVLKIDPW VKYHPGGHLAILHMVGRDATDEVDVLHSPEARRQMERYRIGRIEGRWKNFVPPIQGGI FDSTTLEQNSEAGSPSDAIKDTSSSDASSRAPSPVFDNESPTLQSRHGLGSALTSLSP SPSPDGLKEKEEEEEMDGMTYLDVQTKKQISLDLDQYPAPDQDTQEVIVEKYRQLHDR LKAEGLYDCNYRAYAIELTRYSTLVLLSLFFLKTGYYALSAACLGMFWHQGAFSAHDA GHMGITHNFHLDTVIGILIADFCGGLSLGWWKWSHNVHHIVTNSPEHDPDNQHLPFFA VSHRFFESLFSSYHSHIMKYDLPARYITQIQAYLYYPIMAVARLNLYLQSWLYLLRGT GPKRGPAWWHRWFELLGMAVFWTWFGYFLMYKSIPTNWDRFVFFTISHVITMPLHVQI TVSHFAMSTSDLGPDESFAQKMLRTTMDVDCPAWLDFFHGGLQFQAIHHLYPRIPRHN LRTAQKLVQEFCNDVGIPYALYGFVDGNKKVVGHLAEVSRQGAILAKCQRSIAKRGDY FAH
SAPIO_CDS4536	MDASIQRALNDKLYDKRKIGALDLEQSIRELVTTRDYAKVNTIL EQLCNEYAYAVHQPHARNGGLIGLAAAAIALGQELPNYLSRIVPPVLACFTDQDPRVR YYACEAMYNIAKVAKGEILIFFNDIFDSLCKLAADSELSVKNGAELLDRLIKDIVAES AASYVSVLQLPPEQEGDEDKFIAENLDSLPTAFSLPRFIPLLKERIWVINPFTRQFLV GWITLLDSIPDLELVAYLPDFLGGLLKFLSDPNRDVHVATRNCLDKFLNEIKRISHIR KGITEGKKSKDGDKRQDQSADSGSVQTGLEEGDEIGSEDEDASSEEDWIPGQDVHINY REILEILTASLDSPLEEDSLLESLRWVVQFLDICPEEVLPFTPKILAHMLPAMASGVE SIRQAAGKVNTGLMDYVVSLSDESEPVSGSSKAALAAERTDGHSSARVSLSSGRDIDT LAAQGKTPSTQASTASGPDRQPKTNLDYAAAVNSLTLLFLNDHEATRVAALTWLIMLH RKAPRKVLAFNDGTFPALLKTLSDPSDAVVTKDLQLLSQISRNSEDDYFANFMVNLLQ LFSTDRKLLETRGNLIIRQLCVSLSPERIYRTLADCIEKEEDVEFASIMVQNLNNNLI TAPQLSEMRKRLRNLETKDGQTFFVALFRSWCYNAVATFSLCLLAQAYEQAYNLLQIF AELDMTVNMLIQVDKLVQLIESPVFTYLRLQLLEPERYPYLYKCLYGLLMLLPQSSAF AALKNRLNSVSPIGYLHISPRVSASSSSTGSSYDRPPRLKGREEGIIRWSELLEKFRS VQERARRAQRHAGDLEESPPLGVGELRIGDALEAKGGKEGRTGNAPPVPAKDTGPIPV PTTKARTGLGKQLGRFGGAVAGRGKRNAP
SAPIO_CDS4538	MHIPFSALAVAAGLLASPYAVNALSESDIPTDTPVSSLLSSAQA HLSRGETSEALVYYDAAVARDPSNYLTFFKRATTYLSLGRTNQATEDFNKVLALKPGF EGAHVQLAKIRTKAGDWEGAREQFILGGKSKGSQEISELDEAQVASELGRAAEQNGDW EACINHFNTAIAAAPRAASFRESRAHCRLETGALEAAITDMQHLLHLMPGDVTPHLKV AAMAFYSLGDLEMGLAQTRKCLQSDPDSKPCKKLFRQQKSVDKALEKATKALQSTKPA SAVKVLVDSGDESGLITDIEEQVRELRDQGFLPPRGPVELQKRVLEMACQAYYEMNSK KAPEFCKKALQMDENSFYGLLQQGKDQFESEDYEAAIRTFERAAEVRPDRRDTVRSLL EKAKIALKRSKTKDYYKVLGVSHDADERQIKSAYRKASKQFHPDKAAHQGLSKEEAEK KMAAINEAYEVLSDPELRARFDRGDDPNDQSGQSNPFQGNPFHGGGHPFFFQNGHGGG QKFEFHYGF
SAPIO_CDS4539	MRLQTTFVLAGALAIPSVRAGLKLRVWETFTPSVVDRVWFGDVN EVRDDLLFIQIDADTSPNATRPLPEPTFLVINVAPDSDGDIYFAHSAVGLTHDDIYMF SNIEGFLYPWSRSGKVKGEAFLIPTDAEGTSTVRWFINPEDAGALNGTPVTIATRGD
SAPIO_CDS4540	MDSESLPRVDQRQPIMSPFRNGLGPRGEQGDNMQGLGDSAPFDL EGIAVRDLADNRPELDRELLAASRARRSGTHHPGFRDQSHGRWQPQDDDEENEVPQSL LLEPNDSHGIHSPGTTQGDSGEHGVQARATTESRFGPAPPLEAARVYEANNPAEHLLP TSTTYRPPRVTLGTKISSTRDKAAWRWVNVSNLDHFMLDVYNYYEGSGYWCILTDRAL HLLLGLWVFVFYFIWKVVQFVIDLRRLTHLRDFYVYLLGIPEQDMQTVSWQDIVARIM ALRDAHAETARNLAPDTRRYLGSQSKKRLDAHDIANRLMRRENYFIALINKDVLNFSL PVPFAGRRAFYSRTLEWYLNFVIIDLVFDHGTQVNQEFLKAQRRGILSTKLRQRFVFA GVINLIFAPFVLAYILVLYFFNSFLEFKSDPSKIGARRYTPLAEWKFREFNELPHLFN ERVNMSYPFATRYLDQFPKQITERLARTVAFISGALAAVLTLASLIDPEIFRVELTPD RTGLFYIGVLGAVWAFARGRVSEDELVFDPEYGMRNVIEYTHYMPDHWRGRLHSSDVM QEFSELYKLKWVIFLEEVLGIITTPFILLFTLPSCSDQVIDFFREFTIHVDGLGYVCS FAVFDFKRTADQLGTAADKDAREDYYSTKHGKMAASYYGFLDNYVINPKTGIPGHTPP GIRATFHPPPAFPPLSSPPFNTDAHATRPGRERDKPRSRMPGTQPPGGWASRHGASIP APSPMASALLDPHHQPLIGAPGGRSVYRTRQSRTAHGGFYPGITEGSFEDGTDQTASR KEGTEDESGGDLGESMWETSPTKALSRESSTAVDREPDVGVLDLIYQFQQAHQRTPRR PSPRDYFAAVTLAALGPKPFGKASEAAADMAQPNAEPAEEYDYESLPPNFSLLQNMVA GAFAGIATRMQILNPTPSAVYNGVIQGTYRIASGEGILSLWRGMSSVVVGAGPAHAVY FATYEAVKHLMGGIQAGVHHPLAAATSGACATIASDALMNPFDVPFTALQFLAYESIS TTMNPRKIYDPFTHCVAGAVAGGFAAALTTPMDVIKTMLQTRGTAKDVELRTVNGFAA GCRLLYRRAGLRGFFKGVRPRVLTTMPSTAICWSAYEASKAYFIRQNDLDAGAAYRGG FVTAQQKNVHSHW
SAPIO_CDS4541	MPSQHQRPLPLLVRDSSAAHDLNPPVDSPVGPSPRSIGNPLSSK INAVLATSFTDAEFRDVLSTVDRCDLVNTPVTRRQLRLQLQREVIESNGEIIREFGRV AEQLRQFGSTLEQLSHVYREMKVEIVATHQQISPVLQESSALITERQDVEAKADVLNR FRAHFVLSKEEINALTLSSEPIDEEFFSALSKSKAIQRDCELLLGFEDQTLGLELMEQ SSKYLNQAFQKLYKWIQREFKDAGSETPQMNPSIRRALRLLSERPSLFQNCLGFFSEA RERTLSDAFHSALTGDSSVKGARDSVKPIEMVAHDPLRYVGDMLAWTHSATVGEKESL EALFISEGDEIAKGLKSGRDREPWAVLPTTHDASAAFNATEILKELVDRNLTGVSRML RQRIEQAIQANEDIICAYKLANLIDFYRVLFSKLLGMQSHLLDCLKGLESAATRQFRS LIRDHIGTLQGDLQRLPQDLELPIFFQDALAQLTAIMKTYDTSLDESLDRESNFDPVL VEALDPFITGCETLANRMTPLSQAIFLINCKLAAVEVLRPFDFTRDKVNRLQNSVAKD MTVLEESQFSFLCKGSGVEDIFQAVGTLHTAPGDGRVLSSLPAFQPDALSQASRTLDD FLPSAHIDAIHNLNKLQDSSLASRLTETAIKRFCERYEQVEELIIMADTYDENDKPRD VKLRDIFSRTAAEVRVLLS
SAPIO_CDS4542	MLSRTLLGASALATAVLAQNLNLQGADSLTLLDNAIQLGSQFDG TDAIGASDEQVPSLTSVNNFINFCAGKELTNGLQITTGSCNGIPHGDIPAKDKMISAI IVNPKNGDVIQSGQTFNIQVQMLNFEAGSFTNAFATYYAVPQFLNNQGVIVGHTHVTV QDLGATLNPTTPPDPTQFAFFKGINDAGNGQGLLAATVNDGLPPGNYRVCTLVSASNH QPVLMPVAQRGAQDDCTKFVVVGDDFDVNDAANNGAGGIDAAEQAQQAVDLGPGAIDP NADDAAQDDNQDNADDNNNDNNNNNNNNDNNNNNNNNNNQQQQQQQGNNNNNNQGQQG NNNNNQGQQGNNNQQQQQQQGNNNQQQQQGNNNNNQQQQGQGDNQQQQGNNNSQQQQQ QGQGNNQQQGQGVTAEALREQAERFAQQSQGARDEIVDMPTPHLALPTPSKPTMLSPF TRAAQRVTRELHGVVVSAGVMQKTVKWFSNPQQYLVHDPNSSLRMGDVVAITPGWRSS RQKRHVVKHIIAPAGCPIEERPPVPSLEDRITAYEEKRAAKAERRATRKSNEQS
SAPIO_CDS4543	MASPDHRVGNKRPRTQSLPPPALPQLVAEQHTTIAPSDKDTKRL IVVLSNASLETYKAAHAGTSRNGAHKDEKYSLLNSDEHIGVMRKMNRDISDARPDITH QVRNLLFVLKSYSPQHATTLPIP
SAPIO_CDS4544	MLSWDNKSSHGISSHASDAMSDAMSDAMAYGSPSSGEEVQPSII RRTTTTTTTTTFEPSTAAASSGVSAGAVAGLLGVGVAVGMGVGAALTYKSMKNEPTRQ PMQQLPRDTFQYAPSLVDRSQGPERFTILAPSQSVASYQQHYSREGPSKVVKHNQACY SPATILTSDEIRCAVQAREYRLGFYYQGPGSLSLWLLGHRACRSRWQRGLCSAVSVSL PTWNANVGYEEGKDSVMSKLATGYPRFFIHKKIAAFAQDIVDQHGRPGQTALLFPTPR IAKRCRTPGREAEPTRIHFSICREILSSSEKEWSRFLEERFGRNLDLALVGRAKSAIK RRIAGAVAADVDGTTSDSPSMMTNSRGITNLKENDVYLFPCGMNAIFNIHYALRSLRN SLKSINFGFPYVDTLKILEKFGAGCVFYGHGSDADLDDLERRLCAGERYLALFCEFPG NPLLTCPDLRRIRRLANDFGFFVVVDETIGTFANVDVLQFADVVVSSLTKIFSGDCNV MGGCAILNPNSSYYKDIKAAMEAVFEDTYWPEDVVFMERNSRDFLSRVGRINANSEAI CDILRSHPSVKRVYYPKHNESRPNYDICKLPTGGYGGLLSVTFHSQHQAISFYDALET AKGPSLGTNFTLTCPYVLIAHYQELDWAAGFGAETGLIRVSVGLEETEALRRIFQNAL SATTNVEH
SAPIO_CDS4545	MGGQQSSESSGASNPPPTSCYYELLGVSQTASSDDIRKAYRRRA LELHPDKNIEDSENASRRFAQLQAAYEILSDPQERAWYDSHKDAILHGRGTGESQNSE FRNVRVTSADEIVSLVGRFNTAVPFSDEPGGFFGELRGVFDRLATEEVALGDYEDSQV PTYPSFGVSTDAYETVVRPFYTAWLSFSTHKSFTWKDKYRLSDAPDRRVRRLMEKENK KLREDAIKEFNEAVRFLVNFSRKRDPRYTANAVSTSDRQKALRDVVAAQAARSRAANQ EKLHGSVVAEWIQTKDEDAAFTSEDEESEVDIEHIECIICNKIFKSENQYEAHERSKK HTKAVQQLRHKMRRENRDLNLDRTDNQASLEPKLERTSEPDITSSDGEPDTQGPGDPE ECLETVPEYKSSDSTVGTPGPPITSATTTISENKTHDQDEAGIDSLIHRPGNAHDHEA PPVPKVGKAKAKRDKRAARQEAAARQSTSTLACNVCNEHFVSRTKLFNHIRTQGHAVP S
SAPIO_CDS4546	MATVDFSRYRRIVQRFWDPEPTNDTAVDQPVWCLGSSYTLAESA RLAETSVSPVLLPSSSASFDAGSRSAERPEPPRDQPEACPETDPSSHPPAPRSDGHDG EGGWPPLFLDDFEAKLWMTYRSGFEPIPRSSHPDAFSALSFSMKMKSQLADQNGFSSD SGWGCMIRSGQSLLANAMSIQRLGRSTVYFLGPAPMPALTGGYRALVNENDEGLRVYS TGDGPDVYEDEFMNIAKPQGGRFRPTLVLVGTRLGIDKITPVYWDALIASLQLPQSVG IAGGRPSSSHYFIGAQGPYLFYLDPHHTRPALPYYPDPQQYSDEEDEDDWFEWRKSIK HVQGKAVISVSDHNPLSSRSSDGHATVDDVQSLSEDEHDAEEVVDDEGVMVLS
SAPIO_CDS4548	MPPKADKKPAAKAPATASKAPEKKDAGKKTAASGEKKKRTKARK ETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISSR EIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK
SAPIO_CDS4549	MTGGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTGTKAGASKGSQVL
SAPIO_CDS4550	MLSRRLVKLAYLGQLPRARCQYNLPVMMHQARSYAAAITIKVPQ MAESISEGTLKQFTKQVGDFVEQDEEIATIETDKIDVAVNAPEAGTIKELLVNEEDTV IVGQDLVRLEPGSSGVGTGAKPAAEPRDSESQTQATPATEAPARQPESDVQVQQAQHQ PPSSKTANEPAQSVPRAAAASTPTSPASRPSETAISSTGSIPGSREERRVKMNRMRLR IAERLKQSQNTAASLTTFNEVDMSSLMDFRKLYKEDVLKKTGVKLGFMGAFSRACVLA MRDIPAVNASIEGPNSGDTIVYRDYVDISVAVATEKGLVTPVVRNAEAMDIVTIEQSI AELGKKARDGKLTIEDMAGGTFTISNGGVFGSLMGTPIINLPQTAVLGLHAIKERAVA VNGKVEARDGKLTIEDMAGGTFTISNGGVFGSLMGTPIINLPQTAVLGLHAIKERAVA VNGKVEVRPMMYLALTYDHRLLDGREAVQFLVKVKEYIEDPRRMLL
SAPIO_CDS4552	MSDEKRSPSPAPEAAHHDGHLRRPSGWKYKGFRLFGQEHWYASP SVQLFMVSMVCFLCPGMFNALGGMGGMGLKDTTAAAQANTALYSTFAVVAFFAGTIAN RLGLRLTLTLGGIGYCVYVASFFSYAHNENTGFVIFAGALLGVCAGMLWTAQGAIMMS YPSEERKGRYISAFWMIFNFGAVIGSLIPLGQNVQNKGGNVTDGTYAGFIVLTFLGAI LSMGLTNANNIIRRDGTKVILMKNPSWKTEFIGLWETVVDDPWVVLLFPLFWSSNTFY TYQLNIMNGVYFTTRTRALNSVLYWSAQIIGALLWGYCLDFPKIRRSLRAKIAFGILT ALTFGIWGGGWAWQKDQALRGETEGHEIDWTDGSKFLAPMFLYFFYGFYDAVWQTSIY WYMGALSNSGRKSANYAGLYKSFQSAAAAVWWDLDRRKVAFNSIFAATWAALGAGLLF AIPVVWLRIKDTVPVEDDLKFTDATLEDAGVITGKEKEDA
SAPIO_CDS4553	MNLLSDHQPMRASLQVAPLSIQKLLPNSNTEQAPYDEQNQAATT NDLYTQSQMTPPTTPNSSREDLVAETTYPVPPVFHNFLRAFYPFHPSYAMSDSSVTLP LNEGDVILVHSIHTNGWADGTLLISGARGWLPTNYCESYDPEEMRNLLKALLNFWDLL RSTDVNDNEIFGNQEFMKGIIAGVRYLLERTHSLTREAAIILRNEGLRRGRKSLLAEL SSLVKTAKRLQECQGDPLTTADDVNNLVDEMILKAFRIVTKGVRFLDIFEDDRRMRLP TMSIMATVIEESLIPPTPPADQVAFDNTSEAVATEDGASVTASTEAQPDSTPQPGLLD PSQAVVGKRTSSLFSPTSTASHRLSQGNLSATNRLSANISHRLSLSGYSPVTRPQHLV SEQLSKTHDTLLSQLGSFIGRLHLQSQSKAELVRAIKTSAASGGELLAVVDVICAHDA ISGEMVAPIRAGLFARIQELIQAGQDIILQAATDDTDIIMPEQTTMLSAAATGCVKAA GECVERTNSVIARIGDFECELDGQTAGIDVSMLDAIPEERERAPSVSQSVTPSLVDTI GSDSDTIADSTATSEAVPSEVVVSSLEPTEKALPRLNILPLDKPLPEVPVTASPIDQD FLTQPMDPAAASRPSTADDDNVSSLVSSVSSLRPSLPPLPKLATTLLPTEDYSAEERS AETEFQPSPRYDSMTASSAGSTSTYMSRDSESSIISRTSTRATTPDHTVGPRSQPSIS ELSTADSAQHADEVDVESKLLEKTYAHELMFNKEGQVTGGTLPALVERLTTHESTPDA LFVSTFYLTFRLFCTPRELAEALIDRFDYVGEAPHMAGPVRLRVYNVFKGWLESHWRD ETDREALALIVPFTDQKLGSVIPSAGRRLAELADRVSSEGSLVPRLVSSMGKTNTSIA QYIPADTPLPTPIISRSQLNALHTWRTGGASPSILDFDPLELARQLTIKQMNIFCNVM PEELLASQWMKNGGVDAPNVKAMSSLSTDLSNLVAETILQYSEVKKRAAIIKQWIKIA HQCYELHNYDGLMAIICSLNSSIISRLQKTWDAVSPRRREMLRTLQALVEPSQNHKLL RARLHDHVPPCLPFLGMYLTDLTFVDIGNAATKQLHALGHEGDEEAGGITVVNFDKHT RTAKIISELQRFQIPYRLSEVPEIQEWMSAQISRVRLNDPGNVQVTYYRKSLLLEPRE LSSRANSDAASTLGSISTTTSQRGADLFSWMSRDRQQNGSTATHT
SAPIO_CDS4554	MASKLIPTKQFVCASCRYSQAASSLTFLRPTRPSRFSSSSSTAR ASQPTPSSDPNNSPQKTSSEDATLTSQKTDSSPDKEKGALYRRLEQATEDALTSGGRA GWRAVEDAGFSEELKAKLYDKVAAAKLNSVEGVGGVNIAPGAGAGTRLHADGKHWTGE ESHEDAALRMLDDSKRKLPPELRGRSVVAPPTLGRVGGGQVGKVKRAAAARELASSYR IEGKGLTEGEREEFLRELRERFQPGARALPNTISGLASLANERIEEAMARGQFKNIPR GPGVERDTRADNPFIDTTEYIMNKMIKRQDLVPPWIDKQQELSKAVSNFRARLRNDWK RHAARTIANRGGSLEDKIRAAEAYARAEAARNPPKQDQPDKAQSEGPNAGGIETGPVF RDPTWEATEMSYLKLAVENLNSLTRSYNLMAPELARKPYFSLERELASCFADVAPLVA EEIRSRALAKPAPSLFGGGFGGGGAGVGSMGGDGVKVYERIGGEYGFKEMWRDFWGKK KDKS
SAPIO_CDS4556	MSLIATPEVAAFSVGILKTKPEPWGQSSLYKTTSSDRPFEVADI PGKEKGLVAKRMIKKGDVILDEIPLLISFATTLEGIPMASNPDLLRLAFEQLPEKQKT SLEALARTTGGHYYEDIMRTNAFSIDVDGAGFSAIFPDIATKVTPSPGTQERRSEWRL LLNEISTLEKKLA
SAPIO_CDS4557	MSTINMVKYYFYKDMLPSNQRQLEQLVALAYQTARDRKLHPKAV LIRSGFHDTTTARGRRIKDPKGWHVTICYKDSNQVLGGTHVACHAYTPGKNIFELVES THAGEKSDDVLRARNQKPVWPDTEELAEAPEIGYGHLPEDWESSA
SAPIO_CDS4558	MVVPTTPPPYPYEVLEDVEDPQDYEPGGFHPVSIHDTFRDGRYR VVHKLGFGGYSTVWLAKDEQSNHYVAIKPDLQPVRRRDGQPLAPGVPPYVVTPIWLGH GKKQATVMDTKIVVADFGEAYIPAETSRTYSNTPASYAPPEARFIETPHTLSFPADIW SLACTIWEVLSHKPLFEPWAATDDDILADQVDLLGKLPGEWWNKWNARRDYFTEDGQL DTTAPNRRYDSVRHNWDDCFARCIRNPRQRDGMEAMCEQEEEALLAMLKSMLIFRPER RASAAEVLRSEWVKKWAVPDLELARRQWREL
SAPIO_CDS4559	MDTPANALPSTMRSWIVGKNGPPADALQLVPDVPVPTPQGANAL IKVAYVSLNPADLQFMKKIPTLLPFRRRPVPGLDFCGEIVALGPSATATAATHKFEVG SVVCGAIPAGSVAVGVGTLAEYITVPVEILSLKPDDLSDAASSGLGIAGQTAALVMSE ANVQPGQRVLINGASGGVGTFLCQIVTARGGVVTAVCSGSNAGLVRKLGAVEQVVDYI LNSPVYYHLETEYSDNPFDVIIDCVGNQFLYDMCEPYLKPTGIFLNIVGGATEGVVPW VKGTLWPKFLGGTPRRYKILALMPSGNLQREVVQWVNDGLVQDVPIDSQFAMEDAIKA YEKLETKRAKGKIIVKVAS
SAPIO_CDS4560	MATKIPVALLLVILFVIEAGAVLISQGPRRRACTSPTQRRAWHT LSNDQKKSYLNAEVCVMNTPASLNLPAAKTKFDELAFSHQANARAKRDGHELCRYWDE SNEVGNFGNSSIFDADFGFGGYGIDDKKCVSDGPFANLTSPIGPGFRLGEHCVSRMVN ETAGLWSAQEEVDKCQTFDRYEDMFPCVYGTPHRGGHGGVGGSMRDPLASPADPIFYL HHSWVDKIWWDWQEADLENRLYAVGGPSFQSPDIGFPEYPGTVEEEDAVVFGSPSEEI RKLQQFKYNSHQGGETTLDHILTTLGVIPDATIGDVMDTRGGYLCYEYV
SAPIO_CDS4561	MGPAFLLCRVFPRSILRKHPTAILNFSLRSLRSRNCCRRGYSTW RWRWANNPRRPTLASTILFSTANVAGLGALAFVDLSEDGDEPVDITWETRLLESSRTE LKTKKAERGKNKENPILRVLYRVLYFLDYYIWEPINTGARFLHLTFIFVPVLAAVPAI WLGKRQPDRDNERSGALWWYGFLVHSMEWAGPAFIKLGQWAASRTDIFPTQMCEVMSK LHSEAPAHSMPMTRRMIEVAFGGVDFDDIFAEFDERPLGVGAIAQVYKAKLKPDLALP SDTDLEEEPWNLRQDVVRSVQHILKQSPQRVPSHYVAVKVLHPNVERIVRRDLRIMGF FARVLNLIPSIEWLSLPDEVENFGDMMKLQLDLRIEAANLARFRQNFKDRTTAWFPYP YPELTTRNVLVEEFANGIPLSAFLDYGGGVFRTDIADEGLDAFLRMLLLDNFVHADLH PGNIMVRFYQPAQPEIPRLRKPATAADDLPPSPPSDDATEHVRSRLEPHLRNKDPKSW LSELEKLEKEGYRPQLIFIDAGLVTELNSTNRQNFLDLFRAVAEFDGYKAGNLMCERC RQPEDVIDQDVFALKMQHLVLDVKGRTLALGNIKLGDILQRVLAMVRNHHVRLEGDFV NVVISILLLEGIGRNLNPNADLLRSSLPILRQLSAQSGAEMAKSGDFSVILIWMGLET RRFLQASVEDVERCVKYDLLSPNV
SAPIO_CDS4563	MKISAVVLSAVALVAAQKAEDYFPECSISCLQDSVSAASDCSFT DAWCVCVQATYEAITGHATPCVMTKCGADKAIVEVLPAAIPYCSAASAAHSAATASPS SPSDSQNTDAPKADTTDAPTSAASAVDATSSGAAPTGSSEADSAAAGLAVPAILGMLA IGAVAAF
SAPIO_CDS4564	MSEKLADEMPPEPTKPVDASKENSDAQSKDIDAGFQGGVAAAEA TTLAWPKTHLIMAYVIIWFIYFVASTEEVVVRSLTPFVTSSFGKHSLTATTNIMSSMI ASLSKLPLAKILDTWGRPQGLALSLFLWVIGFIMMAACKNVETYAAAQVFSLTGAQAV SYCITIFVADTSTLKNRPLMLAFATSPYIVTTWIGGPIADSVIAGPGWRWGFGIWAIV TPVVVVPLIVLFLLSQRRAKKAGLLDHIEKKPATLKSVYDYCIEVDLLGIILLAAGMA LTLLPFTLYSQQNKSWGSPMIISMLVIGPILLISFVLYEAFLAPIKFIPMPLLADRTV FFGGMMFFFLFINSSVWGSYFTSMLIVVWDQGVTKATYISNIYRVGSCFAAIFIGYFI KLSNRFKWVATIYGLPLMLLGVGLLIHFRMASQSIGYVVMTQIFIAFAGGPIVVAGEM AMMAPLSHQYVAVIIAMLDLFSGMGTAIGSTIATAVWSSHFKSNLEKYTPPGTPVDSI YGSMYTQLAYRVGNPIRTGINDAYADTQRLMSIVSTAAVAASWAMAWIWRDIKLSDVK QVKGTVF
SAPIO_CDS4565	MVVGIAPALIPDIEKIYDVYFSAFKNDPMGALMLSVLFPGGFEG EEFRKAHTQATIDYWSKTDNQYTYKVLDTDIMEIIGMVLADVYFAPRTAEQRVNHGVP WLEGKHRAKAEAILNPLWEAREKIFGGRPYIYTHVIAIEPKHQGRKAGALICRWGAEM AEAWQVPLYFEASPSSVGLYEKMGFEKLKETIVHKKEVLGTPQDVHVPLMVIMPKSLG MTFEQWRESTKDLA
SAPIO_CDS4566	MKAINKAVLVCKLFGYILRVYVSFFTRNTKPHRLASDAPSAGAD ADVESKAPKRNIVVVGAGFAGYHAARIIACDLPADSPFEVVVIEPNSHFNFTWVLPRF CVVENHEDKAFIPYGPYLNGARATWVQGKVASVDKESVTLGSGEVIPYEYLVIATGSG ADDSLPSRVGETSKIKGVKLMQAMQQRIKASKKLVVVGGGAAGVELATDAKSLYPEKS VTLIHSRSSVLHRFGPEMQAAGMKALTDLGIEVILNDRLIGQDEATGVATLKSGKTVE YDYVVNCTGQKPNSQIIANISKDTITEGGYVRTKPTLQIDDDSLPNVFVCGDLAGHGE KNPNARSAMRKAMIAADNVVLATQGKELKHTYAPFWADAVIKLTLGMDRSVTHFGDHK TEIAFYAKEKDPALMSAQAWRNMGAVPFEDPEFTEAIKAKEAATTTDTTPVAE
SAPIO_CDS4567	MTLIQEKKAKGMPPQKIDHFTGIVHHDTYPEIDPVTKSDCTGKA VLITGASKGIGSHIAIGYAQAGASHIALAARSSASATATAVLEAAKAAGRAEPTVLIL EMDVCDKASIQVAAKKIEEAWGRLDILVNNAGYMAEFASLLDVDDEEYMRAWDVNYWG VFRTTKAFLPLMLKGGDKTVVNLSSVAAHFAGVGGGAYHVSKFALARFTEFVQEEYAS QVSESPPSLHQCIVFCESFTEPED
SAPIO_CDS4568	MSISFPKTEEEVIQFWRDVDAFQTQLRLTEDGPRFTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGYHVIRRFGWDTHGLPIEYEIDKKLGISGRDAV MQMGIEKYNAECRAIVMRYATEWRHTIERLGRWIDFDNDYKSMDTTFMETCWWVFKQL FDKDHVYRAYQIMPYSTALCTPLSQMEAKQNEKMTQDPAIIVSFPIVGVEGMEDTSLL IYTTTPWTLPSNLLIAAHPEFEYIVILDEASGRKYVLMESGLGSIYKNPKKAKYKVLK KLKGTDIIGWKYEPLFKYFVKDFSDCFQVIGADYVEAGEGTGLVHQAPAFGQEDYDAA IAAGFIHSKRLPPCPVDEKGQFTQEIPEYAGQHVKVADKAILKDLRATGRLIVDSTTM HTDKFCWRSDTQLIRKAVSSWFIRVTDSIPEMLENLEGTNWVPTAVKEKRFANWVANA HDWNVSRNRYWGTPIPLWVSEDYEEVVCVGSIAELKELSGYEGPLDDIHRDKVDHITI PSKKGKGVLRRVEEIFDCWFESGSMPYAQCHYPFENKDNFQGGLFPADFIAEGLDQTR GWFYTLTVLGNKLFHVSPFKNCIVNGIVLAEDGKKMSKRLKNYPDPALILSQYGSDAL RLYLINSPVVRAESIRFKESGVKEIVSRVLLPLWNSYRFFSEQAALYKKTTGVEFVGA KSLSGDQLGNVMDRWILANCQSLLRFMDQEMAGYRLYTVVPRLLHIIDDLTNWYIRFN RKRLKGGAGLGIEDTEAALNTLLEVLFTIVRALAPFAPFLTEHIYSLLKPQLKLLLEP FKDPRSVHFLPFPTVQEALFDEVVERKVAAMQKVIQLGRTVRERCTLPLKTPLLSLVV IADPEILEDVESLSSYVKEELNIRNIILTNDETQYNIVLEAKVDWPTLGKKLKKDVQI VRKGLPDLTQDQLRQYLKEKTIVVGGIQLEENDLNIVRVLGDSAVQSSDGAKWEPAFS EDVIVLLDTVPHPELAEEGIAREIINRIQKMRKKAGLVPTDDIRMQYKVVAKTDDLDF TILVSSRQELFRSTVRGPLEPVVETLEVESLILEEEQVIGDLTLMLRLARL
SAPIO_CDS4569	MVSFTTLLTAATALLGTALAAPGELMRRQSTPSSTGFHDGYYYS WWTDGGSQVTYTNEAGGRYSVRWSSGGNFVGGKGWNPGGPKTITYSGTYSPNGNSYLA VYGWTTNPLIEYYIVESYGTYNPATGATRMGSVTSDGGTYDIYVSTRTNAPSIEGTRT FKQYWSIRTSKRVGGTVTTGNHFNAWAQVGLNLGTHNYMIVATEGYFSSGSATITVGS SSSGGGNTGGGNTGGGNTGGGSSCAAMWGQCGGQGWTGPTCCQSGTCKASNQWYSQCL 
SAPIO_CDS4571	MRPPNHLTSPIGIASLTCLALASASSANADANASFNINTAISDT CPPKDTSTLILPPPSHISCPPITSNSSPPHLFSPFTHPPICTDQRTPETYCIFTSSHI GHHGLSLITTPFLAATLSPLLHSLYDSPFPSHPTVRKPNLFPLPCEIARIPGKGYGVV ATRRISAGDTFLVDYASLVLHAGYPRATTDKERVGLLKEAVDRLVEPGPRAVRALARS GLSKDLLEDVVQTNVFTREYGDGDDQHHVLYPIVSRINHSCKPNSFLRYSSHGLTVGI AAFHDIQPDINPLDTHKGRQNRLKSNWGFTCKCALCTSPTRISTSDAARAKIHYFRNA ATKAITAHDLDIGISHLRELVETIKKEDMPSLLADPYEALMTVHWVLGEEDDAVRYLT LKLDVMDEYGRLEVPDREAEVRETIERLGPLGARKRT
SAPIO_CDS4572	MANIFDVRWSSNLLANVTSKTTNSNLHGDRILLPQSALEAIMAA PRSDDGLSSYDGLRLPFPLMFRLQNKSNGRVVYSGVREFIGEEDHIGLTPYLEKALGI EAEDKNVEISVSAKQVPKGTYIRLRPLEAGYNPEDWRPILERELRKNFTTLTNNTELH IRGVGGYEYKFLLDKVEPEGDAICVVDTDVEVDIEALDEEQARETLRIIAAKASNRPT GNSTGGEISIWNDVEGHIVPDSYIDYQLPSWDRTQPLTVELSGFGEEDGLDLFAIPSS TRYRALPRQDEHVWGNFTTKSDGHKSIEIPPDHPALETAEKLLISVYSYIPVASSGKA PEPKPQGFVLRARVGHATESQDTLMDDAHVPENQKKCPNCGQWVPKPSFFLHESFCLR NNTTCKECGRVFKKGSEELANHWHCVHKNACDLFPDNYGNTELGRERHRIAYHSEHHC PSCDFTTCDLPELAKHRTTYCPGRLILCQFCHLEVPQGGDGTPTPEMKLTGLTPHELE DGSRTTQCDICGRILRLRELEAHMMNHKLARASQPTPRLCRNVNCGRTLYGVGARGAL FSRSSTTTSEHEDNDLGLCGACFAPLYSSVYDPEGKAMRRRIERRYLLQLNTGCGKSW CANEWCKTHRANAGLEPLGASMKAALPLVKPLVESVPDPAAPMYFCVDETSAVNKIVA TELAREGRYELGWCVAACEATKGEMGRAREWLELWANAKA
SAPIO_CDS4573	MSIVGNDPNARDLLEKQSLLDLLSNHLVLQHTAPYLSARDRLAL GATCRDFHQLVNLSHGVFRYLDLTRVTTAQFDIAPVDNGGEVWRNVQVDENVTEDEFY SGPLRGIFSTLERRHILRDVQTLVLDGLSVTADLCREIISDSKFNVRILSIRGVKNLN ERLLRGALKYACRPDRPAGMPKLRGLYIFTEKSPIVEITDATATSPAEQRGETPSSCC KEACVDTGDLWYHKKGKVIQHAVPQEWAETLIDCQGVIAFDAPLCTGPCHMNSPAYES IVPGVAPAVPRWSVATFALSGCAGCGSAPEGMTTFDTAADRTSLPLLPDAPLLSSSLT AATYPTASTCGTTPSFIARCTTCLNDRHCRTCNKWWCERCYTPPLPGLHVPVSADESQ ASIKKVQRSCFECGFNCNDCVRRTQRRCTACGGGYCITHHEGSSPTLCDWCSTRRRQM AANRAANPQRPVPQQFPACKTKSKQAWLSWMRNQNSIVERRARERTFPREGLRCLAAR S
SAPIO_CDS4574	MAGGRKTKSSGPAPPSKTLIIDNGGYTLKAGFAPPSPTSGADLS PRIIPNCIARDRHRKIYVGSELPQQCRDFGELQFRRPVDKGFIVNWEAQKEVWDGELF GGKGGLGCEPGETRLVLTEAPGGLPALQGNCDQMVFEEFGFASYYRAIGPTLNAYNNI QSFFGTTRDPATMTAPQVPAEIMLLVDSGYSHTTVTPLLHGRPLYSAVRRLDIGGKFL TNYLTRLLSLRHFDMRNDVYIVNEIKETACFVSLDFAADLEKTWKGSRGERRPVYVNG SDGIAKDYVLPDYHARQKGIIRDYDPAAHTKSRKLALGAAGNAADVNEDIITLRNERF SVPELLFNPMDIGLRQPGLPNLIMQSVSSLPVGLWPGLLANIVVVGGNALFSGFRERL QREVASLAPDDCTVRVAVPEDPVTATWKGGVRLAAHENIGKLSVSKAEYDEYGAAWVA RKFAAGLPV
SAPIO_CDS4575	MRWSSIISAAALATSAAAQDPETFPYCDELDRCFQGHAVDDTGV VFGIAVPEDTSAENFDVIIQVTGPKDTAGWVGVGWGGGMTYNPLTIVWPNGDDVQVSS RMAFGYFVPPLYEDASYTVLPGTHVNETHYQVTAVCKGCTLWAPFDGESTALNGEGEN YLAFAYSSVPVDDPTAIDTTFGIHERVGHWIHDFAASKSADFETWVVAGGEGEGDAKA PEAPAEPPAEEEEPPAEGEAPEEDGGDGESSGDASTSSSTVLTTTTTPADPASTSAPD QEPTEEPTPEVEALPLPASCPGVEAPAFPLVTAEGWKIVKISGEVVRPRAVVVDSKGN LLVLESGRGLSALTLGEDGCISTSKLVINNGQLNHGLGITPDGTKVYVSSMTTAWEYS YDAETQEVSGQRVIVKGMYSGGHPSRSLVIPPATPHLLVMQLGSNANFDMESLQIETA RANVKVFDISEAPEGGWDWVTEGWDLGYGLRNEIALVVDGNNQVWGIENSADNLGRTA EGTTTDVHIDNPAEELNLLGDPSKPNTDWYGYPVCFTVGEPDVFVDKEFKVGDQFVLS PNATYDDTNCDAEATPPRLAIQAHSAPIDGAFDKDFSNMYVTLHGSWNRAPATGYKVV QIAYHKLEDGNYDPVPSADTHLPYVDIAWNDNVDACSGNTCLRPTGITFDKAFSRLFV ASDNAANSELFVLYKTE
SAPIO_CDS4577	MGNSSSKHPGEHPSSARTPSNAGEPHHTLSPQASSSTRSRNRAS RAEFSLLTGFAPARTSSTQQTTQPELRRETRQEREARRLEKERIAREKERERSMREEH VDGGFLVTMGIYKGREDFNKTIVRQLQIERRLAPFWRPIEEDVDDLTDAQLVAVARGL PVPEPDAPLPPDLLAEPQSPVSPNQSTQNLSLTVPSGQGLPSSAAEPGPSGPTSSLPS PTSPPRKSRGKAIAEALSHSLRSSRNNSQTDLSLREIALPNDPFVNGQPLEVALYRNS EDCPICFATYPRYLNYTRCCGNMICSECFVQIKRPDPHVPESHGEPGADNSPHEQARE THNMLVSEPAACPYCTQPEFGVTYDPPPFRRGLVYSTTVPLFGASAMSSQSSLHSNPT PASPTSPTGGGRRRTQSLSATAPNVVTTDRIRPDWALKLAAQRTSQARRAAAATALHQ AAFIMYNNDRQFGISSRAPRFMRRGLSGTNSGAGQSPSPGAAPSSDSLQGAEGAPQPA PRPYMTENDRLQQFEEVMMAEAIRLSLAAEEERRRKAEREAEKEAKKEAKKREKEERK NAKLQAKSGSLYADAQGSASRSSVSLGIGRRRGNSGTSSLRTELTSELQGGRGESSGA VASSSGTLSSGDAGQPLDKGKAAERSGLSLPTTTASSSIAIPQQTGHHGPSHLRQTSN ISSIASSLVDSQAGSYSGQGGYLGRSPDAFNPRTSGISLASHDSGAGGGPESMFNFTS MASRMGINLEGQPSEAAEGATDTGITQSQSEITQIGDEEPTTQQTVDEAVEDGVITLQ PSQPGQDSAEGTVPNNKVHVAPELRLIPGSPRPTSNDSEFSKGLESDGAISQRERAGG IV
SAPIO_CDS4578	MKAFERLRGLLGPPGQGYIPLEPGRTSVVRGACNPNLTKAVIRL YWLCLFFGLGTSVWGYNIGILSSVLVHPGWTAVQGKLTPQRKGIITGIYYLGTWVSYV FISRPLSDSFGRRKAAMCGTAILCVGALLQAGSTHPAPFLMMLAGRAICGTGVAIVST SVPLYQSEISPARERGKFVTMNHVGFIVGLATGLWVGYGMTFWTGETGDYYGWRVSLL LQMIPALTFGFGLPFVPESPRWLVEKGRVGEAATVLHWLREGSFTHDEVDNEVNDMVD AVDEHRHSGLNWKSLFIEPPLFARLWRAALLHFLAQMCGAAAMKYYLPTLLKALGLST RIALMAGAIEMTLKIGCSVIEMLIIDRLGRRLSLAIGCVAMAFGMFINGTLPLIYPGN TSKAADMVCVLFIFIYAFGYSLGFGPAAWLYGSELIYIFYPETKGRSLENMDELFGKL EVRRLEDIGSNIGSDSGLSGEPVSRRGLGGARQGGSV
SAPIO_CDS4579	MDRGRLSRSSRDATSSRPERDSQRTAPTKPKIGWMDLPNKDQLF LLALCRLSEPLSNVCLLPYIFYLVRSVLPNKAIESRDAGKDSDSSVPISEYSGLLVAS FPLAQFAVSLAWGYISDRCGRRQSIIFGLLVSVISNAAFGLSRSLGALFFWRTLAGLA NGNVSIMRTMTAEVVKERKFQTKAFLLLPLVFNSGMVLSLALGGLLADPVTNLPWAFG PEGFLNFGRNPKGVAWALAYPYSLPGLMNAAMLGTALALSWLRLRETLPGEESKSDFG LRIGDFLIRVVRNFMGERDHAEYVPVNDEESIGLGISSPSSPSSTTTPDSESEGKPPT AANSPHLREKPTPPPARLPFRKIWTTKVLTAMMAFGLLPLHNSAFMHIFPVYLSSPPA ENSSPTLFFFSGGLGLRSSTIGLWLSAAGIGGILLQLFIYPRLQERIGTLGILKLSLI IFPFVYGLAPYLSLIDRDSVLRWLSLGVVICGQIMARTMAIPSTVILLTEAAPTRTVL GTVHGAGNMISSLARAVGPAVGGMVFGWGIKHGMVGAVWWLYLVCVALVALVWTVVFQ RRGEEDDD
SAPIO_CDS4580	MGSTTNSSGSKVPAQLLADPATLRHDAEAGEDVAAQNNALREKR LRELFMEQQSQPLTIHKFTLHGANHTRPTFLDPIIRPLVADETNSSSTLGDVMLKLRD VVGKLDRLEIFHPEPNVWLSSPDPATDPSSSPTDVNVDLRVRELGRFKLKTGTDVGDG ESSAYGSLLWRNIFGGAEMLSLNASTGTRTRSAYSATFSVPVLSNPDVRLAIDGLGSS THKPWASHEEVLKGGNLRLSWLSPQSDVHSVEYSGIWRQITGLYAGASPSVRAEAGDS VKSSIKHSFQRDRRDNRHLPQSGYVVRSNLELAGIGPLAGDVAFAKGDVDVGGALPIS LPGIQGPTGISLGGGFRFGMLYPLALGNPFGSKVLPSRIPDRFQLGGPNDVRGFQLGG LGPHDGADAVGGDMFAAGSLNLLLPLPYKGPESGLRFQMFLNGGRLVALKNKGKGRNS GSPALEPQTVRDGMVKAFKDIWLSGTPPSLAAGFGLVYGHPMARFELNFGLPLAMAKG EQCTKGLQVGVGINFL
SAPIO_CDS4581	MASKAARTRLTREYKAISENPPPYITAHPSESNILEWHYIITGP EDTPYHGGQYWGTLMFPPEYPFKPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSISGTELERKALAARSRWWNSTGGGSHLKANPALKGN VKAGDGGAKFRAEWPEIDQENWDWIKNNNIDQATGRVSLQLASSCRPQLGIPVAAGSA HQTQAVVDAVVNRRDAGSSWASRHRLLLFGGVVFLYVLIVRLVGNSD
SAPIO_CDS4582	MTSRDSRNWPLPSSVTSATTGDTDSGEKSNYIVEEAAETQVVGT TRLYENGELRFIPMPTPDPKDPLNLPTWRKWFAIGTLCFFGSLGLSAEIIIGSLLPVF LLEYSGVDPRILNKIDFVASSGGQSLNVNPMSVVPPGVQPVPIGKVALLATIPFLGNG IASYFLVPLSIAIGRRPVLLFAAICAWAGGLWAGFSTSLEMHIAARSVQGLGVGAVEA LIPLIVQDMVFIHQRNRAMSVVVSSQGIIIIALGIAAPYIAANYTWRWLYFITSGLGI LAWILLIVGLPETRWKRSKEELSGARVYPIREGEKRPDLDPDYYGSRTLWTEFGLFQF GLDFKGAGLSMLNTVRTTFFPAVLWCVLANSVFVITNQATQQISSFALLAQGWQFQYT GLSVIPFFAATIMVFILGGPVADKVSNSVSKWNGGAREAEHHLANLIFPFVSGVAGCF IFGTAGDNNWHWGVLLTGSFLIIFGFLSTMTILNVFIVECYPMWAGPVLVNVSSLRLI IAFFLASQATVWISQRGLLSTFAIYAEAMLVLSLGIPGLFFFGKRIRQWTAGKVYGIR AEKRKETATPSTV
SAPIO_CDS4583	MAPANGSNGSGGNVAIRKAGAARHRNVTPVVPAIPLPYMQKRLQ KQLASKTPPPVPAPAPMPESSPPKAQGDIPATPDGTQIQPTEPDAAGFDHSKEVKKSV VTSPGAVAESSSAQGTSFAVTNDSLSELTKPTSPTFAAPSGPGEPKPVSEISSSDSSQ LADPHLGAPVGPHPEMSRQEGHRTLASPEHITSPPDVLRPETMNAIHQANALFYPGAN VNGNPEALGPAPDGYAGSHQGPIMHAPTAHQPHRSTNNLTFGGIHDSNNSSPAPLSAG YGPPPGFPAPNGRSHMGRPSGPEFRPQSFVYGNNVDPATSIDHFAQSMAAYAPHDGGY HPFVGNYMPSTPLSYHGSQPSPQPEDNGLYGVPNGVHGHFEDGPGRVHPSMYGMVPPP MGMMPPMPPPHLLPRPLDPDIEQLLDQMKRHFQDPRLADCRLELSFPDQRAPLLNFPA HRLVLAQSKTLEQLMMDNPANRRKHGSGTTITVRAVDKYLRSDTFLVAIQRLYGFPLF EIPAPPPGMEDLPLAGGAVDQFKFVISYAAAGHLLQYNSVVIRGMEMGRQLMGWDTVE LALEFTLGSSPARGTIDFHEKWHYGGPTKILYEAVIAFVSDQLSAEFELDITVSDPDG YCRLPNVPDSQKGRTNAKAPSPAIARGSVVKLGPNHRSRLSKIKFGDLSSEDARSGAN GSAKRSRPYTQDQIVVLSKILLNIPFESVKSVLESPRFGRDAESVAARDEARFRLITD VIGEREARRLAVLEAVKTNQGAKAEQVRRTLGFVEPRTVDECSVLGFQESVTPVSDAG VPYISRAWVPLANTKKNNSTGNSSHGRFVAAYP
SAPIO_CDS4584	MAPPNTSADSSASSSSAKAESSSRPSQPKTPRSLADPIARTALR YSMSAREYATLHKYVISRSRLLKRAAPSVSTVEKIIDGNRAHLARKESREGRAGAAGD DYNVRAVRHAIRVFLATGSLMKLYTIVSRKLSRTDKDVQTTKKQPFHKSDTFRLSLSL STILLLYRLLFRFFTRFRAHLLDPTAAPFRARNPRTAATLTSPYAPAIGASLAGLMLG IYPAKQLRVSIALFAIFRALEFSWNMCEEEGLIWGLKPGGKGKRERPWWFGSWMLQPF AFGQLLHAVVFDRDCFPMPFGNFIFGNSSTYLHGRPKEYPAHIKWPGTYNIVDSLAEM AKLNWPPFTSPILFPDKETLPSTLSAVAPLTSTAHPLITSLSCATLHPSDPSCLRTYL TFWLRSFPPLARFFLIFYSALTLPRLRLLYHNPVATLNRLLARALRSSTFITGSISTA WASICVFQTWFPKSFLATQRFFIGGFLAGLWAWVERRSCRGAFLYTTKASVDSLWKVG VKRRWWRGMKGGDVWVFVLALMATGVVYERDRKAIKEANWRKGVSWVRGEGFRDWSVE EDELVEEEDSA
SAPIO_CDS4585	MLKLRLRPWSEEDSRIDLPRESAPGKYIASSKGQQHPAIRNHGS SSPKHSPSCLTKAFESINTGYVVGAIGLPTHWSTYSNEGDSTWMQIPLAAVYYNSLHI SAELGNARIVQFLLDNDVDVDGVDSSGRTALHYAARGAHIEVVSRLLAGGADSEARDY NGLSPLHAAAEAGCEAVIRLLARGGADLNASVGISRDEEVVKEGIRY
SAPIO_CDS4586	MTPWIRLWSKVQGHVSEQPEDASVTGNEAVLPLLDEEKLSEVEL EKDEPEAAQKRVKRPHFWLSSSAILLFSFGIFIFSLLFYRFSSYSAATDKTCQRRMWA YSPEMDILEFEWRQYNSAVIPREYYGTPTDERREAWHELWASKLTGPVQNSSCELTL
SAPIO_CDS4587	MGIIRYHWETFEFEESEFTTSEPSQYYGFKPTDEIENAWNELLP EHPISIPDSRLRDLGLFGAVNDSAWIRDPHNNNNILALPEYSVQLGCLNFLRQLGHRA DRDFTHLAAFQGSDSLVWERAYQCIERLRKAVMCWGDVGSILLHHGGNTTASGHDDTV FDFGTLHYCRNFESIVEWTRENGVKSVTMDNLWWGE
SAPIO_CDS4589	MKLLNTLTLFVAVAIAAPRSNTKPEARSEISDAGDTGWGKRDIS DVDVDEISAALYTGWGSIKSKRDISDVDVDEISAALYTGWGSIKNKRDIRDVDVDEIS AALYTGWGSIKSKRDIKDINVDEISAALYSGWGGISKREDA
SAPIO_CDS4590	MSSQRPSTDFSDDATIEYEKESFIHPGRRHQPQRNGWFYSKTHI LSLYASHAVLLVVVFALVVKFTRRPFLDPTLGVYSPANEAVEYIKEYKFHAALFNRTP YMGYPTDETDQLWRDLYSFGISKITEEEAKMLPHPTLPIPGTKEYLIQLDIWHTLHCL NDLRMLLYPERFPGLAEVTDENGVINRDSFEFLHWDHCIDSIRETLMCHADVSPMPFR VNVPQNEVIVPRLATTHTCRNFTKVQEWAKAHHAGAWNYNVTAEQAEEIIRESGFDNA PWEDIEDQYPAFPGNKFFKYWRDHPEEAEAAKKEAARVKAAKEKAAEEADSHVSTHL
SAPIO_CDS4591	MFTLSLRPPSLQYVDRIHEQRRNHYLRHISMPSPILDETEIRVS PKRMDATLLNTNPPSIYRQEPSHDVDLAWASLYDTRPVALTRDQVLAMGKDPASAARI PSSWGRGNDSYFGRVDVFHQLHCLDALRREARFEHYYGAKYPGGFDTTSEMHRLHLSH CVWLLAQNIMCSANTDMYTHVWTDVLDHPFPDFNIEHKCKDYDALMEWQQKNALDEDD FVALRRPEGYPYHAMTHKFKEIHNWFSFHEDDGDFESGEIA
SAPIO_CDS4592	MCEETETTSPTNTQLQAALAANPLQADDAIRDDDSAYSVSADSA SFRTSLASSVLNYKYENGRRYHAFREGSYLVPNDEEEQDRMDLVHHLYCMVLKGELFF SPLESDPQRVLDLGTGTGVWAMDFADQYPSAEVIGTDLSPIQPGWTPPNCFFEVDDFE SEWPYQKPFDFIHGRELEGCIADGGKLFRQAFQHLAPGGYIEFQASYPAFLSDDGTGE KGESAQLWLKNLIEGLGKFGKPLDVAIGWKKKMEEAGFEDVTEKILKVPVGAWPKDPK LKEIGKLQGVQQIQAVESYTPAVFSRVLGWSDEEIQVVIAKTRKDLTDPAIHIYIPVY FVYGRKPKA
SAPIO_CDS4593	MKTLSFAAAAALLAQNVAGQNMLRFACSQLVVDRVDPIVNPGML YTPHLHQIVGGNSFNITMDPAEHDLAAQSTCTSCSFVEDLSNYWTAVMFFKSKDGRYH RVQQVGNGGPQGKLINNGGLDVYYIPSGKTTAFAKGFRMIAGDAGNTEDSKVSRGNIC HRCWTSPNENTFVGGAPCSGSDTVAIPKSPTCKMIRQTIIFPTCWDGKNLDSVDHKTH VAYSGGFGGANGGGACPASHPVKLPQVMFELMWNVTEFSDQSQWPTDGSDPFIYSMDL GGSAAHGDYVFGWKDDTLQKAMDQGCNLNHDCPGAGLHYQPPEKYNACTIPQQAPEPV DGWLQALPLGGSIVKA
SAPIO_CDS4594	MQLSFLATALLALGSANAAAVGCCQNSVRREWRTLSTREKQAYI SAVKCLQSKPSQLTATYPNSASRFDDFQAVHIDLTEQYHFTGPFLPWHRIFIHQYELD LRSLCNYRGFQPYWDWTKDSATEAAFLSAPVFDAVNGFGGNGPFVDTSEFNVTNVPPK IPGKTGGGCVQDGPFVDMTVTLGPGASLDSNPRCLRRDFSYWLISRTLTQDVVDWTLA ATTYGEFDHRLQGTGIEPEGMTIHAGGHLGVGGDIGEIGNMYSSPGDPLFYLHHANLD RLWNQWQRVDFTNRVADMTGPDTMFAYPWDFFGPVPYTNITLNTLLDFKGLLGPKPTD RYVEIKDVMDIAGPRLCVSYR
SAPIO_CDS4595	MSASPDAAAGAAGNEAIGPPPLDFDFSATPGYKGYLQRNLNIAL ISFSTFFIILRLGTRAFIVKGLGLDDLVGFIAYAALVSFSSMEIRAVGFGSGTHMDFV PPYFIPKFFSALTTQHLMYFWVVGLTRMAIVAFLLRMSNDKLYKRLVYGVGVVIILKT IACFLFRLLECKDIKVLWLPPGSGDCISKDAEAIMMWTHASIGIAVDFALLALPIWVV HSKMIWSAKTIRVILIFCIGLFAVITGIVRLSIMVNTNFAIDSTYKMSTIAFWTDIEG HVGLWVACFPALQPLVRILSYRLGLRSRLDSNYRSGGRSGGRGTGKNGSRPFNSSKNG YLRSGNGDNFDGSSSKSTGAIVSSRNIELSDIEASKSSSPERGIRRDIEFTIHTSTAN LAETKDDEDADLGQKKKTRPWM
SAPIO_CDS4599	MSALSEKRGELESRFTSLVEDAYKVYLASAQDAQKDFNNPPIAS ANALLRLVRDQNEQFVNFRSREGKILEVVDALLKPIEVIGGIVAGAAEEAFPPGQHIF SAVMYLIGAAKDVSEMYDAILDLFTRLQDFTIRLEFYIKEEMSPQLREKVVQILAALF ETLLIATEEVNRGRFKAYVRKVFGRDSKVPEALQKLESLTKGEEGLVMAETLASIKRT LSLQHRLDEKMDQMDNFLRAETREKTALTNRQKIKEILEPSVYPEDRYNSLKNSRTPG TGDWLVEDASFKSWVDGEFPFLWICGNPGTGKSYLASRLISWMEDLLSQPGDSSMHSL AYFFFRENNPETRSVNQCLRDLAYQLSEDDAFYAKEILRRLQSRDDIKTIPSAVRSLV NTPCTTDTRVRNIYIFLDGVDEAEKTDTEELLSLLAELPSKYQTTRVQIALIGRSVLT ETVSICLDEDASGQQLRTIHLTPELLAQDVRMYIIDEVRRSRVLRRAVPGFREKVVEK MTKQVDGLFILARIMVSDLNRKTHPQMILESLGQFPREINGTLRKMMEQLSATLSEAH AADLNETLIWVTCAEQTLTLEQVETILELKFGDPAFNLEETLRTQFSSFFTLDREDGQ TTADLYQRHNDQNLDSGPSSRNASRPPAGSNSPGRSRSPAALSNSPDLFYPSIIDFNS NKKTTTVTFFHASITEFLREDISTNVRAKTGGPAIGFDLAEARLHVLKTCLRILLEPK SFKVNPENGPMWQYATWYWQEHLVNVDKSRVSLKDKQFVGRCLYMLLTRRKNILDWTQ EEESLKLFTDINMDCLQRWMSDTEVLSGLDKESQDWAIKAAAVPGGLVERIGRLYAHA WLDPDFNFYIPTMTCFQIVHSVAYIQEGHTWNDSDFKWSGIPPRSRMNRALQWSGLPK SGHSLRRVGSTYINLGLHDEALKLFNEALPLDGDMVQTCGRIAYCYMQNRQYERALVK HLMCESLEERLIETNKFTTPRERDFSKWRLYTNRFSIAKCLHKLGRVEEAIQYFQKAI ISAYEPDKFEPEEAYLEVLAGHNCHELIMKLLDWMDGQPGKDRGESRLTDFLVAQASG TSDSEWIIPKTACIRGRTETMISRYEIAIDVTKRKQDIAAQLNLYLSLASLKFFSRDY TGAMAVLNEIAKIGGHPRGSVLVRTLYTLSLRSRAQVYKQMIVAAGAKSPAAQPLLKE LEELQDYQLKRGQYRELPQRLNGINVNDASLYLGLLYTQMGKIADAQKLLSAIIIESI GILNDDEPQNDSHALENLSRALVAIGDVAAATALFQSMRRYADDSLGSASMQDLGSAS EARNCDPFLPYCRPHPLVCLQCLNTLTTNERFYICVRSLDSFCEMCLDTLIKVEKNTT VGGNPDLVCRVDHEWFPVEPLRTTLRRGEILVGSEVKRIKDWEEAIKKKWAVNVV
SAPIO_CDS4600	MPDCHDIGVWRAAGPSRSLDKLKGLVKEVDDRDQRSVRIDMAFT MAVSGNVDVVKLLLDKALRSRKRGPVLECLALSTISTPCACDSSAAIPTVTTSYPCGP RSRPVNCPTGCATTSYVFETEGCGTGGESSSGGESSSGGEGSTTVRGCTPTVTVTECG FPWTTTPQWCWYDGIITLPCDCDVALATVTTTVTDDSSNCMPCSYGWMTDIPCTASNW WG
SAPIO_CDS4601	MTRGSATQLTAVGRPPRRARLRAVAQPLYSSPAAALRGLRPTYY NSASTPPNDNSEPTESTKPYEPDKPNQCGPSPTPPQPSPQPDRSIDDQDSESCMAAAF LALDDGIQPDLHPTALYTYSGTTSELGRGKAMALDLSFLHQASDNEFQPTKLPLTDTD ASSTVDWCFDEPSHFVLSPSSVELPALSLAAPTDNASEPSNGGSSTASPCTCLATVIN LLLHRTFAFQFAIKILTIQLNKSTQINTPKMLLNALFLFSAGFIGSALGYHEGDKIYA QASDIDLGHGTTIFGGVKYAFEAPPGDEHWYITNSNGTETPHWKYLPNLKASAPAPFS AGSVPADSITSEAGAGLDKRQRPPDYMNYYNLANCNDFDAQDRPVTLNRCVYNRALVA WSSSFTPAGSTCRFIVNYYNSGCGPGSTCSGYITQTFDWAGCFNPPRIFCSTFATCCL SGC
SAPIO_CDS4604	MSKLSPGLKALINAPFARPNQTPAPARIRGVYQAIASDAARRKV GLKPWVVLCSAATFTLNSPESLAILQDVASDYSSSSSPPLSPVQVAELIREVGLKCIS FNGIPRSINCLNAFHASLPAEITSQLETKSSRAPTPEILPAMIARGRALWDSVYRPFE NSLVTKLATSHPDLPVHILNSHYAGLLSDPDAEVRGNLASTGRVLTSVIALACLRSQT GVGPQVLSHVFGLRKAIEDGSYKAPGQEVVEGAEWLATDEGSEWILRTIDSISRTLGG GNFAQDPQAKL
SAPIO_CDS4605	MRLLQLGSGGELTLTKDLEHHDIPPYAILSHTWGDEEDEVTFQD IMEKRGKQKPGYQKILFCGKQARDDNLDYFWVDTCCIDKTSSAELQKSITSMFRWYQD SKICYVYLEDVSKDEDGQTEISRAAGQHAFRRSRWFTRGWTLQELVAPRSVKFFDRNY SPLGDKEILRQQVSDITGIAERALLGDSLADFGVSERYEWARNRQTKREEDWAYSLQG IFNVFPSPSYGEGRASAVGKLVREIKENLLGIEVQGVIVDDVGQRRFINPLLLDIALL ETLSLFDKARAQAERHRSIIHESRRRFKRNNVLVSDITAVFFKFLRRLLWSIFSPIIL LGTLETELDLNATQLRSVIISNNDIASNLRREEEHSQKTLDELKRAQDFIQTQMEPST QKIDDQSSVLREIEILKKYVEHTTIEIKEEKRQQMGPGQTGMIERQYEQSVQLKKQWP KVDKECRQWNQTLEGTKEEGNQKRTEIVATVKRHEEKLEEAERNRREWENKTFLGKVW AWLMWLSSCGSGD
SAPIO_CDS4606	MGRRQPAMVTRVLWSVQHSIAHPPAAEVRPLLGFCVGRGGLAVC RTFTTSRARWSAPAQTVKGGGASKVFDSADSAVADIKSGSTILSSGFGLCGVPETLIA AIERRGPSLQSLTAVSNNAGKEGLGGLSVLVKSGQLERLIISFLGNNKILEKKYLTGE IGVELCPQGTLAERIRAAGAGIPAFFTPTGAHSLLQTGDIPVRLDATGKVLERGRERE AREFNGRTYLLEKALPGDVAILRAWKVDEAGNCVFRYTTKSFGPIMAKAAKLTIVEAE NIVPIGSIEPSSVDLPGIFVDRIVPATQPKLIEILKLASQSSSSPSSTTISDAQGKRN RIARRTAQELKEGYYVNLGVGIPTLAPSFLPPDRKVWLQSENGILGMGPYPASEAEAD PDIVNAGKETVTLVPGAATFDSSESFGMIRGGHVDVSVLGALQVSAAGDLANYMIPGK VMKGMGGAMDLISNPDGTKIVIATEHVAKDGSPKIVQKCNLPLTGAKVVSTIITDLCV FQVDRKAGTLTLTEIAPGVKVEEVRAKTEANFIVASDLKLME
SAPIO_CDS4607	MLQMSQDMSVTQASDISEIAMPRQSATPLPPPGSGLNLKRIAIG RGTQNYTCDPMNTTAVPAAVGAKATLFDATRFAVACPQLLDSLSGVALGFLRADEIPT SPAVLGLHIFLDRETPFFALETPFTHLGKVLCAGDSSTPAPKDAPKGFNGEPAVAWLK LRAKNGTTGNIREVYRLQTAGGSALETRKGMSATFERQYAAQYWFYGL
SAPIO_CDS4610	MRALSSIALVLSALRGVVACPVSGNPAIDSFVESETQVSRHKLL CNIGSDGCEAAGVSPGVVIASPSKSDPDYWYTWTRDSALVFKTVIEQFASGDYDASLQ KKIQEYIVSQAKLQGVDNPSGGLVDGSGLGEAKFHVDLTQFTGAWGRPQHDGPPLRAI AMSQYAKWLIDNGYPKTAQEIVWPVIRNDLYYTAHYWNNTGFDLWEEVNGASFFTSIT QYRALVEGSALAARLQTTCTACDEIAPHVLCLVQNYWSNSGYVVSNIHNNAGRAGKDG NSILGSIHSFDPRLGCDATTFQPCSDKALSNHKAVTDSFRSWPINNGIPQGTAVAVGR YIEDVYYNGNPWYLLTLAAAEQLYDAIAVWKAQGSVTVTSTSLGFFKDLVPSITAGTY QNGTTTYDSVLDAVFTYADGYLNVVKTYMHTDGSMPEQFSKNDGTPMAARDLTWSYSA FLTAAAARSNARSPQFGWLASPPQLPNTCAASTADGAYVSATAVSFPASQTPGGTETI TASVPEPTSTTCLHKVNFSVLRTTVWGDSVKVVGSIKELGSWNTANARPLLADAYTES NPLWRGSISVPAGASFQYKFIKVSDNGAVTWESDPNRSYSASSECGSTGNAGGNWQ
SAPIO_CDS4611	MGSTKRTRTVEAKKGDGTQTRLDSLVNEGVPDDHIEFGGSFGNA ALIIGFPLLMWYMWIGAEYYDGQLPLPSPDQSWSDFARHLFNLAYEGAFPTARAWKIY WVFFGTQMLFYYTLPGVTGYGKPLRHENGKQLKYFCNAYASFYTTIVLAALLHVTGVF RLYTLIDEFGPIMSVAILSGFLNSIIVYVSALLWGRGHRLTGYPIYDFFMGAELNPRI GILDLKMFYEVRIPWFILFLISCAAATRQYETYGYVSGEVLFLVMAHYLYANACAKGE QLIITSWDMYFEKLGFMLTFWNMAGVPFSYCHCALYLASHDPSTYRWNRTALVAFTVL YLFVYWVWDTTNSQKNGFRQMERGQLVQRKTFPQLPWQVVKNPRVIETDAGDRILADG WFGLVRKPHYPCDAFFATAWALITGFNSPFPWFYPVFFCIMIAHRTRRDVKKCRNKYG KAWEQYEKEVPYLFIPYVI
SAPIO_CDS4613	MGYTHYWTIKDAASWRKCLPQLVDDSGLIIKHSGVAICGNDYDL PPMLDKDEGISINGKDDGFEPFCLALDEGFDFCKTGRRPYDLVVCAILLRAHQLAPKV LDIHSDGRWNEVGWRNARELINQLWPDEAVTCPWGDAPDE
SAPIO_CDS4614	MAFYDAFNTKDRPNCYDINVSAIPDYFSHIHFAFADLTADYQLD VSRTSDQFEALKKMPNKKIISIGIGEKFNSTMSKMLHAGVQSDKADLFSQSIGAFIDS HGLDGVSLAWSPYAGEVDQYISFLGTLRSQLPAGKTISVAIDRHFQDPKYKIKQIADA IDHFIFKAFDYHGYLDVLSQPQLFTNSGVPSTKIFIGMAHHGRSVKLADAECFNTTYP AFETYFPFDEDDKDKPPIVRDIYDSASKANIFIYNDDQYISWLDREARMDWFSALVDY DIGGIVDWMYDLTFGVDTLIRPMKANDTNIAPRCDFSRKFKDLNEVDRAMSEEGVNPE CAGYYSLIALESMLDDAIQAYEDIDSDNFNENWDSYGSHINNTIQIQLDRCINWIDNG PCNKYFHCAMEEADQFLDEGTCPLGKYDPARFSDYVVTYTLEDEDGFYRTLSEEYGIE RDWVKFGETLEQQKCHTQPQQSDSPQHDGPGRRLSRRQECHSYRREVKNKPMKADDIQ VSNPKELIKQVSANLTSIQAAFTEQRMAIISSLWNGTYHEPAEAYSMPVFMFMQAVES MNQAAAIGEEYRKEKDEKKRKEMVLSIITGMLIFIPFAGEAAAAIGWASSTIAKIGIL IGAAGDAGLMGVDLVQNPEAAPMAALGMLLMGGGARSAKRVAELAEAKKAMGGDDLAK LGAVFKANEDKLQAILNVCRKQ
SAPIO_CDS4617	MTLPSEELPREANVSNSTGDVSETAAKPEASKVEFSQVKEDQSA PDYKIMTFYNHSTDPSPRMARWSEFQDPVHEERRLRDLAHGFAVVHRMIKVGEGDEVG WATSSIEAKSPGLRAVLDSVFRNYPSWYADASPYAVSPPFKPYVHRWSALLEEVERAR ADELLDDELRLLKDTLEPRIANQLSALKRVKETGTVSFDDLWLILGPGCLIVSNDCTK AFRLVDVEFTPRCGPQPAYYTAVLAYVDWNGAVSGVAGRNLRIYEFNQPRSVDKLPFY PLDFSPRARLIEEKLLARGRKFEALRGFHVKAYAGTKYVEVGPGRIAEKPISGRIIID AYAYWKVQKQVAPRLGSISQLGTLRMKKSPPHSRRPHSTGMRPPRPPLPQFRKAAKAA ESVKAAKAVKTVKTVSPASSDVSFVDGRPRDSDADKHSTDSSSSTSGSSDSDEGRRVK ARRRPLKKSVGSIRSPIVRHDDPSEPSFGRDEILTPLTDLECIIASPRVRGFDLAAKE WCEFDIDGIQETVWDASPYDNLVLPDGEKDLIFAFADRPRLSKQGFDDFVSHKGEGII ILLCGPPGVGKTLTAEAVAERSCVPLYILSASDLGTEAGSVERALMSALECCQLWDAV LLIDEADVFLESRTSYNLQRNELVSIFLRRLEYYRGLMFLTTNRITAIDKAFKSRIDL ILPYSDLDKPARRKIWVNFIQKLGPGVASLDDADFDKLAESDLNGREIKNSIKTALVL ANKDKPLRLRHLEVVLRIRQRVERMEEGSLVG
SAPIO_CDS4621	MAQAEVPRFPFNRASGPEPPAEFARLRKTAPVSKVKLFNDAEAW LVTKYHDVCKVATDQRLSKQRTRPGFPELSAGGVAAAKNKPTFVDMDAPDHMHQRSMV EPIFTKEHVESLKPYIQSTTNQLLDAMIKGGCAKPVDLVEKFALPLPSYVIYTILGVP FEDLAYLTTQNAIRTNGSSTALEAANANKELLDYLENLVELRSVEPKDDLISKLVVEQ LKTGEIEKSDAVQIAFLLLVAGNATMVNMIALGVVELLKHPDQLKELISKPALAKEFV NELCRYHTASAMAMKRVAKVDIELGGQHIKAGEGIIASNQSANRDEDVFPNPDVFDMH REFKVDGLGYGYGPHRCIAEHLARAELEAVFSTLFQRLPNLRLGVPFDQVAYSPLSKD VGITELPVVW
SAPIO_CDS4622	MQPRASWSRIIALLCGSALIRPAASLECRPEGPIFPKPDLTKSA TFDAAIGKLEATINSAIAGEINAGWNVPNTTISVAFVAKGQKSPGEPLWEYHHLAENT ELGTKNLDRNAQYQIGSVSKAITDAVLIRSNLNLDDPITKYLPGLGGSPSLIPWDTVT LRALASNLAGIPPNYGYSEFYYLKDMFEDLGLPPIPNSDYLMCDVFGLNLGCAKSQYI VGLKDSHPVAPPMQRPVYSNVAFTLLMYAVSAATDQTYSQVLYEYITGPLGMVNTYAS PGLLNSSVVPPVDNSYGFWFGDATPGGGLVSSLSDLTSFVYRIITYTIFDSPMAVRQW LQPRSFAGSRHSFFGMPWEIYRPPPNAVFPNYDPETETGGHTFSVFTKDGAAYGYRAR VSIIDEYSVGLVILAAGDSSAVTIVNDAMIATLIPAIHQAAREQTGELGYVGTFTGCS DAAFNGTIAIDENSLVLDSLSYNGSDIIHALREIFGVTYKNLIPNYEPGPILRLYPTE VEVEDETDDRNVVIREDWRFVWDVKRERTSDLPGQTVSSYDCLAWEAVDWIYYGSEAV DRIVFVKDPRTSEVLWMEVPFLRSRGSRGSECAPAATPKAGGGDEDGEPSGEEGDEVG EEVGDVEDKPNEGVEP
SAPIO_CDS4623	MSLPIRSPITALAAVGLIAAVAIPFLLSHDSNFFAPHVQGKNNT VLFLVNSNHGYSNVHLATAFAMAEKDSDVSIHFGTFEKMRSRIQRIEQAARERNPDVE PISFHLLPSTDYLDALNARDIMTVDNMITPPGLEGNKHFSSSFRWIFAPWTDEDHLAI YRRSVELIEEIDPALVVLDPMFRPAMEATQDQNRMYTVVSPNTLELFLLDQKWGKALW KYPAVASGYDYPLPWSQIPANAMSVVRLVYHILTVPGASESRAFLRKNGIKNPLDFIH SHRVDRPWICQAMLEAAVPLDYIPANVTAVGPIALSLEPAEEQDPELTAWAKRKPTVL INLGSIFVYDQERARAMAEAMANIAAQVDIQFLWKFKKLHTYSDDFMKPLQPFIDEGR VRIVNWLTIDPAALLEGGDVIASVHHGGSNSYHEALSAGVPHVILPLWVDLYDFATYS EYYGIGVWGCKKTAPEWTAEGLTEAFLRVVSDREEAVARREKARELGRKARERPGRDI AAGLVLDLAKTGYEDEVLSPSENNV
SAPIO_CDS4624	MASNAKGEGEDPAQSLAPEKTHPPTARSAAESTTTVVEKDWHAR RSTTDPMADEEERMNEEVHELARRLTTTQSNAAPGSLFPLPIEGPLDPSSPKFDARQW AKAFYALRVDTSEGSPPRTTGVAFKGLSAYGFGTSTSFQKSVGNVFLKGVSALKKISG AKEQRIDILHDLEGIVRAGEMLAVLGPPGSGCSTFLKTIAGDTHGFHVSKDAVVNYQG VHPNEMRTAFRGEAIYTAEVDHHFPHLTVGDTLYFAARARCPKNIPEGVSRKEYAEHL RDVTMAMFGISHTKNTRVGDDFIRGVSGGERKRVTIAEAALSYSPLQCWDNSTRGLDS ANALEFCRTLRTQADIMGSTSCVAIYQASQDAYDIFDKVIVLYDGRQIFFGKTGEAKS YFEGLGFICPEQQTTADFLTSMTSAHERIVRPGWEGKAPRSADEFADAWKASKHRNQL MAEVEDYFERHPFGGEHYQMFLESRKADQSKSQRAKSPFTLSYMEQMGLTLWRSAVML KTDPSIPIVMLFSNFFEALIVSSVFYNLPANTSTFFRRGVLIFYVVLMNAFSSVLEIL SLYAKRKIVEKHSRYAFYHPSAEALSSMVVDLPYKILNTIFLNTTMYFMGHLRREAGP YFFFLLISFTVTMSMSMLFRFIASITKSIDQALAPASIFLLAIVTYTGFAIPPDYMEK WIGWFRWINPVYYGLESLFLNEFTGRSFPCTTYVPSGPGYDSVAADQRVCATTGSVPG QPFVFGSDYLKSAYGFVNVHRWRNFGVVVAFAVLYMILHLVATEYIASERSRGEVLLF TRRGMKKQTKQSTGDVETGPGGNKVVRREAEDSDAPSNMDTQVSVFHWKDVCYDIKIK GEPRRLLDNVDGWVKPGTLTALMGVSGAGKTTLLDVLASRVTMGVITGEMLVNGKQRD QSFQRKTGYVQQQDLHLHTSTVREALNFSALLRQPSRYTQAEKLAYVDTVINLLDMEE YSDAIIGVPGEGLNVEQRKRLTIGVELAARPQLLLFLDEPTSGLDSQTSWSICNLMEK LTKSGQAILCTIHQPSAMLFQRFDRLLLLASGGKTVYFGEIGKNANIMIDYFVRNGGP PCPPGANPAEYMLEVIGAAPGAHTDIDWPAAWRNSPEYRGIQNELARLARGEGAPEPQ DVDKDPSAYRQFAAPFTTQLFEVTKRVFQQYWRSPTYIYSKGLLSFGSALFVGLSFLN AENTQRGLQNQMFGIFIFLFTFAQIVQQIMPLFVTQRTLYEARERPSKAYSWQSFLIA NVLVEIAWNSLMSVFCFVCWYFPIGLYRNAYATDAVDSRGITMFMQVWVFFVFTSTFA DMAIAAIGSVEIAGAIVNLFVIMMFTFCGVLAGPNDLPGFWIFMYRVNPITYVIEGFL GTSLANTAVHCANNEFLSFKSPEGMTCAEYMEPYMSVVGGYLVNPEAGNGTECQYCQM DNTNTFLSSINVSFDHRWRNFGFMWAFAVFNIAAAVILYWLVRVPKKKGKGLK
SAPIO_CDS4625	MASSEQSEKIYDFIVCGGGTSGCVVAGRLAEDPNVSVLVIEAGQ HNENLENVHMTGGWSKNFDSETDWNIISEKRPAVNDRQVKLSRGKFLGGCSGCNGTLC IRGSRQDYDDWGLDGWSGDEFFKYMAKAETFHTKPWFNETKEEHGYDGHLHVEPHDLA PISNLLMESMVSKGLPLDHDMFTHGNNPHGCGHVPRSVYKGLRTTGADFITRENEKKN IDLLVETHVDKVIIEKDADGELKATGVRAVKADGTVVEIKARKEVIVSGGSYCSPNIL NRSGIGAKDELEKHGITTLVDLPGVGKNLMDHLIVFIFYETEKPGLTNDYLVYHGNAL EKTYEQWKNEKTGFLSTFPFGIFAFARLDDRLADSAVWNAAPREPGRDPMGLTPKQPN IEFFSTECYGGPKQFDKFPGDGQHAFSIIAELFAPKSRGTVTLRSANPADGPIVDCNY LADPLDVEVLAEACRFGNEIVTQGAGTKDIVKGSWPSDLTHHTYSSREDWIPYVRDNA TTCYHAAGTCAMGKESDPNAVVNEKLFAKGVKGLRVADCSVMPTLNGGHTQIPAFGIG EKAASLIKETWGLKSA
SAPIO_CDS4626	MTATPFQTEAWTEYGLGCLILFLRYFARWKAVGFKGWQGDDYFA VLALVFWTLELLMLELIDEIGATLSEEQIAKLVFGSKCLMAGWNFYVTLIWCLKGCML CYYNRITLGLKQQKVVKWTGLATVLAYIAVIGVIWAHCTPVQKNWQVVPYPGDRCTLG VANYLSLVVLNISTDLVIVMIPVPLLWKVKLSIKRKLIIGLLLCSGVFIMIATLLRCI LSLRDIQGINISTIWAIRETFVGIIAVNAASIKPLFSKSRWLSSSNKDSSRKTSGRLD KYGYSLSRIGNSGVRPTLSTKRHHDITDLGDNTSEEHIVKAANSEYGKVVMHDIRAGS TNSDHSAHQEGIIVTKTYEVSQYKSTLDV
SAPIO_CDS4627	MDESNNLNPIACKRCKERKDRPSAINASLSTATAGDNSALSAIL ERLQRVEESITHARAVSDISTPSYTINVESPSQTSQSHGAISSSISSAPSAIFTGTTP SPRLHLEPSAPTALDATAILSDAVAQVERVRAKSLTTATITEDVAITPEMSKLWIKNY FSREPEDTFSSFLPRKLLEMMPDLVGMPHVDIDASIWVIYYCILYQGCWFPPKNTPPP DYQSHQRRLYICCLRSLPVWRKQATGTITDFLAALFIARASVEYFDHDLFWQTFKDAC RYAQGLNLHNLDSNAMSSSVRSVVASWDRKSLWMLIQIDLFFRLLCDTPPVLTANLDN WRVNLPTISAEESETGPRAVTTSCFIVGSRVTLILAQFFQLLEAPTRNDETLFDEALA LCDEIEKVFDEWQFHDWFRTCSEDINLVWAVGDVLQTGYVSIIFIMRKIAALRSGSPT PITCDDDIPDHPIVLRAARQGLEICDVVLRDHPYASMISFLFGAGQALISCGCLFKTI LRASRPGDYADDIVLLERVAKALERVSKEQTDFAPLAQAMADLCKEVQVRVDAQV
SAPIO_CDS4628	MDPPAATASSTTLPTLVPSPRGSRTRLGEDDASETEEVQFAPII SHDNVSRQNTRRSRSLSLHRIRRTTTTESRKEARDADLDVNLPYRTLTTEANMDEYRV ESRTGEIPGPPKPGVGKGNYRLVTFEPGDPGNPKNWTKTRKWYCTLIVAFTCFVVAFE SSVITADIIGVAREFDASYELTLASISLFVMGFGIGPMIFAPLSEIYGRRIIYASTLA LAVIFIIPCAVSQNIETLLVCRAIDGIAFSAPMTLVGGTLADLWKNEERGVPMAVFSA APFIGPAIGPLAGGFLSDAAGWRWLYWLQLILAGIAWILITFTIPETYAPTILSSRAK KLRKETGNDDFVTEQDLDLRPLSERLVIFLIRPFQLLFGELIVFLISLYMSVLYGLLY MFFVAYPIVFMKGKGWSSGMTGLMFIPIAVGTVSSAACSPWVNKHYLKMVAKHDGNPP AEVRLIPMMLSCWFIPIGLFIFAWTSYPWLIWVGPCLGGFPVGFGFIFLYNAANNYLV DCYQHQAASALAAKTCIRSFWGAAVVLFTEQMYDRLGDQWASSLLGFISLACCGIPFL FWRYGARIRARSKYAYAGDDEVAGPSDEEKGTAH
SAPIO_CDS4629	MVINIFGVLSLGLLLLKGSLAQTDRDLQSNIPAEEAKALESIVE DEPNVFKLLKDWESPEYNLIYRVPLPIPPVKQPLKKITSPVTGQEIWYYEFEIKPFSQ QVYPNLRAARLVGYDGMSPGPTIIIPRGTESIVRFANNADVENSVHLHGSYSRAPFDG WAEDITNPGEYKDYYYPNRQSARMLWYHDHAMGITAENAYMGQAGAYIITDEAEDALN LPSGYGVYDIPLVLSSKQYNSDGTLFSTHGETDSLWGDIIHVNGQPWPYFNVEPRKYR LRFLNAAVSRSFALYFVNSEASNARLPFKYVSMAERYEIVFDFSAYAGKSIELRNQAK VGGRGTDEDFLNTDKVMRFNVGPGPLSEPDTSTVPDSLRDVHFPPASSGIDHHFRFHR TNGEWRINGVGFADVQNRVLANVPRGTVEIWELENSSGGWTHPIHVHLVDFRVLSRTG DRGVMPYEAEALKDVVWLGRGETVIVEAHYAPWDGVYMFHCHNLIHEDVDMMAAFNVT ALPNFGYNETSYIDPMEERWRAKPYVQSDYTGRTGDFSDSAIVDRVEYMAGLNPYAHV ANLTEALDAYWANQNTRRDAGSDERTAPIPRYRRFQV
SAPIO_CDS4630	MRLFIKPSFLISLSLQIISLSFLVFGQDAPLWVQGGFEDATVSG PQYNAGGVITLNGFEMNVPENLLVQFPAAWVPWKDFVASKADFIGFETLVIGNSINGV PRVGQVQIYEFFEGMASGFIESIDHADGSMKIENGPTVRINDPNAVFSVGYTGAPLMA ADDVSPSITSFSGFPMCIPRNTTDPLCPMSNRPFNGPGTFTAPDPLVMAPFLPGDFIT ITGFRKGSEIIASSIVAQNTMINTLGDLVYVRMEVGLLGIDNPSPNAEIAESRFIGFV SNPRATVALYAMDVDPCTGQTTDRLIAALGLRGGRNAQNKFEYRNEILSRYTREYKVV AEINGVPKTRVTKNGITMGKYVQPVNVWVHGEQDVPGVPPVPFDFSQMEFLTQGVGRD SEGNLWGPINPFPQTGVFIEPPVCPAATAATSAVAAGESGTTRVVAEDTIEPASDVPT AEGSATVVDDNPAPGEDSTSTIEKRGSFSRWYSRTRDEAVSDAENTDTTDSPILKEAP QPARADLSRPRRGRTQRH
SAPIO_CDS4631	MLKFSMENANEYTADQLALVLGILGEACNLNLHLGVSADGADDY VVPAPPRNGVQRVVWIHNDNAENLGLSRTSNYLGIRSPHVEDTIVVATGKPEDIEVGR TAPPPSPAPRSQTAKPAVPEEEARSDDDVDAPVDTIESGDSCSDIADAADAADYRDAE DSDQYCPSSDWSCDESDVDGDGQTEVGQDEQSDAGGAQGADVEEHCELDVSEGANQRA RHKLKEAKLQDWLGISAETMGMLMAEAGGYRPRVPTGYKPNSRFVALIEKAIVKIRGD TKHTTMSEVSRVALQSGTDEEWANAIDSHTSEEVKKFILQGVPPRAKDFTKLPNAYET DAFGVYACMLLATEQGKHHHHLYVGSATSTAIPGRNLGGIKKRVMDRDKPTLIPSYFH TFSGMGRAGNQYFAKLRSRKRASDRYQRMTSAQKEERKKRNRRPGAAPGPKMLKWTEE ENKLLLQLRADQNQSWEEFHQLFSERFHGRRVWTIQSHHAKVMRALNSQRPKEQASQR RMWTAKEDTLLSNL
SAPIO_CDS4632	MATCNAPPSQPGMQTTTAAKKTGKARKDLTRDSIRNIDPFQISQ DYFHRLDVVKFPRDAIDIAYEDAKKEIMDLLPEAPDKLYTFITVKLEADAKVRLRVTV DSIAMPMGPGWRSGRSQ
SAPIO_CDS4633	MSTQGTPAGPSMQPPTASKKASKPSRGSNMTKDCVVLMGSPPQF VLGAGEEFGGASKVRTKILCWVSSDAHRFLGFSLFFPLGEAQQRNEDDGYGVHYTANR STSDFDIYRDDFQRLTVMFPRDGVDITYEETSKEIMDLLPGVPDRDYSLITVKLKAGK RVRVDGYGWPYRNADEPEMEKWLNQDEPIFSGITLRDFFNGDSFSLVFPERLEMAKKK FNESNLPGPFKYPYGTEHSYDLARYGPLLDANKDPRRFLTALSFYDENAMLTVLTQSV VQDAFWVYKASVAIRSVKSAAYFIPIGPRNLDAADINDFYVVVSLPKQLKASYEKAWL RLADGRKPLKVELYNERADGQCAATWDCQLVHHLDMVPELAEHPIHKWEVVVKVRRST KEDEPYVNCAALAFDADMSEVKRKVNSLCTLQASAKPTGRTIYKDMDSARELHRAIWR ATGFWEYSQEHGPATKKCLDLIKSGIPSDCEVLPSGLPVLDVVGEWKNPEYVDALFEQ VVSDERTAFRFYMTKRPGGIGGLNALPGSGKTTLSAVVVLLYLFTFGTTLCSGPSNVA VDNLAERTDRVDRETCDRYNSIVPLTCKEDRCIRRLVIRGYAMKQELAAFNRLLEDPE ALEAPEHGWSLASKWKLPNSLAFWLLVCLNSRATREIRTIDQDDNPVLHDIQDLLQDQ QYESLRDVAAGTITWEEYTAGDPIDDDTIQDFFVNHLIRLGDVLCTTPALTETEPAYR KFKQLRTQAVVVDEAGNMERADLACVWGNTALPCFVAGDPLQLPPTVLSGMEKDAQGN VCNRFANDGAVSALEWLQKSGMTVFRPRFQFRMAEGLFDYVGELVYSHVNFTYGPNCG VHLPKFAPGRALEAFIQATDSRVTPAPSGKFLPAFLHCPRTKVKMSAHNTTSRLSPDQ AEAGLNFILGFLNHAGRQGEALEPENITVIAPYAANVQCINKLLRSPEYADLAGMAPA STVDSFQGREGDIVVLIMGTNDITGPGFTANEKRLNVMVTRQRCGLVIVGDFGVVGSV EGGPRERVVRMHRGVDGKIKQVNMTMLWNLMNRLYVDGRVMHLPADHRELWGRKGGGW MSGPSAKPRLHGRWE
SAPIO_CDS4634	MTGHLYQRLQPELQICVLELLSQGDLANVCLVSREFYNLANKIL YKTIDLACHYPEPTWKKTGGIETSGPAPPWKGPGDQGFKRLSHYLSSATGVVEAVGDC FPADLYERQFFLIRTLVKLNPALGHHVRQLRWTAGVPMSDETQERWCEPLDELDTDTK MTKQEIEQCRPWLDFPWCNDLLWMAFSAMTNVELVDIAFACEESRERAIPPRLFSTVK SLRIGGIASRRLIKSLLESTGQDTLRSLHLANLNEFAELKGVDSDTPFDVMRKLNRTT PQDGIPGPMRTHLWPFLGKWKGLEVLTVDTVAESVTITEDMMRGRFSPGWYDSKEDER YREIADFVAAMAPGLRYFRFQQSVTNVQWLDGWYRPHTQRCGARHPFSGQLGRRAMDE RFCRYILPTILKSAWDRLQRMEILGVAGFSTVSSSRQVINHALDEVTTASIAAAIRPD VSLAIEPYATRPFWLPRSEVSTTGLDFFGDGEDNEDDEDDEDDEQEEPGEESSTFDLM EWLCRKSLSRTAE
SAPIO_CDS4635	MKPFSATALALALVAPAKAGLRFGCSTLTIQRLDPIVEPGAVPS SHLHHIVGGNAFNASMGADIGDRGTCTTCQMSEDFSNYWTAVLYFKHPTNGSYHRVPV TNNAALAPGTTGGITVYYTQFDFNTDALNRQPIKAFPPGFRMTVGNPGANDPAAARSA VGLRYNCLQTLINRGPELADFPDRPCPAGIFAVHHFPACWDGKNLDSPDHQSHMFNTM DRGGFTNAPPCPASHPVRMPQVTYETVWDTRQFNSMWPAGTPNPFVWSFEGSAFGTHA DYLFGWKGDALQRAMDKSECFYDGCGSITKQDMSEANKCKVETLVNEETDGWLTSRPG QSDV
SAPIO_CDS4636	MIHAQYVPEDQLPTQTGEEGVVDLYSLAVRDMKDGVNEGCHFCI LVQGYISSTSDTTPFIQISLYPRLPERNLGMMILQPSNEPPGEAKPAPVFQTLIIPDG GDLNFRESNSTRSDSHQRLVESWLDLVDPQSVSLHCPDSQKENPRYTTLSHCWGGATT IPKLTHQTLSQFKSGIRFKDLPRNFQDAISITRNLGLEYVWIDSLCIIQDSPSDWRTE ASRMASVYENAYVTIAAAAAKDPFGGCFSARDSALQSACHIPAGISGTDFHVVPPWNV SRNKVNAVRKSALLSRGWVFQEMVLSPSVVFFLEDGIVWSCRKGEASEQVPTGQVPDR SLDGRPTAIVSPSSNSRYQNKAIHDQTTQQFVPARGLFKTILDTSIRVCSPSQGQKPW VDFSQQWLSIIAQYTRLNLTFITDRAIALSAIAQRVKEHSGLTYAAGLWEESLAVDLL WTTKDPKAAARPTSYLGPSWSWISVEGEISKDLWGSGHYLGRDPIVCIENARPKILTY PEDVHKTGQVQTGSSLELEGAILPCTHVDTPGKDHFGRSTWRIWPKPIGRVDPDSEDW FSFYPDIVAEVVTVDFGQVYLLPTVLSKYMMFQGKENTWGLALLCHGSYYTRVGTFAT RTDMGRFVRSSSTIIIK
SAPIO_CDS4639	MHDYGADLKLHHRILVPSLGPVAAPRYQTLMELESNQLLFDLMS AIDSTADGDGVADISAVDAVDPYDMVVVGFMTEPKRFRFRLKPRGPWVEKVFTKAWAG AHRDLGKVLGITKDVEI
SAPIO_CDS4640	MASTLTLASAPSADAPAPPWHAAYPAPRNPQPEVITREEVLAMM KGPESAGKDFILVDLGRADHEGGTIRGSINLPAQSLYPTIPALYSMFKAAGLRKVIWY CSSSRGRGTRAAGWFADYIADRECTDMQSLVLFEGIKGWAKAGEEFVEWMDEYSKETW QQSVAMDTGHGDLNNRYAARETVEIVVDSEFRNVSFAIAEDEDDAEIRNRYRPFLLSD RHAADDWITSLELSTALKMVKNEILDKGEDRLRILVLYGSLRTRSYSRLLAYEAARIL FRLGCDVRVYDPSGLPQKDDVQHLHDKVQELRELSKWSDGHVWVSPEQHGNLTGIFKQ QIDWIPLSSGSVRPTQGRTLAIAQVSGGSQSFNAVNSLRILGRWMRMFTIPNQSSVPK AYTQFTSDEEGSRMMPSSNRERLVDCMEELVKYTIVMRPHFALFGDRYSEREERRGKI EKPRCQFCGIHFLIGRHRKPDEPRSAAWSGTDRTQFPIDYGTSIPLKPSEREKKHEAM TAKLIIVGHSLGEACDETTGCRLVYRGDIWNSDHDQNLYKDDPDRVEESTDETYQPPS GSESGDDPLEYDSDVSDDCSSPLNADSEGDSEIEAGDEQPWTFTVSGPASPTHDTDFL PLNEAKKGLTSLNRIVEGPRLLFEHISGPGCNSQLCYSGYQISAEEMRGCMTIQALVL KANDWAPEEDDFDFESKSRYRLTGLASMTGGIFGRITFGPRRHGVESRDSLNGVISSM SYPLSWLVHLNLRLSPLFPPADPDDITFPFHPTCFELFRQVSLKVLGQFDIGGLILLH KMIDYGMWKDMLGRIHPTTWPCLKGSEHLAANPILIPPMMPIIAAARLTTHGSLKMDV DDSPFPSKRGGKDETEGESESQRGATSKSGTDIFFKLPQELIHEIVGHLGSKDIASLR LASRAFTHIPIFLWHRLVVEEMPWLYEAWSTTVTPYPWVTNDAVEQVQLEEIRRRASV NYSAENRSRAEAVRQEMPEIFDEWYADYIDFEWRGLEKSAEERETEKLWPMALPVAGT NWYVLYREFTANWDKLKGLQNRKRIWDDVVEIVKRIRDIRDRE
SAPIO_CDS4641	MTFESKPIPDLETTSVPTETEKQDAPTPIPDNKSTDLESQTAPG ALAPRREDFSAFKALGWLDRFLALWIFLAMAVGIILGNFVPSTGPALQKGKFVGVSVP IAVGLLVMMYPILCKVRYESLHRLLSQRSMWKQIIFSICVNWILAPFFMLALAWAFLP DEGGLRTGLILVGLGRCIAMVLIWNGLAAGDNEYCAILVAINSVLQMVLFAPLAVFFI RIIGGETHTIDVSYDVVATSVAVFLGIPLGAAIVTRFTLRATAGPDWYERVFLKFASP WSLIGLLYTILVLFASQGRQVVHQIVSVVRVAAPLIVYFICIFFVTLVIARRLGFVYP LAVTQSFTAASNNFELAIAVAVATFGPDSDQALAATVGPLIEVPVLIGLVYLVRWLRK RWAWKA
SAPIO_CDS4642	MLHHNHPPPPRALRNCRTITAQAGAIGGHRGLVIAFETPASKAR NRDNQRRSRARRRELIDELQERLSQYERRGVAATLEMQQAARVVVLENQCLRELLELR GVSRDEVGIYLSNRMGAGRGTSSDVDRIWNKDSQRPHSTQSGFCESRISTLDTSIPSP ASLPHSRSSTTYAPSSNGTDGPSPSSPAWPTSPARRSTQIRYPTVGRSPTSEEHTSEA PSLTSQLCNAPPSRDAAFDILGPVSDCFCPPDAADVAPDHSPGRDSTLEMSCENAARI LAELQGYGDSAELRSRLGCQESTDCRVKNTKLLQMMDELG
SAPIO_CDS4644	MEEEKGLYWALGPLRVRKNRGFLGYDSSALSPDETQDRHQLRSE IDLNSWNLRLWAIAASGFLTGSYNLFVANVVNASISFVYFPDDRGPSLLVNGCTLFGS VVGQLLFGYLADLFGRTRLYGIELVIVIISTLGLVFSNTGVSAVITSEWSSTKARASM IASVFLMQPIGQALAQLINLAVLFGRDRARSLRTMQCGLDTAHDFECRQAVDGMWRIV VGVGAIPALVAIAFRFMLPDSGLYNLEVKMKSRLAMEQAAKIYGMSFSYLDLDRTPDA NIGRLDARNGQPQPRPVQFSRANMYQYFIEEGNWRYLVGTSMTWLILDVALYGFGLDN RAVLADMWAKIHAVDVNESLGCWESSIPGGQSLVPTWAEKGLPNWQTDVTKPCNTIYD VLLDQTKHYLLTVSIGANSLTFLIPAEIFPTTYRCFCHGISAAAGKIGSIIAVLMIYG IKNQYDAVNKQGLIFLLFAGIGAVGAFFSWAYLPDIGRRNAEGKLVNRTLEELGEGAR RAVMEGQTFGAREKWSDFCSRVRTFGVNRNGSNTTSVNVDDGTTR
SAPIO_CDS4645	MRELPNGLIAFGPEANCTLDTCPLEASLLRYQPNTPSTIVFIVV FALSMIAHTWQGVRTKSWGFMASMISGCILEIIGYIGRLIIHDNPFNFSGFLMQIICI TVAPVFFCSAIYVLLSQVANYVDASVSRFKPQLFYWVFIPCDIVSLVLQALGGALSCT GATEHDINVGEDISLAGLIFQVVTLVTFCVAFADYVLRARRSSSRDRLDRPLMTFLGF MFAATFFVLIRCVYRIVELGQGYFSELFRDEGLFIAFESVMMCIAVLLLNAGHPGPVF NRRRALSKEDQSPEQSVYQMTEASPAASFKN
SAPIO_CDS4647	MPSLDVSELNIVLSVLGAFTVLYGVISIKIKRVWYLGEALPAMV VGIILGPIAAKFIDSERWGSAQGGQTQAISLGVMRVMIGVQLVIAGFQLPAKYQLTRW KEMAMCLLPIMTMMWLCTTLCMMATVPKLTFLAALVIGSCVTCTDPILSQAIAKGPFA DKYVARDLREIISSEAGANDGFGFPFLMLATYLIKHAEGHAASAAGDAASEGHGVAAD IASHLAGRAIDLLGRSEHELARLGGGVGAAMKDWFVETWVYVVILSVVYGVTVGWLAR KAITYALSKRWIDGESYLLFPTALGLFLIGTCGALGTDDLLACFVAGNALNWDGEYLE ETEQRHDEVNACVDVLLNFGGFMYIGAIMPWSEFNQPDVTGLTYGRLVALGFLVLVFR RIPAIFVMYKLMPGVCKDWKEALFMGYFGPIGAGAVFYLEHTRNHLFHDPGAGDPEVS SLVQAIGPVVMWLVFFSIVVHGLSIPALDFAYRCLNIKPIQEDAVETRRLSINLPTPS NAIEADRETFIQYNRFSRPTFDAVELPVVNPKEDYPTSASDSNMSKERAITFDDKGER SQ
SAPIO_CDS4650	MPPDTPPPDHIVPESIGDSPVVSFDTAEWPRELHGPLVWTGAAF ANDEDYIFSFSEEDKAEIHAALAHFRDLELDGSQVDAATFPLPTLGDKLRQLSDDLHA GRGFFILSGLDALDYSPEDNVLLFLALSSYIAESRGRQDDVGNMLMHIRDAKFMAAPQ SERPARDSSLKLYFHSDLFADILGLHCRGVAEEGGEHVISSSYRIYNELISRQPNVIE ILSRPDWFFDSRNLSLQPESRPLLFNHGGHIILNFGRIHVLGEEMAEDGKQLPKPSAE QVEALDAVQRLAEQHKLRLSMKPGDIAFINNFALLHAREGFTDSLENTRYLVRLWLKN REKAWELPRALKRGNDLAFNEAFEPVWNVLPSARITFQLREKFSP
SAPIO_CDS4651	MSQLEQQFEGLFSDEDRKALQRAAELLGLSFTELVSQRNPHASR RHDPNAAPGSVVSSTSSSSSDVTTPVDVTTPTQLLWPTLTWSPGFEYPVRSGASLIAP SNGSYIDLPIWPNERLNWQDLLQEHSYAPDVGLDFGTDGFTLEKGLFGGDVNSLSVPN DISLSPWYMAADLGAGASFETTHSRTSTKITPIDDLAIVQSIALAAGGLGYLDAAAEL GRVSGHTQPQSSLLPANTLSLLDESDSVDLTSLLTPFPDHPRLTPQCLADPLDPEGDC LVCKNLKGRIIYRLPCLRYKISDTKLLDKGPHPRFSWTQRWKTMEIVEIVDWASDEIR TITLTQDIGDSSYDIQVREFNPVLGDSLDRSWNSASKVHSHPCTPYAIHNMKETADAI SKFVDANTETFISHYVDREEELLWNTYWKAYDHIRETRIPEERKLLISVLRLWVAARM ESKQERISSAEVLGMTPQDWDPKASNYGKYLVPPVMQAQIELLTTRAVMIPMKDMVLK QLQTLVEKNQVRSWFTVYLAIFILLHSCAMLTRAEAIRSRPFAMDWDRPGNISFAQLN EEQVRFLKRTVELVEKNGTPV
SAPIO_CDS4653	MDPLSITASCVTLLGATGKTTLAITNFVRGCREARADLAAIAAE LSQLQLVLELLKDDAAVGNSQIIPESLQSQILSIIDNCSAVIAQIDTILDRHSGKAGV AKWLAFGKREVAGFRMSLEAHRGSLNLVLELVSVSVSRAIQEDTRAIRYDLVDIKDDT KEIPQIMEELTRLRAIIASGRIPPATVDQNYTLQKYLDCLTSYAESISNEVEWSSPAP SRKLSLAAATADIGVRGNTEEQGAGDVAWFSGPGHPDPRAKTSLPSSADLGHSLSTPI PPHPERATTDVGQEDNTEIAAETQSPLLLLPDRTEGNGLNMDSNAASQPMPTVDLVTR PLLTHALPEQVLTEAGRIPPEGGSSSYPTRMQENRPRVESEEGQEDPKNIDPKDTESP TSDLLPWEGLVKMDLCSRLDTKLNKTDNDNVTPDVTIEPVVLGDKEQVIEKPTPFFQL SQASALCNPGGSGNALCQAFGNTPQGDFKLAVAPVKKYDPFRFGMSQLTKSSPTVILH RAISLEDANIKAPIKSARHSSFPSRALALLEKGRNDVASDLPGVWSSASMVRVILIGD SACGKTALIETFTEGSRFDIDPDPTEISTVFRGELDLDGGPIHLALRDTPGSDGLHRL GTPFYADCHVVLIWFSVGSPDSLENVTEKWIDEVRRHCPGKLILLVGLKSDLRYNKEV IEDLNRKSQLPVTFEEVTQSQTTRR
SAPIO_CDS4654	MVRSISTIQNAAPDQLLPLQRSRANSDDTLHNFNPSPSLTPTIN DQFISRSKFGDQDIGKPAEREGRIGKWLILLIVTCLILLAVSVGFLTWLWFGNRDSAL WRSLISGAYNARSITLTGVAIRFSIATLAWITTAMMVSVVVEKHGVPWDKIAQASVAR YTGAVTPLILGGYAFRRWFAILIPLQFLCGIVSQFTSTLLFSDFDSGLVAGFRTQLTV NYEFKLTEKNGSMVYDDVSMPAVKTKWDMAPQLSEVFAEYAEPENRINDSSVDDTGPV WRAFLPIASEETRTGIIEYDGIARVFDARTVCANPRISKLGISGKSTSLPKFVGIGSL DLRSIPNLLNTSEGNVGDPASFPFYFECQMSNINSIALGNSTCSGVTDCKQARDSFPV WEECGVWSISDTNASLEDLVDSMLLPRIGRAADVPNRIPSLDPLSYTDTIPGFGAEFL TNNHVSTDTDPLIKRRDSFIDQDGPLARFLDGRVGRSFLLMNARNPGNNIFFASIDPV PRPKDAYIELPILATKQNGAWQEFSTVVDFSSMEFDNVTWGDDVLTSESMDLIPTENL DISTQATVCWDVFMPDKAPIRYFNVKATSGTNRSEPRSTWVQDEGRYDLTEVLKQLGV SKEPLSHADRGILSLARESIYDAIEREVKTTDIMTSTNISDFASDAVIFRSGLVFCPN CPFGDMDRYWDNLFRQALNETNSPARAIQAVYTAKARLGYMQVAAAYTQPEEAVVASL NVAQVPTRVIGYSLALAIIAIQLTLLVAAGAQFRRTRDSILGNAWLVVSQVSSSPEVA NVLAQAKTVTDNDVKRHIEEEKAVRVEGAWDEWGQSSGRYVVYDGTFRRKL
SAPIO_CDS4655	MARVKLVALLSSIISSTRELYQELKFASIRHAALSTQVDSTKKT IEFAANALSDLIGRCPDTILGHVLDSSQLKSLLRDCVFHVEKAKGILQKCQVANVRGR LRFVLKKADVQAIIQDLNPVALWLCVNLSCVIVGLVQRFSKGVAAGGVTVDTGAEELL LLTQAFVGRGDIEEQLKSAMSSVAELMAADGFAKRKSSPKVDKDKPRREGSRGIRRRL SKSHHPQEALLRRSGKQGKSGYAWPFRRMSLTDRRTALRSVSVEGLVDKKKDQKPPSS WSIRRIQTAPATTSQGPQTLPQPDTVPAEITPHRYVSLPTPDVTDSDTSTRKTGRLSP SMLRPPPAVPTPPTNSEINHQATFMSGALAAFGSKSSLGFQRNQLTRAGIDVSGTTQS SPMLFPSSKASSKSSRVRKGGAALAPSSGEVTTMDNSYSVITQTTHSSCTSAKPPPTL AATEQQSTADDDDADGHSEDFLDAFTRMATQRDTLASNGEGEAGPKLNLTTCAPGAWI GLAGWFDASWAFLFFQREDGWVQLALLPDDSSRSAAILEPIIQVPLDSPMHCEVARGY HGRTVLRLFIFLKDEEQACSLVECRLDFGKGLENGKLPSWAMEKFEIQSSEAGGPQVE DLVAWDTGMSILLAASSSGGVVSVYERTSLTGNWESIPYPDKIPVEPGDYIFHTELPG SRKVRLRKLNQTGITQYEVDGYESWRDLHEEHPQRTPLNIHDKEVNWHGHPPKRLPGS CRVIHNHNGTVAGIFCQTAEDDIYLFRGHPWWNELHSPQFVCSVQEGSKFLVSESRRV LLFVSRENEMKRIDFSLDEEGEVTIETTGPVFSEHYFSTTLSDLLLKSPINDYLQYRP LYQSSSAYYYSTSSSSSSETQEAPH
SAPIO_CDS4657	MAIDPQEVLERPVKVHLQTWIVVICINFVYFSQLTAIIGAGFLA QPIAALIGGASQTLWFSQSINLLGIAICFPVCQMADYWGRKWVLVILLGIGFAGTLMV ARAQNVATAIAGFVLIGINYGSQPILFAVPSEILPRKQRPVAQATVNMSASVGGILSL VMGGALLRYGHLENYRTYFYVVAAFFGVSFLGILLFYYPPPREEQVSFTLAQNLQKLD WVGYALFAPGLTLFSMALSWSQNPYPWSDAHVIGPFVIGVAMIIAFIFYEWLVKKDGI AHHAVFSHRNLVISLLAVWIDGVSFFSANTYFAQQYGMFTGSDMLITGVAFGMFFIVG GVATVLFGWVSTRFRIVRVPGTIGLALIVLFNVLMATTKPSTSEGIYWGYTVFAGLGL GSVIPTFMVAAQLTTPPEMISLVSGLVSVSRPIGGVIGLAINNAIFHNTLSTQVPKKI GSAVSPFAFPSSSLGALITALTSQDNAALNSVPGVTPDILAAARGGLLDAYGLSFRNC WIAAACLCLPGVIVACFLKDPRSEFKAHIDAPVEVELMERQKVSEVIHLENVDGVRKG EN
SAPIO_CDS4658	MSLVEPNQDLSKLVKDKVVIITGGASGIGYSAALAFHGNGAKVV VGDLNAKEGESLASRIGERFRFVQCDVTKYKDQLTLFEEAKRSFGGVDIAIANAAVGK LPDPLTVIDDVNEEPPLPEVDINLRGALFTSRIATYYLRQRGGGDLILVSSIGGFKEA AELTPYVATKHGIIGLMRGLRVTSLKDNIRVNVVCPWTTPGWEKLKLPVNEPEDVATS MLICATANRAEGRAHDGVDSPFHGKILFVAGGKAYEIEEQLQKLEPQWLGAENSRLVA LGNDYLQNGKTTWKQDFNS
SAPIO_CDS4659	MFIPSLATAIPQITSDFHSLNDIGWYGSSYLIAQMALLPTCGRF YAFYNIKWMYCSSLIIFELGSVVSAVAPNSVALIIGRAISGIGAAGIVSGTTTILSYC VALKTQAKISPIVLGMYSIGSAIGPLVGGSITDSKTLTWRFVFWINLPFGAVGLALVW FALRNPPPAVKGGLPWIQKIRQLDIPGATLLLGATSCLNLALQWGGIVYPWSNAKVFG CLIGFGLLTIVFLYLQFRFKESSTVPLRIFRSRTVSAACAFMMLVQVAMVLQSYFWPI YFQSVRNTSARDSGINMLPMIVSNSIGTVCAGWIASMYGHYVPLMWVGAPVLTVGGGL YQLVRPDSPRGQWIGFQILSGIGYGICSQMPILAVQVVLGKPDIPTGLVMVMFFQVLG GALAPSVGQNIFTDKLLRNLMEVEGVDAAAVVAAGGREFRDMVPPQLLDAVVDGFNSA LRNVFWVAVVAPAIAWAVSWAMEWRQLPDSKRTIAQAPDADLEKSAGVPATEDSKKKK KIGAPGTEDLEK
SAPIO_CDS4660	MALALGALWAWSVYDKAVISYYARKYKPVPLPEKPNYGLGDVSI IVPTIDTESTFTDCIRLWLKSKPLEIIIVTVERNRDRVVQLVLPFQEDASKIIILLSP VANKRQQLIVGVKAARGRIFALVDDDVYWRVDTVVPYLLAPFEDPEVGAVAGIQSAEI PPERQDERVITPWEAISAFDLNQWKRSREVHFAADGGCWCLSARTLLIRPSIVQDQSF ADAYTHETIGKRVVNTADDVVLTGWVFDRGWKVCIQNVFEAEVTTNIPQDHRFAWQIL RWDRGNFRTFLGYIFVYPGYRKLMQRHPYTTSKMVERLVRPIWAMAYLGAWLLTLRTR PWIAFAFIVWMACGWGGYISTYREFLKRYPYCRRQVWAFLLMDFIGPVVDIYIYFTMS NDNWLTRTADIQDIKE
SAPIO_CDS4661	MISVAGAIAAQVGISALSLEAVEQAHWTASAFFVASLVLGILSV YASFIVQQELNGLLSTVEVADWCSRPLTPVEVLRGAYAVSSHPAFQVSGDNPIESKIQ TVVPTRGLTVDMSQLLKREASALAAIRIASPAGFLGLSLNAFIIGLGVYLGCIYTDDL IPQNGKGGSLGILIFFLIAAAIGTFTYSFPGLLKASETKSGKGTSESRQHLEQITEAI NRYDREHPVQNPIPNVAT
SAPIO_CDS4662	MGILFGNGKPQGPPIVASDKVVQLSEHDDTDVYRSIVLSLMLRF DDVLNPEKLHGALEKLLNRDGWRRIGKLEYHIPERYDENRPSFEYTHVQHGIPISEHP LGSQVPNGFTGDSPAILPSALHLVPLMRAPNAPRTLKDYLEYDRPQIHLYVISFTDAT LVSFTFPHTLWDAMGMGEFLTAWTAVLAGRDHDVRPFHNYDTDPLKDMGRECKETHKL ADQQLSILQLIVFGLRRWWDQMMFKEEGRIICIPKSYIQSLRETALGELKAGPSLRND GGSEDELFLSDGDLLMSWIGRVVVKYTPLNPGQTVVLYNAFGMRPLLAEDVIPSTAAY VGNAVSAVYTLLRAGDISTRPFGFAATEARRSLTEQRTREQLEALTAMTRESIQKTGY PPLFGDGTMQLVIMTNWSKAKLFEMDFSPAVVSKANASPPEEGEETKKRGPVGRPSFI QPFGDSDYSVRYAFQVSGRDADGNYWLSAMLRKKSWAPLEEALKRGEMI
SAPIO_CDS4663	MYVPTLATLGGLLALTVKGMPAIATDDPITPAATSSAADEFAAQ GYTIVPATFTGDFGSNGETMTLNGTIEEVMAQVADINPNYKWADEDDSFTSKGDPEDD AWRERKVAKKDCNTDAGKVVQSTILAGVQYLYKKPKDEARLEVKTCSRISCSYNAAIY MCWDPVDGAPAVYTQPWWYVADYAKGIALEWCPHEFDRDIGTYMVGGKTWDPMGLAVH VRRDKC
SAPIO_CDS4664	MSDPGMGPTDDLSGTVIACAIVSLVVSGIVLGLRFYSRGVITRV LGREDWCILIAWILAIGYTVAVIFGRGPATLCRVQSTDSQLECKAAIGRHVWQLEEDS MPKYLKASYVNVIFYQLSLAFSKISILFLYIRVLTYDYARRAAYVVLAIVIIYNTLGF ISTMTLCRPLRAFWDDTVEGKCHPVSFMWAAIGLHIATDFLIFLIPMPVISRMTLPLA QKLGLLLVFALGFLVCVISILRAVWIKALVDSQDLTYDYTAINNWTSIEVNLPILIPC LIVIKPLINKFWPSTFLSHRRTRENRVYNLGEIPLSVGSKPSRSNRQSDKGEDGEYQT LPSAKSSILTEHRGLEETRRQHTLMAPSGIQTLVRVTGRHELQCTDTTILTDLEIPFR LGPLDHLVFRSVPIQVVFVYERPTCGYDAELIPVHRLKQALCRLLDYYPHLTGRLNFD PDTNAPEITALGTGAELLEGQCSQRLDDIAARSASGRILVTNLPDHGDGLLAPFDPSP EGVCRDPILHVQHTRFACGRVSLGVRLHHIVCDAQGFFNLVNDLAEIYQGLGTTPQPI LTQPPNIRSYLRQQLSSDEQQAALQYKPSIYMTEDDPRLKELAASASLADTVPDAGFK IDGRVLRFTGRDLAALKEQGTDSSGNGWVSSTEALSAFLCQRVYQARLQFLTSRKMQA EEAAPQQLNPGFFISIDMRPPHRLNMPPQYFPNCIYAPYTNSLHPTLSDCPLWKAASS AHHMIRSVDPETMEKTIRWVAAQPDKGRIRIGFVFGKGSFTVSQWNKMNMYKGNAFDV MDSGAGILPSLVSPPFTQISLVDGLGMLLATEEQVGPGSRDGPSVDVNLSMIQPLWEI LDKDVDFRKYYC
SAPIO_CDS4666	MPDPGFVGDGQLRSPKRPASAALVEAKLGSNAVMWTWNFTDLSN MVAILQLAAAEMFEQESAGPGTNRMLAGLWEPQHFPTSIEHPELEFLNRRHELQRFFP ELQSHLQAKIIQRLQQTQQNSPERLLLDAAIGLVLDPLAGALVASGTHETPTSLTKGC LSFARSADYLRGQAIRLISDGQPCLAILYHSKSLVFGRKGPDPDFCEAIKRQKIPTFM ASTCRKFGLPDQYCMPAFWSWPSMIHPTLTAHISQQSAAETILLKTWIGFDDILDLMK SCRLQATSSTDWKDVFRDVYLKPAKATKTDDYGRTNIHVAAILRMEPADILNAAGNLA QKDRRGRHPVCSAWGVA
SAPIO_CDS4668	MSLNTEPTFSTAETLPQPQVASLDPEFNSTGLARSEESESSKVP VSLPAAPSSSFQLLQQWHSQPRKLRIIHVGAGATGLCTAFKFERQLTDYELVCYEKND EIGGTWLENRYPGCACDVPAHIYTYTFEPNPFWKSYYAGSPEIHDYFMHFCEKYQLRK YLKLKHRVLSAAWHEDKGQWAVEIEHDGNVFTDWCDILMNGSGLLNKYRWPEIEGLNT FKGTLVHSAKWDHSVDYSNKRVAVLGNGSSAIQIIPQLQEVASKVGCFMRGSTWIAAP MPRVPVELPSNTGEEIIRDKEAVRPSIGQYFYTPEEIKRLADDPDYLLNYRKRIEFAI NEGFAIFYKDTEASRMAYQYMQAEMARGLENDPVLTKKLVPSWPVGCRRLTPGDGYLE ALIKPNVECYFTEISSITEKGLNTTDGASHEVDAIVCATGYDMAWTPHFTLIGRDGVN IKDAWNPEPKCYLGLAAPGFPNYFVMNGPRGNLANGTVLPCLETEIDYVIKAAKKMQS DRIKVLDVRESVVDQLDEYIDAWHQTSVFSGSCRSWYKNNSVDGKPRVWGGSSVHFLK TIKTPRWEHYNIEYLDDNPWAFLGNGRIKAEVEHSFEGLTSYLRNSDCPWTIE
SAPIO_CDS4669	MDQDSIPSPEIASGSGYSIPYKLYLHCTAWVLRRSIAFLTGSGK LFDKTLTVPTPGLGEGYVNIALCIPHKNHDAHQGPWPLLLVAEGGGFILGQPSDGEHI DRFLGDKLYEVLKWALAPAAEEILGVSIDPARVAVMGNSAGGNLTASLSLLLSFTSGP CVAFRQGLPPNFRQVLQVLVYPSVDLSRGYDKRFGDSDKETQASSLPCWAATMMEASY LPPYIDKSQVFVSPLSAEIPLLKSLKPPSAIVVTAGKDCLKLEGRKYVENIRKAGVSV ESREYPEAIHGFSHYQEGSKDFRKEDVRDCWERISKALERSFQD
SAPIO_CDS4671	MATPSNSHNTDDGGPEAALDFQKLSKDERRRARKRATDRNCQRQ HRQRQRAYVRQLEETIQTFKTSFAQSSNSEVAALLKEQERLLARCQKLEATLLRISGL ANSARGVETCPPEPAPEAPCLEKALDPRVAAAIQMNKPMEETSASSGCSQTLCDPAFP SVDLDNDRPTPSAETLRMPGSILPPSLDYFDLSDCFLELPKDHPPDIHLQTQTSGTSA HIDLLTEVTSDGGNFGENNCDDSLESGASGILDGSAMSLDVLPTSLLSFDTPMNEMVT MRDNLSSWANSSTPPGFLRVSNDLVVCPRNSIGLPPDSRVHATYRLRNTYPASTMHWD ARVAGHSSHIDSRFE
SAPIO_CDS4675	MGPPAVAREEHQDKSIMAIEPRPLSANASDFRLSQTRFLRAKST SSTALFCGSSNGDCPGCRDYNEIVKNTFPLSLDHLIPLIQYNIIRASLTNASILSIHL PAGKCGPAWSRVPLFPAPPTIPDSLAPTVLQLSTPHDVWIDLLPDPTMRDNAIRALDT IDVTALQRDLTGSQCGAGNNVDFVGILAWNDPWRPDGWELSEGFVKKWSILLLGCWEL LAATNRWRAKRGEEPLVFERIGHYKKTPKITSIGFLPIPFIGSWVAAIRFMRNPVKFV REGQAKAKNGLFRIATIQGEYALVTDRHKVAEYIKAPDTVLNSQDGSNDYTPIGLYDA IALTVARISNRIYVGTEFCRNEEFLKNAADYAQAVVVSAEILRVFPERFKPILVQFLP VMKYRRNGYKFLQSFIEDRLNGKLDENGNKPDDLVQWLVDAAPPIEKNGPMISERVMA LNVASIHTTTMTFTCALYTLAAQPEKYLEPLRQEVMENLEDGQITYKTLQNLPKMESF LRESGRFNNAGLMAMQRNARKEFRFSDGTVIPAGAKIGAPSLVLHRDPEVYEDPDVFD GFRFCSPKYADNKEDSPVYTTNNFFLFGHGRHPW
SAPIO_CDS4676	MSLPYGHFIRSQLCLKLPTSTKSFAGQTIIVTGSNTGIGLEAAR HIVRLGAAKVILAVRSCERGTAAAKSIVASTGRAGVAEVWELDLASYESVRAFAERVT TELDRLDVVIENAGLYTHQFERAEDNERTITVNVISTMLLAVLLLPKLRETAERFGTD VVLTFTGSFVHWMTEFPERRAENILEELAREDSARMKDRYNVSKMMELLAFRELSKTV TQPSGSGRIVTSIVNPGAVQTDIMREASGFFSLYVKTMRIAVMRTAEEGGRTLVHAAE GNRDTDGQYLCDCKPAKPEL
SAPIO_CDS4677	MSKRTHSTAAALVCYGRDGGTSQDIAPPEIIIASAYLRSLGETA SVTDSFWTMPSSSDCEEWMLPLQSSNSPILVLAKHISPRIKSSVLYEDIATTIDGGGL LARSEDLMNSLLGCGRGGGMVGFRVNTTNPLYESEEYKSLNAKPEGILIKIVRNPEFK EEAAEVGEEEDTETGEETTAP
SAPIO_CDS4678	MSSPEPPQSAARVLAIPELLSNIGGQITNKKALYNLCLTSKAFN QAFSPFLYAAVRVVEPKWDTRFATGRRTAWFGSIRSLCIVAPYSGGTVLEVSIANDTA RSVFQVAHGLEQFRTISALFENCRKLSAVSITFAHHTGSPDSEVDVHGADVELSTGLS NLENLKQLTLEELYGDIYTWRHQIVDVLCQSPELEHLALSLSDPALERAYWDEQARGV ETSLWKYFDELCVAYHEKAQSPLRLKSLQCGTAVLPFEACALRALTDLNTLEAVYIHN MDVICDEQDIVVLYRYGHESGIAWEGFSPNSAPNLRRFSVYQCEYDVRDFLLREWSEL GSQLALSFSADRYPDPDDGGILREADEGETLRQLWKISPRMYDLELEYRSSDPEAAIT PAEKILADAKGEYIAAEPMLEIVVAYGRDTLEGLTVRVEWRGYLNRENSEPLQHWTPL LGAIRRLNRLTQLDVGTGGVSGMSAEEERDVLKRAPEELATAGPALCYVGIDGRYWRV FRRDGEVADLEELDDAEARHVEIFRHALYPEMKADFY
SAPIO_CDS4679	MAPHEKEASILEQHPGIPPGPKKRGDPSSSYTVRSDPPSGTVTI TRETPVIDVVTDASGAEVTNTKSMEKVEETTVIDPHRAIPTLVYNCEYMPFVCKNVEN HIAANPSFKFDANGLLELHYDNTNEKSKHANQRRGPTCKNPSSAYKKQKFPEQCKNIG SLTGLTTVYSTNFDLPAKSVPNVPEVILGPVDPADPTKNLQSGLGWTCDEFPSASWIE GGGGLPSDGIVGAAICAPQTIKCHTNAKDKVKRTYEQAFGVMDQNSSEQDWQREALGT LGNAIRAAHKIDSAPEGTNQGHAKDEYVAAFYLSTTRVASPQKSKAWVIDAASQTKAV TKRGESQEGFGEDVADGGGDTSPPLTTQAPTPPAGMAAPTNQQNKPKPLWRHNAEAPL QRPKLVPKGVYHLGRRAASAAEVMSAQSLIRAATEERQEANARKLAYPRASHMDGTHL AFSTPLDPLAKKEKAAASEYWYSQISPKGRSPFHPSADTYQVYRDVISDCGAKGDGVS DDTEAIQKCISDQSRCGGDDLSCRSTSVMPLVVFFPPGSYLISSTIEMYFMTTMIGDP IDRPKLVAASSFQGLGVISSDHYIEGGNGESWYINQSNFFRQVRNFIIDLRNTPDNQH KPATPGPAGIHWQVAQATSLQNIDICMREGSRGHVGIFMENGSGGLIRGVRFHGGYIG FRAGNQQFTIKDLTFENCQIAIQAIWDWSFLWSDIKISGADVGIDLINQDLKDQGAPQ QTFAYLLVDSVLSATTGIRTQPFMASDGYCQLTLDNVDFSGSGTAIKETTGETVLAGG QKVDSWMWGIVANQDSPNGRRIEGESVQKTSSTPARLLGGPQGGFYDRERPQYEDVAA SSFRNALSRGCQGDGVADDTACLQDLFSSPGHVFLPAGVYRVTDTVYIAPGLKISWSR WGRNGEAGDVEISDLLFAVEGSTAGAILVEWNLKASGPGSSGMWGILIESTSPTWMYA TGFEHNVLYQYLVLGAKNLFMGLIQTESPYFQSSPPAPEPFGKSVGLFPGDPTFSTCD SKTGNTCMAWGLMIERSSDIFIYGAGIYSWFQKYEQGCLNTFDCQEEIIWLSDDKNLF IFNLATVGTLNMITAQGAQLDGLGYQSPNSNVSSINGWIGDAGHLESDGNGPGATITL DDNIWSWAATAEDAWGTMTVACTPPCYFKFPPLTYPPLQPPPTTTTWDGAVVTITPPA VSDASVTWEIVSFGTDGPMTLEPKLNQTGIPVPPWECGDECGGRTVTFPDIPLPIPVP TDPPCWFLCEEKLPRHLVVVIEDGPVPGPPPPGTEDDDDDDDNPIFVIIPGRILPECT PETCPVDCQGDECEEGKDCRGPDCVAGGGCFGKNCKRGGDCEGPKSAREAEDVLDLAA TLAGTVLDPSAVKAVRVSALQLFNISCSGGGGCTGSNCGKGGGGCTGPSCGGGGCSGP DCGPTGCTGPDCTPADPEDEEEEEEDENEDEVCHVILREDTPNMDTAGDDGKGVSAFT GKGSQSGGNVGKGGINGSGTGCVDILGRVYDCDNPPADDEQDFLDILDCIENLGDIIL CLGNATGEETSATRLVPSATGVESSTIRLEPSGTGRESSAIRLEPPATTSSVNMGTPI ATPKP
SAPIO_CDS4680	MSSQPPYYGQQPPQGYGAPPPGAAPPAGGYPQQGAPYQYPPPGQ APGQAPGQPPYQQPYYGQSAPPGGAPPAGQYQPPPSGQPGQPPFPGAPYGQQPPQGAP YGQPPAQNAPFGQPPQQSTPYGQQPPPQGQYGAQPPYGQPPAGYGQQPPQPGYPQQPY AAPGQQWQQPPPQGVPGYGNYPVQPTPASQGYDPAQKAWAQPVNTSSDVESLRKAMKG MGCDEKALIRVFSSPQYSNPWAMAQLVQDYNSRFIRSLEDDIKSETRSGLETALLAVM RGPLGNDVYVLDKSLNRMGTDEEALMDVLLNRSNADLRAIMTEYRRVKGKDLLVDIKE DVDDTLFRLYSMILAATRAEDAAPVLPHEIDQKVTELQRATEGMIGSNAISVAQIFAS SNASQINAIAEAYQRKYHRGLQDVIEKEFRGDMEDALLRMLTAGQNRARSDAFRLREA LTKRKDRLLINRVVALYWDRPRLEAAKEAYKKRFGVALGKEVKDLLKGDLEDVLLALL GEKW
SAPIO_CDS4681	MAQSTSSRQTARQWTVSGFEGYGSLKFSEEPIPDLGDSQVLVKI QGASLNYRDLMISKGQYPWLVKPGVVPGSDGAGTIIAVGKHVTRFQPGDKVITVINPK HLGGSINRQTSQFGLGASDDGTFRTIGVFDEQGLVEMPEYLSFTEAATLSCAGVTAWN ALFGSSGRQLSAGQWLLTQGTGGVSIFAIQFAKAVGARVIATTSSSKRAKLLEKLGAD HIINYSKTPEWGLVAKGLTGGTGVDMVIEVVGGESMRQSVESVKLDGTIAVVGAVSGE VAGGPTLMEPWINLFTVRGVWIGSRLQMEDMCRAIEANPDKLRPVVDSRVFGLDELRE AYEYLESGKHQGKVCIHIQ
SAPIO_CDS4683	MARPTSQAFINTTHRYDIANPDAVIQLSSTTQAKRKLLIWNARG ARRKFRLAETIQENGEPEYPHGHALYLDVDRDPVIPMYAIVQYQPSEWHIEVRHDAGG EPVVYPFKTKTGALNFQALVTGYDVDVTACFEGVHVAVVHVSDETGPKLLRKLKPMAE LEDMAQVQLWQRRPPLSGVGSPSRQSVASDPRRASTFRTVTSARDAVSLQTDPITKQD VYISEALPPPVLVAFMLSRDGRATMLKINISEMQARVTGKLLHLSKPSGRFKATRVSV RQEELAGWNICRLGTLASVEEEDVLDCTYLTLAPSQSEDVDSLHNKILELKLNYLRTE LARDQARSAVKSNRRPSFPTPPESPSSSASSRARSFVGLPSLASIPSLDAMFNEAMTP PVELSGDPEPVELDGSATIRPRRGWRRFR
SAPIO_CDS4684	MAANGSPNSAIGGNSYNHVDEEPETCLTTEELSREIAALDAQRS RLDLSPTSKQRLGQFTVAALILNRTIGTGIFALPSTILDHTGSSGVALLLWVIGGLII LSLHMCWLELGMSVPYFNYVDEDGRMRALAAPRSGGDKNFLEYIYQYPRLLASCVFGV IFIIFGHLAANAVQFGILVMQANHPECDLEDGCFNKNGAIGWAIAVVTICAMINVGTR QFAITLNNLFAIAKVLFLVCVTFLGIIWGSLQKENQCRQISWDIRKENFKPNSGDIVL AVLYAAYPYTGFEQPFYVLAEVKNPRRVFPRATITAMLIALVLFPLANVGYLCVTPYT GGKPENTMIIAMFERISGTSSDGNQNDADHRVVRAISALLAVSIFGNIMAQTFTASRV KQEIAKEGILPFSLSFASGSDSVLSQLFKPRHTPPQTRFTVDDVEVHPEQVPIAATFL HWTIEVVFILAFGLPLGPVRAYRILAAIKTATLVGILGLFTAAGLLYLKIDAWLYRKT EKGRQWATHVQWLPLLSPLHAIVATGGMALIVFGTFAEPTVKLKNVSHLIGPSTAWAA VAIAVLWWAWLMLDQRRRRDFIHVVRRPFIEKDGPDGELVLKAEIVVLERIPARARKQ ISPGVLHALP
SAPIO_CDS4686	MFDFLQLPTELQCSIISSLDPITLIAASQTCRRLRKLIDPQRVH FVERLLALETLDKYGAPVTSFRGQEPEEWWDSSWACTSCLCLLPTKLFTPPYIYNYQY NKPLRKDFDAYGMLTSWSPTAPTSGTRLGDDNSTDNDPIWERCPRADKLINKSLCNSC FAEKNGREELAKVLCRRYKYCIELQEDCISGPVAFGWDFFYQSLNGAGLPRTYIHEAR TLLLHTGLLGKQDWRKLTVRSYEDVVAVKSCLGKLRDILDLAKTATGRKISKVERKYA DLEKALINGEPYWRWLKACQDDVDANPDLVVEWALDRDGASIS
SAPIO_CDS4687	MGVCASALSADYIIVGAGTSGLVVANRLSENPDVTVVVIEPGTD QRANPNVTDPDNFQVPFGTEIDWSYDVVSQPDAGGQTFILHQGKAWGGTSTINGMTYI RGESAQIDAWEKLGNPGWNWDSLFPYYIKSENYTIPNKSQLAAGATYHVDWHGFNGPL NTGYSPNLVNTSSAALITETWQGLSLPHNPDLNSGHPHGFSIGPMTVDAEQNLRWDAA RAYYYPVEQRPNLSIVRGAVKRVVWKDRRNENGGRKRGLEAKGVEYITDNGKLHVLEA KKEVILSAGSVRTPLILEGSGIGNPSLLESLGIETKVALPGVGENFLEQTNHLLGFAA TTEIEPTWGGYHGFVTMQDLFGDVTPDLAASTRAKIPQWAKVAVGDSAKTGLSTEPVE KLLRIQHDLLFKQKVAAAEIIIGVIPGDGVSLAGATYWLLMPFSRGNVHLGSVDEIND PIIDPRFFRADFDLSATVATGRLGQKFWLSDPVSSLILAPVLPGEDLLPNNATDEQWD AFTRGSAVPNSHGLGSASMMARELGGVVDLELRVYGTTNVRVVDASIIPMQISGHLTA TIYAVAERASDIIKGTWN
SAPIO_CDS4688	MSPAFATWFEIDPEDDNETAEARSLAIDKELLADFQRENHHSVY FTGDRDLGTPLVANLLATNVHDTYTYREDLSQELSAWLRDILEELSKNYRGCYNSVIG QVEYIMAKLDISKETLTESILEGIQELLGSPNFKQLLETDSGLVQYRLIPKNRRYYLD SIVGHLPRMICGEYHPTTADVAFIIQRRRGFSRTTAILSPKELVSDALFAVYIWDLTT HAERLMEDESVSILDETSMMLSSYYHSRWMGTKPLVLFLVNLSAFKDSFTVESFRLQH HDYSGGDDPKEAIDYITGVLRRPLNLEMEKPLLFYPCDISDESNLDHLFALAHQTLVM KNTPVYESDEELEEKEIC
SAPIO_CDS4689	MQQAGRQLNLKKCTQCRKDKQKVSRPHPNGQKCDRCALKGFTCL ENMSAKEQHALNLPGSSSGLEAEDEGFQQYIELTARKVNLLCLFSIVDQGPQVKCYAE FKAQIEKNRDEAQRALWAERDSILRKAHCLIETSQSPFLKFAMVSLIADLPLSALDHQ DSYLDLVLPSFWETARQVQNPGAAFLIQESLLIRQSMRSDEVSGPDIARYHEGSQKLA KLVAETSDCALPPLSSVEQNAFAIIASGDARKVAMGLASIGSSPASDIFGRSMLHVAL DGMVNRVSSPSLPNAFEEKDIQKLVGCVDLDQQDAFGRTALHIACSEGLDSAALIIVQ GGARLDLVDSNGMTPLHWAVKNSREEFLLRFFNTQDCACLLHSTDFAGRTPLDIALDS NSPFTNLDLLLRCHEKWLEHEKLECTQSEGLGLMHLVAQGGNREAVALLMDAGLRPID SRSRRSGWTPLALAAKQGHAQVVKVLLDHASVDPDSQDEYGRTPLSYAAAGGHEEVVL LLIGTMSRPLSRRIDLDKRDNMGRTPLFFAVVNRRQAVAMLLMATNGVNPEVVADDGR TSLLVAEGLNDGMDMHKILRKEVWNFFCCDQALPTLHDLVQHCEQVHGGQGIHPGPKG IESIQED
SAPIO_CDS4690	MASQEPGGMSFSIALCETTGQTGKYIALSHRWTPETELVKTLRG NYADRIGAGDRPVQLTPVFRDACHLAHKLGIAYVWIDSICIVQDDPDDWAREAVRMAD YYQRAWLTVAATKTNSQEGLFSKVDRSTLPRIARLPYRDKRGEHNGYFYLQCVDATTV TRDYKTWVTNGELLSCGWAYQEWLLSRRILSFADGGSFLSCQSEAPRSIIGDYVLRRD TQMNGEEDRVELAFMHHPDTGDFSTQESILSQWERAVQSYSGLRLTKLTGDRLVALAG VASEYSKALEAKKEPDGGLSYSYVGGLWFPHAHGLLWEPIISGTVTRLDGLPTWSWAS LKILESDGTCRGMEVRWSTSTKKGKKKVLLKEQCRLKSVRQLPVHRTDEENDAWKADF DWNAEGAQQSQTHGNDCRFFALQLKGLLWNVNIHGYFETKVDADTAALLTAHSPDFGR EYWRKVTVDGIVSDEVTGWASVEHSEYQVLGSGDIVTTPVLAFVVASVENLDTGAAFG NIWKMRSTAYKVLYLRQSRCPVCRALALVTPPELDGQQLCIKAFSSNHALGGKYRRLS DAKEYSDCTVIFPVHERKSEVQKLARTGWLEGGCLALVEVGDGEELRLPEVGPRLARP DSIDYSVVRQWMNFCKCKHGIACSISPLQSIKGLRVIDCRTRAVMDAPTCCRYVALSY VWGCPRPNPTDDADPGSQFPPVVDDAIIVTQALGYGYLWVDQFCIDQEDGPAKAQQIA QMDKIYSFAHLTIIAAAGTDSSYGLPGVGDGRRRRRRPRQDKIILGEVALVQIFPHVS HTLSQSRWATRGWTYQEGYLSRRRLIFTDGQVAYLCNTMHCLETIKKPMALKRTEIRT ANDSFLKFIPSEPSFRLRNLHLWEEFKEKHIVGFTMRDLTQASDSINAILGIFRSLEG SGILHFHGIPLRYKTDRRRGRRLACALGWHHEAVGNERRGQFPSWSWAGWKGDVRINE PDVLVPEDCLVAFLDDVTGRLTYLEDWFESAVTGSSTEILDNDAVSTPAPVLRITGPT FRVKFQSPCLSSSNRSLSQMSELAGMSFLEGPHAVLHITEEIISLTYAYLDEAITSPA EDENDIIALILRPKIPIGATSLTALLLKPAVEGSSRYRRVGILRIRHGSKSRPTGYLA PQTVYIDLDGSVLDEVDMDMREVPIWQRDIAVRTVEIV
SAPIO_CDS4692	MATMNDRDWKLGLIRADRNTYLIPYSVVDFRDSWVRDRVRPPSP SYSTDSTRFGDEESPLRRYDSDDTLKSRVGGEQSEQVLGSTEIYDRHGNLRLIPTPTP DPKDPLNLPEWRKWLAIGSLCFFGSVSLAVEIAVGGLLPVFLLEYSGVDPRSTLKNID LRNNPDPLAVVPDGITPVPLAQVSLLATIPMLSNGIATYLLVPLSTAIGRRPVLILTS TLSWTSGFWAGHSTSLNSHIAARAIHGLGSGAVEALLPLIAQDMVFIHQRNKAVATII ASQGPMIALLGILGPYVSVNHDWRWIYWGTSSVGIVAWILLILFVPETRKQRSMEELS ETPNTFPSTFALSLKTEEGKLLDGQQLWPVQPGESRTALDHATYGHRRLWDDVGFFQY GYLWKESGIQIIATLKSLFFPGIIWCILLQTAFGIVMGVTIQAVSFALLASGVPFELT GLSQIPQMLSTVVIFFVGGPVADKVALWISRRFGRREPEYQLPNLVVPIIFAIIGTLI FGYANQFGLHYALLLLGTFFTLTATLTCAPIINNYAVESYPQWAGAVEVYDLPGRDAS DCAMRDSTAVPLWKENPWLDLWQGRQKADSGSLEAQIPESPAMPGSPEFSSLPTEVLR AICVQLQGDHGLGNGDLARLSLTCQRLGDIAQSILYQHFHERHDEPGINIDGDDGDED DDGRLVPFLRTVILRPDLARYVTELCIDFHGRPCMTEEDFDLFKETASKHQFELPREF IIFTDPRIKKHGPLDLEIDPTTASGIEVVLQLLLLHLPNLQSAEIYIPNSLTTFPHLD IVARQREGEHSGLASFRRLGITNYGSGVRLGSMRNLLAFCPNLEILQVSRCRNVVPDL PLSNLVKLEIDPGDLSFNHLSELLGSCPKLEAFKYRSEWNPRVRFWGLDLPFNPREAL EALRPFQAQLKILELDLSERDRFSDREEEKEFITSLREFSALERIKLHCSAIFSGGER AQPGSRNGRFAELLPGSVKHLILVQPYPSMYRDLLKLGKCAASRFPSLGTVEVQWTPK NMPSGFTVSQVADIRWMFKSHGVDLLLDDPTPGSISSMPRFSIRP
SAPIO_CDS4693	MHPESPIGSLSRSSTACEIEDGKEPKKLILCFDGTGNKFSGSNA DTNVVKILSKLDRNDPNQYHYYQTGIGTYDINETTVHKSWYGEMCSSISQTIDQGVGT TFDAHVMAGYRFLMRYYDSGDKIYMFGFSRGAFTAKFLARMIHTVGLLCKGNEEMVPF AYRLYQRYLAGEIDDYDYAHARRRWRPFDKDRGYETEDDEQTPLRDEEGNSRVHHGKQ YEVARDEITAFSDTFCRKEQVVCRNGRIKERNIKVYFLGVWDCVNSISVFEPRTPIPV PIKGTARYVRHAVAVDERRVKFKPALLAQDIRALAHDGEKEDIKEVWFPGCHGDVGGG WPAVAPDPDEVTKTPTLWQQLKNFGKRVQSFWRTSKAKEPTKAKDILTDPFQMSDIPL SWMIREVELVGRKDPTAAVKWRPNVEGFKKRFKKRKYQALDGVMHDALKFGQGTGFFT VLLWIFMEWFPFITRWELEDNEWVNVRFPLNAGSTRDIPPDAVLHESLLYRLRKEANY LPQNNHGGRLPPCLKHNGIIPEVEPIIENEWEADPDHQTYRFKRDTMEEEYEVNLEKP EQPHNQGVCEQKLGVEAKPT
SAPIO_CDS4694	MDSNTRQTFPFLELPYFIRHDIYILALDYPDLDPIFARIQKQNY AGDYEHTETKKLPISVRPTPHVPAELKTTPGIMLCSRQTAWEAQQVWRYKTFTLKRPP AGTATLARPMDITEFISEDMLKRMRQVHFIMNLWGNPRAWLKTVEMLLDIWSVETHLK RIDITLEQPHQLPPGEFWPRDSRRYVAYMLSMIRSFAEVAGIELIGTPPLPELPVQKW PRD
SAPIO_CDS4695	MEHANPTSAADVWSLGVLLYTCICGFPPFSEEFQSEEYPLSLRE QIRTGTFNYPSPHWDPIGDPVLDLIDKMLIGDPIGRFTIEQCLSHPWITQLSPDGTDS TSEINKVNVDPRRRGIGVDGAVGVIVSNNVREEVGSPKIDDDLLEDNVVRPSHVSNNS SITGSVNPEREVARGDAIVGAGGRQNAYPEEGSGGEGLGLTALKNLRDEGFDAVAFER RGEVGGLWSYSNNTAFTTALSDTLCNISKFLSCFSDFPVPPACPTHPVQGDAVDYFKS YATHFNLYDHIRFSTTVKKVVRNAPDTAWDVYITNADGDSILSFDKVVFGHGGETIPK WPSMPNRDRFKGLVIHGQAYRDPTRFKGKKVLVVGFGNTACEVSLNLLGHAAKIYQSY GRGRLLLSRYDNDGVPMDLNFTWPDLRLKYLLDYAAPWLMKPLTDKFLINTMIRAAAR SEPVEAGVSYSQRLKRTEKRMREDWHMLPCTSMAHIHPVVQEDYIPAVRRGDILPVKA FQDFAGEKEVLLTDGTVIEVDAVVFCTGYKLDLSIMPELEMDGACGVPLQTAEQIAQA QTTGQNGAGSKSSNSSKQEPHLPRLYQMMFPPRWASSIAILSWMSAQESRWAILELAS MALSQIWAAETAKISASQAPQGKYRKPALLPSETEMNAEVDRYHAWWRKEWNADRSIL QGFVRGHSFYRFLHDAAGTGMYEHIDHILSGRGWRLRSQDRELWTWLAKGPLNSYSWR LFETNPQGIPGCGRKAWPEARKAVQEAYEMCEDYKRQIRNKSKDE
SAPIO_CDS4696	MASCSEPSRKWMYLINHVFLPPKLPQEDDTSGPFEENLIREVLA ALNDFRGTLTDAPADHVAALNAVADAITNLRGVHNFSIPGEDITVNGEALQRELSLLC KADAMHTIPLKVAVQNAGLLVYRRADIAYFESFELSAQAHSVTGTLGRLVCAFPGVAI AIPVDKLQPSFIATLSQTVDRMSGQVAPGTQPTSYKGGRSHDEFRDTAHPKMVTELLT AFALPLGHPAKVTGITKHVRDEVLWDSCKSPWRRSPMWTLIRVSIQLVLLRSGLDALE ASVVYKHFMVYFMAHALRKTALLLKNDLSCLEWCDLVYVMKAKISRRLLKIEKIRYRS TEQKVQKEMIFADKLLRGTWDAVQKSRADKSRVDVASLRHLDFKKDTFASLPQLDDFI DSISNRESQTVSAVFNPVCPLPEINQFTHWHEPVSDGATFQAAWLDNLLGSRLSEWLE PKLRREALDYTETFKALWRVMSGYKTMVSSRASDNPEAMSMMILGVLELWAACDKLAC HTCPLLSEYDPVIDAEYLQGLLLSTKQQMERLAAVEKYITSRKERGKLSGILTSFGKP QTFAVRYFKKSPTHKSLCECIEAAARREREDRAREFQRTKQQYNTLINQYNSADCDVE CDKDGDQQRPRNCRRCKTRNRAKGLSILIHEWPLPELETEKMNVVFELDVPAWFSVWR QATSFVLFDIFQKAYEHESRREAHSKFALSADIHLRSYYRDIGGAFTPRIGLLSGIKA HSKSHYKPKPISTVSRPDELYYTNGLRYQYYDESRECFVGTLSRTKGIDVFTNAITYA LPRELASMQQFLYRPCTSPDGPSPNLVIATQSDCPDHLSLTEYKALCTIPHGHRIQWQ NILLQVTAPNVDFKRVETTLTVLQCIYQAGPRQGGLWHRAAHEVPAMAESFGERMMEG LRAATNRIRDNWQCVNALATYIAVARRILSLTDSELIRRDVLKYLSEARSVGLGWIKS LKTRADDTTDDGEKTQLRGRIAYAALVCVDTCNVEGDVLRIILESNADTAAVDFIECC ITINECYAPGSSSGQSALSSILYWRWQILCTRACPILANLIVDYGNDGLSIAVKRSWV SYNASPGGWNRCSIPGCSHSGMSWLTTKSISPSGRKPLDVHYCVVTGELRVNGVPLNR LPAEYERNPTYKRLFGNCVLEVMPADVPGMIFSGKKLFAGHEVHFGRVEDAAKGQELL VRAVRDGRDRDLVHLELLQGLPEAFTRDYVHWYDVGSNTVEFCPLSSPWRSSENNWKL SYAEDDVWQLTQGEDRVLVAPKLLHPESQTASRLARVFSPLVKPGRLHLIYRPSLSVL DIEIPTLKLAFHLHKGRSEITSHQFRGMTVDREQEIGTLFGLDNKLVLKASSDDTYRK VIILEGPVRCFQSDPHMRVHFNTDDAVRVHAYDVDTRLGRLVDNGSLESKLLICHLHA LTSFCLPDPLTQRTGTELALDILNSAAVKSFAVLTPENTEALSRIARLTPWRTYYPKE KTVMQTVGWSSSLGFLSQHHSFLEAVTSLFSAAADRAFFYEDQYQEPPEFERHNRDLI LRDSIRASTFRVAEYGAEAHTTEHDRVYYSRDVGQTSDEIKRAFTMADYIYHRRTELP YDLPLDVVSSVWNFLSRASKVYGLGYRLDLETGGDKPEYDASWLLEGTDPKIVSRSFI LYHEAISLGASSDIGRFDLMMWLSTMAFSREANMTVIQVLAAMANVSKMASVNPPTAD QFQPSCGTRFGRDALLSRLRADEFYHYWSDCPEYIQSRNLTQIQRNEARERYAATRSR AMKNLVERAEEQWPCKAPDLAFHRSSGEWATYVKSSVAVPVIQEHTKIRYNNILLWDY LVELVDQLPSVSPPKENPLSDAKGSVLASEILPIQGHRQRYVSKADVFLCPPPDLEKL VTPKIDLVCPPPPPPALILSSKAGQQSDLLRDMIEKLGLMASAAFEKDYIRSLRQSAE SLALGAHGNLVLQQRAPELLNIYHTHFKHRAEGIYSAIVGALYKHMATFLPFTSSSPS GEVRVGDADGCFATHWPRLSPSFLLQCIGRNKWKRLPPGWKECIVKYAVSLADVQRAE RMLAADHRGDLGAMSRELQNVGHKNWNPLDYPDSLLLEVESSITIRDVQEEIAAQMRT PPESENAVMQLNMGEGKSSVIVPIVAAALADGEKLVRVLVAKPQSKQMLQMLTSKMGG LLDRVVFQMPFSRALKVGRAEAQAIQRMLEQCRDMGGVLLVQPEHILSFQQMGTEYPM IPENLAVGRMLNETRHFLFNNARDIVDESDENFSVKFELVYTIGNQQPVDHSPYRWQI IQEVLDIIRDIAPKVQEQFPDSIEIHDASAHPGSFPRTRILKREATQKLVDKLADRIC DNGLTGFPISRQPPAVREAVRTYITKPELTEDEINLVQREGPSGFWTDAIRPTLVLLR GLMACHVLKFALTQKRWRVNYGLAPNRKPPTRLAVPYLAKDQPSARSEFSHPDVIIIL SCLTYYYSGLSDDDLFLAFETLLKSDQAEVEYDLWVRDNTKMPDKFKTLGGINIEDKH QCIHDVFPQLRFSKGVVDYFLSHIVYPKEMKEFPSKLSASGWDLGEKKVHPTTGFSGT NDSRRLLPLGMEQLDLEQQKHTNALVMSYLLQPETAVTDIPPRSSKLSSDADVLLDLV VGLNPSVRVILDVGAQVLELSNLEVAEQWLQRLEDDHTQAVVFFDNNDELSVLDRRCM RMRKLGHGQSVVFCVPREIRTKINELFPDRSHGSDITVSDILLWSIRETWSSLQRGVQ LWAKQGWRHRKHQLLWAKFTQGKHALEPDQAKEFLEDEAQTILQRYGPGAEQDESGNP GSTLNGESDSIVDRLRDFGAGKLDGAALSEEQERELQPESEKEREQERPPATVPAAHK MHPDVVRFVENGTITTSQGYKPAFSSLRLTNAGKGFPLSSFERKDAEFSLLVSEDFTQ TVKIPVGSGAALDSFLRSVQWVLVSQQHEGKTVMMIISPFEANSLFPTVSVSHTTSLH IYSPRVNPAFPSLDDLQLFISPPHLNHKMAFPLPLTVSLNLFSGQLYFSDFEMYIAAC RFLGLSWEKAKDGEVVDSDGFILRDVEGRVGGESGFSRSPVRFMRDLMTIRRDCQAIE RTHVGDMLDNRPLSKGSFE
SAPIO_CDS4697	MEAAAAFGLAANAMQMLEYAAKLIKTANKLRSHVGSLPVGMQEI EATAMSLVQSVDIVAQKSKDEQDQGLATICDSSLSLARELLDLMGAAKAITSKSGGSG GTTNIFRGTFRAIRIEKEASRIQSRLLYLQQQLSLHLTIQNRRDQGELKSSLDSITGR VFSIEEKIDSFILTRSGEISITKQPLIESPEAEVEPEVEVDSEGETETKANEEVGTVE SALLSPTEARTSNPSHISIRSPRRFRCQDPSCVCSCHLNRYYKTPLFLRGLIGALKFR GSCRNHPTDLWEVKYWMPWWIANYNVYLLFERTASGSPSLGLKFQRRVDLSEPLLYCS YTRNTKGVKMALQNPVVSLDDIHFNEGYTALHVSKTLPPQIRADKFIEDDYSRSPMHL AWERIFNGRGHAQMLDCLRQLFPAEDFDDWGFSPLHEIILGLSHLLPPGLSRLGRNLD VNCRDAFGMTPLCWASSRGDVESMELLLDAGADVEAADSRGMTPLFFAVNAYAPQLRP LELLLLRGANPNHVDPNGYTALHFAAVNGTPLELVKALINAGADIDGGSRFNGTPLLL TAGANCVEIGEFLLQRGADRYQANKWGRTPLVSAVLENSAEFLDMLLEHGTAYERIDQ GGRSILHYVAVSQHVEIARVLIAHGITGLDTELKNCNGFTAMDLFWMHGTDTDEDFKE AFFQLMSMLDPNWSTPEGSIVEEDDSETDEE
SAPIO_CDS4698	MAFRSVGDYSHEFDALYNEDDDCSVLAGQLPLAPPIGHYAFSAT TVPSGSEMRLVSSYSGYITPFTNPEAADWPEPFGSAPPPRPTSPGTTTNAWVTSGDAS EFRRPGVQALEQDTSVAAIPPNHPVPRTLKRPRKQNHSLSLLDAFLQQSGFTPSSASS RDTALHETCKWVAIATASQLAVHKRDGAGTGSECPQAWDIAFETWQKAKRLLFENIAA TKSFRMALSLLLFGAILPPTGGDRGSVFEEDTAYAQREGLQRLQGLCSEAHAYLHKRS GAPRDSTPPPGGSPRPVKTLQPDVRNLIFEIIGGLEWLVTMAHSPAVVTSRTNIPIAT PLLCSKEVEESIIARARADSHSVTSMWDRGISDSIMARVVSHAGSISVLVWRVLALLT VVVEGLETDGVDCEELYRLYITMTTLIDIWRSKFGLINQTNAKSLQQSRPDVLRSVYF CSMDVDLAILLFYDLVHPLETQLQTLSSPSPAHERLCSSLQAGKAYHKEQRLTSAMQI SYVALASQGMSSPGLPGKSGLKEIIQDIGAHPYLALGVQAHQLAAKALADEIQYCIQE MDMKRIPELTAGLESCMQGLQRQQKTLVMFPGMALDGPKRALKYERVINDELARRISA LLSALESHTEEARTHDPTAVAFRALMQSTPRNGEDDNPPPRPEGLFDGDEPLPTYSKM VARILDEVKKTLDEKGVEVESRYDAMIGVIKVNLQKIRELQSELLKKLDRLEAEETEK ITSEDYHVVFDSSNVSKAKPGETKQRTKLELLNPNYDLRDINSDTMPPSTTGTTKESD EEVINKASAAAKQFAQIKISDYQASRAYLLSHPEILRESEVDGLLIEAFDAALDRQNL PQSRQYVHQATLLDYCRRLGRDGMAIFFKHVTTPGHKAREMLEKDTSEKYQRILELAR DTDKQRASGGGREGVEQIQIHPVEPGASIQIRIPQEGSEIEEVKRARSIFDSLAPEMR AALESGSLEEVNKVLGAMAVLEAEVVVGLLGEAECLSIEQDIIDTTTDEGKKQLQTLE HKMESD
SAPIO_CDS4699	MSIDSEKAEAKGTPVDSVKEDQPTHDEIEHYYPDGGIRAWLTAL GGFISFIATIGFLNAGSIFQSYYATTGFPEQSHSNIGWIGSIQGWGCFFFGMWSGRLS DRYGPTLPMAVGTFFMVFGNMMSSLANEFYQVLLSQGLCLSFGMGLAFTPALAVQSQW FMKRRGLVVGTVMSGQNVGGAHNQITMDFNGVIWPIVGNKLLNEKGISIGWTLRIIAF MQLGLMIAATLLIQPRFPRSTVREPLHLKRYFIDKRTVLFTVGLVIMNLGIYVPWFFI TPYAMEMGMSPSLSFYNASIINAGAFFGCYVVGFIADFGFGFFNALSLTCVVCAVTAF TWISATSIAGIIFWALAYGLLSGALQALFSPCLSRLAPSPEVLGAWTGTCITINAFAV LGVGPIAGKLLMRGGGTNYLWMQLYTGVALTITAVLFMATRLSVSRERWV
SAPIO_CDS4702	MVSLKSLLAASLALASHSLAQRTIQILAIQDLTLPDTFVYKPNS VTANVGDVVEFHFAPTGFLPSNHTVAQGTFEKPCQPMPNGFYSGNVTAAPDTPLGEAE FVFQVQIQTTDPLVFYCTTGQHCTRGMYGVINPSSNRNLASYKKIITSHGPAGVPPRI NGGNLVRNPGAVNQAALVAGASTSSAISMLGVAGAMAFAVLMA
SAPIO_CDS4703	MAEHEDKQIPLAVSGCFQDLVDCLNSLKSDSDSAQLEDRTFILS VEEFHYVLNLIPGIPCKIGKFSYDGKILYFKNMGTIHEGVTEELREQISNQLQQYAAH PVVGALVTNSLRSLGGRPVMTGRASYREPDFSFGEGKICTVICVDLYYARGPNREAKT AAELDRSAISEEGTVDLYLSDMVLEVDLPAEFVRPQDANVHATPQIRVPFTAIVNALR DSCHLVAEQTRQPPRRRHGPEHKSNKRGKKAAKELRDRDRKIQALERQIEEKAVKRRR DDRKRQRDDRKHQREERKRQREGQECLKTEQERLKREQERLRKDQERLREGQERLRAD QERLRADQKRLKEQQERRREDKKRIAELD
SAPIO_CDS4705	MLTFAALSMLALARAQQVGTETSESHPKITWQRCTAPGQCTNVN GEIVIDANWRWVHEVGGYENCYDGNQWTDLCSSASDCAKNCAVEGADYSGTYGITTSG DALTLSFVTEHEYGKNIGARTYLMESDSKYQMFTLMDNELAFDVDLSAVECGMNSALY LVPMKPDGGLSDEPDNEAGAKYGLGYCDAQCARDLKFINGKANIEGWTQSATDENSGL GNMGSCCAEIDVWESNAHAYAFTPHACEENTYHVCNGDDECGGTYSPDRFNGKCDANG CDYNPYRLGNHDFYGQGASGVDTTKKFTVISRYETDKLSMLFIQDGKVIEVPGPKLEG LDHFDNTITPEYCAAYPPLFEDHDRHNEVGGTPALNEALRLPMVLVLSIWADHYASML WLDSLYPPEKEGIPGTLRGPCPQTGRDPADVVSNHADAKVIWSNIRYGPIGSTHDTGG I
SAPIO_CDS4706	MKFSTALFGLATVLGLAQGQIPDENHDGLPDGDIPDCAMSCFTN IYLTNLPAFMACQEANLFLCFCKSVTFVTLYKQCVCENCPADEKEDALQFGLDTCTLN NATIGWMGNTC
SAPIO_CDS4707	MKNTTASIALAVTGLLGLASAQGLGYIPNCAYPVLMGTIEQYIS SCTEANDLICFCKDPVTQWYWIQNTSTGCPNETDAEAAVAFGTQICVELGIPINFPVP DPNATPSSSSAPSTAPEPPSSSEPPASTSGPDTTEPPVSSAEPSMTAEPSSSVEPSGS SSPSSSDVEPSSSVVEPSGSTSAEPTTIITSTRPPTASSTSCTKTKTATQGPGNNDDD DEDCTCEDGKDSEPSGTGGNSGPTGSGSTPGKPSAPVTVNMAMSNSPSALFIAGIVAA AAGLL
SAPIO_CDS4708	MLGVFGIAVLTTLLRGALAQQPLRCMPFGDSITDYGCWRPWLAE KLKEDGYTLDFVGSRQAQATCDDLDYDRDHEGHPGFQAVDIVKDKQLVGWLKDNPADI ITMHLGTVDIVRSGTKADVILEAYSALVDQMRDSNPEIRIIVAQMIPYPANDGLVQEL NAAIPEWAASKNSTESPIWVVDQYTGFSGTTDLYDGLHPSESGDVKISGKFHPVIIQA IESIRGSGLEAEE
SAPIO_CDS4709	MDDTGLPELADFPDEETSLARSLKIATWVMFAIASTFLVLRFVC QVYGRRKLWWDDGLLIICWAVLVANAATTTRAVDAFDWGLPSAFLTLEKIEAVILYRL IRVTLAALTSAWAQSALGLSLTRVTEGWMRTLVFAILAMVNLVALSRVFLTWFQCTPA SGKWEVSVRITSCVDEKATEIYYPAFCAAYSAAMDIVLTVIGMKFLVVGQRTNRWERA SRVLFVALGVFAAITAIVRASKLEPLNGSMIDHMTVLDIWEIAEGPVLIAVACIPALR FLTRDTKSRAEPVDAAPNVSELDGTAIYAPNAGYYGPEKGQWQGTESGSQGSSRGAGN TQTQCAELP
SAPIO_CDS4710	MHFTVLASLSLLGVATAFKDSVEAQIVTKQVQTVNGTVEYRTVC KTCPYTLCPHLEAPWGGDVYSLTCWTYGDRVGDSQLWLKTTTGCYLSEYDLVEYAGDF REDLASCGQVPYEVTEQPAQIRYLTECKWGYSTSAESITYYGRDLDLTLLCWAEGGTV YGDSYWYKTTDNCCVSGSGLWETPDRSQLDNCGPAFGPRINESVEPPELPGDKKPTPL PIEEESAAAPAPEGSSVARRWLQPEQIGEEYSYCRTCPSSASGSNCRVVKVYEYNQTV VSQCTLGNDEGRWMLTTDWCYVNGTDFWEPPWDQ
SAPIO_CDS4712	MSTHENEKKTAGQAWDSSSGVGEIESAPHAADSEVLDPVAVRRV TRKLDIRIVPMIMWVYLNNMMDRVNIGNARLFGLESDLKLKGNEFQLAVSLLFVTYCL FEAPSNMIIKRLHPARYLAGLTIAWGLVSTFSAFVQNLGGLVACRLILGLSEAGFFPG VVLYLSMFYGRKTLALRISFFYATAAAAGFIGGLLAYGISFMDHAGGWRAWRWIIVIE GVPTVLTGLVIPFVLPNSPDTAKCLSEEDRQILKKIHHQQIGKAHNLHNMVKQDVIDG IKDWKTWANCLALFPMLTMLYSFVVFLPTIIKGIGHWSAAEVQALTVPVYFVGAVVYV LCAWYSDKTQQRGPFIMGGIVVSMVGYAMLLANKGSAVSYAGTFFVAVGIFVSPGIAF AWVPTNNPRYGKRAFATGMHLTFGNSSGIASPFLFANKWAPEFTVGYAVCIGMLAVSF AINLVLHLHFKRINKLRDEGKFDHLMEGKTDEEIEAMGEESPRFRFSV
SAPIO_CDS4714	MASLEACEEKMTSSVSVKTDPEHSEVAVSNPVPAYDEAETKRIL RKVDYRLVPMLAVMYLLAFVDRSNIGNAKVAGLNQDLHLTGSQYNIALTIFFVPYIIC EIPCNIILKLTRPSIWLPSIMIAWGVVLTLTGIVKDFRGLVICRFFLGVTEAGFFPGA TYLLTIWYLRYEVQTRMVVFFAAASLSGAFSGLLAYAIQHMDGIGGLRGWQCFSFTIP SVIRELGYSAANAQLLTIPIYVLAMICTVGVAIASDRAKQRYSYILLGAVVASLGFIA LIASPRPGWPGLTYGFLFLASSGVYSCIIPTICWIGNNLAPSSKRAIGMAALIGGGNL GGIVGSNIFLEQEAPQYWTGYGVCLAISLLAIVATLILRRAYLRDNLARDKMTEAEIR AKYTDAQLAELGDRSPYFRYTL
SAPIO_CDS4717	MAPSLTTTITATEPMSLSTILKDKRAAEEEKSAIQRISHGPMLP GIPSFTSVEKERRWMLEHLAGAFRVFARKGFCEGLAGHISLRDPEHKDCFWTNPLGVH FGMIKVSDLILLNHEGEPVGGATHLPSNRAGFQIHSHLHKRYPHVNAACHTHSKYGKA YSAFGKRLDMINQDVLYFYGDAHGVYNEFGGVVLDDEEGEKLAQCLGEKGKGLILLNH GLLTVGQTVDEAAYLYTLMERSCEVQLLADAAAAGTGNEKILVNEDAARFTFKAASDP EGLYCHFQPDLELEKTLTSGNFLM
SAPIO_CDS4718	MTGLESLSDDVITYLIEWFQHEKDLNSLSQTNARFYRIVNPYLY KRHVESRHPKALSWSMARERTDTMRKLLAAGADIMLRKPVDWMPMPPIIYAVTFCSVE VVKAILEIDSADLNLGDNIGNTALCTATSLGKAEIVKLLLDDPRVDVNARNRPGFTAL SIAARNANEALAKLLLQDSRVDPNVIAECGDTALICAIKNAYYNSEGIVRMLLADPRV EPDVCGDDGITPLHWAVATAADANGVTPLIYALLSGKEDAIKLKLTVPEVDPNVPDLS ERTPLIYSVHLKNPDVTRILLADERVNPNITESEGMTALSCACDWGVPECVEALLAHK DIDLQFTESGVVPASYAATYGDCEIMKRLLEDPRFDASRIDYDRGTAVHYAAMNNHED MIKLLHESGLFQMDSLDVHGRGPLSYAALHGHGGVVKLLVKISKEIGGISWTVVDEDG ASPETYARKAGYEDLAAIIAGGE
SAPIO_CDS4719	MTDSLSALLDRIKLDGGTNVEDLLVIGIDFGTTFSGVAWATVED FEQEGINLIRSWPGSGREVGKAPTELFYEDGQVMWGFDVPRDGDPIRWFKLLLLKDED IEPEIRSSEYFLRARRTLKESGETAIDVISSYLECLWNHTIETIEAALGQSVVDAFEF RVVITVPAIWKSYARQAMREAADRAGILERRPAGDTQLMFAPEPEAAALSTLCEKGRR PQCGDIYVVCDAGGGTVDLISYEICDVNPIRLREAAEGAGALCGGIFIDEAFERICKA RLGRRWDRLSKAGIKEIMKGEWEYAIKPQFSRDQANSSKKYIVGIPAEAFVGSDLDDN SREPHIKNGRIHFNGLHIEGAFKETVSCIAEQIDKQISKSSGGGKAVTGVILVGGLGG SRYLYQYLQERYSRSDIKVLQSRGEVPRTAICRGAIIKGLFGEATNGLVVSTVPRASI GVDKMSPWVDGKFLEEDKKWCPLEEDYFADNQMDWYIVRGEAVTPLESVRSYYFRVYE KDFGGSFRTSIYECEDELAPTRITGSVKRLCTIECKVDTPFKSLPNIEGPNGKRLKKL QYEIEAIPSGASVEFAVGSGSSETAKKTPHSLHNVEPIHRTSHRKGLDAHITPRIIPP KLDANLAEGAHLRAIMAGEGVNILPSNSRAGMDRTTANRAIINPKDIPSKVIPHSNNM AVPLRPTHPTIKGPDMALLQAKDTAKGILSSRATLLTTNRPAMTSKQDTARRPSRHMP AALHTSSNTPPRLRTRATERPLKTTTSGALLRTLPSSTIRAAKTPTSEANRLIKVVLP HIPVDPVDPVALRETEDWVQLSSAEAPQLGRPIKLEVHKFKKHKKEKKHKKYKQRGGG HGSSSSDSSDSD
SAPIO_CDS4720	MSQLSRRTGHSSRDVAQQPPQAVPGHKRTEIPSPMAEPASAISS RFTGAFSSPMELPGAAGSDEMMRTVDSNFPVTAPGLPSTENPNAVGVLIQQLQQALDS ERAMKESYKHELEVANARLESAKGCSTNMVAREEGNQTPQQVLTTESASMEGGVGETA VRSDDLESATSHPSYVEESLRETVDALWVALDRERAEKKLIAIEMESERVNRDRERKR LEDAEAERDDYRKRWKSSVKELRQLTRTAQTTVQITDDNLIQWVRQLRFDIRNFAIKY FESGKSRVNIRQAEQECAKAVVSGYLAEATPGSKHFRDFLASPSRRSAVIQAFFWRFI IRGIFANFIWAGKLSEPVFGLYSRFRREIPSEQTIMDAEAMKRFRLWTVATTRLVTDL VESERNRVTQEKRSNILLREQMELAHDVIGPFLEVDRDHDGFATYHQDLEAILKRATL LDHNICKQAADVTWDFGNGGDSVFNQETMTLDRGEQPSLDGGCVILVIAPAMYKTGKS NGDDFSKPRQLLVPMEVTCKPVEDSPSIA
SAPIO_CDS4721	MAAFPSPPPPKSALGRHRLLAPNASVRVSPLCLGGMSLGDKWGG FLGECTKERAFELLDTFYDLGGNFIDTANNYHNGQSERWIGEWLRETGRRQEMVIATK YTASPRMGESGQGSNFGGAGSKSMHIAIRESLERLQTDYVDLYFVHVYDFATGIPELM QSLNHLVQQGKVLYLGISDTPAWVVVKANAYARQHGLRPFSVYQGHYSVQLRDLERDV IPMCRDEGMAVHAWGVLGRGYFSTPGSDEKKEGGRNTAIGRTGREERVCEVLNNVAQR HGVPLTSVALAYALQKTPQMFPIIGGRKVEHLKANIEALTLELTPEDIKEIETGYEFD VGFPHNFTNPDRFAALGPEHMGVLRWLGYFDYVAQPQAIKPHKGDLGAQWKAP
SAPIO_CDS4723	MSWKYAFSLSKQEVKDATPPGTVRLVAHDPTDVEHHHDAIVKFP IPSADPADPLNWPAWRKAAVLFVASLYAFVANYCSSTIAPALQLWFTTFPNEPKPFSK LTQLIAVNILMLGAANLWWVPLSNWAGRRPILLVATLLMTLCGIGGAAADTVAPALVG DVYFVDEQGRAMAIYTVMLSCGSIVGGIAGGYIGFRLGWAYLFWVSVALSAACFLGVF FLVPETLYDRPAPPVQPSTPSESGEKKEVEANHDEETRAETYRPFTFPRSLTFGPIRG NLVSLFIQPWRTLALPGTWVVMLQYAGLVGGVITISIIGAQLVSMPPYTWGAHTGLIN IGGIIGALLGCVYTYVLSDLLLRNRAKHDKHGLSEPEDRLPAVFFPLTLATCGFFIFG FCGQYPGENRWVGLQFGYGMIAFGLMQAPSIGFNYLFDSYGRLAPDCFVVVTILRSVI AFAWTFFVSDWIQLRGAAEVFGILGMLMGIFGLLTVPLWLFGKRMRIARAYSN
SAPIO_CDS4724	MANHVPSSLPLIAPMALEFEEFSCMGADGSIRLRNISEDDRRAL DIYLSGHFNVAIVDYSEPRVGSWGVAQIEDPAIVEALKERTIPPDDVILFLADHVFPD CEALSFMWKALVVELPKTSDEEFAARFESCPGRIEGVDQFLQYHNGPLPNMERRRRIA SPNGAVLDKLVADKTDYL
SAPIO_CDS4725	MATNGTTPNGTNGVNGDQPSVDVSIAISPNNIDAVPSLLKDITA GVAALETGGDEARHDLLIKARTLVQSLETPRETMVKHCWAQTGAMAGLCFGVDAGLWK LMAKNGDRPQKVSELAEALGVEPLLLGRLMRHLGAMGYVTETAMDEYKPTNYSKAMSI PIIGDGYLAMLSCTSQGPLKFHEYSRKRGFKNPTDAKDTSMMYGYKTDMDMFAWQQSL GYGPHFNNHMGGYRQGRPPWMGPNFYPVKERLIEGADTSPEAAFLVDIGGSVGHDLTE FHRYHPDAPGKLILQDLPVVIGQIQDLDKAVTPMEYDFHTEQPIKGARAYYMHSVLHD WPDSVCGSILGRIKDAMKPGYSKLLINENVIPSTGTYWETSALDMVMLTLFCSSERTE TDWYNLLEKQAGLKIVKIWSGGKGVESLIECELP
SAPIO_CDS4726	MANPPEGSPEWAAQDKGPYTIAICWAVTAFSTLFVIARLYVRGK IMGKLQSDDWFTVAAQICSWISTAFSTMAVASGNGKHFSLLSTEQKTGAILWTTVAFC PGVLSFGLPKMAVVVLLQRLLNPQRYHRWFLWWMGIWCQLTLFATVGVLLGRCMPARA LWDFSVEGKCFDPNILVGYCIYAGSFSAFVDLYLAIYPTVVLFQLQLSLRKKIALCVA LGIGSISGVVAIYKTTRIPSLKSPDFSYDTADLVIWTVIEGSTIIVACSIPILQPLMD LIMRRNPFSSNKSTKRTPRYFEDYSTGSKAGYELGQRKPKSKLRDELGLTIVKDDSQE DILSGNENNHHNQIGPGDSTGRLTKPVDGVIVRTDVVTVRYSQQHENQPSTERWGAV
SAPIO_CDS4729	MDSQTLVAVGVTAAAVIIVSMTASRFFKAAQATEPPSLTPASFP EPPDYVKRLASELPDSVVFPRDTDEFQQVLGRYWNQINRETLPVCVVRAKTAEELSVV VKHLKSEFAERNNPRGQSLFAIRSGGANPACGLSGVENGVLLDLSLLSDVVFSEDGSR VDVGPGAYWIDVCQKAEERGLAVVGGRSAPVGVGGSTLQGGMSFYSPKRGFVCSNVIS YEVVLADGSIVTASADQHPDLWRALKGGGGNFGIVTRFTIPAFPAGKMWTASLLSPGF RAATSLTAFHDYGKNATSGEPGAFDENAAPVILAFVYLTKVGLSLCSTALAYTESESK AWPEHFRKSPFKSIWCLHKAIKQKPPSQEIKAFGDMSPAGSRNVYTTTTIKHDFKTLQ DVYTIWREAIPSIKHVENSKFVLVLQLLLPQWLNKGDPNILGLEDCKDALVVIEIVSD WKDPKDDDLVRATARCCIEKMEQVSEANGASHPFRFQNYAAEWQRPVEGFGTENVRFM QGVSAKYDPDGLFQTGSARGFKLDASDKTAK
SAPIO_CDS4731	MARYADEIDADADEARPLLVDPDDITPRPTTVPYDATKAPDESS TDEEAGSVTDIQPSRATEDDILPETSPLGRTVTWGSAYVIVISRVIGSGIFATPGSIL RTVGSPGIALVLWIVGALVAACGLAVSLEYGCMLPRSGGYKVYLEFTYRYPKFLASTL VAVHIIMLGFSSSNCVVFSRYLLFALGIDGEASDFLRKSVSVALLTVITIIHGCFPKS GIRIQNWLGYIKVGIIVFMILSGVYVVAFQRVTDIHGDRYAPSWDNVWEGSVWNWGTI AVAFFQISYSYAGLDNVNNILNEVKDPVRTLRSVTSTALVTAGVLYTLINVAYFMVVP VQEIKESGEMIAALFFERLFGPHLGRVVLPLAIALSACGNVMVVVFAQSRLKQEIARQ GLLPFSDFLSSTRPFNSPLGGLLVHYIPSFLVIVLPPSKEVYSFILSVEGYPGQIISF AIGVGLILLRFKRPDLRRPYKAWTPAVLLVLCLHISLIAAPFFAPGGFPTYAIVGISI LLFGLLYCTSLPATLLDLTGYSATPTAVSISKIY
SAPIO_CDS4732	MAAAVAHIPTATPFGAAVPSAPEVGIANREPTLKPRDIHTELHF FKDPEDGSAPHPTYVNRPETYERPVEAHPVVIHDVRGREADYTLDRDGFEFVQRPAEE KDFVDDEHIKAVYYPEVEQLLKDVTGASKIFIFDHTIRRQSPSSQSRNPGLRGPVQRV HIDQSYSAALSRVPYHLPEEAEELLKGRVQIINVWRPIKTILKDPLTVAQADTVSDED LVPISLIYPTRHGETYSVRYNPANKWFYKSALSPDEVLLIKCFDSKKDGRARRVPHSA FVDPEAGDDVPTRESIEVRTLVFHPEDRD
SAPIO_CDS4733	MMYLTWDSEGQSTTFHTLRSLAHTKAIQMKLHRLDEDMATDVVQ AELKRRLWWHLAATDWLIASVPGPQEGIYSFHTKHMRVRYPANLDDEDIRPDGLYTEG LPDDQLTQMSYFLQRLKFAELCRDVMDSLPSGDASLPYDQVLKVSSRYTDFMDRLPWF FCADEKSTAQAAVLASRRPYLLRQKSTLLYGIYSRIGRLYRPFLLQGTDEKESVSVSD SLAIQCAERMIEIRRMVEPGDLCLHVHSHSMDQHTFSALLLLSMGILGEKDTELARKR KANLLPICSMLKEKQGSLSRAGNGISAAIDRLVEILERPNPARDWLGAHPDGPSIQDV ATLWEELIKDLPSPSGIPWDAFLDWQGP
SAPIO_CDS4734	MSPNNQLSAPRETENNCDSYLEGIWRLLDPEAGGMLDFQSLQNG LREIDHPMANADQQLQDLIRRIDTNGDGKIQYQEFEMVMQKARSELIALFHAIDLEHS GRLTRACLEAALRNESLEVPTHWLNGFFSDVDFNDYLLFFPTHGHSSPLHAIFHSYSA VVASQPSHNLHKTTAELQEALSPSKPQPVGPNAFNMTDFVPSPGYFTAGVIAGAMSRT ALAPLDRLKVYLIADTKAYRNGIVQVSKTAKTNPNIPIVNAVNNLWRLGGLRSFFLGN GLNIAKIAPESAIKASHGDVNKLTASSNFIVGGISGMVSYSFVYPIDTLKLCAIDMGT FELSKRAYRVYRAETIGANEEDVELGSGATGVIGAVSGSLGATIVYPLSLIRTRLQVQ GTLMHRPVYAGIWDVVNKTVRNEGVRGMYKGLLPNLAKVGPALSITRLAYEDGKQLFK LS
SAPIO_CDS4735	MTATRFSPNKAPTPPSIKNAAFGSIIQSRFVILPRLFYSTERRA SRRAELVASRLLTATITTAVVLAIAVLPLSLLYLPIGCYVLVETTSSYLRECQAAIEE KVAARLLRKREIPANIYTQLESDEFRLLILEPGIKNDEIRCQLRTCNYSAKIPYTALS YAWGDATKVEKVQCNGKEIGIASNLHQALLDLRDADRERVLWIDALCINQDDISERGH QVRNMKQIYAQARQVLVWLGPEDDAISKAFDILRELKPTFVEPKNLLTLGFKPSYFSA SDLDQRTIGFNFAMADESQLLRSGLDPLITLLERPWFRRLWVLQEVAHAKHVTLVSGR ATLHWKLLARPVRDLYHSGMALDSITDKAKIGVLSVIEMENARQPAMSRHKRRLLSVL LATHAAECSDIRDKIYATLNLADDYDPERDIDIFGPDYLASPKDAFTRFARWSVARGD LDILSCTTRADVPAVEDLDRLPSWVPDWTRIDNETPFVRYRDIIPFSAASALVGYRPR VTDDDKLILSGVVVDVVKAVGPLSTFTKAEAYEKLTANQKWLKECLDLGYRIYQGPFS NHTCRKAFWRTITAGLTGEGHQAPHDFGLWFHKYLKSSYGDVGRRHTAAVESTILMWA SNRRLAVTEGGRMALVPNSTKQGDIIGIIAGARVPHILRTVSTSGEHHIALGEATDNE EVGGATGVLSVFDQLLDSKTIKDRKLRLTTIAEGEERTRAIMRDEGFNTALGNLNLIF DHWDKETAASKRVGH
SAPIO_CDS4736	MATIATQPVEKLASTLASQGLDIEKTVSHQQDLGIKDDASSDRK QEGVKDIEAVTTIWDKSVLWMMFGLLYLVSFSDKLLVDVQGNLNPYVTSSFNEHGLTP TVNIVASVIGATCSLTIAKIIDVWGRVEGFVVLLAINLLGNILKAVCKNMYTYAAGHT LYWVGHIGLLYVIDIMLADMTTLRNRIIIYGLNGTPTIATTFAGPKIAELFYNEVNFR WAFGAFAIILVGFCIPVITVLLWHKRKAINSGLILKESSGRTTIQSIWYYVIQFDVGF VLILLPFNLASNIGKGWGEGRMIAMEVVGVVLLVAFALWEKYLAPVQMFPFKFLTDRT IIGACLLYFFMFVSIFCWDAYYISYLQVAHDQSISASGYILNSFALTSAFISPFIGLL IRYTGDFKWFSYGGVPFVALGTTLLIHFRKPETHVGLLVMCQVLNGIGTGIWAMCGEL GIMASVSHQEIAVAIAIFYLFGGIGASVGFAIAGGIWVNVLPNQLIKHLPDDAKDQWA DIYGSLVIQQEWPVGTPIRDGIIAAYADVQRKMVIAGSAFLPIMLICIFLFKNINVRK LEEEKGKQTKGNVF
SAPIO_CDS4737	MASPPLGSEILSPASGSNPAAEARPTSSDHVPDHIRRFEAARNA FLASLSPQDRSLYSPCASFDELSKTVGELHLIKERKIVGTRLFQVLGKIHDTLEPYFK VVGIFVSSRPEWAALAWGAIRLVLQLASNFVTFFDKFVSVFEELSFAFRQFEEVFRLC TGDAGLRQSQIPRILEAIYTDILEIFKGAIRVFTRGDGRIRRTPEVIGRLMWKPFHSR FQDQLGNLSSHRTNLFEEISIWHVQESNREMARNAAMQNDFRRYRDLNTEEMEKAREE RRLAQEERRLMALDRRQAADDRQQTQASLAEIRRALKQLESERMDRVYLDVLMWVSAP IFVDAIDLARNLRQQETATWILEELQYKKWLLDDLEAAVHGGGFGSSVLWIHGSGKTV LASSIIDNLESQQYMLPECDRKEIYYYFFEWKSTSNDSASSAYRSILAQVLSKHGGKG EVLDKFSFAMDDPRRNPGQPKAGKSILVDLLRVCLPRDSILILDGIDECVDCDDFLTS LVNIWKTCSPHILLLSRTNVAKLQRSIPEGNRLGIPKEKISRDIRLFSEHQLDLLFDE GILPTSAHAQKENMLERMVRGADGMFLWARLMINFLRSPYIDTSQRLRVLSEINTPEG LETMYRRIAKLITNSGKFAETLASKVLMRLIYAAVPISSRQLRQTLVVDEVLQPYRDP MCIKEFEDCTIMACAGLVERTVLSGGLPFLDKEPALQVIHLSVNETLMKHHSTSCIQW RTSTPHSQLILDPVLGSLDYATNCVKQLLFHTPPQPLSGTLGRSIRAAHLYDTFCFTD YAAVSWLLHVRSFVNSVNEHRLIRGSLSQDFLDCFSEFSSRLLIFLRNPKAVSVWLEA FYSSGYINVHHPPSDALCSLAAWAKDWAQKSIAEGAGLPIDTSLIEQIEGFKTEVDQV VKIWRDSLRDAPHIVWDEMTGFLSGNHYFWSSQSTKVSYQNAAVPLSCAPFQEPVASL SRTSDSGEMKATLNIWANQ
SAPIO_CDS4738	MTPSLQDAKICFIGGGNMAAAIIGGLLSKDISKQNIFVSEPWDV NREKMAAIGVRTTISNEEASGDADLVILAVKPQVTKVVCEELGAAWAKRESLPLVISI SAGITLASLAAWFTQNGRAPHIVRVMPNTPALVGEGASGVYAGEGVTEAERSLTSALL GSVSKATEWVDKEELLDVVTGLSGSGPAYFFAFVEHLIASATSLGLSEEQATRLAKQT CYGAGKMLVESSDEPAQLRKNVTSPNGTTYAALVSFENAGLKDIVDGAVKAATVRAEE LGKN
SAPIO_CDS4739	MEQLTLYLGHFLFHESFPDSEVNTPPSVGDWLNFFQIWVLLFGS TTTFAVAIWHPPSRAIEKSIVILAYIACAYGSFLPIIPYFWKPKSGTGQLGPDMFLAA HAHCVNWFVTAGIFPLSLLFEWFALRGHPVPGGLSIKGLAIQAVVFILMGISWTWRMT INDVTWVEWYEFVGYPAVDNFLFGVVQALLLAYVTWWRAPNAEGAAQEHGAPGETSPL LPN
SAPIO_CDS4740	MRPGGQDPLSLWGKMATLGLLIGSVSPHPFQLLARDDRDFWPIK QGAQYAAFGDSYAAGMGAGSTSWSPWPASSCRKGTGSFGRQLSLHTTSVVHVDHTFGD NYCSGDTTDGANKRINDWKDSGSATLATLSVGGNDLNFADLVEKCIVTPFNRKKYVQE CKDTRAALEKKLTDTGPGSFREKLGATYKALLAKATNPDFQLYVTGYPRFFNDYTEYC DKVTFSFWNPMHYDDTSKCKECIFLTRDFRNGLNTYTLQANALIQDVIKVVNTEIGGS KDRIYFVDTDAVFEGRRWCEEGVQEPDSKNPREWFFLSAWPDVVASDPSVPPPAYDNE AAFDAYKVDIVPDTDEYTGDLPNPETCETDDDGGDPIMFRWFCLAARYKDEVRGTEID ANIPTRWAKTFHPRSIGHTAIMHALIAKFKQVNGPAPAPPTQSAPKNQCSLYLNEYRT CQKDMEFKVTVDLRDAGGLRIDAASREDPNEAVISGGSPLKVPGLNGAELSIAADGKD SVNFNYGGLSWTSMDGDVQSSAFCQTNDWEGDVDVDCGNVIISKKKRQFLTEDKFAVA TRGMTCYFEC
SAPIO_CDS4741	MEREHKFFASSKLYKDRLRSWGFSKNLKQAHVRDIVQQTKLRHG RDTEVIVAGQKVALDRVQRSYDRLPAVEAKAPVADIKSSHCNDEVVVSGIPAT
SAPIO_CDS4742	MDSPITDDSLVVDFTLSFEDYVKQLLPASVDDTDADSSMKCEIT EYDSIPDSEGVQIVVPGGTHDGLGLLKHAKDDSAIARTTFWDLTGIKGLVQTEIRSPY MKAALKAVVPEYMHRNFDFNHIIYFGKPRHLFHYRNQLFTYGGSLGHQSTAQRHVSLL MEYLQQELADAITAYTFNVQFESQPSIDFAHLWTIFKPNDLVFVPAASSRNGADIVVR YESIASSCSCDRVEHRISHIWTLTGAYFDTNGVNLGNKTLVHDVTYFAGFRRLNHLAV IPLRFHPQESSIRTRLIARGRKFVALQGCHYRRIVSGVSPRGSASENPYEPPSRPRVM VDPEMYHEKKSFEPVGNPIPVTAALEEEHYLICSGHIGGYSLAEREWRLLEVERLEPV DFDKNSFKNSLILETKYKNALLSLIQMQSAKCKDRFRDVIRGKGDGVVFLLHGEPGVG KTMTAGLSQSNSQKLMTLSAYRLGSLSDILFKRALQSAARDHSSGLTRGV
SAPIO_CDS4743	MERVIIALIHFAILAGASDPAPITPSPSEDDAPSSVDISSGVGT WVAAGVAIVALVSIVGPWSVLRQSSRDWRRALAGVYDKDGTYIDYVVKFPGSKYRFWR IERIPDLAPLHDENSLSNPPLLAVREVSGLLDWEAPSYRRWDTGWLKLCALIDGLSIA GNDTTAENAIAGNATVENATEENAAAENVAAENTAAQATSKTATRRATGNDGNSDEEL IAAEGSHGTRVEAPESSNQSKKLPDLPAHNTVLGLLYYL
SAPIO_CDS4744	MLIDCDIWDIFKPGPNWESLPLVLVSEVLRYLPTLNDLRAVVTA SPNAKAAYDDDKRRVLLDIMTRQFGTEVMLSMYFHAKAAQFRASSRDVGGDNGVAEAF LTLAGYGVRNKKTVLRSCTLEDVIRMQQSYIHVVRLAATRIFAGFLRELPLDHRPTVI EERRILCALYRLDIWAMMFSEDYEDSRDDPRTRRMRPARILHLFFGLFHPWEAESVAC VHEYLASYYNKVFWRIKLAPLLAECEDNEGVIVRWASTDCEYTESRRWYPSEDYIACN LWKQKMIRMGLRRFTALLSGDLKPLNVLDFADAGAPLDETFGKQTFRKIRFPDIRDRW EKTEQAMEFKGDAEDLPPLGWVALWDQRWSMSWGPATPDFVRAKGYTFWDATTLVDSG LLPYLQNMRVFRIPDVEQTW
SAPIO_CDS4745	MEHAPPNSETHQDPNRHYEYSTLPEALPEELQPGGFIQRVPLEN EAESKIAYNDAGLIKVDDEEKPKDTPIQESLNATPPVQPWWRRRLTWIIIAGVAVVVV GLVVGLTVGLLTKKRRDQPKPVDTSFGTPTNFTSSSTSRASSCRGVVCPSMLAVAQVT ATNDLGFFIFSRGSDRAIWYRRGNQTAWDADWASLGGEFDSQPSAVAMGDDQIYVFAA WSDGSVRSKTYRNGQWTRDWESLQGNKTINAPSVCSWGPNNIEVFATDEENLLIHRRF NGVKWEPAVDNPWQKWGGYTSAAPAAVCPGSGRVDVVSYGGYSDALHDVGWMHYKDGK WILWEGNSRPNADVGYLGDPTLVIVEDETIAVLGVGSDKQLYYTEWSPTANYSVTEDL GGSFESMASVLTSSSGNLDVLAVGREDRLMHKARVDGAWAKDWEDLGGYFNSAPLPMR LGDGRIIVFGLGPDGNMIHGKWSPTHSFAWGEGEWFNDSGSLATGWLRAGPA
SAPIO_CDS4746	MTAMTVRLHPVSPLTSEEIRTTSTLIRSHHTHDARYIFKTITPP EPEKQQVMSYLDAESRGLTLPPIDRGAFVNYYIGSTGSLFEAVVNLTQSSVERHEALG ARMHGSVDFEEAEEVEKIVLNDPSVHREITRRKGLMVSTMNPASSSATCFSAREGPVL YNVQYDNRSLFYRVSLSEMSIPYADPRHPYHKKTAFDLGDLGAGVTANNLQLGSRELV LQTIMTVSNYEYILAFILTQAGDLTYEVRATGILSTQYIHPDANANRGTVVHPRVLAA HHQHIFSLRIDPMIDGFNNTVMYGEAVAIPRSEANPHGTGYYETQQVVQVSGGFDLDI SKNRVFKIVNNTVRNAINKEPVGYKIMVPDFQKLLADTESFHYRRVEFADHNIYVTKY RPQELYAGGQYTNQSGGGHGVRSWAARSENVENEDIVVWVQFGINHIPRIEDFPVMPC EKIQ
SAPIO_CDS4747	MGQRHQLWIIGRVGGRYRTLAVVHHQWAYGTLPIKAAWRLMHIL SHPENKRLARHELTHAATYDDAWWADRREKAMKGKPLESAIPFPFLLVCLVLASSYEP RNSDTVRPYASRVHPLDIGTDWSKTDNNDGFTVVDITDIDSPKYCFLHPWLSYREDDD DRTTGRMPMTDEEYLDYYAESRALFPPSMAPWKLIDAASLLDLWPDGEWSARRDSPVD GGSQTSDTVPTNESISASGSGSGVGKSKPSLSELALKVAVETALEREDVLEALTSVEI PNLQSRLLRYVRDNAGLIDGRPNGTQLVAHALKDTDTKTLDLSYWPSLTDEVIVDIVK NVKTNSIVEVDISNNPHVTSASIKSLVELCPNLRSIIALNTPSLPLKDLKASIDKYNT YCIQHSSSLRAPFANGEVFPRIKASSLENMLADVKRSNNTVTQVFHYLSWAGHFILQG FPESSVADPSGMAWRVAAKAIGEADDGLEFRSYSQPVLRNIPVHDVDLGVRNAIEWFP QILQAAVHQKKSTMMNAQDVPYQWAMALSVGIKDPWRIYPVPANAYMCSEIGTGDEVI PRCQRVQAGSWTLILFTKVVRSNHDLEVTEAHYAFVTRGGDGNLIVKDLEGFRDMTLD GKEKISGLDDLISQSKLGRGAAAAEAAATDKGKEVENDGLICVDWKRVKVLEEVEAKA IVEDFMPVYEEAREKSSKGRLSWSHDELREALMS
SAPIO_CDS4748	MDQEQSSEMDVPNLGPLLNRTIWLLASIAALFLGLRIYSKVSRG RSLWWDDHFLVASFAALIVSTSLQSVCVALDLGKPNYAVNLATLNKMNMYSYMAGFFS LLALIWSKLSFALTLLCISSGWTKRFVWFIIITTNLVLGANATAQWVQCWPVERLWDS TVKGSCWPRETVEQVGTIGTVYSGVVDIVLAMLPWKIIWNVSINKKEKLGALFAMSMG VFAGITSFLKLTSIYAISDPNIEGAITIIAASIPMLRPLFQSKSAQPPLRGFAHNNYR HTKFDYDDDSKKKGADVEVVISISR
SAPIO_CDS4749	MPSDELKVLHVAQYDDFYCTASYVYKGREFCILLCDDPPRPGYD EQNDIVTDYLEKIDDVSAHDGTNEEDNIRQDEYLRQAAEEIGEIVLPLLRELAPSLPV VEVPPTEADHSLYGTLEELLYPSIPECVKLQLVALNGRLTLADDHYGADIPTKGKPMT REEMEDIGPELEDSKHTVAELHKNDIIWGDAKPENVLIDEDDNAWVIDFGGGFTSPWV EYENRQSREGDLLALARIREKLLSSP
SAPIO_CDS4750	MRWINLFFAPSFITLPLSTPISGVEVAKIIAVFVLGWVITVIFT AFFTRGLQALFGSRKKSHQQSPEEDDTSTELVGVVPASVSEATLDPPIVPTRPATVFG GSTAPIPRQRDLGQESQLTQDSIPDPDPRDPASAQTGYMEPEVVPALSPRVASWAAHI SGHLNTLIYAPILLAGIPVYYATGYAMPLHLSCCVLTYFASLYIPARHRTYLHPLLVS STASVLLIWAFAAIRGDSLHTALRQFKTGVNFYSLLSQPDKRRAPGAGDIFGAALDVG IVALALPMHRYRRELREHFFVILLPTLALSVASIFAYPVASFAIGIGAQRSLALASRS LTLALALPATQNLGGDINTVAAVAVMSGILGALFGRQDFITRGITLGANSGAIITALL LQSDPRAAAMSSLAMGLFGTITVSLTSIPPLANTIRSLVL
SAPIO_CDS4751	MSESDCSRDCMTIIVSKILKSMVAHDPDTLPLAPVYQATENSHP AALGMMTLWRTVTKAGKPSLLAIDTTTGSAYFALDINEGSGKKQSVLWARIKVVDKQI TELELWWMSPPADRQKAIRVQLEGLGEAAFDPSSNFSVGLASDCQFTEQGWSVIDPGP DGNGSTTPLGCNWPQDRPADTKARANLVIDEETGIVVSGALIPGMVYPYGKISAFIPN DMKAAQEAQDEWLAKKKAEGGMSLLAPIAATGETLQVLQYYNGKLQGQQLNVYLSGPG MGSAWTQ
SAPIO_CDS4752	MSSNGGANDTRSRAVPEPLQVVIVGAGIAGLSAAIFLREQGHKI TVLERSRFANEVGAALHIAPNSHGLLKRIGIYAHHINGTLMRSVTEYDMSNTLKRDVD LTENNKLWQHEWSLIHRVHLHEELKRRATSTEYHGYPVELRTACPVIEVDPASATATL EGGELVRGDVLLGADGVKSVTRSKLVGGDIKPKPSGKNAFRFLVPRQTALDDPVTRDF ATRDGQLIIWQLNFVCIHPREESQSAEIAEGEWNLSVRKDRLLEVYKDFHPSIVALLN KADADSLKVWELLDMDALPTWVEDRLALLGDAAHPFLPRKLTTQADQGQGGACAIEDA ASLGVVLQRGVRYENIPERLRLYQDIRMERAHQVQEYSRIAGLDLGKRKLDMMGYTNY NFGHDEWDYSINAFRSGREELGMPKLYCSLDLWRRETSYRIQAGWQGATFGTFLLDGL KEVDPSEDKGTIGGEDDQGIIAYKYIPRVGDRGAPDVEHATIPKDIRPISRFITTHDV EAKTTFSDTLSEEAPFQTLPDGVDFALCYATNKFPVSFSADSDISTYQHYTQNLPGIT IAIGTVLRVVDMPPGALSPMHRTVSFDYYGVVLDGGQLC
SAPIO_CDS4753	MEVDNVPPPIGVVDESRFEPSLALHGLLDFTIDGEFGFEDVAAG LSNLPTSPSHYFSTIQPLNAGPGVNSGEAFYQQKHIALGIEAYKQSSLGVWEPSKHDH GGSGIESLSALGPSPPESLSLPQPGLDQSHLDQKMIRRGGRFYGPPNHHVPPLPEDVG AVLHEKWLGWIHQESFNRLVYRAFISDSQASIALSTNPLISFAELKAPMPTSAYLWHA RSAEEWKSLYLEIPQRSTPLSLVEYLSDPVELQGCYDVHFCQLIILCGVWGMFWHYHQ LRVALGKCRDTNAGLSLHHQKILQILEQFRINAPEEEELPARAEITLLFELLYMHLHM PFQEVELFAGKRTLDDARRALPQLRAWLDNEDSRQAVWHAGQVLRAAETFRPMHLRGF FAIAVYQAALTIWVYSIAPQIKGTDPSLFGNPDNLASLSICLNGPDLPAIQRFINRGK PGLCVIQPRGGGSYPDMTPAIPLSDHEAVVNVVQEILSDNFRGCEAPPPLVENLTLLL HNLGRAATNIKT
SAPIO_CDS4754	MATGLQTDPGFNGDADNLPSWGLGETVTFDETLHPARWRTYVVD ELVQPFVTGVVQEQSHYEKFLSTRRRSEAGKSTLARYPKLITFHGDIRPDVLTPAPGS VPSASNKPPVMNFTSRSKQHFVEGVVDGTLVDACPDSGADRCFMSPRLASDLGLYPAD GTQKRIVLANKKHVESPGMVKVPWSAYARKIGLAIDRDFENWLEVEFADGTTAWTSGV VRDASWEVGGKTVRCDFHVLEELSVDAIVSNNYLFDLNIFSEYGGCFFDIDSEEDLFE LCNIRLIGRYGDGLNVLEQEYLEDVTSPNAFDPEMVQRELARRDQIRDEILALPDNER EVATQAEAERQRRWQDLRQAHWTSGGAGRWGWSPRGYQLDDIVEEKDPHYIHSFEIYE EEPEG
SAPIO_CDS4755	MSDTLEIVPFADAARVTAVDSHVYSAVLVEGYCIGSVPNGGYVA SCILRTASEYLAARGQPDVISAHFEYINRTEVGPAILVIEDVKLGRALSTIQITLYQN DLQTSAPWITSQSRKEVIGFLTNTKLSLEKGLSLPTGWTLDPPTPPVDLVKMARGEDP NWALRPQSPSPRANSYARAQTNIEIFSPRRGQPRRGVEESWIRLVSGEGFTNASLGFV ADCFPYVVEAWRPKKDEEPIPFPHNELFWYPTVTLNLDVKKELKDGENEWVYVRTSAK SIQNGRFDLDVAIMDMHGDIVAISTHLCLILSAARNLGARSGANKGKI
SAPIO_CDS4756	MDSSKISNDDSDIEEGSNAYHIGGFHPVYVGDIFNSRYRVLNKI GYGAYSTVWLVQDAQREKGHEYEYVALKVLSAECYFTDHPIFEREILRHLRDGNTSPL GHSYICHLLDDFEHQGPNGRHVCLVFPLMGETLRSFGAWFKDSLVPYTVMRRFAIQII LALDYAHDQGVIHTDIQPSNIFVKFRDFTKVGRYLEHRKPPEQDREEPRYKPIPSQSL RNYYFSKEDRTKVDEFDVVLGDWGVASWKTKHLTENIQPVALRAPEVLIKASWDTQTD WWNLGAVLLEVYRAVRMFNGQAVGPDEKARYELREHLAEIVDFFGPFPRSLLDKGDPQ IVQDTFCEDGTVRGYPSQLDRPRLSSEEFMLGLKEVDREEFASFLRLMMRINPNERPD VVALLKHPWLDAWAGA
SAPIO_CDS4757	MSVSPAEKDSEKASSLAETPGTPPELQTESQEVIPYEQDPRNPW NWSMASRIFHTAVPCCLSFLMTFSTSVTVPAAGLIMEDFQVSRTQSLLPITIYTLGLG FGPLLVAPFSEVWGRKWVYLITTTFLLAFTGGSAAANNFSALLACRFLAGLLGSAGIA IGAGTVVDVWQLHKGGAQASILFILGPFLGPTLGPLAGAYVLKDHGYDWRWTQYLLLI VGAPVWLGCLVMKETSQKWILRNDEGSKITLAGSQIASLIVGALIKPTKMLFTEVVVS SLAIYSAFAYAMIFSYFASASYVLPKFYGFDPRQTGLTFISIIIGYLLGGIMFVGFDV TLHGRARKRSPDGRTGPEHRLYAGMAGSIFIPVGLFWYAWAAKQNGNWAVLVAAGIPF GLGTFGLFLSVITYMVDFYGRESAASALAANGILRYTLGSVFPLFTFQIFEAEGWSVF SALSVAAAAIQFLDFSVRCLAGITAAYRSIDSGGSLPDYSDILGTAEMLHSSSTRLRE SLSQDMLRRPPTKSEQQVIAVSTQCVDIANDLIRALDHFAPSDLTAASVVNKIYASFK ASLNARTVQTLQQKIRDVREELVYVVLLDLR
SAPIO_CDS4759	MPKPFSKIRSAAIDGRLHNPIYQKIQLKSLHDTLSQSLDELQRI IARDTGHSPAEVKLECWLALRCISEAYTAIDPAKALEDEYAIASRRDASDVREPVGIV VIEPTTHTFFYSLISALVPALAAGNCVLIQVEQSMLETPTFVLKLIQDALDDDILYIS RIKVNTIDLAHRHLKVLQNGSPETTPLANEIVSRSNALVAAIVERDADIQEAAKALVM MRFSLRGKSPYAPDVVLVNEWVKKEFLMAVTQHSIRFMSDAGESGTNSRKFEGQGLLD EIVKEGFANVISAGHEGIILDIGNRCFTVLAVTSMDDAIDVSKGLGRLSAAYIFTSPT AAKYICQFVDSDLSFVNHVPTNLLFGPVAPENKPLDYSQGPRYPQVLFTVPKPQYITK PALISRIEALLTGAASPQLHKLDAEATMALPEMKRAERANGIGFFQQGVVTGGIVFLT AIVSATGGLCYCLVNKRRRELGPRYVSNIPALDTDASGESTYAKIIEAANPSHEYIKD GNIMVTIENDAVLSDFVHFYKANAQPKHIRAEDDRVVYLSQNDFGPSRDLRNGFLP
SAPIO_CDS4760	MSSTTETITQTRTARTILQDYQLHHSGAAEPSSSNSNGAGPARM SNPPDWPTNARRIPPYRPVDQNRDHSQIRVYTSGIERAFIITMFTGVFINATAAKQWR RTGGRFTKRIFRYPIGGEF
SAPIO_CDS4762	MTSPEYAHHFSRDNIPFGIASSPTHEAPQAATRLQNTVIFLNDL AEAGTFSIVHDLPKGIFAKETLNEFASLPKSVQSAVRNIIRDAFGKGGIDAFPAGCCE DIRRVTMHMPVNVGDFIDFSCSLEHVKNAGRIIINDERPPPAFFHLPIGYQGRAGSVF VSGTDIERPVGQYRDTTSDGHIVLRPSLAVDYEMELAAIIGRPLPRNQRLLATDADKH IFGFVILNDWSARDIQGLEMMPLGPLNGKNFGTSISPWIVTLDALESFKAAAPPRVQP LVDYLDDPENATYSIAMQVEVLSENSATVTGKSNVQTMYWTPRHMVAHAASAGAPLRS GDIMATGTVSGPEKGSHGCLLETTEGGKSPIILENGSSRRYLQDGDIARMTAVAGESS SGVGFGECVGRLLPAQGWYD
SAPIO_CDS4763	MDCSVDSEYSLRPSAQTQSKGLDGSVRLDIDLKESLKIWEFPWV LSYRVGLHNELKRVATSKEGKGTPAVLKTSSQVVDVDVESATITLRDGTRVSGDMVLG ADGVSSITRKAIVGESHKPFDSGKSAFRFLIPHEKIRENADTKKFTEREGYMTIWYGD DRRLVMYPCNDNTTMNLVGIHPTELSASEGEGWSRVGNKETLLETYKDFGPTVASLLQ LTDPAELKVWTLLDMDRIPRWTKGRLALLGDAAHPFLPHQGQGGGVAIEDATSIVALF PLGTTRDEIPERLSLYEKIRDERAHKIQEFTRVAGADLNDATRKSFNIMEYSKYNYGF SEWHNSTRALKEHLWARNGPTYWRQPISFGPMTSPRQDHYGRLIPSDKSRYVTHSLRF KTSATYLQTLFPTKSFSFVSPGTVVEASFQCTQLDKMGWLGGGGYHYAGLWIHGVQYE KKDGTKIVGSFLPVLFESLTDPITTGREELGMPKLYCDINVTGTESSSRVACGWRGAS FLTMKLDDLADMPLENGTHSANCKTSDQPTAAPSQAPKPVEQGLFVYRYIPAVGKRGV ADAEYPVFIDYKKATATRVADKTVWSRKGSIEADGRDWESLPTLHHIAAGLAEVPVYE VIEAKIEEGHGVEDLSQAERIE
SAPIO_CDS4764	MPNEIKDIRTVDETSFPYIFEQNVTVPLKVADGLIRCNVYRPKG SESVPVLVTYGPYGKDIHYKDFHAKSYSEVNPSHKSDHSAWETPDPGFWTSHGYAIVR ADERGLGQSPGVLDTMSRGTSEAFFDVVEWAASQPWSSGKVGLLGISYYAGSQWRVAA RKPKGLAAIIPWEGMSDYYRDRCRHGGILSNGFIKFWWNRQVITNQYGRPGRNARNWG PDTIEGDLPEEELAANRNDQTTDTAANRFRDEPYYASKEYDMGDIEVPLLSVGNWGGI LLHLRGNVEGYTHAGSKFKYLRMITGRHDLPFYYDEEVEVQRSFLDAFLKGEDRVGWS VPGKVPPVDLVLRKGNVGFNDAEAEKAYSRRQENEWPIARTQYTKFFLTASQGLMLDE AAAQERKKLSYRALGTMEKPELIQFATEPFGTETEITGHIVAHLNVSVSPDPSGPTPS DIDLFVTLRHIGPDGNEVFYTGTAGDPVPLTKGWLRVSMRKVNNEHPKHREWLPHRDY TSKDVLPVIQGEVYAVDIEVWPTNVIVEKGGKLIFEVASGDTQGSGIFLHDDPNDRST EKFQGTNHIHFGPQFVNYVTLPVIPPKE
SAPIO_CDS4765	MSITPVYFFSHGSTMMLGEESESADYWKKAGDEALAHKIKGVIM MGAHWDALGDRIEVATNPKPEKSPVAYVHPAKYVDYELNPDLKTAERCISILSQAGFS ASGNSSFDWIHDTYLILIRMFPDWCPPTTLISMNNRFDPHYHMKVGAALRPLRKEGYL LIGSGGAVHNLYHNHWIPMLRYSDNFAQERPPEPWALEFRQAVEDVIKNNSGPNLRRA MTRLMKHPLYRDAHATDDHFMSAMFVAGLMGDEEDEGVFGELKAETWELRNMCNKGSG TMDEDQHLAKAQAELDEAILLLNKKVAELASGKKNLSTLNDPLPVFPKLDSSSAEGEP ASDLIDRFVNFNLKDLVEDKSSQSWRSKISGMVYSLLPLSAVALGFVGKTMEGSTLSL PVSGIAKGACCVVTLVLKERDRRTQFLNEVDRISYQARRVHEIQRQPDTVLLPLLREK AMHMLTAIALFLVGTIDFLGRNILKQVTKSVFRGTDAWSESLTCLQRAYEEYDQALLL QVASTVLTHHAHAQQQADLAVAEDAAFRQWLQPSFAENEARMMANLGQRAEGTLRWVL DLPELRTWRMGDASSEAKTLWLTGLPGVGKSCIAAYLCELLPQQYPDDIHLSFFCKRG TPELTTAFALLRTLCYQLTRENAFYRKFLQKMPSLPSTTGKEGILFLVDTLLRRPLAA GLGDSAIFIVLDGLDELENTAASKGPSYDGKTDVEVLLGQLITLPRVKILATSRSLAE LHGTLSDSGDVRQIGPGDNAMDIENYVAHRVEKSEKLRTGFSDLKIDPVEFFSGKANG IFLWVAIVLDVLERAPSSNSFKKGLDEIHPTMNSIYDDIFAGAEQRQTLSLMMEILNW TLILPSPFTARQMAVAVEISLGDRVLKMEEFLRTECGALLNLIPRLGADAVSGETLEI HIGHETFQTWLAERLGEDKRRMAHARAAATCLKCLLDKKPDPSLKPYALEKWKWHLRH SLGAGEPQFADPMAGLETGKSVPAPEAIDLFHHLYLFLSRPEAEAWIEERMKKVHFDH VLFWDIHMTQLEVMAWCGTNSASLSDDAVDGLQADDGIKEQLKIWRDSLEDPRIIAQM LWPRVCHAWLWNTSLDWWTVLYMAEVLTKLFHYAYGEGILEETPEGRSLLDEETKIAQ NNKGSAVKSGGQLLGSLTMHLANTMARSNTRKYTSGPITEECLEAVKKAGRYNELSGV CAANLAIAYFQQQRNADDKDALEKSLEFGQEAIDDDPDDAPRNYYHLAQVYKTMSYNA HFEHEKERLEEQMLESLRDAVARDPDHKTDARCELYQAEVYGLLRDPPDVDGAIARLE FAIVDNPEEACSRWFHQLFNLHKKRGDLEAARETYRRQIAFDPPSWHTRWKDIADTWI DDKQYHKTRTFDYRNWCDALLEAIEQDPSYAHSYWSDWASKAESLVGYNHFGFAAEIL AYGVEKSAERTDPQGRRACAKFLLELGEVQGQMFQWEESIARLEEAWAREACMDVWER RSTLQYLAWAYMGAQRWDDVTAAGEKRLALAPHENWGDNTRYNGRWWKGEAALLGGKP AAAVKELKNAIAMLEESLAADQKGSTKSSAAAPPIKPILNEDIGLLFIDLGHAYERMG REKQAVAMFKRAIPHVEYEMEQRVQFRTLHNTMWRREGRWRMLLGWLLERIHGRGEDA RVLELYEFAVTIFEATCYVEDDFIEIADLRDAREAVQRVRAGVAWEAPSEEEFQKRRL MWKIAFKRSDWANGHFRDEVQKTRRRGSG
SAPIO_CDS4766	MPTQLSQVEDMPSVQQHVQIHHTGAEITDMASINAPTLHDTVMV DAIPGFFPDWNFLPGQWTPMGPSDLDLAPNIDLDDMDLRFLDAYNLKVPFEFGSDSRN GSMETFPVENTSDPCRTAALCTEAFRNSHWRFRPNAHDHGGAEEHNLSLPQNSADHAS PESRIRLEKRATCANLGVPARDKILTMVIGSCRPQNLSRAVAGFPSVELLDALLQYSL TAPVARLDSFIHAATFDPNKKRPELVAAMAAAGAVMTADPALTKLGYAIQECIRVAVP KHWERDNSLIRDLELVQAFFISLEIGLWSGHGRKVEIAESFLQPVLTMVRRGGKFRRS AYPKIILQPDEEPESVMQTWHSWVNQESFKRVALRMKQHDSDTSLALLVNPLISYAEV QLPLPSPSRLWSAPTADHWKAEYLAQEDSWPIAVTDLLDNPDGLHTLAANIDVTFGSL AFLSCTWNLAWEYIQLSSLQKTPHHRWNPLIMASRHEELLKLLSHFQICMDVASPYAP ELTMRLEIIFLHLQVPFEDIQLFAGMDGPEQARIVYPTLVEWVGSESARKAVWHAGQV VRSARLLPRTAVQRATAIMVYHACMTLWVYGLLSGTQDVPDRNDGSDVSVRVPQAVCL DEVDSLAIQRFVQFGRGHPCVRGFPDLQGDGNTHGDVVYLCHPDRVLEVMMEILRASH GGLPKPRLIEQLIGLMGGLQKATRKGMDG
SAPIO_CDS4767	MATSEQPSQPQPTLLELAAKVTELSQTLTNYLKENDIPTPTFAA DSPTSYSNLSPEMFMTRQILTDTLMDMWYLTQGPSESIFNYVHSVMPDAAALNVLNYF DFWSAVPLDGTASYADFAAHTHLPEEVVYRVLQHAVTLRLFEETEPGKAASRLRHTSR SAALLRNPGLKALVSTILDDAGAPMMVLNEALARYSKNKPELTQEVTESAFALFHKSG PYGSYTTSWELLENDGEGERKGWRQRNFVEFMRYVKEIFRLEDTVLDCYDWAGAGNAM VVDVGGSAGHDAIVLAKKFPNLSFTVEDLPEVKPVFEATVPAELKDRVSFIEHDFFNV QPVQGDIYMFKMIFHDWPDHEVARILRALIPSLKPGARVILLEYIGNQSDNEDRPPLP RSIQNMGTATDLRLMALFNGKERPVSAWENVIRQADARFEVSAVKGEAHSFFAVIEAV WRGDGEVAQVAEADAQKSPVEAAPASSVSEAAPVEAAAIAVEETIPIDAAPVDTTPAE ATVTISGTETPAPAEPTVAEDPVACIPEPAVVEAAPQHPKHAETVAEEQKTREATAPV DAPLDIPAPTVEATPENAEGRKDSVGSESASDASVRTPEQEIAEPGTVEAVKVGDTTD EQKNTDSLEVEVSKTPDIPDQVVIQQVNGQ
SAPIO_CDS4768	MTGLETLAAVSSIFQVISFGRETISLCKKIYRTGSGVDSELAEN AAFLGHLSSQIYQLEDTTKATSRTKDDQSLDDILKKCQTSSRDLQEEVGFITGHAKNG SLASTLKVAAKTTWRKRRLDRVERQLGDIQRLVEAGLLVRICSNRVDLGQQQLDALDA DLRSFLLKYQAGERTVTGLISTESLRTRHHISSEVSRLEGSLNLHTAETRNSAKDVKK HVSQTASATMETFTEVLHNLHLDDKRQENRERFLKSLKFPGMNERRQQIPESFPRTFR WVFGDEALGDGALGEDVKIDDDWESGTDWGSDVSVYSDIDEESDDSGGKSSSGSAFKL CTVSENRSAFL
SAPIO_CDS4769	MVSSSSRHDPIRIEPDCDLIEADDFFSDAASSLMSSSASLSSSI MRYRIENGRTYHAYKDGMYLGPNDDPEQERLELQHTLCILTFDCLYLCPAGKDLKYPI DRVLDLGTGTGCWALELAAEFPEAHITGVDLSPIQPPYVPPNVDFHVDDVEDPWTYSA KFNFIYARFLTACIGDWPRLFKQCYDNLEPGGWFEVVDVLPPTSDDGSMAEDTALGKW SRLLLEGTTTFGRPLDAALKYKEQMEEAGFKDVVELKYQWPQNRWPEEPKLKDLGQWA YENINNGLEAISNAIFTRFLGWSKEELDEFLVDLREDLKNEEIHAYWPVQVLPAFPLV CKESC
SAPIO_CDS4770	MARSPTRSPPNIPFDDISLSSLARTGSSHIIHRASIPPPTGRDI EFQHDVRDPLNLSSRLKDDAEIKANSSRRRKPLWSNQDEVQKFYRLQNESIRSMLKSV DEHEQEVKDEHGKNNFMYSICVKGSLLANIILSGLQLYAAISSGSLSLFTTMADSVFD PLSGLMLYMSHRAVDKVDERKYPSGRARISTAGNIVFSFIMFSVSLVLIVMSARDLAS GSDSETKNFHLPSVIAVAIAFGTKLFLFFLCWTVKDIYSQVDILWRDHRNDLSINGFG ILTSVGGSKLKWWIDPMGAILLSVLIGGLWLHTAWDEFQLLIGVTADKETLQLITYIS MTHSPLVERIDTVRAYHSGPRLVIEVDIVIDRNERLEVVHDVAEDLQIKLERLPCVER AYVHIDYETSHKPEHDLKKLL
SAPIO_CDS4773	MRQNLLSLPRRAGLSGRVPLGAFIRTASTKAESGATGATSSTNG SNGDGNGNGNTLDSRWFSNFRHRVNKLDNAKLPADLAEKRSDLVRYVQDHWVDLLAGR EGYITKKEWRGLDSHQVAWGEMVRQHGHINNTMYNRYAETSRVNWITHHGREVSLEEK MKWENMVTPRAVGLIMRSIMTQYKFPLTFPDRVIVLHRLSEKPTSASDHIKLEALILS ETYQRVAAKCSEDNVIYDYRSGKRTQLDADMAKTFGHTYDMQEETRAFYDAEIARLFK VCEELEGNIQS
SAPIO_CDS4774	MMAPRSRAAVLLMTGAIVVQPVLATVHHLVSANRYAPPKIHSIE FDDEANELTLVKTTEGNNSHIWISFSHDKKTIYGSSMDNGRLASYDAATLELTSTVQT SGSCQGQNSAFNIATKKEPYYVISGSYGSRNGCGMSVATAEDGSFDGVKQSWLYEEVS NAHGLALQEVNGTELLYTADMGLNAIWVHSIDESGNAVVLQRVDLEEPGLKPRHMVIH PKGTYGYVSLEAANSLLALKLETSGLAAEEQDLERFPVLPEGGNNAQYWSAGVNISPN GRYLWFTTRGRGNNSGYISCFLLDEDGSVLKKMFTLETGASGTVSSSVTAAPWSDEYA VLTDAPGAYVEIWKLDEPVESDGGVLEYSTAKVVARLDIEVEGCCGNALWVD
SAPIO_CDS4775	MHGILAIAAQHKAHLLPLHRETYVTLAAYHQAHGLEGFMPHLNN VSEENWESLFCFGSLVIMYASLLPARSESGRLPAPISDILELFSYVKGIQAVFEPFFD YLRRSLLAPLIHGVWIADPGKWTRTPTPTSERITQTLYGTSSTSQGSSPTRAFT
SAPIO_CDS4776	MKMECNPYYEDAQWSLYRYVPSIAAPIAFCVLFALTSILHAVQI LKTRTWYLCALLIGGFCETIGYATRAVNAREDPGCWTLNPYIIQNLLILVAPAFIAAS IYMILGRIILLTEGEGHALIKRKWLTKIFVAGDVVSLLMQGSGGGMMAAGNETNNMVS LGENVITVGLFVQLVIFGFFVIVAGLFQYKMQRRPTTRSQEPAIRWRKYLLTLYVTSV IIWIRCLFRVIEYLQGNDGYLMRHEAFVFIFDGVLMFIVLAWMNWFHPAEIGLLLRGK VPGKNGLELIYVSPRTKVDAESRGSWSGRDTCGDGDSFQAKQGA
SAPIO_CDS4777	MDLREKQNGGRRWRKGRLRFDRDYGYESWCQVWDAVPESSPASK ITDKEDASFWCDSEPKLPFDAAMAKQTFSEETGIELGRLLLAHKGIELEDKLLVDLNL YVEQGLHMALRPEEPKVLDIGVGSTIVQHIVPGSTEPRQWDFANPKIIHIQILNAAAF KAVTGVDPPPTPLQTRMEMERMQQQWLSFGWVKAMAGLVPRML
SAPIO_CDS4779	MKEHEKYGEFVRIAPNHISINDPVAVTQIYGHKTGFTKSQFYDA FLQVRPVIFNARDVATHVRKRKFLNPAFAARSLADFEPHMNAELLSWKRRLQRIHDRE QGHLDMVVWTNFLAFDVIGSFAFGRPFGFIEKGNDPYNLIHTIDVRGEVLNALGTLPT WMKPLAKYNFFDSFWSSGLRATASIEKIGREAYLRRKESKEDRRDILSYLLAATDPKN KEPIEEDEVIAEYISFIVGGSDTTSSTMTNFIDIVSRDRQLQARIQQELDETWPGEQE DDWVPQERQVVNLPFLVAVLREVMRFRPTSATGLERITPKGGKMIAGKFIPENTIVSV PTCGVMMNPDIFISPKEFKPERWLGPDAQKLLENFLPFSTGPRACIGRNFAWAEVLKA MALLFKLYDVERSHGKPTVLREGFFNKAAECEVVLRRRL
SAPIO_CDS4782	MWILSLIVISLRIWCRRTAKQLGVDDALILAAMAVNTGWFYTLI MYAKTKYAGIHYWDAPELTYDQQATSKLYEWLIILLYHPVLGFVKASVLCFDQKLIGI KKPIRYTVYALQAINAGSMISIFCVTLFQCNPISLNWTPNYKRACINNIAFNYAYNSI VIITDVAVLAVPFWAFMGLQMPIRLKIALLGVFALGIIVTVISCVRLILLVNWFNQFY KGLGSKDIYYSVGWTISPIESNLAIVAASMPALWPMFRRMFPNFFSGLNSSSYPANSA APRSNKRATGFRSQLSRPTGATRITDDDDTFVMKTMSANVHADGRASTPTGSQDGIID CKNGIMRTTNVEVEYELQARSDKEEYDKKKVAYAV
SAPIO_CDS4783	MRLLKAFSIGACVLGVVEALPRRVSDFSKRQVTQLRDEYDFVII GGGTAGLTVADRVSAAFPHRTVLVIEYGKIEQSVGYFDPPEDGKGVSRLVISSPPVES VNNRSAVVILGMTVGGSSAANGQFFDRGSKYDYDEWARLGSPEFRGGEKWDWENLYPS FQKSSFLTEPSPELAAEFGYTWDSASYGGDSIQASFPPFQWPIQGISWKAWEEFGLET PKDCANGNKHGLCWVPTAQNSETVERSHAGVGHYTNIAASRPNLDLLVEHKVTRLVVD KTQKVPAVEFRPVAGGDVKTIRPKYEVILSAGAIHTPQILQRSGVASAKYLKSVGIKL VEDLPGVGQNFQDHCGPPITFSFDAPHPSEADITNNATFAAEAVAQFRERPARGPYTL GMGNSAAYVSLPKITSEYKKIVAKIRKQISDRSALQYLPAGASETVQKGYLAQLEVIA QALENPEHPILEAPFASSPGTAFLLKPLSRGTVLLNPEDHDATPIVNYRTAANPIDID IMVTYFDYFRRLYATDTWQSLNAVEVAPGANVTDPSDIAEYIKNNIIQSLMHPCCTAA MLPREKGGVVDSKLTVYGIPGLRVADCSIIPTLPAAHTTTTAYAIGEKAADIIIKRWQ KDCKP
SAPIO_CDS4785	MAESSSDKLDTSRITEWRSIVTLVLFVLTNVNVLFPFHIPLYVP RWFANGILDFLSALRIISPRRNQRADDDDDDHGNGKVKPFVRLNFPMNFVTAPLIADL FLLAISAIGRDEVRGGTIGADHISPIDIMIFFISLAYIAISIDASGLIRWLAYKVLLW GGKSGHRLFFYLYSFFALLGSFIGNDPIILSGTPFLAYMTRVSSNIEHPRAWIFTQFA IANIVSAILVSSNPTNLVLAGAFQIRFVDYTVNMIVPVVITVVALFPCLLYVIFRKEK LIPKSITMHELPEEMRAEPPKNPCIPYARGAVEEEEDIHANDSKGKLLELAEIMHPYL DKKGAAFGGLVMAATLITVLVLNAVKPTDGELPVFWITLPAAVVMFCWDVASGWIHRK QTREIARSGRLELEEARAERALLSRSDPDPEKETDGQQGQRKDEGEVHPCRPSEMHAQ SPSLTGDSKIHMPMSHDRSLTSTQTTIFADVEGVKGDASTKAATGLSSDTITESKRPQ QQQTTDLENGQHQANEEQEKQPATLTSLVSDAWASSRETFPTATFVLSHLPLALVPFS FAMFVLVQALVTKGWVPVFAHGWDHWVRATGTVGAVAGMGFVSVVLCNFAGTNIGTTI LICRVLQSWQAIHATSTSPPISDRTFWATVYSMALGVNYGAFSTAFSASLAGLLWRDI LGRKHIHVRARDFARYNVPIISVSMIIGCAVLIGEVYIMRGTEPYSVE
SAPIO_CDS4786	MDSDLLKRAPVPLSDVEIREWQRRASLEWEPPAQQGIVASLFRN ICLLYKEILHAIAGSRSIKVRKSIYRRLESGYTGLLIWGRDYNVADGKLDRKLDNSRR LQHATIKIMMSICSTLTEELVPLIRFDPRTAAKVKSLAQLVSDSVEDAVLSLQQAAGG FGGLSDDGSDTDSVWSDDASMDEVAGSLKTDVECLMDMGPRFRDPLPNSVADPDPAKN QDAHPDSAEAPWDPVKLFSDRIKTKFPKCHPNLVFSCSKSVYDTLLRLHECRERATIP EVPQKRMHDPPDSALGTSLTGDDQEPPQPRVPLSGGASLAGDSKAPTIFSFIGEDGNT RARLPSQPKDVSIGQPFSCMACGRRVVKSQSTRSWKAQHELHPEWDDKNCPFCAKSVP QGGADMMRHVEVHLQEISLMALPSPGPDEVDEDGGSNISEWASQSRDDRDVRQISSMT LIERVQDHPVYKSAKPRADGLWHCAWEGEDYCEHEPTMLRAEFDEYIARHLHDFTCKV EGCFTAGRAFLSPECLRLHQRDAHGMFKGEDRPWLCTSRGCGRSIPGNGFASWWVLED HVRVVHGHLEGLPPLPPKPDDE
SAPIO_CDS4787	MAEVALAVIPICLSAIHGASVVRAKLRILRRHDREVDLVRRRFR TQADNFQDELCWVLLLACEDQGVLTRGRAEAMVFDSKALEWESMRVEVAQSLCKYLGT RMDRFKECIEEFESTVRRTKSAKDAFRIAFKKQDFMDQINIMKEMNAQMRNLREASAF FSERSARRSSHTSSGESPPPEYSVVAVRSSTSHPRSIPTPPGQTSREILRHYKRTRSL LTGFLDGLSTQWSCGDSTHERHTAKVLLRCLQCGSGDAAVGLLLECAGQGRQFPAHSP NCRTLPFQVKRSSIHQCHGLLSPAASLGGDPFSDPIYYMDEDVPSPKRRRTLTSTSSD DEASTLGPGVTHIVPKDGDSVCGLLNQANNLIALGEFEISRKSRFEFDDAVQDVESLV NTGHPVALSALLELPVYDVVSDRHRIAVALTLVKAVLKFHSTRCWPEGCLMSQVQFYT ERDDDPDLAACLHTLHLTADMSTPDLEMDAGIAAGKANNRLSKEDIRHAKEAFGIENM LLYCVGVALLQIGIWDTVPWKDVITVRRRMGRLSFLGEKYHRVTKKLVNCNFESASSL SNENLQAAIIDEVIFVLQAMSDDLAKEVEPRSWPEAGPSSFSAPIMT
SAPIO_CDS4788	MVAMVSPVDWISAVWRSALSQVNLKTIVVLYILLNMKSLHFMWH VRFIKILIRRMTDPADKHLSPRCLFLPAISSTRSPLLECDYNIHKSNSTYFSDLDMSR GHLTLVLFRKLFNPIPGPNKRIAVLSGVQCVYRKEIKPYEPYEIWTRVLTWDDKWLYV VSHFVEKGRYAHKEYVMQPGSRPPKRRRNLQPKAPPKTVYASCISRYVFKHVRKTLPP EDVLRECGLLPEEGSSEGAMSLEEIEAVRQKCLPIAKLEDGWDAVHELFDGDETAALG RYTDLFWK
SAPIO_CDS4790	MGADFEKRKATCFQQNPSLDAPEELADESEDCLTLNVYAPTSCK SIGRPVLVWIYGGNLQSGYSGNPIFDGSGFAANHNILVVTFNYRLNAFGFSNSPELPL VQRNVGFLDQRLALQWVQDNIHKFGGDPDQITIAGESSGASSVDRLVNLPPKGLPFRA AAAESGQATVSGFARNSGPKSWETLVMSLNCSSADSELACVQDADPQTIRDIVNEGNL DFSPVNDNVTQAATPYLEARAGGNAAKVPFFTGTTAQEGTILSLVYNLDILDFSQEQL EQYLTLLTGGDAQLISQFQGLIQAIQQTDGLSLFYAAAQAYTELVYQCPARLLTIASV ESGVPTWRYYYNATFPNTQPEGHTGLGAYHVSDLRLIWGTYPEENATAEEIALSKSIQ TSWAGFVKDPWGEGPGWEQVDVSGAGLACLGCNGSANPTMLDEGVIDGRCLYYQEIYD NTSTPFF
SAPIO_CDS4792	MGSNPSKPDRICSSCGKLAPLLERAARTRCFVTPDLRTADVHPG GYDDLDIGIKIGDWRLEDKQRDCALCNMFQASMLTNDSTEIRAFSLLHSLLSPSDYFG HTARGRDFLDWARKDIPYDSSVLVVVPDDFAFRKYRHEIGERAIFDGRYLMCHDLSAQ PSPGNRALLEPTHVPRYFTPGTAKPWIEYCVRKHGPCSRLEDVDFLDFELIDCITRRI VPAERAIRRNQPIPEYVALSYVWGKTTKAPPKEQASRKVLPTSSLPRVVSDALLFTKG LGFRYLWVDQYCIDQYDEDKKHGQIQRMNVIYRNAVVTLIAAAEDGQDEGPAGINQPR RTGQRAVVVNNVLLMSPLRPVQDVVRQSRWATRGWTYQEAFLSRRRLIFTRQEVYFEC EVMNCRESVSMLLPQAHVANGREILPFLTSGMFGMAQLAAEMRRKRTSWISGVMLDRE RAWLMLISCIKEYTARELTYASDSLNAFVGVLRQFETSLRFSEREGSLIASSNTPMRH VWGVPFVTTTAASESIPMSVDPVSLFVCGLCWYHTHATTVAVQRRPDFPSWSWCGWSG AVDGCDDPIEFHRQAGDVLLENPNSSRISPVKYAQQPWHRFAQQPRIIVLNTYAVPND ALTISTLSTTRHRRSKIPIDIFGAKGELFLDDPDIALVDITAKNVDVPIELVVLGTST ISQSSYASTFLLVILWEEGGLACSRIGAMRLHAEQESFRSQGVPGLIHFVDATPLG
SAPIO_CDS4794	MVTMLTGTEVAKHDNKDSCWVIVHGKAYDVTGFMPKHPGGRKII LKYAGRDATEEFDPIHPPDTLDKYLDKSKHLGPVDISTVVRESKAESPEQNERQERIK NMPLLSQAADDKIRNKSAFQRIWFRLHILIDVQKVNFTTTILGTKCYIPFYVTATALF ELRHVEGEVVLTWAARKHSIIQVIPTLASCLFDEIMDATDGDWVQWLHLYANKDRKIT QHIIEHTEKRSCKGLFITVDAPQLGHREKDIRSKFAKQGSNVQSSDATDNSQGVARAI SSFIDPGLSSKDIPWFQSITKILKGVKQVEDVIKAIEAGV
SAPIO_CDS4796	MASKKEAVSTKKAPAPLPQFSQAVKYNGMVYCSGNIGLNPEAMR LVEGGPTAEARQAISNLKAILNEAGTDLANVVKMNIFITTMDDFGAINEAYDEFFNSV EPKPVRERVLPSVGACES
SAPIO_CDS4797	MRNARWGTTGVSGSRGRYERFNSMQLPSHRGRPARLDAAKGSSP QTIFRPSDRALATFNQGQAWDYMEKFANIPRYDEKLRPDGLLNLSGAMNCLMNDWMQD YVAKSQPVDDVYPSLTYGSRYGSKDLLAAAASFFNRFFNPYHTVLSDQVLACNGVTSL LALCTWLICDPGDAVLYPTPNFYMLDYDLGSRGEVVTVPVSTTSITDPFSEAGASQLV QLFDAAADKVESEQGRRCRILCICNPANPQGRCYSPRSLQVLAAWCTRRGVHLVVDEV YAMSDFHAGLPHRGDRYDSFSSVLSIPSEAPPENLHCLYSMSKDFDMGGLRLSFLVTR NALLRQAATRVTWFTWISAFPAAFMTRFLQQLDGVEDYLSLYRTRLATAYHKAATALS TASIPFEPASAGLFIFVDLSRWLRFFPQFGPQTPELELCEWLIQGGVFLNPGQFAGSD KEGWFRLVFTEYPDVMELAVERVRIALRKLEDKKRLHSTWQASWVLMQ
SAPIO_CDS4798	MMSPAIRLVVSTLGYLATALQEPHSYRKIQSAFSNNTVRIKRYH EARHNQKDAPLMLWLQGGPGASSLIGMLYENGPCLTDGPAKTKFNPYSWTEHFSMIYL DQPVGVGMSYLDSEHDGQGYPKGVEDSSLDIVAFIKLFYEAFPHLATSDLHLGGESYA GRYVPALASTILEYNEILSTAPLSTSTAQEGTIPLRSLMIGNPLIDPLTQLPTMYDVS CYEYRGYEPHLTPKECFAALEALDKLEPLLRACFRGGSDPLICGAANLMIDDPFENVW NVTRSLYDRRIRDCPAPDKCFADLPNVSAYLNANKVFEELLEVPAQTNHKVAAWEPSD EATGKRFSESGDIAMTSTNYLRHVLDFGRQGRKDLSSQRGPVTRPIDALIYVGVTDIS CNAEGVFAALKEVEWEGRAPFRAVPWKDLPLRTSRGASTGRVKMVPNLWMVELNEAGH MVPYDQPELALDLAKHWLYSIQQSGLSFKHEPMVDQQSVLEL
SAPIO_CDS4799	MLVFGMYSKAMVFKCALGLQTQRSVQDGPGASGVAFGALSELGP CLFAGSVSPKDGYASTTLNPYAWNANATVIFVDQPLAVGFSYGQRNITHLKDAMDDLY AFLERVFAARPDWGDRDFYVAGESYGGSYVPAIAYKIQTMRQSSMSKLVAGTSNRTLS RINLRGIMVGNGVIDDMLQRKGTFEMGCLEDHGQGHILNQSQCKQVSQAAVHCQRATE ICRASDYDLAVCRVSESFCMQNGWMLIRDTPWNPYDVRINCTMEPSLCEYPTPGLVEW LDSSTVRLALGADDDCRKFIGINFPMNIIFDKNGETTRPSHPWVTSLLDQGIRVLIYA GNTDWLCTAAGMRHLVDGLSWHGSASFQARPFLPLRRENIGLQQDKERRVGYYKQHSS LTFVEVDNAGHFVPAEQPEVALAMINRWIAREIG
SAPIO_CDS4800	MRTKSGCATCRRRHRKCDEAKPVCKNCIRLNISCGGYAQPLVFR DDTKAVRQRSKVIEAQRWAAIHARDEQDRARRRQRAADGDGPEHGLDLGDTSCALSPI ASDYQELRERQHGQQSETLETPVPSELPSPLASEAAANASLHEPQTSIYAPSPVGISH QGEASSPTAHHFSGPSSSLSSRRTETQLSHNYGASIDAVSTSSTSPHHSIDGAAMAST PPAAVSPWDMTANTADDISLQSSILGETWLQQDFPTQLPPVLQEIYQEYGYANLGLRS ALLALPPLTTQITESERRERLEYYSLALNNMINGSEPLATAPQAIVKMAIFYVLVSIE MSCGTHQGGLAHFRQADVLISSHADLLTSLDTGQRLLGSWVSLKSTYSSLALPWDEDA YPSPGEISQSLLPLLPICARRSLQLLPLLLEGDRLNQRVVLGRLIGLGASWPSFRKWS DKLKTLGLKPPQQSIHRVGVDEDRLSTLAGDLNAWHDGIDMAHLPTFGVTADITRSLD SSNMLSPTDNPNGSASPPKNPLATYLSERIKFRSHQAAIDYLRYAAAQALCSVVALDE LTGGSLQADAHQDKWIKLIFRILVSIDPDICSHQDIQELGIMWILWRLVLHRALNAEV LDAVEALLPLIDRMSARLGVLYPPKLFRTWIRLHRDELARGRAILLTFIDFGPREEVW TLRSPAIKYKVLLVGRLIEAGSSFFDVVDVVCR
SAPIO_CDS4801	MYGIMSDLLDWNYGPDERGEQVRVLAINGNDDWIVNTPGQMMVY DKLSWKGQAAYRVAPWQHWSSNDAPIEKDVADTKADKMFDKEAGVTSVKLDDVYSIRA EEDSVYPEVRASVPPTDDETLPASTFRAWFLGITLTLVVNGLNQFFLLHNPPLIIGPY IVILIAYPLGRFLAKVLPKRPFRLMGMSFSLNPGPFNTKEHTVISIMIVGTVSFNAGA TATDIYVAFSEYLGMASKVTVGYKLMFLLTSQSLGFGLGGLVQSLVVEPAYCIWPAAL PRCAMIHGFHDGSFANFDVPAWKMSRMRFFWIVVGATALYQIVPGFLFAGLSTFAWVT WIAPNNVTINHIFGAFTGLDLVPIPLDWNQLTGYLGSPLIVPTWAILNVGVAVVILLW VVAPALHWSNIWYGMYFPISSPTVWDNTGSPYNTSLVMTSDFKLNETAYQEYSPIFLS TTSALSYGLAMGSVTAVLVHTILHYRQTLWSGLRTMFGKAQADSNEPEDIHQKLMKKY PPVPAWWFGVVLLVTTGMAIGFVEGFDTGLPWWAIIVALSIQAVVMVPIFLLAALTNQ MLPLGMFGALIGGFIWGGNMEAVVLFKVFVYVPVGMGIYLLGDQKFGNYMKVPPRVVF VAQSLGIILSWLAQTAVNVWALNHVEGICHTNKKFTCPLARGSQSSTIFWGLIGPSKI FSSGAMYQPMLYFLLIGAVLPVPFYLAEKRFPKVKWLKRVHIPLAMSATAAIPPATAI NYFPWVMVGLFFSWFVFRRWNGWWLKYNYLLSAGLDTGIALCTFLLFFCFYYPGVELN WWGNVEAFETADVMGIPLKKVAPGETFGSKNWS
SAPIO_CDS4802	MAEYTLPQATKNMDQAIRCIEMHTTGEPTRIVYAGYPALSGTLL EQRALASEKYDHLRRRLILEPRGHRDMYGAVLRQSTELVDAGLADIGVIFMTNDGYSN MCGHATIALGRFLIDTFEVTIFPKRKALQVTPAGTLIRLHAPSGIVEVTVQTLQDGRS DPSKPVSFLSVPSFATGWDIRITVPPERRWHVGREVETVSVNFAYGGAFFCMVDARRL YSSGQKATLAGDDLKALEEAAFAIRDLVNEDKELRKFVTLPNSDNQGLGMLYAIVVVF DGLGKPEPGTASVETGLCFFDNHQIDRSPTGSAVCARMALAHARGDIALGEARTYHSL VSNSHEGQGAFIARIVEGKTDGLRVQLGGRTYYTGSHVFVHEPSDYICEGFMLDTLRG WQGD
SAPIO_CDS4803	MICKMLPQSMSVVGVAWGPGIVEAYLSALVHNSSLLTEETVNEL LGYPSSRYFAAMGSSNIIVYSILAGGEVNRPHVSAISSCSMIAVYLLIPVWGQVSQRT SPRLLSLLSATGFSLGYLMAAWEFSGAPHTSGPTFIIVLAVFCLIGAATSATLMGSIA AIAATFEGSPYIGIALAAPVACFGISGLVESRLALLFVNSEGVFEVVKYFIALATVLL STGVVGAVGLRTPGRQKPAFDSDTEDPAGFEERDLLDSPVESSPHHYGTVQQPISSTS DEDRPLCESRRRHSLKHPESSTMAILKDPVLWLLAVASLLIAGPCEVYNMNMSTIIGS VSGSKFSQPDTVSQHVMVLSLTSTFARIGTGILFDRLGNLIHSQTDQGTARSGFFSSR IVLFLFPATIDFLGYMTLISGQWLRPYVRVMITTASVGLSYGSSCSLTPLTTLIWGQD GFAISWGIITMAPAVGIGISGLMFSEMYKRALILHPAQSEQFSHWNCYGVWATHCVIA VLVSIICVVLARWELKSRKIYI
SAPIO_CDS4804	MEPATSDCIHALPQTASTDPLMLIVIYGSAGIAALFSAIAIVLG FSSTGRGMYYMTLCLSWTYTVSSAGFRGRLIDHGLTGAFVLATLLDIFGSSPQTTGSS SVSAKMGLTDTVSYRWINSLLAIKGSLHLQMMPPHPLPADFEEYCRRFVLAVTSGSGE SDDPFWGFLIREHSKALLLSSFLRFVDDASKFILPWLLRALLARPSLQNMLMLFCSRV VGVLCGNYSGLFLRKISAQYKAMLSQSIYSKTLRMGHYRPSSNDLDISTLGEVDTQKL AQGILNLLDVWSFPLQVLACFGGILYLMLLDGVIAIVFTLITVFPVMNFLVQRTGMWF HKNMVARDKRTSLTTEVITRIKAIKLHGWESIFATELDERRAADVSILGKAALISACM VSFSQSIPSILTTASFGLVVWRQGQLDSQLIFTSIMLFSMLSSSLTLLSSLAATYQGI RVSLLRIQKYVRMDEARYAGPELAAISEFHADSGLRLTDMTLSWRDQKPLVSNGKLKV EAGKLNVISGPTAAGKSTLLTSLAEQLQRHGRVGITQQEPFLISGTVRDNVTFGRPFE QAFYQRVVAACALEADFATLADGDRTQLNSAVTLSGGQKSRICLARAAYARADIYLLD DPLSALDVKIQKTVLQRLIGRRGLLSGSTRIVASNSPFLLAEADLVQSIEGGGISTSE SGQLVDVDDKEDRSEITQLETPSDGVGVASEDTIIVQTNNSGPDLKNIVRVATRETIA STSNPDSELEEGAVPRAAASAIKTKSLGKALMPYVAYAVKFGWVISIGSLALARLLSI VSVYVLKTIAEMHSRVEISYGLVIYILLSLGQSLGFFLFILALYKLCLIPASLKIHRD LIASILSRSMHFFETSKPGDIINIFTNDLVRVDTSLTSSLLSLLAQYISLIFAAAVLV LPAPASLLFLIPSLILCYSLQDLYLQKLRELRHLDVASRAPILAHLQEAQGGRILFAT YNFVRNRLDSYQDLVEENLRALFPLFCIDLWLAVRLEICSVVIQLLAGLLLIATSVEA GTLGFVMTYVFQITANLTYIARFSAQLEVDAVSFSRIRGQIDAADESGEATSFTESIK PGPRSQYRDNSVDNLWPHTGKIEFCNVNCSYGPLAAPSLRDIALVIEPGEKVAVIGRT GAGKSSLLLAMLGMLKPSTGTVCVDGIDISSTDGMQYRQGIALIPQDPIVFSGTVRQN LDPLGTHSDKAIMEVLRHIGADKVILKVVSESAGQVDDGELVLDSPARSEANFSAGEV KLLALARAVLIDAKILVVDELANGLDPVMEREISDIMHEYFKSNTIVAVMHRLELTVE YDKVLLLDHGKVVAYDHPRTLLANGNEYYSSMLAEAGLLEHAKVVLEVN
SAPIO_CDS4805	MAYLSNKGAWGQHSTQHSPPALNGPSLAVKPHSLKQYLTLRNCL AAGLITWLGAYVGPLARETQPEAPPLTPQRYEEILQQCESIGSTPLIPRPQDPSGGVT SSLILPGSANIMGGEAVPIKNTQRSGRFDETLVEDLLLERGVAAKDRQRYMKLACGEN PKQVYSHTRLTDAWILRAHLEKARKLRQAQDEYCSAAASAGSKFAFVQRHGAFPADIA LEPTIALLRGQSSMHIHCYLPEDMETMLRLTSEYGISIRGFHHATGAWQVPEMLKTKA QNITVATFAEFGLFKWESYSANLAAGKILDDNGIAVAYKSDHSLAETNAKYLVYQAGI AHAFHLPEDKALQAITSVPAKALNLDHRIGYLQPGYDAGIVVWDSHPLTVGATPLQVF IDGAPQLQDDQVKHSIGSTFQDTNTGASSPTAPRMRAVPQEGDKTDFCWRARASNANF LITGVSTTFLKDHPLVPAFWRDREGTNMTLVINDGKISCLGSEHDCQEAATEVLHYDS TLTLHLSQGHVLPGLTAVTASLGMSEILLAPSSSNGMENPAAGISIPGAIDYAKFGVQ LQGRAFARARMGGVTRAVSPPLSLGGVVIGVSVGINTDTSKSLHNGGIFQSDVALHLG LDTSAQYVRGTISAAVRDIRRLIRDGEGNQKGSIFGDVAKGKLPLVVHTNNHWDMQQL ISIKNDFPNVKLVILGGSEAPLVAAELSASKIPVILTENRPAPEEFRHRDAVVGPPLT PSVARLLIEAGVEIALSISPRQLPLDYRLHALPLEAGWAAKYAGLSDAEAVRLISSSV EDILGLGRAKDLVIWEGSPLEFGGAVVLSFAQQNGKTEATSRLEISSCWPDEEDV
SAPIO_CDS4806	MLSWWSSLVIFGALTAAALTSALASGSPFRVTDVPADVCDNQGK GLVGYFDFDDNSTHLFFWLAESCGDPSTDPVILWMTGGPGGSAIGAGLFLETGPCIVT SENKTSINPHSWNSNANMLYLDVQANIGFSHSDAPAKALEASTEDLYTFLEAFMRHFP QYATQDFYIVGESFAGISTPAIARKIHQKQSSPLARLVRSTLASTRATINLRGIALAN AQVSNRFMWLGYYVTGCLGDNPLFNGTICDAMAEANPRCEEVFKICEEADFNVDVCNS AIAYCRPRSVDVIDLEHRNPYDIRQICTEEDSCNQSLAWVTRYLNSERTKKSLQIDPG IEYKVLDLDVYNAYVASGDSQHDSIFWVEELLEQVRPHLTTLLTTPQKPEMRIDYLIF HAMQGYEVLIYAGNKDWLCNSAGALHVAENVRWRRQPEFQARELQSLRLRDRSIGKFK QEGGLAFVEVFDAGHSAPQDKREETLFMINSWVRHRLH
SAPIO_CDS4807	MDARLKYKEHPARAASKGLEAALEPKRLKGLSPAIGLCMTVDEC IDAYTALSDKVFEKKKSHRVDIKGKLHADLILPSWNEPSSRSSCPRALTKMRCSKRPM RLLHLCNKQRDW
SAPIO_CDS4809	MVAPPSIQSLASALLPVLAAATAVHPHQPRQAIITEDAFFYGQS PPVYPTPETSGLGDWAAAYEKAHALVSQMTLEEKANLTVGYPPPNRCSGVTGSAMRVG FPGFCTTGAGNGIRQTDFVNGWPAAISIGASFNKNLTYLRAVQMGGEFRAKGSSLAGG PVVGPLGRMERHGRNWESFSNDPYLAGEMAALTVRGIQEQGVQAMTKHFIGYEQQSHR LAANNISAVSSNIDDRTMHEIYLWPFASAVHAGTASVMCSYNKLNNSGSCQNSKALNG ILKGELGFQGWVISDWGAQDSGVASAMAGLDVAMPSSKYWGAKGEILAEAVRNGSVSA ERITDMATRIVAGWYHLGQDQGYPELGVGMPISFTEPREIVYARDPKSKPVLLSGAIE SNVLVKNINNALPLKKPKLLSIFGYDAPAPAAQNTPFQRPEFIKNNGWIWGATGVNIS SYNDILYGRESLPGISLGGTLITGGGSGATAPAYISSPFEAIAQQAYEDDTSLFWDFQ SIKPAVNSGSDACLVFINAYAGEGVDRPYLHDDYSDALVKNVAANCSNTIVTIHNAGV RLIDQWIDNPNVTAVIFGHLPGQDSGRGLAQILYGKVSPSGKLPYTVAKNESDYAAPN PDLGEGQYEMYPQSDFSEGLFYDYRHFDKEGLKPRFEFGFGLSYTTFAYSDLSIESIT QDAPRFPPKMPIKQGGNPALWEPLVKVTATVHNTGPVEGAEAAQLYVGVPGHDSPVRQ LRGFEKATIPVGGKVTMEFVLTRRDLSAWNVEAQDWELRKGKYKIYVGGSSRDLPLDG VVRF
SAPIO_CDS4810	MGSDESGALPIHNGEPDPDADNPQVEQRPAKRAKLTSASFTRRK RAVAACQFCRLRKTKCDTVKPVCGFCRYHNAKCVYVDPDEDASVQDESTYRHEEILKR FDELKSLLQATSSQLATAGSVATATATATPPAATTAAATLSQSPQAHATPAAASDSDT ITSQLPNQASLPSYSLCYTATKCESLLRWPMFEGIISDRDAQIHSFVLSPQDSVDINH TSPLPQTPSFGLQPISVGDGVYLKPAVQEEALVPLCHKFLAHVHPRNPILEPEELLAY AKAAAENGLGWDGPTCLVLLACALACYTQPWTPPMDTELTPSGPDSDFSNVSRSIEYG PAAEAYYLGAKKRMGLLETSLVDMQCLFFACIYEKYRLRPVQAWMYLQRAAACLHIFQ LSRDATSVDVAGSVATPRPTGHHHLVQRLFWSVFKAESELAPELGLKPNIPMGFDYPD ALPSPPASLTLSQNDEGIGSSRRVDILERAEEERSWYFYLAEISLRRTIDGIISLFYG PGEEYWMKHISLLVRQFYESEKQISLWYSHLPSSLKFDAIKHPSNELAFYLQGRFRDW RECISRPLLYYYLHHPADRPPTPQVINIAQQEVSLCTEIIRWGVHHHRHGGTWFICRT SFRSAMLILAVVIKDGEVRPPANWWDLVKLSVATLEKWSVGARDLSRMKGVLERLLDV VCERENLLAARTVQSYGFCYHFSSAPAVKGIGNLNSCLRLSGEESYTVSSPRPIAADQ IRAITDYAVVNSGNVLRSRLSVHLILQSFLSSASFSLDVS
SAPIO_CDS4811	MASKRPNFLIIVADDLGYSDIGPFGSEIPTPALDRLAKEGARLT NFHTASACSPTRSMLFSGTDNHIAGLGQMVEHMASRDIIYKDKPGYEGYLNFRVAAMS EIMQDAGYHTIMSGKWHLGLTKETSPHARGFDDSFVFLSGCGNHYNYEPQLDNPSHAI FTPMNAGKFWMKDDTFLDRTKDIPQDFYSTSSFTDKLIDYISQNDFSKKPFFAYLAFT APHWPLQAPREVIDKYRGWYDDGPGALRSKRLQRLVHMGIIPKDVEPAPVTVEQWKTM SEMERAESARKMEVFAAMVDLIDQHIGRVIDTLEAKGELDNTFILFMSDNGAEGAMLE AIPLMGGASSVTQIINKYYDNSLDNMGAPNSYIWYGPEWACASMAPSRGFKTWITEGG IRCPCVVRYPPICKPGTVTNSFATVMDILPTVADLAGIALPRPGEPFRGRNVVPVRGS TWKPHLNGTAPSFHNEEEEITGWELFGLRAIRKGPWKAIYMTAPRGKDRWELYHVEKD PGELHDLAEMEPKKLQEMVQHWETYYAETGMFDPGHDFPMVKYG
SAPIO_CDS4812	MSQQGGPSGSQRPFMKCFNGRLIYSVTLIALSQLNFGMDQGAFS GTQAMTAFERKFGVWDSAKGKYIIEPYFLSLLNSLNYIGFAVGLVSGNLISRKWGRRR CMLIMCGWALIAAIILVTSQHKAQMLVGRIIAYIYIGMELALVPVLQSELVPARVRGF VVGTYQSGLLFGQLIMALICQGTSNIKSDAAFRIPLGLFFIIPSILGCGVWFLPESPR WLLMNERPDDAMKSLTLLRQGAFSQEEIEDELKEIKSTIDVTVDRGRFMEQFQGTNLR RTLIVIGVNVFLQLTGQNFSSVYGTVFIKSLNTINPFAMTSINTAINIVMVFITQGLT DRTGRVPLMVSGAVIQCGALFTMGGLGTVSDPNMHIKKAITSMVTVFGVGFQLGWAPL SHVVAAEIPTTRLRDLTYALGSVFNIVIQFAISFSIPYLLNAPYANLGSKVGFIFGST AFLAVIFSWFCIPECSGKTLEEIDELFLEGVAIKDFKKTRSNRHIERYEAEEKGLHVT HEEQA
SAPIO_CDS4815	MTENTAANNIESRSDGHTAEPVAKGGKLNENVNNRYTMDGDILA CHHLEPLWLKMNAMVPPTPNPVASPHIWRYEETLPHLLEAGRTVPPEAAERRVLMLVN PKMESPYTTDTIYGGLQLVNPGETAPAHRHIAFAIRFIIEGEGFTAVEGKKIPLKRGD VVVTPTWHWHDHGNESDAPVIWLDALNLPLFRFARVHIAEGYRESRYPSTLCTPCEWS HPWEGVEKSLNSQETPHAVYRYATKDGQPLSTTLSVQAEKIDSGHSTRETQDVASFLV HCYSGEGETIIDPPNGEKRVLDWTAKDTFVIPAYSKVVHVNKGSSRAYLVLVHDRPLL NSLGLYKPGSI
SAPIO_CDS4816	MRNAKSPRGPRPKRQKIDVASCGNCRKRSDLRCHYTGIAAQRAL TPSATPPRPPSREQHDSPMPRPAPSPAVATPSSIHPRPDAQHRSHPSPCSGASESRVN SSVIDSMTIVLEDGESTHQYFGSSSAGSFTRQIKAAIDARLGLSQEPGSRSVVVSPMV VNYDRPDTKDPLYPFLDKKKWDSAYEGLFAGGPIDTEERIFVSTLNVIFALATQLVES LHPEQRDESSNMYFRRAQELLRLNLWDAGSFEVVQCLLLMSQYLQSTNNPHQTWMAVG SAIRTAQSLGLHLPDTTADMADLEQRELLRRIWHGCVLMDRMVSVTHGRPAMISDELA SDVPLPVWSNDVTTQDLDGPISRDFSKIAFFTKSVELYEINNSITLAFYSSHHPRSGC RKRSNPETSHYKSCSIPSQGLAEEDLGAVMKLDASLTHWEHSLPDHIRIKSSVHAENK TFQRQAVILRIRFLQARILLLRPILSRFCLQPPAPPSTARTCDNLHNRVVRECASFCA ATAQRLITLMAQYQSNDGTVGLLPAWWYRVYYIYSAATILIATKLRPDLFPPSDIKRS WDEAIAFLRTHEKFGQSAKRCIAALTILSSKIMHETGANSIQGSEMVHEQEGIHPVDT AEKSVRQHIYEEYEQYSDMNLEGLSFDAADLSFLNVHAWELLNQP
SAPIO_CDS4818	MDLRNQPVIVETRGPSFEALEAFTEGGQRQVRISRLPAELLDKP DHRNSGDAAFKFTIVRDDRDNDNGNAIFALKSQLGGAFLGLSRSDRRLLLRNIQQPVP DNFRFKLAPNVKPIDDVSVPFNIVALDGLAVHDPSRATEEVLLSLRILKGESKSIDRA VVEQLTVLSAIGEDTEDAATAAPQPSGEPAPESNLDNRSLLDSIREMRYSGELAEAKA ALGEYFLDSNLPQTVKSATLLKVARLLAIMSQCVYMRDLEFEQGLTQKFLPWKSLLRQ WWEGGDPAVDEELLNVMEQIDLRGDRAQQKIREVAQEMGLKYQALCNFSETGRLIFNG PYCGAFYCDDGNPFIVVAFKGTGILPDFVTDAKYKMTDGNKSSPLQGSLHVGFFNEMV RIQLWKLERQILAKSFPLGGYRVQFWVTGHSLGAAYASVLWSGFLSDLKMQHTTMRDL ITFGGPRVGDKKFALHVGSQTGRRKAWRFVNGLDIVPRVPITRPLSFTSYIHVGILVA ISRERINLGASEVDYPPPGLPSLIVDKLWDGWKKVFWKVTQNKGAWGAMLDFYRDHAL DNGYWKSLKEGAVSIDKVDWP
SAPIO_CDS4819	MSAVGLAGGDAALLFGSRNQIDFVVDNETDYELKAESTVFADWG DFSRYPSTVHPLSEGCGGHVMSSHSPFTGSAGMVGYKISTSSGSLWLRFLGSNPYLSV RSNWAYVDIFTWDKSIDQDSYNDLYNKE
SAPIO_CDS4820	MDLFYRENAGLYRTLVKGFKPRPTPGTVIQTASPDMVVGARIRP LLDEDVAAGFPCAVFPRSAEKGVVDVHDLYNHPRGRPILKSFSYQADKLFSAQASTED IYDHLVAHLVPFVLEGNIGTLFAYGQTGSGKTFTVSRLEQLVVESLMDGRLGNQKQVY ISIIELAGNSAYDLLNSRKSISILEDSFGTTQLAGANEHAVLGETDAMGLIERASSFR RTAPTLKNDASSRSHSICRIRIRDPSAASDGVLYMVDLAGSEAARDVSVHGADRMREA REINMSLSVLKECIRAKAQADGLSLADKPTSKRTKPHVPFRRSALTKVLKHVFDPVGS RRCKTVVIACVNPSLADVGASKNTLRYAEMLRVLVPAPDEALSKMMDPMSSTNAQLSE RISKNSGVPPIPPLLVPRHMPKSVKPDMVQSNSNSQRRVSSRDLDTKAALRPFKQRIR PGMVISWAAPPCGHLAFVMEGSNLAVVLCPAAVAGTSVDDVPRASTIITRDKSSSMKE PSLSINKSAQYLCALVAPGSAAKSYEVNLWEQLIIDVDMMEKEVFLEYDAETRYYYIS P
SAPIO_CDS4821	MAVDTSIAKPNRVSFRSWIWDADSHLKSKAELRLLLKLDLSILI IGCFGFFMKFLDQTNLMNAYVSGMKEDLQMNGNEYTYANTMYTIAYAIMQVPSTLIVQ KVRPAVWLTIMEVGWGVWTFAQAGITNSNQLYAFRFLVGLFESSFSPVLVYLIGGWYT KTEMAKRTALFMMTAPIGTAFSGYLQAAVYTNLDGVNGLAGWRWLYIICGIMTVPVGI ATFFFLPDTPYTTKAWFLTEEERVLALERVRKQGTAPPGELSFKHVASVLTRWRWYGF VIAYVLYGCSSMSAGFFAIWLKSEGYTVVQSNVIPTGTWLISGFATVLWGYLSDYTGS RFLFVLIPLALGLISNGILAFWPSGIGIKMFAFLFIGVQLMPGVFFAWAMEICREDNE ERAIVASSMNGMTYAVVAWLPILIFPQTMAPDFRYGYPASFGLVIASIIAVIIVQLLV IRERKKKRGEITDAASQDDEEKSDRGIKDGVTDVKAVAD
SAPIO_CDS4822	MLNEIVAEGFDNPRTVLSVALTATALFAFYRRWKASRELKLPFL KFEDGDDSRQRYVAESGKLLKLGYEKYLKHGKAFAMRNYIDELSPQVYLPLKYLDEFK AAHESELSFPYFSELLFSQNEIGMAKVTEEASHVMRTDLIRNLPTIIAGVKAECSAIF DKMIPLTPDWTPVTPYPIFAFAVARLSARVIGAPELGKNDEWLGICLSFTQKSMEAAH ALRASWPPYTRWAARWFSPAVKIVLADRKRATEIITPVLEVRRQASKLPLEKRPRFND GVQWLMEHYDAQNRKLTPEILTEHELFLAVASIHSSSSITLSILYDLLDEKHVDAKKE ILDEIEAVSNEYPSWTRPAVAKLLKLDSFMKESQRLHFMGHARVTRAARVPFTFADGL HLPRGTMAQVLHSGPQTDPEFYENPETFDPWRFLHKRETIDPYKFQFASLSNIETTFG AGFHACPARNYAADTLKLILVHLLTTYDIKYAKESQTRPLDVNHDNATLPDFTTELLF KGKNPPSG
SAPIO_CDS4823	MATTPQAADASEHQEPSTVSQSLSIIDDTKKSVAPSANSSEAIT PVELVQPTADSVEPDLEAKPEEDAGSHTYGWRFYTIFGALVAATLLSALDGAIVAVAL PTISSSLDTGPDYVWVANVYFLTGAVFQPLFGQMSDLWGRRWVFLSILAIFTLGSGLC GGASSSQMLIAARAIQGIGGGGINMMVDLIVCDLVPMRDRGKFLGILFGIIGIFTAVG PLVGGALAQSGQWRWAFYLNLPIGGLCMLVTFFFLSVKSRTEGTFVGKMRRIDWGGVS ILTISCIAVMYAVTYGGSLRSWDDPSVLAPLVVGLVLLVVFALFEGSPFVAEPVTPYH LFANRTSAAAFAITFLHSIMGLYMVYVYALYFQAVVGANQTLSGVYLMPTVIGFPLSV TIGGTLTTKFGRYRPLHLVGFSFMTLGCGLSSILGPDSHPAMWVFFQLFLAIGNGLPM ACLLPAVQAMLKESDTALSTGTWAFIRSLGVIWGVTIPAAVFNNRFDSLLPTIQDDGA RAQLSGGQAYAHATAALVESFGGEVSEQVRHVYSQSIQRVWHIALVVSGLSLLLSLVE KEISLRKELDTEFGLKEEKKEPAVAA
SAPIO_CDS4824	MPNLSGKVVVVTGGSTGIGYATVRLLARRGAKVYFTTRSEPKAR KAHQTLASDSEVEPENVIWLVMDLFDLRSINAATDELKRRETKVDILINNAAASTSST DLVEGRYEQHMAAKFLFGFDMIRYAVSKAAAILFTKELQRRLDDQDLPILCVAVHPAE QGAATPFFAAVAGEVRQKANVYKGRFLVPIGKVETPNAVAEDNRQIKGLWENTTQEVN KQLAEYKLPSLEAW
SAPIO_CDS4825	MLLLRLVDEWSKHESASLSTMTTPAPPADANDLGDGLVLTAPRL VHTIHKSPYAGLSPLRHELNQSGRTVLITARSAGIGFAIARAYAEASAFKLILTGRRS DILQQASSKLSSAFPEVEIIPRICDVGNSEESARLWSSLGKDGIFVDVLILNAAKFGS QQQPLLEADLDEIWSLYQTNVKAILDFRQRLYRQKGAEDKKMFIVYVSTAAIHSRPIA ASLPGYSLSKISGHILVQKIAEEVDRERLQIVSFHPGQILSETARSAGLDENSFPFDD ENLPGRWVVWASTSEATFLHGRFVWAAWDIEELKSEDIKQRMNADPGFLTLGLIGL
SAPIO_CDS4826	MAAKSVHDYPNEPIAIVGSACRFPGDSSSPSQLWDLLREPRDVL QEIPDSRFNPDGFYHEDPLHHGTSNVRHAYLLNEDVGLFDAQFFGIKPVEANSVDPQQ RILLEVVYEGLERAGLKLESLQGSKTGVFVGVMSADYMELLARDIDAFPTYFASGTAR SILSNRISYFFDWHGPSMTIDTACSSSLFALHLAVQSLRAGESPAAVVAGANLALSPE QFVAESKLKMLSPDGRSRMWDKDANGYARGDGVAALVLKTLSAALADGDAIQCIIRET GINQDGRTKGITMPSPTAQADLIWSTYKKAGLDLSRPADRPQYFEAHGTGTPAGDPVE AEAIRTAFFGPSVGFKRSASEPPLYVGSIKTVIGHTEGTAGVAGIIKGALALQNGIVP PNLLLNELNPNVAPFYKDLEIPKRATEWPTLLPDVVRRVSINSFGFGGANAHAILEEY KPESIPHPVVPPQDTHLSPFNFSASSEKALTGILSAYSSYLRANPLTNLRDLAWTLNC RRSVLPLRLTVAASNVDTLSTKLGSLAESLSGVVAASNRAPLSSTNPKLLGIFTGQGA QWASMGAKLLATSKLASDRISQLQQSLDSLPREHVPSWRLLEELSKDKANSRISEATF SQPLCTAVQIIIVDHLKAAGVHLAAVVGHSSGEIAAAYAAGYISAQDAIRIAYYRGYF LHLSEGPEGSGGGAMMAVGMTYQDAQELCELEELEGRICIAASNSPESLTLSGDAHAI DLARQILEDEKKFARLLKVDKAYHSHHMLPCARPYIEALIDAGVKVQPRELNGEYPSW ISSVYGEDIESVGLDTLSAEYWSRNMVNQVLFSQALEHAISAKGPFSLAIEVGPHPAL KSPALQTFVAVAGGEVPYVGTLRRGAHDGEALAEGLGSIWQYLGAEAINFSAFDRQLH GGGSPEHRPKLLLDLPSYVWEHDRVYWHESRYSRSFRTNAQRPHQLLGTRLPDGTENE IRWKNYLHPRELPWLVHHQVDRQIVFPAAGYLSAVVEAVVQIYGLGSIQVIDLYKVVI GQALVLEENSSVETLFSFRVIEASDIHVEAVFSFFSASTKDSISMSKNASGNLRITLG APSPDALPSPYKSEREFLEVDPQRFYNTVRDVGLGYTGPFQKIIEAQRRSGESSGIIQ TPDAEDEGSAAALIIHPGTLDCAIQSIILAYSFPGDGRMRSIYLPTSIDRLRIDPAGI VHHKGSSASSHLGFFASITSNRPTDLSGDVEIHSQDGSTTLVQLQGLRTTPLTPAVPS NDLQLFFKTSWQVDAPTGNPGLWEGGENSYDYDLAFAQERVAYYYIRLLNEAIPPSKR SDLEWYHKVFYEYIDHTLAWVNKGTHPFAKPEWSKDTQYDLLKIFERFPDSIDLRIMR AVGTNLVSAMQKEMNILEAMMKDNMLNDFYATSLGMREYLQDLARMVGQLSHRYPHMN VLEIGAGTGGATDMIFQQLQGSFASYTYTDISSGFFENAQVKFDRHRGKMSFKVLDIE KDIMEQGYAPHSFDLIIASLVLHATRDLDETMHNVRKLLKPGGRLIMLEITDNDPIRF GFIFGGLPGWWLGYDQGRKLSPCVGIEEWEALMLKTGFSTIEAITSHNKTFPLPLSVI ATKAIDDRVAFLQNPLVSGAEVNLGLDSLVILGGSTSKTAEVASAIESAVIRQYKSKP KRVLYLEDISQQDLPFLGSLVSLLDLEEESTFEQMTPGKLSALQEIFKQNRTVLWVTR GALGGSPYRNMYRGLQRTMEKELKHLRVQMLDFASDADVDGETIASKLLQLEAVNAWE QSDDTANLLWYPENEVLVQNAQTLVPRIRLDLERNRRYNSGRRLITQEVRLGQTVVTV RHSGSNFVIEQRSDTQTTAARPGYVDVCPEYSLLKTVKLPSGGFLYLSTGHDVMTEEQ VIALSVALESRIRTPESWVLRLNSESEEESKRVLLGLYLQLLTENILWGVKPGESVAI LNPCHSLGNVLTQNSAERGVNLILLTDAAAGREITARPWTSIHPRATKHALGRVIPRN LRRFIHTNDNSELISAIIHALPPKCEIVNQQGFTSLEASVGLTYSAVSAVSGHLKVAW TRSVLEVTVPESHPLVGISQLAQLHVPSSATKQTFLSWSSLPSAPVQLRPATDVVHFA KDKTYWMVGLTGSLGLSLCEWMAQRGAKYIALSSRNPRVDDGWKQAMADLGCTVRVFA NDVTNRDEVFALHKRISETLPPIAGVAQGAMVLDDALFPDVDIERFHKITKPKVNGSI YLDELFSSDQLEFFVFFSSVAYVTGNAGQSIYGAASAFMASLATQRRRRGLAASVINI GAILGTGYVSRELSLQQQEYLRKVGLVWMSEQDAHEIFAEGILASRVDSPDSHEFETG LRTDEGRSRDIVSEPPMLQHLGIKGNAASSGGGIQTKQNVQTRARLLEATSREQVFEI LKEGFLLKLQAALQADPNKPMLDLSLDEIGADSLVAVDIRSWFLKESGVDVPVLKILN SSSVRELLLFAHDSVPKDVTPNVLGDSDNSLKPAVVSAGVAEAEDFLQPNHKSTSDSD GAPQSSSQSDTRDSEEGEITPRSSEVPSEADRDDFPKPTNPQELTIPGFIGNEKVTPE RIVPMSFGQSRFWFLKHYVQDQTAFNITTVIKLQGRLRVDALEGALRAVGQRHEALRT LFFVDQKTKEPKQAILPTSLLRLEKVAISDEGELAKAVLEAKQHIFDIERGEALRIQL LSLSPTAHWIVLGYHHIYMDGIGYVVFISDWERAYNGVLNTQPSDILQYPDFSLRQIR EYQTGTWSDELSFWRSQFPDLPNALPLLPVSRLSTRPISSGFGSYSASFRIDRNLTER ISQVCRQFKVTSFHLHLAVFHILLYRYASLIDDMCIGIADGNRKDADVQGSLGIFLDL LPIRLRRSPQDTFANTLKDVRKTTLAAFGNSRVPFDVLLNELNVPRVPSHSPLFQAFV NYRQNHQESRSLFGCDGELDIIATGQTDYDVSVDILDLSAEGGESVVSLGVQKDLYDQ HAAEVLAESYHALLRQFTNNPAARVTWVTLYSNESVKDALQLGRGTEAPGKYPTIVHR IDAMVEKYPNQTAVKDAYGKVFTYHELAGRVDSIAQNLLRLGIGNTSNVGVFQTRSAE WIFSLLAILRVGAAYVAMDVNVGPDRLSLIVDNCKPAAILVDSDTESDAFVIATQSQR PSTSPSTSEVRIPIINITDPQPSNEVGTAPNSARPADAAVIIYSSGSTGVPKGVVLSH SNIANYADVVPPTWGGVREGHEIFLNQASYSFDVSLQQTIVALGIGSTVVVVGNQARG DPAALLKLIIAENITVTGATPTEYLTWARHWDVDLLRKSQWRHAFTWGEPITKQQVRD LQLLGLPNLNVIDAYGPAEATITSAHGRVNLEHIKLESTTRSPNVPLTITPNGSVYIV DESLNPVPPGVLGEIVLGGAAVAKGYLNDESLTSERFIQDKFASPYFKSKGWTTAHRT GDRGFLTADGGLVLQGRIEGSTQVKLAGIRVDVQDVEATIIQAVPDVSQVVVSVRRGA ESDSAPFLVAFVILSNNAAGLPADEKTRFLEDLPRNLPLPQYLKPAVVVSVDHLPTNS SHKLDRRLIDGWPLPTEGAQATNPSGDESSTLTEFEQTLRQLWGDALPDGLAQHRSIG GSSDFFHIGGSSLSLINLQGLIKERLNFPISLYKLFQNSTLSSMAASLRNERTVIDEL TAVDWELETTLPLDIVRQDSEETEYTGTVLPVRNVALTGSTGFIGKEILRRLIADDRI SKVYCLAVRKKRSDLPPSLFGHEKVVVYSGDLGAPRLGLSETEVAAVFGTQGVAADAV IHAGADVSFLKTYHSLRLVNVASTRELIRLAAPRRLPLHFISSATVARLALQAGRTSF GRESIANYPPNATRAAVEDGYVAAKWTSEVLVENAAKQLGLPVFIHRPTSVTGEDASD LDLMSNITKYVQKTRTIPDTHEWNGGFDFVSVQTVGQDIVREVLDNDQVGGEVRYVFQ AGENVVDSHKMQSILELGTGTSFEVLPFDQWVDGAEQAGLSHLLALYLRRAAKGQLLI PKLLNE
SAPIO_CDS4828	MQTQENIDSTRGNAALIYQTPQRPSRGGHPQNGAERGGRTDNNT TTSRGARLPSRERSNSPPGQSNGRRDSGYGSRLPSVILPSPVQREYTRDTVASSALQE IQRSAAAWPAVSTARRSAEETGDVVPLDQEPLGMDGVDFSMPGMAFDTNDLSMLIVDY PMEHEMTPLAGTADRRQGDRGEGARRFSYENFSATGTTASASTGIPSWPTTQAGFSEG SMEFGRDQEADVAVSDSSAAEDARTFTKSHKELLKLSLELVEDRERMDSQAPWPPRPP ITPNPLKCSSSIQQQPVNRMLDQASRLWDILKDLSAASDTPKPRPGGPGYENGVSNHS NNSSDRPRQGYTRPGNVETGYPGNNGNLASRSFSPPPSSSATRSLDPLLIANLVTTYV CLLRSCRAVFARLYHALLMAPTSEVNSLISLPGLQFGNFPLENNLAIQVKVLIELTSG MLLRISNALGISPASVIGGSSSPTPNEDVEHRLPFLSDPVAISIRQIILSQELMQNGA QDGEPPPLTRIIKNIQTLLERRYCPLSLFAIRNLFIIGSVG
SAPIO_CDS4830	MAARFPTPGLKDGVDFAGTVAAVGAEVEDFEVGDGVFGCVPSNK QDDPESGSFGEYVKIEAIYALRIPPNTSFEQALAFGPACVSTVALALHESLKMPVTPD EVAGHKGHKEGEAVLVYGGSSSVGLLAIQLLKLNFTLVKKYGADAVFDYRSRTCAADI KAYTRNKLKRVIDPFGEIATTSLCYEAIGRAGGVYCALEQYQESLCTRQTVRHQLIMG PAILGRGVLLPEPYGVKADQELHEWSKKFYQSLQILIDEGRLKPLPIKILEPSGFDTV LVGLELLKAKQVSGQKLVVRVVT
SAPIO_CDS4831	MALSLVLPLVEPYERIKEQLVVMQHALAPLNLTKWQIARLLAAQ VYRDTSPVARASLIVFFSVSLILALDSFVLHPYIFSLQRLGVPKLKPSKGKHAFDYKP MLDEAAAKYPDRPWFFGYSGFEFVVFPSAYVNEIRRLPAKTASLVDFLTTVQFGGYKL IGTDDSSNTLHKTASTDLARSIGPLGLARQETARRAWESSLGPCPQWKKVSLFWTVLD VVVATGATGIVGEPLSRDKRWLRAVPLLPVGAGIGVYFSSLFPRLLRPMAATVFYFPA LMIYKYMSYLLRPTIEQALREHDSSANGNGKAEKKITLVHMLMSRYKQGEFNYDQLVK DIITATLESTPTTAVSIYWMLTELLQRPDLVEELREEIIGVLQDGKLPPTQLTELTKL DSFMREMVLLTEMNQWGSAAY
SAPIO_CDS4833	MKEALVSKGPSVQIVDSPVPEPNDDQVLIKVVISASNPKDWKLP ELRNQTTNSGDDFAGIVEKVGANVFEFKPGDRVAALHEITAAAGTYAEYSLASQHTTF HIPKETTFEEAAGIPLASMTAAVALYQHLALPQPWALPPPNNNVREVPLVIYGASSAV GFYALQLALRSNIHPILAVAGRATDHVKQMIDPAKGDVVVDYRAGESAVIAALREALD GKSPLRYAFDAVSEGDSASTIAQLFRDSGDGKEAKFTSVAPGNKDGVPEYVEQTMTFV GSVHRTPEAKDLAYVYFRYIARGLQEGWFRGQRTEVVPGGLNGIQTGLENLNGGKASA VKYIYRIAETVGIEQ
SAPIO_CDS4834	MVSFTAPTAWKEIYGYPGVKKFQKFGYRQIRPGVPDLLTANGAD HARQRAALNRAFSDKALREQEHYFQDHIDLFLSRLDEKCDQTQSINIAQWIEFLAFDI IGTLAFSSSFHCLEDSGYHPWVLLLLNFFRSTHWVLAARMCGIFYPIILALGPFKDLL KGEEHLRLSYEKVQQRITMPEDDNRNDFWTYISRQNEQREGSMSVMEMEVNAALLIPA GSDTITTALSGCLYLLLKHPDTLARLRKELEAEFTSESDITLIRVSSLPYIRAVLDEA LRMYPPISGELRRQVPRGGAVVSGQFITGGTIISVYAKAACDNPTNFAQPQRFTPERW LKTDERPDWAKNDHLDASQPFSVGPRNCIGMNFAYAEMKLILARLLFRFDLELLDDRF NIENQKVYLMWQKPPFPESLPADSKPSGQELTRACTSHANADPLRERHESDIQLLLNM ITLVGKAVRQNGLGLMRMTSPGAVLPDEEAFAVLKTALEAGVYLWNGADFYGTPDNNS LHLIARYFKRYPEDASKVVVCIKSGIVDMKALTIDGSPQTVRRLVANGNKILAGHKKI DVFGIARIDPKTPIEDTVKALAELVAAGEIGGIQLSEVGSATIRRAAAVARIDMVEEE ASLWSPEIFHNGVAATCAELGIPIVAHTPLGAGMLTGQIKSLADLPPGHYPRVFPRFQ PGNFEKNLALVHEVEKLAVAKGISPAQLALSWLKSQSKKSGVAVVIPVAGARSPERVK ENAETVELSEEELQTVEAILARVPIHGTRYPEPGMKLVEF
SAPIO_CDS4836	MAMSTFLPSKDLAFPQGSLILVTGASGMIAANIVDEALKAGFRV RGTVRSVEKGRSVAELFNAAGFEFFVVEDLAAENAFHEAIKGVSGVIHTASIHTFSDN LSDVSGPLVRGCENILEAALENPTVKKVVFTSSTGTAANPQPGIKFHIGRDTWNTVAI DLVKNTPLEKRGEMGFEWKMNVYRVAKVEAEKAVWNFVEQRKPTFGVNVVNPGLNFGK AKGSIGISGSQVLNLLHGNMPQIPSLYMVDVADDARIHIAATIDSTVSSERIFACDEP FNWRLVVEAIQMALPDVALPPPDPNELLDISTVDNKLGAELLMKWWRQPGYKGLDQTI RETVEMCLDKMDGKYKRG
SAPIO_CDS4837	MVTIRSAVLFGLAHLCHLQAVRAVSPQEIVKDLEHLLSRGSEVV LASDAAYAEDFTARFSESHSPSYVVGAKPQNAKDVQKLVKYASRHNVPFLATGGGHGY SWSLGTLQNGIHVDLDNFQTIEIDEGANTMTIGGAVRIGNATRDLHAVGKEFRPLGGI YGTGSDNLLSAEVVTGTGEILTVSEKKHPDLFYGLRGAGFNYGIVTSLTYRIHPATNN GEVTVIDATFPAALNGSVWEAAHSLVGHQPKELSILFAIRFNETLGGMSLVGSFIFFG PEAQAVEAAKPFLDLNPLNVEVKTSTYDEFSHVALYGEVADIGPKKSINFAPLTINLY QVDVANMIKVINFMNQTLTENADLKAATLSWAQYPLDGFLKYPLESSAFPYRDVVVYF SYDGFAETTDQLPIMEDLGKEVRALLQQGSGKPDLQTYVLFSHGDEGPEAWYTSDKLP RLKQLKRKYDPKNLFSWYNPVPNKGHRGADSD
SAPIO_CDS4838	MYGLVSAAYLLLFHPLAKYPGPRWWAVSRIPWAYHIIKGDFWSV LDHLHEKYGSVVRIAPDELTTIQLEAWKDIYVAKPVLAKDPYSLTPPLNDAHSLFTAE GETHRRLRGALVNGFSDKSLRDQAPIVESYGNQLIARLRREAAKSADGTVNIQRMYGY ATFDTVTDLSFGEALLNTLEDDSDNDEIQAFFLHAKFSTIRNCLSRFSPLDIFLGLFL LGVTRKTRERNWRLTTEKINRRLARGDLTGVRSDLLTPLVGKLDEKGEAKRSITKAEL TTNQLAFVIADCQLTTVALATATYLLLRDPSKWQRLSEEIRGSFTSDGDITVQSTLGI PYLEAVINETMRFRHPTPISLPRCIPRGGRSVEGQLIPGKVRAYFLSAVPLPLQN
SAPIO_CDS4839	MVLGKYNTKTGLVSAAFFCPQARAPDEEYLDGLRSFLSHNRYGQ VLLKEIIALKTDRIWSVFTASREDVAALRHGPEYVDMLHDWAAEGISGPLSRARSGIV ALPLLVVLQITQYLRYLTHHGLSHEDFIAGVSKGGGLQGYCGGLPSALAIACAQDEEE VVKHAATAIRIVLGVGAYGEAADDTGGTGSTTLAMRLKYEGQGDDLTRRFPGTYVSAI TEPRSVSIVGPAATLHELYCFARDQEGLQVQKMEVRGKVHNPENTSLADDLCRLCRET PSLQLPNASHLKVPVRSNKSGQRLLQESLAEELVTTILASRCVRPAKTAAHGLIRTQR TGATTAVFPDNALAIVGASCRLPGARNLDELWDLLASGGDRHQKLTTDRFDIHGSFRA SQSGSFAKDLTFYGNLIDDVQRFDNSFFGINAREAVNMDPQQRILLELSYEALDEAGY LAKHRREDGDNVGCFIGASFVEYLDNTNAHAPTAYTSTGTIRAFLCGRLSYYYGWSGP AEVIDTACSSSLVAINRACKAVQTGECRMALSGGINIITGMHNYFDLSKAGFLSRTGQ CKPFDASADGYCRSDGAGLVVIKKLKHALSDGDPILGVIPGVATNQGGLSASITVPHS AAQQSLYRNVLEQARLSPESVTYVESHGTGTQAGDPLEIESIRSIFRRDLSNTGAGKG SLYVGSIKGNIGHCETAAGVAGLLKVLAMIKYGQIPPQANHKQLNPKIPALEPDGLAI ARSLRPWNIPFRAALVNSYGAAGSNCALLCCEFPSPLRLVVTETAPKCGPESPAVPLI VSASSEKSLLANSRALGTYLRKHGQTGMDLLDVAFTLNERRRRLKYCASIEARSIEDA TTLLEGLSLSAPFTSPQTDSKPVVFLFSGQYDNKVGLDRSFYDSIPIFRFQIDAVDIE LKALGYSSIIPAIFDKQPLNDAVTLQCSIFAMQYASAQCWLDAGLCPSAIVGHSLGEL TALAISGVLSLTDALRLVAGRARLIDAKVTPEKGAMLALSSCPVQEYETLASLLRQRQ PSAELEVSCYNAPNALVVAGSTFAIDAAEALLGAEPRFQKVRVRRLATTHAFHSALME PILNDLAALAQSLEWREPKIPLEASAPQPVESFKEYKPSNHARDAVFFSEAIRRVEGR LGGSGIVWLEAGVDTPVVPMASKATRQPNAHDFLAMKTQGSIKPADVIAKVAARLWGI GLSASHWCLLPHAKAGYQCKPIWLPPYQFEPTRHWLPNLDRVMEIQNTMSKNQPVAML GAGIAQSPPTPPKLVTKRFSESGSAGGMIVEFLINTQSERFKKIVGGHAVRHRPLCPA SMYMECVIMALQLLLDGSEDDPFGRGASLFFENLAISAPLSLGTGNEVVLQLEPQTGG NSWKFTIRTLESRRPTTHAIGVIGVTLNPSLDAFQRLVSGPIERIERLDSDAERLMSK RAYGLFSRVVDYAPFFKAIQSITLSEKEAVASIKLPDGQPGRDETSVWKIGDTVAVDA YIQVVGLLMNSDDSVAADEVVVMVGQDRVIMSPKLQMHDLSECWRVYARFGYGPDQQP IGDVFVCTTKGDLVAIFSGCHFAKLPIPKLEKTLDLAKNGVSSPRRPTQPTPTPSSSS SSTTTETTGSVTPGTSVIFPPEGETGESFLALGNMIAEYTGIPVSDLPHDRTLAEMGV DSLASVELVGELSSKFSIDINGEDVGDCTMGKLYRHLSAQSSPPLPRITPTESGFLVP RIEASENPSPSSLSRGRLSSEKRYQKFMQILIEVTGVETSDIKPETQLVDLGVDSLSS IDLKQELEDQLSARLADLSVEDTIQGLMQHLSISRSDPDLEQVEAPHHPRAIPVPSTN KDCDEDTILRENPFDVLGESDAHFDNSASRNGFLRYWSDVAPLQNELMLSYLVEAFTA LGVDLSSVSPGDVVPQLPHLRPKHDKVVLRLWEILQNHGFVTIDAAGVIRRGSRAVND VSSAQLQETFEARFPDYKDEAALIGLTGPNLAGCLSGKLDAVSLMFGGPKSMKIMENY YNSSPMISTLTDQLVVFLTSLLRHAKNTRPVQILEVGAGTGGTTKRLVGALAAAGVSA RYTFTDISPSLVAKAKGVLKQHTWIEYMTFNLEKEVPENLLGKFDIVIGTNCVHATSD RTASCRRLRQALLPGGIVILSEVTQPIDWYDIAFGLLDGWWLAEGGTAYPLQPPEKWM STFAAAGFASASFSRGTTRESVSQQLLVACNKQWDVPLPSPEVNTFTNQEKEHATSHL ETMVYKEESGVEVHADVYFPTAMPRSPMQIGWTAEEAGLQPPAAVLSFYAPYDFAGEV TELKTYQITHYDNSAKGDTTDLGWVRPGDPRSELVLSLFKEAIGLPVLLNGLPQRSGE EISDDFLRKWLSRPSSERIASISPLERARSGQYSCPTFIIHGTADEVAPFAGAERFAA ELETRGIRRGFLAVPGAVHLFDLSVRPGTTEWEERVAPGYKFLIEAVRGGHDLRGRGG SVDISDVP
SAPIO_CDS4840	MRIENFAAGMGLDETTWPLLTWSDEFLHKSGHILEGAQHADDPG AASLASQSLPFTSIHLPNSNTSLASNSAQRYLQRLSEFNTQLSRAAVDMSSADSPTQI EQAAAPVLKNSAIFLDLIKCLPGFCNMTRTEPTQEASRGLHFSNKSAKQTVTPGEEDE GDDGNGGDGTIPGSSQMCYFVPDMATVLQLVVFSMRLTELHHDLYLAIYRHLQQQQHS PLHEALSGLKALRVDMPGSMQLPPYTPGGVVLLMRMLMMQDWQGRISTIREILQKLND DFGMATQI
SAPIO_CDS4841	MLDRAEEKSEKNYQAESVGGQGDSEVTIHEPIIGNEASSHFEHL IRDGYPGLPIRKTGVVFKDLTVKGVNVGVNFVQTLPHAVLGSFGPDLYHFICERVPVL RFGRQPELRTLIRDFTGVVRPGEMMLVLGRPGSGCSTLLKVLANNRDSYASVEGEVSY GINSFKDLQKSHRRGEVVYNGEEDQHMPTLTVGQTLKFPFLTKMGKHLEAGYLEHIII SLLNLCAIENARNVLVGNAHIHGASGGERKRVSIAETLTTQSAVTCWDNSTRGLDAST ALEYAKSLRRMTDISGRTTITTLYQTGESIYELMDKVLVINEGRMLYQGPANEAKRYF EDLGYYASPTQTTADFLTSICDPSARRFRQGYENVCPKSAEELERIFHHSPAYKSVLA DVDSFQQEYDPRGEIDAPSDASRASSQGSRSANPGHSTPTASFHKQVLACARRELWLV WGDKKELCTKYFTVITNGFIFSSMLYNTPSSTAGVFPRSGVAFFAIVFLGWLQLSELI KAVSGRAVIARHKQYGFYRPSAVTLARVLVDFPLLVPQVLILGLIAYFMTGLDPQPSK FFIYLLFSYTTTICFTALYRMMAALSPTIDDAVRYCGTVLNILLIYAGYIISKPILVS QKIWFGWILSYAFEAVLSNEFHGRRMECAPSQLVPQGNDIQLANQGCAIAGGRPGLAD LSGDDYLGSKYDYSRANLWRNLGVVIAFSILYLIITIVATELLSFARPAAGGLVFKPT KRVKQHFWDFARTPDEEKPGSVGGDLAGGMMLESELDRAEQGQGEGEDLGRVPGNAKI FTWENINYSVSTVQGAKVILNQVSGYAKPGVMIALMGASGAGKTTLLNVLSQRKTSGT VSGEVLLDGRPLGRDFQRTTGYVEQMDLHEETATIREALEFSAVLRQSHTIHHRKKKE YVEKILNLLGLWDIQDAIIRSLSVDQKKRVTIGVELAASPSLIFLDEPTSGLDSQSAF SIVQFLRQLCNSGHAIVCTIHQPSMDLIQQFDMILALDPSGAVFYFGPVGDNGTAVTT YFRDRGTKCSEGKNVAEFLLETAAKGGEKVDGKPVDWIKEWRESRENSQLAQEIRQAK AANVHEQPTTITAMKSSDGGEFATPTLMQIVYLTKRMFIHQWREPPYIYGRLFTAFFT GIFNGFTFWQLGNTVADMQNRLFTSFLILLITAAVLNSVLPKFFFNRALWEAREKPSR TYGWIAFCTAEVVSEIPSSLLAGILYWLLWYLPTGLPYDASTAGYVFLMTLLFFLFQS SWGQWICAWAPSFTVISNVLPFFLTVLSLFNGVVVPYSQLNVFWKYWLYWLNPSTYWI NGVLAATLSHLEVRCGPNEAAYFNPPSGQTCLEFAGTFVEQAGQGYLTNPDATAMSKG VFHAGERDSDGGNAGIALNRSVTFIKAQDLGNFSSRCGNIRQWKISLADDGAVRAHSN TRGPEFGAQHIVYLLTGPELGSVFATILMRVEFYVRDSNPALDSSIKFTPTPVVNLVM ITNSPHPMIQSGWQL
SAPIO_CDS4842	MSTDKITFLTNWHATPYHAPLYLAQAKGFFKDEGIKVALLEPND PSDVTEIIGTEKVDLGFKAMIHTLAAKARNFPVLSIASLLDEPFTGVIYLKDSGITTD FHSLKGKRIGYVGEFGKIQIDELTAHYGMSPSDYTAVRCGMNVAKAIIKGDIDAGIGL ENVQMVELEEWLASQGRPKTDVQMLRIDELAELGCCCFCTILYIGNERFIRENPDKVR AFLRAVKKATDFVIQEPEKAWAEYVDFKPVMGTELNRKIFERSYAYFSKDLKNVKRDW AKVTKYGKRLGVLDQNFEPNYTNRFLDWVLEPESKDPTGDQKKMAQLQKEVAAHGGFQ RLAV
SAPIO_CDS4843	MKIIQFLVAAEAAVAAFCPLPVTPHLPIPSLSPEDAKSLQQTLS DYLNDYLTDIATAPQYANISASISIATAADDSPLFTFNFGPDKSVVTSESIYRIGSVT KVLTAYEVLLHGLDLDSPVAKWIPEFEKEPYDKITLRGLAGHISGLTREYPDNDFSWK LSDEEAAYQGLPVLRAQDKPPCAASHEDPSHRPQCGVYGADYLRLLTTRPLLYQPNRF PSYSNMGFELLGHILALESGQNFSTLIDNDIKGAMNMTDTSITVPAGANVVIPDKTAR QAWDKSHGWTQASHGAYSSSANLSRFLSLILRGISSSSNAMSVPEQVLQDWLTWQTST VTNGAYLGLAWETYRPLVQSLNGGFPFSIHTKGGTVSPAYYSQIALVPEYGFGVVILT ARGPDTAPKRLLDSLIRMTSSFVEKKRSEHARIRCGDQTYDAVVIWALRQLETTDHEA WRAGLDHAVLACSWPGADMLRTVDEETVLYFINSILNCRVSTLQYILTREGVPEIDIP ELLIEEPVTPSTPLAAAPPITPTRPSHAPQDTSLMGSTIASPWTPQTVASPSNASEFP TPLTNPDDYSDEDRRVGTLAHFRRLPIPSSPVRDSGYRDLLAKVVSAARRTVFPDFNP SDLSTALQNLSVADYSLDVSSTVRYGSDWERRIKTGAAGELFIRKMPMYFIEVKTSTE ECTAPFYVSRGQYTRLHINTQGSSHWDGLRHYPYQESLKYYNDLTQDDISGPEASNKI GIQNLATKTITGRGVLLDWFSWAQENNILIDPFSRHAIPLSELLTVAKSQDVQFRPGD ILLVRTGWLKAYRALPKEAQDALAHRPTRSSCGIDASEEAVRWHWDNAFAAVASDTVA YEVWPSPRPAGDRMHEIFLSGWGMPIGESFDLEKLAETCRQEARWTFMFVSVPLHIPG GVASPPGAVAIF
SAPIO_CDS4844	MSSSLSLWRSLCYLTAFGAGLQSAARPTNPTKAMEGNGFQSRIG PSILEVGGGLRNVFPADNFNRNVSTAWWDTDVLDDGNSNEEAKNTAKDLKLLGVGGYE APKEIEKIFEFPPGPSFAKRLVHDGTVFSPECNCIFLAELHPPMEGFSADAMPWVWRV NLNNTPLTTEKVYPSPQMTVPNGVYYYKGSIYWAQEGNFTVPGGIVRMDPLTLSTEVV LNNFYGHRFNSPNDVVITRDKVAYFTDGYYGYDNFNDTLKPELANGVWRWDMKTNDLR MVSGAGGGPFTNPNGVALSLEEDKLYVTNRGNTSDNPAGGRTIYEFQLSNSGGIPVTG GDVFAYVDSGFPDGVKVDRDGRVYGGVTGGVTVFDSAGKHLGIIRVADGDVAVNMQWV DDWLYIAGRDYIYRVQLNSSGVQGL
SAPIO_CDS4845	MTFGSSQQERYGEITKETAIQILDTFYAGGGNFIDTASVYQTAS RKSGSASGWFRGGSSTKMMIATKYTSAWRLFHKDEIQSNFVGNGTKCMEISCDFTTSA PEQIHSLNELVAAGKVLYLGISETPAWVVASADQYARGNGLRLFVLYQGMWNAVMRNF ERDIIPMCRAEGMGLAPYRVLNQGRFQRAKGFEEREKHNPSRKFTPTSSRDKQVSAVL ENISK
SAPIO_CDS4846	MVPILEEKTNGVPVLADISFEPQEKHDLVLKVFRAFIADQCQQY NGGHPGSAMGMAAIGIALYKYVMKYSPRNCSYFNRDRFVLSNGHACLWQYLFMHLVGV KSMTLDQLKSYHSSRTDSICPGHPEIENEGVEVTTGPLGQGVANAVGLAMATKNLAAT YNRPGFDVVDNMTWCMIGDACLQEGVGLEAVSLAGHWKLNNLCVIYDNNSITCDGTAD VANTEDINAKMHATGWNVLEVSQGDTDVVAIANALMAARKSDKPTFINVRTTIAYGSG NAGNAKTHGAALGVEDVRRIKESFGLNPDEQFHIPKGVYDFFQDILDRGEALEKKWQD TVQRYSKEHPILATEFGLRVAGRMPDDWTQCILPEDQLPTEPTASRKSAGLITNLLGE KISSFVVGTADLTPSCHVAFNKKVDFQSPDLRTSCGLNGDYSGRYVHYGIREHAMCSI SNGLAAFHKGTFLPMTSSFFMFYLYAAPAVRMAALQGLQQIHIATHDSIGTGEDGPTH QPVELAALYRAMPNTLYIRPCDSEEVAGAFIAAIQATETPTIISLSRQNLPQYPKRSS REGVARGAYVFSEVEGEQFDVTLIGVGSEMVYAMETRDLLLQKYGIKARVVSFPCQRL FEQQRRSYKQSVLKPGSGKPTVVIEAYAANGWERYADASVSMRRFGKSLPSKAAYEYF GYEADKIALKIKDLVEEVRKDGIQVLRGDFRDLNGYLGSPAVGDMIDHLTHGRYRSRT HRVRRPAPGSAPRFSFPPFFEFAWGAEMKRLPLDHLAPQSDKERQLAKQRWAATTFPG VEGKLSQYLL
SAPIO_CDS4847	MQPWSTRRAQNRTLRILRSATEGQYGVLAVICYNIEHLTALVRA AEAKRSPLILQLFPSTVQQLPTLAWAAAAAVKSATVPISLHLDHAQDEEQIREIAENL PFDSIMVDMSHYEHDENLAKTKVLTLLCHERGIAVEAESGRINGGEEGIADTGSLEAL FTTPEEVEDFISAEIDMLAPSIGNIHGDYGPAGPQLDYERLARVNEQIRGRVEMALHG TNDFTPEIIRRCIENGAIKLNINKLILECWNDHLRKNADKPLMQLIDDSIAILQAEVE RWMDACGSSGKA
SAPIO_CDS4850	MAAPQREPFAPSKVIQTEYPLIDNDPHFTRVIGYARPSDYVHGL VAAAFGPGALLFMEKFSPSRVGKGGFAPAMRLAGAVGAVGGFLYFYQRSALRFYGATE NSREIEMDMKEMVAKVKAGEPLYGESQLTPHMQGVAARQSRYSSLFMSIMPWFNFVNH NQHGVDTAKYYQQAERELEAERLAKV
SAPIO_CDS4851	MVAEEISTHPRRPNDLDDYDVELEDIFQEPNVSSVSDVLGTRNE REGLGLEDAVSVTKRAHIPRVKLDDQRLLSEAGIPKLRNRARKLRLKGKGHEFSDAAR ILSLYQLWLDDLFPKAKFLDALSMVEKAGHKTALFKQRQGWIDEEIRSMSAEADDQVV STPPISAAPADDDDDPDGVYTATPHGGATSPAPHPDEDDMLESLMLEVADTTSSNAIV DPEADMFADEESAMAQMEDLW
SAPIO_CDS4852	MTPPPFSAESKPETIALAALSPTASPTNVPTSTETTDTTAPSTP ASEKKPVKKRKSWGQVLPEPKTNLPPRKRAKTEDEKEQRRVERVLRNRRAAQSSRERK RQEVEALEKRNKELEARVLSIEKTNLLLLEELNKYRRTTGVVTRSSSPLDSLQSNPVT LTSELFSSQDSHKVLIDQLMFNEPSSTTVDPSSLSPKLSPDVDEQELAAVEPTPVAPA TTSASASSTSDRATELTQRPAAMLCDLQCQHSVDLPRSWTTSQMDLVQPRYVLESGLL SSPNSVDFENDYLAGDAAALFPTDLFDISQFLHEDGNGVVSDATAANGQSVAAEAELD LHFADFENHFSSENLNLQPHSGASASGCDDGGIAVGV
SAPIO_CDS4853	MSNRQLARDMQVEFQARFQAKQARREAQKAAKQDPILKKQIQDL LKKGETAKAYQKAKMLLSKQALAQQMDQMADMAELSAAQIQANNAMNRMTHMMANSSR TMSVAQRNVNPEKTLLTLEQFKQQNEEYAMSNGIYQDAIAQSTSAQVSDDAVHELLGK LADDAGVELSAELNSPKPVVAEPVATEPTAEEEDQLQQRLRALRA
SAPIO_CDS4854	MSRYVLRASRMAASARPATFARTALTPAFAIRAARTYSASAATK EYTVRDALNEALAEELESNPKVFVMGEEVAQYNGAYKVTKGLLDRFGDKRVIDTPITE SGFTGLAVGAALSGLHPVCEFMTWNFAMQAIDQIVNSAAKTLYMSGGIQPCNITFRGP NGFAAGVAAQHSQDYSAWYGSIPGLKVVAPWSAEDAKGLLKAAIRDPNPVVVLENELM YGQSFPMSEAAQKDDFVLPIGKAKIERVGKDLTIVSLSRCVGQCMIAAENLKNKYGVE AEVLNLRSVKPMDVESIVKSVKKTRRLLAVESGFPAFGVGSEILALTMEYAFDYLDAP AQRITGAEVPTPYAQNLEEMSFPTEQLIENYAAKMLRVTLRILTHAMACEDALQACME RLSVLSCGAEEREDKPVSVIDDLLAGGPRPVNRRKPATISNEHLKQQLEGEFLAPSAS FPPEWLDRFQQRWESLVEFSELFHLAPTQSRTVTRFVRHGLEGRVTGYKSVTVPANSA TAKNSTSFLRKPAGRADFVRGAAGFFPFAPGGLDGIEAAAAYEDLQLADGADTKAGAS NKLERVITLGAEGGLLEVAPGLSRGINFGKKTPLAEEDESQQVVRELREEPDQIHVSE GVDGEIVSPAAGEESPESDEDAEEDIDAILPVEFPALEPHGILAASSTRRAGREYAHM VDIKREMANFAELVPDMAREWPFELDTFQKEAVYHLENGDSVFVAAHTSAGKTVVAEY AIALAAKHMTKAIYTSPIKALSNQKFRDFRLTFDDVGILTGDVQINAEASCLIMTTEI LRSMLYRGADLIRDVEFVIFDEVHYVNDFERGVVWEEVIIMLPDHVSLILLSATVPNT YEFASWVGRTKKKDIYVISTTKRPVPLEHYLWAGKAIHKIVDSEKRFLEQGWKDANHA LQGKTRTLTESSAGARGGGRGHGRGGNQRGGQRGSGQRGNSSRGGGGRGGGRGGPSRA SHAPGHMGRTGRPGGYTSAAQDKTLWVHMVHFLKKNSLLPACIFVFSKRRCEENADAL SNQDFCTASEKSAIHMTIEKSIARLKPEDRVLPQIIRLRDLLGRGIAVHHGGLLPIVK ELVEILFAQTLVKVLFATETFAMGLNLPTRTVVFSGYRKHDGHSFRNLLPGEYTQMAG RAGRRGLDSVGSVIIVPPGGDEAPPAGELRTMILGEPSKLRSQFRLTYNMILNLLRVE ALKIEEMIKRSFSEHATQQLLPEHEKAVRLSEADLARVKRDSCDVCDGVVDECHGASQ TYRQLTTELYKGLLAIPIGRKMFTAQRLIVYSRDGIRTPGILLAEGTSIKGSPNNPMV HVLEIKTAKKHVHIKTAHVPVEDIICLTRYVTKGIVPEIFQGGDAGRRAKERIHGLCR SWDGIWEEADMSRFKSLYLQELVERRKKAAATAAASPALRCPDFVKHFAMCHDQWLIK DHISQLRQALSDQNLQLLPDYEQRIQVLKDLKFIDDDTRIQLKGKVACEIHSGDELVL TELILDNVLADYEPAEIAALLSAFVFQEKTDCEPSLTSKLERGRATIVEISEKVNEVQ TLHQVIQSSDESNDFVSQPRFGLMEVVYEWARGMSFKNITDLTDVLEGTIVRTITRLD ETCREVKNAARIVGDPELYQKMQAAQEMIKRDITAVASLYM
SAPIO_CDS4855	MVASSTASHLEREDHGRDAAFNKAMHGSSAQATGGIAAILKKNT AAQKVASEEYFKHWDNKAAKDETAEDRAARTAEYATLTRHYYNLATDLYEYGWGQSFH FCRFSYGEPFYQAIARHEHYLAHCIGIKEGMRVLDVGCGVGGPAREIAKFTGCHVTGL NNNDYQIERATHYAAKEGLSDQLEFVKGDFMQMSFADNTFDAIYAIEATVHAPTLEGI YSEIFRVLKPGGVFGVYEWLMTDQYDNDDLHHRAIRLGIEQGDGISNMCKVSEALAAI DAAGFEMLMHKDLAGGDDALPWYWPLSGELRYIQSVGDIFSIVRMTRWGRTIMHNLAG VMEKVGLVPVGTKKTADSLAHAADCLVAGGREHLFTPMYLIVARKPSN
SAPIO_CDS4856	MPPPQIKQDLNRSGWETTDFPSVCENCLPENPYVKMLKEDYGAE CKLCTRPFTVFSWSADRAQGRKRRCNVCLTCARLKNCCQSCMLDLSFGLPLVVRDAAL KMVAPGPTSDVNREYFAQNNERAIEEGRGGVEEYEKTDEKARELLRRLATSKPYFRKG PTVDDSGAIISSTGAGRGGPGPIRTRDSRAAAAAGGGRQNRPKPAAGGIAHTPIGPKD WMPPDDKNIMSLFLTGVEDDLPEYKIRDFFKVHGKIKSLICSHMSHCAFINFETREAA EKAAAACQGRAVIAGCPLRVRWKMPTAVGTMNREQRAQMLMDARRTITGVRGKDNRRG HPGSTPSASEGEQSAGPATTAAPPGSAAIPKYASLEGA
SAPIO_CDS4857	MALAAYRNLFRATQVAFRGDQRLLIEARNRIREEFRAGASPSDP DFQKRIQHAQEVASVLRANVVQGVQEENDVYRLRIHDQTERGDNDSIKSPTQTLGGGK CGCS
SAPIO_CDS4858	MAVASVTSIPLPALPADWAAGKDFKPVGHISASTLRTIEPVGPH FLAHARRARHKRTFSEDDRIQAQEKAKKVEDEEYSEISEDEDPLMLQRDAKDWKSQDH YAVLGLTKFRYKATEDQIKRAHRKKVLRHHPDKKAAAGRTDDDNFFKCIQKATEILLD PVKRRQYDSVDEAADVDAPSKKQLAKGNFYKLWGNVFKSEARFSKTHPVPTFGDEKST KDEVENFYNFWYNFDSWRSFEYLDEDVPDDNENRDQKRHMERKNANARKKKKAEDNAR LRKLLDDCSAADERIKRFRKEANAAKNKKRLEKEEAERRAKEEARLKKEEEERAAKAA EETAKADREAAKKAKEAAKNAAKKNKRVLKGSVKDANYFVSGEPSASTIDSVLADVEL VQSKLDNEGIAALAGKLNGLKLADEIKTVWQDEIGRLQGDGKLVAADIKALGS
SAPIO_CDS4859	MGEENAENPQDQHLWAEFEPNTAPQVSIESGLEVAVLIEPDAAP VRPSAQASAGEGAVTQATQVTWSSTPAGILRKLGSRKAMPWIGLGIFICIAIAVGISV PLVRGRVDATNASTTSEGPPLVTNTGASQSVTGSAVPPDSQPSGSSGCSKENYVPSVD WVGIRDGQGWDFELEAVGSAQKCCVYCYEAASECNAWLFIPSTRPGPDCTVITGTLPA EVHAQMREETQEPAREGESRTWP
SAPIO_CDS4860	MDTASLHAKASQETLASLLQLDPTPIDPEAPPPAFEKPLPSLPK DSPEPRPRSASTSTYPMTMEGELPTPHRGSPSPIFLLSRVQRYSSITLSIFTSIHLAN TSLIPLFTRSVPASETYLLQAREIYQTTLSEPLLIGIPVLAHIAAGTALRFLRRSHNL RRYAANAASVSTGKYPPPVPTLRIWPHFSYISASGYVFTAFFAAHVAVNRILPLVVEG DSSNIGLAFVAHGFARHTALSTLSYVGLLTVGCGHMVWGAAKWLGIAPDTSEWTQRNG SRVVPKGRRRAWFGVHGVSAAFALLWAAGGLGVVARGGLTSGWIGTLYDNLYAHVAF
SAPIO_CDS4861	MAPRHQTLPAPQTSSAREARQAFYCNLCSKGYSRMNDYEAHLSS YDHTHKQRLKDMKAMVKDPSAGARARKAEAKADGIISLKLTGTETSNNSGGGFKKGGF KKSGFKSAFGSSTAPANPASISAPGSSGPASLVGRFSTEGGKEAATRETRDLDISADT DSEDEGYERYDPRHPTD
SAPIO_CDS4864	MFQSLQRIKGAIDRTIAEEQARSKETTSATPSRQSSTSSRGGKS ESPSKRPRPKQRSSQDASKTSGEGTANLDPAVFEAAFALEDSEDPSRAGTPKPPSDRD EKTKTSSSNVTGEKPAENGTSNQSEEKRNGADSSEKAKADVGAAAAVDLPMEVKVKLR KFERLESTYTELLRSYRIAHSRAKSVEPFERALKENTPLASISDPAAFVEYLSQLNLK GDMVMEELKRVSAERDELKKKSSAQESEIETLKSELAEAKKRASVETAVLDTKKETSP EQGGAKEKSESKPVQKTASGDDEPEGKGSSELFSFDSEVLDDSAARTQEIETLKSEVE SLRSELSIAKETSADLIEKLEKTTTELSRTRDATAVKKSLEAQLEARNAEITSLTERL HKSQSQLKELEGKLENEKELQTSATKDLKAKLAAADSRCSQLESELKSLTATKSVLES KITGLTGKIDFLQQSKEEDEKKVDELNKKLKEVQSSVPKSTGGASTAASETASATPSA PSASSKKKNKKKKKGGAAAAGGATADSQPPTTSDLAPPSPVESVNVEALESEISRLKE NVAEKDAQIDKLSKKRKNEEELREEVESMQENLLLIGQEHVEAKERIKALEAEKAQLK ARITELEKESENAKVNSKAQAELEELQQQYEELKVKTSTLQTDLAATQQLAQSRFKEL TVLREILQKAQTELKSLRQEAAALKTTKEELAKRNMEIRALEKKEKEIKMELNRAQRL ASDRETEIKTLNEKVSAEMSNRVRLEDANRVAGRDLRRSEAEKIEISAREEKATRELK QLRELQPKMRELQEQVEKLEHAKKLLKEEADLKAQQYTSAQGLLDSMRDQTTELTVQL REARAQAESLDEELVEVQRMLGERTREGETMRRLLADVDERADTKVRDMRNRMDAAVE ERDRMEEESSALARRKTRETEELRQKIRELERDVKALANEKDELEHREREWKRRREEL ESVERRADAEIAEARTAVSDLKVALDASERQVADAERQKADLRKFLEEARQKHDRIAR DLKMVQAKMSPTGGAGGRSSIDSTRSGTSVGGAAGGAADVMYLKTILLQFLEQKDNRL RAQLVPVLGKLLRFDPADEKKWLSVVQRMG
SAPIO_CDS4865	MVSFWPWRSEDSSPASFEKTLSALSAKITDTQARLDGLRARSRR VRVLWTLYLSFAYLVYSIVIILVVGSQKMGPYEWTGIAGGPVVIYVTRTSLAGYYTYR IDTLTSKLKDQQAERAKTIQKLKDATKYDTTLELLEKYGGPEQRPRSRRDSGSEGEGK EPGHGKKPPHVLGGQPHVNIPGRTGLPPPPTANIQRRPPPDLLPGAPNPDIHPPAPFS GSVPVTEEFAPNAFNQPPPPPAAALSLQSYGQYEGPGAGPHWYDRIMDLLLGEDEMAA KNRIVLICQTCRLVNGQAPPGTKRLSDVGMWKCMGCGAMNGEMDEGKKLVREVLEASK ARDEARRSVGTDDGEENSSDLVEIEKEDGEDEDLAGSTTQETRSGGARRRKGK
SAPIO_CDS4866	MNLSPTSVSSAEDATENTADEEDSQDYCKGGYHPVTVGESFKDG KYTVVRKLGWGHFSTVWLSRDNITGKHVALKVVRSAAHYTETAIDEIKLLNKIVQANP NHPGRKHVVSLLDSFEHTGPNGTHVCMVFEVLGENLLGLIKKWNHRGIPMPLVKQITK QVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKKVVKNETSTEKENNRNGRRRR RTLITGSQPLPSPLNASFNRDNLFPATGQHASLGHMLSEANSTSKTRSPKHEKDGEDK QKQREKSADLLTREVSGISLDKANNSSSTGEKRKAEETFSCDIISVKIADLGNACWVH HHFTNDIQTRQYRSPEVILGAKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDD DHIAQIIELLGPFPKSLCLSGRWSQEIFNRKGELRNIHRLRHWALPDVLREKYHFREE EAKRISDFLTPMLELVPDKRANAGGMASHSWLDDTPGMKGIKIEGLGVGTRGEGIPGW SSESRTR
SAPIO_CDS4867	MSDIESPARSPPRDEEERVPRDDQEDDTTQDNALDKVDVDDDDE KDDDDKESEILSELDEDQFEDYDPETANIEDRPVEIDEDVARTLKAARRQRSDTDKSR KVKEGRREKKKRSRDGDADGQDDESRPRKARRSEAGGSRTVSKRPSPEEEDENDSHLT PEERRRRALDRALEAAVKGPTRRKKKKDEADLDDPIDDQIADLVVRMERACEADNTAR EANQPAVEKMTILPEVVSMLNRNNAQEAVLDPDSGFLRAVRYFLEPLHDGSLPSYNIQ RDIFNHLIKLPIEKDALLGSGLGKVVFFYTKSKRPEPNIKRIAEKLVGDWSRPILKRT DDYKKRQLETRTYDYQAAKLAQRSSSSQFSLSQRPSQSRLEAERERALAPTGPTNRAR VPEMPQSYTVAPRSTFDKQSSRAFEHRPIGASGIEAFRKMTQKPRKRG
SAPIO_CDS4868	MDRRTYESPMDWEYQGTGPVDVTSPFTQISRNNPKNSIFSSPSK PSSQNNLFSGSTLPSTPSKQQAPPPPSSFFTPRIPGGQAAPPFRNPAFTTPRRPFDEL ALSEASGAETSPAFTENSDLANDTPELDRMSDLNMATITPSRVDKNFRYSKNGLQSRR YAPGKGEIPRPSRDHSAVDFVRKRKKRNYDRDVGSSRGRHGGGYSDSDEDSDGSLADE RMSRSRDKKRKAKSKGLMGGLFTTMQRNPDFVEQAHKLIRLSLHLILVGSVMFVGYKG YNAVSADIMTANRAAQAARLNQIAECTKQYQENQCVQNLPALRQLCEEWKNCMTDDPE AIFMVRNTIKEIASIINEFSGEMHLKAWGFFFLAVIMLIISNTLAAGRVHPKPVPSVP MAPPYATPATGPWAAPMPSEAYHQWMHIQTPRTRRRQLEMDDGTDTDDGSIKGFRGAA IMAPQTPSRRSPTKENRSMSPVKFGRSPSKRY
SAPIO_CDS4869	MEDTPSSHSAARTPRAASIGPVGELQSRPSSAASSVPGPPPLPG APSSSSSSTVTGSVGTGSLPPGGAPTAIIDPNRQFSKRRRGHGVVTPNACTECRKKRA KCDGQKPCARCKAQKNVECIYEIPVRQSKENLRGEIEQLRQRQRASDQVITALLRPEL WQEVLNRLRGGQSVEAVSDWLGGALPPGPGSTVPPFARPIEPAYTGRPGGISAVGFTG SGPGSMSTLTLGAGQSHSPIARQHPAGPALPPLPASAHLPGLRTSEIDQTQQHSPWNF SSHSQSGVSTTSESHQGLMNWTPGVSQPSSRVGSWVEGMHPEAGSPEYGQARYRWLDQ VLSPLDMPEHKVPAIEWTAITSDFTLVQHLLSLYFCWEYPTFASLSKEHFYKDFNDGT RRYCSPILVNALLALGCRFSTRPNTRANPSDPTTSGDHFFKESLRLFHLEADHRSLTT IQALGIMSIREASCGRDSESWYYAGQSIRIAIEMGLHLNDGMGDDDEVAVKAATFWGA FALDHAWSLATGSLPQCSCVPHLPPKPAIIDDIEASLWIPYTDDGLPLQRSCLQPSNV RSVYKCFCELSELVHQSLYILHTPGRPLTSRDLLGIYTQYLDWYDRIPEVLRLGHNFT PAVLFAQILGSKVLPRDVCSQAADAIQGLLRSYSQLYTLRRTPSFVPYFVLTSAIMHM AIAASPPSVASTPVSADQGPPPQQGTHPPETSPETSGVGAAAPSSRSDPRVFNSISQG IADLAEMAPCHHFAQQALNILRYLAKKWNIDVDMGREKTEAAEDIDGLLRPRTDSLNF FAPDVQIEDFVCGWTGGASSVGGKVMLAPPPPRPVSDNPLFWPFPLQGRPLLPTGREL ADAGFALI
SAPIO_CDS4870	MPTPSRRLGGGRTVLSPPKLLHMYEDFITKNAGQVSQIESALRS LTYIIPGRFRDAEIASETLHSGVQLLSLYHDSLLTRAVATISRGPGTRAPSPHTRYTR YWTQRSTLYRRVALLLQMVRYTELLLEMAAKRRGGERARWRIVVLLEFIKAVCRLVLL RITSSRPIMSPVLPERDPVVPDDSASEDGESAVSELWDDAASSTGATGTCSSMSSSKS AHEKEWTMPRTGMNLPSLPTASDITTYLLSRVLTPEDIKPATKLVNQLRGSGQAAEVL HILAPLIYAVCLALSRSSSPEARRKAWGPWIAGVAVEYAARQLREKGLRTTPLEKDEW RRRGWAMGWWAMRGPFYESVVKGVVQGVRRRVPGVLGGILEDYEYLWENYYFSTSSD
SAPIO_CDS4871	MNEAPAEVLKEARQKILAMMDLGLSRDTLFNLVATSNPTTATDK SQNTSQQQQQQPSQQSQQQQPLPARPVDGLIQDVKQENQPTRPIDNGVSNAPAPSNVF WPKPGPYHHRPRISVSSTSSGSSGRASIWSNTGSFASTSSGATHYSQSSPLSQSQSLQ SAQSGTTSPPGVQNPAGWPTGRLNFYWCTSCETRFKRKYDWKRHEEEFHERWKKYPCP EPGCNRSFWGANTFNQHHKSSHGCKTCPHSEQVVKYLRKRKYWACGFCAALHPSRERH VEHVARHFEAGKTKADWTHSRVIYGLLHQPLIHEAWKDILNEKQGEFAGRQAHFSWNP TKTGRAQGFMENECPGQLQDLLEFFSGSSTDAEAIVRVAYELADVVFMTSPFCPPASC VDTTSAVAAANATSYPTPPESTLSPVTPGTNIPPHPQPQPGMMLGEPTSPPGAFPGTF GNPAMMQPSMFSPTNPATRPVSQQPLRRSSLERALPPPPPVEANPMTGAPMINIDYLQ SQAGTGLMLEDWESFATTVVDDGSMQQQPSQVATEWPMVQYFGPQ
SAPIO_CDS4873	MATRTMDFYYPTQRDSISADFTDLALYDPQQADFNTDSAAPSPV SPTFPVLTNAYQLPQAADWINWSGKAALSPEFPSTDSFDSPILSARFPTSTSPAVNPL DLAKRETDTIAFVDGSFDDLQTPLFDNIDTAPANPISSKSRKIKASSTAATRNNVLEA LSPTTTDEGRYPSRKRKSLASASETSSSSARSSLAPPSPPLAPVTSRRTSGGSSSTTE RGQPKKTAHNMIEKRYRNNLNDKIAALRDAVPSLRVAAYRLENGQTPEDAELEDDVTS ELGGLAPAQKLNKATILSKAAEYILHLERKNKELADENALLKRRAGDVDAATGGLDGL WR
SAPIO_CDS4875	MDHDVTGQPPLKRTRLSVGTQDQATTELGTCPGTFNESFNLASE QLSSVWPAWDDNPIDSDFSNLANNAPDGFFSPESSLSTTNLGIPNCIGTINYGISDWT FPDSGQPTPAAANTWFLDEQDWNPQSASHLQCAEDATNGDVFMLDAPSVPVALPPFEP SLGGHSSHHQVTVSRDDQKGRPDMGYDKMTGQSISTPESAQENESRDWSLSAPKSDTS AEDEKIPNVCFGMIHNARIKLLDDASGASNMLCSLAVASPSEPYEVLTADYRKTHISV QYMGKDLAVLESAVSDPLIEIRKRATTKVELLIDMGNLRKKSRAGLDLEVLLNGLGHE SELEVPAPVDLILTKLKRHQMSANHSFEHAITGTRKASPVVETVGGILADEMGLGKTL TTLAVILESLPESRLFASTHPSPGKPATKGTLVLAPSVLVLNEWLTDIKNHTLSTQFN YHMYHGATKTKAIHDLVKHDIVLTTFSTLASEYRNGSGLLHSATWFRIVLDEAHSIRH EATQQFKATASLAAKFRWCLTGTPIQNGLRDLQALVNFLQIPVLSKKSTFRNNITKPV MDSHPIGIRNLRLLLGSICLRRAKNQVGLQEPTEIVHRLELSDEEKELHKDAGREARE LADRVLCEDSQNVNEDQSIPPDGSSTKLNCLLEDILKHQGSAKRLNIECASRVHVVEP HWNPSVEKQAIGRAIRLGQTKDVVVVRYIIAGTIEEYVRRRQEQKLELATLGWDSREE EHRQKLADLEDIDMLSGAVPAVQQEIDNTDPTHLSSSHQRSLVSMDKVRVKTALLY
SAPIO_CDS4876	MSVSSNSNPEASARLDSAFQRALAKFKTDSLTEKEGDEFQWGTL DELKASIEKIQDEQVSKNKNRNLNRVKAFLEGMQQYEEIVKVFLNTCNYLAFVWGPMK FLLQVTSNFVNAFDALLDMYNEIGEQLGLLGKYQSLFCEKPHMMNVLEMIYVDILEFH AKALSYFRQKLWKQLFRHTWKNFRTRFNDTIQNFKRHKSLIESQATLAQFEEICSIRK QQAEQLTFQREEAVKRRAQAVRAWLAAESMTVHQDHFSALRHEYPGSGEWILKKNRVR QWLDVGSAAGPLLWLTGIPGAGKTFLASTLIEELQKRTGVHVLFFYCKYETVGRNSFM DIARSLLQQCCLGDERLQEYIEAKSSGEDTLRKSQAKELLDVAIRRKNRAFIIIDGID ECKKEEKVEIVSWLRGIVAGDCGASDSDEEEDVENEGEETAVRGLIVGQEDNDSWRLL RDLPVVKIEPGDNEADILRFCRDGESKIKARFETGSLDVAETVAKYAAGMFLFARLVM SNLRTQISQYRFEQEMKWVTEGTVVDKITEKLELAYGRIITRVITEAEEAEREGALQL LGIMVSAQRDLAWHEIQGLLAVDLASRLIDVKRHMSVDSKDLCGSLVEVRSGQTVTLV HTSAEHYLVKRGIVNVGRQHVNMARICLGYLSMAPFDPALTTNEIYDLLKAGHYAFAF YALAHWLDHLEKAAESLQHAKKPEDLQMEPLLEEIEHFLENNYQSPIETSAEEKSQVG RFEPFEVFGESGHMESLTQARHTWLEFTGRDEPAELLPPPPPPSRASEDSTDRGSSNA QWSVAAISMMDLKLGEIVTPTKAATDFDSAATSTVVQKLLLVSAASIYWRDTSVRIIT TFRAIPATSPSRFLF
SAPIO_CDS4877	MASKLEIPDGDILSVFLAPNGVSEDQPIWIDGQDQKQQISKSLA RKLIRCLIDGYLSTGLISSQAAKPSVIILYSENQVLLFPNILSIIGAGGTVATCPWEA SVQELLYRVNSLQPAAVLCSQSSLGRVLEAAERSTKKFQIIIQDSITMDVWLQESHHS LISPHGRDWDVKWDETVANRPSVIVFSSGTTGYPKGVCISQRNLLANVFQMQLQWRDM LQDPSAPRIVAGVLDSAHVAGMLVNCFLTLGLGFCNYLVPGSNINLLLEIIVKEKVNY LMAVPPVYQRLTCHPQWNTADLSSVKHAVSAAAPATPELLRAVTAKLSTGAFCQQGWG MTELTCLATMPQPGVLGPWDSVGKPLAGNAIKIFNDMGVEVPEGEVGEIYVSGPTVTQ GYYGQSNEQRKETFIGEWMRTGDLGKLDANGYLYITGRNKDLIKYNGAQVSPREVEEV VGSVEVVAEVAVVGTDLDDGNELPTAFITLSEVVPLNRQAEIKRKVIDYAAERLSPYK RLRGGVHIVDSLPKNPMGKVSYKDLRAQAKALRQKEMVSTRSKL
SAPIO_CDS4879	MHTATIDHGIEEIVPSEQRAIALRLVEQVFRKYDVSTSSQTRVV DAIPGSSSPNRDLDETRLVPVAELLGFELPPRDIIDTLLTAYMESMHWYLNILDAPSF YNKLEPILATGLAPRSQRPFILKALVAMIMGARLLSDEVKQEKFSTSTFSLTDLVSTL TAGVERWYFPLMDSVTPDTVAFSFMMSANYLFNRQIRFLSMSYGTPPIVTEDSCCVQL PDDLEGNMLQHPGFKSMEKREDGELCSVTTGSYNRYKAKMYMMASSIMNQIYFTRHNK PGELVRLIKGFFERVLEWERSVPPELQLESHMDFIPSEGEEGFIRQVFAIQALTLRIS YDNLLIFLFRPLITIGSVSQALQRTRESSPACLASILATGVRPDRVPDAFLQVAETQC WTSATRTSLVFQRPNIRNLFSFSFPALHVGIYAFSAGVMLALLALSYPLSTRGDECKR GIARIIQFSRSAQLKPHMRSQMSEVLTDLMHAIASEETKALIASPDGVKSAAESYKNP HAHALDPTPEMCPASSGGSQALHTPTCITPEATPPGDSDHGKSTTSEKGDEQQQLQAH ELDTGLTLAAPPCQTSHMHENENGPSAPHMVTWGVRWTYGPHHTPNG
SAPIO_CDS4880	MSSMETPKKRRPSERWTSFDNWDYDGMKERLERFMASINNPALV EHAKIVLNSPVSMSEPFSAGQYWCCFELVAPDGRLIIARVRLPKHPDSKTSDSDGADE YLIRCEVATMGFLQANVTTIPFATLFAYEAPGSAKAVKVGATYMLIEGFYGNTLQDVD HSIYNLPVSTQERIITQWTSYQAELAAFTFPSIGSISQFPTEKGPIIGKLATASSDGL PTAGPFQSGWSYFNALAEARLTQARKENASKIFKDPDDQGPFHFNHMDMGIQNILVDD DFTILAIIDWELSQSVPWAVNHYSMPIPLIHSDEEIREILNDPGHIAHRNVSRQEATR AMYRRKFKEAEAALKERGPPLRVSISEILEGKASRIYGVS
SAPIO_CDS4882	MSAAEPPRQYVPLTCHGHSRPVPHLSFSPLEKDDMYYMISACKD GNPMLRDGQTGDWIGTFIGHKGAVWQARLSPNSTNAATASADFTVKIWDTHTGEPLYT IQHNHIVRAIAYPPDNSDLIATGGNEKILRVFDLTETPNPTQNGNPAVPAVIESTRGF EIGEGTHKAPIKFIAWTKDPNIMVTASDNTLRWFDLPTRACVRQEVLDGEIRSCELVS LAPAYTSPDDIGGGLPVLAVAAGKWVYFWGGPQAMDEIKRMELKYTIASVGLDLKGRK LVVGQEPGTWARVIDWDTAEELETHKGHHGPIWSIAFSPDGKFTALASCSGRRERSEA TVDTKENQNHGTSVGKTPRSGKSVAFKVPDGSEKISKTSTAANTTGNGAGGGHKGKDT GNLQRDGPHSGRQIKDKDTVAHHNKGSSNVQRESKRSERGKRDESFQQRVRDEGYARG GGKEHRCEDEKEAAFSQWDGEERFY
SAPIO_CDS4883	MDDDDRANARIDEDSNASSDNDQDEVMEDVDDVDADADADADQE VDQDGDGDDDNDDNENDGEDDDDDNEDDEDNPDQADTQAIDDADASQNVQIKVEDEGG DAKPLPEADSSKGPNGTNGAAPGASPTRSKSATPGPARWKPVIRPEVYSAKVYDIIPT MAAPQATSINAMAITPDLRFWMTGGSDGYIRKYSGPETINGKQLLTVAQRHPFVDSVV KAGVLVSYWENEEPPQPNARGGPEEHVMSPVYSLAIHSQALWLLSGLESGCINLQSVR HDEGKRIHSLKQHANAVSVLTLAPDETSVLSGSWDKQIFDWDLNQGVVSRSFPGSGGQ ISAIELRPARGNPFPATAAEEPVVSDTFFSTDEKRGLLSNGDLFSGSGAGDAGGIGGA NGDAGDGMGDVASPAHESLFGGSDAGSLFGETMGGGFGGGDDDDEFSHAIGMSLEGDS MNAGNADGGPTDATMTGMDSTMGDVAAEQQQQQQPLQPLTQDAAATSTAPDSSGLAAT NTTDTTNTTQHTSASSIVPTTSQDVPSTATTSTQPTTTTNSAPSTDATMTDSAPTQDS NNQSTIPAATTTTTASTDNTQQGGTTSSPTLVLSSNPTTQPEPGQLSSTTFFSAGMDG TLRIWDRRVPDPIARIVPQRGVPPWCMGACWSPTGDRIYVGRRNAVVEEFDIHKARSG WAPERSLRFPAGSGAVSAVRAMPNGRHLVCASHDILRLYDLEHVAAGATGKQSTVPFL IVPGPPRAGVISALWIDPTCRFMLSSAGTRGWEGTSTEVLIGYEIHVPE
SAPIO_CDS4884	MATTTDPTTEWKTIRSKRKPRRSNRTSPSQRQPTSEPSSSSLDS LPMSERLSSLQKDCARYETIWNESATRRDVLSLAARIASDLTSSSSSSSSSAKGKRVR VGLCLGLGSLEGERGGWEGRRRAWMQLFAFEGLVRGLDSGAKKGRLRTVLQDPVFTEG DRMFLSGRGHEVLEDPGGFEVLRRVEGGMDKKEEEGEGEAKAEKEEEEEEEEEDGTLL FGVHLYLPVYKRALESGLPAVFVGTGWDTWDDINRGDELPALKEIHETYRREEFPEEE SLSTFSSTCVYWKGPDSRQDAGEDEARGGKSQVECPETDGEREKRSEKTPIGEHVKAT I
SAPIO_CDS4885	MTSPAFQLSAQLVGHESDVRAVAFPNPDAVLSASRDCSVRLWQR TSDSSSSTSSTFEAHITNQGSDYVNSITYLPPTHAYPEGLVVSGGRDTIIDVRKPTSL PGDNAERLLIGHAHNVCTLDVSPKGNYIVSGGWDSQARVWSVSKWETQFMLTGHELSV WAVTFLDENTVVTGCADKNIRIYDLRKAVSGDVQPRSTIYTDDIIRSLCAAPKNHVTG ADIVSASNDGVLRFWKLNGQMVAELRGHESFVYGLAALPTGEIVSCAEDRTVRVWKDL ECVQTITHPAISVWCVAACADSGDIVSGASDGVARVFTRSPKRVASPDAIAAFEESVK ASAIPQQQVGGINKEKLPGPEFLTTKSGTKEGQVQMIKEDNGSVSAYTWSTAARQWVN VGTVVDSAGSSGRKVEYQGKEYDFVFDVDIEDGKPPLKLPYNLNENPYERARKFLEGN ELPLSYLDNVVQFIEQNTKGATLGETGGGGAPDPYGSDARYKPGDEAKRVPKVLPHGE YLSILAAKHEAIINKILSVNATMISSGRKDAALNPKDQTNLKELRYTLQANKPVDETG LELILRIATKWAYTDRLPGLDLLRCVTNTTLAASFRDSRGRSILQLAIDAALGTNTEA EGESEPTTPNENCIMMALRAIVNIFATPKGREVAASETNRVIALLERVVGLRGEGAGR RNKNVLVAATTVVVNYSVLARKTTGGFATADTKRAFARVAEKILSEQQGSAAADGEVV YRGLVGLGTWVYGNSAVAEGVDRGVVRGVVEKVGEDRVRSVGKEVLNLLG
SAPIO_CDS4886	MLGSTLITAFLAATTSAHILISYPGSRGNNLITNETFPYGMQWE YPCGGIHTTKNRTYWPTTGGAVAFQPGWFQGHMTAFIYINLGFGTDGPFEGPKNMSSS MVPPFQLLGPSNNPYPGTICLPQVPLPAGAHVKAGDLATIQVVELAQHGAALYSCVDI IFADPGDARIAEVNESNCFNSQELGFADIYTITTSEPTRDDALAQTSGAGEETVSFWY WGRNKRQSAWRWVGLAPLVLGGIVVFL
SAPIO_CDS4887	MEPFSVPWDQVPECPTSAELLSSEPRKLPINDVNHTPGDKARYL ETQYRLIRYEGVELLRRAIHEYREDPKMQESSETAIYTDVTVHGYQVTRLGTLCRIGF FPDRTDKRIRWSHSPRLTPGTVVALSTPRDNFNTICRIATVIQRFVTGGLEPDTKAGE PVTTPPRIDIAWADPVDAVLDPAKHMVMIEAKAGYYESVRHTMRGLQLWSNKDSRFDR YLIMGAKDASPPEYIERLKNLIDFSPVLSQPLQPQNKKKKNPNSNKSQVKTLNGKGIS TSINMSRPFPPEMSALTGMDESQLGAIRNILTSEFPIVQGPPGTGKTFVSVSAIRILL RNLDWKTPIVIAAQTNHALDQLLVLVHQATKAPFIRLGGRSKNEQVSQRTLFNLRRES RKNFFGGKYNRIEARRKEHSRHVEHLFRQCFPDGFLDPHDLLDNDLLTQEQYDSIVHV GWHTGDDTHPRDPFRTWLGDAFLVVQQPRFVPRSNVYESVQDGELLGENRPGTIDDDE RDRLSGVYHPISEKFKGYVRRDLLKPALWERVQTILKRYNDLYRVPHDYRGAVYRYLR TQYIKTRAEDFRNLLHSSVELANEARGVKAQCDAKLVQSHGIPIIGCTTTGLTKYREL LETLKPRVMLIEEAAETREANITSALFDSLEQLILVGDHMQLAPHTDVPGLADEPFNL GVSLFERMVRLDIGHSVLTVQRRMVPEIRQILGPVYPTLTDHPSVADEKVRPPVPGMG NFSTYFLCHSWPEDVTQHLSRVNRDEAQMIAGFVRYLVQNGTSPEKITVLTFYKGQVG CIRERLSKDMILGNLHATKRYKICTVDGYQGEENDVVILSLVRSSAADAPSRVGFLAN QNRAVVAISRARRGFYIFGNYWNLIRASPLAKKIWKPVTDVIVNQQRCHRSLRLTCQQ HGAVTHIRGQGDWDSIHGGCRAKCTYVAPHSQLDCKKPCARRTPCGHKCSELCGKPCR CALGCKGAIASSHRQKKQADTFASLEGWMEMNAPQLQPSKPREAPRFIDEEWDVGSGW ESDGESDALESRRGNKNIPTMARSVSAGQPGPQTRLGFGGDYDDDFLGDIYSSDERDR RAKPSINRKPESAAPVRPEIVSPRPKALEATVTIKHVFKEVVVDKNLKRLPVRVVHSY SSSGAGGEATPLPVSTPPPLADGNSSASDVLLPSPAGDEGIRGEVVILDLNTGHDTIG LEDFLRQMGQGEEEDGKGKEEIGVAKICNGVAWAEEDDDDDEDGEEYEEEEDDIDSET VNLIEL
SAPIO_CDS4888	MVVVAVAGGTGSIGRAIVEAIVADGEFEVIVLSRKVDLELQKSL GARILPVDYSDVGSLTSLLQDNDVHTVISALGGRTPPDCERSLIQAAERSSATKRYIP SVYGVKYPPETSWFPIAAAKLSIFEALEKTNLEWTAVCNGFFLDY
SAPIO_CDS4889	MGDRVIIGDRLTWNDFVKLAEEVTGEKFEVVYDSVELLKSGRIT ELPSHVHVYEYYPKEALQGMLAQFGLLFDQGVLDFRPKRSLNDIFPDIKPNPAWTNSP ESNGRGVKDARKDITRLRNEVTRLEGVLTSIADLADADDSAKLSVLQALVKPGGALSQ CQDTLAGLLEKLQRGHDTEGMKRLGWRALTWPLKSKDVDKVIAEIEKHKSLFTLALTA DAATLNMMLSKSLDGVAENLSATQLDVQATKEGVIATLKVVNAMQEDADEEKRKQMIR NVTTWLTYTDPTTSHQAANRKRQSGTGQWLINGVKFREWKRSRGSFMWLKGIPGCGKT ILASTVIENLKGDCENKDLRRALAYFYFEFNNTNKQSPHKCLSSLVSQLCSGTEEIPT QLEDLYERCGRGRSPPSVHDLISIIDAYTALRSINDTYIVLDALDECPTTGPEDQRAE LLDAIKAIRSIFHSNLHLLVTSREEIDIKKSITPLLTVPALSIQDSGVMADIKEYVKS QLTSDPKLNSWSEDIKSEAERVLAEGACGMFRWVFCQLDALKKCKKRSSILLALKQLP RTLDETYERMLLAIDEIYKAEARRALLWLAFSERPLSAEEVAEAACIDPDADPAFDKN DRFQDPRNNILEILGSLVSLVPGDKIRLAHFSVKEYLLSIPLRASKSADFGVSEMNAH LLCTQSCLAYVLQEGEGPLSICELPFIAYACMYWPHHAQHLMEDDGIMPLANILYHSH AIYENWQSMLASKSPLPGIETGGPQQKSLSPLCHASAMGFTSIVEGLVKQLEATSVAE DKGSRWTALQVASMCGRRRIVETILRHTKRSTIVNAISPEGTTALHLASSRGFADVVS MLLRHGASAEIEDPRGRAAIALAAASGHLSIVQLLLENDDAASDLSQKHKGAALFSAI EADYISIIEILLNYGANTNMTNSDKLSPLSLAVVNGRTQAARSLIKHGADPNYADPLK STPLHRAVQKGHDVVVELLIQHEADPTRRDCDGKTPFHYACARGSPFMVKKFLQTDID VSLLDAWGKMPIHYAAWGWSAEAMQILLDNGAELEARTPRGETPLQLAAQRGGHNVVE CLLKNGADITTCNKNGRTSLHFAAIRGNRLVLQVLLRHGADPRVRDNRGLTPIQAYDE YEAGPPGQISIRRIA
SAPIO_CDS4890	MSVTRVDDSTYASHISVEIHHLYHFIPGVFRPDNGQLPGLYAQW KRCLAIVDYSVYDLYAVAIGEHFEAHGVTVTLKRAYVSEDRKSMETALEFCQWMTDFE LVRREPVLVIGGGLLTDVAGFACSIYRRSTPYIRVPTTLIGLIDASVSNRVAVNWNGL KNRIGAFYEPLHTIFDPTFLGTLPEREIRNGLAEILKITSCTHLETFELLERYGKQLI ASQFGQLSSEDCSADSASEVKQHINSKEMKEIADKVIRQAIRCVLDAEVGNSREKDLN RVMYFGHTWSPNLELAVKPPMLHGHAVSVDMCYSAALALHLGHLSEAAHDRLLRLLAD LGLALNHPEFTLGLLREGTANTVATRGGKLRAPIPTATLGTYVILQEVDDKTLEEAWH IHQRVVAGYPRQGLGVETTVDLRRSSGLDGCEGGITDFLPSSRL
SAPIO_CDS4891	MEPHIPSVMKAIRYNRPADFELVDMPVPIPEPHEVLIKDGEFPA HMPVVTGHETSGEVVNIGHAVSGFQIGDRVTADSTQECGACRACQSGQILYCRDLQGR GTQLDGGFAEYCTFPASKLFHLTKLSWKDASLAEATACAIHGMDRIRPVMGVGSTVLQ LGAGPTGLCLSQLLKLSGAVHVVLAAHEGPKMDLARALNVADEYIDISRTDPDHSWHQ LAWLHPGGFDVVVEATGDVRILRRAIGFCAKGGTAVLYGVYPPDEEVVLTPSAIFLKE LTIISSFSEMYCLPRAVRYLESGKVDVTGIVTHTFPLEKFGEALQVIRDKKCVKATIM ME
SAPIO_CDS4892	MPTSRDSQETRVVSTADPGLSCEEEHCQDPELARRYSCSSSPPP PSLTALSDLACHGFASSHHSTGANSQIFRESSSAQDNQLPLPLIPDLLSFIVDDPRSC HVSVPMNTPGPKQAGRDDGACLPFDGTWQQGVFTDNLLIGPSTTSLFPEFSQVLPSDS GIWPTELSLASTLELLSATGDPIATTDSMPAMPSSDYRLPNLELVPAPPASHHQYPLW VDPVLSHVSDDVSPAILPDLLAQPIWDAPVYRSLYGLDGKTSDPLDTDLDDTWVDSAA SGGIPPDEPDDSWLFWDDEADQTHPSVLKYIFEPDEISDTTLAVESLGEAGKQEENRA SDKHDDNVLPKLLPARRPFPNLSLPLATSRGPSEEERPRKKALTIILENGVASVKDYQ VPAKRGVRRTKLSKEKSAAVASKRKTHEICIKCKVARTECVGGTPCHRCVAAWERKPH VVHQPCLKAHFLDIIESGSCNYVSQRVASHLTLDGRRRISISMPEEFDLPSLLQAVQE RQHSFNIRRRKMSRTLFVIDMAEHARLLGQIRENDETNTTTLRQFIDNALLTTSDWRS SVKECEALDDPLALLPVWNNEPCRGSYDIVPVDGSEPRPLDVEDPEDVKEIILGATLS RIICRKLEIDAYRRLQKIINKLRFESSESSIRFVKQLGDVLLTLRWRLSWWEVHGDGR GDPDPQRLLYVERVKRLTLVLYFYYFTAKRSISSWLRPEGMAGVWSEYADTLPMWEDL PTVESVDGLEEWLGAGKALVKDAGKKKVPHMET
SAPIO_CDS4894	MSGPDIAGLAIAVARLCNGLALGLYKIIRKAKNLLDDACKIQHS LVLLTKSLDKVGALFAVSSRAGPLDAREESFRDSIYQILHSINQDLVALKDKLGLEKL LNRKQNLLKDIKTTFKRSLEDEEIKEIQGRVENSHRLLQTHFEMLLLLSSWKTTDRVN DLVTIVENVFTRLLEDGSNMPMPAISGPAPVTPSRVETGGSSANANSTVTRNARRIRY LSAVEQWRDCSKSVLVDLVASGSSTKESIAPSILDGGFDSESPISDSEASEEDTASDT SEPATREATGSVPFSLGDGTEESFPKENTDPPAPEKEQYERELEIEVNYCLKEVDKMI KQEKYAEAANHQQDVINTRIKLSVYQEFPFSEECEMKETLVKLHMRAENLVELNKAYP IIQELVSRADQKDDNDHGLRSRLYQTRSEIEFRQGRFNEAQSSARYAACILINAPGKR SPEEKEWIKEAARSSYRAAMRIPNAKLATVTFEYIKEELGDPEFRIPEDHNYRKTLEW CRKRHFKVEMEGFAFDVCDVEPSRQIRGYSPIHYAIKERRIDMLRSMLSYPCNLDVQM ADGTTPLLLACSKDNFDAVKLLLDKGANGNAKDNLGMNGLHRCQDAFKEGSKIARLLL GDWSRSGQIKEWTQYNKTAVHLAAEKGNLKVLQLLLSRGADPNAQYRESPTPLTAAVR SNANNKRNVVELLLSKGADLDKKDGTGCTAEKIAQEKEIKRLLKAARDRKATPPSRRS SAATTVVSDSTKLSQEDADGNFDWFLDLGDITGGLGSRPSKNNHKGVTHRRKG
SAPIO_CDS4895	MAPTVKIPNVLGRISDNELPEAIDNFHKSTSQTLTGLRSYPSKD ELQAAATIWKNREYYENAARADIRDTPITLNDAERKAIVREADHAFSERGMSIVVATV SLSAYLQGFAQSSQNGANLFAELWIDRRADTNSTYFGLANATVYLSAAILGCPLAAPI NSYFGRRGAIFVASMFIFISSIASSAIPLGTPGSTDPPRGWVLLSGIRIIGGVGMGLK AVSTPILAAEMAVGYWRGSFILMWQLWVAFGIMMSFIVNIGLNSIQGDDRRELKLRLI MGSPAVFALVLMLAVFKCPESFRYYMTPGIEQESDMEGRAIGLVPSNPNTNPSPDECE ATQSGSDSPGSGGPNPGESNGQENVTARMSSERSHNILQLVIVSPFQGFGRSAWRYVV KYWRILQIRRLRNAAIAAGVVAFTQQLSGINVMAFYGGSMLIKAQDGNTLTDGEIHHA MVINVVFGMLNFLFCLPAIRYIDSLGRRKILLFTIPWMAVGMLAAAVSYGRVDIKVVA WWLYFHAIWYSPGMGPVPFVLAAESFPLAFRETGASMAISVNFLFAGVLAWLLPCLDR GIEVSGTLGVFAGLNLAAFILVFLLVEETGGVRLEALGIVFKEPKRDFMRFQVFEFMP WLGNFLIGRSRWEDRPARMINYEKRRVEVVPGADDRGPGGGVDGGVVNRVSFNRQSSE GPSSDDDDMRVAAKQTEDNLVLAPSNFWNEELSPKIEDIVTPMGKPCRADATTIVRIE LEMLSRLWPSVVLRHLSPDYIISQPWYAEGDDRYGDKQSGGFIILLESPTPTGAWPG
SAPIO_CDS4896	MFLHATILTLSLTFLANAAFVASANRPNVVFILTDDQDAQLGSM DYMPSVNRHLTQRGVSFQRHYCTVALCCPSRVTLWTGKAAHNHNVTDVDGPYGGYPKF ISQGLNRNWLPVWLQNAGYNNYYVGKLFNAHTVLNYWAPFPAGFAGTEFLLDPYQYQY WNPGFQRNFGLPKTYPGQYSTDLVAEKALGFLDDAVKEPKPFFLTIAPTAPHGNFNVT VDPWTFSYNLTKQNPMPATRHLGLFTDAKVPRTKNFNPDKPSGVSWVARLPRQDATNV EENDEFYRDRLRALQAVDEMVDHVVARLEQYGILNNTYIFYSSDNGYHIGQHRLQPGK TCGYEEDINVPLIVRGPGVAEGVKQDFPTSHTDLAPTLLKILGIPLRDDFDGSPIPLV KDVSANALESARREHVQVEFWGLGDPSENAYSSGSGINNTYKLLRVMGEGYDLSYSVW CTNEHELYDMTTDPDQMNNLLAADLTDSTSQPESNTTIIGVTIPTLASRLDALLLVLK TCKGDTCRNPWKALQLDGKITSLVDALDPSYDNFFENELPRVSFSACEAGYIINSEMP LFEDLQLSRRRGEMMLNGADLDGRR
SAPIO_CDS4897	MPITREPARTSTRRWYPKSRTGCRSCKSRKIKCDELRPCCRNCI KRGIECDFVQSTTAPTPLVPDRTVGVSIELELLHHFTVSTCSTLSTEAQVRNLWRINV PQIGFSVKYVLDGILSLSALHLARFDPARKDFLVSQSVLYHTASSAVALPQVCSITAQ NCCSLFLFGVLTLFFSLASPKKPDDILVVSNGVIPEWLFLLRGIRPMFELQKAAILTS PVSVLFRTTISSVSFWESHSPEDHEFLKELEYNIRAQNANDSWKEKTLLDAVDALKRS YSFLKGKDFRDQDKLRGFYIWIFRISDDYLKLLKKADNDALCVFAFFCVLLRELERYW WMEGWAVHLMRRIYLMLDDVYRLWIRWPMEEIGWIPEPALR
SAPIO_CDS4898	MTAQTVKLEEDNLNVAAHIMREGGCVTSGSLRYVRRIRLSAGAP GRSRTSRHVSGLLFEYHNSERDSIVGQWFEEIDSMELGNGDYVVHIRVWYTQEAHPQN TLRENNGKVVGITLSTLRGVSKQKLLEEPKDLLCLDYYANPLEEMSGLVWSFNYSFDH VQVIRQTAPLTRPLQFDNTHPMEPRESHDLRRFLWAEHDANGKKVLVSTIEAFFYAEQ LTGLKFIYGNFERSMGSLNGERVAIHLAALEVIVAIDVCVSAWSGPRGDVLVFHTNKR KNLFMHHSSTEMEGGQPRHFTRFITGRSSAELPPNLSDHSSSLVSVVHETEADLQECV GIWAMPATFPRARPEVHHIGPIYI
SAPIO_CDS4901	MPTGKIADQEYSLHRVHEPPNPSVDIVLIHGLGGGSRHTWGRHT AADSDGGPNPDSDDEAPFWPGQFLAKDDDFEPNTAISTFGYPSRWTTPEDSFRNIEGF ANNLLVDLRDDPRIRESNTGIILVGHSMGGLVAKQVYVSAQTLVGDLADRIYAIFFLG TPHRGAKLATSLKFILRLFRVRKPFVDDLIPNSRGLQQLYNAFLKVACNNKGLYIHTI LESRKLRLFGPIGTLVVDKESAVLHWQNETKYEFNKDHRELCKFRNQEDPDYRALRKA LRRTAQEIRKARPPVEICTDLQEFLGADQSYEQERVTKTNEREEGTCSWLGQSDDFKR WRAASVEDAPALLWLTGKVGAGKSVLSAYATTLLRNDEGCCVSYFFYKHGVSKRSTVA DCLRSLAYQMALGDNTVRARLRTLIHDRSVARNPIDGHLVWPDIFEKGIFARSDADSG PRHIWIIDGLDECSDVDRWMKLLPLCVNHVRIFVSSLSEGVLAGHINTISARLQHRLC RIELLKSDKIESDIRAYVYKRLEAVPRAPSTMRLLERISTLADGCFLWAKLVLDEIDF DAPTVESIEKILTSTHPGLNERYTRMTQAILRKPNYAELAKSAFRWIVLAPRYLTIDE LSQAVHLDLNVTPLDLGREFDMVCGQMAFLDNQSRVRMIHGSAREYLMTEHVDPRFRI KKAEDHGELALACLRYLSGDILSIHRRPARLALASSRAADVAGSGVFLDYAMTNFSFH LRQASSARDDLTEALCKFFETNVLSWIERLAETGNLHVIPETAANIRNYLGRRANHHA PCTREMGTLGRWVTDLIRVQAKFRDKLMKKPWAIRTMIPAMCPTSSAIWSSISKAPRP FVVTGDVEEHWDDCLFQRVYHDQEVLAVAHGRRFFAVAIWRRQVIIYDATSIQQLAIL STEEVIDTLVISDDDRYLVAASPRKIQVWDVTGQVRVAFLKLLYSQFFICFADEPHTL CYITRESATVFWDFLEDTNRSMDWLDNSKDGIRLPEFKKRPLRGAVSIDHDLVAISFA VEPIHLLSLSTGKLLETVDRAKGKGARSETFVLEFNPNPDLHALVVSYETGGALCVYD IERLTLKYQNDGVNARVLAFSSDGLTLATGGNHGRLCIFDCDVPDGASSLPMIFQVKI FTMNMRGLAFSDDNLGILEIGMDYCHFWDPPVLVRNTMTDSQSDLSRPVKLSPVEGSS MVSSRAPRVTTIACSSSASHILVGKDTREIYVYPLTGKDGKLLYKHKGSIRAIKMSLS GKVAVSADNSANIVVMSLKSNGAEASGLAIDVLIDRVYGESVSDVLLNKEGTRLFVCG VEGTSELWDVPAGRVLRTFKLQDKSGWKTLFNLGDCTAQFMIKTQAGPVKVFTWSDLR EVVVSEQTLDTVAAVNIASKNASNLAETLDILTLLSVSGSTILFLDAEMWVCSVTVNP DTTNLLAVKRLFFIPPRWTDWDNEVLFSVAPSRRFVLSTQRGIVVIQCPRDLQD
SAPIO_CDS4902	MDRKTTLEHIKKNSALLNAFLPAERIKSATDEYDGRYRIEIEKS DGTTEGYSLKISSGPDDDDRLDVEYDSLKQISEQISDVAPGFVPKPFARGNLNEPAPG LRFFVYGDYGEQKNKPTFKEYFWKSLNAIHSIQDENGLFGYPRPTYFRGDAQFVEQNS RWEDFFRQSMLYVLDRCQRTLSALQAPHECLENMNTLRELSSTNSIFSTLIGTPESEG KIKPSLVHGNLDTSTVFLNTEEDPDSVSYTIVSPAVFYGHCECKVYPSSLDGYVDSTT EALCPNT
SAPIO_CDS4904	MGTKTLDVTAGSTISFEGYGSIIHQGPLQFYMAKAPTGTKVEDF DGKGKTWIKISSDEPTLTGDRLTWPNFVTIPECIEDGEYLLRLEHLGIHSASTTGGAQ FYISCAQIRVTGGTATFQPEAEDMLAFPGSYDSEDPGIKVFIWYPVPTNYTAPGGPVM ICPEIFLNYLKSQATAKEHSKCLGMRRKSSDNAFPMSLYGPEQ
SAPIO_CDS4905	MYFTTLNSLVLLALSIQSASADLPNGITAMYSIFDHVGCDIQSH GMVVVPDENVEECQNFMYPTISVDIHELQEGCAVNLYRYADCGGPAMPVDEAGCFNHE AVLMSSRMAC
SAPIO_CDS4906	MQSLPRSVLAVERHCLRTVRCRPLRSSRARSYHSKSTQSQPRWS SVGYGLVGLASGIALTTIGFGASTKDKPADGRNSSEGPVYASRSEMLQAVDEIRAALG DEAVTMDEDDIESHGYSECSTSNSTVRPVAVVYPTSTKDVSTIARICTKHRVPMIPYG AGSSVEGNVSAPYSGITIDLSQMNRILQFNEEDMDIIVEPGVNWVQLNEEIKSSGLFL PLDPSPTALIGGMVATNCSGTNAMRYGTMKDWVLNLTVVLADGSVIKTRRRARKSSAG YNLNALFTGSEGTLGIVTQVTLKLAVLPTNFAVATATFESVKDAASAASIMIRKGLPL AALELMDDMQMRVINQTAKSGNKTWAEKPTLFIKFSGTEGTVQDAIKQVKTVCKGFSS DKLQVAHSEEAMNSLWSARKQALWAMLAVRPEGTEIWSTDVAVPLSRMAEIIEHSKNN ASTLGLFSSVLGHVGDGNFHQAVMYNPNKVAEADGVRRCVEDMVDKAIEMEGTVSGEH GIGLGKKHCLVKEVGPETIGVMKTLKRSLDPYWLLNPGKVLEL
SAPIO_CDS4907	MADLTLPKYMTNTTDVEGYTRIKNVYVGLRDGVELCADIFLPFS ASKEGKKVPAILNLGPYGKDIPVLEFGLPKTDISSSLDLYDVIEWASAQPWCSGKVAL SGISYYGMTGYWAAMQKPPHLSAVVTYEAAVDLYQAARKGGIIGANFQTHWYNNIVVP SQSGSETLSADQLTANRVDYPALVGKDEYPDGESWRLLKELRKLSDIEVPIYISGNWT DSELHLPGNIRAYNGVSSKHKWLEMHTGNHLEFYYHPDHVVLQKSFLDYFLKEEKESG ILSVPRIRLITHRGNQTFYREDEKAFPPPDAEDISFYLTPSQTLTLTRPPTGSKKRFE YPGLTGTLTFELDFPFSEPFEILGTPYVELEVATEAEDQDIFLTLRVLDAHGKPVILE GNHSEPNEHFAKGYWRLSHRDEIDEGFRDQGVKVPSQPVVPRSPVEKGKVYNVTIPFL PTAFLIDRGQKLSVEIGAQDTLSTIPPMRHDGGEREPGRFGGKNIIFSHGRLALPRVS RAVP
SAPIO_CDS4909	MRLLHTTNLEVVSFVGDPPRYAILSHTWEDEEVSLQDLYPGGKG KQMKGYAKLQDSSALAASQGYEYIWTDTCCIDKTSSAELSEAINSMFRWYQEAGVCYA FLNDVSTTSSIVDSATAGSDEDAILREVVAARWFTRGWTLQELIAPKALHFFNRNRAH LGSKDEATRAINLAAGIPPEAFLGSDLSEISSFSGLLAEDPSWFTASAFRLKGNLSPI PLQDPSATITNKGVNLRWAILRVTNDPACALHIAMLAQSGDTSGGIVIQQLDEDGSDF CRVLPGSAPGSLRKHTTKYIHASKL
SAPIO_CDS4911	MYICTLCGGPLMPSEPWRDPPVARAEWDQEIRAVTSLGRAQGPI LTGIGLLYDTTLVANTNPYRHYRYPDSEISFDLSDTHPIFWAYPFHEACFKMLLFHLP PMRLEKLAAPLFHIFRCTTSDAAGKMMPRHGYGDAKRFLTDRRRFFLWEDSDLARSLA HLRSDPVSFKWIRDVDKYVLSNTRISEKVSAIFYESPAGLISDVYRLEEARATDCFSR LSQDILYHLANHVSTLSLAKLRLASRSVAAQLQPTQLPQAFWASRFWVDFRYEMSFCF AGCPELERLSLPPIRNWRVFYAVARMSKRQFFQWGEAICGPDTLVILHRRHIRNVLAS IADPLLSLLRMPETSWCAASSGDPPGSIVDPKRHGNGPVVRIHWTRRPESLERLPPFQ FNVYQWGLFHLAKHMSKRGIELTVSLITFDGFRYISGFTVKYGSSDDANVTRAGVYTT DSFDRTQFAAGDEILELHVYSRVDGVVGLVFTTVGKDGDERELTNHSLGLCDVSDSEV GVAVLNPGPGNKISGLGLAFDICRCISVRVLECPRSPNAAPLPSSSNPDIRRHPVPLW YPHSPPRTVRWTQAGRQPQRPRKCTTSPFYRTLLFGGHDGSLLPKLNRITAFGGPLFW RHCLLSHAVSYLRGLCFYYDDGSCLGDRSFWHTSCTRLADTPPAEQSFLIDGKNGERI VEIRALINVEAGSSSRTVSGVRVVTNFGRHTLFQTVAVWDCQSHRGLPKVLRAPRGEL ITGIVVEMSEYPGAMKCLSILSQRIKAEPRPKLVAEIVLRREHPFELAGREGGRPRGN NFDEHDASAFYSVLEPLEDIKRIRFSRGTVATRDLRGPDCVSGLRFDFYGRSPVILGQ WIEECDSLDLELGEEIAELQIYLNAANHPEQRRYPGFSITTTFGQTKTVFAAQSNLPV TTVLPAWSSPKHKFGALVWGFTARSDWFSIRYASSQLYCQSPWSGKVRDSGPVRKFFW QETGLRGATVKLQALEFYLKEFRTDEIGGIRFCYQGGIQRSLGKCLGEPYTVRLSDGE RLTHVILCYEYDRAEEEHPPSGGFFDITRCGVKFRTNHGRDIQVPGPPPGRHAKHTVF HLEDDGPTDDNRIDGLPIDTTLKYVPKGYDNCVGLYAWPEASTSRMVFIAGPIYEMRE 
SAPIO_CDS4912	MSDTLPPSPGAVKLLLDKGFNPSSVEFSRGEALRWAARTDANVE LVRLLLREGADVDSKDANGGTALHSAALAGACDVLGVLGAAKANVAGKCDLGRTALHY AVMGRSCEAVRLLVNGLGSNLSWRDNLGSTPLHSAANHGFNEVIQLLRDLGADIHEED DSGFTAIQYASGWANVETVQLLVELGSDIAEESKSGSTSLHAAAQHNKAEIAEYLASL GADIAAKDNKRHTVLHRAVQFNSLSVVEVLLRRGVDVEQKDNKGYTPLASASHSGHTE IVRLLWNNQASPNTINDAGNRPLHHAASQEHEETMQFLIENGADIDAINSKKATALLV AAQRGKLASVRLLADHGADLKMKGMNNFTALHYATAAKDKPLVRFLLKRGLDIEAETL AGQTALSRAAGLGLVDMVLLLLECGADVSTTAQEGWTPLHYAAFQGVESVVEALLDHG ADPVAETLDGRRPESVAQEQGHIAIAKMLKNRTPVSKKASDRSASQTVAMFVSAAEKG NCVQVSRFIKNDGIDVNAMDLDGRRAICVSAENGHESVLDLLIENEADLDLTDMNGQS ALWWASRYGHEKVVRRLLSKGAPVDSADFDGQTPLSTASQKGHAGIVELLLKKGGDPN TTVKYGRTALLFAAAGGYLRIADLLIKAGAEVDFKDPNGRTALSVAKAQGHDELANLL QHHSALDSESNRRAKNAKDLSEAAAAGRVAEIRRLIRAGANVDGTKEEDADGGTPLVR AAIAGQTLAITTLIKEGADVNRRSKNSGGTATSFAAGYGHTSAVRLLYEHGAKLNQVD NSNRTPLSYAAEYGHEDVVELLLKLGAKKEIKDEHSITPLLHAARNRHKGVVEILIRK GANVESGDYFGYTPLTQACRNGDRDLVRFLLGKGARMRPESTSNCSPLCIAAVIGAES IVELLIDHGADLNHFSDNRETPLILAAQNGFSMVAKILIEAGADVNLTDDDGRTPLSH AKEHGHESIVKLLCQAVTLRQVNERAMRKMEQETLVQRKRYQYQPLSGRGREGHIRVL ELSPGKRGDIISFELTEIDLYSDKRPSFEALSYEWREKIGTVPVQCGKDRLLITPNCK AALERLRLESGRRTLWIDAVCINQEDSLERTQQVAMMTDIYRKAKAVLMWVGEEKEEG GDDDSMASAFTHIPVLAQVYAMLRKDPGGSSFEQFSLEERADAQELARGVMADEDAIA GLHRLFWVKYFTRAWIFQEIILANSRGIVMCGSHECPWETFKAGLLGYRACSAANNPT FYEIVRIDDLFAQDGEVDLFQTSSSMSVFEASDARDKIFATLRLASVVETPSIKRPVA DYSLTVQQVYVDAARYFIDRYQSVHIWTQGSRHSTKEIPNLPTWVPNFTKRWGDLEHD QDAFALLTPEFSQLIAGRPTTTPVALHIDGCILDRVAFKVAITKETDVYDIVIPTVQA LARFGRSIYDPFPDGNSEEQEQKERMIKGRKRISKSGSSKAKKSVRFQKRTGRGGGSS RSSERDPPSSSKTNGQAILSMIFNSYGTSPENDAEMAAYLAWRLSKDENTPESSKEPP ESLKSLVAAWESRSRAWGGDFDLAICQRMESQLRYERDLVYTERGHFGLTNKDEAEEG LVVAFISGAPFLSLLREKRVDQERWYEYVDCICMGYLLEKTEMLEDLTGKCGIDRLEI R
SAPIO_CDS4914	MERLLLLHDIMTRSIGGLYLAPIEKSTVKRILDIGTGTGIWAIS IGDEFPNATVIGNDLSASMPTFVPPNVKFEVDDVESPWLHQDKFSWIFCRYMAASIFD WPKLVNTIYENLEPGGWCEFQDFDLQYYSEDDSLKPEDPLLTWISTLLEAARKLGRDP NPGSKLEGWVKDAGFKNVVHKRYRIPIGPWAKDPLLKEVGLLNYLQVNGGLEGLTLRL YTSVLKWSEEEILALLAKVRKDLVNPRIHALFDFHVVYAQNADAADDTAQHGTDGLED 
SAPIO_CDS4915	MSFLTDWLKARWQPAADKARSGRAKLRLHTLNKRSLQVASSTTS WQTPPSSSGDPTRDDILRAFACMPMEAVLDHLGTSLAGLSNIEAADRRLVKGPKVLSS HQPPSWFMLLLSVIPNPFNILLIFLAIINAAIPPPNWKGFAVLMAMVVVSCAVRFSQE YRSAMAMFRLQASISTSFKVCRPTARGDVLDKHPAPTEAEASTRDLVPGDVVLLSPGA VVPADCLILESSFLRIGQSTWTGESEPTAKMPIQDGGKDDIPIFDQANIIFMGTSVVS GSGAALVLRTGDDVLIASMAKELEKRRKVNAFQKGIRDVSWMLIGFMAVMVPVVLCVS GKTTGDWGNAALFSISVAVGLVPEMLPAIVNANLARGAYQLSKKKAIVKRLESVQNLG AMSVLCSDKTGTLTKDEISVRSYLDSTGKENIDVLKLATIDSSMQGSSGNNMDAAILN FRLANRISVPVAQHKKVMAIPFNFERRRSGCIVRGVTGANILICKGAFDEVLALCSSV RVDGQTARLDEKARRNLERKVGKLSAEGYRVLLVAMKQIPQFDLDDGDRLEALESQMI LEGMVSFSDPLKDDAAQSITKLKALGVEVKILTGDNLAVALNVCRSLQLVNQDEVAED EIQSISGPDLAQLEGDIEEFDQVVKSCKVFAKLTPDQKAMVVASLHKAGHCVGMLGDG VHDCIALREADVGISVDSGAAVAKDCAGLVPTEKGLMTSLQLLAQNLLYDISQIALPW DRVDAEYLQLPRTWRPVELLRFVIVLGPTSSTIDICTILLGWFFYGVQGAGDAHAVKL FQTHWFLQGSVSAALFSLVLALTPRLEPRFSGLFNNFDRLLTQTLIVHLLRTAKIPFL QSRASPVLVASTISIMVIGFVIPWIPPFQSAFSFAQPAASFIGFLAAELLVYCLEVQL VKVIYIRLFGSWL
SAPIO_CDS4916	MSSTEHIPNTTSQLDFEKHPNRSQNTIESGNDSSRHGTSLEELP RKRTITGYKWFLVCVGIFSANLLYGLDTTIAADIQAAISDSFNNVTQLGWLGVGFTLG SVCGILPLGKAYTLFDTKWLFIGSLTMFAAGSALCGAAPTMDAMIVGRVWAGVGGAGM YLGTLNLISTTTSPTESAFYVGVTGFVYGGGCILGPIVGGSLADSAATWRWAFYLNLV VFGVMSPIYLFVLPSLPRQPERMTTEKLRNLDWLGIALTAAMYVCFVLAFTFGGAIWE WNDPRFIVLLVLFFVLAATFITTQHFAVFTNKVDRLFPCELLRNPQLILLYVGMSCSG ASLFVGIYYIPSYYLFVHGESGTNAAIRLMPFVCIFVVAILACGYALPRTGYHIAWYL VSGILLTAGGAAMHTVKATTPDAHIYGFSVLVALGVTVCQAGYDVGTRLVATDKISEA IQFMNISQGQSQLLGLVIASAIFQSEAFKGMKSVLGGMGYSDAEIQGAIAGSRSHLIQ ELSPELKGKCLDVIVNTIGKEWVLVVVAGALQTVCALFMSRRRFP
SAPIO_CDS4918	MLLNCISNAKVVYHHGFHLLHAVHAVHATETFLTNLRRRGCRFH IVWFAAHEDLCVPDATNTLESYLLTCAIPIKHLTHHDDTSAAHGLAANPALKVYYLDI VYKLSVRRYSVAFLNNLEFVYSPALSPSADHWRDSSIPDDLMLERALFKLLPNYIEEV CPSIYNEIPVTARDDLALKSFYATLAEELDASVERCIMAYIVHLVLLRQVDLSKQSLT IAVIELELDILYYDSIAAFSRISVSILEEIALSPNLEWDIADLIDSHVFYRILQTADS LKLPALNSLIPPGSVYANDIYVINFEHFSWLPPCFLSFEPQRRELLDLNEHV
SAPIO_CDS4919	MATNNNPIPDDGLTLVYDACEDAQVESEERPIFFIAHSLGGLVC ANGLSRRSGPDDSSQKVIDNTCGMIFLGTPFQGSSAVTWAKLGEKFFRLFGDSSDQTI KDLDKDSIKLKDISRDFHLLLRERCEPKASKPIQVACFVETKSTIVKGPLGKKDLGRI VEAESATLAGYRPIPINADHRSMCKFTDEETTGYIDLTGTLKSMIANVDKDTDQFKAQ PTGISLGDVRHGDYGVNYGIVSGHVVGTTRDAVNQTVQHTFNNFGEGSGAEKAIAAFV ERQRRKDND
SAPIO_CDS4920	MESSQKVDRSFPTLDGKVAIVTGASRGIGLNIALELARRGAKVA ATYVSPSSESAINGLIKQVSCFGSPSECIGIRADLRDPASAKAIVDQTTSAFGPHIDI VVNNAGVEVVKGLSDIEVADFNSVYQVNVLAPLLLVQQVKPHLRAPGRIINIGSVGAR SGFKNLSLYCSSKAALEGLTRCWAAELGTEGHSVNVINPGPVQSDMLDNIPEEIVAMQ KATTPLQNRLGTFDDVAQIAAWLASEESRWVTGQAISASGGWAMY
SAPIO_CDS4926	MADSHEIYNLGRLCEGAFHDLLSDRSLASFPIVDVLYQHFQQWA SYLGVFAEESISLDSRLRTSDSLRTLVLQLLEITKRNLIRTMAIENEKTGSKSPASLI ESMDRRTSENVSSPLVETLQALEAALDSLHRLGVAMRQTSSSNLTQRIVAFIDKEDDG DLQDLICFRLKHKLMASARNQGKDTGPPLSLCKQLAVSISFRYFRIRRHVDEDLKLYA CISEQCLEPPQLFSRFDDWCRHMDQVHSPRWAETVHGIPFWCCDTNHEVLNFDDEAAF DRHVMEQHPEYDTQSDITLLKEWCRIRRDRPPYTCPICGCVPREIALIAPWLVEEDSF NELPKRKAKRPVQIRGDLDADFRRKLSLHVACHIKELGFMSIIYLDDGSDDGSGAGQR PSTLLDEEWGFPPSPSDLQDLDPDFEGYNESFIPKPLDENIFELDWARVMTLRPSEPI KPPDQIPSENEDSLQEKPAENALDFPSKSVEDAKQSSHSRRKGKGRKHQIWSAWGEWI WSKTYSRYYRQRQDNLGNIETAWGPEEPDVRGEHGEPDEVHSDQPSTLRSDDEGDTAT YEGYASVAEHPHIAGPPNKDPEILDPIGSVLDEDFELMYDSVDADWEQRTFRKRRRPG PEVQEGKAEGR
SAPIO_CDS4927	MMLAGSKHWALFQAISPLFTSDIPWSSAGRSDAETTAVQEAGAL LRAHISEIPFHVPQDAAFSDHTLSDFTTALESLLLALEALVQPSVLDDAAALGERAVL LKATRSFAEGSLEDAFFHLKASPNPATGGSSTEMDLLLALCPKGNPWQEIRFTVPNHT GSIPAAMAESHERLIEDVCQVAREAYECQQAVSIYVHDNKLHDTSDFDEEPSNPEAEH TISLSSLLDDQVLRDRFKFPLVHKRILALTLARSLLNLYEGPWIQTDWSAEHVLFAYG TDRKRVYDIHQPYLPCTLSSEHEYQSTVSDPLHNNPLILSFGRLLMEMDKGERIAITK TVRSKPSLYRTLVAELDDWGKELLTSYYTQAVEGCLKFPKWLQANRGMSRELAYRKAI LEKILHPLELEVSTFPNQGADTGLDDGDIRIRALKRGIRDGCRKRENISYRDPVREKR NFVAGSPEHDEDGHGTHVIGLLLTLAPEADLYVGKITRGKKCENQDQGMAAVSILHWI LMRGYASNDVLIVLSYKALRWAVDEVKADIISMSFGFEDDSGWDDDMDEAIKHSFTAG RIAFAAASNYGGNSCRTYPAKAGTVFCIHASDGHGNPSGLDPTPIRGDYNFSTLGVAV PCGHTGDREIFKTGTSYATPVAAGLAANIIDLADWLAAAGELTSAQKKKLRSYEGMRN IFKLMAPSRRQDYDYVAPWNLWNGKVEEKIIWGKIREKLS
SAPIO_CDS4929	MDTELKIDPRFADILKTSALAYEAEEHSSYEKALALHNDAINRL TALAKKGGFFSFLSRGKRLLKRQVETKIGLHRERIKALGLYGGKEGSKLVVPAVTSSS VARELGATDGTAEALLQAASVGDITDSTPLSLVEILHPQHDLLPLEAVMATVPPGAPT ETWVVRRAGNTAAALEKGYFFTVKDATETNTLYILEAPIMYGAQVPFARLSRAGEYPR TAALIKLWRPAPMQPLGTRILHKGRPLEVQNDLLQGPVKENPDVRDLSPWGPRRFSYG SRNLVWKPKYPEKGREDGNFETLYEYNRTWARPDGNLQVLDVFDVGKAGSEKECKVDD SRVAVDTFRIQSIAELNGFRCPRVKPRDEEQCSQERFDHEKSTGIWLQASPDKPFTER RKRLKNGYGFEYDCDLCSAEANIHKATVEKRASYNKLSHLRIARMFAEACAYQKITPV AASFIERLVDMSRTPSRSPPPRVVAETLGRASAGAPRW
SAPIO_CDS4932	MINGGDADDLGDADYGGYAGECGRCGPLGKATTAAIIEDIESCG RWPLSANFAISTYDMPAASLPYEPASTTKASAPGSCRDRPGGLTAEEMWDEWVEDGNL MLTGTFQYWYEPDARP
SAPIO_CDS4933	MSEARRGSPRSKAQAPAVHPPPTPSPTTRGIKRKAESNWTAISS SRSSSVEILDGPPEPKKTTKTVNRSPSLEILDSRPPKKLKGFEPNLPSRSIPSLNVRD RRGSTFYRANESVLSRVLRADFKYVYQLALLSSELKEIFAGAPPIDADPSSAGPDAEE AGKRKPIEGDCPICFCEFDLNEKEAITWCRAACGQNVHAHCFRMWAATKCPGHESEAT CPYCRSVWGVELDPVAVMKRTGQLNEEGYVNVADQLGISSVRDGGLVNNTVRVRRTGS DGDGTEEPESLILKYAPPYVAAAGPDFPFSQERQVVEAAVLRAFRGSSPLSDLPAEGS ITIPTVVDHDPASSVLILEDLGPLATLWDLLTPAACARVPEAEIDDAYGKIGERIGRF FAKVHSKETFTILSSNPNISKVLSQNLTEKLVSDVAVAPVIDHLRECSIPDAERIHER VTQAYRTPTVLPRFSLGDFHPGSVLVESWEDKPYVSGETRKLAVIDWEFANLGGGGVS SDVAQFLAALHGPMIHLEEDSPLYKAYRALATGFTRTYAEDADYNREEGGVSGEDKLT LLRESLILHGREMINLAFERKEEWGSDSMVTITAKGAWYVRKAGEDVEGMREEENWAA LRAGDDGFILGLFTLR
SAPIO_CDS4935	MSTTIDTASLTAAASSAVASATGSRAPPQGGVIEGANPSIYNPK DPIILFIIQASLILIICHVLHWPLSKIRQPRVIAEVIGGILLGPSVMGRIPGFRQAIF PAESLPNLTLVAHLGLVLYLFVIGLETDVRFLVSNWRVATSVACGGLAIPFAVGCGIA WGLYNQFSGDEGVIHIRFPVFMLFVGVAIAITAFPVLCRILTELKLLDTSVGIITLSA GVANDVVGWILLALCVTLVNAGQGLTALWILLACAGYMCFLLWGVKPALLWILRRSGS LENGPSQLIIALILLIALASAFFTGIIGVHTIFGGFMVGLIIPRDNRFNILVIEKLED LIGALFLPLYFTLSGLNTNLGLLDSGIAWAYVVAVTVLAFFSKFVSASVAARLNGLVW RESFTIGVLMSCKGLVELIVLNIGLQAKILSTRTFTIFVVMALITTFLSTPLASYLYP PSYQKKLDAWRRGEIDWDSGEPLRPSDSSGAASTEGVKRVTNTRLNRLVVYLRLDNLP AVLGLVSLFGNASVTDSVVHHSKQKAGTTNDEDGEGDLSEAPKRPVRAHGMRLVHLTD RDSAFMTVAQVDEYSQHDPVVNTFCTVGQLNHLSVSGEVAIMPETRFAEALVGKSSAC SSDLLIVPWSETGGIGDSQILASTTLRDKLAPQYTSFVKSVIELAEQNVAVFFSKSDE VAATAEAGPSNERMRLTRAYSFSSAQHKLPPLPPAHRSSHLFFAYIGGADDRLALSLV LQLCERPEVTATIVRISVRADGIGPAQDDEHFNAVMAQIPEDAEPRIKAQTIAGASSI EDVLGLATADVRESSSEPSRRDIVVVGRHASMKLNLGKLSPISIESRECLGVLASHVL DCGLQADLLVVQAAKESSG
SAPIO_CDS4936	MSASVFAAPDPHSPLARYRLLSPNASVRVSPLCLGSMSFGDAWK GLVGSCDKETTEAILDFFHEQVGNFIDTANSYQGGQSEEWIGDWMKKRGNRDQIVLAT KYSLRAGAGHSAAEITANSTGNSTKSMRVSLDASLRRLKTDYIDVFYVHFWDFSTPIP ELMQSLNQLVVSGKVLYLGISDAPAWVVTKANQYARDHALRQFSVYQGLWSAACRDFE REILPMARDEGMALAPWGALGQGKFKTEEERKAGDSLRNKVQPPSAVDLQVSKVLEII ATRKNTLPTSVALAYVMHKAPYTFPIVGGRTVDHLKRNIAALTLQLDDADIEEIESAV PFDLGFPHSFLYGGVNPDHPCAVSWLNMAATYDYVSLPKPIQPHKV
SAPIO_CDS4938	MVSFTEFVIASAVLVGAPGHGVILGAQGEKGSPASVGFKVNPDI ARNCMTINPYQQDATFIRDAEIKANLVNECG
SAPIO_CDS4939	MLIIFRLVVSMWYDKREQLLRSGLWYSFSGGANIIIPVISYGIG HIKSDSLRSWQWMYLIAGAITCLWSIIIFLLFPDSPLSAKGFTDQERALIQRRMQADN AGTLNRRFKLPHVLECLGSVTFWVVNLMSMLTSVVSGPISSFGSLIFSDMGFNKEQAL LLNIPNGAMAFFCILTSATLGRKIPNIRLFVVMTACLMVVLGCCLAWQLPTANNAGRL AGFYMMNFFSSAYVQVIGFGTSNVGGYTKKATSSAGIFVFYCLGNIAGPLIFNRKDAP RYDPGFMGTMICLAVCFGLALLLRLHLARKNVHRDRDNGPAGTEHGLDDITDKENKDF RYQL
SAPIO_CDS4940	MASTVLPPKLSATEFQRAIDKFKEVLGGENVRYRNEELLAYRDP FPIATTEEHANLCSAVVLPSTVEEVQEVVRVANRFNVPLWPFSRGKNNGYGGPSCRVQ GSILVDLGRMRKIIEVNDKACYALLEPGVTFFDLFNYCKEKKLKVYPSVPSLGWGSIV GNTIDRGWGYTPLGEHCAAQCGLEAVLPSGEVIRTGMGAVAGSPTWQCFKPGYGPSID GLFFQSNFGIVTKLGIWLYPKQQGFMSCNVSVDKESDLVPLVDRLGHLYRTEVLQNHP VIGNPIRSMAASGPRKTVYSGEGAIPNWIVEDWKNKSGKGFWNARFALYGTEKMMNCR WDAIKAAFSDLANCKLGQETYLADDPSGLLDAEKVPVTEQGGTQIGLPNMLRLQSIKF RGEDGGHISFSPVLPPDGQAALNFYFVGKKICARHGFDFHAGFHLYPHHMIHLNLLYF DNTSNSQKKAAELCFFELLASARKHGYSEYRTHLNFMDAVSDQFDFNDHIHRRFVGQL KDCIDPNGILAPGKCGVWPKQYRMGKCVKKQSKL
SAPIO_CDS4941	MKFLSTLIPLGLFGSIMAMPSAPEALIVKRTTNFNDLFAQVDAH ISAAVSTCVEAAVDNTAALEIRESIAAEIEADLAACADLLAEAAAQLKVSAEVDVVAD NSGCDRSCVETLVVDKSRNFCEDARDVVDRLGEEAVKVYVKPALKAFGEFTVSLNSVF AGIGASINTVVKSALGAALSISLGLDLDVLLDIGLGLGGGIGIGGGKM
SAPIO_CDS4942	MAPASHVDIDPDGDVLAILLRPVEPFAPWPSDAEDESSAPVAND EASNNGESQESTAHDKRTLRLSSKHLMLACPRLKAMFTGQWEEVTKIHSDGLQHWDLG DNLDPDAFVIVMNIIHGRNRSVPQKVDLEMLAKICVIIDDLECHEATELFSRVWLMAF GGKTPSTYCRDLILRIFVASVLREPDIFLITTRTAMLSSAQEIRSLGLPIRLDIVERM EGGRKRMLRDTIRSLHGVADTLCGVKPRCSYECDAILYGMLIKQMRDIGLPRPGEAIP DQGFAMESLVRSICQFKDPTWFSQMDVAHQFQAEPEPPKSSPFGSFGSFGSAPLVSQP ASPSPSIFVPPASSPLASKPPLKAPTE
SAPIO_CDS4943	MSAFLLLSRVDAAGIDGPLGVHHGTALLACQLIADNNFDGRLYT DPRGQHPVLVGLDDILTSDNYYFIIDKDYKLRYPIVPSFRDWTFPHDRIPDSWPSLAA TSPQDYLGYGNRCALTNYASGLETAHIVPEEELEWFQREAMGRYSPYPTGFPDSENRM KLRADIHRLYEGSEFVIVPKQEIHDTGKEEDPKRPCYVAHCLGPGNEEIWGLHHNLPL QYIEHTRREMHLARFAWAIFSQANFFLLQGSTRAVVTDDGNGERKVQEVSGSTLRELY GGEENWDSGLLSSRKKRKLDHLGEYSVGEPEDEDNEDNGFGDDDGSDQEEEGGGEGTG PEDLKEFGGRS
SAPIO_CDS4944	MKYILVTGGVISGVGKGIIASSTGLLLKSLGLKVTAIKIDPYIN LDAGTMKPTDDGGEVDLDMGNYERYLAGISLTREHNITTGQVYKEVIDRERRGDYLGK TVQIVPHVTNAIRERIQRVAKIPVDASGEEPDVCIVELGGTVGDIESMPFVEALTQLR YVTPKSDFFSIHVSFVPAIHGEQKTKPTQHSVKTVRSAGIIPDLLACRCDKPLEASTI AKIALHCQVEERQVMVVYDMPSIYQVPVLLDTQNLVSVLTPALNLDKIPRNETRIKKG VEMWGLWKDTVVSRDDPPVTIALVGKYTELHDSYLSVVKSLEHSAMKCRRTLDLQWID SELLEETADKAAYDSAWAKVKSANGILVPGGFGHRGTEGMIAAAKWARENNTPFLGIC LGMQVAVIEFARNVVHLSKATSEEFDGDAEHQVIIFMPEIDKTKMGGTMRLGLHDTHF QPGSEWSKLRALYGNVDVVSERHRHRYEVNPAYVDKLHDAGLNFLGKDDKGERMEIME LKDHPYYVGVQYHPEYTSRVLDASKPYLGFVAASAGCLGPIMKEMVQRNTNGVCGKPH A
SAPIO_CDS4947	MTRHQSRTEYRVSKWVSAHKVKTALKQPSHILRGKLQEIPGKTT SEQHNQNQSISDASKDQPGSSDSREGFQSMVRRLETIASGILKSYRHLKYEATGALQT GHRIYGKRLSLILREIRTVILLPSADPDAQIQCTFSRRILGLANTVSSSSYSALSYVW GERSHPRTILVDGAPVPITANLFAALRRLRRDREPLELWVDALCINQDDVQERNHQVR LMGDVYKRADEVLMWLGEAEDDSHLAAELVDTLHGIIRGKDPRETGNEDRKAQSILDL CLGEGIAPGLQALNSLLDRQYWDRVWIVQEVLLSKRLYVCYGSFRQPWEHWLAALSLI GSLPVEVLPDKFKSTPAEREVKPVYSLVTAYMKGLRFKKRMSLLDGLILYRNREATDP RDHVYAILNLVDGKKSGIQADYSLSVFSLYRSVVVQTIERSRDLDILSACKRSFSESS STDGSELYGRDFDKHMQINVLAWIKVLPEADASGGAQIEAWVPAAEALLKDVRETVLP SWIPDWRIRSLDSAQINTIGNCPFKASGNFPPVVEFINETLMAAAGIHVGVISAVAPA TVGGLRIDSDWAMWRDETHPKHVYGDLRKQKEAFIHTALTGRNADGTRRTAPVLVEAM HSVFKLDDAAEDHQDTTKDQCLEEGLKQPEADGKDDGMSPEDDNALILRSFHFTFCAT ENGFMGRVPIGTRVGDVVVILLGAKVPFIVRKYEDFDMYYLVGECYVHGVMDGEMLED LEEAKTKMRVFCLVVVVSELWVHYLYKLFLSEGPSADTARCAFHPIFMRGSAWWSRIW TMQEAILSPSSTMLWGPLHMLQRWRYRGSEASRIVRLACRAGRLF
SAPIO_CDS4948	MAPEKFKAIIIGGGPAGLTAAHILYKAGIDFVVLEARDKVVVDH GAAMVLGPQNLRVIRQLGLYDKLLEIGAELLSVKGFLQDGRVFKDVPELETFKENHGI GLVAFHRAQLVQFFYDNFPDETKARYLTNKRVTSIESGEEGVKVTAADGSVFEGSIVI GADGVHSVARKIVRELALAEDPKRDWDPENPFPAEYRCLWFSFPPPTEPDTVYDDKDV EDFVAKVAEYPVTETLKVKDLFEKRQTAGLSDLGEGIAMHWSWGRIVLVGDAIHKFTP NAGLGLNNAIQDIVALTNGLHTAIKAAPGGQADNQTLSGVFRTYREQRVEGLQQDYDR SALVTRLQAWRSRLHFFLSRFVFSWPFISRFVVNRVVSPVVRRGLVLSYVPSTDLPKG RISWEHQIPAAKVV
SAPIO_CDS4949	MAELALGVLGVSGLFSATLDVWAFVDAGQGYAQSFRRLRTKLDL QRTLFVNWGKSVGFGTEKGYHEKLDEPDTKEIVLQVLTEIYLILSETDRLALEYGVRL LDDDEARRPQAIPNRLLSSRISANGGRSRGGHDSTPLQAAVQQARAKIKQRQQSSSLW TKAKWAIRDEDKLDRLVRDLTELVTGLRSLSSDFVDSEREKQIANETISDIDDIQDLR DVQEASTESSPLSVSIGARIQSLMPSASTAFYSARSRLSEISVPVDLYGSAPGNTNPF SLSARFRQESGSNQRTNQTDRDIGSTSDTDEQTEAEPQGPNSVPGVDTVSWKFASGVR IHGIDGPVMRVEMIIDAPDDTAYKDGQFRIQFWIRHAKIIESDETRVSVSQVTLRFMT RVCHPLVCTNGYCGWTSLEDRFDKRIGSVQSLEETANDWTQRYAQGAGSRRPIYPPES SVVAQESSTLYFNTLSFMRKPSPLMLDQDRWEAQGVPGVSVVLLGYPAVQTWAGQLVP EVKVVG
SAPIO_CDS4950	MAPKRAYGVDWEPYREEIISRYVKQNQTAEATVKYLEERHGLRV TLRQFKYQFDGYKKLSADDWKGTVLPAVMKRRLEGKESDVYFRGEKLSPKRVRREQDR YRAVLPDNPSEIDTSEDFGESDNSTIDFDVTLNINGSQNCTTVSVPDIIRIELLRDLP YFQLQSAVMTGSAMGFWQIYRSDGATIVSEKLQELIPARSSPDNGTPAQIFLDNSQKP EIVQVFELAAFMASNNSTDFSVFTFLRWVNDNRQETALMRFLKLNTSTTRAFARKLVK AGARLSRKTFLKELSAIGIPFNHAAEELLAINDTEFRSFVLSKLRPESISGRPGGRLL LRVVESNDVLNAKTIICACAEINYSNGFDTPLITAVESGNLEMVKVLIKSGADPNFRP NSEKSPLGQAIQLLHAPLVRYLLDHGAIVSAAVSSDIASIARRPTPEIISMLEGARAP GETTFYDILSAASDSNIFSVFLSTHNVSEEMALLALRLAITRNMSGEVLCLIQHGPLR GCDEALRQVIEHGLQHLPNEKVKLFVKLLIQEGAHPDVFELLQEMLDNYKWDDGLANI LIDTGFDLQLNGPRLVECALACGCHDAASLLIDRGTCINSYGDHLTPFQAVALKGKPV LLGYLAAQGAKINQKAYPNKGFTALQAASLGKSVEKVKFLVGLGADLHAPPAKTGGTT ALEAAVHPARSVFEHIDEDEDKFYYKSDHAAVEIFRFLLKKGASVNRPGSSDGPLLHD IIERRLTSLLKEALDAGARPDQWWSTGYYSRKNRTPIQLAAERGDLEAVKLLIGAGGD INSPAHPDYGRTALQAAAASQKVAMEMIRFLLSQGADVHAPPARRGGVTALQGAAIQG HVNIALMFISELGADVNQPPALFEGRTAIEGAAEHGRLDMVQVLLNAGAVGDVLDNTG FKKAIDLARGCGHVEVAELLEAHWRGEQSGYLNLGL
SAPIO_CDS4951	MPADSLWTLSMAINVYLTFYRRFDAPKLRKLELPYLLFNYGVPF VPAFVFIWVKDREGNRVYGNATLWCWITWEWKIWRIIAFYGPVWVVIITTMFIYFKAS GTLYKNRKRLHAFRVSEIETDSLSRRITEVSTTTEVNEGSYGVRPAARALVRYRSDGV GDAGGRAAYPATISAGEEEWRHTNRAEAGNSASIRPSPAIRRIERRIIGYEINKAAWS YAKYAILFYAAMFVTWIPSTTNRLYSLLNENRVLLPLEYLAGFVLTLQGFWNCIIYMK TSWLGCKTLFRELCIAVQSRIAGILAPLKRDIT
SAPIO_CDS4954	MAILKNIAIVGATGNVGRFITSELLKSGHHTLTAITRGAPGAAP TPEGVKAAQTDYSHASLVSALRGQDVLIITLSARAPRDTQAKLIQAAAEAGVRYVVPN GWGGDASHAAWADIFLGIEQRAAEQLVETLGLKWIEFVSGFWYEFSLIGTADRYGFDF KERSVVLFDDGKAKINTTTWEQTGRAVAALFDLDEKVIEERYKNRNVYISSFYVSQRD MLESALRVTDTKESDWKITYEPSVERFASALEKVKAGDNTAFTRALYTRWFYPEKPDA AAMAWQENHKLANEELGLPQEDLDESTRRAIENPAKFLGTYA
SAPIO_CDS4955	MWPRGLVVGAFSALALTGSHALNLRRCDTPSSSAIEDPVTTTSA EPTPTAPVCSNLVGNPSFEDGNNDWEFYTAIVTANDPSVAVTAQDGDHYVLMKIFRWA TWGYVAQTVSSMEVGKSYKMKFHYGLGLVQQVNVAGCKLSVKLNWAIVGSEITLAYQT PGEYHEVVRTLVPTVANPLVHIYLACNAASPADVDVLLDNISIVEDPCVEEPAPTETP TAPAEPVCTNRIANPSFENGADDWTVPYGIIQGTSGSMTAQDQSKYLYLTLPSGFTTT FSQGLPDVEVGQTYTLGLHYALGSFQAFVAEQCYFSISLDGVQLGDTVYPTPGPVSQW ELVERTFTVANSSPVLRVTSSCNQGQAGFMMDGFSLVDSACL
SAPIO_CDS4956	MPSFPKFIYQQLTINLPVPSASYAGKTVIITGSNTGLGKEAARH FARLGASTLILAVRSVEKGNAAKADIEASTKVGPDVIQVWELDLGKYDSVKAFAARVN ELPRVDILIENAGIATGKYTKFDGGESTITVNVFSTFLLAALVLPKLKFDGGEFPERK APDGKIFDALNDESKWDKAKEDSELARESDSWSLDLLKKLVARTTEQGSRTLVHAGSQ GADTHGKYLSHCKVHATEGWAAGAGSKELQGRVWKELTERLEAIQLGVTSNF
SAPIO_CDS4958	MSLDSSTRYPVRIRWGEEDLLYPWTLFDLPHRSLPFPPLQQTIS EWAALVNKSTSGQPVNLEFGPGVCETGMGQRNCTEACSNPAYFFRPMNFQSCLLLTGT ARLIQQENYALDTEDEATARTIEAWGDFDLSTFNVTQALSNIAGCVSEECNESDRQDC VGFAGALKAMSDPANSNSFSEISSSFNQQIAQYCSITNIRTDPDIAGPGVILSYTFQT GLAVLFCLFLKLSLTWVRKTIHLLSWFGSPNLRKRGASLQQQLADSTFAAAAISSIAE FHKIQCYFIVSIQIATLLNFNAEDPSAGGNQSGSFAEAIFNSETSIVLSIASIAPVIF TQFGLHRAGIHWWYTFATMSVTVVLAITIYARQDLVMVSPDGLWETLVSERAVTSCGM NPAPIVYCGISDDPGFTTMDAYPVYIGSLVGLVGWVVLLVDQIACTIRTCSPSAAEKK RGILAWTARLLQRYQRSRAARRLVAPFVTVAELILFGNVAMYAILLALTIRETDFISL SSWGFGQVIAVMVWTPTMAKYLYYIIFGIERGFKERIPENYTISRQSSLAEQLQSSSK HDHKPESADSRKSGEAGEVVSFLGRGQQDER
SAPIO_CDS4959	MINETSRLPWRTRSTALAKLYAVMLLLLLFILLTLEHLRGASFS NPLRFFIAMNSDPVTKVISTEAAKLREKWLQFQKTCDKIDRLDLDSCEPTMESVCEMV KKAESAWQAQRKAGSRGKMSSRFHKFCGTLDAHSSLLKVLPEGSEYVSLFSGSLNAMI QASMNHERIAEDLADALSSIGEHVSSCQVEMEIFQTKAMLEKVADLYAHIFLFLSGFM DWIMRKRRKRLLDSFNEKAVTRFESDIKAINDKAAAIRHHVEQSSRAEVREIRLTAEG TQLCVDGMARDIRVGLEGMARHQAEMEQKGELLLRMQREAGERQIRLRQDAEMIHENL FERLREYMAEELKNELPRAGKCFLLPPNLQLASANLEVNLALAYYPQPRTPKAFLDTS SLPGPHLPMMKWSAEEVALNSRHLDHFFYRDRVRLLCDSRAPIQVPTAWIIDATAQSS RPTISYFCELRRYEVIRPGNTAPSQVLISLVLALLRQMVGLLPHSFTTETDLSKIRFR TLQGTTESWAGSICLFRDLAQLMPPGAVCVIDGLHWLDDGTTEWYIRDLINVLRESGL KVLLTTSGRASCLRDVVPPDEVLDAYDFRSIGRFITIEDMVN
SAPIO_CDS4960	MDVSNGGNETDASSARSTCEDSTNRAKPREPWVRYRVEYRDVKT DVLIDFRDTTDPEEEIAERSGIDEPIFEMVTVCQARRGVGDGDSDQAEGQFLALNQKK HLNLYSTSIINALRSVVKYYPSQDLSGNPVKIHYPYAVLVHHYDELSVFRDACAAKSP GEMCVREFDAERHLRSLLKFLDDHIMKDVRAEMERINRGVFTWELAWVHYKPGSTVIR KKPDEENRWVASVIHSVHGGIFQNPPKDWEIRSWSLECKGEFVDGLVMVDFFDSTESD QFWALGHLDNVDLRVFVSDCTCSSCKERADNLGSKVVALFENYSHIELDEDKLDDHQY LLFPTSILAFVFKTRQWETLHVRGFTEPDFQEDMIQGLVMDERRKEMLKALARSFARK NRFGQELTQDLWSADFVRGKGSGLVFLLHGKPGVGKTCTAAGDIGTNPDEVESNLEET FARAVRWDAMLLIDEADVFMEHRTTSDLVRNSLVAALENYTGILFLTTNRVGSFDDAF ISRVHIQLYYPEFTEDQRQQVWKTFMDKLARERGDSIRLNIDAKEYIRGSEMRAVKWN GREIRNAFQTAVALAEYDAETDEEGKIVVTDSHLRAVVDLSRDFKDYLQILHRGDESK RAERRATAVKFAKTYPVVLLARNPDNYTPIVKEIQESGGRAVGITADATDPTSLSTAF DIIKKELPNATAAAAIYNVNGGFARKPFLELSIEELDSSLNAAPRGLFFFAQKVLPSL LYSVKVSPYPPTLLITGATASLKGSSTFGSFAAGKFALRALGQSLAREFGPKGVHVAH IVIDGVIDIPRTKEWQVNNGVEGGKLDPDAIGESYWNLHTQQKSAFTQEIDLRPFVEK F
SAPIO_CDS4962	MGKQLFVPDLPKGSNPIRRVFIANRGEIALRVIRTCRTLGLTSI AVFTNEDALSRHVSEADETTCLGSASQENGSPFLNIPLLIDAAKTANADAIHPGYGYL SENADFAAAVRDAGIVFIGPTSQAILTLGDKRQSKEYLSQHSDTVPLIPGFTGRSQDL AELERAADTIGYPVMLKASAGGGGKGIRIIRERAALKAELERAQSEAARSFGSSDCIL EKYIEAGKHVEVQIIGDRHGQIISLFERECSVQRRHQKIIEESPCPWLTPVMRRSMCD VACEIGRLIAYEGAGTVEFIVDVQAAKFYFLEVNSRIQVEHPITEEVTGVDIVALQLY VASNGNLNDLPLSDLSQNGHAIECRLYAENPQRGFLPELGTIHLWKQAGDEVVTSDEV RYETAVVSGTEINMSFDPLIAKIVVWAASGREAAIRKMVAVLANTAYATNFVGSHLDE LLKHPYGSGIQDVVNSLAAIPGAYLKMKSAELARNDPHRGFQRNPVRFRNQRGDKANK YTQVTVARTQRGIATDITIDSPVLSVWDQHWVRSSLDENMYLLSVAPILDHAQASLAY DSSAARRLTAVYANLSDLVKEAGRGTASSIHVKILSVSRLNEKDLQRATAEAATIAID VDNVRISGTLVLPNGNDYRGAGNDIARGTKVFMHVPALGTWFSFEVFTLLAYVESLKA TFATAEDSAEKDVVTAPMPCKILRVLKKSGDAVKAEGQAVKEGDTLCSVE
SAPIO_CDS4963	MASSRASQDGASQDGANPDAEPGMPWIDHLTPEVADEPTTEMRI DPALPTHCNLEDELIAPAHWTPEQNYGQDGSTQDFGHVLRQLGIGVSSNFWDMERPML PSLTDLGAAPIPVPGHAPLSGATDRVLQAHSPVGVARYFASPPRSTTNSANGRVSSLE LLTKRDADGENVRRSVPAGVFIRKDETVANYIGLNSVGATLALCLKDGIESQKAPISA TYFRNLNDFYPIVDEESYRTNVETLYGPSRHMLGILDYSIFLLVVSIGRLSMRHGSNK SDAGNDNENENDNLAETTYEKAWSMIHDSIATPCEGSLQILLLHVARNLYFGRCGMAW VFCGLALRIAMSLVVLGTSKAKGFQTTNYMAAMAVLYRNICRNPLHLAARADLEHLRA CKLHLERDTPHNVTGPSLKALFDYMVTSAHDLVWKPSSSAPERV
SAPIO_CDS4964	MESAYRQHLPKEGWSERQGLDISDPYIYSEKVINGPSFQAIWNG WKENLAAGFYGITSNGVKRGGLYRLQDEGAPTQEIVDAATAVIASLSPGEKSAAVRDL ESEDCVHLEQLQQAKVDLIIALLKKSFSDKGFAKIAGAMKTNKFLGPDKGIEICAKEG ELGLELMQSLPPDLQRKAQTYANLHDDAMPDGRWNLADQRHLAGAFQDNRVIPYEGVV ASDMDCENQQKLMAVVEAFLALLPPKPLEARLKQIRAWLPETYFSWIGGYGPEDPFYY RIQSPVALFEFDHHSSVFLTNREPAKYHIHTVQRIPNGNDYGMELKSLATKP
SAPIO_CDS4965	MAAAKSEDFNAKYTDANLASDAAPYDSERLCNLVKILEDADNGS ISTAAPAILAGMLCAQKRGDAVPKLYRDIVSGKSNNDKKAIFFGMKRATNLTWAFVGL PNCIPACLGLINELRRDNIAVPSEVDRAPFNEVDWSTKGKETNRQIYRAVGNSEVGQM IAQYFPELSYIANAAVFGYLIGGSVKEQALPLCEIIVAGAIAAMGATRQARSHFKGSM GLGISQAAVDAVWGVAQQVAAWNTTKLPGDINVAALAEEVKANLAQIMDGPSS
SAPIO_CDS4966	MSTPFRLVRSSPYFVPKSYRYYLAGLTSAALFLATFLNVGPSVT LVEMAIDLFGVMPPNPEDPASLAPTEIAVFQHAITKTSYLFSGAALMQGVSNLVWMPL AVKYGRRPVYTSSFALYLATTIWAGRATSFPSELAARLLMGWVSPGRPSAWLRLPRSS RLRGCRTHFGLISIREDWRVIYYVGTGILGLLVLLIALSMPETAYRRESQSNPDPADE IGDISKTGGLVAHVEGSQQREETASKAPWRQSLNMFTGRKTEESLWLIFIRPVVMLVI PPVLWAILVLGVNVGFTVAISTSVASSFTVVYGFTTWHIGLVWLSNLIGCVLGMPFAG KLSDRVADMFTRRNGGIREGEMRLPTMVIGMVLMPVSIILYGLGLNYQLHWIVPTIAL GIFGFCLVIVGNVSLAYTVDAFRPIAGEVVVTQMGFKRNPYAYSTSTT
SAPIO_CDS4967	MSGRAAVRIVEVGPRDGLQNIRAHVPTPIKRELIERLVAAKLGT VELTSIVSPKAIPQLADHDQVLTNPSILSLIRNPELRLPVLVPNLTGFQQALKYGVRE VAVFISATEGFSRANVNCTVEQGLQRAAEVTKAAKSHGVAVRGYISCIFACPYDGPTP HTAVLHCVKSLLKAGCYEVSLGDTIGVGTPGKTRELLTFLLTNGIQPSQLAGHFHDTY GQALANAWEAYQCGIRVFDSSVAGLGGCPYAPGARGNLSTEDLVYMFEGAGIDTGVDL GRLAETGDWISSLLKIPNGSRAGVATLAKQEMTATKLSHPVEQLATPFRWKIVRAAGG LTILRDRKTAKVILDNPQNGNALTANMISELTAFFHDSKRDKSLSRIILTANGRYFCT GMDLSKGGSPVGKGGRTSTDQFNLLTDLYKAIDDAAQVTIAGINGPCFGGGVGLALAC DIRIASPNATITLSETKLGLAAATISKYVVRELGIPFAREALLSGRTIPASELKQKSV VTLVADNAGTLDQTIDSYTYSLRQCAPKASAMSKELIKLGWNSAGSQAQEEGIKKIFE AMMRPDAEGSKGLAAFQSGLRKIDWDEFAASDKAKL
SAPIO_CDS4968	MASSFPIQKTNGSLSQATAKAWEALLEQHSQALETASSEGTAAS QQRHRSRGQLLARERVNLLLDSDSPFLELCALAGHDLEDSSPCASLVAGIGTVSGGAW NELTVLKQNRVTQIATENDLPMIGLVQSAGVFLPQQFKVFHKGGQIFRDLATRSAQGK PNCTVVFGSSTAGGAYHPAMSDYTIFVENQAQVFLGGPPLVKMATGEIVDAETLGGAK MHGTVTGLADQIATDEFDAIRKARDWVKTLKTPLVKELSSTESLPPRYSPAELLSIVD PDIRKALNMREVILRVVDDSRWLDFKPSFGQNLITAWANIYGKFHMKSPMFLHNVTGF MVGQKAEESAIIKHGAQMVSAVSCSTVPHISIIIGASYGAGNYAMCGRAYQPRFLFSW PSGRCSVMGPDQLVGVMESIGGGKNGTNGSVGKARKSSEELRQDVLRDGSCYCTSAVL LDDGVIDPRDTRDVLGVCLDITACSGSQGAQSHRGLARM
SAPIO_CDS4969	MGARAFLSTTTLVFGGLTIAMGFATSWTHQIPIRLLLGVFENGI LPGVAYLTSCWYPRFQYAKRSAGFFIIGLLSSAFSGILSYAFSQMGGVGPGAQLGQYV PSANGNLQQLPGIAGWRWIFFMQGVVTVVIAALAAIFIIDFPEHASKVRWPPKFLTER EAELVVAQINKDRSDVAAERFNLTRYLASALDLKIWMYAIIFGTTAINTFGVAYFLPV VLRQGMGFSTGLSQLLVAPPYVVSAMCVYISAWAADKYHYKGFMILAWALLSLVGLSF LALLQNVGVRYLGAFVATSGVNTVTTLTIAWQANNKALSLGGSQPKSQDGLATADSSI EVFSAKTVDNLHHTLKRIGDQVSHRHKKLPATPAITKSIPPNSRAHRSTELCSD
SAPIO_CDS4970	MFAGAAFVDMKLLTTVGYLSRIDAQRQLFQKVELLYEYDCEPDG LVVTQALLLLTFHYESPDDPQDTWQWVGLMASKALGLNLHVPPDEGKMPERRMIYYTA LSTLHRPRALGGTRLGPFSSTGASANAAESRAIIRTAATAISSFATELDNRDLVKYLP LTGITVLLPALATHLLDLKCPEVTIRDKATQGFSQCIRVLNQLRSTYIAADCSAIFVE HAVRATSARIASPTESVDSALVDDCLDVFEAGHLLDDLASMTDWQRDPDQQTNGSSSP PESWSKYSPFFRSTSTTPDDFFPNPPNGGRRKRSSPTSSLAETVLHLAPAKKRDRNLR SFRAPGLRLSCRNCENTVHWASDDHCEEHLALEEQQRAQYAARKIIRDALHFLLLLFL APGAWAAKEDLSWETIGHTTPTIVVAALATSTLFYAQNLKKLCGWAQGKPPTDLPKLP RDTRDLLANVRNVLMSAELPPERRVKKDETFAEYAKRTLDGDLIVVDRARRNERRMGI VTMVSLAKAFGDLIEAGADLSDKYDELEQEKNYKNLAATPSRKSTTDAHAGLLAPIRR PIRVTMRHRTPQNWPRLS
SAPIO_CDS4971	MANQATSILVPAPPEDSFSPRPRAPPPTTPSTDSLGGGDVLLKD LSHCAVCGITGWHQNKAHEVYDEWVEKIWDEYLRASGLDPTPDAPKPRVFTRDVWRSH LWLARALFEGEPSEPENQDDSGSEDHSGSEDDSGSDGYSSDDGDDDGEIGDASGNKSE WEDVHVSTPL
SAPIO_CDS4973	MEVALTFGSLGDIIQLCQLAIQLGRAVGVGCGEVSESAKEYQQV RNDLNTFVHILMQARAPRPSLGLPNKKSTRVDNATVLARIDQVQQLVSGACTGQEELL EFLQEQRAANEQQTEKQLQRLDEVNQQLATQEKGSRNILAVAKDAVRGILEVKNLLIQ LSQNVIDLQITASNSIFIRLLDPTRELPVVLEDALGRQLEIPAQWIDALEWEVLNGLL KGYFKGQKGHDMVLRQEYALEESASGRDLNTELPLHRCLRRGMKINMSMIFQTTEIIV GACPRCHTVTDAQEDVTVQCPAPDCGMWFRMQKQVIEVADSDSTIHKGDAGPESPIGQ GTTIGPLVDQPAFVPDDSDSDDAVPPPIARHARSPSPAVAPVPDPSIEDLSKVQVPPA IDRWAQIRKNAAKRAAQRSTAPVPKHDPEDDTNGKETIESRVARIKARVAELMGNLEG VSGPEAETLLVHAIRCQESSDQGGPPQGRSDQVRSMSMADIPGGGEEVIQLANGDEEQ SSPPSGGIDNILE
SAPIO_CDS4974	MVENLGQPSKVHYMARRALNLFSRKTEDAQQARSRGSEAPTHAS PAAITYTPDSEKYQSRAAARLRDGSLESTLPKGFPAELAGDLVWDGETLAQTYNWTFV LNVDQLEEIEAGLRYFQTLNLPLGRISAETFPLATLRPELRRLSNELHNGHGFFVIRG IPVDKYTREENIAIFTALSYHIAPQRGRQDGLFQGKPATVVLTHVTNLKTSLNEHMIA SPAYTTDKQVFHTDAGDIVALMALETAEEGGASKIVSTWRIYNELAKTRPDLVKILSE DWAVEVFGNRGRWFVSRPILYHQPATRSTPERVALQYSRRQFVGFGALPRSSKIPPIT EAQAEALDALHFLGEKFCVSTGFEKGDIQYINNMALFHARDAYRDSDTRK
SAPIO_CDS4975	MKFTVVLPLLTVSSALGIPKVAVWDDESHVRPFTISDIDAQNQN EYVLDEEYADFRALGDHDEDEPTLTIYELIKKSDRATKFAELISEYNDIVELLNSTDA KYTVFVPADEAFKHFPFKKKPDAEFIKAAIKYHIVRDEVPARELIQTNTLPTLLEEKL LGGKPQRVRASFGFGGLKINFFSKVVRPDIRATNGVIHAVNRILLPPTMVGRELTFFP SHFSTLLYAYEKTNFVDFIHNVKLNGSTVFAPDNRAFEKLGAKANAFLFNTEKGRTIL KALLKYQIVANVTLYSDEVYGNVDRVGAEGVDRHHYDLTTLLHDKHVSVDITRFGAFT SITVNGQVPVVARDAVAKNGVIQVVGKIPFPPHKHHDHDHDHDDHEEEGEIEVGDLIE RLQDYVDEDDKQWVGEL
SAPIO_CDS4976	MKFRSVASIATFVGLAVGQTPAGFEPAVEANLAVSFGSKAVDEP GASFTKEGTAEPLEGTYILAVIDISVPASFTPGNYEPRRNMLHALVAGFTSSKEANAD GLYILENADPSGPAAYIGPSPPAETPPKAHNYVELLFEKTDAYKEPQVDYSGFNNRLG VDVAELIATLGLGEPVAANYFNVTGV
SAPIO_CDS4977	MDQPSIYVGKGPGVDKAWEAITGDVGDQMVSKDEALRLGLAPDS MTIKHPVTGVEGFRVGMEVFHQLHCLNLLRQFSFKEYYSHTGGDVETDEEDLRGHSDI GVFSFRHFEGSEGHWPDYATTHTCRNFDKIREWATENAVALTEAGLPAGCINFLPCSP ERAPEITGFAVKHPKVRHINFTGSERTIIAGWAASCLKKCVFKLGGKAPVIVRQDANI DDAVEAIVFGGLANNEGVTRDMDLDHKESFGPVMILIEFETDESAVQLANDSEFSLCA SIFSCNVMRAMDLAKEIRASSCHMNGPTVYIEPTLPNGGVGGSSGYGRFGGISGVEEF TERKIISLAQPGMKYNF
SAPIO_CDS4978	MRSQAFLLVLAAASSAVSALTVPVHDALVERDPDFAVRYEPIEV REPHHRGKKNGNANNKREEEEYLETRAPHHRGKGNQAANANNRREVESREPHHRGRKN QGNGNANANANANANVQRDIEAREPHHRGRKNQGNGNNQRDLEGREPHHRGRKNQGNA NTRGGVEAREPHHRGKKNAGNGN
SAPIO_CDS4981	MASIDIDEKVKPTRTTSCVEGSVFNDEIDRQAHNLAVINHALGQ IGFGRYQWQLFCTCGFGFLLDQMLPVAVSLALPQVTKQWEIKYPELIILALYAGSLVG ALGCGLTVDFLGRKRVWQTSLLIVTIFALVSASSPNFAALCVFVGFQGLAAGGNFAID LTVFIESLPKSKDYLLTALPLWWGLGNAIGGLLAWPLIARYSCPQGSNPDTCANSGNM GWRYQYILVGGLALIMASARIFFMKMEESPKWLVATGKFDEAVVVLGQIARTNKSDFM ITANEFLSLDTQQAATRSRKRSILAGLNNIKGLFNTRKMAFSTSGVLALWVCIGIAIS STVGIFGPILATVLVNVPFLGRRRAMALTALIAAAFCGGFTSVRTEGSNIAFSCMISF WQNGFYAILYSYTPEILPTALRGTGCGLSMASGRVASLSAPIIAIYGNLNTPVPIWVL VGVYGLIAIIAVSLPFEPKHFSEDERY
SAPIO_CDS4982	MSRQGPRSKNEPIVIIGAGVFGLSTALELKTRGYSQVTILDRYL PPVPDGSSVDISRIIRVEYADPLYAKMARESHKGWLRGYKDHYHESGFVMLFDKAKGN EYVQKSREVSRALGQEITEYPNRDALACAYPGIQVNFEGLEALGNPHGGWADAAGAIR QLSAQCSEAGVSFLTGPRGRVTSLRYKDKRVVGVNVAEGSPIPASQVILSTGAWSNTL VNLSHTSSASGQPVGFIQLTDGEAKQLEKMPVIINLSTGIFCFPPTPGTKILKVARHG YGYATRMKAENTSDLVSSPKRDSNNAENAYLPEDADAALREGLRQLVPQFANHPWMTR RLCWYSDTPEGDFVIDHHPEIDGLFLATGGAGHAFKFLPVLGQYILDCFENKASDDIR RKWRFRSPVESSPGLKKGDGSRGGPPLRVLSAQEQAKL
SAPIO_CDS4983	MSTVDPNQRVVDPLEGTALSLFVVSIVGGVVSLTVVGLRTVIRL HEGNFRVDDGLMLGGLIIYLPDVALACVGALSGLGTRNANLNETMMVQAIKYLMLWML LYVTALCLVKTSICMTMLRIATTMPKLRIAVYSLLGLTIATFITTFIGILLLCRPVAA NWDPSIIAEGRGECSPTTSMLGLSYTSTASTIATDLACAILPAILLWHTQMRLSKKIM VATILSFGSFASISTIIRTPYIDNYNRPLDDLPFHIGNIPLWSNVETAIGLIAGSAPA LRRLFLYHQSPRATTRGTDGGSHGLPQGSGSVGLVTIGGSVVTSSKNKDHKGLKSNFG EAEQGNWTRLDEDTGSDKESTVPIRGIRRNMTFEVETSTLHDHEHEP
SAPIO_CDS4984	MATVGITVPFTQAPPYRVHEGKTAVITGGARSIGAAIARNLASK GANVVLIYLTEASDAPAAALAAELSKSHNIKAVPVRADFSTPEGCARVVAAVKSDMPP NAETGKPQVDILINCAALFHAMPLEAVNIEDFHKVYSINVLGPILLTQAVKPLLPNDR SGRIVNVSSIGAKVGLEYLTLYGGSKGALEAMTRTWARELKEHCTVNSCNPSSTMTDM LRGASEDAKKAISLWYPLTPLSGIREWDTDEQKEMAEQYGGRAGYAEEIAGIVGMICS PESGWMTGCLVSANGGQWMAS
SAPIO_CDS4987	MRYLPIILALTAGVPALAETIKITAGENNKFDPESVTAKSGDIL EFRFGPKNHSVAMGEFDSINGPCVPANEGGFFSGYFAVDSGESDKVFRVTVNDTEPIV FYSTQGWLAMRSMSRRRIRLLTREFLPQGRECSEGMIGAVNLASENDLSTYRDKASKL SQAVAPRDVFGGVVADADSSSEDNDDSKGNQDKKDGEGAAASVRVGLASACVAALVAL MV
SAPIO_CDS4991	MGPSGQTTSTRETWMQAASISRGAIISLLEALPSSCASLELDTA GYDGRHSDRNDDEAHICDSLRAVLPRMRYARIRISTMCSAMFGFGPDPHINNNFPDAS EDEDEDARFQPISLKSMRSLLVNCVSENWYALQRCSASDWNHTHLNPGSPRSSWLSIT AALERLVETEGAICQDANIMVMGHTVHHDSTDMAIWQAYIRANIVAKESHLLPHRLVC KKDIADPWLVRIPGPKDLLTSAINIEPLAEDYNWVPLYGGSRLPRAIAEDEMRGRRSI ATGKLFQAEVRVGDEEYLSLEPIREITPGGWPGEIPPRNPSNERSYLYTSVLEPVRDN PPTLPSHDENHPGTNTVSVYLPSAGPDRIAKQLTMFRSVLTPLVAFLALPLLIAATPE PSNLHLHNKRCSPWYDEEFYQGYLPPVACWQDQDTGCCPYIMEGTEMLLDGDHGLAVI YGISTYCADIIAEELARTADGRRAYGWVEKHGNLTVIGDILVISNMSQEAVEKYEGLV YYEDAEGPILC
SAPIO_CDS4994	MASSIDCAELSELAHNSATQVAAVAAQLGIKEARLLSPNSPKME LAKDLVTLAGHLLRASFALGSQIQDHAAANTTKFLEGLELVCTPWDHEQATEAYLASR SAKDLDTPDDYPFHVRETFWKVLKRYSQCGCTDPVQSQVSSNRHDSRLRLMEKFESND EDVIFDTVFSRTSDIGQGNDVEWQHLQFHISRKQQRLGNVGFALPEAQSHQSMNLSLN IPCVATIKSATQFCQILQKRIGPVKICLKVRDSKLEQMRDLKAVDDDIAHEQSVSLAD VLERRFLAAKEKLLLAYILARSFWQFYDSEWMSSQWTTEAVQFFWRCPDESHAKHDSS YCLLKRSPYFTLTLQKSHSLLAAEYLPTEEVIHRYPRVLSLGIMLLEIGQGKGHGKAA EYISTQGMTFEAKINNFVHDITKTLHKKAWPCFDLQEEVRQAYRFIVRNCSDSKLFEA NLKESSSQNNSVLTVEERRAILYKAIVYPLKELLQKLGWLDKSGNIQSKDYDEDDKLD IDNKSAISKDSPRPAVCLNAEKDSCLSQSRLEAERWLLRLQRSEVTKCLVDRFLQDPP LKRIRIAVLDTGYDPDVTFFRNKDRRRRIKEWNDFSSHDLIRQDEDGHGTHVLSLLMK VAPTADFYVARIARGTRDLPDSTANVAEVDNSPV
SAPIO_CDS4996	MTSTSDDKINEVSAPDNERDGSIRDGELALTTEDIETGGFDLQA TKRLLWKIDRNLLPLITLLYLLNFLDRTNIGNARLADLEEDLGMKGLDYNIALAVFYP LYILAEIPSNLALKRWRPSIWIPSLMIAWGVVCTLMGIVKDFPGLLIARCALGIAEAG VFPGINYYITLWYRRKECGLRMALFFSAATAAGAFGGLLARALVEMDGVGGLSGWSWI FILEGIATVVIAVFAFFLLHDYPSTARFLTPKERSEVVRRLKHDRSILPDEFDLKYVQ HALKDWKIWVHMFITFGIYTAVYSYALFTPTIVKNLGYTNERAQLMSAPPYVVACFFC IICGYFADRHGQRGIYIICSCALAIIGYAILMISQNNHVKYFACFVVAAGIYPNVPQG VAWNGNNIGGSIKRGVGIAMHVGFGNLGGILASFVYRDDGPRFLSGHGLLLGLVTMSM VLCIFMRSYLIRENARRDREYKKPDEYTVAEMVRDREMGDDAPYFRYVV
SAPIO_CDS4997	MHLSTLALPLLAASLPGANAQLNKLAKKAGLKYFGAATDSPGQR ERAGFEAAYDQYDAILDDIDEFGQTTPTNGQKWLFVEPEQGVFNFTEGDITANIAKEN GQLLRCHTLVWHSQLAPWVEETEWTAEELREIIVNHITEVAGHYKGQCYAWDVVNEAL NEDGTYRESIFYNTLGEDYIKLAFQVASEVDPDAKLYYNDYNLESVGPKSEAAVRIVK MLQDEGIKIDGVGMQAHLIAHQAPDLKAQLAVIDSYAATGVEVAYTELDVRLQTPANE TNLEWQAKVYSDSVAACVQSEACVGLTLWDFYDPFSWVPFVFEGEGAALLWFEDFEKH PAYDSIVDVLKKAASPGGGSCKPKKKARRNN
SAPIO_CDS4998	MLRTSLLRLSAFPATSVSPVRSISPSQWVFTSYSTRAFHISRSF RTTPKKPLLPSETSPIRPATTGNDGAKSPPVVPAKPKVAKPASDPLAAIDKSAQEQRK ADWAIMKEMSHYLWPKGNLDTKFRVALAVSLLIGAKVLNVQVPFYFKSIVDAMNVDVG AMGGNAVVVAGSMILAYGATRIGASLFQELRNAVFASVAQKAIRRVARNVFDHLLRLD LSFHLSKQTGGLTRAIDRGTKGISFLLTSMVFHILPTALEISMVCGILTWQYGAKFAA ITVLTMVGYTAFTIWTTAWRTKFRRQANAADNRASTVAVDSLINYEAVKYFNNEPFEV ARYDKALKEYEKSSIKVATSLAFLNGGQNVIFSTALTTMMYLACNGVAQGSLTVGDLV MVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVTVTDAPDAKPLALPKGG EIKFENVSFGYHPDRPILRNLNLTIPAGKKVAIVGPSGCGKSTLLKLLFRFYDVPSGR ILIDDQDIRDVTMESLRKSIGVVPQDTPLFNDTIEHNIRYGSINATHEQIVSVAQRAH IHEIIQKFPDGYNTKVGERGMMISGGEKQRLAVSRLILKDPPLLFFDEATSALDTHTE QALMANINSILREKGRTSVFVAHRLRTIFDADLIIVLREGSVAEMGTHQQLIDSGGLY SELWSAQETMFSATGEEDLGEPRETEEK
SAPIO_CDS4999	MATEAIQSRPRKKWYQIQWYADVDSPADRRLINKLDLLIVPYAV LSYWVKYLDQSNLNNAYVAGLKEDLGFHGNELVQLQTFYIIGAVVGQIPFMFLCTYCP MHWTIPALDILWGIFTLLQYRVTGYAELAAYRFLVGWFEAPFYPAMHYILGSWYRGDE IARRGGIFYIGLSLGTLTSSLIQAGASARLDGVNGLAGWRWMYIICAVITIPVGILGY FVIPGTPQQPNRIVLSQENINRASDRLARAGHVSHGKNKFPNLKILLARPQFWTCLLL CFLFWNSGVHTSAGTFLLWIKSLGRYSQARVNELGSIAPGLGIFYTVFIGFASDLVLG PAWAITVSHTWNIIGLIILTVWKVPESALWFAYATIYSSYAMSSALYGWVNTQLRAAP AERAFTIVLINSFAQSTTAWTPLLLYPTVEAPRFPKGFPFSLACSILLVITSHALRLH LRRSDPGVENTTGLPDEVFESRSVADGGESGTGLGEKREKEKVDDSSN
SAPIO_CDS5001	MDDHWSTDVEDCPPILSMNPDDDDGWGSDEDGWGSDEDGDASSH RSTTSANPDTDEGWEGPVGGQEGPTMDDHSLVGLDVHEVSSYSAHGVAHKPLTSTTLG SAIAALDVPMVERLLRSSDAQIRDSFGNSLLHLVALPTPKEVIKHPPRPWSELVQAAS HIISLLISCGTHVDTRNNEGLTPLFCAIWLDKWRFFPVEHRSGAPDWTIWSSGHVITA LLDAGASPVVTRIGDFGRPYKASFLNAWINMEPSYSGCPDFYDDILRSIIARMPSLSD RSVAPSLPFMFGKIRHVSHVLRIILDSNHPYPLDVNAINFGTTPLLRALGMNLAREFG KNDIENEKREAREIIDLATLLLDAGARPDFVSVDGSTAVSCILHRRNEYEEPVADILR HIVGWNARFNEDEMSHSKLVEITPTSVLAELLYDGKLLLASLLMKYGMKGRHHEKLGA ESVPRVILRQKPKTVADIVLCGKGKTNEYYTELDRFSMIYHDEIGAPVQGPLGREGSD SGNNVGPTTQRNKWNDETNGNPLRTAIHELGSDFVELIRRNFITGTLFDFCFVDMPED PPKPNWRRDTFHCVPRTREFTSESSYGLRRVRSTSYLSDRRWPADAATRVKNFFRYQA DLFASQGWMAMPFIMDELRFADSENG
SAPIO_CDS5002	MVESRGGALGFPMAPRAEAAEDISAKTRVSVWLLTSMAGFLMGL RLCCKWLRHRGLWWDDYILVLAWLLLLADSICVSINTRHGLGRHISTVDPANFAALGL LGNLAATFAITGAMWSKTSFGVTILRLTSEWPRTIVWIAIVSINIFMGIIAIMTWITC DSPGRINVCVETKVYISYSVFAGAYSGVMDLVLALLPWYLIWGMRMDVREKLGITVAM SLGVSEVDIVPLVIWGNAEVATTIMAASIPVLRVLIRDATGGSYPASSSLYDPTSSGP SHRKWGDLLLRRNAGQTWVSPTSTERSGRCRAPAMWRGASEDFILQGCVMPGEEASVV DSERLHGGLSNKSPKGCDVRVVELGRRRGNESDGYEMGIVRRIV
SAPIO_CDS5005	MFNDHVNTLVASVANHYWFVLAVGGISWILSTKYLTPLRKLPGP LFASFSKFPRFFSVLRGRPHEWELEAHRKYGRIVRTGPEQVSVGDPAAINLIYNASDK FVKSQFYLPFHIYDEEGMLPDPLVLTDKAMHTRMKRNAYNAYSMGSMLELEPLLDGVT DRFFTILDKIAESEGEPCDLGKWLRFYATDVIFTVTFGEDLNFMEKGDPIGMMPMLEY IIGDYVAIVGQFPWLHRFLLGNRLVEKLLLGNNALNGAALDLALGQVTKFRERADEDT EKPSTFVHRLLEQQRKHPESITDRELNTHAFGNITAGADTTTIAMRTIVFNVLKNPEV YRALCWEIREEAKLTFPVSYQAASELPYLDAVIKEALRIHPPNGVMYPRTTPEQGATI CGYYIPPGTEVGISPWVLHHDPELFPQPEKFQPERWLTPDTELLARRKRSLFAFSAGS HTCLGKNLSQLEITKIVASLIVQYDIALTDPGAELSFKCRWFTPQKGLLVKLSRRP
SAPIO_CDS5006	MVYSTEGFTWTPSGGRRDGLQTIGAIVPPTHTAESQPEDGVYDV IVIGAGYAGLVAARDLATQGKKTLLLEARDRIGGRTWHATINGFNYEMGGTWIHWHMP HIYREVSYYGLHNDWIVTQNPRGKEDYFTATTGSDQRTLTHEEEAEETGRVFRDFCNV DGDDLKHAWKYAFGTGQSPEKMAEWDKLSCQDRLDQIRDKFTPEELTMLEGQLLQMGG NTLDKLGLLGTLRWWSLGSHTPTGLNDIALHTRLRSGQSELHRRIFDHTLSTGNLSYS FRTPIERVEDAAGVVTVTARDGKIYKAKSVICTIPLNVLSSVEFLPPLPADKQEALQY KSVNRCNKIHVDLLGPDYLSWASIGTPGKGLISAFGDHLTNADNSHLVCFGPDPESPL GLSLDDIDAVKAAVVQLLPKKKQSEAIITRIIYTNLYNKVSHDWNSDEFANGTWCFMP PEATTKYLTVLQRPHGNVYFASADWSDGWCGWIDGAVQSGMEMARDVIQHQKKASELE KAALLKPIIPNGI
SAPIO_CDS5008	MGKPAMPELESWLDLQTDATGQGNYVDALFGCSSRLLKLMWAAS RLYASSRDGHMTTEELQEKVDDLQKQIRSTEIVLDSNPLVSISCQSTAPSLATVGMAQ EELRRRIVATAEIFRHASHIYVYRIANGPEVPLTEEMQSSLGTAQELLTMVPDALGPG ANLGWCLVVLGAEMDLVDERNYVQSKLDGLHLLGLHNTKNGQKILEEVWAHRDLVAQG QATPERWQDIMQRIGQSQILV
SAPIO_CDS5009	MAVVLVAGGLGDMGKLITEAIHDIGKYEVYVTSRRTDYNSEDSL VELLDKHKINTVVCTFALDFQAASDAQITLIKAAERATSVTRFIPSEFNVDYDQDDDL LPYPDKKYHTVARRELEKTTTLEYSYIYPGMFMDYFGMPNISTHLRELCLFVDPTNGV ALVPGDGETKMATSYTKDIARYTALALGLDKWPRVMTTASSTVTINELVALVNRNLDT PLKITYQPLSSLLKHQDRTMLPRNVPIAEHFPEGVEQLSALLADLGASVALGAYDFSR LEGHLDLVEHFAGETTPPMRIEELLDMAWGNK
SAPIO_CDS5012	MPVTKFSTPEKYQYLNGFGSYHESVLPCLSRLLKTEAIPNTLPI GANSPQVPNHGLYAEKLSGTSFIAPRSENQQTWVYRILPSAAHLPFERDNDYEATATI HHIPQQLRWDPFDLDDKADWVHSLKHLGGVGSPAMKTGISIFIYAAGVSMPARQAFYS ADGDFLIVPQHGVMDIQTELGRILARPNEIVVIPRGIKYRVDLPDGPVRGYIMELHDG HFKLPELGPIGSNGLANARDFQAPVASFDDAPEDDTPWTIYTKYNGNMFLANQAHTPF DVVGWHGLYYPYKYDLGRFNAIGSISFDHPDPSIYTVLTNPTADFVIFPPRWLVQEDT FRPPWYHRNTMSEFMGLIMGEYDAKTGGGFRAAGASLHNVMSAHGPDADAHAKASAAD LKPFKVGDGSMAFMFESPLMIGVTDWALEKCRKVQPEYNAESWVPLKRHFKWPTTGEG A
SAPIO_CDS5013	MSKSEKSAVISTHRDSVSVIHPTNGTAQVKKRFNFWTAFSVAVC TSGAWEGWTASIAQGIAGGGSVGLVWGWVFVSVGITCMACALAEFVSMWPSAGGQYVW SANLAPPKYSRILSWTTAWFGLAGLWLAALSCGIGVAVQIQSYVIVNREYEPKTWHAF VICIACMFCWIIVNIFAVKTLHYMNMTILVLHVVGYFVVIGILTGFTEEKHDAKYIFT HFQNSTGWDSDFVSWSIGLLAALYAYLSIDTAIHFSEEIPRANVLVPRAMVLQAGSTA LMTFPFIVVAILCIGDIDAVLGSPIGLMSPFTQILINSTGNVPLSTFLNCISTTVAMA AGFDLWGAASRAIWSMARDNALPPAMAKLHPRWGVPVLANLILIVPSIAVFLIYIWNT TAFYGIMAGVLVAFQLSYVVPLGINIFYTAWWKKDLVKGPFNMGKFALPVHIIGFLFG CFMVLFMSFPVNSPVNATNMNYAATILGAVFILSMVLWVFYGRKHYYGPLEFAATEPM SMSVEGEVTSDSKNGDWV
SAPIO_CDS5014	MTQSNLNLHVLIVGAGFGGLTAAIECRLRGMKVTVVETYPTSSE YGDIIDFFANGGRIIEAWDNGRVGRELMKVCINQGDKFQYCRADGTVMWEEDWILEPH HFWRQYGGHRGQMHTIVYNYAVELGVEFKLGDRVVQYVDGEKPSVVTSSGATYVADVV VAADGPRSIARQQVLGLPDTTVNSGYAIFRAQFNLTDDHKKNPLLAPFCDPTADLTKL WITKDLHMVVYSWMKGTSLGWVLTHKDTEDIGESWSFPGKKEDVLACLTEGGFEERLH EIVRATPAHKLVDYKLVWREPLKTWLSPSARITLMGDAAHCHLPTSAQGGAQAMEDGV ALAVCLQRAKGDVPLALRVFERIRFNRSHVTHMASISVRDGYHNADFDSDYIKKNPQI LNLPRPEWVLEYDITSESEKHFDHLAADVKSYKPGSIEELALPAGGDYTLESRKVGKT KLAVR
SAPIO_CDS5015	MVFEWQDEGKFHRVPKLEPGPPNVYFGDVFSTASKDAANPLTGS FFLLEKLDNPDPAPKYDYDESGVVLKGELHIADEAGNTAKLLPGDTFFIHRGSTIVFS TPRFAVAYKVAARHTH
SAPIO_CDS5016	MSEIKVIGFGGQPVIVNTGLFINNAFRLAAGGSELNVENPTTGD RLASVSAAQREDVDAAVAAAQAAFYGSWRTILPSDRGKLLNRLADLIESNADDLASLE ALDAGILFGESKALHITQATDTLRYFAGWADKITGQLLSIPQGHAYTRREPVGVCAAI VPWNAPLMITIWKLAPAIAAGNVLIIKTPELAPLYGQKLAALVKEAGFPPGVINIICG LGSVAGQALAEHRDVKKIAFTGSAVVGRQILKASASSNLKKVTLELGGKGPSIVFADA DLNNALFWTTTGITANNGQVCAAGSRIYVHASIYDNFLLAFAEKLAKTSHGDPLLSET TKGPVISQRQREKITEYIRYAKESGIRLLAGGEDLPGNGYFVANTAFADVPDDARIMQ EEIFGPVASIAKFTSESEVIAKANASEYGLGAAVFTNDVSRAQRVSSALECGQVTVNC WGMLHSNTPFGGVKQSGFGRDMGEEALDGWLTTKTVKYFTLPTE
SAPIO_CDS5017	MVFHRHPLADDLGLDDRTLAQMSLIISTEVPSRGEIQLKDSDDT DKSHQSAKTVKGPSIATDESEVGSQDEGPQLASWFEATHRRPRRYDAAKRLETTLVPI AEDPEGERLSEQLDPPTSHLSKAGASSPTAPSDTSSIETLAAAVSAVSPNDLEARRLS VSDIGDLPKPATFEPSPVTMEDRDTPLFTFAAPKIGPMEYTRMYLIEQARVDQEGGSN PLPAPEKRWAWTSEEENLLIIPRIPPSVDRNQFKPAPHGSPSHYIIPKSGPSKNEPNE PLPSVEQGSARNATVDETPVLPEIDLGDDNPFAGVFSISGSSSSPWRPRVPSAYLRNR YQAGRLSVPEQQAIIPSLVYASSEVEKNLHMAGPSKQNSGLSEVIYSPANYSSHIPIN SHPLSHNRLYLKKSESALSPLHANKEQDGESTPTNVIEKTFSNKIAKGGDCCSLTTAT TSSTTVSCMHVCEFHKPSADMECGEEEELGNDTMVSLEQDIRKQLGAFDSTESLIGSF SPEPSDEPSRPGTPDKPRPDSPILSPALLKYLSGQSLSPPPTNKLFEAPRLRQLNQPK EENGSPSTPQTSTKLVHCHTSPTEKTPIQHKAETESPSPLPQSSGVLVLTPAGLKYFP RQSPYPPPTKELPPVPQRGRPIQPKQEGSSSSAPQRATKSVDSHVSLTQNVPIQYQAD TDSQIPPPRSSGANPQTDSVVTPALEIRKAKSPPNQFPTIPIITVTPPPPTVAMASPP PIPPKNPLRGLRGGNSQVNKDLPSVLRSVTPVYLTTSTPEARPTVRHLTAEDALRIKR ARTQIASANKRDYQSGTMPKHKPVKDPKVNDEECRPLLSPKIEGEESEPKKREDLTAN SSPGRSATVENTSGDILEVTKRNFSRQAMDPLPSRGSSSKSAKTPEEDSDTEHNIAAT VKNNSVDMHEVIKRDFSMKTMEPRPSSGPPPDDGNIAMDWNRVVALMNTLPKKTPEEE SAMERHRAAMAKNTTVDLLEVIKRDFPTRSTDPLPSSGPSPDEGNIAMGWNRTAMAKN TAGSSSKPAKTPKEDSDTECNREPTVKNTTVDMLEVIKRDFPVKSTDTIPSFGSSSKL ANTPHGDRPLECMRAAAAKNPPVDMLEVIKRDFPLPSGQAKDRLPSFGSSSKLAKNPD EGITAIERNRAATACGIMQERKAFSSKLVDDEDAFSEGTSLRKTNVLSRPANHRLRAK NKQPEGQGSQDADEILSFADHLGAGNKANGSQRIPLPNEAQPKPKPQPQPLNPAALDP AVSYVMVAGKDGQPEILPQDHPGVKSYFDFSDDDDTPPKSTFREKLNQIKEKAHKISG RFTKLRLSSSERRKEKDGEARGRIENVPKRSQTPHGLRSTSDAENPTKPRSRFMNFPT LKIGRRRPRGDDLTAISSSAPASAPGSPPLPADGEAPPVPEIPEYYQRAFSGWNAIKL HATEANESPSWQYGKKIVKLESSMTFICLIRRGSKPSILRTGELFSSRIWVKDVPVAL DSQGITQLPESIDSLEADFLSCRTWVEDVLSPRLGESSNSQRASVNSLQKNFITCVPV FYADPKYRPNLISAAEVTDPQTPNIQAALKSRAAAPSPPPLAPVLATPPEQLDELRLR LIKARVHTITTLQPGPNLPAALEEKATNSNLSRLPPMDEESLTLVIAAHRVALTPCAM TDAIEDDDERQKAVASTRRAFALPPVVPENPPQEEDLSEACRALGLDDWRNLSLNPAC PTRRYYLLPWNVRTPLRHTHGRPAFRGTARRRRPGGFADYEAKDYLAIYEVKVPARSE IPEVYM
SAPIO_CDS5018	MVSALALDKGSSSIPTTLMSYSSNFDAPSEQTAQRLRGVIKSRS PVADRPIQLKDEEHQPEHIRTTKTLKDPKSQSRFQVTTCLSAQAAHGAFPRFHSHVIT TSFIVHILQIRGHGKNFQPSSSSSGDTSTSGQVVVGALRRSSPNATKHKLIVWLHIIV VNGPAHLLAMVLRLGGHMTPIRLPALPSRPLLQPRSQWSSSGRIRIRGQQPRLFWRTS RAFTTQSKRRVLDDFDRQRYGFPPPEEPTLLQKMGESAATTLASILVLASGFAFAAYA YHKSYKRVVLQKMANAFQPGDPVLELAALAKDVPSTGAPDGRWVLRDEQVHVDEIIDG KASGHYYLLIGDKGTGKSSMLIEAMRKIDGEGVAMFEAHSDLEIVRIRLGKALDFEFH EDYIGGYFSERGPRDSTALLDIERALNKLEKVALVSRRKRGKPLVLIINQMHLLRDDE DGKDLIELLQQRAEQWAAANLVTMVFNSDDYWVYERLKQLATRMEVIPVMDLPKQQAL SALQKYRHRYFKEDVEHSLLEEIYDMVGGRLSFLNRVAKSKDMLGTCETIIDVERKWF LNQCWILGAEMDDDVMDQQKWAAAAMVLALALVDKEEEMDKTYDPETGHILPTYPFHK AQEIMTRCDFIRRLDSLNLFSITAWADVRASSVPMHRAFKEICSEPGFREHLEATIQR IADIESLGRTRELVAKDLVLGGEYHIGKGDWKTGGVVVKLKQAEEKDDDKKD
SAPIO_CDS5019	MPPKTPTNKSPEKASASSSAVPELTASETKLMALAFVCLRENPS CGHGILALYPTTTTTTTRGKERIWLTTNPFKQIDLAKFAQLGNYKNVSTASTVWSRIK RRAMEVGKQTFPDAAASDNAVATPSTPAGKVEPKATPGTAETPTKRKRGSAKGKGKTK AGDGEEADTDGTPVKKQKRTPKKKSVKKEKEEEEAKPAVEEEAKASVQKEENILPSIE KGHGQTVVADDKSGEKSVVEKA
SAPIO_CDS5020	MKKFHSLPLPLLLLLRTSLVNAGVASFRRAENDTTNGDQGDQGD GDGGASSSFAVVTHPAEGVTLVTGSTVEVRWETRGDNRDWDGHVMMEIAEAGHVPLTN FVSVDYDIPYTDQSHNWTIPERLSPGSYALRLANSTSPSTYIDSSIFTIIHAAPAPSS NPTPPTPSHKGLTTDDIAGLATGLSVAFLVAVLAFWAWRHSKHRAKKRFGGGNGVAWR SDDDDDDAPRGGLGEWHAVRAGTTAAASTTGGGLFSMSPSTMVETGSAAGEEGMADLD KLPEGVISGWAPEDVRVEPVELPAEVYKRWSEDAREGGEAAGMGIGERLELSGSRGRD GSEGAEGASRS
SAPIO_CDS5021	MEDFKAVKDNLQSALVSTIKATNKLAAQDLPFQRTVNSDIDRRL DESTSRILGLATQLLKAAPRPGRAAPPKLEEIEDIDMNWRRIVDVVDATFEQADLALD EYTGLVKRKEAPTGDADKAPPAKKPKSLTLQPNQRKANISKPQLLFERAPNNFPTGPW KPLLTKKPHAIQPLEQSLRIDTGGESNNGSSEYKHPYETEICEMDYPERVFEKADPIK YRPMEESEATWVDTYEGVLEMLEELKKASEIAIDLEHHDYRTYQGLTSLMQISTRDRD WVIDTLRPWRHRLEVLNEVFADPKIVKVLHGAFMDVIWLQRDLGLYLVGYFDTHFASK ALSYQSHSLAFLLKKFIDFDADKKYQLADWRIRPLSEEMLFYARSDTHFLLYIYDMMR NELIEKSDKNDPEADLLGAVLQKSREQALSRYENPVFIEETGGGTKGWYNMVIRQPTP LNSQQFSVFRAVWKWRDESARRNDESVGYVLPTQGVWDIAKILPPDLKALHSLLPRYA WQAKVEVNDLWKVVQEAQARGTDGPTLQQFLIGGAQLKDGSATRGGKASGVALDTDYS LDVGDLEVRRMARSQLFGDMEVSSVWEEGAGSTRDQDEYIQLPWQRLYSAEEIRAAMR EDANGATKDGAEVAVEPVAKAEEAEAAVEDGEFTLKAGLKRKRQADSEQATTSQAENS ADEEEEEDDEDEEMDEGEDGGVPVTEGETEAETHSPSSSKEVSSSEGQAESTEETIEL ESVDKEKSGDKEKSRDSKKSKKGTSRREKKKAKLKRQREAQKAKNGAGAEEEVQPFDY SKAQSVLHGKRAGPQQGAGAGASAGPAKGKALFDPYAKTGEEGVKGARKAPPIHGGRS ATFKK
SAPIO_CDS5022	MPRYDGLMRIFWPADIARSEFPGVIVGWKNSELDVFVVAVLDVA DPNNVEYHLKIGTLLRNSNHAVNRVYELCGHLSMHVLGVINAPETTSVDPSWVRATTG PSYPVPIIRCARASNVQIVLFKRPQPLRMQYMSLNPIALDLEDKYFDMPTSGIEAEHE QQEREKREEKQRLVEKLKQHSIIKRTLSPKEKTLPRVINQINWAWELEQLLQKNLHLV GPRPKRRLSVSERVVESANTVKDAAMSWFWSLFIVYVFPIIQRCFALILLGHRVMTEV VLRILEFRARPHHAALKDLSATAQQVEIRLQQFCYWPIQHMKLRQRKNDWASVTTSHP DYIRFFNSLWLVANDVIIGIAMGSYIIEHADWVGQQIGILLRVYTVEALQTSITWLMG WPAGLKLNNELAAFLGDLFLWVIDYWSGFIDFLQPMLPHIIWFIGFSSFGGASMPLAM FSDLLSALTIHIYSFYLASARIFNWQLSILISLFHLFRGKKHNVLRNRIDSCDYDLDQ LLVGTIVFTLLVFLLPTVLVFYLNFAIARMIIISLKAAFDTLLSCLNHFPLFAIMLRI KDPSRLPGGVRFELGKSHPVVWSPTTLSLNRPPTSVIHLKPIPLTFGAMFHQYLQMAQ RIRKHYLSPTVLLCLVTGKFVPPIDRKNLYSLQYSMLPAKRPGIWEMWTALSSSTAPA RKPSWAVNGVRRHPFGGLPGRARSDLDTEIVQLSSASSRQDAIDSIRVGITRLSNELA DAAEYLPTYDQKSYTERVDSKTIKGLTDKLDREVDKVAPRQRFQFKARSRNAAQATKE DRRHNVGVLASYPETQEGSAPPPKEDAPNEKDYNQELKTSPSTAQIRRPSFSAAKTIS LSGHRNLHIVLPASAAQAATYGDLTDLEGCVVDMSVPASGATAFSGLALKNVRRCVII TGSVAGPVHVTGVSDSILVVASRQTPAWSSTHENKWDQVNDFKWLKADHSPNWSILAE DNRLVGDFWTESVAGRRDTSVAEVLTYGGIST
SAPIO_CDS5024	MAQTEHKACLSTSYSQVPSPPDSPKAEAKMETVMGLGHTGGDEK AFDLDPSLPAPQPESALKPSSPPAVPASASASATTPSSSAAASSLVIDGWGIPSEESP KNGDAIAAAETPYMDQFARDEAGYTELDASSLAVGLPEGLMGNSEVGHLNIGAGRVVW QDVVRIDQTIKTGQFSKNPVVKEVCESAKAGNGRLHFCGLVSHGGVHTKQTHLYALLR AAKEYDVPEVFVHFFGDGRDTDPKSGAGYMQELVDTMKEIGIGKIATIVGRYYAMDRD KRWERVEVALKGLVLGEGEQATDPVQAIKDAYARGGDSDRDEFLKPIILNGQEARIKN NDTVFFFNYRSDRVRQITQVLGDVDRSVLPDFPYPNVTLVTMTQYKADYPFKVAFEPQ KMDNVLAEWLGKQGVEQVHIAETEKYAHVTFFFNGGVEKVFPLETRDQNQDLVPSNKS VPTYDKAPEMSADGVANQVVKRLGEQRFPFVMNNFAPPDMVGHTGVYEAAIIGCAATD KAIGKIYEACKKEGYILFITADHGNAEEMKFPDGKPKTSHTTNKVPFVMANAPAGWSL QKGEAVLGDVAPTILAAMGLPQPEEMTGKSLLQKA
SAPIO_CDS5025	MPGHNKQSSRDAHHSPRRGGRHHTHSPYDTRTVPLRSNPRTQNS PPSARPAAIAAVAAVAEKEATAAPPDISPADVSATTTAPPAAKKPLLRHCDSSAESND TGNVSNISNPKNWFDQSNQNPSASPGQAMDVDPPFFQKHHSSPSDQDVKGSLPLDIVA PQDCEVPPPAPTHSSAEDYRSVIDDLTIEIKRLKDELKRYRQSGPSPLRSEKLFELKV HSLPAWKKRELEATLREFASGLETTSNPNASSSPRKKSSRNTSRMYSGSQSGSKQASS SSESQRRPVDSAYASMSTGHASAGTSQGRHSGRSRVKYSEQKVENYLKEIPEGLYPRH ITMSEKQKKKLVVRRLEQLFTGKPNSKQRAKHYEVSPMSVPVSENQEPAREARILPSL ATNKKGGSRDNGESTSNSNGDQTSLSGSGNGNGNGSGNGSNNSSPPTIPPPEQRPTRP RDLDPDRPQNPSENIEYLRHLGIAPPDIQRTEVGNGKAATLSPDADGWVYLNLLCNLA QLHIFNVTPSFIRAAVSEKSSKFQLSADGRKIRWRGGTEGTKFSSDSSGDNSCNSKSP DDSSSPNDGGSGNNKLDGEEGWATAHKRSKSKTSGIKTSSGPSAARQTSKTGPQTLSE EAAAASFQYKPLFFKHRTSNEQTSEDTGSSPESREESYFTGSGWDASHSMSSTRRKRR ADGAIIYYSGAPFCTDLSGDPGHHQQSSSPCVEGSSSLIQEEPADTVDQGIEEVFPAV PRRTLSGSSLPYRPLSLPRQDLLEAVGAMGGGTSDLGTDMVVGEDGESDGDADEMACE FPWSRSPQAARVPRPLESTGLGGVLPDDHFLVTVSTKRAREEGEFDDVTNPEARFNSM VEQLGRLHTALPPQGYYYPVLPSRSPTKDIEYLSGRIKRLKPTSLPPPAIFFPPFSTD ESSCCAEELESSEADDERVTSSEEAASRRVHRHHQSDLLSLEDSKVSSEPAAEDDTGD AMLVDGESSETSRAGKAVAGAVAGSRSSFATAGGGPSGFSSSKEESDDSSD
SAPIO_CDS5026	MSADAKVASSSAAQSSKGPPTNPRGIPFAPFVDNVEDYVGSREE VEPTLRSFQEMISLGEGRKYQFMEMNLQKRAGGLKEKIPEIKKTLETVRFLKLRKDDE EPLETTFELNDTLYAKAQIPATEEVYIWLGANVMLSYPIDEAEELLEGKLSTATQTLS NCEEDLDFLREQITTMEVALARVYNWDVVQKRKEKADEEKTKGKGKGKEAA
SAPIO_CDS5027	MELDPKYDDYDFPTTAPTPAPGHPGHLKPEEQAQVHQLRMLLEA EGYTKRLDTLTLLRFLRARKFDVMASKKMFMDCEAWRAEINLDDLVPTWDFVEKEEMF KYYPQYYHKTDKDGRPVYIEQLGGIDLTAMYKITSSDRMLTNLAVEYERLADPRLPAC SRKSGHLLETCCTIMDLKGVTLTKVPQVYSHIRQASALSQNYYPERLGRLYLINAPWG FSTVWSVVKGWIDPVTVQKIHILGGGYQAELLKQVPAENLPVEFGGTCKCEGGCQLSD MGPWREKEWSKPAKWETDVQKEEKSDVPAPAPAEGVVEIPVPAEGVAPPEGAKATAPA 
SAPIO_CDS5028	MDDSAHRKIELQDPEDLSFLIANVRRAARERIDEAFPPVNRADG EEDQLRLQIEALVNDYITKTFTLAAPNLSINGLPVDAQAYLSPSQPSNPTAPPVVYEP FNDKMHQRVLDLAREEEDLLAEIASLKQKIPGRVAAAYSETLRGGLNADEAALEERAR EVCSEAGTSVGEAGKGVLDVENAVKAKGGEGGDVEEVWKGLVEGLEKLKREMPAAVAK MERARVAGEYVITQGR
SAPIO_CDS5029	MSSETHYALPASHKEMLEKSLLDSDPEVAEIMKNEVQRQRESII LIASENVTSRAVFDALGSPMSNKYSEGYPGARYYGGNEHIDEIELLCQKRALAAFNLD PEKWGVNVQCLSGSPANLQVYQAIMPVHGRLMGLDLPHGGHLSHGYQTPARKISAVST YFETMPYRVDLETGIIDYDTLAKNAILFRPKVLVAGTSAYCRLIDYARMRQIADSVGA YLVVDMAHISGLIAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVRSTDPKTG KEILYDLEGPINFSVFPGHQGGPHNHTITALAVALKQAASPEFKAYQQQVVDNAKALE NKFKALGHKLVSDGTDSHMVLLDLRQHHLDGARVEAVLERINIACNKNSIPGDKSALT PCGIRIGTPAMTSRGFNQADFERVAEYIDETVKLCKEIQAGLPKEANKLKDFKAKVAS GDVARINELKKEIAAWSSSFPLPVEGWRHDAGI
SAPIO_CDS5030	MSSESITIPSGLSNPSTPYSSNEKSPSGSSSSSPYYRSPQTPSP ASKSQGRKATHNRRPSLLSPAISKQECTVINIGDPEGPPRLISYLSSGQGFAWNPEIF LPSYIDYDYVPLENRRDPIHEIYLTDEEAQMMLPQ
SAPIO_CDS5031	MGDVLVESAPNHVSIQKKAIASTIPNVESFEGLPLDGGDEYANL KKLQRQLEYIQLQEEYIKDEQRNLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDREQLKPSSSVALHRHSNALVDILPPEADSSIAMLGVDEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENAPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFP SLRDRRERRLIFTTIASKMSLAPEVDLDSLIVRNDPLSGAVIAAIMQEAGLRAVRKNR YNIIQTDLEDAYSSQAKGTSDENKFDFYK
SAPIO_CDS5033	MDRIPRFRRRPKHPTVNTDPKSINVTPADRDMTPPSPPASSAPA PAPVVPLTTTTITANATTINTPKTEKFLKVAGLKGLHLRSPHKRQRSPSPACLPTSPP PTVVTHDVGRVMSRSPEDKRDKAKENNKSRAKEDKKNQILPTPPRLTIESGDDTLVEE VEDTFETKSKTESGDEMNGKKPVIPFFLTYSEMDLANKFQDITWNERKRLASGSCVLE HIDSPRASPNSSQPDLRRIGMDRYYNIKPWSHNRVKLLVAEGTIDYVNASPIVLESPS DPSLPPLRYIAMQGPTLQSMDYVWRMIAEQLGTTAVIVQLTNMVENGSQKCFPYFPES DADATWRLNERDAWQDGWAADLSFVEFETLADGDIEVRKMILHVDGEEEERVVWHLLY TRWPDFGVPAIEHLDSFFDLMRLSRQYNAMGGTNGSTVDGDSPRIIHCSAGVGRTGTF IALEHLMRELDAGYLANYDAAEAASSSESDLIFKVVDRLREQRKSMVQAEIQFLFIYR VLRKLWLDKYRQATGGEYESEPAPKRLEVSDPFVE
SAPIO_CDS5034	MPSSRSRGSMQRLALSGLLFLASAAARPNDRPSLSDVSIPSISL LGGNVTLRILPLGDILTHGTPSSPSSYRSSLRDLLIADGNPVDYVGSSTSGSLDDNQV EAPSTVGTNPIASIQASAETAVPAYKPNLVIVNAGTDDCRQGKDVSNAGAELLKILET SWKNSIFVSVVLTTLVPSKDATVEACLEKVNTQITDLVVAQQARSQKVVLVDFRAADK GVTADDLTEEVDGEFFPSGEAYGKMAALLFDGINNAASRGWLEAPEPLPNAPTPSPSG NGTSPSATGTGGVSAPTTGSGEAGEGSSGGSETSGDQGVAEEAEGVKAGDQGSGAVRV GSGFLGVMGLVVGLGLAIL
SAPIO_CDS5036	MSPADPTPTLAVHGSTSSPKGRSLHTTTSVSPSTRLATYTSPLL ALPTAATSLQTCHHCLRTPPAVHPCSACRAVSYCSKTCQRASWKLVHKAECAALKNVP AGRELPTPVRALLQVVVRKEVWGAVVGGLVGHEGEFRRDRGGRVWGDLRLQGEMVRML LGGEREWVGGGDVVGKYAVAMCKLLTNMFNVHDPDLGYDAVFLDGGLAMVNHSCVPNA SAHIFGRTAILVSERPIEADEEVTISYTDPSYPLAQRKQDLAKYHFECKCPRCEDDLN IYDATRLLHPATIKANAFSLIQDPNLLTNPSPSSSPFPKGTTLQTTIHNAIEDLPQMP SDQPKDLPRLRAEYKICSPLIKTSLWAMLPPSFLTDCLTYYLSREAHTESLLITCLAA CRIHPFTHPNPANPYRLKGALALCRALSNATADRSVYASSLRAVYTRTEKVAASGARD VDVDLLVDLDAVATGRMLFDMLEHYVPVTQLDDWPLAVQVREGREAVRGIPWGLDAAS RKVMEQWESREGGDEAWLGIWRRMVDLLDGLAELGARMVVADFGE
SAPIO_CDS5037	MERLTCKCAQCKANLGQFINLWTKVGKSYYSPIIDAAETRGISA KGQTRSGEQNTLVEGCHQSTMYLKITLTTSHGKKVEPVIKRTLKLKGVGSSHDRDSSS SVPPPPFNSGFTPSGHQQFDAVDLTNLQTLVDIQRADINRIDAAGCQIVSAFDSSVDR IEREVKKLHDTMLHLRRDLDGNREDISSLKNDIDEMKRDIRSTSAETMRLTATVQELR QSVPSKETVARLEDQLHAATATIADLSQSSLDRAAETANLRRELSLAKTELHRMRDGE KGLKKAIEDVKKASRDSLALTNGNFAREMADLHSEVRLLRQEVNQRPPPQQSSPQNNF SAKELDILTSNIAKIGNRASQIETLQMEFQLFKSRLQRVEASIKAKAAPPESRRTSTN LSALSIFPDDGDEDGSIGSLQNVSTPSSPVPTRRKRNVTAREQLGDHDTTPSKRPAFS SDFSNVDAPDYSALTEWPTTSPLENGGPSGAAKNGNRTRPQTRSRGRPRKPLASS
SAPIO_CDS5039	MSFLGGGAECSTAGNPLSQMKSHMQDDKSLQRDRMGARGPASAA GGFRTANPVAPQDEMMNGFLNQTPGLQQQNGPLIDTAHGVHLRASSASPTWGHAGFAH SQPDLLRPQHNASPFNAQEFARFNAAMNPGVSSSSMTSAVPAPTMQQQQPHLFGGSMG MARAFPMMGHSGMQYMGQHTPLQQQQQAPDVKGKGKVVELDDEKWQEEFDRMALLDSQ QAEVPKEEAATSQTADGGVQSETLDGDFESIWRGIQAENATAKSMTDGEEQIDWANYD FTGFDDWSREMPRLPEEYLFEEENLFKDGNNAFETGVRIMKEGGNLSLAALAFEAAVQ QNPDHVEAWVYLGTSQAQNEKETAAIRALEQAVRLDPDNLEALMGLAVSYTNEGYDAR AYRTLERWLSAKYPQIIPPDKLHPPAEVGFTEKAQLHQSVTDNFIKAAQLGPGGEHMD PDVQVGLGVLFYGMEEYDKAVDCFKAALDSAEIGTSTQREQVHLLWNRLGATLANSGS PEEAIGAYQKALTLQPNFVRARYNLGVSCISIGCPEEAAQHFLKALEMHKSIEKEGRA QAFEILGGGAGGGSRAGLGEQLDRMSGQNRSTTLYDTLRRVFTQMGRRDLAEKTVVGV DPEIFRKDFDF
SAPIO_CDS5040	MASSINDAPRPPAHNLPAGLKDIVNDENRDSAYFSSDASSKHTS AASFGVNMLSPLGSAYQSSPGDKTPSPTSTNLVPQALVSPKGSSMSLSAVVSPTANPG ATRPSEPRRFDLAYIDGDSASRRESVDSRINQGFNDMRIGNPAYATHNHSATSIQTTL STQRNPRPGLESLSMHRISNGYQPSTERNPDPTPKAARTAPAITGPATSTIARAAEPT KGQAWAFPEDDLPRIGSNGAQYHDSRRSSIADSLASSQFTSESRLPPGQRRLDEAGDY TNRLSSTSGEFPPVHHHSLQQRHSGEQHPEEGRAHAGSQPYSRTPESRQSHKLAERKR RTEMKELFDQLRDLMPQERGSKASKWEILTKAISEHQRQTDHIRALQSHCSTSASEID LLRKELQAVRAENSQLRLQLSKTAPPPFQSQVAVPPPPTVTSQPPAGYAAPDPFANST RTELPPLRSLAGAMPPGPPPGPDSMTGVQYESSRPPSFRSERF
SAPIO_CDS5041	MLLKRFGPTPGKEGPYDTFLAGLLGGYVVFGRRSKRTGKIPSVS QQIVIYVFARVVLALARISVKPGAGLPVVSKEPLSSQISHYAWPAFASLSWAMVMYLF RWHPEDLQSSLRSSMNYIYVDSDHWDSLRNFIWHNK
SAPIO_CDS5042	MPQNEYIERHVKRFGRRLDHEERQRKRIARLGHKASKDAQNLRG LKAKLHQQKRHKEKIQMKKQIKAHEERNVKAAGESEPSAPVPAYLLDRSNPASAKALS SAIKNKRAEKAARFSVPLPKVRGISEEEMFKVVATGKKTHKKGWKRVVTQPTFVGPEF TRRNPKYERFIRPMGLRYKKAHVTHPELKVTVQLPIISVKKNPNNPLYTQLGVLTKGT VIEVNVSDLGLVTASGKVVWGRYAQITNNPSNDGCVNA
SAPIO_CDS5044	MHDSSDDEMPVPMKLSALTKALLDDGAPAVPPPAPRTRQASNPP ASPPRTRRRSALSSSTSSAQEESRRQLRSGSSQPYYETNGRSSRVTSPAKSRESSPVR KRVVRLSTTPKSLSQMKRRSTSSQSRPSLQRKPSTGGRPPSRDKSSSSDINKTAPAPP PVAAAPPADINTPAQQPRVVRIASGSSAVRGRSATSSAVSSVKSTSASQTEAEGPEEP GTAARNSGHLGSVSRAGNIGRLEDNLALQSSMRIKRVGKVPGSFLSGPARRGRRRQSE EDVEGNGEGEPMSSSQEPESQKAEPTPGTGIGDGLGTSFYSSHKYTDFASGSPVSSKD LHRRHASLADMRMASSARPSPMASEPEPEPEPARQAAARQQPPAIPSTHDQENEVPLS IRQSKPLPLSTDILADKLAKRPASTDLGASRATASPERKPLSSINHNTPHRPAPPPPK MSVVDVATSSAGAVTTQVKQRRNIIRVNGKCYTRLDVLGRGGSAKVYRVTAENGRMWA LKRVSLEHADELTIKGFKSEIDLLTKLAKVERVINLLDYEMNDEKKVLTLVMELGEVD FNQFLKTRQPPDTGRFDPVFVRYYWKEMLECLLGVHENDVVHSDLKPQNFVLVQGRLK LIDFGIANAIQTNETVHVHRETQIGTPNYMSPESLMDHNAMRGVRIPGQPKLMKLGKA SDVWSLGCILYQMVYGLAPFAHIANPMARCQAIINWDYAIDFPGRGMGGVPVPPSLIR TMKRCLSREPHLRPTCEELLHVTDPFLYPGELHERALPIDEELLGRIIQSVVSRCRDR MPTEAETMSVWPAAYWASVKKAMNAHRT
SAPIO_CDS5045	MKHLAAYLLLGLGGNASPSAKDIEKVLSSVGIEADDDRVEKLIS ELEGKDINELIAEGSSKLASVPSGGAGGAAAGGAAAGGAAAEEAKEEKEESEKEESDE DMGFGLFD
SAPIO_CDS5046	MIQRSTLNALEGAFDATNAGHRICPPMFDRSSGLSTARRHWYKH DIDCGSERGKGSIFASKGRDLNDRDSLALRLQQYERILLAHGIEVEDRDPLLPGDSPK RDGPSFALQPESRSGHGSNGFREAYQASGRLDDPAVAELLIWGRPHYVSLRTLHPTVP QIMVLWNTFLSNFDPLVKLFHAPTVQDTISQAAMDLDAISQPTEALIFAIYLSATVTL SDQQCLQFLETPKQVLLKRFSNATQQALVNAKFLKSSDLAILQSLTLYLLAIRSYLDK QALWILAGLAIRLGETMGVHDERTLRSLPLFEAELCRRLWSQVLLINECSGKHSGERA LGTRSPSNCNDSDLSPFMVRIPEDQEGGTDMLFCTLHTHFRTAARILRRHANDEKLLP IELDVLEEFETKIDRLTSRCDPSIPLHLFTILVGRCAIARLQFSVTANAAFAEHDDLS SMPVETCISLLDSAITVLGFDCEIRSSQTIRPFLWHPVVQFPFDAFIYILTSLCTGTE GNRHNAAWVAVNRAYEDYPEFCADSDNPLFSAAGDLAIEAWDRRMDRVRAMKPMQMAD PDMYPPGSIEYSAASHAISKLKEGRARVRNSLESARGTWGGMDEGSDEEVDEEDEFEF SWNDWQRLLQDAGYLHIGRTY
SAPIO_CDS5047	MATLLNSTYTELTEKAGETTLTIPAITMDDYLGLNAALYEWADS YDSKDWNRLRKCIAPTLRIDYRSFLDKLWEAMPAEEFITMISSPTVLGDATLKTQHFV GASRWERVSADEVVGYHQLRVPHQRYDRDPAEEGARVTVKGHAHSANKHWYRRVDGVW KFAGLCPDIRWFEHDFDRIFADGRDNFGENGN
SAPIO_CDS5048	MARQKAHDPPPRTSSRKGVFYYNYSHQSTGNGQIPSVAAQEKPV LRGSDLVNLGETDFQQTHQQFRTPKGKGLGIINKFYKPQVRPPLETFALPPTPDELSP DETTLPSIPPPLETPTQPRGRTITRNNSTWRPTSAVYSHNPLVTADFANLATYNYYGA GAEGISPPSSPDIGTSGQGRDVSPIDELPDKSGFDQQPTRQASTSQPKSNIPMMRRQR RQTQEAAAATLRETKSRERLRDRSQQQQGVSSDAAAAYPGGPSTQTATGRQVFKMAKG REMKWDAMTGEPTLGPKGYSAQVKPAEYAQEFGTHSNPASSALSRLRSAQNNFSDKVR RIRGGESTGPSSPSGIPTRSAPLSPEPEPRVPERSPTAPPVQVSATARPPRNSSLTAR PEWKGGSGRVALVDPVHDTPQDPPLHIPRKSSKRSPNVSRGARGGPGGPLSLSPVSPS GSETSLSRPDDPTTTTATRKPIPSPLASPDPSISTRAPGKDYPSPPNSGSAIPGSYAT GPSSPIQPVTGSLPNYRLDSAPATAAPAPIPSSTINIDATKAIRRKPATSTTAAPTTS SPPTASNAGTTATNRPPAHHNPHFSTASSVYSQFDPAPINYSNVTTNVSNITVTTHSP ASGPATQPAATVAEDNWVQPPSRFSVTTYATSAHTGSPSLSIDADRPPMPTPPQAFSQ LQTTSSSPSRKDNVVITMNQPYMSSPYTTSSPDRAKAAKPMGPRDAIPNYVRSNTWGD SGSSVFGNDGRPGSVASTSKALPPAPPEARHGNDRVGYLNARLESLANRRININRSIK KMTEMMPTDNLMASDAVLKKREIEKIKIEGLKLELSEVQREEYELGLKLHRAYKRQDR EAEFEPTTLWVRRAGS
SAPIO_CDS5049	MNAEAQGNPPQECSSSAGGIGTSPSVPAQPPNHILRQLDAGLFS SSITTPDTPPQTHTPGPEQGNPMSTGSTPAPPTEAPPSAKIVSLGVEKNDEKQQPVLV VGISAPTTNALPPPPVQAGSSEISGSQINPASLPVVGSGVTPTPPSQRQISEPQRPPP STTTQPTRPQSMPPVPQPNLPNATPPIASAASRGVPSQGSLAFPTPDKGPYTAENVVA GLGFPLLDDTSIPAQLLADQCNELYNVIIKVTPQALRRIVRDTWERSLAGHEAHLSFV VNAALDRATLGTVKTTAKLLGNKFMRMAGTELLSQATPEILGKAEDLIVSSAPDSLLD KAMRRRLDTISARPLLNMLARAERLGYDANDIIEDGSQGAPAVAKPAKTATAPPHGQA SLPSPNPPAQLSSACAPLSCPLCHRQFTAVAVRDHHVRKKVCANPPTNFSCPQCGQCF STKYGVDYHIRNAVCGSEPGTQRGRDKNRSGSPILISSGESSPVPQPATLVPPPVPAP PYAQPQSQPQPQPPNATPVITKSQYQNGIGAARSPAPPQNAPIHHPPTSAIQHAAPAS PAQGAARKPATPGPATTSTGPYDPYAHLTPAKMSELNAELLEADQSYGEKMRQAQAEI TDMAQLKVRLDNLKNSLATKQSMVRKKYGVRLRERRSKAEIAEHHARLLTGTPSGATT PTSSDSHRSKKARVSAGGDSRPTQLDGAESGHHRVQKTPARRTATADVAAPLSHSGLT GTPAVPERVDPTRGGTSSSLGRPPSHRRSARSSQLVIPPATPKRLRTEGPAVATPSTL KWPVKPPRLSQSAAGGGSDSDSDSGSDVDMIPAQLPPPPSRSNRL
SAPIO_CDS5051	MSYPPYQGYGQPPQQGYGQQGQYYQPPPPQGQGGYAPPPPQGQG YYGQPPPNQYPPPGAPPNQQYGGYAPPPTQGYQQYPGQQAPPQGQGYYQQPPPPQGQY GQPPAPYGAPPSPQPPYGAPPPGQYGAPPNQYGAPPNQYGQPPQQYGAQYPPTPASPG YGPPQIINWDATADAQACRTAMKGFGTDEKLLIRTLTSKDPLQIEALKASFQRQFNRN LINDIEKETSGYLEYGLMGVARGPLLNDVYTLRDALKGAGTNEALLNDVLLSRSNADI QAIKGAYYQTFSRRLEDDVKGDLSGKTERHFLMVLAATRAEDSAPVVPQQVDQDVLEI YKASEGRMGTDELLICSIFTSRNDNQLRAIAHAYKQRYSKDLEKVIKSEFSGHMEDAL LYQLRHANDKYMHAATLLEDTMAGAGTRDKLLTSRVVRYHWDRNDLNNIRGAYSQRFG RDLARRIKGETSGDFERFLLSCIGEPI
SAPIO_CDS5052	MKTPNPAAADPTISDQSDGPGEGKTNQTAESSTGFEPRASQSLP VLDSSDKDDKPNSGPSSAAASGAGDLYTGSIEERQNAKSSRPFSALRRALTWTPKRCR FDPENPPPFTFALNILYAFSACFTVANLYYNQPILNKIAETFNVSYEKASSVAALLQA GYAAGLLFICPLGDTVKRRPFILSLIWLTAMVWLGLCITNNFTVFTVLSFICGATTVT PQLMLPLVGDYAPAHRKASSISIVVSGLMLGMLVARLLSGIVSNETDWRNIYWFSFGA QHLLLVALYFFMPDYPSTNPNGLNYLRMLWSIVYMFFTEPLLVQVCLITACMSACFTA FWTTLTFLLSSPPYNYSSLTIGLFSLIGIASIAGGPVYGRLVTDRYVPWMSSLLGQIA AFTGITISTFTGKFTVAGPVIQAVTVDVGIQMAQTANRAAIYSINPKARNRLNTAYMV MAFVGQLSGTAIGNRLYHAGGWTWSGGSCMAFVGFSILVCLFKGPREPGWFGWSGGLN LRRDSENPPKSGADTEKAINGSTGEPAQEERITPAPTSTKGRTPSSPNPTKQNE
SAPIO_CDS5054	MSLEAPTYLASLQNNIRQRPIPWDGAVRSGLVTDSQLALIRALD RAKKTELKKSIIENDLDGYTALWVGDKAASRLSVLESAAKQPAIIQYLLVLLGDLIEC APTLAKSLLLSDDPYRSLRPLLLHSKTLDDPIPLLASKVITGIMAAARDGSAATRQAL PETLSYLSELVESNDAPKQDIAIQQFSAILYSRQTRRQFWDQRNETVRPMIDILRSAA GVGSQSSTSSLWSGSATLRPATDAQLSGGVGLQLLYHVLLVLWQLSFDSSEIGDALND EYDIVILYTQLLRVSPKEKTSRLIIATLWNLLEKNQRSLLPTAVLARLPSLLDNLTGR HFTDRDLLEDLSSLKELLEDYTRTKTTFDEYVAEVNSGHLRWSPPHRNAMFWAENARK ILEYENGSLPKKLKDIMNRSWDQDKAVLAIACNDIGWLVREAPEKRHQLERLGLKKRV MELMDETDENVRWESLRALGGWLKYSFESSK
SAPIO_CDS5055	MRTFSPTRQGKPALLSALFLILLHSTQPVRAVPYPRDDLHDAGY SFLMPRACEQYCGADNRYCCQAGTSCTTEGAIAMCVGGQGIYTTTWTETKTYTSTVTS DWPAAAATPVDESVPCVPQSSDWTPCGWVCCDWWQECAVEGQCRPKPGYEGGVGGGGG GGGGGAGTVVTTNGQVTTLFSAPFRVTGTGSAAPSQTFVSGQEPQEDGGSGLSGGAIA GIVIGALAGIGLLFLLCFCCIAKGILGAIFGRKKKKERTREEIVEERYTRSSHAPSSY FTKRGTHSSWYGSSSSPRRSEKKKSSGGKWLGIGAAAATLLALLNIKKDKKPPSRRTP TMYTDSYTYSYTDSSPIGSSSAGGSKHPSSRTHRSHRSSHRSHYTERTRHTGRTSRRS SAH
SAPIO_CDS5056	MKYIESQEILEIPEGVKVSIKSRLVTVEGPRGKLSKDLSHIAVS FTKPKPNTLAILIHHGSRKNVAALRTVRTILNNLIIGVTKGYLYKLRYVYAHFPINVN LEKNKETGCTEVEIRNFIGEKIVRRVTMRPGVDVEVSKAQKDELILSGNSLEDVSQSA ADIQQSCKVRNKDIRKFLDGVYVSEKGNIVTE
SAPIO_CDS5057	MSYFFATPVDIDIVLDDADERQMVDVKLDKNRREKAPLYMDGES VKGSVTIRPKDGKRLEHTGIKVQFIGSIEMFFDRGNHYEFLSLVQELAAPGELQHPQT FDFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYSYRVVPDVNSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKFSTRYYLSLVLID EDARRYFKQSEIILYRQAPELPAPDTPGAPPPADNRTIATVPPPTVQA
SAPIO_CDS5058	MESRDAPFVFKETRLNLEPSSSAFVANIRVPAPSSHSRGAYRRY TGSHGTDLDEETTFAQKNLSPASSIFQRKLSTSPTSFLWRVLEDGTVLSVRAVDVCKQ NKTPDASLVLNFKFTIPIQPSCVALTEPDDHDALFIYVIDQSNHLYSFSLRPDLFCRR SSLDSNIGDVCRVHLPPSLASRYPHRLVAAGANTLLVTLHDGGLVRLDRNHAHDASAN PWIESNYNSQTWAQGLRNLLPIRGSSTVKYGKINMDYSAAASVHVSSLGLDYSFLFTV CIDHRMRIWNPRTGQILHTVDILNAERNPQEIGKWTIDPSQTNLVRIIETGPGRGVCV TFSPIGPGEFKFWKVLAKTDSNLILEDAYPNDHFIPNAPSLSDAWTLADFSISEIADA QLHIWILWKNNTTYRVQRLRLTLTEMTESWESGSDAVYFDSSLPTAETSGPCDPIDST ERWLEVILCPGRFTRSTLETALAIYERGLGKKTEGSSKGPRSLPESICLVLASTAALE RGPSGGMDYEQFRSASEIHWRRFYRLLVELDKRRSEAVSLVLDSAADTAWVVCADFVS AIRECSQLEKLYHNLSRPEGSQKDVAKLVSTALSFLDVLPDNIMQVCNAVLRPELFED TTKTDLERIQYFSDKAGFWRGVTEEDCIPVVENLGQNFNLVTLDLYNQILDLVAPDAS TNRNIRHPLTEFGRKLVVKSVQDNLALQWKVCFSQLILLVHMEFEFEQEEDALHHRVD IGTVFRRLVDVLRRLELLRWLSKAEISVPLRMERGGASSPVALKRGNDEMQTITALEG SVGHLLGFGDVKREPLASSLTDIVASLCSPESDIELSPAHIQCFLVKRDRADLAAELI PFSDRTPFSTYVQGRVFLALRDYSSASIYFKKAAIGLSVEDAGTDRHSVGLLDDTEWN LLYKGMAKYYCHIVSIFEKARAYSYVVEFSRLALQFISSTNPAEAQLIRAEMLSRQFN AAVAISSFDVAHAALLSMTDQAVQHSSLRKLIDKMCESCHNIELTSLPFTGLTATVDD ILTQKCRSSGDVVHGVPYHQIHYSWRISRNDYRGAASVLLERIHKLQAAGEGDKFVGE DVLDTPVTRHYLLLINALSCVNPKQAWIFSEEVSDTEGGVKPGKRKVVTLADVRKQYQ EELDRIAAIQNDQFGFEADDAMQIL
SAPIO_CDS5059	MAEEESFDDHNGEDMSMTGPGAPTPLTALEGLAGLTKRDIQLVM DGGFNTVESVAYTPRRVLEQIKGISEQKAAKILGEASKLVPMGFTTATEMHQRRSELI CITTGSKNLDTLLAGGIETGSVTELFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCL YIDTEGTFRPVRLLAVANRFGLSGEEVLDNVAYARAYNSDHQLQLLNQAASMMCETRF SLLIVDSATSLYRTDFLGRGELSSRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVD GGPSAMFNPDPKKPIGGNIIAHASTTRISLKKGRGETRIAKIYDSPCLPESDCLFAIN EDGIGDPAPKDVDKE
SAPIO_CDS5062	MFSQNPLMNGPNYSFSDTPHTAQGNIREHRFSPYSDNGGSTLAI AGDDFVIVAGDTRHTSGYSINTRWAPKVFKIGGTTASQDDATIVLSVVGFAADGEALK DRLDAICKMYRYRHGKAISVKACAQRLATILYQKRFFPYYAHAILGGIDEDGTGAVYS YDPVGSYEREQCRAGGAAGSLIMPFLDNQVNFKNQFIPGSGEGHALKERERVALSRSK VENLVKDAFDGAVERHIEVGDALQMMVITKEGIEEIVVPLKKD
SAPIO_CDS5063	MGRDIRQRALQKLASLSAGSSPSSFDRSDFDRLCKACHTGLRSR DYTNGHPAATRIPMTIREFEVLLALCKAAPSIRNAQNAQRLVHQLAPYILEAHAHSFV PSPYFRNIEPSPTEALAFHTTAALLVLGNSYEDLEEKVAESIWAFVNACGVAAGNVVS RQAEDVETSSVEDAIRTATIAVALLGFMDAASAQVDFWRAGGRLSLVKRLRDILSESF LLAVETAFSTLRNTHPNERQPKEWRHHLRHYAGTGRPLGAMLLQRSFSWLVVSATSLL VVDAKNLRQSNVLDLLISGEGRLRPMSSKSSEADFRSVEWYANLVVDQMNFLEASADF DQQLASSWQQKMAFAVKAASLMGYVCCAALNAEAADVDILMSWLEDVLVDPVQMADEA LATAVLKSLTLVCRISPMLASTVSRLLPRFIVQSASNSATVATASNCLASILRLLSND AIITTLYTLGNVLSPGSNRAVNGVNGTNGDLSTDSPSVPVYAGRHSTGSSISLRLDGE EHTAVAYGNVVQAICGIAEASGDEKIIALALSMLLQKIGKVNSSVNAQIITGAAALSL KGGQLEFRSLLKMYTRVCRIAVAENKESLLTAVMKARCYISANLRPDSPLFDTYWEHL LDSIISVGDVHQSSPTKDSDVQLAAHDIAQLLRPLAVFMASNDMSVDAITEDESHSML RDAWFNIVVHGFLPSSELGEKWINELRIMAVHSPPLVAEQRGEQVESDIELNTVLRRG MSSEREAMQKKHLCELLPSRSSDIRSLSYRKVIFLQAAYLVESLRADAGDCTKALSYF LEPSMRGGAVSSTMEALAAAVVDKYLDKTMAGKDTAFTSQYVALQLASIFCSCCHRIE RVQQAALACADRILRDVPSALCHRSSLFALLELLTLMWTSCLEEETDLYEPQSTFTSR LGNVTVELSHDYDYRRRTLNIITYKAKAWVSAAINLAPLDVKGLLQTYLSEYYDEGAY GHTSFGRSFAVELGSFIPATDHRLQSIEPNGSYKVNAASDFIAQYTTRQEYRYGETLP DRGMELMSFMHINRRTSFAQSLSHESANAATALAHVEARLLSKKGTPLEEVRAILRRA AALLCRSTRDESAVVHYLVSIPFAMFTKQSIKLGASLWLGVINENPRLEPRLLAEIAQ QWELTIQRRLGLFSIALSHPDPFTVKKEFSPSDLEAVAKRKQLVHNLLSPHAWLILFL GSHFNATHLGSPNVQKIFLRLIDVTLDAVQASTPHPLARKIRLEILLLGLRVLRACTN MGAIAEWRLKDKILSAGLSWFKLSPRYSFGNNMLQVKTELRLLTDVLSALKLVSYIGA HAVGSIKSLQAKEQLLTVLLENEQARLGVWLDPINEAARSQSFGTSMSKGALESALLQ LVRTAWMEGPSIAIELSTRFPFPRLQTQIRWLLLNVPSRAIDEPEALPIILGGGLLPD DVTFLQLKHLLYWAPVNPVTAITLFMPSYQNHPFIIQYAMRALESHSVDVTFFYVPQI VQTLRYDALGYVERFILETAQFSQLFAHQIIWNMKANSYKDDDAQIEDAIKPTLDSVM KKMVTSFSPTDRNFYEREFSFFDEVTGISGKLKPLIKKSKPEKKLKIEEELRKIKVEV GVYLPSNPDGVVIGIDRKSGKPLQSHAKAPYLATFRIKKSRTTADESPELIDDAGKKS QEPEETSIEVWQSAIFKVGDDCRQDVLALQMIAAFRGIFHTVGLDVYVFPYRVTATAP GCGVIDVLPNSISRDMLGREAVNGLYDYFISKYGNEDSLRFQKARNNFVKSMAAYSVI SFLLQFKDRHNGNIMIDDAGHIIHIDFGFCFDIAPGGIRFERAPFKLTTEMVAVMGGN TEHQSFKWFEELCVKAFLASRQYCEKLAQIVLLMMDSGLPCFKPESVKHFRERFVLEK SEREAADFMKDLIRKSYASYSTSMYDQFQLLTNGIPY
SAPIO_CDS5064	MAVPSSPDLSASHVLHHPPQIFEPDEPPYRSLMRANSAASLAGS FRRMSWSMGPDDEDIPPLPRSPWPAESPEDRRRHLAEERRVSQILADPQMRSMMLLGK ESSKYQWSKYWKSEAELKTLRKPIRKYYERVNDLIRQYMYIDALLDSSIRHELLNEYS ARLDASAFRTVEVPQTIHEEASSTHSSTAVMSSHEGTSESPPDGVTSQPGKKKPVKRT AKDILRVSETTPLLARDEEEAGAESNPVKPYAPWLEDAELDHDDPIVSIALYVNTIAN VILLVAKVIVVASVPSMSVLASLVDAVLDFLSTAIVWTTTSLISASQTDQFRYPVGRR KLEPVGVLVFSVIMVTCFTQVALESIQRLLSSNHEILELGLPALLVMLSTVVIKGAVW LWCRVIKNSSVRALADDALTDVIFNTGSILFPIVGFYARIWWLDSFGGLVLSLVVMAT WTITSMHHMRNLTGFSAQPDERNILLYLTMRFATAIKQIQNLRAYHAGDRLFVESSSW SVNVRRERYTDEWCAIKVDIILEANTPLKDSHDLSEVLTYFLESVPIVDRAFVHVDYD SYNVPTHVSQ
SAPIO_CDS5065	MAKFPVAFGKRKSAANKEHVDGQVSSFRVLERTEVGEVKPFDSG SGLGRPITTTTVRPYSQVDMLAEEDNMFTSLKINSAVSTAPSSATPSADVSHPQAADD ARIARKPLSSDANLPPVPKPPSSGFLNRAGRTFSFGSKKSQLPPVKDTPPPVPVHSTL SRPRGMTTTSIATTTTTSTATPPKLDDEEFNLDLGGDFGTMFNGLDKRASMATVRDAN SHALQPRSLTDNRIPQSAQGNSPRPPHSPHSINSDNSNDGLLKSSATDPLPSLPRQAP PSVQRSNRPSDIIEDEDANLLRESIAASKFLTTPSARGGQSSRPRRDDDTFVAGSSWK TEKSGNDESLFDNSFLHATRVAHRYVSRPPSPPRNRVMTPAQFEKYKQEKERISGQRG DEVGEVKDDEDDEENYEDDDDEVEKSKQAAKQRRKQEAHMAVYRQQMMKVTGESANTA PKPSLATSTPTPVPATVSDGSDEDEEIPLAILAAHGFPNKNRPPTRLSTVASNPNLRA SMIASQQPSRLSVAVGENGPSAAGSRVSQLPAFARNLPQDPFVGAGLVRNSARESIAM GGGAPAPTPSTSLPPGGLVGVIASEERSRAMRRGSPNIDGKLISNVNGTPGLSFDPIA GIPPQMMYGMGSSPSMPHLTPMGYSQPMPQMMLTPGDQAQIQMTQQMQQFMQMQMQFM QMMATQQGGAGPRPNSFVVPPGSGEMSSRHSFVGGDLGMEPPRLDNRMRTMSMVQPSS ASWIQPMGGYAPSIRVQGDGYAPSIAPSERSNIGLPGRYRPVSQAPPPPHEAMRRVST MSGALSSWDEPRNSAPAPAPPPKSGNNSDDDDEEGWVAMKAKREKKRSLWKSKKGLGG GLSAFIS
SAPIO_CDS5066	MTIRATRFTPEVLLSAPRRSQGSPNPNGTAILYTNSTYSFETHT KTDQIRLLRVETGETTVIAETSSFKDPTWLGEDEILLLDHSSSGDGVTSLVHLDVASG SKPVVVHRVNGILANLKAKRISEDEVVIACSAETTPDGSMHQPSKDTKSHSSAKVYSS LYVRHWDSWNGKARNSIWYGLLKKVDGQFVLQKPGLINALAGTDLECPIPPFGGTDHF DISSSGIIFVSRDPTRDPACYTKSDLYYVPIKSWTESNPPQPRAVKTRGLSGYSMEPT FSRDGKKAAFVRMRNIQYEADKTRLMVIPDINDLGSVQEFYETEDGEGGWDYSPSGIE WSADDTELYISAEKHGRVLLWRLPASPALATELPEPVYTNDTVTGFRRLAESDPRLLI TTASLVDSACYSILDPRTKDIKVLSSATKHGKSLGLSRSQCSDFWFTGCDDRKVHAWV MKPSTFNPSKKYPLAFLIHGGPQGAWLDSWSTRWNPAIFAEAGYVAVMINPTGSTGYG QEFTDRIQNEWGGRPYVDLEKCWEHIRDNLPYVDIENGVALGASYGGYMINWIQGHPL GRKFKALVCHDGVFSTLNQWSTEELFFPLREFGGPLWERRETYEKWDPSRFTDKWETP MLVIHNELDYRLPISEGLAMFNVLQARGIPSRFVMFPDENHWVLKHENSLVWHREVLG FINKYSGIDNAAPDATLPPLKELNISRT
SAPIO_CDS5068	MASDNKKTPGALPGPPGPPPTLQEYRKEQARLREMIEKQKQLSK RLAQLEDTIIQKESAYLESSPAGNIITGYDNYVKGTSGAAAQRRKMGTVEQHCVFSRS SISYRPNTGDATTGPSTPASHAPTPLSTSFKDGGSNHATPTSATIARGGNKKKKNNED DSEHEAVSSKKRTNFGTGRK
SAPIO_CDS5069	MKLNSVATAVTAALLAGTANADDGTSPEEASSVSVNLPTFTPAS IKADFLEQFTDGWDARWKPSHAKKETKGNEEEQWAYVGEWAVEEPTVFKGMEGDKGLV VKNAAAHHAISAKFPKTIDNKGKTLVVQYEVKLQNGLECGGAYLKLLRENNALHQEEF SNTTPYVIMFGPDKCGHTNKVHFIFNHKNPKTGEYEEKHLVTPPTAKIVKTTELYTLI VHPNNTYVVKQNGEEVKSGSLLTDFQPSVNPPVEIDDPSDTKPEDWVDEARIPDPDAE KPEDWDEDAPYEIVDEEATQPEDWLENEPTSIPDPEAEKPEDWDDEEDGDWIPPTVPN PKCSEVSGCGPWTKPMMKNPEFKGKWSAPMIDNPAYKGPWAPRKIKNPDYFEDKTPAN FEPMGAIGFEIWTMQKDILFDNIYIGHSVEDAQKLADEAWKPKRDAEKLVEEAQKPKE DPKPESPLDLKFTDDPVTYVKEKISLFVTLAKKDPIQAIQFVPEAAGGLLAVVLGLIA VIINVAKQGPAAPAPKKADGGKPSKEKSAQGAATGADTGKGEVTKRNTRSQQS
SAPIO_CDS5070	MRFTPVVIASILAAVVHAQDATTSAESAAATSGLTAEQQKCINS CPATDVNCLAHCTPVPSPNEDNLNKLHDCAAKCDQGDGSESATLAYSNCLQKCVLENY YDPEIGTPNQAGAGSSSGSGSSSGSGSGSSDNSNGDSSESGSATGTGSSASATESPGA ASGLAVSSSLGLFGLLAAALTL
SAPIO_CDS5071	MAALGEDLLGIVNKLQDLVFNTIGSDSLDLPQIVVVGSQSAGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVPDDESQPDAPLDPYRSPSAARRSEWAE FHHIPNRRFTDFADVKREIESETSRVAGTNKGINRQPINLKIYSPHVLNLTLVDLPGL TKVPIGDQPTDIEKQTRNLISEYIAKPNSIVLAVSPANVDIVNSEALKLARHVDPLGR RTIGVLTKVDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQGNKPMDEALKAEA EFFRHHPAYRNIATRCGTQFLAKTLNTTLMAHIRERLPDIKARLNTLMGQTQQELASY GDMHFSGKEHRGSLILQLMTRFATSFISSIDGTSTDISTKELCGGARIYYIFNSVFGS SLESIDPTSNLSALDIRTAIRNSTGPRPSLFVPEMAFDLLVKPQIKLLEIPSQRCVEL VYEELIKICHTCGSTELSRFPRLQAKLIEVVSDLLRERLGPASSYVESLISIQRAYIN TNHPNFLGAAAAMGQVVTSRQDKERRRLVQEERERREKRRLKELGTNGTEAPEDDEDT PLAERGDVASLRKTQARGLRSMSPSVRDNPGSAGIASAVNGHRPASPSRFNSQGLGNT RDSFLNYFFGKDGVSQQQATHTVATRHVSQNSEPSISQSIRRLEDKSIARPVREEDGD GLKHSRFGDLPAQANSGVEPALTDREAMETDLIRALISSYFNIVRESIADQVPKAVMH LLVNHCKDVVQNRLVSELYKESLFEELLYEDDGVKKEREKCESLLQTYREAAKIIGEV L
SAPIO_CDS5072	MSSFGGPGSKPATKPTPPQRGSFPLDHDGECKDVMTTYLTCINK VGGVNKPECRDLAKAYLACRMDRNLMARDDFKNLGFQPDLNQKQTSSTKDGPKDGEGG APGELRW
SAPIO_CDS5073	MERGHRWMEKEEACSLRQAMEDMELKDQNLSKASSPSDDQRIYE AALNEASELVWQHQHPGASNPTGPYRYRPHLRKNSYAHARTASVGMYGDEIVATGLAR DTPRSVSGSSAESAASLPLTKQRNPNAGIPEGIAPARRDGHLGQGSHRSGSKRNISGE IGNPFSVDQIWEEPEAVVKAMVPGHSPLQELPQEGLGALGRRSPKAKALPCATNAGII SKAEPDSFRRERLERINRRMAGEILEEAPGKTMAEDGDDRLRFYCHLDWHELFAPKCK HCKTPILGEHIVALGEHWHYGHFFCAECGDPFEHGMTHIEKDGYAWCISCQTKRTERR APKCRKCKLAVVGQYVQALGKELAEKIYGIWGIRRLNPLALEEKTDGSHVLALPIAER AHELL
SAPIO_CDS5074	MLASPRSKARPRIESLRLIKISRAAAYHPNTMRDAIAQPDMDDA STLSQKSEQAQVLPARPEIEYFANALDDCLDNRGSISERRSRVLKLVESYYEYASRRY QLLGPRNGYHPPGAMLKGEVKMDMGSLHSEDVVPDPSREADAWKQEAQIWDLLRQLLP IRYPDAETLPSRPSSVRTQKPTLWNEFVNSTPLAMERKAVLRWLQVIAADGPDINDLV KDLQKNADRGDIIAHGWIHTRSTIKLRKSVLAWPHVLDPTSPNVSQSHVNASKSPLVT QLDPDSTTRQGRNLEPQDEYFERAIWLGCFELLRRGCSAREIEEWCRERTEGWRAVSM TAIHLGDHEANASPDQPPEALALWMRACLAVARQGPPGLYERAVYGILGGDVPSVEAV CKTWEDFVFAHYNSLFRSQFDTFVLTKCMPEAVVSISQQPTPFLDPPQYHGESGSPER RFLRLLESNNATMVAARDPMKVLLASTLAKDLERYFFDQGFALALHANQNIESKLIFP HGFVESEVDTDRYFRLDHHCGLRVVSHIYIVLSLLEQLYPQLRAVVSQDAMRRDIQQN NIVAYVSLLRLSGLYELIPLYCSTLSESRQYDALCRNLIHIVEPTSRALAINLIGKAG LDSLKFVKTQAALRLNTLVNDGSSRENQTLFRVVGDNTDHSLKYGRLVRADFFGDDPD AIPMAHEFLIRTVEWLLEVDHAWPDALSTGTKIYKYFLSMVFLSLPDTGVNSRLTDLG SFLENTFLNSARVFARRISFSGLIGNRTQNPSPGDPEHPDFTDISFWARQLNALPSFS GSPAQVAADARNFHDMEALVRALDTMETLASLAQLSREDLNTPRDFWTEVGVEVKALK DHMEPLLGGWLLPGIQARERWELLKYEDLLSLQFTSIGKPRDERAIKSNIKMDSSPPV LPPKPNTNFEGPNESLPITVVPHFTPALTPHRPSIRDDDSSQSRDPGAGWMPATLQDM PKQQLAAILTDDRLLRTATHAPETIHPSLSTSYESLRTALLENAELASRLTELELRVS AERDSAQAQLLSTHALERQWRQKQAELDGVLGPFSPASLYQRLSHGVQEQGMVCHALE ESFIEGSGTESAASEREVIDWIRRYREAKILYYSRQERKDRWDEGRVGGWR
SAPIO_CDS5075	MDPPHITEFASERYFSKLNQLGDAPEAATSSLPGPSQPHSIQSS TFILPLRSSSKPCESEVATIRPPDHKRSERSRLFGLRSKVSLLHAKPTPPVPTSPSYS SKPAGDLTTPARVPLDDLFRSLPSELQIQIINSLPLSDILNLRRVSHFAPQQERMRRR LIPLLFTIFHFFEMYRKLHLEHIAEHGGYGLRHTPYTLNPIEVKIMNMYDDKTLLRVH QVFPLVISSFCRQLRPPSYAGRVERSLRGYLREKPPDEVHAAILCLGGLRQVERFWEI GGYNSRRGAVDHWYSSVALTPEPPTKPKRSIMGIGRKKSMAALKNSAMRHANNPPLPP LDPALINSTNFVFGTSLAAGMPMASLAPDHARQLLPDLPTLQHIWLLTAETLILDRKI VQRSQDILRNAQVMLELIREDGLEEEDEWWYGRNAPDSLRPPAEAFENDVGDSGMVDP LL
SAPIO_CDS5076	MAIKYSLLSLPLEAFDSSDRDDALATLRSIVSDLGTVIPYRIPS FKIGTLDALVQQADDLAKMDSTCAGVVSKVADSLKSLLGGDEGKIAQHKKVNENNDIK TKINQYTSVKTSLASLERQRNGNLSTKSLSSVVDPATLVQGSEYLESHLVAVPKIGKK DFLRGYETLAQFVVPRSALQVAQDDEFVLFTVVTFRKASAEFLQKCREHKWIPRQYRY IEGGKEEEQRELDRVAQEEKRAWAEAMRMAVTGWSESVQIWLHILVLRVFVEAVLRYG LPLDYTTPKASKKVKTTLDSNFSYLGGNAVRRDKRGNVADDTALASEMAAAGLGSAAS EYTAYVYFELEVP
SAPIO_CDS5078	MAQRKLGEAQVPRLGSREFRSSPGSGTQEASQMALAGASNQRRL DFTRTGRAVRLTVHLILPRNAKMPLALSDESLATFDELRKSCGVEIRGERSNTVICIT GSSPTHVRAAIHGINHAIHGLKVEEASGHQRLLVQPLAFSSLSHGKIVLHPPKGPNGG GRRPILFPGDPDAEPGVIEQITMEQHKEKIYGLFQDSLDSFQTTRCELHMGINFGAVK LFQREIDQVEFDIRQFVELANHIPGRTLARLDTRIGDDAAGFALARLFILHFMPNTSG DGLPDVLRRHTDYSLWFQMQDQRVEADIARIKTGGETRWYTSSSRVVRRGWKRLDILS ASPDKLYDWSFNILARDSTSAMPPWVSGLQQCIKLSHRPGDSFDLQAPRLDITPTPSI PCQIEDTVLRTSFRLPYPGTSCVLNVETTRRWAGTNTRPPPTISCTVSLHGAEWDEQM NSGMEGMQLKDRAILSLTRNCQDLKSSFMELLDCALGVQEILNQGELTGSGLL
SAPIO_CDS5079	MSVEYPKHPFLLTIPETVDILGTDVEEGLLSNQVAELQRTYPPN ELDVGGGVAWYTILSKQLLNAMILVLVFVMVLSYSFQDWIEGGVVTFVIILNVVIGFL QEYRAEKRMDALRALSSPSATVLRNGNTAIIPNADVVPGDIVLLKMGDTIPADLRLFE AMNLSCDESSLTGEAVPVEKTVANDIVIPGTKNPATSEDQVGIGDRINIAYATTIVKK GRGRGIVIATGMVTEVGKIAASTTKKHRKAGRSMNWRKYGKRQPVVGFAKRTYDAVGK FLGLTTGTPLQRKLAWLAYILFACALLLAVVVFAVNRFHVPTEVVIYATSLGIAIIPE SLVAVLTITMVVAVSVMSKANVVVRDLSALEALGGVTNICSDKTGTLTQGAMIVRTAW LPMNHLYSVRETRHPADPTEGKVTYQVIEDEPKEAEPEKRDFDQERSAAALTFDVPAE KLNPKRPDPSTTDGVAEVTPGLRCFLLSSALCNLATVRYDELLSRWQTTGEPTEIALQ VFAHRFDLGKKGLVSSGWKELAEFPFDSSVKRMSVIYDPPKDGEEVIGDKNSVVFCKG AVERILELCQFIGFGDNRQDLTEDVKLEVMEQTTRLASQGQRVLALAYREWNGKFTLR QSPESDAADEALRREVESNLTLLGLAGIYDPPRRETKPSISECSSAGIRVHMLTGDHP ETAKAIAKEVGIIPKNLSTLPAATAASIVQIATDFDKMTDEEIDALDELPLVIARCAP DTKTRMIEALRRRNAFMAMTGDGVNDAPSLSRADVGIAMGSGSDVAKSASKIVLADDK FNSIVAGIREGRRMFDNIQKFVLHLLSSNVGEVILLVAGLAFRDDSGYSVFPISPLEI LWINMITSSFPAFGLGREAAARNVMSKPPHNKNRGVFTNQILADMMVYGVIMGVLTLV TFVIVVYGANNGNLGVDCNRHYSDSCHAVFRARAAVFAELTWLILVSAWEFKDLRRSL FRLHPNDTRKFPLFEDLYSNRFLFWSVTIAALSVFPVVYIPHLNTSVFKHTSISWEWG VVVGFTLLRGGGGWQEFYEDNDGYQFQELEEF
SAPIO_CDS5080	MRQWDLTWDGLPREIRLLILEALMQDGCTLGRLASVSREWQTEL ERYNFARIKLTPSRLIDFSSMIQRNRALVRYIWFCLELDDYDCTKCAPARGMLTDVEW EEAFAISDTDNCPITTSFQNLFSVLSTWDLHGDLTLDISIYSPSDSKHWFKYLTFMPD TLPDMLVGGGIEQMILNNVHNDPQHGWVAGFRHSAPSTSAIRKVFHSIMEEGPFDSEQ LEHQWWDQLPSVPAVTRLLLRQQNRRRWKPGSLARMLARFPRLQEVHYEPWREWDFIQ SYTDNNFQYLFESIQHSNNNLKRLVVFENFNQQYPAIMQRFLDGVDLSGCDSTRNPAP AVSRMVALASLRLEHLAASFIVDASHFFEIEPSWEWPNLTSLALTSKLLTPDENSIEI GAMLQAAAAAAMKMPHDIPTMTSILTSRQAEELHKSIIAYLSGNNLPNAAAALRAELG LGENVFDDATAKKYETLLEKKWTSIARAMPTTLSRRNQDPASWLPRHPPRHSLESHRD TINCVAFHPVFSSVASGSDDCTIKIWDWELGDLERTIKGHTRAILDVDYGGPRTGILL ASCSSDLTIKLWDPADGYKNIRTLLGHDHSISAVRFIRSGAAGSPSSGNLLVSAGKDQ TLRIWDVTTGYCVRTLHGHTGWVRDVCPSPDGQFLLSTGNDQTLRLWDISTPSPENKL TMIGHDNVIQCCAFAPPSSYQYLASLAGLKKSPPTTSTAEFMATGSRDKTIKLWDSRG ACLKTLEGHDNWVSALAFHPGGKYLLSVGDDKTLRCWDLSQEGKCVKVLGDAHDHFIT CLRWAPGNVKDAVNGAAAPAPSGEGNGTPKKGTGAGTSDIQIRCVIATGSVDMTLRIF TN
SAPIO_CDS5081	MGMASKVISIFLRGWAFISAVIVTGISGSFIHHLHTHDLGSFAR INYTISIAVISIVFSLVLMPPFRYTFWAFPFDAAMFIMWMVAFGLLAGLASSCGGFWF RFTWRFSWNGNRCSMWRALLAFSFISSMMWLGSALLGIYKTGPWNKDQEGYVGSRREK HNRGSGTQPMTQPSGQTTGQTTGQVPAQPATAQPATYTSNNV
SAPIO_CDS5082	MSHSPSLDDRRDYDDAQRGFIAALTPGIIKNAKGEVVWNIDEYA FLEKDCPPTAHKHLWRQAQLIAKQGLFEIKPGIYQVRGLDLSNITIVEGEKGIVVIDP LISCECAAAALQLYQTHRGKGRPVTGLIYSHSHADHYMGAAGVLPEGWKNDGSIPIIA PEGFMESAISENILCGPSMMKRAVFMYGTAIPRSPTGQIGVGLGLGTSTGSTSLIPPN VLIKKTGEELVVDGVRIIFQMVPGTEAPAEMNFQFPDFGALLIAETATNCLHNIATLR GAEIRDAKAWSSYLDEAIVLFGETSDVVFGSHHWPTWGRKELITRLSEQRDLYGYLHD QTVRMMNLGMTGVEIAEKIQLPPALSRAWHCRGFYGSVSHNVKGIYQKYMTWFDGNPA HIWQYPPAEEGRRYVECMGGVDSLCDKAEQFLSKGDARFAATLLAHAVAGYPDHASSR LRDLLASAYENLGYGSENGTWRNFYLTGALELRTGRRVGQDTDWSTPGTHLSVEQWFD VIAVRLNSEKAAEASFTIDFDVTDVKKKWRLIVSNGVLTRRLLEAGGGDGFDLRLVLT RDQLGQILQETNQAPSPPAHIEETTTRRALWSRPLNNHQTLPQLCPPPAATTSSHDSS GAAPGEGPASAPTTSPTSPRFHRPILQSMPDTRQQSFDEIYGPPENFLEIEVRNPRTH GVGRHMYTDYEIVCRTNIPAFKLRQSTVRRRYSDFEYFRDILERESARVTIPPLPGKV FTNRFSDDVIEGRRAGLEKFLKIVVGHPLLQTGSKVLAAFVQDPNWDRNAW
SAPIO_CDS5083	MAHSHPPSEKLFRGAAGPHDTRIAERAAMVQSRETGDVLPNSMP EASPFAKSWAHFVAGGVGGMTAAALTAPLDVLKTRLQSDFYQSQIRASRVAHTQISSL NFFRSASLHLRETIQILASVYRIEGPRALFKGLGPNLVGVVPARSISFYTYGNGKRLI AQYANGGEEAAWVHLSAGVLAGIVTSTATNPIWMIKTRLQLDKNVAEQSGGVTKRQYR NSWDCTRQILRNEGFRSMYKGMSASYLGVAESTMQWVMYEQMKSYLARRNALIELSGR EKTAWDKAVDWTGKVFAAGGAKLIAAVAAYPHEVARTRLRQAPMANGQPKYTGLIQCF RRVWIEEGLAGLYGGMTPHLMRTVPSAAIMFGMYEGILRICGTTA
SAPIO_CDS5084	MAHITTEQEPHKRKKIDTGDTGGGSGGGSTSAGAGGIGGVCGGK PIRLNTLPQMASSRPPHPGTPVSTPINYFSRASYEKLRLVQGEGDIFGHLLKLLSDYE GVLTRQESLAFNLGAKLTGPRLMKAVESAFEGPILTSPPQTPQTLHPVRWSDIIHYAR SNPGGFTTAMLPGGGRVCQFHLKGMQVEVMEDDWRLIGTGALDGMIPTAPLEEDEAAE LATVDIVEARLEALVRTAEEVARRAKQLVYALGGRRSGILAQRGQQQQQQQASAVAAG GFQAVNNRKRKNSVRGSRAGIGGDVCDLHAELIQQFNSPSPSPNTFARPMYGIQTAPG PNHIAVSAVATGGAPGNPNPAPPIGQPYHPMAISPTARFAPIAPSGRLPAQQQPPATP RRDSAAEDAEALHRPLITSMIETLGKGDEITPPCDRCRRLRAECVKHLTACQGCTKKH AKCTWKTATEEEISELKLRREMRRRAAKKAAEGSGQGVGGTATNVGPGTGGGGGAGVS AMMRGNERSENKLPSIQQQQQPQQQPPPPPPASQPVLRQDLVSRLVVDEQAPPHRALI ESEDAGTAHHSPQHHGRKTSGSGNREETPSSRMDIDSITTQTSPPRKMLPTGLPRDPN FLSTPVKEMEMKEVQVGVLPLPSPVAAAHGVHP
SAPIO_CDS5085	MADRGSHRGGRGGYQHRGGRGGGRGGAAYSGGAGGDHKDREKPK KENILDLNKYMDKRITVKFNGGREVTGSLKGFDALMNLVLDEVEEPHEGNTLTVSLDE EGNESTRSLGLVVARGTLLVLISPVDGSEVIANPFVNEDSD
SAPIO_CDS5086	MATIPVVVKHQGKKYDVEVDTSNPGEVFKYQLFSLTGVEPERQK ILVKGGQLKDDTDMSKLGLKAGQTIMMLGTPSGSGGDLVRPKEPVKFLEDMTEAEAAQ QQGATPAGLVNLGNTCYLNSTLQTLRSIPELQDALVKYKAPDSSRGFGAVDIVADLRD LYRRMGETQDSLEPITFLNTLRKVFPQFAERSRSSNVYAQQDAEEAWSQILAQIRSKL QIPGQSASASFVDRYMTGNFVSELKCDEEGGEAPVESSDQFLKLNCHINANTNHLRDG IANALTEKIEKNSEALGKETTFTKTSKISRLPQYLTVHFVRFFWKRESQKKAKILRKV SFPKELDLVEFCTDDLKKLLVPVRDKVREIRKDEEDIERARKRRKIRDAGADVPGASG EAAPKSKEKEKKEKQEAEKKSADGDTEMTETYKTDAEVDAERDAALLEAKKELHSLIS PQLLEDDGANQSGIYDLRAVITHQGSSADSGHYTAYVKKTAPIDPKTGKKGEEDGNWW WFNDDKISEVAGDKIETLAGGGESHSALILLYKAIPLPSASGVVE
SAPIO_CDS5087	MSKPVVTSGHKIRSAIRATGVAIIVIAGTWWGAGLRTNQDFEKE KNRILEAPVEEKIAVLEAQKRHLYSQQELLQRKLDTFHARVKEREAAAARRKAAEEAD QSKRWP
SAPIO_CDS5088	MKTMKKSMGMNKMLGTIKRRATAGIGGAEAVSGPQGDSPEAMAF QAVAGDEVLYLPTIVDAAESSPAASAECAKLIRKFLARENISRPSLQYNAIMLLRILT DNPNIATFTRNFDKKFVDTAKDLLKYAKDPSVRTILVETLDSFEYTKSYDEGLALLIE MWKKEKPRVYKNYGNAMPPQQLTARTLNAPPFQPTPSDTYFASSQPSAPRSSRLPDAV QLAARLEEAQTSAKLLTQVVSNTPPQEILDNDLVKEFVGRCQRASRSIQGYMTAENPA PDNDTMENLLDTNEQLQTALNTHQRAVLSARKQLGISSNNSPSHPGTPSPDLPLVSGA NNGTANGSGSGSGGTGSSRAHASSSRAEGVPPPPPPRDGAGKGKGAVTPYAASTNDDP RDPFRDPQDEPAVGPRPKASISGDDLLRLGSLEVDFNKYALSGSAGGSGSSNRAGKER ETVDTTTDDDDLYDSAPRQKHSAGGSSSRP
SAPIO_CDS5089	MAQRDQGRESPRNQYPPHHGMEGGSPRNQYPPPAGGDDRSRHHQ SSQPPVTLPPIRDPGSGYPSHQSYNSPLPAPTNGYSQGPPPPPPQGANGYPSHQSGPP SSLPPLQPPHQDTRSPSYPSQGDRRDDYYQQRPPYDRDPYYSGYRSHPPPDYSRDYGR GQPPPHDDYRRDHGPPRHDPNYPPRGPYEPDYVNGRNGYPPYQQGPPPPQAPQMQQAA PRQRTSIACRYCRKRKIRCSGYQNAPGGRCVNCTRMNQECIFQPVSSSSSAAFVHVSA VQGGIAPGTPLYGAYGQPLPQGPGAHPPPTGQQPPPPGPPPAGAPQGAPYPPAPAVQN QPPYYPPPVRSPTDMHSPYAESDATSASGRRRRRESEEGHERRLPPPNGQEEEPWRRS PVSGSNSPRSQYYPSQGPLPAPPGSSAGTHTPPVSSTGPTPPQRSPATSHTSAPNGHN GNSTNGTTPTPQAKALSPLSAGGHSSVMSVRSILGDSPGHDMDRNMLGRLDRGAR
SAPIO_CDS5090	MTETTASKNQQFFNEQASSYDTRHQKTIDQLIAHIQKRKDFIGA RWVADDDENDDGSHADGKPVRFLDYACGTGLVSRALAPYTTQCVGIDISENMVASYNA RAQNQGLSKDEMHAYQGNLLNKEDPNPSSLSSADLFNFDVAAVGLGAHHFEDPDFAAR QIAARLRPGGVFFIVDFLPHDHVDGHAHKAAHTVTHHGFSEERVREMFTAAGVGKGFA MVEMGSGVVFAGMGKDGKEMERRVFLARGEKESGSCL
SAPIO_CDS5091	MPATPRVFIVRHGETEWSLSGRHTSTSDIPLTANGERRVRATGK ALVGHDRLIVPRKLAHIYVSPRKRAQRTFELLNLHPQNPLPWPQRGTPCPATEPNDSL ACPAEVQVTDVIREWDYGEYEGLTSAAIRKGRAEAGIEGWWDIWKDGCPGGESPEQVT ERLDALVNEIREKWHRPAFEDPSKNGDVLIVAHGHILRAFAMRWGGRGLDEGLTFLME AGGVGTLSYEHHNIKEPALLLGGAFVVDLKDEEDEVYTNAQKN
SAPIO_CDS5092	MASDVAEQADLAPTQREALDQYIQVTAQDIKDAIPLLQRSEWNI AIAKYFDGEGPDHLAEARAAQQGPAASARFDNLQESLHNSPRTSTSRPREPQPDPAPR IVPQPQRTHRPPFLLTLLFAPFTIGYRVAAVGVRLALYILSFLPHPIRPRLLTNAVVT GFRSSSGRRMLMPADTASRFKREFEEEYGPNELPWFEGGFAQAQDLAKKELKFLLFVL VSPEHDDTESFTKETLLSPEVVSFIRDPSNNIILWGGNVLDSEAYQVSTEYNCTKFPF SALVCLTPKHGSTRMGTVKRLVGSMPPSQYIGELRSAIEKYAPELSAVRNERAARDAA RNIRSEQDSAYERSLAVDRERARKRREEQAAAAEAERRAAQEAEEAARRAELREQWRR WRAGTIAPEPAAGTKGTVRIALKFPERAGGERVVRRFDGDTTMEELYAFAECHDLLSS EDGPADRPEGYDHEYEFAIASLMPRQVYEPSETDTMRDVIGASGNLIIEDL
SAPIO_CDS5093	MAARGYQNNDLRRQVGSPKPKGRDHKDTLCRNILIYGHCRYENS GCTFNHDQGKNSSAANANNNTANATPNTPSEAPKKTLNVESPSFTPSSIAKKPTFSSQ ALSAAPFTPRASSGGASTGATLQHNGEPSVFNPNAAAVPEFTPQNYGLSTTTTTPVSQ DASIAYDTFSMGTVGQAMPAAPYNPYVEDHSAAAAAAALGATNQAFFQQNAYATHTQP LLHHLYFPAGPHKEDLLPYQRTSHDFFMSEKLRQDLQKKAEAARQVINTSLPQLDNFH SLVALDTTNRKNANMFGYPSWVYKAVDGKTGHIRCLRRLEGFRLSNEHAIRTVKEWRR VDCGGVVPIFDAFTTRAFGDSSIVFVQEYFPLSKTLLELHFPNQSTGHGVRYKQQSLV TENVLWGYITQIANALKAIHSANLAARCVDLGKIISTDKNRIRLNACSILDVVQFEAR RPVQDLQQEDLLQFGRAVLSLASLTPPSLLTNPNAAMEHLSRHYSVELRDTVLWLLTP QQQQVKNIDEFVRGIATHFVTSFDQSLHQADTLRSELATSLENGRIARLMMKLATINE RPEFNGDTEWAENGERYTLKLFRDYVFHQVDANGHPVVDIGHMISCLNKLDAGVDERI CLTSRDDQTVFIVTYKSVRDQLRAVFTDLTRASKGGRGGL
SAPIO_CDS5095	MAEATPDVMPCNGCHNLRSPHFEAEISVILACAARCDSCALLKE SIENFVDVNKVQRVSGMIDGPLYLSLTMKPGGVDFVIELFTIDGQPTRWKNIGRGRLL DFKYRALPLIRSWIIDCDTRLRITEEGDEDRYFALSYCWGRYEGVTTTTANISEHEQR IIFGKTERLFKEVAEITRRLGVRYLWIDALCIIQDDEEDWARETSRMRDIYGNAVLTI AANTAKDPSCSLLGSCNIRASAHQTRLIATQGPAEMTLSGVYARRRSRLPSHTDTAPH SSVGNLVDQLGARSWALQESILPTRILHFYNEEIVWSCFSVQRYECRILSSAAAPRNP FRKLLSEDGNPHPASLLQVWTKSVQVVTQRKLTVATDRLPAVSGLARFVRDHLDSGYQ AGMWCVDLPYSLLWRSDHEAALKRGEMIERLPTFPYAPSYSWASVLGPVKYIPRHLDQ FSYRRSGKDEVIPILRVSAGTANPMTVNEFGPAENCFVAAIGQILPVEFDVEANTWKL MGGSNDEAGDFEAAVAAFGAALDAENVLPPTFSPEGGIEAGIGAGTGAAEAARETQVG VVEPTFIFDVLEESPAVSPDVLAGGESYALLRAGRYIWKGTWSTASTEVVAIILVKLG TSPEGLGVYARRGLALHAFHVEKDWGSVPVETILIC
SAPIO_CDS5097	MEAFIRVSPWTAFTSESPEPQNSSPKPTGRRTFGHFRSLSSITS TTTTKSSSPTRPSPLRHGRSPSTPQLPDFSFPLKTSPPITPTSPTADDDSDLSTLPDP RIRAMSPQANGNGSVVSDGEAEPQDEVTALSTKLIRAINHQTALDNSLAEARQELEQA RERIRQLEALVASQREMLAGDVWVRRKTVESEKKAWAAKVAEEKKAKQEVEKEKRKIE HELENLTTALFEEANKMVVAAKEEALRQQEAMEKKNEQLRAQIVDTESLLQSQQEQLA ELKIVMEQMTADHDDQTNLTAPSSPGFSRFDAKDQERSLSGDGGLLSSPFVEPVSPAS PTSFVHLIQPVLRTDLAAYEDFRLLSRTAKHNRSGSRASASSLSGINGMNLGLSVTGS STSSSSAPNGNGNGSANHSSSPSTSSLPSGIGSAAASPKEGSQTPTPTTTGSLKDTKF YKRALVEDIDPTLRLDTAPGISWLARRGVLSAMTEGTLVVEPAPSTLPYPTAIHPQHH PCSLCGESRKEPEHLRTHRFRTSESDSAQRYPLCAYCLGRVRSTCDFLGFLRMLKDGL WRTDDADSEKAAWEESVRLREQMFWARVGGGVVPTGHAVVASSAASLPASAVADVAEE GEKEKESPRQEGVGEDDGDAEGEGEVVTPSPSPRNSTQGVKGLEINVTSPGMGNVSEV LAALKS
SAPIO_CDS5098	MSSYSYSSLPEGSIRLIRLLPHQDKNSPIQCQLFDCPLFESEST YPYEALSYVWGSEEKPQSISMDNHSFLVGQNLHAALLHLRSRFLERILWIDAVCIDQG NLAEKGLQVQSMAKIFAKASRVIVWLGEAAADSNQALEDIRVAAAKQSRTPSTDEANA WSRAYRGGRGLDQKAILTLLQRPWFERIWVLQEVAAARHILIKCGHTEIDGYAFCSGL SALKLSYETCPDRQALIYSATYLIRDAALRPRCATSHHLDRFSLNICTLGELVDMYHT RKATDPRDKVYALLGMCSDSPGLAGLSADYGILWRELFRRLVNFLISEQMSVHTRDDT EMAIIEGKGLILGEVLSAMRDTNWEDRQIVEIAWGDHPYCIGIEETPESSWTFQAPAK SIQGGDLVCLLQGASRPTIIRLYNDHWIVIMTAVPPTDDLHGPTRDTKWTELLHSTTT FPHDFLLVWDWDMDPSKSQDGEDREYFVHRRPHECSKTELEDNLNKAIRLGKVGMALQ GVNGREKSRKYLRKAMKIFEAASRDMVSRWRNVDGKLKRMTDLLIMYKDAWAPLMAAE AWHEVVVKLLLDAIKVALDADRTRLLSWAAEKGVEVLVKTPLSWAAENGHRVVVKLLL DTYNLDLNDEYTLGKKALLFWAAQNGHEAVVKLLLDTGKADLEAKNWHGQTPLSLAAK NGHEAVVKQLVDTGKIDLVTLDRRMQTPLSLAAENGHEAVVKLLLDTGKIDLDAEKNW GGQTMLSLAAENGREAVVKLLLDTGKVNPNVRDRSDRMSPLSWAAKNGHEGVVKLLLD TGKADLEAKNWRGQTPLSLAAKNGHEAVVKLLLDTGKADLEAKNWHGQTPLSLAVENG HQAVVELLRSKRQRLS
SAPIO_CDS5099	MARSRTPKPAESGSSAVAPPPTASKNKSKKSKASQSYASEGVED HDVFLLPSSDYQVMLGITVISAIVRLFRIYQPTSVVFDEVHFGGFATKYIKGKFFMDV HPPLAKMLIALTGWLAGFKGDFDFKEIGKDYIEPGVPYVAMRLFPAICGILLAPTMFL TLKATGCRTVTAAMGALFIVFENGLLTQARLILLDSPLMIATAFTAMAFSSFTNQHEQ GPEKAFQLSWWFWLALTGLGLGVTVSIKWVGLFTIAWVGSLTLVQLWVLLGDTKNVSV RLWFKHFLARAFCLIVIPLTFYMAMFAIHFQCLRNPGDGDGFMSSEFQSTLNSKGMRD VPADVMLGSRVTIRHVNTQGGYLHSHPLMYPTGSKQQQITLYPHKDDNNRWLLENQTQ PLDIHGEPINGTHAWDSLPEPQYIKNGAILRLYHTATHRRLHSHDVRPPVTEADWQNE VSAYGYEGFEGDANDYFRIEIVKSKSKGAVAKERLRTIQTKFKLVHVMTGCVLFSHKV KLPDWASEQQEVTCARGGTLPNSLWYVEYNEHPQLGDNAEKVNYANPGFLGKFFELHK VMWKTNAGLTDSHAWDSRPESWPILRRGINFWGRNHRQVYLLGNPVVWWSSTLAVVVY VLFKGIAVLRWQRSCGDYNHVLFKRFDYEIGTSVLGWAFHYFPFYLMKRQLFLHHYFP ALYFAIVAFSQVFDFLTARTAPGTGARGGSIFNKTGAVVLLALSVVVFGLYSPLAYGN TWTKAQCKRVKLFPTWDFDCNTFLESYDAYNSLPAPSSSAAQSTSAKPVEAKQPPPQV PVAQDAQPAVGEQAGISGAPAVPAGQRILAQEERIEYRDQDGNLLDEEQVKALEGKVE FKTRYETRTRIVDQDGNEVILGEDGQPIPQEYAPPHPDVDGVDPNTKKSLGRPDDPIP REEVPSREGKAEAKASEAKPASEGNEATGQGAVVEEKAEEPAVVVEDVVVEDIAA
SAPIO_CDS5100	MESHIMIGVGECRSHQSHQLPSFATFVSASTGEVGTPFLPASGP ATGSIPLSRSSSSSSPSPSSKPRTAFPRQYGASSAPMESGCGNAMCSNPNPYAGMAPP SSAGDRFRDWFPGVERIPGSSPTDPRAYRSSRTGHSPPDCKYSSGATAAATLQLDQPR RISLPSISALTAPSQPAEVRRNSHVDISENTLSAPSGPAVSNYGAASSFTSNNPAQTG SVRSGAPNCGSSADSHPHGSLPTFNFPSPGPGGLTPDQMPEAVKMALSALAGAAAGGD RRGSADSASSQERATRSKRKASAISAGKGRSKDHSGDEESGGNKRFKCPHKGCGKSYS WKENLTRHMTTWHSPMQNRCPFCKDNAMRPSFNRLDNFKEHILRHFRDRNNCRARTGF DPAAEEFYLGITEMVKQRRQQQQQQHQQQQQQTLTASQRKRLGAMNIESPGSTDTCDT GSDVASDVDGDVGMMG
SAPIO_CDS5101	MSERAQRPRSVEQPQTDRASTNDGLIKRVQHPLNDEDSGSQRPI ERILQAQFPLEGNRDPDPPQAAPRSASVYPQTNVRVESIPVALTQENLGRLDKTNSTR PAKSTPPSRPPTEGNESASETTSTPSSFPSDFEMKAIYNGVLDRMGSKLPKNLEDERT RLDRSRLSPPPPESEWLQYLDAAEFSPNKATLMFAMPTSKRSSLGTRP
SAPIO_CDS5102	MSAQDKASKWAKFKAPNYDGEGWGDDIPSSPPKPAVSEQRSPPP TTSSPVYNVGPVASPPAQTSPTSPNAQQPTPSPSQFPPRKASLSRRDGRDRSENSSPA ESGHKPWVEQRSASPQNNKSPTLAPRASPFIRPADIYRRMEEEKEKERRSLDSSRPSL DSNSPSVVGRDTSSAPLAKAASTVHPAAGPVAPSIPVALARDEVVDSPSSRTLRPSLE PVTERKSEYGFDSFLNKSVAPSQPQEPPSSAGVAPPISGLPASPISATSEASRRFSRS PQLPDVARMSGFGEDFFSSASLMPSAPNPPVPEISSSIAPTQPPLTVEEEENPTNSID ATASSAQAPFQPQPAAIDSPPTSPSPSAAVQPNLPPANENPAQAAPVRLSPVSEQKSP QSVSMNGHAGLQIPAEPKANVEEYTNVGTGKDSAEPPASTDLPKPVVATAVPDAMDNE SPPTIDITPTAPLNPRASPRTGDHFEPMALPHRQSTFDTTASSPVKESDVLREEIIQS LSPVGGAPPSDSLRVHQPRDSGIRESTYLPAVYDDYWASTTEDKDDAGLEVAPPLEAP VVAPLQPRAQSPEIAPPPAPEQTGNLRRRFSWEAEPADEPARPHLPVDNSQAPASPAH LSADAAKTSPVAEATVETPADLPAQPDASRTTDEDADNNESRPDSQGSNTLSPSAAAA LVGAVAAGSPTEGDPRRLSLAAEKEIIQMSTDEVANDLSSDHPALKDQAQAQAQAQPQ SQPRPETAAGPSALKLAGFREIMSLATPQDRIQKFDETRSQFASMDQGLADWILTVRS HPDHANVSAVFQAPTRMAVASGEPGAVRVASPTGAGPNQHAQQPYFQQYLNASSSHTG APGGHSHTATSGSHSHHGNDFRHSSQQMGTKSKELLLAAGKAGKGLLSKGKNKFRGST GDKQNESSPPPPAQPYPVKTQHDRRTSWGIKFGTGAKASPHPERAGQPPPQNAAATDP NHPGASPQQQQQLPPIHPPPPGPSFANPDLVSPVSEFNSKPSSADGDDVFAVQAPGQG AGGSPKTPPPMGRSRWVPVGQSPLAQRDSPGEERRDDPGATPTRSNLNARKRASDAPS EDWVVVSPQRESEQNGDGGVPVRAAVDVQDQSDVPQRQSSFIGLPPIRRSSTFGVGRL SSEFAEESAEAAPAQPENRVGEAPAVPPVPEDEVLAAALEGQTLGNDYSRSDEGILDK GKELAERSPTPQHAVLAQDGAVAQPPQQVPAPPTLTPPLENRPTLEESSSLAVPGPSL SRNLPPAITGNPIQLLPPGRGQWNLQESHLSEPLISPSRKRPSSSASQTPSFVGYDKE TGVGGPSKDESSDEDSGDENAGVVLTKEEPEEVEQPEPKRKSVPEAVDVSSDVAASRA VPANSEQPTPVAPPVAVEPAPAPSNAVPPSTELVNTAQPPIAAQPTNIITPAAAAVPP PQQPTLTRPQGPDRFSSTPPISAHRYPNLFLPGQPRQGQPQPHIYNQPVQVPGQPFIQ GQPNAYGPGGVALPPGARPPSSGGESRRNSGLFSQIGDRITRVTSRPSSQVGPKAPGE AAGDSGSVASVATGEAATGRERRLSSFFLNLKGSNTGGSGDAPPHSRDSMIAHSPSTM DQRSPATPDMPPPDRKRLFFSSASKANKPVEPRAAQGGPGKFIPSFSRSSTAGLEGEG GRDPKQPRLSSFGGLFRRSTGLQEGAPRQQQQPQVYQQKPGTPNSMASSVPARPGTGP PASQQHHSPSHSTQLPATQPPQSAGGGASVGPQPQARGRSGTTGSLPHAPVGPSPLSQ QARPVGTPAPTPVPAPGPTPTHDERGRRVSGAGAFLSNIFHSRSPSKLKENRLPSPAA PGVFPGQPGGPPLGQMPYGQFGPGPGQVPPGYRGPIQGQQGGPFMQGAVGVPGSVPPG FMQQRPGLQPGQPAPGQRVGPPVNQQGPPGFQQLQPQQLGQQAGQAPFLQQGPAPVPQ QGPTQQSSVIAPGVVRQQSPVNQQGPVALPGQQQERAAPQNPINQQGQVLPPILPGPA GAPGQMQDWRPVAVQQGQEQAAPEQKSLQAEVQGRPAEPVNRDANQELVTARKEVTGV DGNVSAPLIVAPTAEVRERSPEVAAPQQGASQPASASQEINPPFQGTVTVTTPLASPV PHSTKEQRPAFVAAAAESSGVSSPTPSVPTPTQQHATESTRSSQVQVQNQPASSGVSI TSVPGKPQSPPPASFEQHQPSDLLGVRKTASPVASQSQSSASGADAATVSPPQVPTHS VSPSQTPVSQVSPLVSQGGFVAGQTPPLGQGVQQGRTTTPQGQPVGLAQQAPPQGQGV VQGQQVTPQGPTIRPVQPVQPVQATPQGQRMPQVQPSPQGQPIQSLHQPVQPGQQFYG QPIPGQWRPGPGQPLPGSAQYPGPPAPWAVNRTSTQTSTASAPQQGASVPPQFRPGQP PFVHQQTAPPEGGSKWYRPSASPQQAQLAPAPGAKPERSSMKSFLSAFKRSSKNPEPR PQQQVPQGFPHGQHPGQAGQQFVYGQPIPGQPVPAQFARQPAGTSGPVQVGPGQMYPP GFVPQPGMYGRPVPGMQYGVMPQGPPPPQQQQQLLAPGQQQRTVSGGVMTLPSQQQQQ QPHPGMYGAPPQAGQQHPVQQAPPTSGPSPPAQSADRSPPPQGQYQLLYRLSMGSTSA LGSPATSAPSRQTSIQSGHNQVQVQSQPQPQPQSAGPYPRSPSQQSEVHPALREGGAM VSPPPQQQQHQQQGIAPPASSSPANGGVSGVVQPTIPPQVVTPSALVSPGGPPAVSVA PTTVVTDINKPLPLPLPNLHLAPERPVSGVSQVSTDSPRSQSPSQQQQRGGESKTAPL GGHSRNVSQMTTNEESAVSEDTTSANPATPIDGANRTKQLTVDTAAAVVTNRFSQEDL YNATPRKLPDGSATEEKKVEPPTVAVLAEETQDTTQATQATDTTQATTSTTDGQALVT QATDVTEAEEQEDEDPALKEWKAAQEEKRQKMLMEAQQEKILVEGQEGLPGGDVDEDV PQMSATSYPGQEWNPYAMGYEFYYSRE
SAPIO_CDS5103	MQPPNVPGSAMEVDAGGEEPKYGGFTRFEIELEFVQCLANPYYL NHLAAQKYLSKPEFVAYLDYLQYWSRPPYVKYLTYPGPTLKHLELLQQERFRRDILSP DLVQGLVEEGMKAAVVWHAQG
SAPIO_CDS5104	MVGKKKITTLVDQLKHAGAKKKLGLDIAKELLLEGVREIPAVFQ YMNDETFKSVFGTINLLRNEATLAEHIWGLAGLRDLWDEFECDVFTHYASKAKLHYGI IIDQVGSIDGDTV
SAPIO_CDS5107	MKYSAATLALLAGLVAAQNPFGDAVPDCAAKCVVDGITAIGCTV EDTACACTTENLAKLASNPTLVTCLTTSCTDPADLAKAAQAANVLCAGAGGASSEAPP ASSSEAASATDDATASATESGEASATASDSAAPTGGASNSTATGTGGSGRPTPSKSSG GSEETGSGSGDDNGNGSGTSGAVVNAAFGGVAFLAALLAL
SAPIO_CDS5109	MAAAVANGDPTAALDEFKPPSGVVLPPREIRNVLEKTAGYVARN GPVFEDRIREKEEQNPKFSFLNPQDAYHAFYQWRLDEIRAGRGTAIAAGRAGEGAAAA VEKKPNGPPKPPDFEFSARMPRLNQKDLDVIRLTAMFVARNGRQWMTTLAQREAGNAQ FQFLIPSHTFHNFFQHLVDQYTTLIRAHGLAGEGGKVKDERVAELKKNVENKYHVLER ARQRAEYAKYEQAEKAKKEEEEAEMKRKFERIDWNDFVVVETITFTQSDSTANLPPPT TLSDLQYASLEDKNKRSIAPSMRIEEAMPTDEEIPVTTPAAMPQPFPLPVHTGYAPHH VPSPAPQIPHYPAGPAAYPSAMPVSTPTPPTVSSLPVPPVGGRRVTEEDEEERRIRER AEARERAQQAQASAVGGAPMKIKEHYVPRAAQRGAAKAGGPSALCPNCKQMIPLNEME EHMRIELLDPRWKEQKAKAEARHLITDLSQVDVAHNLKRLASQRTDVFDGVTGEPISE EEQARRKKAAIHSFDGNPEGKSQAHISHLQRMTMEEQIKAIHDKFGGGGGDK
SAPIO_CDS5110	MAVTSIQDRTSEFKAVLAQAQKRQASGKVGAQRRSLLTDSQKAA ANGTATPRRSEFARRAAEIGRGIAATMGKLEKLAQLAKRRTLFDDRPVEVNELTYIIK QDLASLNKQISGLQEITRHQQSKGNQEGEHNKNVVFLLQEKLTDVSVNFKDVLEARTK NVQASRSRTENFISSVSQHAQPSLQQQSASPLYGTPKTGTPAPGILPPSQSAGSADLL SLEPVSDRQLLIMEEGEQQNAYIQQRGQAIEAIEKTISELGSIFGQLATMVQEQGEMV QRIDANTEDVVDNVEGAQRELLKYWSRVSGNRWLVAKMFGVLMIFFLLWVLIAG
SAPIO_CDS5111	MANKIFKLNTGAEIPALAFGTWQGEGDKVKAAVSYALQNGYKAV DCAYCYANEDAVGEGLREAFASGVKRSDIFITTKVWCTYQTSPERVEEGVDKSLKSLG LDYLDLVMIHWPVAMNPEGNHDRFPTLPDGKRDIIHGHSHIDTWKSLEKLLATGKAKA IGVSNYSKRYLEQLLPHVTVTPAVNQIENHPSLPQQEIVDFCKEKGIHIMAYSPFGST GSPMFKAEPVVKVAEKKGVSPGTILLSYNVARGSTVIAKSVTPERILQNLQIVDLDEE DLKTLNDYSDELAKKGELKRYVYPPFGIDFGFPDKS
SAPIO_CDS5112	MDSSTSVKASVLYAARDIRVTPRTLPPPSPGEVQVSILSTGLCG SDLHYFTHFRNGDIPVLEPFSLGHESNARVVALGPDVVDLQVGDLVALEVGLPCGTCD RCLEGRYNICQGIRFRSSAKAFPHPQGTLQERINHPAKWCHKLPPDTPPELGALIEPL SVAIHAHTRASTNLSSTPVLIMGAGPVGLLCAAVSKVHGAFPVIIADIQRRRLDFALE HGFADAVVEVPRMDAGQAALVEGRLAFAREVAERVKGVEVSGRKVGEVGVCFEGTGVE GSLQTAIYATRPGGKIMLIGMGNPVQTLPISAAHLREVDLIGVFRYANAYPKAIQLIA ESPKEMPDLRALVTHRFRGIDSTPEAFAMAARVEDDEGKLVMKVFVDVDDDERGSTS
SAPIO_CDS5113	MAEKAKDRIAAIGQHLNSTDLPPIRKVAPGSSAPRVKDKVVIIT GANSLLGIGRATAHQFAESGARAIYICDYDGTHLEAHKAEIVAAFPSVEVHARRFDAA DEASVKEVVDDAIKRYGRLDVFFANAGIVGKTVAFTEFSEEEFMTVLRTNTLSVFLAA KYAAPAMTKTSPTKPQAGGSIIGTASVAGLRSNAGSTPYSASKAAVISLVQTTAYQLT GTGVRVNAICPGLIETGMTAPVFNAARARGTEKKIGQLNPLRRGGHADEIARVALFLG SDESSYVNGQAWAVDGGLSAGHPYVPGKLG
SAPIO_CDS5114	MLEALAAVGLAGNVVQFISFASDLISASTEIHGSMSGCSEGVLA LDAVYGQLGDLSAGLDATSNSGVHFSARELAKSVATIKNLSRSCKEDCDKLLGIVQKL KTNSGSKSMWKSFRVALKTVWEKKHITQLEERLSKTQVALTLQICAISSRSGPGSGSH WHSDHNRELQRLQLDAHVLHLNQSKKLNQISDALSRLETHIASVQSNPHDNPFIGTEI VKLEDQFRQLAVSEDDVAREKAVLETLRYESMPVRHSLIPEAHKQTFRWIFQQPSEDV THESKFCTWLGKGNGIFWISGKPGSGKSTLMKFITDEDCTQTILSTWAEPKSVVIASH FFWSAGTAIQKSQEGLLRSLLYDIFRQCPDLIEQSCPEEWLANINRQTQVNTSHSSFW TPSELHKTLRRVAKEGILSSNICFFVDGLDEYDGDHFELCRILQDLAESPNIKICLSS RPWPVFEEAFGSDPLYKIHVHELTRGDIQRYSNSRLQEHPRWSHLASDPAQARWLLEE ITERASGVFLWVFLVTKLLREGLTNRDSFSDLVRRLEAFPLELDAFFRHILESVDPFY HCKMSTTLQITLAAVGLLHPPIFEFHDMEYDDPNYALCLPLRPLTEAELGQMIERISW RLKSRCRGLIEIHENSGAVTFLHRTVMDFLQTREMSDFLSAKGPPNFNADLCLVKAFT AAFKLGVRRLTPESYKEITTSDGALERTEFPDNLLTCLMTYAARIDEQKNGHEDSTYK ILDDVEQSIKKMKSMEVYQCFYGLPSRTKFRHCLVGCHLVGYLRRKFLNERNYAARFG QDLIKLVLPESPRFYTFKQWKGRWADVLRCLLETGLNIDDNKAGRFGSSPWAILIGHI TSWAAAECVFECYEWKARFWTMLESSNISLALKNGADPNALVRRRKMGSFVYSTAWID FLLLSFDISANPAHESLFLKVLADFINAGADLKTAVFSLSEEEVKHAKGGSTVHEKFF GLLERGPVAESSQYNLPLLAEVTNVLLSKAHKVGLEWPMADIWRVVDKVFPPQLRAQI RLRYTRLSQGAKDGRGAKGKKRKRDRDGNGRTVRRKP
SAPIO_CDS5115	MAANLFSLEGHTAVVTGCTRGIGQAIAIGLAEAGADILLIQRDT SNTETKEAIEKLGRKAIIYTADLSIQDQVSSLAPRIFADGHEVRILVNCAGIQRRHPC EEFPDGDFNEVIQINLNVVFSLTRDLGRHMLSLLPSPVTGRRGSIINIASLLSFQGGF TVPAYTASKGAVAQLTKSFANEWTSKGVTVNAIAPGYIETDMNEALLKDENRLRSINE RIPAGRWGTPEDFKGSVVFLAGRGSAYVSGHVLVVDGGWMGR
SAPIO_CDS5116	MLGPVEPRVWLMGTNAFERTMEHHSGMKALWETKWKLPYNINDG TSPSYTQAFFPTAASLTTKGDEALSSGDTATASALYLRAASVLRIARFPYITSFPTIN CPIKWRAWEQQKLVYMKAAALWPNPVEDISIPHKYASGLDRPTIPVYVRAPSTTNPCP VVILMTGLDGYRPDNTVRCDEFLARGWASVVVEIPGTADCPADSADPMSPERLWDSVL AWMEEDGRFDMGRVMVWGLSSGGYYAVRIAHTHRERLVGCVAQGAGCHYFFDEAWLER VDGHEYPFKLTPAMAMKHGYDSVEEYKKGVQKKFSLLETGIIQKPSTRLLLINGTLDG LMPIEDSMMLFEYGSPKEARFFSGALHMGYPMANSSVYPWMESVMDGAKRTA
SAPIO_CDS5117	MTDQGLFSNDLISPEVAASLPEGYSVRALRRSDYDVGFLDCLRV LTTVGDVSREAFDERYEWMAGQDGYYILVVEDTARKTVVGTGALIVEKKFIHSLGQVG HIEDIAVAKDQQGKKLGLRIIQALDYVAEKVGCYKSILDCSEANEGFYIKCGFKRAGL QMAHYYEGSKSKSS
SAPIO_CDS5118	MAATRTETDAFGEIQVPADKYWGAQTERSLENFRINQPQDRMPF PIIRAFGILKGAAAAVNVRFGLDPKIAQAIQQAAAEVAEGKLNDHFPLVVWQTGSGTQ SNMNANEVISNRAIEILGGQMGSKKPVHPNDHVNRSASSNDTFPTVMHIAGVLELEGD LIPALKSLRDALQKKVDEFEAKNIIKIGRTHLQDATPLTLAQEFSGYVAQLDSSIKRV ESSLPDLRLLAQGGTAVGTGINTFEGFAEAIAEEVSKMTGTEFKTAPNKFEVLAAHDA IVQAHGSLNTLAAVLTKIAQDIRYLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCE ALTMVCAQVMGNNVATSIGGMNGQFELNVYKPLMIRNLLHSIRILSDGMRSFEKNLVV GLQANEEKIASIMKESLMLVTCLNPKIGYDMASKVAKNAHKKGLTLKQSALELNALTE EEFDTLVRPELMIGPKPYKI
SAPIO_CDS5119	MLTFCPYDGSLLTVGTRSGHNRLECRACPYIYPITHPLYSRTYF ERKAREDEIGPTDWSNAAKATVQCPKEGCEGNEAAFFQVQIRSADEPMTTFYKCMTCG GRWRD
SAPIO_CDS5120	MFRFHKTLDIVTLFHKANSPASTRIVNLLKTASANASQAANEAT NSTPAKAREPFELNITEEPPTEDQVKTILEYIGPAEIPHVVKGANNVTEALKRFKQDR NSFQRPLVVDWNNGKAVVGENESEILKMLESVKR
SAPIO_CDS5121	MGEASITESNWRLVEVGRVVLLQGDSPYAGRLATIVEIVDHKRV LVDGPAKGAEVPRHAVSLSRCLISQLVVEGLPRGARGPTVQKFWEKNQIDAKWKETNW FKRRQQIERRKALTDFDRFKLMRLKKQRRFEERLVLAKIRAKA
SAPIO_CDS5122	MANPRVEELPSDEPTKNPTVEEQEDDVSEDESEVEEAGGDLPAG STGLVLSRNEKKARKAIEKLHLTRVPGITRVTLRRPKNILFVINQPEVYKSPNSNTYI VFGDAKIEDINAAAQQAAAAQLSHAAAQEDHTGHNHGESSKGKEVAEDKKDEEDEEEV DADGIEDKDIELVMTQANVSRNKAIKALKENDNDIVNSIMALSI
SAPIO_CDS5123	MVLPSIAAFVKPPTLDEALSGIFGSISLTAWICLLLPQLITNYK AQRADALSMGFLFIWLLGDVSNLTGALFTNLAPTAIALASYFCMADLILISQVAYYNA KNARAALRPRGRRVSREDTISPDSTEHSPLLARRRSTSLGLPGSYRRHSTHHESSLEP LRKVVTGEDETPDSNPWLHNSISLLAVYTVGALGWFISYKAGAWDTGASPGQSPAVPE DEPTHQIGSVLGYISALCYLCARVPQIIKNYRDKSCEGLALLFFLLSLTGNMTYGLSL LSYSQDPKYLLTALPWLLGSLGTMVEDCIIFVQFRIYASS
SAPIO_CDS5124	MRLSSGLLALGALGLPQALAWGSLGHITTAYLASEFVSNTTEAY LKDLLYNETSDYMANIATWADSVRYTRWGRFTKTFHFIDAKDDPPRSCNVDLERDCKE TGCVVTSLANYTQQSLDASLGWPDRNIAAKFVIHFIGDLHQPLHNENVARGGNGIHVL WHGSEVNLHRVWDSSIAEQWVNGRRRGKPFEMAKRWSEQLAREIREGKYAAQKDAWLK DVNIDDVVGTALTWSRECNAYVCSHVFPEGPVAIQGKELSGDYYERAAPVVELLVAQA GYRLAAFLDLIVDGYLEKTSHGSASSNVISEEL
SAPIO_CDS5125	MLPKHVLLAILGALTVAEASVIHYDSPIAKTLARRQNRGGRGGN RGGNNGGNNNAGNQNNGQDNGQNQGGNNNAGNNNQATCLQDNVIQAASASTGQGDITE DGQAASATDDANFINFCAGETLTNGQQVQGGSCNGIVMGKIPATNRMISSIIQNPQPG QTIQENETFDIVVNTQNLAAGSFTNPQETYYSAPQDLDGQGRIIGHTHVTVQDLGNDL APNNPPDAQQFVFFKGINDAGNGQGILSATVTGGLPAGNYRVCTMTGASNHQPVLMPV AQRGAQDDCTKFTVGNANAGNNNNNNNGGNNNNNNGGNNNNNNGGNNNGGNNNNSGSN GQNAGNGGNANGQQNNGNNQNAGQGGQGGDQATGGANNNGGNNRGGFGGGFRGNGNNG NNANNGNNANGGNANGGNAADNTDGNNADAGNTGNAGNNGNNGNANNGNQGGGSGGAS AASALGGIAAPAVEEGGNKDRPFSVNGNTFVNKAAAVQRACDVQNNQCANAVNGGQLQ GVNVGDCGAQVAKCVAELS
SAPIO_CDS5126	MTHSPASMMPIVFASPEHTELAQYLRPSISYELWNPNGSRLESA TTNFGSLKWENWLLWSLTWTVFRAGCDEEWDKGPASYYGGYRRFESSSPTVIFNIKNG AQEVDLVAAKADDTCPAELGVAINVTDETREAPSWVLLSDYE
SAPIO_CDS5127	MATQFPTKKCGVLGCTGSVGQRFILLLSKHPYLKLHALGASSRS AGKKYKDAVRWKQASPIGDAGEIVVRECKASEFADCDVIFSGLDADVAGEIETEFQNA GLAVFSNSKNHRQDPDVPLVIPTVNLNHLDLIPHQRKTRSLTKGLLVCNSNCAVVGVA VPFAALQAAFGPIDFASVVTMQAVSGAGYPGVSSMDILDNVVPFISGEEDKLENEARK ILGTLTGDATVLEEQSALRISASCNRVAVMDGHTACVSLKFVRQPPPSPEECVEVLRK YTSEANALGCPSAPNPPIKVFDEPDRPQPRLDRDLENGYTVSVGRVRGDEAGIFDLKF VSLSHNTVIGAAGGSIVNAEAAILKGYIQ
SAPIO_CDS5129	MTIATAKLLTLIFVLASTSAVLSGPIPAARRVSGIVIKYSDNYP EERPDPITTLNESDELADLPNLTAEELEEILSAESPLPSTFLMAVPALRASIAAAEPS KATSSQAPSWSPSPLQDVWLEVIPRSMCIMLAGLAACFVALIPFLVHRWRSSAATGAI YLEVDEPSRWHQPSICADDIEEAYTDEKCALE
SAPIO_CDS5130	MAISLPTRTQIDFDRQFPNSISSPALTRSSTVPTNLGGQRTDRS HLAPEDAFYAHSPPRRIPPAVASAAGDGPSLLRQRKRNGGVNGSGTGTGTGTGTGHRS RKRRRSWKKLLWVDRVYPDNYTDQATFLEQLQRNPRLQPYDFWPLVADTTIIVQHVCS VIIFVVAFVAIFQERVTHISIVTYSSGLTFLGWLLWERWVADAEDREEEQITAGNPPP TTTLTRGGSRRRTGSIRRRATLLQEARAILPTGLGITTTSSTTTTTTTTTTKENLNAV SNRLQESSTSAHRHHNHHPPRISANGNGASSSTVTSSSSTSHLADSSTTTTRPSSIPT PRNNTSNTTSRGTATTTIPETLPPIPQPNRTHERLATIKSALLISSILLGLSPILKSL TRSTSSDSIWAMCFFLLTINILFFDYSGDVSGANFPASLSTNAALMASTMLASRLPST GQVFSLTVLSIEVFGLFPVFRRYARHRPWRYHVLLTTILVLLSGAGVGLVLGDVNAAA SRTARNCLLGMLMGAVVAALAMGGCSWWLIGLQKYKNEINGPWDAARPVIISRRYY
SAPIO_CDS5131	MSRSQLRAGRFQPCRELHIVVLGAAQFVHNEWIESYDPTIEDTY RTHINVDNRQVVLEILDTAGTEQFVAMRDLYMKTGQGFLLVFSITSNSSLGELAQLRE EIIRIKDDENVPIVIVGNKADLEDQRAVPRARAFSISQQWGAPYYEASARTRRNVNEV FIDLCRQMLRKDDLSDDMLDDRDDKFDAVHRISRRRKKRKKKDGAPRCIIL
SAPIO_CDS5133	MPANAVAPATQPRGLQPDYTLPLIKDWTHTLRNLRSSRRRCVER VTAITDTCHTSCNKAHVIQCREHYGSVIEAIRERYFSKDASDEWFSGRDDLLKELAHL LDEAKELRARLSDVEARVQKEKAAWYREVLARYPYFLDVAENFGAEFGRLLADDAQST EDLARAVRKGIGRVRGRPINLEDYLAAVTRAEDTGDVKKMKEEVIQFLFEVNGSGKIL EGSEKYAEMYRETPDLSIEQVMNAVDADRRSALDKQQPAATPVLAPAENQPSSEHAKR VEQVKRALKERSQRRIVVEEKRRLMDELQRAKMAHKKIQNAKSEKKHRQLPKEFYDMP PCEACKKAVDPKDLIACSLCQVLVHMGLQTTQVAYCSMECFEKAHERHLETSHTCEAG KYCLQVRNTSSTNPAPKEPDDATPQVSLCKECVNQLGKAIIYCSLPCASSNLKMHLEE AHRSMWEEVKSQKPPPGKEESANGGTPEDLPNVGKFVESLEDIFQAKIGSKLVGKEVG MEYLRRKG
SAPIO_CDS5134	MADKLRTQQELERLQAKYVGTGHPDTSSWEWRTNIHRDTLSSIV GHPPLINYIATAENEPIQIVRARLIRQMLQPCGPPPPREEDIPIPAEMIQKRK
SAPIO_CDS5135	MAPPRRRRQTEYDEEDTEQADVSIQPNRRGYDGDSAEDGDEDNH DEMDIDHRPGGGLEEQLATKEKLTLEEKRKAISANSQTKVAETWILVSTLPKPFQNPL IVTPSKAPTAEVEATYVGFYTMVIALIMLNGGEITEQKLKRYLNRLNADSKLGAEKTD DVLTKMEKSGYVAKRVENISADQDKNISWLVGPRGKQEVGPEGVAGMIREVFGGATPR LEKQLSASLGTKPREEPREAQQDGEDEEDNGEGNSRMAEEAPRRSGRRGTRNRYAEDD 
SAPIO_CDS5136	MLSKAAYAARVCRTCRVQLQLNNCHYRPAILTPQPLRFGRLRYS TNTTDDADHKPETAEKPVEEAKETVGENATPAVKPEPAETLATESDKPLEPTSKPRPR RNVRRGINHRFDLETPSLGMDILGKPGHTIVLRDRKRKRREPPKVVEEDQDKGDGSIA NQLNLEGSLEDQDRDITVEEARRNIEELRPSESRDLLAREFDELLNTLLDGFTTAQLE DYMSSSIAIKAGPRDVPLSDKKRRDVVKQVTGSLGTKLRYPIPPRYHWIKKQYSWVPP LDYYWGSSAKEKIALIIMRMSWRLQVMEETPHIGEVELKVDSSTLNFLLTENRTMNTI NRHLGDGAGIKAVPDKERLHIFATRSKYETVLAQLDKFVSKIRHSTIPMSGLGSKRLS KEDLRQLSILTTTWLEYGTGSKVIHVFWLQNEKRPDSVESTKDVIYRLLSHATQQPGL TRPSFDIIYDETKIKERDLLLLRLWGDNHELPWDKRYHVWSRLVHPALPKPAYEQPVV EEEVPAEEGVGPASETQTEDSSTTSVVSEDSALPASTEAAQDEATTITQANLDKDTDE PSTAAFKLPAIRWAAADNAPEGWHPHTTSTSATFGHVLYPYTSSEPYTPLKKGDFPTK LRPVSPPPSALTSLQVPPSSAPNETTTKIILRFVPHPELPKPEREVCPDLEFHLRIEP SQLSGPLSWPSTTKRLFAVNERKVSQVLLPGSPVDAEITQSIMHEIRAEALEASEPLR TFFDLSKLDLSTGTLLTPPSLSLPIPTMFIRRRTESDDLSTTSGSNLTDTTNAKFLFA GLELHTESSLPFHGHTLRYTSVEAGHHAGRSAQLSLDASPSTTQTTTTTTTTSTTTGD DISPDPEDAANNLPVRSYLKEVLQVANGRYFPWIGSRPVEHVASADELAA
SAPIO_CDS5137	MGKDEKTHLNVVVIGHVDSGKSTTEAAELGKGSFKYAWVLDKLK AERERGITIDIALWKFETPRYQVTVIDAPGHRDFIKNMITGTSQADCAILIIASGTGE FEAGISKDGQTREHALLAFTLGVKNLIVAINKMDTNNWSEDRYKEIIKETSNFIKKVG YNPKAVPFVPISGFHGDNMLTPSTNCPWYKGWVREVKGNTLTGKTLLEAIDSIDPPKR PTEKPLRLPLQDVYKIGGIGTVPVGRIETGILKPGMVVTFAPSNVTTEVKSVEMHHEQ LTEGVPGDNVGFNVKNVSVKDIRRGNVCGDSKNDPPAGAASFQAQVIVLNHPGQIGAG YAPVLDCHTAHIACKFAELLEKIDRRTGKSVENNPKFVKSGDAAIVKMVPSKPMCVES FTEYPPLGRFAVRDMRQTVAVGVIKSVEKSVGGTAKVTKSAAKAGKK
SAPIO_CDS5138	MSYGKKDEDADLGLVKVDRTQVFQEARLFNSSPIQPRKCRILLT KIALLLYTGEKFPTNEATTLFFGISKLFQNKDPSLRQMVHLIIKELANSAEDIIMVTS TIMKDTGGSAESIYRPNAIRALCRIIDATTVQSIERVMKTAIVDKNPSVSSAALVSSY HLLPIARDVVKRWQSETQEAAASTKSSGGFSLGFSGSQMPANNSTMTQYHAIGLLYQM RMHDRMALVKMVQQFGVAGAVKSAAAVVMLVRLAAQLAEEDASLRKPMMQLLDGWLRH KSEMVNFEAAKAICDMRDVTDNEVAQAVHVLQLFLTSPRAVTKFAALRILHSIASFKP NAVSPCNPDIELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMSEITDEFKI TIVEAIRTLCLKFPSKQAGMLTFLSSILRDDGGYEFKRAVVESMFDLIKFIPDSKEEA LAHLCEFIEDCEFTKLAVRILHLIGLEGPKTAHPTKYIRYIYNRVVLENAIVRAAAVT ALAKFGVGQKDPEVKKSVRVLLTRCLDDVDDEVRDRAALNLSLMKDEEDELAEKFVKS DDMFSLPYFENQLVLYVTSDEKSTFDSPFDISKIPVVTREQADAEDRTKKLTVTTPSL KPPKVGPTKTSASGADAGASAAAASQRYAQELMQIPEMREFGSVLKSSLPVELTEAET EYAVSVVKHVFKEHIVLQYDVKNTLPDTVLENVSVVATPADDEELEEVFIIQAEKLAT DEPGKVYVAFRKVEGEGALPVTQFSNVLKFTSKEIDPATGEPEETGYDDEYEVAEFEL AGSDYVVPTFASNFNHIWEQVGAAGEEAQETLQLSSVKSISDATEQLIKALSLQALEG TDVPVNQTTHTLKLLGKTVNGGRVISSIRMAYSAKSGVTMKIVVRAEEEDVAALVIAS VA
SAPIO_CDS5139	MAYLRSQHLPNLKQYKYSGVDRSLTSKYILKPFYTHVVIKLFPM SMAPNLITLTGFMFVVANFLTLLWYNPSLDQDCPPWVYYSWALGLFLYQTFDAVDGAQ ARRTKQSGPLGELFDHGVDALNTCLEVLIFAGSQNMGQSWYTVATLFASLQTFYVQTW DEYHTKTLTLGIVNGPVEGILLLVGVYALTGYKGGASFWQQPMLPTLGLSSTALPLPE WLYNLSFTEWYMVQGAVVLVWNTLESAQNVIRARRARGDKSRGALLGLFPFFAIWSLV VSYLYLQPTIRTNHLVPFAVFAGVVNAYSVGQMITAHLVLLKFPYFNVLGLPLLFGVV DSLGPLLQAHFGFGWPSALGGGVYQVAFMFCMLGMAIGVYGSFVVDVIVTLCDYLDIW CLTIKHPITEGRIPTNEKKKEEKAA
SAPIO_CDS5140	MADAPSPLTQYRKRLARDLEMRERSAINSMAGASDKKRVSPIQE ETAETHIEELVNPNLTTTSTESGNTIRGGVTPGLTASTPSYPFPRMQSFSRKSSHSTK PSWAPASSQSPLKLSHFNFPFLERDLPSLSDATPSPFVFHPDGPSMSPEASRGHAAEY PTPNLFDLSLMLTAEPGLDAWWETVVHIMTQVYKAERVTLSVPADTTDIENVPWGQRA TYNAHQEDGLSMGYMERSAGGVSDTPDPADVAPDLPSHPDEVSEAPPLRPTLSSRHSF TAFEESKDQTGIQDQPPRRPSGLARSKSYMPSVVSAKEPSHPALSKSALQQLAAADGD DTPTWEASLGQRRMPKARVLPVLQALDYEADPLIDNASVTKVLGRGKVIALTRTYPYL HPDIAEPPNVPVSAPNEKDPAKRRQSDSSIRRADVGGPSAGQQPSKVTSSREGARSGR LKARFEEELHRRPVSPKYEEYEQAPQSPWTQSPAPSPAVRADPKENPFFADAVVDEDS FNPGVASPDYSTISPPETIGIDNSSSVLHIPLNHVLLSRPHQPFPSDSNANPRPEVWA STLGGDAAPGSEFSPRRTTPVAILSILSPVIPYPSNLRRSLGHLSMHLATSFSLCQHY STLETELAGMKRRRPQTPGFGAVTSDGRPITIPSSLATMLHLSPEDLQTQSSHAGSIT SLSDYSAISRSAVGSPMVTPGVVDQANLPDRPDKGPMTMSPVPETEDDYFRAQHPPIV PGVELASANAGRRGKTAITSRETEKRRPRGSSTTSAQGSDLLETKVATDRRRRSGEDA AAGSTSILSRERGDSIASDSKPADAVLGAPDSTTSKAQETTPPKHRHTRLHSYGADFE TTFPSLLPSSTITSKPPMPSRTASMVSGVSASNAEMPPPSDRLKGLILDSLPAHVFVA LPQTGEVVWVSSRYLTYRGLSVGDLIADPWGSIHEEDRHDYLKAWSHCLRTGEQFSRV VRIRRFDGAYRWFYARAVASRDKRGVIHHFLGSYMDIHDQRIAEIKAARQEQLAASEA KHKLLANLIPQIIFTATDNSGITSANEQWMSYTGQSFEDSLGLGFMEYVHPEDLAKCH IPSESQPKSPQKSPEKKLNIPQDPIAFTGSATAFLRHISGHVDTGLNPDDITNLHLNG RIGQGKDENNLESASASDLNELARKGVIKVARDSNGRLSYTTEVRLRSKSGEYRWHLV RCVEIDNVDFGDGANSYFGSATDINDHKLLEAKLKEAMESKSRFLSNMSHEIRTPLIG ISGMVSFLQDTELDEEQRDYTNTIQTSANSLLMIINDILDLSKVAAGMMKLKFEWFHT RALIEDVNELVSTMAIAKRLELNYIVDEDVPAYVKGDKIRIRQVLLNVIGNAIKFTSE GEVFSRCKVYRGNDASGLASNEIKLEFAIVDTGRGFTKEEAELIFKPFSQIDGSSTRQ HGGSGLGLVISRQLVELHGGKMEGTAVPGKGSTFTFTAKFGLPTEEDYPELQPSPSSI PADHDCHEDNTIPPMSAISAPQQQQEPQQQQPPKTRVSSRNNPDADAGLTSTTSSGPQ SSDSSNVSSAQSGLSTLTGGSSVPSIKAGLVRLSEAAKATGHDLSQVVLPNPCEGSPP EMVSDPRPPVYSILIVCPQTHSREATSQHIATTLPRDVPCRIKALDSADEARKLINSE NGPPFTHIVLNLPTPEEVIALIEQTTKLPNRQGVIILVLSDTVQRQQVIKLASGTACE KLISEKQITFIYKPVKPSRFAVIFDPDKVRDLSIDRNRSTAQQMVESQKASYLEIEKR MGNKGYRVLLVEDNPVNQKVLTKYLKKVGVGVDIATDGLDCVDMVFSRPYGYYSLILC DLHMPRKDGYQACREVRDWEKLKGNRAKMPIIALSANVMSDVQEKCLAAGFSDYITKP VDFIDLSTAMSKFF
SAPIO_CDS5141	MATPNGTEATQNPTQEPSSQIPEMEPLLGGPRAAGALQRDDSPI FANLYIGTAFLAQLGAVVLFTVIWAFILRRPWIAFSGHPLAQSLLLVTVIQAILILQP THTPDQKRTGARIHAALHLISFLSLITGITVIEYNKHVNKLPHFHSAHAYIGAVTAVL LLLQYAVGFTMWATPSLYGSEERARSIWKYHRWSGYLSLVLLLVTVASATGVPFVGED IGIKFWWVFGLSVLILLGVIPRVHLWKLLGQRRRY
SAPIO_CDS5142	MSVLDDISYPLDNVDANRIAPGDIDKTFRSHCIDMISTAIGGKV LAYSDQWFAEATNLLTPTPPIRQPGKMVYTGAWYDGWETRRHNPEEFDWVVIQLGVAS GTVEGVEVDTTYFSGNHAPAISVEGCFSQDDEEVISWKGSKGKWETILGLQTCGPSER FAWKLNKPTEKQYTHVRLNMYPDGGIARFHLYGHAIPVFPEDENAIIDLAAAQNGAVA VSCSDQHFSKKDNLLLPGRGKDMGDGWETARSRTKGHTDWVIVRLGAPGFVQKVIVDT AHFRGNFPQAVRIEVISWEGESEPGPEEEWKTILQDSKCGPDKEHEFECKQGDATGAV THVKLVIIPDGGVKRLRVLGRREIKP
SAPIO_CDS5143	MDLGAASDGGDGGGKKNITLPDDLPKSLDDRRVVSTILLPETEM YDGWKGQSQFLTSPMLAKPLDFGDLSLNDRTYPDDELRSPMSNEARLMEMLAAQAAHS ADPGYGDEDTLASDEKIPAERKREMLQKSLNMAASNGDIDRIQKILNGKARAFVDVNA PDEDGTPALIYASCFGHESVVQALVDAGADVDKQDRNQWTALMWAMTNRHKGIAKLLL DHGASAEQKTSTGRTAFDFVPPDSDMSYYLHDSGYGLGTAGVTDDFYRPGFSQDRFEE EMAAQEMRTRLMMDSARDLEVDLGNVGIDDQPEPIDEFEEDQQEFDWTRCNHDQMFVF QESELDAILDIVITKMTPQRSPSQKPVPANMIFLSARYAHYHASPELLASLLITAMDK INDVVERYQWDMTILAFWMSNATLLLHYLKKDVGLVEATVEFQAQLAELINEIFILIV RDAERRMDKVLDAAMLDHETIPGFEDITFQNEWKIFKRKTQVKEEPIERRFRPPSPKQ RAKPAPRNVTSLLSSTLFVLDLYDIHSVITAQIISQLVYWLGAELFNRIMSNRKYLAR TKAMQIRMNISILEDWARVNNRQPEHYEGGDIKASGETTMEASRRHLEPVIQLLQWLQ CFSSLGADDLEALVGTLQQLRRLTPQQLLHAAKHYRPEVGEKGLPKSAIQYLEAIQKE QALQREKRRSRSAPATPTKPSNGQQQLELQQQAGETTQDNNDNNYPAGGDEDSDDEPQ EHLLLDPALMLPFTLPSVTDMLVSYGAGFGGMNRERERKYIPTVPPEFLAKLEVSGAR KGPMFEEKDWENEEL
SAPIO_CDS5144	MSAPKFSSLRSSKRRTSSLIPFRDDTSLVAFRYEQTVQAEPPIP IPAPRNPPSRSPSISTRTTSSNPATPFLIGGHSPPMPPAEEHPALRSDFSSTKSGEEW KRDSGSSTASSATTIYEEEIDTPVLYEKEGLESAHQSSVLPAVVEQPDLAESLVVFGD DSARTIEQLLADAQRFSCDTNNPNNKSTQPEPLHPAAAPAPFSSRTLLPQDPLQNSLD SPKLTSPTTTTTTTTTPQRRLVRSFSFRVGASNRLKKKSITEMPRGSESSNDINTTTR PTSPISTMFSLSKRPSSRRNASSDSVVSRIGQKGPNFGQSSLDSAANNNNHDDAQAPH GPPPPPSPKRPATNHSSRTPDTNFAPISISIPTDSLLDDDFMTNVTFSKRGSIMFGGK RAISGENMPDNSTTETDSDAPHPTTSSAAKSKMNDATTSTKMDAAAANKSAEQPQQAM PRIRVLPADVERESVKVRSLYEAGDDFNWELGAPPSSTGERLAPTPEDPIEKEVHDSS PSSVAEAGPSTQQTHRISTSADSSARPHDLVRREYELAGGAEDWQDVDGADVDRYGFI RSHRLNTPTGSSSRRGRTTSRRRNVLTKRDPSELNSARVPNRKVSARSLHTQASEFSV ASRRSSISTFRQAANLLPHNRDRRWADEAGEMLTHTPGITHITEDESAERLSEALKQK EWERAEKWRKMARVVKKGKDGEGMEFEFDTKNPKLIDRTWKGIPDRWRGAAWYSFLAT SAKADKDAPTEEHLLAEFHRLQKESCEYDGQIDLDVPRTISQHIMFRRRYRGGQRLLF RVLHAVALYFSEIGYVQGMASLAATLLCYFDEEKAFVMMVRMWQLRGLEELYRPPGFE GLLACLKDFETRWLAGKDVAKKLNDLGIDTTAFGTRWYLTLFNLSIPFPAQLRVWDVF LLLGGPFAKGSPSSAAGLSKSDDGRLDVLHASAAALIDALSEIILDSDFDNTMKVLTS WIPVKDEDLLMKVTRAELKYNGKKKG
SAPIO_CDS5146	MDTTAIVSDDQLDPPVRLFERLRQIAGYTWDESTAPVHTSFDAW LICGTRFVSPYSSSPPHHASSPGSTCRFPSGRPSPSDIYVSPNFHIDLSSESSNTTPP ALAPNVPEISYIEEPVLARVSYQVVREERAFHITKSLVSSADPQGDHIIKPLDLLRLT PHQGDRGPIVLAIYQHPGPNALRRLVDLGPAFYTSRKFEDTYHAHIKTDLPLDPPINL RDFLNFAIGAAQCLEILHHGLGMIHGEIRGDAFHYNIETNKVRLVSFGSGVRSFEHGL TSTGWLALSRELGAKNKLLYISPEQTGRMPAEPDSRTDIYSLGVLFWSLLTQRPVFEG ETPLDIVQGVLRRRIPPVSTMRLDVPDVIGRIIQKCTAKNVGERYHSASGLRHDLTTI QELLGDCDWPALQELDIGTKDVSSFFILPTKMIGRDPERAMLLKAIQRVALSHSVNQK TGAGANRFSDASSFSNEFLDGADVSSEGASSADGNNRLSSSYAATVCSDPRQPKNPFQ PSHLAETQTINSVNSDTLPSPASGPAARIPKPWDRHHSVSFETKSLMESAMADRHSAV ESSSSSSLSRKLGSAKFRQRGHTEVVTIEGVAGQGKSFLVQSVLADVRRQGYCATAKF DTARRTPYGPLLKLLSSLFRQIWGERNTETPFHLALKQYVRPAWPMLHPLLGLPEFLL GQDKEKEKEKENVSGNGNDGGGSVPAAPGTARSASTSSRPPLKRRTSSPGASSRISRI SSVSCQSSQDFLRAGAATKTSRLLNTFLDVLRMFTAHKFICFCLDDLHYADDESLELI SQIIAARMRMVIIVTYRPDEISPERVNRILHPPESEQISRSGGPVITKIVLEPLIEAD IVEYVSDTLSRPKKEVLPLSLVIQSKTAGNPFYMREMLNACHRKKCIWYDYRDTQWHF DLDKLFDQFRGEKDYDVLDTGFITHRLSELPPASRSILAWAALLGQAFSFQLILRLLN GEFTRDLDDEGSCPPLESYSQQDAVAGLQAAIQAYILVPSDSDDVFRFAHDRYIQAAA ALRECQARRMHFAIAQTLLKHYSCDEGMQESTALHICEAVDLIRRRIKIRQPFRKLLF ECAQVATENGARPTAAKYYSSAVALLQPNPWVDDAEDVSYEETIQLYLRAAECALFMG HHAAANSLLSTIFKSARSALDKAPAYVLRSRIFAQSGDADSTLMSLKDCLLALGVEID ENTTYQKCDEWFEGLCVKVQTIDRDELMRSREPVDQNLASIGAVLVETLSAAWWIDSL QFYHLSLTMMDLHLKSGALPQSGMAFMHFAVVALSRFNMVQFSCDLSTMAMKLLDKYH DPFTNSRGFLMFANFLAHINYPLSDTVTQMEGLVEYAAVAGDRISTILCVGICALVRF YASENCADLEAYCQFGCEEIPNWHQDSRGGTVLISVRQTSRALQGKTRTSEPNHVLTD EHHDMAVYKQWLHDNTRNGNRSLLVYETFETLILFLFGHYDKAVEVGGRCLENISKLW SSRNTRLTMVAYGLALAARIFQKLPDPRLADTDELKAEVNDAITQLRDLTKKVSDWEA VNNVNYLAWSKFLGAQVEELSGNAAFAIQRYEEGLDHAAENGFLFEEALGSYLLGACF LRRNARRSARAVLRDATSLYRQFGALGVADHILEEHAGLLYDARVYPRLADAGIQTDF APDVGHVPYHTHVNNEEDDELEQNAQLSDTKGDRIGAWTGSMHMQQEAGAGLPALDMI DLHAILLSSQAMSSVLQVEDLLQTMCDAILQTCGGSATLASIVVSDDDNGWCLAASGD PERGASAHIPGLPLSGTSLVAENVVLYCTRFREPVFITDLLSDERFGNVSEAWLQRNP RSKAVIAIPISHGEKPLLGVLYLEGEPGSFTDRNVTVLQLLVNQIGISYSNAMAMKAI EKVSAEYVSALTLQKDALAKALEAETKAKHAEAEAKRNVKLAEEAARAKSIFLANVSH ELRTPLNGVIGNSELLRESNLNKEQQEMADSIRVSADLLLTVINDILDFSRMEADKMK LYVSAFNPGDMLSEVVRAASYSNREKAGRVSIVQDIKLPPMLIYGDPIRLHQVLGNLI GNSLKFTENGSVTIGARVESDTQDKVALTFWVEDTGIGIPPQQLANLFQPFSQADAST ARKYGGSGLGLSICKNLIETMMKGKIQLESQENVGTRAWFTVTFEKASADAMLGDAVG SEPVSPSSLTGTGVSPAESSSDSDHSLGSNPFIDLSQVPKDELRICIAEDNPINKKIA IQYVKLLGYPNVDAYENGQKAVEGLRRRAAEGRPYHVILMDVQMPILDGYEATKVIRK DSNEEVRKVLIIAMTASAIHGDREKCLAAGMNDYLAKPVRSDVLKKKLEAYVGHSEDA SPEPKPVAAADAVATRDGERNMSTPQRLGSSGNHEDGVNGNPRTVSNNDSSRNAAPPS GTSSAGRNSLSGQQSSDVGASAADSGGGTTKRQPRKLVKNRTSGDLGSQGQSTTQAVS PTQGPVPGVAQVQTQTSSQQALPIVADREKPKGVLTKKPPASHE
SAPIO_CDS5148	MTPQINVDVLVIGAGPTGLGAAKRLHQINGPSWLIVDSNEKPGG LASTDVTPEGFLYDVGGHVIFSHYKYFDDCLDEALPNKEDWYHHQRISYVRYKGLWVP YPFQNNISMLPKEDQVHCIDALIDAAMECRTATTKPANFDEWILRMTGKGIADIFMRP YNWKVWAVPTTMMQCDWLGERVAAPDVKSVVRNVLLGKVAGGWGPNATFRFPARSGTG GIWNAVAATLPDEMKLFGEGSTVVKVDAGLKLVTLKDGTTIQYKRLISTMAVDNLAGV MEDGALVALTEQLFYSSTHLYFPEDDCPFYRATIFSNYSPYNQPSADIELPTMFLANG SKPSSTDAKPGPYWSIMLEVSESSMKPVDHDTLLEKSIQGLLNTDVIQPEDEIVSTYH RRFGHGYPTPTIERDGVLDQLLPKLRSMDIYSRGRFGSWKYEVGNQDHSFMLGVEAVD NIVSGGIELTLNYPNVVNNRRNGERRLNQDNDITPRLGAGNRLN
SAPIO_CDS5149	MNNKAGLLEPKEEDGPMLPVANPDLDGKYPPKAKPSVPAAVYVV SWISLSSAVILHNKWLLDSLNFRLAALLTQIMARWTTLLDSRHKIKMTPQVYMRAILP IGITFSFSLIGSNRAYLYLSVAFIQMLKATTPVAVLLSGWLLGVSRPNLKVLLNVSII AFGILLASIGEIDFVPIGVFFQLGAVVFEATRLSMVQKLLTAEFKMDPLLSIYYYSPI CAVLNFIVALIWDIPKVTAAEFANVGFFNFAISGMFAFFLNLAAVSLIGKTSAVVLTL CGVLKDILLVTASMIIWGTEVTALQAFGYSISLCGIIYYKLGYDAVKKLFVDAGSSVS SLGASKPLLRRASLVAGVLLIVYLLLRSFRPYSPFDRDTMLTSSS
SAPIO_CDS5150	MKFLKVGRVAIITRGRYAGKKVVILQPVDNGKKPHQFGHAIVAG IERYPSKITRRMSKPRQEKRSKIKPFIKVINYNHLMPTRYTLELEGLKGVVSADTFKE VSQREDAKKTVKKVFEDRYTSGKNRWFFTPLRF
SAPIO_CDS5153	MSDSDGEYVADDMSDDFMDHQVTSTTEARDASRSRRRDKKSHQK GGRKAAWEDVKRSWDTVVEGQDGSLSIAEVIEAQKRKRLLRDTTPLERGIIRHLMLVI DMSFAMAEKDLLPTRYRLTMTFAAEFVKEYFEQNPISQMGIIGMKDGVAVSVSEMSGN PTSHLEKVARWRDEEPKGNPSYQNALEMCRGALFNTPSHGTREVLIVSGAILSSDPGD INETIASLIQNNIRVSIIGLAAQVSICEEICRRTNAGDTTQYNVAMDEKHFRELFLDK TTPPVTRTEEQNAASLLMMGFPSRTLASGTGINYCACHNKPLREGYRCTRCGTKVCRI PADCPGCGLTLILSTHLARSYHHLLPLRNFVEVPWSEAARSTACFACQAPFPEPPKKG PSTEPPAPKPPEVKGVSESSRYACKNCHSHFCVDCDVFCHETVHNCPGCEAGLRKPRA RPVETNGNSTNGHTNGAMIID
SAPIO_CDS5154	MGSTEFGNFEKFCRDSTLPVCNVLSEFHNQTGPWDTDTSCQLKG IPLSNGRHLGNLGSILLCGIAILVSIFLIFRSSRRKAAVGRLEMQLFLVGYLLISICE IFSVGEFPLNGKVRVAFSAIHIGLIAATTWILMLNAVVGYQLIDDGTPLSLALMVSSA AVLLVGTGYIALDTGFQWTGYWDESWTPPNRNIALYVLYQLAPLIFLVAFYVLEAILV LRILGEKAPMLYLTGAAILFAGGQIINYVASSHICSGTNGKIDGALFQTLLTLLSVVL VWIFWSSITEDDWQVPVGGTYP
SAPIO_CDS5156	MVAILEIGAFCSSLIVGRVGDIIGRRRTILYGSCIFFVGGAIQT LAMTMFQMMLGRIIAGFGVGMLSTIVPVYQSEISPPHNRGKLACIEFSGNIIGYASSV WVDYFCGFIQSNMSWRIPLFMQCVMGGLLGLGSLIIVESPRWLLDNDHDEEGIVVIAN LYGGGDIHNAKARDEYRNIKMDVLLQRQEGERSYADMFRRYRTRVFIAMSAQALAQLN GINVISYYAPYVFESAGWVGHDAILMTGINGITYFLSTIPPWYIVDKWGRRPILLSGA VAMVFALSVIAYYLYLDVKSTPTMVVIFVMIYNAAFGYSWGPIPWLYPPEILPLSIRS KGASLSTATNWAFNWFVGETTPVLQEAIHWRLYLLHAFWCAVSFVVVYFIYPETCGVR LEDMDVLFGDASTAAGTPSLRAETDSLMPTGSPIGSDYRGRPAFTPANAIPELLTGAG VEQVAAAAVADTPRSGRAKTRLSHNGVQSGPEAKLTQSPQISIFSIKTHDLALYSMMA TQEVIHAYRHLYRGLLHAVRFSAPARYIARDQLRRAFREPVTISTSATSTTTRSPVLD PEAVKRTIWFLQAAAKDRGLEHKVLKNLLKVAMFRHGKWVSRRKTWRTVQLELSQGKP QDGQEK
SAPIO_CDS5157	MPENGGRQGAARRFAMHYRTEIAASGASVISTLAAFPLDSVKTR MQTYQYNGVIDCIRHTYRTEHLRGFFRGVVAPMASVTLVRTISFSIYVRSKYEYAKWI NKTFGVDVVSRTSDKAKYPNIYSCACFAAAGATAGSFITILACPFELTKLSAQVSVLL AQTKSKDMCSQRQAVAASYQNKGTLKTMATIIKHRGFMGLYTGFNLHLMRDTLGTAIY FAVYESSKQLGTSLGGEHPYARKLSVLMSGGLCGIVSWAAIYPIDSVKSIYQKNSLLY SKGQKVEPRPKIEWLKRDMYRGLGVSMGRSCAVNAIFFSSFEFIKNSIKALEETPP
SAPIO_CDS5158	MEKGKKKKPAAVGDARDKLKKAHKPGYDRTKPPLPVLVAKDPPP QPKLKHQTYFELVENTDRKKQLEFKITTETTPPPGYTFLPIVGNSDLTALCKDLSREQ DAMIFMVSTSRKEDASELSLHTCRTGHHFREAIVEEASAKLGDRLTGLVPANHGIPEP IPETQEEINAQADAALRELFPRIPNTDRQEIIDHAFRKGKISNGSPVVGLCAELTLSR RVQLAVLAHIRHRHTRYDSLLRETTWEHARRTVEAVCLDVIVKWRGDEENGRDQLDTI LREVVVISDSEDDDEEESEEDSDVPVVVSPPGAEPARVAINAVEGPEAALAASLAINV RPQSRDHTAAPVKPAMPKVPTTPLRTKGNARLSKRARKAAKKAQRGFSRYQAAWDQAM ERRRHEEVPGHPPQGTPLARSTSNGRLPPTSLDYSPAYSPYAAHPTRANNEVIYLGPA RSLGPANANAPTFERRPAALEAAGYSPSARGSRAVYREEAQARSTEVMTRSYTNAAFP AQKQPEPRYKDLLVRSIETPNPTPVSMTPRFVRALPPRKHARDESPDEYQAREYPPAQ SYGSSAPVDIIQDHHLKRRRVISDHDGIPTAAPGVYPQDDHSRYPPEPAHRVIQARYP PSYATLDREPQMREQAPARPTFYEIRSERGAARDQPVVVHHSGATLRYADELVEVRRA VPEVRQYAGHRIAATGERTVLRHPSGMGAAPGRPYYEDPGYRPSHSDPSQAPRVSMQP IFVRPVDRQPSESSRPQDPIYSHQEIVRAAPEPRRLGQEVYAPPPHQIEPPIWRLPNS LATSPFDPKFNPTNLFAWDPLPSNLRGYHTPITVDL
SAPIO_CDS5159	MPESPTTARPFELDDDDGQESGIVQDPAAAGKTDNNSKATPGDG GAPGVAAASSTAGGATDDVPPPKPPRPLTEAQKNELILKEAFPTVDDSVIKAVLRASR GQVEPAFHALLEMTDPDAVKDDEPEETPPPQPPRPAGVGSGLTQLEADEQYARQLAEH YERVGAYDEQHSRHQHTRQQPRDPRRNRQPQQQGQQHHETGLKPNELYDDREHSFIDD DLPVIRENLKKGFIETQTKVNSWITNIKKKIDDAFEEDEQARQQHLAYGRRPGEASRR SGDYDRYDADPQVITDDFAGMKLAADGTPIRDPRPLANPNLFKPPPPSKSPKPNDGRR VAFKEDTEEIDVYTSSPKLGARDSATPPGNKQSKWQPLSTVEPSPITDNDPFSLGDSE DEKDVKDKTSTTGAGAGSSSSKDASKDDDAERLRKAAAEAMADSLVDSKDAGSKS
SAPIO_CDS5160	MSSIPDGVLTLEQERELEKVNAFYLQKEAELKVRLKTLLDKKKA LQSRPPGNARRSAKFAALEEGFQQFATDLNKLQQFVEVNGTAFSKILKKWDKTSKSKT KELYLSRAVEVQPFFNPTVISELSDQATTSLQELGAWAEGDHVGLDTRPEHVVTSQHI PGTDDGDVDFILLDTTITGNVESLKDLLTRMKATNDESGGDGITLGDRVTRTFLAAIH EAPENALSVLLESGLVDIQSEDDINERNCLHQAAIYGKPFVLMYGLSKGVAADRTDVY GRVPLHYASMHGWLDMLDALLQANPQTLDLKDHDNFTPLLHAIIHDRFECVSRLISKS ARLDAVSETDHVPLNLACERGSLRVVELLLGNGAKIVPDAEGLYPQHLVARSVRTPKL LGLLKQYGANLDQVDKLYGWTPLVHAASEGNVPCLEALLEAGADANIVDEKGLPAVYY AAWEGHLTCMKLLTPYNQRARASPLMRQPSSQFSGLGSSSAPAPMSLDTDAIPALELP PPIIPLRRYGHNFLDTKTVVQLSFDETDEPAMVFFHDGKYPAARLTISSKVSDLIPKN IMLPFQEDTRLVSFQVDNLDTFTLDFDVFPTYGAKVIGKTVALPSTFRGSHASSGTVC LPLFDPRLRAIGQISFSTQVIKPFQGQTLEITDFETYWKATSQFEEHQSTFVTGSSLT GDYVRLYVQYTSEGTPVLWPTWTITCGALDVPICRLTLEQFDALTSESPARKVVAALP SRPLDEISEVHRILATAGIRLVDALPLIPHGMHVNIHILYPTDDEAKRWNLGPALDLN TFADSILSIVFDHARAQRAHSPDIVRSMVFSSYNASLCTALNWKQPNFPVFLCNELGR EDESMPAPNVIQSSGRGTLSVKEAVRIATSNNLMGLICCSRLLDMVPALIDSIKSHGL ALVMDMSGSSNVPGPFADPFPRLPEGVDGALKNYGVLRFTESIDI
SAPIO_CDS5161	MTSESNTSQNTTYTTLLTTLPLLSITPYLLTPNLPLLTRLLAIK SLLATFFLLYVLKPQDTGFPALNAWAASSSKPTPTLESTTSRRGRRTQIQVRPGVFRD LDLSLMGENGKSPLLIWLPYLNIALAGVLALSGLVNDRLPLSLAWLPALVYGLVVAAK AVMAGVDPESELRALKYDYKGA
SAPIO_CDS5162	MFHRVVQRRLLVSALRNQQTPLRNAARTQRRWLTPAPKAGETLM ERRADRELPDVKSVTFRWFPTLPIFLGIVALSSFAIFNYQKSSSSVVSSTLYALRTSE RARQLLGDEIYFKDRIPWIKGEMNQMHGRINISFKVKGSKGTGTMTFRSFRPGPKQLF ETEEWSLVLDGEEGKKIDLLDGEDPFRAIPGAHMLSEDEELDIASGLRQSTVRRAA
SAPIO_CDS5164	MSHHCHDEHHDHGGHGHDHGAHDHSDDITPALQFSLYQHINFDE IVTFNEARFGMGRDVVKKTWAERLNNEPELESDADEQLIMNVPFTGQVKLHSISLRTS NSPSAPKTLKVFVNRDKLDFSTAEEAEATQTFELSLTSDVQELPVKRALFGKVRRLSL FFVDNFSEGEEDVSRIAYVGFKGEWMQLGRAPANILYEAAANPSDHEVKGVGVNKMGY GIGGGGRGSGF
SAPIO_CDS5165	MADPLSIAASIAGLTTFVAQVSCLVRRYRSNFKDQASDLESTKK KIEQLRPILDDLDEQFVELECNSSPPLDAAALARVDSLIKGCSEHKDTLEKRFAEIFG NDVTAQVSGSTQRNPAVIRGMDARLAGVRDAFRRFTYPIRREKLMSISEEIDQIFTKA SLALQFLMRRHEARVESKIDDVQATVDQMKNLQMRTEDMQKISELRKWLKAPEPSEDY QRLASQAHPSTGSWLINGDAFKSWKADNNSFLWLRGFAGCGKSVICSTCIAHLLDVRD SAPLEVGVAYFFFTFADDAKQDAAAMLRSLALQLSQQMRDYSLLSYLHNECNCRPPTN DKLKGCLKSLIQKYRRVFIAIDALDESPRHTNRDEVLETLSDIRNWLIEDLHVLVTSR DEEDIRYALDFSDHACNMSAYEVIPMQNESVDEDIAHYIHEKLAKTPYLQKLRPFHTQ IEEFLSSHSNGVFRWVEFQLLALKSCPRSQHHVSKFLESLPPTLDATYERILADIDET VREDSKRLLSILCCAVEPMDVEELLDALAVDLDPEPGFNELRRLHDEDDLRTICPGMI EVYLEGWESEHRFEGWGVSHCLVRLAHFSVMEYLVSDRIKRHAASDFHINIPKVHGDV ARVCLHYLMDPSIIKAIHSAELASSTQDEQSDISSGPFNTETTSYWKSEPPFTSLAEN VGIR
SAPIO_CDS5166	MTDQIRSLSPFGHTDSLLSSSHDGGDGGIETPEGRKLSDTPKFR VVRNYEAVAPSPKSSDIGEVKLGYDERRTQERWLENAFPEAVLGNPVYPDLLKEAAYD LTLTGDIPSYNPQVVAGEITDVSVSLQSIKPVPVLAMSTGEGGQILRVLRLTNSPWTW EPEDDMTLNMWDLKPGDQEDESYWCRDATPITHIKFAHHVTRTGALRWLIVQKETSTT ILSPNYHAVPVAYKDAALEELPASRIDPNPILTLSLDHTKGRAHTDISFNPPTSTSLP QIAIIDNRGYWSIWNIKTTLVSSRQEPRATLYKRGCPSWDTFPLRSDFGILWYHPPPS EEWDFAQQSESHLTDNIGIDGVVSVTRSTTLLMWNRTTIQLLDTKTGIIFSIVPITAR SPSQEKILRVVPNPADPSHAFVLTTTSLICLDICPSGEVAREVEPEIIRSLHHEHPGD RTLQLSATCMPTVGDGGNIMIAIFSSRTPRLSIFWLHETPDASSPGQFSYQLQHLRGE DSTELDDLRTLTVLPLATSRKKTKISSERESLFDDSEVQFHQVLSFGRRQSLGYWVCV TVNGSLDEIPAPMTRPEKWQNTQQASLAKRRVRYVNYMGEKFVVPDAMVIPEIFVQPD AELVGGVLEFEPLPAITRKAIIKRDIRSELFLEAYSSEIWECGRQLRLRSLSALRHVI STATATGDVPTKSVLEHTNELWDLEDAQALGEDPAYLQSDAEWIDELDNLVRSGIGIL TLTDNHPNPPWRADDNIKEKLFAMKRWMVKKWPIPQGDELREAANMRQEVLEHLARLE LLSYHLVGMDESYQPHIATDSSIPPSSIAVPSSSQDLPSEWSRPIFSQEVMSQGFLSS SKRREKSASPQREDSSAAIRRLAHLAASIDPDTKLAKKPQHSVLSRWEEAGQEDYIPF IQIGAAEREGQLRRRQEKAAERRRAKEEKYSRLVGRSDASQVPASSQMLPPLLAQTQV PQSSQAAPPIFSQATIPFRPREGEASSSQVGGLGLGLGFTMSQPVSGAFGQRRLAKKK KKKGGIK
SAPIO_CDS5167	MTSAFEPSLSSSVHRQSMMMAPTQSIADTLPSINFGFDDLRDRM ARFTAKFDAFIEQGRKRVLEERNQFRMNMAELQEDERMKRKDIEILDLKTSTYQQTIA KETAEAREIQSAIASLADQRDKHIEKRDALKAQIAATQREIDARLAAQRAHAQQLEAQ ARFNVPELDFWVSNLCLKIEGAGHTDHLKFVYTHIDEKDWENEAWFELVTASRDYDVG KCRPKLDREKVERVLEKMNETRELVTLLKGMRELFVEAMRA
SAPIO_CDS5168	MSSLVSEFIINPVLRQARRLSEISRAAPEQPARVDNHATTTPPR PGAPPQVIDSHAIVDSPPTPRPATSSTQSTSVESPQTEDARPWVYPEPPVTPTRDQTP GTATATMPVAVSEPLPEDDGMGRLRQRLITIQSMDVPSVEKARLMHDALMEGYRNSQR QGKDGESVSPPRPIPAGEAWEQSLALSPLDALKFWQHPLGEVSPSEKFILTADDVKPT YAVVEGQVSTTILGCQHYRRNVKPQCSTCHKWYSCRFCHDAAEDHALIRKDTKNMLCM LCACPQRASDTCINCGATAARYYCNICKFWDDHPLKNIYHCNDCGICRRGIGLGKDFF HCKTCCACISIATLGSHKCIERSTDCNCPICGEYMFTSPKPLIFMPCGHSIHKKCYQE YGKTSYKCPICNKAFRNMESQFRNLDAAIQSQPMPPEFQDTKATVLCNDCCAKSTTKY HWLGLKCMICHSYNTVELQILGGNSHHPVPNAPDAQARQDVATPAQSQALLAAGQEGN GESSTEQATGAMAVRRRYSSHDQEIRYAIDPRLARSLSPGHGMEIPIAAASGTAEDDL GEDMLGFWSRDGGNGAAYSDDDDPGSYYDDSDSLPDLNEEEEDDEDSDDDILLIGHR
SAPIO_CDS5169	MSVDKAVAASGADLGDGLRRRAVPGPQVPRAAPQPEDTKKLAKK QPSFLQTLDEWEVVIAPILFTIVAAFTRLWKIGISNIVTWDEAHFGKFGSHYIKHEYY FDVHPPLGKMLIGLSGVLAGYNGSFEFKSGEKYPEDVNYAFMRAFNALFGIVCIPMAY YTARELHFKRATVWLITLMVLCENSYTTISRFILLDSMLLCGTFATVLCWAKFHNQRN NSFSLEWFFWLFMTGLSIGCVCSVKLVGLFVTALVGLYTAEDLWNKFGDTRMPITTLG AHLIARVVGLIIVPLIVYLVSFYLHFAILDHSGPGDAQMSSLFQANLKGTDVGKNSPL EIAYGSRATIKNMGYGGGLLHSHIQTYPDGSGQQQVTCYHHKDSNNDWFFYPNRNDVP YDAEADLRFIGDGDVIRLLHTQTGRNLHSHEVAAPVTKADREVSCYGNVTVGDAKDHW KIEVVRDVASSDRSRIRTLTTAFRLRHAVLGCYLRAGNTNLPQWGFKQIEVTCTKENN PRDSYTHWNVEAHWNDKLPPSDPGVYKSPFFHDFIHLNVAMMTSNNALVPDPDKQDDL ASKWWQWPILNVGLRMCSWDDKVVKYFLLGNPLVYWGSTASLGVFGLVVAWYLIRWQR GYKELSLKDIDHIHYAGIYPIIGWVLHYLPSAVMARVTYVHHYYPALYFAVLTFGFLV DWFTRNKNKTLQYAIYGFLYTLTIGLYIYFIPICWGMTGPNRNYKYMKWFNNWRISD
SAPIO_CDS5171	MFSEYASKFLSQSQSRLSNFGQADNDSAPSRYAPDRSSRPTRNL GRSYFGRTYGNPYQQQGSNSRFGHAFRSGISAAQDAPLFASTRDEFREEDEEEERDRE AADLLALQQSRAVAAGKLEESIGSENEASNASLDRSADGPSEYQTQRFRRGIRSSWNG GGQSSHAQSSRAAGKNRFSSNQPIPKITHEDADSDDTGDSAKGRLVDVGLESQIEDDD PPASLIGDTLDDVAGSPPPFQQFRDRPDRKFTLRRDSTIESDLGESRRSVEDDGNEAV TVTTTEGELFRYDPFFAWAYLILLASLISTYVLVWLHTEAPDRKRPIGDTIYSTLHGS FYMLAVDTLVAVMVALLWLAALRSFVRPLVSLILVAVPVIMFSFFLYPFISSYKGTTH GASFQDRVMRWAAIVPLAGAVIWVYCVIKGRHSIRQAVDILEFSSRILGANSALVLVG LASLFTVVAFTWMWLGMFSRVFLGGYMSKSLARYVISVSSWWLGAWFVFMYMWTISVV TEVHRATTAATVSQWYFHRNAVPAPTSQEIVTAALNHALTTIFGSICQSTLLARLVRL PLLILPSRISYIAQRIANSFVPTPVVALTNPLTITYCAIHSQNLAAAARGLSQMDFLS PQTPTATLTPRVFASREGTSNGLVPYRLAKMVLYASRFILATAMGFAGWVLTAKKLEV TLPDGMGIRGSAYAYVVGIVASFIGYSVMGSMESILSGIVDAVVICYGSERRMASGGG TYCMEAAYLFGEKRRRNHSDDYV
SAPIO_CDS5173	MSDFAPPPGPPPPKAPEVPPGWTAQWNDQYKEWFYVNLHTKQSQ WEKPTAPALPQETRPPPDAPPGYAPPADGTPLPSDTKINPYFKDGNDDQSSSSKKAQE EEDARLARELQDQENAAHRAGSSGGPGQVPAQQSYLQHQYPPAAGSPGPYQQGQDATR SKGKGGFLGKLLGKAGSKVGGGSHGGQPAQGYGGYGAPPGGSYPPQHGGYGGPQAYPP QGGYGAPPPPQGYGYGPQPPYGGYPPYGQQPGYYPQQQHAPKKSGPGMGGVAAGAALG VGAGLIGGALVADAVNDAQHDAYMDGYADGQNDDFGGGDDFGGDF
SAPIO_CDS5174	MRLPSLLSILPLSSALTITLHISPSHHTSGGQSTFPPNTHATLS TLGAQHSAYLTPAGEFVFRNVSEGSYLADVHCATYAFSPVRVDVAEGEGGKAVVQAWE TYRGNEWDNKGEVRVGEGGRVEVRVLGGKQYFVERQKFSVFTILKNPMILLGLVSMGL FIGMPYLVDNMDPEMKAEFEERQRNNPMNSILGGQQPGANPMGNFDMAAYLAGTNKKE GNGRGGNGGVRR
SAPIO_CDS5175	MRHLSYCRIHDDKNLASIGGGILMADLITALGREGLSTATGMVP WIGFTGWSTYGGYGPFARKFGLGFEQIVAAKLVNWEGKVIEADEDLLKGIKGAGGSFG VIVELTIRTYPLKQTSRPRLAEC
SAPIO_CDS5177	MPQPAGLKESTPSADSLSAAVPHLSLSESGLPAKNLAIQPPNPP GRTEEERQAHQNFTNEALEMARLALRTNETPVGCVLVHNGTIIAKGMNATNITRNGTR HAEFMALAALLARPRLPGAAFTHVGDANSSECSTEEQGKTEMSVDHGMSPEQGYLYPY GQKLHPSREVDKSIVQECILYVTVEPCVMCASLLRQLRISKVFFGAVNDKFGGTGGVF SIHNNSVPTSLDQARPQGDGGNVEHGFEVEGGWGRDEAVGLLRRFYVQENGRAPIPRK KEGRAARLAALERDANEPSASSTTAPDTGETIGCTQSQARDEQLGVGVDRSLAIAQLA ETASLPLSS
SAPIO_CDS5180	MNSMTRTFGKFMHRSPGDNARVSVLLKDYEDVDRLLAKLVEEAR SLRDAWHGMVMTQLGAASEYVTLYDPIVGASDGHGRRAEPTPEPLLIRSFNLRQAYAD IKDDMVAELSAFEAGVVKPGSDARDAIQPIRRTIKKRENKRLDYEKQQERVKKLQRKP GKSAKEDALMHKAEEDLDRLSEEFTIVDTHLRESLPPVIEASFRIVPPLLATIISIQN RLLGLAYTAVHHYCLENNFQSPSPPMDIVISDWETGFRPIQKEIESLAIIRSGRVILQ PMKVGEERSRSVPVSATKGDTKNGVTRSTPGPIPASGPPRPRLIPHSPSGYHGPPRQR SPAPTSTVVGSGVATDFTVATGLSDSSAVSSPGPRVAADYFGHATARTSSTIANVAAR KKPPPPPPPKKVTLVEEFVVALYDFSGQGSGDLSFREGDLIKVIKKTKTDQDWWVGEL GGVRGSFPANYCRLT
SAPIO_CDS5181	MSNYPRPRDISTPRVLGPHRPLLKLFDGSRTSSSSPDLDIGDQD EDHPEPFVLSAANTPGREDRPRHSSSPHDPTATSGMSQPPESDDAGQVSFGLPILPSK GRRTNAATPPAPLSARGDVPGGYFPFHEDPASRLHRPHPFHLHKSQPRSPSPVNQGQG AQASGNPSFVLAGDAPKHGTAPPTSSLVTSYVPSGIHGSSLPMGKYYPSNYENRMRHH HGPPVPITSGIATKSVSQVPTLLSSASESPRVRDEGDAKRKLQQYQRDMIAQATLAAR KVLGSGENSTLKLPGFPVPGLPAGAPATNPLSPRLRPLGSPGPVTPMDLEATDGSYLD KGRDPHAVENTTRDADCSRAMASEERMRSAPGSYMASPAC
SAPIO_CDS5182	MFEWLKTLERDLTKKPNNPHYLGGNDQPFPQNPLFRSQPVLDED ARELIWRRVKKNGEALKVVSADLGVDVRRVAAVVRLKEVEKRWISEGKRLAKPYSKAI LNMLPKTSYPASGPKPTHEPINDIHVHGYTMQQVFLPTSESRHFTRRDAAKAFHRTML PAEERSQHPELIEMVKDVLAGGNRARSAEKFIKAAKQSEQELVRQAEENARREAARTM KINSDRFEFRIQSFDSERVGTTGRARHAVGWRYGAPLDDRKRGKVKIPTQVP
SAPIO_CDS5183	MAAMSGPSATSDHPQQPLGNPQASLLRALLQVSRGIEPDGTFSD GLFPTEPPASMRKVWVKRRGASATLIAVSTDALVDDVRDLILRKYANSLGRHFDAPDL TLHITSRDKRQARQLGPEEVLVNTLDHYYPGGQAVDEALVVDIPQQRTPRPSPRPAAP YASGISHFAADSGRPSEAGEGYFPPVVATASSLAPPIGGGNDQAAHSVPTIGSGQAPS LPSPGSGRPRAFRDRSERPRLGRSHTSSPTIQGNSGVKSVAMGTDQSTVISTVSPHPS TSVPSDPAPTAQVSTPPARANSPRPIKKKIKKVIEKQKLPVPPINVLLVEDNPINLKL LEAFAKGLKVRWQSAMNGRDAVTKWRSGGFHLVLMDIQLPVMNGLEATREIRRLERVN SIGVFSSPVGKEPGEEGGIVEDDRLANIEMFKSPVIIVALTASSLQSDRHEALAAGCN DFITKVGVVELLRL
SAPIO_CDS5184	MVGSPLPETADAGESADFKSRWPMTQSPKSPRTIAQVRVRNRRR EYLRRNPAYLADLEHELADPVMYETLILKFQSRAERDAEAEAKGYSRILEGDLRRGEA KLATLAAEATSDERHNGATAEPQSASERGPQPSRLDRDIILLETESSKEEKISMTKDQ ARELWNEFLTRRFVRGEDSDFDYSHVDADDELDVLERQDAQDAWFDDEEPSWIIDQED EDSSHNPKAKVLLGETGIQDF
SAPIO_CDS5187	MRNGMFERENLSRDRNSSGSPPFQLNPTRTKPEDTPKMSGRYAF TKTVKELRFLFCQTSEHSNAVRSFLTRAYPIMKKNNPHVPILIREGTGTLPRVYARYE LGQEKTQSLEGIVHCQIPS
SAPIO_CDS5188	MSATRTFFNASRPLFRQPFASTWRTTARASPSRAANFRNGTKNT GRRWQSTTAGPGARESWFKRMWDSPVGLKTVHFWAPMMKWALVLAGVSDFARPVEKLS FTQNLALTMTGMIWTRWCLIIKPRNILLAAVNFFLGCVGLVQITRIAIYETKKKSTSA VQDVKAEPKEVKA
SAPIO_CDS5190	MAGDEHEGASKKEQLIEACRRNNTDLLSEIIEDCSSEEEITRLL NDTTTVLGNHLYHEAALQGNYEVIDMLLDQPDFECDPINRREGDTPLHSAIRWINSEP LAQRPFGNSLVDMMLEAGSNPRIKNKGGLTPYQLVDPTNTGLKELIQKHEYAALNRGD FIQADVGGDTAASTKPIVHHDYHANGESDEDAEFSGSDEEERAEWERRKREKKARKG
SAPIO_CDS5191	MNSMNSRLKSFGFGKRKSTASIQGPPSTPDNPASQLGYQPSLPP PPPSQISQPPLGHAPASSVSSSAASVSNVPMNHPPPGNRPPSYTANFHGQPPLGRTSP LTHPGPTRTPPSQMVGGPPPINTGAPVAGYPPHAPVPGGPPPMGGPPGYGGAPAGYPP GPPPPQQQQQQPGAPMAQYHRPGNPAEVEGNSRSKAQLIVGIDFGTTFSGVAFAFATN NEAKEDIITEWPGAGSYTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKV EWFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLFKLRQAMRSALQKTLGEVFNR EERNIRYYLTVPAIWNDAGKAATRSAAIQAGFLRDENDNRLTLISEPEAAALFCSKTG LLNLKVHDAVLIVDCGGGTVDLIAYEVEDENPFTVAECTAGSGDSCGSTALNRNFSNI LRTKIRKMKLPDGSKTAGRVYAKCIMDFENRIKADFRNNGQKWAVDVGIEAEFPEAGI EEGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQNRTLQNILVVGGFGASEYLFQ QIKLHVPPQFQSKVVRPMDSVAAIVKGAVTAGITERVITHRVARRHYLMATLQPFKEG YHPEAYRVPSLDGKDRCKFTRQIFVQKGQKVKIGEPVKVSFFRQVAPGATLMYEDILY ACDDDVCPEYTKDPRIKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDG SEFSAELVCQGEVMGRCRARFR
SAPIO_CDS5192	MALEAETKKVVSQFELADQDVNRATLEFLRQMDEGLKKDGTSLS QIPTFVTGVPDGTEKGLYLAVDLGGTNFRVCSVQLNGDTTFNLTYDKVAIPKELMVAQ TARELFAFLAKQIEEFLKKHHEDHFAAQLAKRKPGNSHGKESFRLGFTFSFPVNQMGI NKGELIRWTKGFDIPDAVGKDVCALLQEEIDKLGLPVKVAALVNDTVGTLMARSYTST GRAKSILGAIFGTGTNGAYIEKLSNIKKPLQGIYDKSTGEMVVNTEWGSFDNQLNVLP TTPWDKALDESTVNPGFQMFEKRVSGMFLGEIVRLAIVDMMKNEQTSLFRDANSSFND WKSVTTVSPESGLLKPWGLDSAVMSVAASDNTPELSTLRQVLEDTLEVFAPSLEDAQA FKAIANAVGRRAARLSAVAIGAIVLQSGKLSDPKEDVIDIGVDGSLVEHYPFFRDMIY DGLRAIDGIGPKGAERIRIGIAKDGSGVGAALIALMAANMESNTDFEQQDTPKEKGED LISSTAFTVAGVVGIVALATLWLARRQRA
SAPIO_CDS5194	MASSTGKAGLIPPSEDGDALDQVARAVLDDILYNIIQDLLSKTH MEEKLARATTASIRVEKLAADAADGSGDSKPDVRIETDAAIYEDGKVMLKGNPLKTTK DILCPRCHLPRLLYPTDGKGARKPDPSGIYCKKHPYIDKPGYDIYGQTWVPQGPGRGK KKKDMEKKLDETGAPEQRPANVLSFPSATCSKCKRCILVTRLNNHMGACIGNSGRNAS RAAAQKISNGSNANSQDATPPSSQKGTPRPGSRATSPKKRDAEHVEDEEDSETNHKKK KLKPSVPAVKIKIKPPKPSTLGGKKARPSSNLSIEHKLDGPQKKGLDAVPAKKANPKA PSPLKKGNKLMNKALLSSPAKNGRDAEVASESSGTMSSPPR
SAPIO_CDS5195	MTTIVAIETSMGTFTLELYTDHAPKTCENFSSLARRGYYNNTPI HRIIPNFMFQAGDPTGTGRGGSSIWGQPFEDEILPELRHTGAGILSMANSGKNTNGSQ FFVTLAPTPWLDGKHTVFGRVKSGMGVVKRLGLVKTGAEDRPVEDVKIVRAWVVDDAE NAVTGV
SAPIO_CDS5196	MFVFSDVRGMAHSCASLFWHFWETHSEFLHVELKILVITLTILY VSSHATLHRPASAQPRKKGKTPSSYGDENEEDDEKPNNIGGLQPSDAIVFPIMASVAL VGMYYVIQYLRKADLLNLVMRWYTSVVSVGSLVTLYSHGLQLATSFVFPRYWTANGRA IYRVNQATRRHHVWEQGKAVNDQDTVEMPLGPLPYAKAPFRLPASAQNFLWELRGLFT EKWILEIKVHGILSEKMPLRFQHGLAAFMAVMTILVYNLTNSALLSNILGLAFCYATQ QLLSPTKFVTGFLVLIGLFVYDIVMVFYTPFMITVATKVDAPVKLTIQTGSKSNLLGL GDIVIPGIMVALALRFDLWMYYQRKVRYVAVDAKTESSEEKKSDQLTQPTNPRRIAKR AQYTDVTGTWGDWFWTSRLAFPFRTSPQPPEIVASSFPKPYFYASLLGYAMGMGFTMT MLMVFKHGQPALLYLVPGLIGSLLLTGLVRGEIREMMIYNEDGSLDVEDAEVELDQNG RLLRIIEAKEEEKGKEKGDDKKDKSSGEERKEGETVKGSEVTEGHHEKKKQPDVLLIR ISAPTIEAGDNARSKIHEE
SAPIO_CDS5197	MAGKGPAVTVLQWVVDTRDLFPEATETKQLEKVASRYLSLVSVP ESTAALKYVFPRDAKLSLASSLLKRHAISLTTSLPWPSSEATRDPRGKPVCLDSSGRE PLAFNVSHQDGLVVLFGIAGYGSPTSSSISDSRSQTRKVEVGVDVVSCAERRQRDLET IQRAGGWSDFISVYESVFSPRELSYLGALGAQSLSVDERLRYFYALWCLKEAYVKMTG EALLAEWILALEFPSFKPPPPAGQDRDGRNLRCGEVARDIEVVRDGKRDLGVRMELMS LGKDYMIGVAVRTPDRAEDAVGFELGNYEMVDLEDIRAYAESHRA
SAPIO_CDS5198	MLDTFTILTTSGVVLWSRSYAPISPSIINNFISDVFIEEKASVA GPKDPQSATANSPYHSEQHTLKWAMVKELGVIFVAVYRSLLHLSWVDQFVDNIKAIFV GLYGEQLKKPNTTLVKCGNFDDYYDQQVRALEKSGIHVEQGDAGGLESGGAQPPAPPG LIHRHVTQNETSAAEDSPVGSPSASRPTTPSANHLVVPKGLAGKMSRRQRKVQHAASA AASSGDESPRRKAKNTKAQKKGRKWDADGMATEDDDIQLDYSMTSNAQSDSDGDVGRS SAVEHVDSSTWGAKTAKGQFVLKDLDDEVQDLLESAQERSNAAATKSGSSGGLVGSGL NAISGMLRNVVGGKVLTKEDLDKAMKGMEDHLLKKNVAREAAVRLCEGVEKELVGVKT GSFESINARIKSAMEASLTKMLTPTSSLDLLREIDAVTNPSRLSGRTRRPYVMSIVGV NGVGKSTNLSKICFFLLQNKYKVLIAAGDTFRSGAVEQLAVHVRNLKELTSREGGQVE LYQRGYGKDAAAVAKDAVAYAASEDFDVVLIDTAGRRHNDQRLMSSLEKFAKFAQPDK ILMVGEALVGTDSVAQARNFNAAFGSSRTLDGFIISKCDTVGDMVGTLVSLVHATNVP VLFVGVGQHYSDLRNFSVKWAVEKLLSPTS
SAPIO_CDS5199	MNPPSYAHSPPLHHPVPQHVSSVPQLRSPPPPVPSQPQQPGYGN PYQQQPQHGGATGNAWGQYGQFMNDPTAQVAAQFGQTAFKHGQEYLEQNVNRWFNFAT LKPYFQVTNSYVVNKLFLVLFPWRHKPWSRKQAPGPNGQDGWYLPPRDDINSPDMYIP VMAVVTYVLLSTLIAGLRGDFQPESQLLDLIAYSGYKFVGMIVTIALAQLASGGQGTG GWVGWLVFSYTFLANSLFLMRSLKYVLLPESSSNSGGPMQTDSRAKRSQRTQFLFAYS YLVQLVFMWMLTRA
SAPIO_CDS5200	MVPRFCSLTAAQALGLLKDNTITVEEYALSLLDRIKERDSIVKA WAYLDPAFVLGQARTLDQIPHDQRGPLHGLAVGVKDIMNTKDMSTQFGSPIYQGHQAG FDSSAVAILRAAGSLIFGKTTTTEFAVANSGPETANPHDPNRTPGSSSCRSAAAIADL QVPLSLGAQTGGSIIRPASFTVVFAMKPTFNAIPLEGQTVFAPTFVTFGFFAHSIEDL QLLANVFALRDDKPPEDTPLGVNVEEASFPSGVADAKTLERIQKVIILGEAQVSFLKE YQVGKAELAEGIRDIVENTSNISHKEWMEASDTAVDEAPLGLGDMGSATFNTMWMGFH MPVINISLEAPRFCDQLLLRTSKVLSETLMAQGSWEGRL
SAPIO_CDS5204	MVEKSETEGKANESDVVDWEGPDDPAHPRNWKPGTKLIHVLLVS AFTLYSNLAAVIFAPGADALCKEFGITDSVVASLTVTIYILGFALGPLFISPMSEIYG RLVIYHIGNGLYCAFTIGCALSTNTAMFLVFRFITGCVAASPMAIGGGTIADLHKQEE RGKAMALFGLGPLLGPVVGPVIGGFVTEYLGWRWTFWLVLILAGVISLLAIPLMRETY EPVLLEREAKRLRKATGNDRLRPRTHNKDLSQPELLARAIIRPTRMLLFSPVVFLISL YTAFMFSLIYLLFTTFPAVFEETYGFRPGLAGLSYLGLGLGMLLAVVLFGVLSDKLLH QQRGGTVSRPELRLLLMMWCSPLMPIGFFIYGWSADKHTHWIVPIIGTFIIGLSTFLV MMPAQLYLVDAFGSDAAASALAANTVLRNVTGAFLPLAGSPLYQNLGLGWGNSLLAFI GLGLAPVPLSFYKYGEYLRKRFAVDY
SAPIO_CDS5205	MPDINVPPGAMIQDPAQGFTATTTPSSERERRRPPPVARRRDKP QLSCDECRRRKVRCDRLHPCANCSSRGRGSSCTYPSTTSRATALGLPHGSNYVQDRIN QLENLVITLMQQGGASPRSPLSDHPPPVTPDDSSPGQAIPPPGTQHQLCEATAGPEGL HDVPPSPSDYGSIRIRESGVSFVGSAHWAAVLDRITELRDHFEKEDEARARSSDPPVH LQGHLLSPQLLYGCSMHTSLTSILDACLNLGHYAKGGPYVLETLILYFLVEVFTRKEM EVGIWVLVGNITQIAIHMGYHRDATHFPNISPFVGELRRRVWAMIVQLDFSVSTQLGL PRLIKESQTNTAEPRNLDDSDFDEHTAELPPSRPETEITPILYTLAKLRILSVGVKVA DLATNPRPYSYEMVLELDKQINEARDALPSSMKWESLASSLTVSPQILMQRIWLEMFT QRLKIVLHKKFLAPSRLRQEYAYSRSACLTAAVGILEFQHLIDEETEVDGRLYQVRWR VSTACTHEFLLATSVLCFYLRVHCADREEQRGSPGDAEAAPVNKIKQLLRASLDIWLR LSAESTEARKATAALQYVLGDSRLGPDSSGSRDMILPDSTPMPTAAPCFPGGSDTPSK LWELLREPRDLLRKVPGGRRFDPNAFFNENAEHHGTTNVQSSYFLDEDPAEFDNGFFN IQPAESEAIDPQQRMLMETVYDSLCAAGQTIEGLRGSSTAVMVGIMGDDWGGAFYKDW ETLPQYSATGVARSILSNRISYFFDWHGPSITLDTACSSSLVAVHLAVQALRNNECRV AIAAGANLLLSPATYIAESNLHMLSPSGRSKMWDKDVDGYGRGEGIAAVVLKPLSAAL KDGDHIDCVIRATGVNQDGKTAGLTMPSSAAQAALIRDTYARAGLNIDKPEDRPQFFH AHGTGTPAGDPQEAEAIFQAFHSKGASDKLYVGSIKTVIGHTEGTAGLASLMGTSLAL QHGIIPPNMHFSHLNPRLVPFYGNLEVPTSPKTWPKLLPGQPRRASINSFGFGGTNAH AIVEAYQPDLIAPSAGPLFTPLTISAANERSLRDVLLSYSDYLTSNPQVSLRDFAYTL QERRSTLAYRVAIPASTNEEARQKIEAVLASDNSPELSTRHFSIASPRVLGVFTGQGA QWPRMGAKLAESSPFVAKRLDELDAVLASLPQGDRPDWSLREQLFADAATSRITEAAL SQPLCTAVQIILVDLLHLANIKLHAVVGHSSGEIAAAYAAGLLSASAAIRIAFYRGLY AKLAKSPNGAKGAMMAVGTSIEDASEFCQLDAFEGRIRVAAHNSSSSVTLSGDEDAID EAISIFQDEGKFVRRLRVDTAYHSRHMIPCSAPYLTSIEAMDDASSKTASGGPTWYSS VHDGLVMSEEDMDPKYWADNMVNAVLFAPAVMAAVSQSGPFDLALEIGPHPALKGPCL DTLEEVQGERIPYSGILSRGKDDITEVSSALGLVWSCLGAGSVSFESFEKAVSGKPSG RRMVPNLPKYPFDHSKAFWSMSRISGAHTIARDPPHPVLGRRCVDRETSHEIQWRNIL RPKEISWLKGHKIQGQIVFPAAGFVAMVVEAMKAVAADSAIALITIENLIINRAMAFS DENSSVETLFSVKVVHSDNDSISAKFSCSSGASMEPNGLMVVNIEGEVTVSLATPDAD SLPFTGTDNFNMSEIEIDRFYDQLTKLRYEYSPPFRGMLSIKRKNGYATGTLEDQSGS DWEDRLLIHPGMLDTAIQASLAAFSCPGDGRMWGMYIPVGIQSITINPLFTSLGIGKQ ETLPWEAFVRDFREARSTIDINIFSQDNAHTFIRLEGMELMPFTAARPEDDTVLFSSF SYKIDRPDGVIAAANDGLTAEDVEKAINAERVAFYYLRNLVETITPQQKANTLPHYQH LLNWASHAVDLVKRGKNAFLPSSCQLDTEEQINALLDKDRDRADVRLIESVGKNLPEV IRTGSGILEHMAEDGLFDFYDGGLGLDIANRHFARMIAQVAHRYPRMKIFEIGAGTGG STRNILPLLGPSFSSYTYTDISSGFFEAAQNRFSDFSNRMDFKTFDMDRSPASQGFVE GSYDLVLASNVLHATGKLEEMLINVRQLLKPGGYLITLELTSNDALRVGLPMGSLPGW WVGAESGRPRGPALTLPQWDSLLRKCGFGGIETSTPPLHKLYVSTVFVAQAIDDRVSL LRSPLLSISHLPPTETSQLVIVGGETIEVHLIAEQVGSLLAPRFSNIERVSALESLLD TESFTPGCTVISLTELDEPFFKAITPGKFDAIKALWRQASTILWVTRGARAEEPYSFM TVGVGRVMRFEYPNISLQMLDLDKLEGKTAHVLAEELLRLEVLKKWEKDARGQDLLWS TEPEVFLESGTRIIPRLYQCESANDRYNSSRRTITKAVNPRESHILFASEGLSYDLQY PSPLHLSRPPVPDAGVRVIDVSHFLLQTINIASVGNLMMGVGTDEATGEELLVLTDAM ESRATVLADWAMPLEGDDPVEALAGVAAELFASNILEFVPRGSTIVIHEPDFLIGSAL ERQSQRYSVHVVITTSVKSQQAKGWRYIQENVSQRLIRRALPTSLSVFIDLSQAPGSA SVGRVIGKCIPQSCITYSSKHFLGTTTGLRAGFSASKVAELLREARLAAREVKDQSQA GNIHISKLQDISNLSIHEAPLTVVDCSGSESAVSASIQPIDTGVIFRADKTYFLVGLA GEVGQSLCQWMVEHGARHIALASRRPKVHPEFIRSMEAVGATVKVLPLDITNRESLHE CYEDIAGAMPPVAGVANGAMVLEDSLFEGMSFETLTKVLDPKVTGTILLDELFRNDPL EFFIVFSSITGIVGNSGQSNYIAANMFMTALAAQRRKRGVPGSAIAISSLMGIGYVER SDDLTGDYFEKVGYRNISEQDLHQLFAEAILVGRPGCSETPELVTGLQPMYTDTQSKA QFRDDIKFNHFIMERPGTQTYAGKLSSVPVRVQLVEAKSKAEASAIIKDSFIVRLKRT LMISQEDSVSERVSLIEQGVDSLMAVEVRSWFLKELEVDIPVLKILGGSTITDLLEEA MECMPTSVVDLGALPDKAKPTEQTQEPSPASKAQSSSHDGSSETHSSPGDRSLQSVTP LETPASDIEESAFDGEDKVFQFGQEKSPLEDSPSPFLESATETLATEAEQHPEADLLD GLSKLAQKSSGLEELAKKEVCTPMSYGQARFWFLNDYLEDKRSFDMTVMFKLTGKMDV ARLGKAVQILAQRHEALRTRFFWSGEGEQRIAMQGVLPVSPIHLVHKRLQSEADAKEE LKRMHDHVWDLGSWEAAKIHLLSVSDNVHFLLVGGHHISWDGYSFTVLFVDLEAAYSG KPLPPLGPESQYPAFARWQREQYEIGAMKKALDSYHKIIPDPDVPAIPLFPFARSPTR PVLDHFWQYEAKATLRPALATKLKQLARKHRSTMFHLYLAALKGLVFRLLPEIDDCFI GIADANRLDKKFLGSLGFFLNLLPVPFSRGGAKTKISDLIRDARDKAYAALDRSIVPW NVILKELKIPRSNTFAPIFQLFVDYRQIVQERSTWGGCKISDEDWLNARNGYDLTLGI TDNPTGESLLSLRLQAGLYSESSTELLMRSYVNVLETFVWSSDIEAASLPCWDPKDVE KAFDVGRGPELKLEWPATISHRVDQMIAQHRTKPALKDGLGNNLTYGEMGARVNTIAS TLIAVGAAPGSLVAVFQDPSADWICSMLAIFRIGATYIPLDLRNSIHRLTSIVKASKP AIILTDRSTTEKTTLISADDAVQINVSEIATSLSQGQLPNEARPDSHAVILFTSGSTG EPKGVIMKHSNLAAECEAYSKFCDLPAGNSVVMQQSIFSFDFSLEQTFVALADGGCLY VVPADKRGDPHEISKLMVEQGVTYTSGTPSEYEMLFKYASETLAKCKTWRYAFGGGEY LSPSLIREFAKLPLPNLRLFNNYGPAEVTTAALKGEIAYRETELEEPTLAGFILPNYK LYFVDKDLQPVPVGVPGEIVVGGPGVTVGYLGMEETTKAHYIPNKFGPSPPPGNDRLY RTGDLGRLREDGGVYWISRIEGDTQVKLRGFRIELKEIEAVLIKHSNGALSHAVVTLR GNGEERFLAAHIVFVPDYPQEQREGLIRHLESRLPLPSYMQPSVLVPLDEMPLTAHSK IDRKAIQAIPLPDAFTSGEQDTVTGIEKKMAELWRRIIPHTIRDLAPETNFFDVGGNS ILLVKLQALMKKTFNATLPLVDLMNSSTLGKMARMIKAASRAGGIDWEIETSLPESLR GSSAAVSSRRKKRDNVSVVLVGASGYLGRNLLPCLVEDPRVKDIYCLVRKEGPATNSS PPSTKVKFIQVDLSQPNFDLLPAEFTALAEKADAVVNCAANRSFWDSYESLRPVNVDA VKELARLCLANNASLHMLSSGAVQIYDSTTPPTDGSDGYVASKWAAETFLRKAAAEKG LQVYLHRPVPAPSSEAEKTMDISAAAVLEELIGITRTLGKRPDFTAVGGHIDVVLVGS VVNDLVASITSAEEESGRVAVIPHEGRLRLSVKDFADHIQRDDRIKDLPVMDPLHWFR DAKIAGFGHLITSQHLSMSSKNGELVTRR
SAPIO_CDS5206	MALPPIATATIQSTVLAAISNFLAQFFRSYRLDIRIYIDWVPVV QFVILALITTPPNFLWQEYLELTYPAREPASKPTSKGEKKTTKPTNSPGDTPLNIRNT IIKTVLDQTVGLAVNTILFALFVNGLAAAMTPLQSETELLNLRLAGRSLNYLLSGRAI DYSRVDFKVVLAQSAADFWPIMTSGWSFWPFVSLINFAFVKSVTHRNLVGGLAGVAWG MYMSGFVDR
SAPIO_CDS5208	MSQSLRPYLQCVRSTLTAALCLSDFASQISERHNVPEVEARSSP EVVLTPMTVARNENERVLIEPSVNSVRVSIRIKQADEIENILVHKFTRFLTQRAESFF ILRRKPIKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFFD
SAPIO_CDS5209	MSAGDAAPRGGFTMANANRTLDETPQSIRTDREFQLRNLARLAG MTPDQDITAQDQNGSRFNSTEGSQITPDSQDSKNVYFGHKSRLSASSSLAESFAEATY QPTLSDIHNSSMSPKGQYGSEQNTSFDSSQDFSAPKDEGRSHVFKTPPTSFPGKTSTG WFSDKAYRGAMLGLGDDSDALGGFIMDKLTGEDRIPQTVGPPTTTFGSPFDDECVETA PPLTHVRLVGTDLHRSPTPLPRGGSSNNSVLLASARLSKATEKVNYLVSAQQQNVQGN VAVNCGQAMPHQDNSLGSGYIQSILKQPGTMTQAAMLGHHRHNSAGPIKSPNSRDFVQ KDEGNMGHLNPGAQPFVAPTMQSQRPNTVPMTTFTPPIVSKHLGSLLSGPGGVPSLGL MLDAKNFPFVETAIQERDGPHHPGVIKITNTPFDTSRNEVDAFLGHNARLLADNMEPI HVIMCRVTGKTLDVYVEFQSTGDAIRAVERHLKNSAAGRPSRIGDRLVEVSVAGKKDL MRELFPKANGVEWTDGQPYIYETSDFPFRGFVSDEELTMLVKHVENPHRCPFSRDCPE RPFECLISLLRKFPWEQTQYITIRQRSHIFQTTYRLIQILRAKVIRKVNEARLTTRLL RRLVTTAMTCPGFTVMQKDDVARLADLSPGELSGFGQPQFAYRWRHQYAISVKRGLPS DLIEWYINVIAQETRDNLIHFPLKERHEIQKLGGYTDDYWGYFWLELCMPHFQEFQKF TLAQVAEREFAAIEGILRRAAKKMGASDVY
SAPIO_CDS5210	MSAEPKVVENQPTSEVVAAPADAPAPAAEPAAQETSAKVETETT TAADVAPVAPVVADTPAVAAVAKEDVPTASEEPAKGNKEESPKEESPKEESPKEVVET PLDKFASRLAAIKEKTSYDEMWGITLSDLSHAPTAIVLQKFLRANNGDVALAEKQLTE ALKWRKETNPAKLLDDKVYDRARFGDLGFVTVHKADDSKEEVITWNVYGSVKSNKATF GNIKEFIEWRAALMELGVRKLKLDEATAPIPEGAEDPYQLIQVHDYMSVSFFRLDPNV KSASRETIHVLSVAYPELLSHKYFVNVPAIMGWVFGAMKLFLAPATLRKFHPLTSGTS LASELKPFAATLPKEYGGSGPSVKEGLTVKLTDTPAPAEKAAKAAPAAEPAPATAEAE PTKPVETPEEAPKEAPKENARPAETIQAAEPKVEEPKAEEAATKDAGAEAIEETAKET KPETKPEAQPKPE
SAPIO_CDS5211	MSLPTESSISAAPSVLPPLPLLDAVLDGSADLACSSSTVSFASS AVHSISSTPPTSVSDSCSQSSDVPKLENITVAVDTPPPPDLPVSDPNGDATPHAASAS ASRPRRSCAVATYNLNELSGTSAHGKRRANGDIVAEKRRRTISGDTVVARQKKDRNDG LAAAKDSKGLLRAGIDALDLQWSISQLDTPPSHRRSKNDLPPLSTSLRSSARLAGESA AAAVTSQIASLSKHGKKALENTAFAMSRELKRLQDTKEFAHIDDQPVVHTVWSNGKLV TLTKSRRASAKQNAKQNETSSSKSTAAKEEESAPEATAPTKKRKVKKYLEKGLYAGQP MPDTYTIGLTTAEKKRMAQIPELKSLPQPTKVLPLPMYNGLRLLIKGRDFKLPFDVCN PLPPGQPKPDEWRKMTKNRFIGDSKEYWRKTPHINDFQSKCVCKPEDGCGESCQNRIM LYECDQTNCNVGKEYCTNRAFTDLAERRSAGGKYRIGVEVIKTADRGYGVRSNRCFEP NQIIMEYTGEIITEEECERRMNEVYKNNACYYLMSFDQNMIIDATTGSIARFVNHSCN PNCRMIKWIVGGNPRMALFAGDRPIMTGEELTYDYKFDPFSAKNVQTCLCGEHNCRGV LGPKPKEAKSEAKGKAVKAALKDSVKSGKRKLKELLGGEDEKGSAKKRKISNASGVKR SLSSASLKAAKGAATVVKRSVSAVSLKAKSSLGGLNAKGKSPTTKAQSKASKTKASGK GTPLSASKKRNTLVKQQQPRKIASTDALKKTSTGRVTKVYAKAQQTPSPTKRQAKGSA SQVPGSTIVAAAATGSQASPGFRSSPISKSGRVRKLTEKAASPRMAMELSRAARVRLV QSDE
SAPIO_CDS5212	MSSTTSTSLGVRWITRLVPCFLLALAGYATYVVIGRICVDFLLR ERHETGLAIAFIVLYFFFFALGITCYIRTIWIVKTDPSLVPLEPNSRGARVLESREQH RRKGLKNAFSRPYNDEEAAIGYAPLDSNPDSPGLEKFYSKNVFVCESDGRPKWCHECG QWKPDRASHSQEIERCVRKMDHYCPWVGGMVAENSFKFFAQFTFYAWLFCAVIVSAAA YTIAKQVEDGSVPDGHTFAVLVLSGFLGFFSCAMSGTALRFILQNMTNVDLLKRQMSY QLAVRVPLGTQPTEKFATVTYPLPQAPPMQQVQPSQPTASLPSQQLSSQPIVQAANSA QPRAEEEPSNSRDRLAQRTFAILRTEPGENPWDLGYKRNWVTTMGNSPIDWFLPIKRS PSTLHDNPESEYPYGDLLAELKVRYGLDPKPWTDKDEGIEMRERNRR
SAPIO_CDS5213	MASYSTATPLRVIAHIDLDAFYAQCEMVRLGVPGDVPLAVQQWQ SLIAVNYPARTFGVTRRTTITEARKLCPQLVAQHVATWREGDDKWAYRSDAAANIARD KVSLDPYRLESRKILAVMKEVLPPAPLQRIEKAGIDEVFVDLSAHVHHILLARFPELS PTPGTDPSEGLPPPPVTALDWHSDCLVPLDEAEEVEDPDWDDVALSIGADIVRDIRAR VWEKLHYTCSGGISHNKVLSKLGSAHNKPNKQTIIRRRAVTSFLAPIKFTKMRNLGGK LGDQVASEFDTEEINGLLSVPLANLSARLGQETGLWVYNTIRGIDHSEVNSRTKIKSM LSAKSFRPAINTREQAERWIKIFAADIFSRLVEEGILENRRRPKSVNLHLKHNSRTRS RQTSIPPGKSLDQASLVELGNTLLTQIMSEGEVWPCFHLSMNINGIEDGVTGNMGIGG FLMRGNDAPGSKESSTVLRSDQAASSDSKVQANIDGGADNSERKRRRSGSERIDQFLG MTSVPSKTSHGDQYRDERRKCYATSGNEAHGIHPTILEAKLDLGRTKCLPIPEASRDL KGHSGRNRLSGEGPALTSDHRCPNRFSQTAADEKDRPALFTCGRCNTSFADPTDLQSH NDWHIALALQEREAQVEERVRSAFAGRLGGDLGQLSSAKSGRRLASGPTTSSSTQSSR RGGGRGTKPRLEPGQKRLNFG
SAPIO_CDS5214	MARRPPPAQPMAPPQAPNSRQNEYFIPRDGIDREVITADICRYL GNDALVRPGTYDAMIEDLKADSARWEQERRAKSSRNASGGINAPRDSSNPIYSRKSNS PTTVQYHHSDTYARRQRGGPSESIPFGSGREFDPPPSHDGPGYAGYTQQGGAGQFMPQ QQQPQPGYPGANQRTPYPSGYPPAPNQYSQQDPGYVPSGQSNMGYQQSQDTWVHGAAR PMNPGYREPSQYSPGMGTRDHMMTTPPQQGNYPPPQHSQPAYGGQDYYAPGPGGVYQT MPQDSLYGRGGAYQDRAPSAPVSKPPERFSTTAPSQPPPEVYGGPMQGQMPGQIPSQM QGQQFDDPSRNHDQTSVPPPPRRQETPKHQSYKSGGGLR
SAPIO_CDS5215	MDIANVRGLLLATLDANADTRRHAELQLKQVEDHGGVMDALTEI MQTEQDNNVRLATAIYIKNRVNRSWSRTEHYATEKLLPEDEKARFRDRLLPILAASHG PVRQQLVPVLQRILQFDFPDKWPNFMDFTMQLLNTNDAPSVLAGLQCLLAICRAYRYR SGETHNRTHFDKIVEVSFPRLLAICNELVNQESEEAGEMLHLALKAYKHATWLELSPF LRQRDNNIAWCTVFLNTVSKTIPAIAMVEEDPYEREKHRWWKAKKWAYFNLNRLFIRH GNPSTFTKSADPDVLRFAEEFIVRIAPEILQKYLQEIEKWVTKTTWLSRPCLSYTLVF LDECVRPKEMWPYLKPHLNTLITHLVFPVICLSEEDLEKFEDEPEEYLHRKLNYFEEA SAPDIAATNFLVGLTKTRRKETFEILKFINAVVTEYEQAPDDKKNHIAKEGALRMIGT LAPVILGKKSPIADQVEYFLVRYVFPDFTSSQGFLRARACDIVEKFEQLNFQDQNNLV SIYRHILDCMADPALPVRITAALALQPLIRHDIIRASMQQSIPTIMQQLLKLANEADI DALANVMEDFVEVFATELTPFAVALSEQLRDTYCRIVRELLEKNDKLGEDNEYGEYLD DKSITALGVLQTIGTLILTLESTPDVLLHIETVLMPVIQITLENKLYDLYNEVFEIID SCTFAAKAISPTMWKAFELIHQTFKSGAQLYLEDMLPALDNFVQYGAADLTRKPEYVE ALYSMVAELFVDDKLSRVDRICACKLAEAMMLSLRGHIDQCVHGFIDMAMSILATEEI KGKSYKIHLMEMVISAVHYNPILTLQVLESKQWTNKFFSLWFGNMSSFTRVHDKKLCV AAISTLLSIPPESVPTSVSTGWPRLLQGIAELFRTLPAAIKSREEALKDDYQYDPGAY DYGGDDDWADEDASWGEDGTQSAEAPQPGQEAEPATEGRDESSAYLDFLNEEVQKFRG ADEIDSDDDLGEESLILDSPLDKIDAYQLFAATIHKMQQEQPQFYTELARQISADDMG MIQAAITKAEQTAMEQLALAQQQGANLQMQQPTPGAPNGGAN
SAPIO_CDS5216	MKLFVSFTLGALVSFATAADDVPCPTVTQELIPESCAATFPTAS LIQPCKVGCPYNGCSVEYRTINLGCQDDGWVPEPSLTLTLTSTTRPLTSATRPPSSSE RPTRTPVPSTVTTRTRTTAASASASSITECPKMTKTVPASGCLEPACPTPNCVFQEPL PVPCGCGDPETVVVEAEECVTECPKGCATTTVKVTEFCATETGTGMGTGSGTRTGTRS GTRIAKGTDTAVSEATGGALDDGWIDGDSGESRGD
SAPIO_CDS5218	MYKKSRRTHSFLLLVPGSDRSSKNEPFLWLEKPLLFPHLFFLVE DLDKTREGVRYEAENLNLSTSPRPYPTDLACAILEETESESESPNEPVTPISGRQSRE FTAVRTQDNSDTEPSAGTSELSTSNSSARIAAKRPLVIDTDIKPNSPPQTAGRLSSHP SALKLSGSVEGSPLPRRSTFSSTTSSIRRSFSFFRRTGKDSEPQSVGTSDTSLSTRAE ADPRPIPLQKSPSTARFNTPPSPGSPPLEMVFSSRDHAANGSAQNGSASTTDDLKKKN RASTGLGIRGRAVNFVNANVPGRDKDKDKDKKRMKRRANSFNGRKDTLALTPAAVNPE SPFVPAERLPWPYPPDQGTGAKARRMSLSLPDDFTVDVAELLSEFEYQRKFLGRHGKH LGKGATCKVTLMTRKGSPGELYAVKEFRGKSGRESPEEYEKKIKSEYSIAKSLHHPNI VETIRLCTDRGRWNHVMEYCAEGDLFGLVEKGYLKQEARMMDRNCLFKQLVQGVNYLH SNGIAHRDIKLENLLITKDSKLKITDFGVSEVFSGIHPGLREAGGVCGKNMGEVRLCA PGICGSEPYIAPEVLAKREAYDPRALDVWSSAIIMINLIFGGNLWGKASPKDPSYMPL VKGWEDWEGKQREPDALPDDSDYPHVLAFDRFVKPPALRRLLLRMLNPNPNKRISMAD VVAHRWVRNVECCQLESYEDPTPIDVSKKDALKTGSRKIYIHNHLPPPPITGAPSIGV MPGKPGY
SAPIO_CDS5219	MTTRYRVEYALKTHRRDQFIEWVKALLAVPFVIYSQPTGVYDAE GRDVDGMAAEAHRRYAEILKDVEEMINDHIKHQNDKRKLPSKLKLLVPSAGPFFTNLP LEDAFTYQDSKRYISSRRFVAPSFNDVRLILNSAQVMAVTRNHGLQLATFDGDVTLYD DGMSLEPSSPIIPRLIDLMRRDIMIGIVTAAGYTTPDLYHARLHGLLDTIATSPLLTP SQRRNLIIMGGEANYLFEFSPDAPHLLLPVPRSNWLTSEMSTWSDPAIHSLLDVAESA LRDCIRTLNLPATVVRKDRAVGIIPRDPSVRLPRETLEETVLVVQKTLEINALRNPAD ARIPFCAFNGGRDVFVDIGDKSWGVTVCQNYFGKRRAAAAAAAGERNGNDASSFVIRG ENTLHVGDQFLSAGSNDFKARSVCTTAWIASPGETLELLEEVVEALEKVPAAA
SAPIO_CDS5222	MGGWTFFLFAYLLGGLTFLPLTVAAVLLHLYFSLPYRPDPDVRK KDDCDDIIQPGDDVSALIEAQDARKEDNKTRLTSEPDVAAGYFAVCREYTPMGINAKP IERSTPVGSTTVAAPSQSVYQTMYRSIFDRKQTSSPIDSNGTASQRPKKAGNVFYVAL RHGHLMLFDDEEQLEVRHVISLAHHDVSIYSGGDVTPEGELYIKRNAIRLSRRNEAYD IASENAKPFYLFSENCSAKEDFYFALLRNQEQTLAADRTAPVPLQYDVTDIISLVQRL HSTDDHLHTRWLNALLGRVFLSVYKTYELENFIREKLTKKISRVKRPSFLTHIVIRDI HTGNSPPYISNPKLRDLSVDGECVVEADVRYTGNFRIEVSTTARIDLGSRFKAREVDL TLAVVLRRIEGRAMFKIKAPPSNRIWFSFQSMPKMEMSIEPIVSSRQITYTMILRQIE NRIKEVVAESIVSPFWDDVPFFDTEHKKWRGGIWASDNQPSTPPPEEVIDAPPVNDPC GHDVQFALDVSDPKHPHIIEKHGAETPPLVKSPTASLFSRKLTTKSAVSSPRASTTSF ESTQHVPATASAWPAPSRTAADVVVAPDSAKAEPAAGIREMPTSAAMETLAAVAGSPP KQGMTPLASPIKPPPPVAKAHSIHSDRDGDRNREREHEEDTVPVPVPTTTTTSHENRR DTTSSIESFDHGHATNQGKAASVKSRAGSTNSNSRGFPNREETGTSFGSSNSNSSAGH NSNGTSTVKNNTFAAVSNAAQQARQWGLNALQRRREAKEEQQKQNQLNHHHNSNGHPQ VDLSQPMGRGRPLPPPGIPLPPPDRSQKPTTIPVQKKRTLPPPLLPERWWPGPGDRDR DRDREREREREWEQDVQKAKEMSLEDEQHKSKRPVPPPPLPRRRGRNDSVDDYPPEGN MLVVAAPSDSEPGTPAAAAGEAFPSLDSTVQPAGVDEGSKVIPTQQADARPPPLPPKQ VPVAEEVEVHDVQDTPAQPQAESSDAAPSAVTQNNEEEDDDGYSGWMDDTMTFEEEEN ENVRQAAIIAETNLL
SAPIO_CDS5223	MNYIYSTVNTLRDRYTPVATTSTFRKTGEITPAEFEEAGDYLVS KFPTWSWGDADDDSRRVPFLPAGKQYLVTRNVPCYRRLDDDFAGDAGREQAVVGDGDD FNAGADEDGWLRTGGLASSQPLKAREIRAVDEAGNVAEGEVVEEDEIPDMEDEEDDDA IIKDTGAESKSGGRRTYNLYIMYSPYYRTPRLYLSGYLPNGQPLPPKSMMDDIVGDYK DKTVTLEDFPFFADNIKMASVHPCKHASVMKTLLDRADAALRIRREKLRAAAAAVAAS SSDSAAATDTLVEEVKKLDVKDAEAEADKTGDEWEEVQQNEIDDQEVAIRVDQYLVVF LKFMASVTPGIEHDFTMGV
SAPIO_CDS5224	MWTKPATEPTEPKLQRRKNPGRRIAQIVKLKPEFVDKYKEVHVR VWPEVLKQIKDCRIEDYSIFHDEGTGILFATFKYVGYDYEGDMERMRENPKVQEWWAM TDSFQESLVPGATSSESGSPSWWKPMEEVFHVD
SAPIO_CDS5225	MRGRDEAGDKASRIMLVTDLRGKISDISKYWTGLGIMGQALSMT KFVGTDRRIVAQSYPPCHLFGDSDIYGIGIRLGFYLQYLAAIIALSTGYTNGLKALRT GLTILSISLFVTLCTTSTGNGLVVLDWYIVMALTGGYVFFTDLFVRLAAAKVYCVKKS RKTNRDSEVSDRRDTRVSVADLMRTDVPETVKPRQSTLIEAGLAVYRTHYNLSEATER QDPADEDRTAFRHALIEFGRAARDCPDVAARYSLDKIARTTSAPYTGDSREKQDDQFD RNQFRSDFIQAARGTGMTNTEAERLSRRIASETKKEAAEERSRATLVEIREAMHAVRP RDMLAVGVICLSWAAYQFVRPWLYWRGLRRGLKPGCDVKLMWFFVPASIYNERFGTWL RVWGIIMFVGGVIYFSYGAYLIATNMFAARRWFSRSRSVSDVESQRGLLRGNATPSQD GSSTASTVTPRSQWTGPLRRKRASKRCRFYFRVVAGFQSVILIVSIVVVEGTLSINNV DMTRGDLRTSSQLMAFVVGVISSVPVFWECLVIAPLRWAILRKKKGHGVGTWYVRRTR IESTTTVPDDVQMTSANVV
SAPIO_CDS5226	MKTLHFALVLSHLLVLTTALQHIPVYKWTSPARSTPKPLQKRDE GYQPEFGVCEGDEVTCSACGSGYEECQGSRDDALFCFDPTKGQRCCMDNTGTACDAGF YCAGASDGNTFCCPDNLSISECANAFQMQLSSLSIPETTTIRRQSTVTETKTTPRPTT PLQNTLRSSPAPADSPSTTSTSSTPPSPSISTSTSASTSTSTSTSASIQTSTPTPASD STSTSIEVPSSAPSIPILFLNSTSGATPSATSDSPALPSSSSASDVDPASGDDRDSEG AAIGDVWPNPAHFPQDGSVCRVACSNSGDKVAIPREVEVTLSEVITKAFTVTVPGRTG CDYATTITSTLTFCTVETVTLDCEPTPGIPLEIPVATNTPWEDGPDSTLTFIIPPPLA ASEPITSPEAQSYTTEIPSTPEVPSAPEEVTVDIPKGTPAVDVPSTKRAESTVHVPTT PSTVLRSRTTTPTTTSTTLTTEVPTSTLVAGGASGGSVSKVITGFAILLALAANSTL
SAPIO_CDS5227	MSTRIPHLLEPYLPLPASSLTLLTSVLGATTNWLLLRYLAQHLA RPARGSDDEDHDEDEVGGKRRGGDKAIVFVSFMRDYAFWKEGAARLNTSVQIADLILS LREKVHASVLTLAADEPLIHSQTTTLERSHASLALATAHEARVVLSVRRLDTGTATDV SGVLRITRGGAYEDGEAEEGEEVEAHEYLYFVAQDGGVKIFERGQ
SAPIO_CDS5228	MTKGTSSFGKRHNKTHTICRRCGRRSLHIQKHTCASCGYPAAKV RKYNWSEKAKRRKTTGTGRMRYLKDVPRRFRNGFQTGTPAGARGPSKP
SAPIO_CDS5229	MRLRTRQANLLLLLAPVLATALASEAATRDEVSAVNPRADANVA PKDGNDGKPHNGPWVETDGKIDEDLPALAGRPDDPTIIDGKKIPESNDGVMDDPNRLP PKEGTRGTEGGVSEKGKTRNDQEGKVPETPKEAPAHHVVEEPVATVDDTKKDDRPKSS EEASGLEKPEDLPERIVDHPIPVPDSVQRGHMDVSHGDASTDSTFVEGVIQPFHTFLL SLAMILVSEVGDKTFLVAALMAMKHDRMVVFSAAFSALLVMTVLSAILGQAVPTLLSH QVTSFLASVLFFVFGAKLLREGMAMSPDEGVAEEMHEVEQELAEKEKDIYALEMGIGG NRKSRPKGRFPAPPRSPSTSPTRSPSPQGRTFKNFVQGLENLLSLLLSPAWVQTFVMT FLGEWGDRSQIATIAMAAGPDYWSVTLGAMAGHCVCTGVAVLGGRAIAGKVSMRVVTL GGAIAFIIFGFIYLYESIY
SAPIO_CDS5230	MVTDNESGNPEVTSPEQSAEESTSSQDPLWEPLEESDDDYEEEE EDEEDEEENEIDVSGDGVPLLDELEGGITEQLLQDFIDGGLFANLNAIINNFEDYGAE FHAVYTEPYGRDDQPDSLLTPRRRLAIEVESDYHNRPTLLTRAQLRYIHEENLLDQIQ SSERHVSRDEFREWIASHRPDPARFPKVPSKEGRELMDAGSFGAHPAPGEKTWIKAPS LRILERELGLAGTLAERKRMERLLLQSMIPKNKTDVTIYFREPVYSGQFSRDGNFYFA GGADFKVRLYDTSNPYSWKHYKTVMHPWAQWTLTDASLSPDNKWLAYTSLSPKVCLTP TDPSDEGDPYTLDLGASVNNAGDGDEDMHRRFGIFSVRFSGDGRELIAGTNTHAIIAY DIESRTPLLRVRGHGDDVNAVCFADTSSPHILYSGSDDSVIKVWDRRSMGDRREAGAF VGHIEGITHIDSKGDGRYILSNGKDQSMKLWDLRMAMSTDRFRSIGLRRVATFDYRWE NFRDADWFKDKNDNSAVTFRGHEVLRTLIRCHFSPPGSSDSRYVYSGSASGEVFIWNL DATLAGKIDVATSIFHSLENAGRFTPPMSRDRYSPWNMIVRDASWHPSVPAIATSAWS TDGMHGMREGAISLHTFQEPRDPPPSQDDLGCPIAGACVTEKLQPCRFSVISRAHH
SAPIO_CDS5231	MAEEEFEIDIYGDAPNDQNNEQQSSHQDDAQSYNDGHAHGEDSH ADEHERYDDSNAGRDSSQTRNAPQQGVKRKSGSENDDRPVDPGATAALMLSDLNWWTT DDGIRAYAREAGCEDELKDVTFSEHKVNGKSKGQAYVEFATQQAATAVKRFIDSLSSD SNQAPFKKLVVSYSMAGVNPFRTLPKDAPARSGKDDRNRSSSGSYNDGGQSNMGGGNY RGNYRGRGGYGHRGNMHNNQSGFNRNFSGGSNMGGGGGYNNQMFGNPMGGGGGFGFNR GGMMNMGMPRGGGGGMRGGRGGMGNGMMGMGPMGGMPNPMGGMGMMGGGMQGFGGGMQ TPFGPGFFPQMGSGNQEWGNPHGAKRPRPE
SAPIO_CDS5232	MARYLTPAKIGLLALIELYADEAVTADAILPVLSFITSHLVDTA TNLSSSPWHKAERTANLVTDIYEFEKVLSPHPVVLGMPGRRLWDSFLQKLWDINSLDA MHEFFSRLRLLLQPTKEELRRIAQETGQMPEPDGGIRLCKTSIFGIFVRRCQLEFARL RFHDSADLWKSFVRYRQPTAQYLKKKNPAFEKLSFDQVLLVGELEDPDFEGLAAAVYG DGLVGSSSAALPISTDDLEGLLEFQVEQMQQYGNRVPLETRQKLQDLMQDSLLTPSVS HYVKFLDSWRAGDLPNAFDYLHRYFDYTMQNRDRLFYQYALMNLAVLQAEFGCYAEAV DAMLQTVSTARENRDMTCLNFALNWLFHFGRAHPSLVAHIDPASTLGSAKETLAFLRV RAKETGMWTLWSSVLLSEAKLTLSNGESVATALENVVRASQVIVERNARNMFAPHLML VASLWDRLGLSNLSTVTCEVALRAHAPHGVFEDELRLTCKLAMDLAGRGRYDDALRLL DDKLNPDGTLVTTSTTTPSASAAPHTLRAGKPQQYWRKYRGIIKLRRDLARSDLDGAS DLLSQLLQSKFDDLEPDMALSIDLLHVDYLTRLGDLPRAFSLVEDLLARTSDEIPPAQ PTDSTTTTPTPDIAFRIRLLLAKANLYIRSNHPTRAFSLATRAASLAHRSRLLPLLWH SVASLSSILTSLSEFSASSRLLCAALPRALEYDDTHLAATLYASLADAEMGLAGQAAP GSRTRTEHLTRANDALDRAFAYFAEVEESVRAREVIAKRAAVMKVLGEKALAAQYSAK YVALVEREEARRKGSGVEVVGRSSGLFTT
SAPIO_CDS5233	MGNARGLRSGTRHAFSRDFRRKGQIPLTTYLRQYKVGDIVDIKA NGAVHKGLPFKVYHGKTGVIYNVAKSAVGIIIYKKVKHRYLEKRINVRIEHVQPSRSR EGFLRRVKENEELRKQAKAQGKPALLKRQPAMPREAHTLSLKDFPLETITPVPYETTI 
SAPIO_CDS5234	MPPRSYSKTSRVPRRPFEAARLDSELKLVGEYGLRNKREVWRVQ LTLSKIRRAARQLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKVEDF LERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFIVRLDSQKHIDFAITS PFGGGRFGRVRRKKAKAAEGGDDDEEEDEE
SAPIO_CDS5236	MRVDPLPTPKSLPEEVGGIEKQLITRAVTFDQLVSEIRGIYAAL VKAEDVCIKEVSDSDREGVTFTDDRWKSLVKLHEVTLYEFCDFFFATNHPVAAASDQL KNVVTKYSMPARLWRHAIYRLLDLMRRNLPGSQPHMLRFVSLAFNMITVLYENSKDLC DVWAECLGDLARFRMAVESESAEERSLWIEVSRYWYQRSIDLTPGIGQRYHHIAILSR PGLLGQLLFFTKSFCTKTPFATAKETIMTLFTQVAQGKTEGSLAVEIALVKTYSALIQ DGSDGEFESSLGEFLKELERSIGPVTDENKQFSYRLAIINVHGLLNFCSPQNPLTSAL VTIPSPPGTPSLPIERSLEAHNRASARAVRLTTSCLNTILRHGAAATSATSPYLHVLL AFLASAAQHPNSGGLPMTQLYSQLNRDLLTGTLSVMRGRLLSTNEGYAKVVASNTLPR VELREKVSCDMKPLPEDYFIRGSVWEDLYFPATWFDNDSRDYDERVSVEGEWMDLQRE IRCVWLGGRLIQKIGW
SAPIO_CDS5237	MTSHSYKAHFHSAVAAAVGTAVIHAAHLAITEWTNRTKRPGKPS ANWPWRVTLSMFVVLAFTLIIFCAVKESDVGVTILDAVSVAHVVVVEHYIVSVLTDAP IDSNPKRCYRLATALQIIFAGFGLWQLSQGFGLYYDAPLISRAMFASIATYLAFHMRQ SKAHMYLMAAWSIYAIVSTFTSLAILIVNVVYWTRGREHLENHALAQCVLGWLDSVVM VAAPWVFKRIVGQAAMNHGTTTTNGTLTRNQRGSVASTIGGESVISTPSSARISDGTL VDLEGRDVIVLFGKDRSTSDLKGFEDGAKKVGNGSADGNV
SAPIO_CDS5238	MAPRRSALYSLLNEGSSEHDMMSESDINSGNTSDVPISTPPSRS NSRVPSNSPSGLRTPASYPHAAADHMEASPHIADYGGAAHPHASYASTHQISYSSPVP RLPGAPGAYHHGMHPGGLPPISGLQGPMVTGDVVTAPHMASLNKPVEDMFLVRIDTYT ASKEAGDKRQKNADASARYRRRKADQAAEEKSKMAQLQEENRYLGGRVIELTRQREFY RSERQALRELMLTVPELKEVAKNRPATPLPPYDEQ
SAPIO_CDS5239	MVPSTLLSPFSAFPFLAVSDDLYCSFVKPPMSSLAEADVKTGPQ RYAELADYRQRSIADKRRQIGGTAVWEIVDEKDHSSAVYLRLKEPAPRDSFFQTRPKR LVPELGGPESPEQPAHTATFIFTARLVRVYKMHILAYTL
SAPIO_CDS5240	MNPLSIAAGAASLAGSTSIFSSSLLKLINDVKNIDTKVINLSGE VSRLAKLLASVEKTVKQCSTQTLALGHLDDHMWRQIDNALVDCKVTVDELDRVVMRLT STYDTEAKNFVKLIKSPGLHFRYSLRSNEIQEFTDKIYKCNCAMQTALSVVNVSLTFR ANASQDSLFQELQQLRGLIAEAVKAVHSKPERESEGKQEGEEKEQPRPIDPFAARQAR NLEELAKAARKFHRETSYAASTRSSMWGGSEFGVALTDEERNRIELWNDSLPIVPGSE SGDKEGKKDLEHRGINPHRSVDPNEDDAKSLSSESDVELDFCRNLEELAYDSFMKGNY PKTEECLRTAIVKATSGPSAKRTLTSLQLRLALCLCALKKWDEASSLLFSLPKSKSPE SLPIYDLLEAVALAHLKDSRFDDAYNICKAVLRAKRRIAGKESPSYCACLTIFAITSH PEAEDADMFENITLVQLSGEAHVPQDLTGQVRAEDSTDSNSSLASVRLEGSDDSSLPH RGQKRKVKVTQDADTRSGQEIGIESQDINHDGADQDLGSGPPALQTVHSHTTRTSGPE HLEREAPSSLMIGMKKLVRRLSSFRHKGPLLTGNPDPAAEMPYPRFWLDETGEAGFSG QSWNFIGDSAMNPSVYATELQMQEFELCQLLSKDKEGSPLSEQQLNSMVYTIPDFLHR MGWEWLTNMLSDDNLMRHARVIPHSIAITNFFFHWEGLNLENLRDHTLPRSIVVLNAD EIMVDCTPLIFQPVSPLHIVMWEYQQGYIGECAGLNRAQTLFASHCRTRLIAKLRSSI YSISRMELKEMLKNCSQQFRELIRQFDDTEECHERNWIVRYIAPEGAPGFGGSLAFSG AQILSCLKPSVDMVVKALQLCIGRMHSEGGKISYVLLGGSYTESPYLVSSLRRAVQKL SEANGGTIQVLHAPKGVDARLLGALYHSLNLRSIGAGFQSKPWEHMARRR
SAPIO_CDS5241	MSTITHTKTDQDLNISIKKATSPDETAPKRKHVRSCIVYTWDHR SSHAFWTGMKVQPILADEVQTFKALITIHKVLQEGHPNTLKEAMANRSWIDSLNRGVS GDGIRGYGQLIRDYVNYLLAKLSFHRQHPEFNGTFEYEEYLSLKAINDPNEGYETITD LMTLQDRIDQFQKLIFSHFRHVGNNECRISALVPLVQESYGIYKFITSMLRAMHSSTG DDEALEPLRERYGAQHYRLVKFYYECSNLRYLTSLISVPKLPQDPPNLLAVDDEAPAL PARPKQEIERAPSPTPAPKNDAPDEINEFWKNELDRQNREYEEQQRILQEKQQQALLA QQQAQLQAQRDFEEQQRKLAEQQRLEQERLLAQQAQWQTQGRLAELEQENLNARAQYE RDQLMLQQYDQRVKGLEGEILSLQANYGQQITSKDEQIRALQEQVNTWRSKYEALAKL YSQLRHEHLDLLQKFKSVQLKAASAQEAIDKREKLEREIKTKNLELADMIRERDRALH EKDRLSGANKDEVEKLKRELRMALDRADNLERSKGNELSAMLSKYNREIADLEEALRN KSRALEDVQNKLRDGSSDLEQLLREKEEELEVYKAGMDQTLLELNELKQNHGETDHAL DGEIDAIILANLDKINDIIDSVLQSAVHRVDEALYELDSTMQAGNQNASPTYVLSQIE KTSASAMEFATAFNNFIADGPNASHAELIKAINVFAGAIGDVCTNTKGLLRLAADDKQ GDNLMGGARQSAQATVRFFRAVQSFRLDGMDPIQKTDVVINNNNDVQINLQKLNKLVE TFAPTFGKLVGKGDLGDLVDQELSKAADAIAAAAARLAKLKNKPRDGYSTYELKVHDS ILDAATAITTAIARLIKAATATQQEIVQAGRGSSSRTAFYKKNNRWTQGLISAAKAVA SSTNTLIETADGVLSGRNSPEQLIVASNDVAASTAQLVAASRVKAGFMSKSQDELEQA SKAVGAACRALVRQVQAIIRERNQEGEEKVDYSKLGAHEFKVREMEQQVEILQLENAL SAARIRLGEMRKISYQEE
SAPIO_CDS5242	MTMANSNRNEKLLAFIAGLSPQQILDLLPENVVSGLSALLSQHP AGQDGTFQFQGPVTVVNGRSSSGSTLGSSSPLGSGLSPEGASGDEPEVKVEKAKRPLN AFIAFRSYYKTIFLKSPQKEVSSYITVLWAGEHFRNKWALIAKVYSFVRDEVGKDNAN VADFLAIACPIMLIVPPESYLHALGWVQARDSSGHAYITQDRNTAQAFITAIENQPSP ATELDLLVQCTQAGYMAQCSDVLIEKLANKLNTIMSPNVVSSANSDQDMILNGGQFFP NGIDNNMSMKAALLSPATTDIQMENMEAFVD
SAPIO_CDS5245	MALSTLPSSTQHHGPPNNNSNDNSRDKDGKPPHSPRFPGAGRPR LRCRICGATLDPQPARSLSKGAPRPKRAPVTGVHRYRNGGIIECPYSTRAYALPQQVN RMVIAEAAEAAGMSRGGRRRMVLPTRIAPRSEREDDDAMDEDGHEEEEEEEEEEDDDG DEDDDNEEDDESSQASAEESSENDDKD
SAPIO_CDS5246	MLYQRFSRGATRLTSQLRSPAIRSTVQRRFNSTVENEFVKERQH AKDHAVGTTDLWKKISLFACIPALVLASANAYKLWNEHWEHWSHLPPLEERTEYPYQN IRSRNFSWGDGDKTLFWNDKVNYHNHDKVK
SAPIO_CDS5248	MDDELEQIRKARLEQLKASGGGSSKGRQQADQEQQQRQQQAEAR KAMLDQILHPEAADRLGRIRMVKESRATEIENRLIMLAQTGQLRQKVTEEQLKELLNA VADNKEEQKIVVTRRKVWDDDDDLLDL
SAPIO_CDS5250	MGKSNDGRGNTTTGLNTASPSFSEIPLDGAVPRTEGICESQPLQ IDVEVLGRQRPAIFKTRWAELGFCFSLLGSIFMGEYFVSGFHIVLPPLAAALEIPKNS QTWPSSVFSLVTGACLLPLGRVGDMYGGDVLYTGGMAWFFLWSLVAGFSNNYTQLVVF RAMQGLGCAACLPAGIMLLGKIYRPGPRKNLVFGIYGASAPIGFFFGILFGGAAGQYL TWRWYFWLGAIFLAVVCAISFLTVPRDKRDKNGPEIKMDWPGALTIVPGLVLFVYALT DSSSAPKGWASPHIIATFVIGVVLLCLAVYIQGWVSKNPLLPAALFSPKDMKPLVFAL FLSYGSFGVFLLYSSFYIELVMHQSPIITAVWYIPMVLGGLTIGMSAGFTLHLLPGRI LLIIAGTALTICPLLFAIMPEGVGYWPYVFPSMIACTVGIDITYTVTNVFITTNLPAR YQGLAGAIINSVVFLGISFFLGIADIGVGATSHLGLRKSYKTGFWIAVGLAGVATCFF ACMKIGHAKSDLTLEEKAQLEEELMRQRELAAQGGLESARESRSVLGSGTAMAVAAEG APASDSQAEKSG
SAPIO_CDS5251	MDDSRSPPVSPRPSPMPSPREASPPSQPSCMAMPRIPPTRRNTT DDINNLATRALVAKAEGKHDKYPRNNYDYEGNFADAEKRKTGMLEVPVTSNTPFDVTK PPTSVRDDIAGNRTLHPNDRAHAEQNPVNDPDVCRAVVHNVVRDTTMPNPVTETSEPH VLGLVYHPEGDRRGFRRAPLEPMDRRGRQIVRRHDDDHDRPQRGSSWPPRGLDAGELA CIEARYQKREPSRRRRHDGV
SAPIO_CDS5252	MATTGTEATATTTGLPVTSSLSDNRPEPAWLTHIKEEMLRDDFD DDERGKLTALRTMLEAPGDDAVAAKQAARTVLDLYNNGWLRKHPKWLLGLKDHGLVNY LAEIAEFTLELGFFVSFGSSELNRAFLLLIELEALGPEAFGVKDPGFSYYKHAMAIAL REVCHGSMAPRQAVGPRVTAAEIRKRCERALCVSDLMAKLYVAGLLNHYEEPYIYPAC NIYDGLCLRPGRPGPPPATELARRCGVKIAAQGILIAGESLAREIKSGPPRRLLHCGE YEWKVWEKEFRRLSKAIPDDAEWGLKEDVLNAHAKILELFPEWFGADRRQ
SAPIO_CDS5253	MRSIQHGLLLLCVAFPGVLGAVIESRTENTVSIPVRRRARAPRV PIDIASIVASRGGKIGSTKSVFVILQDDEDGIPLQVIDDPDEEEPPVEEEPVEEPVEE EPVEEEPVEEVPAEEEEPKEEPVEEAPVEEPVKEEPPPEEPPSGEPPVEKEPPAEEEE PVEEEPVDEEPVEEEPVEEGPEEPVKEDPVEEEPPAEEEPVKEEPPAKEEPEEPPAEE EPPDEGGHDHGGEAPAEPPAEEPPAEEEPPAEEKPAGPPLPTVKSITWEGSGCPEGPE VDGLEPVIFDLPSDVREIAIYFNFIIATLGLEQPEESECHISIEVENIPPGWRQQVFN VRAEGEIYLETDTMAVFNSSIWWSGEGNPVFEKGKYWPNNEPDRQVSTHYLTDPLLLS QLEPAEQAKYFSACSANDEPTSGTLNLKAGVSVRTDLDVQPLAQVTIGLLIIESRLVP CE
SAPIO_CDS5254	MGSKYARQFITSLRQHTYAVEHGLENFDDVPYDIYVDVKLGLRL YHAGINPHDEDHYRHQIYLVVERTGKASKLRSPGTIIANVLTTQYASEKALCDALLKS VDRKGKRVLVSKPIHAEHKCGECGHEVREEVHYEYHFFSPDERWVTRCCQWNEYFEQY ECCKCGKGDVARLTSIDTNAVASMPETGLPIYPTTPAEPDVAEAEVYPSNPSNTSPWG LQGCQQNFIGPSSHYHFHMAQGVEMPTKKGELEWPQPGDNHWDFGAFEKALEERPALE ASPLYKALTGKVIELDEEVVEKV
SAPIO_CDS5255	MPANGIELWLANTADATGNPQKVLPGKAKGGDGPCRRSADLHSG IDDDDDLDQEPSEPSSSRSTTTWSIRSMRFLLESAGIIRDASELVDLPADAHNLYSFI DDVSYGGTPYVPEAIQVLSISITTLCLSCDRMPLPIANHLHLSNYHLEPEAAIVEFQT LSDILDEARRCDINDVLESHWNSAVNYPLLRVALRSYPCDANVKVWDVMGATIIEEYV PHNLISNRPTQVDLCLALEPEVAMKNFISNNDDTPINQTAYSPLYDRLITVAIKTKAL AQNATGTPQLLVWTRAWVSRIRHIAPETTIPALPMIRIVRNDWYMSWAWMEGEIMRYS TDVRFGDTRSVRGMYQILAALRHLADWIGNVFKPWAIRLFQ
SAPIO_CDS5256	MRFSTFMLSAIGLFTGTSFGARILQSNDDGWAELYVRSFNDALN AAGHDVVLSCPADNKSGSRSGAIGTNTTNPRLNWVNSYPVTAMRFGISTISTQFWNGQ LPDIAVSGPNVGSNLWLSVPFSGTVGAAVYAAHEAGVPSIAFSGASDGTLAWNTSPPP ARSLVYGELARRLTDAVLASGKPYLPSEVWLNVNFPEVGGECTKADDFKWVLSRINIG LFSAPDVNHCGGTRLPTETSVVNHEGCFISVSVGDATDKTTAPEEKQAIVLQKLGNLL TCLP
SAPIO_CDS5257	MSLDIHPFDPLKPDEISRAAEIVRPHFPGRDVNFRVITLREPPK EEMIPFLEKEHRNQPIGKFPTRCARVEVVLENKDGKHQLFELLVDLDENRVKAKQHLP GKYSYIDAAYMQKVGEACLGDAGVQEEIRRLQLPEGATVVVEPWTYATDGMNDVKQRI SMCWFYLRLVENPDANYYAYPLDICAEVSEHLKVLKVYRLPTAPHERIHNEPRPFDRR RIHSQAASEYHPELRPSPRTTTKPYQVVQPEGPSFTIRGNQLTWEKWHIRVGFNYREG LTLHDIRYDGRSLFYRLSLGEMFVPYADPRAPYPRKAAFDLGNDGAGVNANNLQLGCD CLGTIKYFDAHHNTSDGQPMKMPNVICCHEQDDGILWKHTNFRTGNAVVTRSRILVLQ TIITVSNYEYIFAFHFCQDASIYYEVRATGILSTAPTQIDLKEKTPYGTIVAPGVLAP YHQHLFCLRIDPALDGHSNSLAVQEAKAMPYGDPSVHNPFGVGYITEQKIIEQEGGFD LDHTKDRVFKIINENKINPITGTPVGFKLMPAYSQMLLAHPDSFHAKRSEFGKHAVWV TRYEDGDHFPSGKYTMHSVGGDGIASKISRREASGTALSVRNSDIVIWHTFGLTHNPR IEDWPVMPNDKLMVGLKPVNFFLGNPGLDVAVSTQEKNKSVLYSDEKQTDQCCRSRI
SAPIO_CDS5258	MAGSAELEATSSSMIEPELPKLPVLAESGDGKAAEQGSFVSRWS QALKELNNEEEEQFQIDLKTIGDRRTVLANLLNAVNKRKEECLRKRWKIRIKDRTIIL RDVFEKLCVWIERMVKIGDTAVQYDTTGASLPWAAVRLILQVSVNDVQVFGQILVSVE KVANIMARCEIFEIIYLRENLERSQELLRRLSDAITNLYAAILRYLAQVMHYYGFNTT TRVIRSVAVFKGDVDAKFSPIVEAEEEFQRLARLAEAEDLGNTLSGVEDIKQQLADLD DRTGARLDSLQSILTDLQQPIKRIDNRLQKIQDQLETDTRAKMLKAISTMPYGVHHKT ARKGRLEGSGGWLLKKSSYRDWRSSSSSSVLWLHGIPGSGKTKLASLVIDDIREHEHI AYFYCMRNPSEPHRAQCDKILGSLVRQLASVSPGMPILPPVMAFYEQAIAGFGNFEDQ VWTMDECTDVLLELLEEYPAVTIVLDALDEVNHDDRQELLDALTRILQESPCLLKLFI SSRSNYDIALYLKGSPNIYIEAEDNAEDIEAFIAKQLTAAKILHGKLGPDLREEITNA LKTGARGMFRWVDLQIQSLRHLKVAADVRARLGALPVTLESSYWEIFQQIKDSGEHAF KLATLTFQWLLYARRRISIEGFSVLASVALAPESSDPFTTEEILDVCANLVVDRSTVL EFAHLSVREFFERLENRGVDSYLAAQGHSAIAQACVLYFHLALKEDKGYELKDEVLAY YDRQVSSKSVEDDREGDEDDTIKGGEDLSQFSVDVEEEDNAPTDDISAASEVARIWGR REIVLEMREGRDGLFAVLSSDIQPGVPSVYAACELVYHINEAGESRKTSPLADLLKSF MLEKADPGNGLYKVARPFHAWCAILQLDGQWSIEREGHLDRASIAPASPIWLSCYFNW LELVEYLYTHPYPGINGGREMPYLGGWKQPNLWEQPSKFSPTGYAIMTKNHELINLLS YLEADSSWASIAGTSFEPLVLAARWNDTELISLLAQRDYGGQKVAQRAFWAASKEGHC GPMKLLLDLGLITVDVVEASDLVTPCAEGHADVVSLLLERGLVVENGDAMLYRAALNQ HANVVDVLLQNKVGLRGATNALEIAISQGDSKSAELLIGAGARKDGAAVIRAIRSDTP RAALRLIEAGFDIKGRHLEGRRTALHFAVEKGQLGVVKALLDGAADVNARDRHGSTPL HVAATRGHGDCARLLLSRGADTLAQDGQGRIPLDVAEDQNQTAMEAVIRQDMEEMMAR LQAVKGSDNGSRVEN
SAPIO_CDS5260	MKNLLSLAGAVGLVAGSYIAQVPLNGQQIVADAAGRSAGREIPI DSKSLQDTIKAENLMKRAKKLYQIAKLSEDEYNHPTRVIGSEGHAGTLAYIYATLAEV GGYYKVSTQAFPAVMGNVFESRLVIGNGVPKSAAPMGLTPPTRDRQPVFANFVLVANS GCDAADYPESVAGSIAFIRRGHCSFGDKSGLAGSAGAVAAVIYNNEEGSLHGTLGTPQ PNHVATFGLSLSDAAPVLRELEKGSRVDGIAYIDAEVKSISTSNIIAQTTGGDQDNCV MLGGHTDSVTEGPGINDDGSGTISLLEVATQLAAFEVNNCVRFAWWSAEEEGLLGSDY YVSQLTTEENLKIRLFMDYDMMASPNFAYQVYNASNADNPIGSEELREFYVDWYEKQG LNYTFIPFDGRSDYDAFIKNGIPGGGIATGAEGVKSDDEKDMFGGVADEWYDPCYHQL CDDLNNVNLTAWEVNTKLIAHSVAAFAKSLEGFPKRTADFAVASTGYRPKYHGGKLAI 
SAPIO_CDS5262	MAPRTRLLTRPQAQEVLIGLIGRIPPHWFDNYWGKAIVGVIKAV AICGADVDIPQHSLTVDLQEKISHFLGLFYGDRQLGGLKSDTASYFRYLVNAIVVTEV TEEAISWVLPVDIDKAPGDLEAMLSKPLQLEAWPLPSKPALPENERPSPNGSRVRKHG KFLEDTVLDLLENAVRWEDFDQVAKKARTLETCLANNPPHDLEFEERQKQARERRAQK SLQLKDSFEQTSHQSEEE
SAPIO_CDS5263	MSGLPPTHGTRPVSPKVTGLDDKDIKATEPPPANPSKYNDHGTS EQSGSDEDIEGYGENPFSDPTKAAYWKEVYENSRYECRHVFDPDIAWSREEEKKIVRK LDWHCVMFFALQVDRGNLSQAVSDNMLDDLGMNTNDYNYGNMVFLVSFLLAELPSQLV SKAIGPDRWIPTQICLWSIVAAAQCSLKGRASFLATRSLLGLLEGGFIPDLVLWLSYF YTSRELPIRLSYFWTTLSVTGIATSLLAFALLHMRGINGWGGWRWLFLIEGLITLTIG IASFFLMPASAVQTKTWFRPKGWFNDREVRIVVNRVLRDDPSKGDMHNRQAITPKRLW EALKDYDLWPLYIIGLIAYMPQGPPAKYLTLSLRSIGFSAFNTNLLTIPVSVIHMINL LLITRLSEWLNERTFVSMLQPLWTLPCMIALRWWPGLVDNKWGTYGLIVSLLSYPYCH AILVAWCSRNSNNVAARSVSAALYNMSVQLGNVADSFIYREDDKPYYHRGNMQLVAIN FAAIGVFLLTKAYYVYRNKQRDRIWNSMTQEERDDYVKNTKLVGSRRLDFRFAH
SAPIO_CDS5264	MSMTTVITSPVRATTITSHQAKIFNEGSITQRELNSSTESSPRG SIKDDPILEASRLADLEAPDGGRGWVVVLGCSILTYWFIGTSYSWGVIQSALVEDGIA SAASLSFVGSVATSLVSALALITAKISGKLGSRYTCMIGITMLGLGEILAGFTTHAVG GLFATAGVVFGIGNGLLFMTASVAPAQWFSKKRGLANGIVFAAGGLGGAVTSLAMGSL VDNVGPAWTFRILGFLILGTGLPAAWLVQERRKPPSRSIDWGIFRNVNFCLLFAAGAI GSFPLLVPPFFIPLYSRSLGMEMKIGALLVAGFNFASAVGRILSGVLSDRFGAVNTLL SALMLNALTILILWPLSTSAASLIAFVIINGASSGAFFSAMPTTVGNVFGSNRVATAM GFVVTGWVGGFTMGAPIAGYMLDAFGGREAGFQPYRPAIFWAGAMAAVSALLIAIMRL RLGRISIGRLSIFKKRSTQ
SAPIO_CDS5267	MKVTTATALVAWAATALAYPKVRSALRGSCPGLEDIQALGRKLS STAHIYFPGSDEFVLASKRWSQLEAPKVDIVVVPGTERDVSETVIFANNRNVPFLAFN TAHGAITTLGQMTHGIEIWLNQLSGVQISSDGKTAKIAGGTQSKVLIDTLWANGKQAV TGTCECVSYMGPALGGGHGWLQGHHGLIADQFVSVNIVLADGTLRTINANSDLWWAIK GAGHNFGIVTSATVKIFDIEHTNWAVETFIFSGDKVEEVYQAANDHLLKNGTQPVGVI NWSYWLNNPDADTEKPIILFWVIQEGVTSVDPIYTQPFHNIGPIVAEPSSGSYLDVST WTGISLDAAPCQKAGFANPRFPLYLKSYNVSAQRQAYDLFASSIGGFGSTPFSNSLFM FEGYSMQGVQAIDSQSSAFAFRQDNLLTAPLITYEPAGSQLDAQAAALGSQLRQILFE ASGQDVMHTYVNYAFGNENPSQWYGSEAWRQNRLKQLKNKYDPKRKFSFYGPIA
SAPIO_CDS5268	MSTAPEDAEAAAAAAAAAARSFNIELWTLYAVGICATALRTYAR VRAVGIKSFQADDYLVWVGAIIYSIQSALAYNVGHLAHGLANNGMTDAERAALSPNDP EYEMRVLGSKIQVAGWTTYSALLWSLKMAMLVFYIRLTQGLGRRFKIRITVGFALVIG TFLASILTVFIACRPFNKYWQINPDPGNVCQAAISRPIIWVSFASNVLTDIYLILIPL PMLWQSTLKPLKKIASTIVLGAGIFVLVCATLKSVFVLVDPINGAQLAGSWGTKEAFV AVITTNLPMIFPLLRSWFNPIFGSTTRSTPKNYPLHSPFQTIGGTGGDSRSRRGPRST NPITANMTFSESEERMVEEGNMYSMKVFSETPRSDTRPPKGIVVMNEVQVVREDRSPD RSHSTKPPSY
SAPIO_CDS5269	MSTVYTAKKPAPPGTRTQSAGVFFSPSSDDEDKRVTETKGDVDF TVDPDVPPLGVPSDEKRFWWQRTRNYDGSAIATQPSVYDDPETAKHYQPRADWENLHR FDPLARWTWNEENKLIRKIDVRIMIFACVMFMALELDRANISQAVTDNFLKDLHLTTN DFNLGNTVFKLSFLCAELPSQLVSKWMGPDRWIPAQITLWSVVSFSQFWLSGRTSFLV CRALLAILQGGFIPDIILYLSYFYKHHELSLRLGFFWTAMSIADIIAGLLAAGILHLR GVGGRAGWRWLFLLEGLLTFVIGILAFGLMPPSPTQTSHWFRGKKGWFTEREEIIMIN RVIRDDPSKGDMHNRQPITPRLLWRSLKDFDLWPLYVIGLLWNVPSTPPSQYLTLILR GIGFDTFRTNLLTIPTVVLHMGTMMVVTYVAEIVGELTFMSMLAQVWLLPFLVYLYVN DITKIDRWVAWGVLTALLAFPSPHPIQVGWNSRNSNTVRSRTVSAAAYNMMCQAGGII ASNIYRADDAPRYKRGNGNLVGIVSGNIAIYILTKAYYVWRNKTRERKWNAMSPEQKR HYLETTTDQGNKRLDFRFAH
SAPIO_CDS5271	MHDQAAEKRTPNTPGTSCSERNPSDSWSVDTTRGSSSFGASAQV DEGVAASAANTEDPEEVAFGMSMFLEARAEDRIIYSLYFKNREEESPDAEDIVDLEKC FEEACATYVAEDRTDELVNGIRPWLADK
SAPIO_CDS5272	MQYGLLSALAAFAGVVSGTPVSLLSPRQAAGLNAAMVAAGKEYF GTCLTVRNDQSESSIIANKDEFGSITPENAMKWDAVQPNRGQFSFGAADQHVNWAVQN GKQIRCHTLVWYSQLPGWVSGINNNATLYEVMQNHISTVMGRYKGKCTHWDVVNEALN EDGTYRDNVFLRVMGESYLPISFRLAAEADPAAKLYYNDYNLAYGDAKAEGAVRIVKL VQSWGYRIDGVGLQGHMVTESTPTQETPCPSQEKLATALRMFTDLGVDVAYTEVDIRM NTPSNAQKLQVHAEAFGRMMGACMEVERCVGFTVWGVSDRYSWVPQTFSGEGDALLWN NNFQKKPAYQATLDAIVNYKPPEKPAEPETPAEPETPVEGGETPSSPAAPAEPTAATP 
SAPIO_CDS5273	MKLLIPISALAALSGIVQAHCTGCPPELPHPTVTDDSLKSEGKG NQNAGPYQPVEDDDSIKSEGKEHQDAGPYQPVADDDAETSHDEDHYLLIRSDRFQPWV DGVFAACAEHQRSALTCSYRDISRNKDILDCACALDFECTNDGSDSRVVRKANPRIKE DFLDCMSLCSCSSGKDIGKSEAHRPRQIVPEPIPFPRPAPPAHDGPHGHHTRVPFPNI SGSPMGHGPVNSGTSDCPDEMTQRYSVPRPTPIPESTVMLSVTTEDETASATSSSPTE DSYPDLPTEIGHDWPTSAFDPVPISLRTRLPFGPGADKDVPIPSEGPAKATARGHIHK PCGHTTIYTTVLRTVSSNHPNGKASDSSTTFTPHPTRHSFTTIYSKDKPDPKPTPAAP KPAINPWAKVTCLAETEGVCEDEFACLADGTGLVLRDDSWIHNSATGLEVATRYCKLA CFCDNEPNQPIIYTSMVGDVTLTASPESESGRPFFSGRIPFSGDHHH
SAPIO_CDS5274	MLRTLLLVALAVVFPAHALDAPERFYPSTWGSGGPDGWDDAYEK AREFVSQLTLAEKVNLTTADKISAFPAGVNAAATWSRSLIRARGAAMGAEFYGKGIDV QLGPSVGPLGLFPEGGRNWEGFGSDPYLAGAATASTVEGIQSEGVIACVKHYILNEQE HYRGSVSSELNDRVMHEVYLWPFADAVRAGVGSVMCSYNRINGTYACENAYTNYLLKN ELNFQGFVMSDWGAQHATLESALNGLDMTMPGDRFGRDSAGYNSLWGGALTEAVLAGL VPQWRLDDMVVRIMAAFYKVHVGDKEERPEVNFHAWTTETEGPLYFASNKTWAIVNEH VDVAADHADLIREIGAKSIVVLKNEGALPLKKPKSIAVIGEDAQDNPDGANSCADRAC NNGTLAMGWGSGTTEFPYLVAPATALLLRAEADGTAFSNVESNWDLDAAIEAATDVDV ALVFANANAGENYVFIEDNEGDRNNLTLWKGGDALIEAVASVNPNTVVVLHTVGPVLI EEYKQHPNITAILWAGLPGQESGNGLVDVLYGDVNPQGRSPFTWGKSAEDWGVSLLYA AETPTPSQDLNAGLFIDYRHFDKEDIEPSYEFGFGLSYTTFEYSDLQVEFVAETSSYE AAEGETEEAPTFGEIGSREDAEAPKGFDAIPRYVYSWVKEGGKPPEDIEIAESSRSGE AQRVHPAGGAPGGNPGLYEVVYRVSVTVENTGEVAGTEIVQLYLSHGDRDGPVRVLRG FEDVELEPGESKTVAFDLAYRDLATWDEEVENWVISDTKKTVYVGASSRDLRLNAELD I
SAPIO_CDS5275	MQHDLSANIASTDFCPDDLARYGINSQISCLAYDPVQSLLAVGT NESKFGDGKIYIFGQRRVQKTFQPAKPTSLRSLHFTGNKLVSLDSRNELTIWDLNSAK RIAGGIVPGGGVCIATDPMLDWAMLGLTNGDILAYDLDRERIARSFRLPNFWKERDPH ARQVQIVDIAFHPRDIGKLLISYTHGAVIYSIKQAQPQLFFEYVLPPGAPGGKAFATD TTRTPRLTHAQWHPSGTFVLTAHDDGSLVFWDTKEGKLLMARTLMDMRVDQPTRQQPV PKMIAPFTKISWCCKENPDDTGLLIAGGHSPEDPQQGLTFIDLGPTPMYATSSWQALS DHFCGRRHISLPLPPGVQVEDYQLIARSSPHFNGAQDPIAIIALLTSGELLTLSFPSG YPISPTNQLHPSLHFVHPFVTKVNVATVERTRWLGMVETRNQGDPILTGGAEAVKPKR KFENRTILQVAHADGTVRIWDSGHGDDIENDSQLQVDVARAISRYDSVEISAMSMASS TGEFAAGTTAGEVVIYRWGPNQFYGRDSAQPHEPNPGGLTDITSRAEPPLKSGLQPFT LYDMAKGSITALCVSDVGFVAAGSEGGFFSIIDLRGPAIIFQASLSEFAKSEKRSSFL KGKTTARREWPVEVKFGVMTLEGDSYSSIACFVGTNTGKVITFKLLPAGGEGYTVKFA GVVDFGDPIVAICPIVADTGKIASATGPVVAGLRSGQQVNGVLVVVTQTEIRVFKPAT SKGASKSFDDILCDAASVTELDYRGTVIVGVFGDNTTRAFTIPALKEIAKAPLPAQLD STRRSATLATENGYVFGWNGPSELALFHVWGLGEPLANSADLILNPELATPPRPTISN LQWISGTQYVSPTDLDLLIGGPDRPPSKRMLEVAAAEQRAMRLSQGGAAGAAGPSAAG GSQEGWGEYLTRQLNERTEKLNLMGDSMDKLQDASQGWADDVSKVVKRQQRNLVLGSI KGKFM
SAPIO_CDS5276	MADVLRFQNNLNREERSRQDFAQAANPELRVISNEMPFSRVFLG AKTGSLYHERGGGKLQFRKVTQ
SAPIO_CDS5277	MRVTVAVSKNNIQLPQLPPDSNCPCFLSNLEFCFCDYWLERRFS PPVNDPITTTTASSSTTTSTTTSTPESLPTPFTIPFSEFCVDLDPEPDPCPPPPQPQQ TAAPTASLIPIAPAPAPTPIHIAPAPAPSPGPAITASTVTVTATALTTAPAPVTLGSH TASPLLPTTVFDFDFTDPSYGYNTSPALSSSTSSPWIPISATASPHGSAVSYSSFGSP MPDLELNLAESPAGNYVLSPAASTSMSWPAQLNAGYGLGFTTTTTTRPPTLITRSNVV GQDTSGSASQCDVCSLSFPTRKELKRHQSVAHEKGLAFKCRIEGCIKARTGHVYNRRD NFVRHLKTAHADAEDFDVEEMVKRCGFVRGAEGAKDIRRRK
SAPIO_CDS5278	MRLRELQSLELPATADFHVHLRQGDMMKLVTPTIRKGGVDTVYV MPNLTPPITTVARALEYKAELEAIDHSVKYLMSLFLHPDVTPEVITEAAKAGISGVKL YPQGVTTNSENGVSTIEDYFPVFTAMEKHDLVLNLHGEAVSGPPDLTLEEAFLPMLKK IHEAHPNLRIVLEHCSTKAAVAAVRACGPSVGATITAHHLFLTGDISRVNPHAFCKPI PKTPEDRDALLQAVVSGDTKFFFGSDSAPHPLTAKTASQSPPAGVFTQPLATQYVILA LEEATERGVVKEEDVTQERLAQFLSLSGRKFYKLLHGEGEVPRIKLERKASRIPTHIA NEAGTLQVGLVRAETPIMSLSWV
SAPIO_CDS5279	MSPVSPSRSGGSQSGGSPPHRSPSRGQSPSRSRAGSTSGSTSGE KPQNPFGPGLGFDPAKPEQAPKERRNTRVDLPPEAYMKSPIDGRFAKRPGFNTRGKPA KVDINQFAVTAFRQPMPKVYQYDITISPVPKLELALIKKLWHHEKVQRELTKKPAKWL YDGNKLAWSTVLIDRGEMRLHIDLDEGRPNNRGTNKFYFIMKKTTTIQMSALNAYLSG RVAWDNTVLECMNFLDHLIRQWPSENLISIKRNFYRESDKRFPLSGYNEVAMGAYASI RLCQSIATGGTGLGINVDVANTAMWAGGQTLLELVNAYLPMVDKSYRNLSPIGQAKAL RPTQTSVRGEIKPSSAFLNLKRFHKLKFTMIHQERKAGQRQGEGKQHTLFRFVYDSKF GTEGATAQTVTFEVHGRRVTVADYFKEKYNCPLRFPGFPIIETTKKGCYVPIELCYIL PMQRFPFKLDGTDTAKMIKIAVTRPPARRNNIMDRFSSLDYSRDPYLKEFGLKVDSNF TRTDACILPPPTIQFGSGKHNPGLAGRWDLRGKKFFVANKRALRAWGFISFDKRITGP VVQAFARTFKQTYKNHGGNITGEPMFWDESQSPNCAEALKKAHDALVNKFKAAPDLIF CILRKDGEDLYVRLKKSADCRLAVLTQMLISDHVNKNNPQYHSNVCMKVNAKLGGATS RVYNSLPSPPFFKVPTMVIGVDVSHSSPGIDAPSMASMVMSIDDNACRYAAGVQTNGH RVEMLTRQNIEGFFKTLIPEVQKEVKQRPQHLYYFRDGVSEGQFAQVIEYEVQAMKEL LGNRGVHPKFTVIVATKRHHIRFFPADSQRDKNNNPVPGTLVERDVTHPFHWDFYLCS HVAIQGTARPVHYHVILDEANCKPDELQRMIYEQCYTYARSTTSVSLHPAVYYAHLAS SRARAHERIPTSSGPRTGPDAVKEAIRSMAQGDTPWNPPRGTDAAPLLGMGSGDDAHK ENKSYFPGTMWYI
SAPIO_CDS5280	MSADEVNDKTPYGGEGVSWEEDGLEGEGPDDYQPDIPLSDEQTQ DPSLTGANPSTDYGDNGEDFGDDGAEYDPEAISAPPVPEPKPAKPQTTGGFIIQEDSD EEEEEQGSAATAANVPAAISGGAASAPTEAVPSQDQAVPSASRVQDLQVTNGSAASHA TGNPLLHPQVAALSGQDTVNRAAVLEDRVKADPRGDMDAWLGLIEEYRRRNSLDELRS AYGRFLEIFPQSAEAWASWVELELGLNNFVEAERLFGRCLMLAPNVRLWTVYLNYIRR RNDLNNDPDGRARKVVTQAYEFVIDTIGVDKDSAQIWQDYIQFIRSGPGQIGGGNWQD QQKMDQLRKAYQRAICVPTSAVNNLWKEYDQFEMGLNKMTGRKFIQERSPSYMSAKSA NIALDNITRNLNRTTYPRLPPAPGFEGDVEFLDQVEIWKKWIAWEKEDPLVLEADEPA TFKQRILHCYRQALMALRFVPEMWVDAAEWCFRNQIAQNNQDTGLEFLTQGIAANPES VLLALKHADRIESTHVGGESDDAKREFATAVGQPYNKVLDRLYDMCKDLKEKEQADVA RVERMFAANPSNAAESYDDEDEYDAPKNNAEKENRLKAIKQGYAARADLLSKTISHVW IALARAMRRIQGKGGVGVGGLRQVFVEARKRGKLTSDVYVAVALMESVVYRDPVGAKI FERGMTLFPEDENYLLEYLKYLHSKDDTTNARVVFETCVNRLTQKPETVSRAKPLYAY FHKYESQFGELAQISKLEARMAELFPDDPKLANFSQRYSTPKFDPIMARIIVSPAAQL RPKMVMPSIEQPPSARRTQSPVPPIHGSPRPQYIRATASPKRPFAGDEYEDLNRPRKL ARGESPLKGAAGRRLDQQRRDRSSALHRELTFFLNILPPASTYDSARFNPVSMTKLLQ TTVIPDFATWKASQDRQGGPTRHTAAGYPPYQRSQSPYTGGAGRPGHIAAAFPPQRPS SRGYEHSYSAPPTNYGQPQQQYGGYRY
SAPIO_CDS5281	MSVVTLLGVDVLNNPAKFTDKYEFEITFECLEPLEKDLEWKLTY VGSATSDQYDQELDSLLVGPIPVGVSKFPFEADPPDTKRIPDSDILGVTVILLTCAYD GREFVRVGYYVNNEYDSEELSADPPAKPIIERVRRNILAEKPRVTRFAIKWDSEASAP AEFPPEQPEADLVADEEEYGAEEADEDEAEVEANGEDAGGDSNMADADNEAEAEVKDD EDELSDDGSVDIEGESDEDEEGEEEEGEGDAMDEDAMEVDDGGKANGAKTAEVVMSH
SAPIO_CDS5283	MSHTVEDLIASHPTNPVERTGHDTTTDKKWTKEFPAITKLAVRT RHGPYAQAVQADFEPALLPLYADDEIRLTERASPPTYRTWKFSTEEDMVNWFHTEVSN VVLGAFTKAPSVLQSSHEKPLADAKADEVVDVAYSIARGRTRTHIVIGEMKRNLIRNR QWQDGNLESSAQKSLSRELRGYAYKYSCPQIFCFDGEYLVLLQFRAMSVNDIKSQDCK VDCWVIPRVNDGGVTLRYALYRFLVQGFRRFQGMIAYPVRLRDIPPYKREWYNGRPLW KIDGTIVAIPFGHRRRVDARYGAFYWSATEESDVPLLTEQGSWVYDTEGLWELDYPLP WEVHPENSHQVLASHSPDQNVTSSMSHEGRATSGSHQTVATSMNPFLIEDDDLYLAN
SAPIO_CDS5284	MTSSPQPRLEEVLKALQSQNELLLQLVRDSVNSPQPTPQAPTSR DRASSRTATDILNTPPLRPINTPTLTSSEFGLPGSLRHSRRQTAEQQLANERRTLFAE PKHIPASLHSNFSTGSGHECSEDDVHFLPLLEQPSTAQPQQRQRSSTTTMEVRGVTRT LDAAHLEDKDLLYYLFNTDFRDGTAVAMQDFIKKRAGTSIEWAQSLRHFAAYENESYS NSTCEVYDVGVDCIVRQVQGTEEPCSSPQQGDGECSCDDEDECPSPDQVDAAAVWDKI KNINYPTGDATGRITIVQEPTALVFGSLHFAMSKYLDMDELLTHLVTSQGNANKTTAY MNRAFPPEPIITQPTPSPAGVGVGVGTHPLAMRDPYIRHRSFFFVFKYYTVVGQDHEP APWEKFDKRPADKKSQDHIDIAECSSVLALSLGGEPTQTRIRRRRGKPPREGYVFHTF APWHLLNIQSFPDDEHTDRSEDEGKTLLNGPHAFLEALVVEYQDATRRNRILHEKITK LVTPDINFMFDNKIRDKLLFEDKHFTCTSHIRRYFWAYMSLGVINTSIKSMLTAYDET FTPAFWSGTHPTLWAHPDPSSPSGQHYLSRLASLRADLDRVLADLWAVYLKNEETRRE IQSLREQLFSGSSIMESRRAIEQGDNIRILTLSSMVFLPLTFVTSVFGITEFTIPATD WRFSTTMVCVCVPFILLLILLQSRSVNNAIHKAFERLRARAFTGWRSAADRTGRARVW MRSWGTWLVSRNRVIEGERVGVENDEGGPRRRWPTPLASASLPSFPKGPMGKSEEKRL WGWIRGTKKDDGEKV
SAPIO_CDS5285	MSSYDGSRSARQSKRYSMSALYLSIANDGDLEIEDDLAKAQKTL RELKSKISSQSKKNFVLEKDVRYLDSRIALLIQNRMALEEQNEVASHLEDATDIQEGV FPNDDKTQKYGNLMFLLQSEPRHIAHLCRLVSMAEIDSLLQTVMFTIYGNQYESREEH LLLTMFQSVLTYQFDNTPEYSSLLRANTPVSRMMTTYTRRGPGQTFLKSVLADRINSL IELKDLDLEINPLKVYERMVEQIEEDTGSLPASLPKGITAEQAAENPQVQAIIEPRLT MLTEIANGFLTTIIEGLEEAPYGIRWICKQIRSLTKRKYPDANDQVICTLIGGFFFLR FINPAIVTPKSYMLIDGIPSDRPRRTLTLIAKMLQNLANKPSYAKEPYMAKLQPFIQL NKERVNKFMLELCEVQDFYESLEMDNYVALSKKDLELSITLNEIYAMHGLIEKHHSEL CRDPNSHLTIIMTELGPAPAQVPRKENRAITLPLFSRWETAIDDLTAALDITQEEVYF MEAKSIFVQVMRSIPPNSSVARRPLRLERIADAAATSRNDAVMVRKGIRAMELLSQLQ DLRVIDKADQFATLRDEVEQELQHLGSLKEGVLIETQKLQEVYKTIRDHNTYLVGQLE TYKSYLHNVRSQSEGTRRKQQKHAVLGPYKFTHQQLEKEGVIQRSNVPDNRRANIYFN FTSPLPGTFVISLHYKGRNRGLLELDLKLDDLLEMQKDGQDDLDLEYVQFNVPKVLAL LNKRFARKKGW
SAPIO_CDS5286	MLPYSALLLLFSPLTQASLQIIPGGTWTASDGRHIQAHGAGIIA ENGTYYLIGEDKTDGTPFQNVNCYSSTDLVRWEYVGALLSRTSSGDLGPDRIVERPKV IYNDETKKYALWMHIDSRDYGDAKVGVATGDSVCGKYEYHGSFRPLGFQSRDMGLFKD DDGSGYLLTEDRQNGLRIDKLDPTYTKVESNVYTWSEKIESPAMVKVDGRYYMFGSHL TGWDPNDNVTLSHSCSVYSTSASLSSGWSPWREFADDGSNTYASQTTFILPYGDGNYM YLGDRWRSDNLHSSTYIWLPISIRGPTSVSLKNKINWVPNLLEGGTWTEGITETGYEG EAGQAGGAARTVSCSDCSGGRAMGYVGGPDNGSVTISGITTEKAGVTTVRIRYANGDS STRYANVRVNDQAPVKLAFLPSRGGTSSSTLVTHLETADNVIVFEGIDGGWGPDIDKL FVPVE
SAPIO_CDS5287	MNPATCASPRLNHLEPKKKIAALVASANRPSRSVQASVSDVTVA APPTPQTTTTSTAGMDTDEEYMSTMSSDDEVLCDVDDSEDEDFGDDFDDDAPDPDFGL SAKDLDKKKKAYETPFKVFEPLDIQRQQSDMIDEVNMILDIRKEDAAILLRHFRWQKE RLLEDYMDKPKKVLEAVGLGKTSASLPKLEIVPGFMCDICCEDGDDLETFAMKCGHRF CVDCYRRYLTQKIREEGEAARIQCPADGCHRILDSRSLDILVTPELQGRYRELLNRTY VEDSDVLKWCPAPDCENAIECPVKKKDLVRVVPTVTCRCGHCFCFGCGLNDHQPAPCE LVKKWLKKCADDSETANWISANTKECPKCNSTIEKNGGCNHMTCRKCRYEFCWMCMGL WSEHGTSWYNCNRFEEKSGAEARDAQARSRISLERYLHYYNRYANHEQSARLDKDIYQ KTEKKMVQLQTASGMSWIEVQYLNSASQALQTCRQTLKWTYAFAFYLQRNNLTEIFED NQKDLEMAVEALSEMFEKPIAELADNKLKVEIMDKTAYCNKRRLVLLEDTAVNLAQGI FKAQN
SAPIO_CDS5288	MAFRAPFSRLPLLRPAISSAIPRRPFHTTRAAAVRVGDPLPDLD VLVENSPGNKVNLAEEFNGGDGIIIGVPAAFSGACSTTHVPGYMNHPKLKNVGRVFVV SVNDPFVMKAWGEQLDPAKQTGAS
SAPIO_CDS5289	MGVSGLLPLLKSIQKQSQLKKYNGKTLGVDAYGWLHRGAIACAN ELAQDKPTRKYVYYAMSRVQMLSHFGVKPYLVFDGDFLPSKAGTEAARERSREEHKKK GMEYIKAGKPRLAGQEFQKSIDITPEMARHLIDDLKKHGIPYVVAPYEADAQLVYLER KGLIDGIISEDSDLLVFGAKRLITKLDKYGGCIEINRRDFCACREVSLTGWTDTDFRR MAIFSGCDYLEGLKGTGLKTAYRYLRQYKTPEKVVQRLQFEGKVVASEDYLPAFYQAE LTFLHQRVFCPEKKELVLLTEDPTNEAEKFPFIGAHVEPDLARAIAAGDVNPITKQPI VVQAPSPKRRRASSISIHPVPANTHPQSTKSMNGRDPKPINSYFKKTSRIPMGEMDRN RFAVDPQRIAEITQSGLVPRVYPLPRPYIDPARVAQRGGVPSTRISRTTPPRLRRPTE TVASALSRVNYDRTTSQRRGGTGGGRVQHSTLATPPPSSQRPTKKARLCTSDEQDASN NSGADSEHSKFFSPKVSEPSLPEPSAKESVPSKYEAHIFSDDSIEEALKTLPVWEGWG LPSQSRRSIEIYDEEARKNKDEAPEKDGVSSLQKPEQEEAERDEVSCPPSQLPDRPTS TPPRLSSTNRVSLQKFFYQPGSSSQNRADSEPSPSIASSVSSQSSTFTPSLSRSMTAT PSTGMSMLTPLQRIGSQALRRGTRSPLMPSAGNRKPVACEPRRSSLGSLPVNPAFVPL PKVDLDEVAALNMCGSEDQIPFIDKGADTESEADGDNATYVGANVHVRLDLSRFMHR
SAPIO_CDS5290	MLAFRSLSRAAPRALPRVSRASSSLLARLPATRTAAVRVAFPRA TYSFSTSALRASKSEVDAELSAKLESEIQFEEEVSKEEQMPASIKDFLASGQFEVVDV EGKEEVKLVKKHGDEKITVSFSITELPYQDEDLMEDDQAFDDAENLDNQPASQDRNAS SRSAAEEDLVDEDAEEFGGAAPPTHLTVVVEKPSKGPGALSIECTAQDGALIVDNVHY YADANQAFASTPEASHARVDAYPGPSFATLDEDLQVLMEQYLEERGISQGLATFTPDY IDYKEQKEYQRWLQNVKNFVDL
SAPIO_CDS5291	MATAVAATRAPDKIEENSSDGSKLRTFITILKKFIGVADLANVR FSLPSQLLEPTPNLEYWNYLDAPNAFVAIGTSDEPLDRILEVLRFWFTKDLKYVKGRP CKPYNSCLGEFFRCNWETENNAPLICTNTLKGTASGASSVKSSKSGSGSGSPKNVSTV SLSVPQHPLEPRPEHLVRVSYLTEQTSHHPPVSAFHISCPERGLTARGFDQISAKFTG TTIKVSPGEHNMGIFITLDKRDGETYQLTHPAAHLGGFFRGSLNVSVSEVAYITCPQT KLKAILSYVEEGWLGRTTNKVHGVIFHYDPENDDKTRIQDVPDKDVLARLGGPWREKV VLTLGPKPLASHPVEDQYTIIDMAPLSVAPKVLPPREKQLPNESLTLWAGVTNAIVSK QYSKATTVKIELEEEQREKARQRERDNVEWQPVFFTHVTGNGGRPDLTDKGKEVLKRA QEGRWELDDVL
SAPIO_CDS5292	MIRQRSSQKPGDDLLADFRQQFPEVAAAGTIPSTGGPVRAAGTS AAGDNPHIHDGNPGLPHDTFRDQDPTPRATNEPWRFTPSLLDPNSYAFAGFSSATGGY YTPTPNGSNTVYHPQSGDLQTPTLGLGIGLNTPLPLSTSETAMNNPGAMIDMNHFQAM QMGSFNGGFVSTAPPPPQGSFAPTTFVQHPDASFDSMDHDGLPINADERVGVMTGGFR GMPPVVDIDGRRQYNALNMAAPLPPGAEKFRFHATLNAPTAMIKHADEIPITYLNKGQ AYSLSVIDTSATLPIEPGTRYRTFVRVSFEDEQQRRRPAACWSLWKEGRGTNEAHQRG GKLQAVEFIEARDTREGDDKRTKMELESSSFDGFSVIWTPGANGAAEVNIAVRFNFLS TDFSHSKGVKGIPIRLCAKTSPYPIDTSKPPPSDGSVEVCFCKAKLFRDHGAERKLSN DIAHVKKSIDKLKQQIAQVESGMKDFGKRKRTSTNNNKLDPQRPGKVQKHKRTWSMSS GSSANGSSKAPAEDDWHAKLQALQDMFTSTRPVSILFLRGEELDDPDLHPVALPGDPD FSRFEDRHSAAWQARSGRSSVASSSLVSPSTRSPSLASQVSGIGGRWSVDGSDGVRGV PDKPFLIKRPDHAGGNLGGWIEAVDVDSSYRPPPERDVKPVACFYILRRGQGAAQSKR KLYKAIYLMKRTESEFRTQIGAKWDLEPSRIQRMIRVLPSGVEVEIDDDVIREVDEGQ DMIMEMTEVDNGAPLKTEWEMMVDTGDDDTGTSQHQIRQGYELRFLF
SAPIO_CDS5293	MEKGRASDVQETPVSLRPSPADATPGSAPHPHTSSSFSHSLASS PSEKEKEKKIDDDSRPASIASVKQEEAQSLDTYEDLERAIAADDGDSDDDDDEEEDGE AIQNVLTHIRTATSICSSASRPPDYEVTFEPGDPEDPRNWSVWYRSWTIGVLSYSNWV IVLYSTSYTAGIPGLIKDFNVSTPVATLGLTTYLLGLAAGSLVVAPLSELYGRQKVYL CSMVASLLLIIPCALAKTLTEMIVVRFFGPGSIVDISSEKHRALAMSLWSIAPLNGPV TGPLVGGFIFQYLGWRWSNWIVLMLQGFSMLLLLTLRETYAPTILQRKTARRRKETDD ERWWCKYDERVSKWQLLKTNMARPFTLSATEPILWFFNVWISLIYSILYLCFLAYPII FSQHRGWTPGMTGLSFSGIGIGTLIVIFCEPLFRRIINAQPRDPETGAILPEASGSIM TLGAILTPLGQLGFSWTGLPTTIHWAAPIAFGIPFGAGNTLSFIYGSNYLASSYGIYA ASALAGNAVFRSILGGVLPLAGPSMYAKLTPQWAGTFLGLLEVAMIPIPWVFWRYGAR IRAKSRVLVQMREEQEAAERKRERYEAWRERRDRREAMRAAQVAQVV
SAPIO_CDS5294	MSAKSAIRFLAGTKKNPVGSLQLNCHVKPGASRVREGILSVSDE VIELCVSAQAREGEANKAVVKLFSEVLKKPKSEIQISHGMKSRDKTVVVSGVKEAEAD AVRNVKEMLNKAVEEGT
SAPIO_CDS5295	MAKHTKKVGIVGKYGTRYGASLRKVVKRLEISQHARYTCTFCGR NTVRRQAFGIWHCGGCRKTISGGAYILATPAATTARSTLRRLREISDV
SAPIO_CDS5296	MFANPSALCFLAVDQIKTIHVYDFDNTLFKTPLPNPSLWNGPTI GLLSSPDALTNGGWWHDSRILAATGDGIEKEEARGYQGWWNEKIINLVRLSGKQKDAL CVLLTGRSEHGYAELIKRICKSKKLEFDLMGLKPRVGPQDERFNNTMQFKQAFLEAIM ETYKFAEEIRIYEDRHKHVKLFKDFMMDYNMRQNGAAIGAAFSRAPISWDVIHVFEKT IHLKPVVEVAKVQQMINEHNLAIAKALDPPNPNSNSGPDPPPRDKLAIKKTVFFTSYT IKYADSQKLIKLAQIPPGVAEQDLKYHGNNILICPRPCPASILEKVGGMGSKMKWMVT GTACHDNSIWAACVRPVPDTAKFHTDNPCPLVVLALRKGARPIDAGKIQSWQPVPADK AYVFETTVREKVLLRIEPDDPREDEYESLFANKSSKRKHNADDEYPRGPKESYHSRND QRGGFRGRGGYRGGPSGSRGYRGGGRGASRGGRGRGGGYNSYRSLDDVDTRNQGHGVE YDDNHPPNQGFGGHGRQPPTQPAAHGRPGGNTDLGNYY
SAPIO_CDS5297	MSNQKGKQSAGAGKGKKSSKPSAESKSEEVLQAVVLTDSFQDRF KPLSIETPRCLLPLANVPLIEYTLEFLSMNGVQEVYLYCGPHTEEVEAYISKSRWAQG SKTTPFTHLQFVRVSDANSVGDILRDLDKRSLISGDFILVHGDLVSNIPIQGILDAHR KRREASADNIMTVVLKNAGSSSHRTKTPGITPVYVVDTETKRCLQYDEIHPLQSDHYT ILDPTVLDELATDFEIRADLIDPQIDICTPAALALWSDSFDYEMPRRDFLNGVLKDWE LNGKSIYAEIVEEGYAARASSLPMYESVSRDILGRWSFPFVPDCNIFPGQAYELTRGG NYLERDVSLANDAHISGSVIARKSSVGDGSTILNTIIGRNCKIGKNVTITDSFIWDGT TVGDNTTITRSIIAGAGATVGNKCTIEEGCVLSFGVITGDNITVAKDALISKVDAGGL QVQPDVKLLGASAQGAPFVDPEEDELAEEDPSSLQRRLIYSIEHLSFSSSSISTLASD DEDSDHGSSRPDTPSGFTSTRSRLSSFASDDSTSRSSFHTDAVNGLLDALRDPTGDFD SAKMEFLGLRLANDASEAAVRKAVAVTLARRTAELLDSGLEPTKAAESALRARKGVSK FVEEVGVGESEADQVEFALALQRAVSGLRSLESARAGLLLAALFQQLYALDILEEDGI LTWWEDERAVKGSSMEAVKERCKALVEWLENAEEEDDSDDEDDDDE
SAPIO_CDS5298	MSSNQEDTNRQLVQQCAAFLQRPELDPQTRDALRAFVTSPLATC VPPSVNYVDNIEERARIGHAIQECDRNPPTGRANPILWSLIMVAPLDRLREMLDETCT NQSSVLSSKAIFYGLGNILTSLLKIFLAKDQVRPDPLPYQERGLPPTAVCRWRDSHRC VLTGAANPTVCHIFPYGSEQRRKSVDQIFGLLINFLWGSERCARLQALLTANDRVLDS PQNMICLEPLLHRWWRMAYIALEPYEKLPDGVRVRLRWLHRTPFDVEQRLDLDTDPRQ HLSSPSVAGLLKMVDFRSGHPLLDGTIVDLVSSDPNQCVSFEILELQWDLLRLAALSG AAEAAQGASWDPDDDLSFGIQEGRQVASSQRIFVD
SAPIO_CDS5299	MSTDKPSPAQASGNTSSDFVSIDFSLFSLAAAALAVRKLYRMLE EPEYEDIVRWGRDGDSFVVLESDALMMGAKVTAQTIDAKDSLDMIRRKAPSTKKTQQQ QRQPTQTPTGDQSDNQRQIQSLTETLYALQKQVEELAQTNKQLVSEIVNLQKSTGTQR HAQYEILHYLENSARRKSQKKSGHSPAAPMANRGQGDEQQPVELRRAREFLLTLGPPP PNADGGMERSHTIYPSPAESSTSSAMFVAAPDMNASIAVMNDAVGMQRMGMYPSGQTS ALDAFHADPIQAMPYPVAQNTALEAVASGAVSDTSRVDSSPPAEKFDEWGPRKPHVFL VEDDKICSKIGTKFLRSLGCTVELAKDGLDAVKLFDSKPVPNFDLIFMDILMPRLDGV SATSRIREWLPQCPIVAMTSNIRQEDVSTYFQYGMTDVLAKPFMRDGMQRMLRKHLRH LLAQPSPPTSAVDNPYGNVQTGASLTATEMSGPDAVALATGHMTMKFDNTPMQSPSTA QGPSWHSPSPMANHSPTNSPLTMGGLAVNAGHQMVMAATQAGGQYAGFMTSAMGPPGQ GGRDDSRPEKRQRISGSSGLMR
SAPIO_CDS5300	MSTEIAEEAGPNGHSADNAQAEDDVQMNDVPAEDPEKDEPDVKL EDLFDDSDSDELVRTPPSSGEEAQSKPSLNVMGTASDPDLLRIFYQRLFPWRYLFQWL NHSPYPTPDFIHREFAFTLQNEAYLRYQSYPTSDLLRKDVVNIIPSRFEIGPAYSFNP RDRKSNPTAFKPVSKELCFDIDLTDYDDVRTCCDKANICQKCWTFITMAIKVVDVALR KDFGFKHIMWVYSGRRGAHAWISDRAARNMTDQQRKAVAGYLEVVRGSSQNGKRVNLP RPLHPHLKRSFDILVGHFADDILEVQDPWGTEEQAEKLLQLLPDKTLNDALRSKWEKS PGRSSVAKWGDIDTVARSGASKRLDGQALLKAKQDIVFEYAYPRLDIEVSKKLNHLLK SPFVVHPGTGRVCVPINVKALEDFNPLTVPTIQELLKEIDEWKEEEGAASQDSGKGTP DWEKTSLRPYIEYFRSFVGHLLRDEMGQKVKRERDEGGKMEF
SAPIO_CDS5303	MASHSATQEHYEPKDAVKEGVRCGAIGAGSGLFLSAIQNSLSRR NLGMMTVFTRTGHLIGFTSIAIGALGFTITAAANLREKEDHWNAALGGAVGGAIAGLS GKRMPLVVGCAAGLATVLGVFEYTGGRFDGYFNRSEEDEFERKTRLRENRRRPIEETI RDVGEGRGICPPGYEERRRERIKEKYGFEVNPVKATVD
SAPIO_CDS5304	MSRVCMVQQQHDARYGGRALVKTATTAMASKSAAKRHGAKGFHP DDVVETRSGFDTPAGFFPRPYGSVGPDEPKYNPVLVRGVYACTAKECGLQVVVEVSAP RLDAKWIDLLTNQARIKKRLEKLKAADPERFDVGTDEWHKFGLRQLVTYIKHLLDAAD FGKEPRSLSKRNKRFDSVMGPEFYELFRFLEYEEFSTGEGDEEEHFFLQNPPDACKDF TEPGSRRAFFEDVALESRIHGEREIFRSPSAPIDIEELARSLATREFHSALDCFSYPS QSTNGGLTYHFRFLGIMPDFSRILVENACSRLSTFLPELKATFEDSLYSIARDTSGPL GSSSEFLRRAKLIVGQDADDMFNETWGLQPGGIRRTDDEIIAAVRRKLHDSPDSVDIV FDMLPVYAKSRGSMRLRRLVDWLPSQLPMPVDLAFDVLGARSDESTKQLILNAETRVK VAKASIVANALRSIARHRECPALSRQAEITEEGPITNPVGLENIGNTCYLNSIIQYLY TVLPIRKLVDEYDENRLEPEDMDKRRIGGSKARVHPVDLVIGQYFTGEALQTLFTQMT TVFRGPCAPSQGLANAVLLSSEQLLRLSKSDAIPQVHAGKLPVPSINVRSTPPPLPAR PTPPVPLKQPENDTNAGGPSLIDLTEPSRSSSPTLMGDAASDRSFGQTNAEADAMEIS GSASDSDVVIVPATSKDTATQVKDDVEMTDGETIVADEDGEKGSSGVTAADEDTPTSN TEGLLRTLKIKHELTMIQQDVDEIMGRVLGLLQASIQPTSIEGDIQIEVVMQTFFFKV IMHTVNLQNGDPTSRQDTRTAEFFRNLTAYPAPDGPCTLYQALDNNFDREEIEGTTTS RYSSIESLPPILHVLVQRTKGDGTKNPNPVVIDEYLQLDRYMDAPAGSDLMYLRETSW ALKKQKLGLIELEDQLSMIANIPQPISRDGAFGADTAMWVEDQRSAIESADSAFQQYA EATLAVDFSEDSADQRGMELLSFLGSGDVNVKQTQPQAETQTETGTGAGTPTPQSSDP RDYLGETRDKLQQELSLVHAKQEGLFEGMNNYNYSLHAVVCHSGSGRGGHYWVWIRDF EAKLWRKYNDTVVSEEKDTRKLLDTLNSSGDPYFLCYVRDVDKELYVSVPKRKPDGFD 
SAPIO_CDS5305	MASKLSKGLMALIFMLFFLFVQPGHASNDLDLNDANYPWATDCL GSPTTTMVVWTVFEHVTLSDGVDTRLPKATEGQLRVETPRITTGTCFAYWQSVDGQTP QPTPTPTDKPMITRYLTTTTTETDTVTEVVGGEMTTSTDKPMITHYLTRTVTETECAT DYVTEEATVTPGPPYSEVVWVPTMFTSTRFHTLPYNSGASTVVVEVIIIYSSGMYALT VITPGFPTASEPLITPSSSNPLAEPSTSTVTRTSTSTVWNTPQEEPSGAFVPGTSTVT RTSTSTLWYTPQEEPSSVFVPGTSTATFTSTVWITPEEGPSGVIVPGTSTVTYTSTST LWYTPQEEHSGVIVPGTSTSTATLTSTIWITPQEEPSGVIVPGASTSTATLTSTVWIT PQEEPSSAIVPGTSTVTLTSTLWYTSQEEPSGGAIVPGTSTVTFTSTSTVWYTPQEEP SGVIVPGTSTSTATLTSTLWITPQEEPSSVNVPGTSTVTFTSTIWYTSQEELSGGVIV PSTSTSTATLTSTVWTTPQEEPYSGGIVPGTLTVTLTSTARATSQDESYGGGIVTVPG TSTILPITASSVSSASQDESDGGFVPGGPAQTTSSTLAVPTPVGSGAISVSSLAPSNI LSVPTLMSSSLAAVSSIDTLVSTPITPTISSIPTPGSSVVSSVSTAASSDVVPVPVPV PPIESSGPVSISPVVSPTPTSLVESSAPSVSIPVSPVISSVPTTGVPAVSPVRTTVGP IISSDTTRVSPTTLTAGGPISISSVPAPVPASSSPAVSSGETSLSLIIPTAESSVPPI LSTAEGSVSPIISTAESSVGPIVSQSTTPVPVPVSSNNPSTQPDVTSIMPTNRDQTAG QSSVAASSPGTPAGSPSSPVATPSTPGATPTSGSPVSTQNTQRTSSARASSAPMASSH PVENSAAPSTNGPIQASSNAEVTTSTQSPNPANSKPIDQSQGASQTSSPAISKPAGQS NGASQTPSPAISKPISQTPTKTTTQGQAASTTAKDQPPQSQTPQEDHDDDDDDDDDVE WIKKQKHHNNRGLPFKNPCNTWAYKFLRPKALKWLCDPSLPIPIIFPFPPFPRPPAPP TPPPGNGPPPPPPPPPPPPPPPPSPSPPIPEPTVNPTASAGPTGTDEEPPACTEPFVF DGSCVQECTSFSYSHTNGPTSWTECGDENCSATTACESFLPPTETIWKTRSCTKTYTE TAFCEQLCTKVIKPETMISGYTTRTDCPETATCTPTLVCDTLTTTTTTSYTTRTEEPT PGCMGRQDAIDSHAEFLLAFAALDAMGDPTGQTPLDKGNGTVSGGNGNGTATGDTGSP ESSGDANSDGGMGSEPPSPDDGQPEDGQSGGSAKEKPKPKNYLAYKETLTSPKTWPNG AGDWWEMVQEILFLNSAGSPLLVAALYWKDKYAPSHALFSPFAEVPVATGVNNLLGCV SVIIATDNGVYHAYFWEKPTFANPEIIQDPETPSSYDANKAYEDRVTKFLKEGNWYGA MIDDQGRMERNETSKASPSLVDLASGNGPLAKGMYTWMDFRIIMPAPSADPTTLVYPN TMKRLQGDIAQILGVKTSSDQIMSYPNVIPEDWKALSQTAEWGKDKEQYWVDNAGKKG VFIQYAPSVSVDAAKGSRPYRHMRIWFDKNVIFEKKWCRVPPRKQLQLPEAPWKPVDT PIPPDDENVPTTMQLLFRRDDDPEPMVCAAEPPTKICTVDVGQTIVPIMNPEIKGTMD VTDEEGRRAHIQDYSGIHFGQFITSPPEDNKLGFNVTVLFTGDWDKYVFDSGYACQCD GQNCDLFSPQCCLKGGCEPCDCTAAGMCSAESPSCCRVGNCKMMDERGPKDYPFKQWD VNVIIEGQKGVDTTEAFPANDVNCTSSEWNYLGDASSKWCTPYRQFTCTWDCNHYY
SAPIO_CDS5306	MVRPRFAVDSAVAVAVAFAWNAAADLLPRTITLTPVPVPGPDYD GPDPTGGFAQPSRDWIPPELSHLIPTPGVNFTGTVPTSIPVPTLPPGGDEEEPPPPEE EPGEPIEGEEPEEPEVPAEPEEPEEPEEPEEPEEPEEPEEPEEPLGCHSNGIDYVNGG TYNIDMTAENFFGFKSEFIGCENATVHPYMIDPEGYEYPCSIMQTQPDKEVQYSECDV LYSEMWSGNWSIVIEAYDIDFSVQRDFELVVGILPKVTTTAIDTMIVGITTVPDPLTV TNWYNQTSTIIFWPDAVLATCEGNTQTITSWLEGETTTLSYRSTRTLYDDPFYAYWTT TAGVWAYCHWPWWQWPSYPQPPTSPAPGQPPPPTQQPTSPPPTSQVCRGTTCITEQPP RTSDRTTGPGGSTSLPGTSYTVCRGTTCLEEDFPTAPPGQNVPTPPKTPDKPREPTTQ PTEPGEPEPTQPGEEPEPGEEPEPTSHTYITRSRTRTRQPSQTWDPEPPPPPTPTFPT STPPPVNPEPTPPGSSYSVCRGITCLDGIDLPTFPPVEEPPRQTGGPGGGPPDRPEPE PEPEPEPEPEPEPEPEPEPEPEPEQPGQPGEGPGGEPEEPGQEMALDPGSQTDPMNQA RGLQKEKSHSQSLNLSNRERNHNQNLSQRSRIGQEKDREGRMSPSRNQNPSLSNLGKN QEDQMSLDQVPSLDQVPSLNQSPSPSQNNLGLSQRTQDHERFAPAPGQGRRPQASPLV GLVAEMSRKNLNLDPSLSHLSRRPSLGRSLNLCLSQLPSQGLVLTRGRMNLANRTNQV PNPIGLIGRISLVNQTGQISRGSRGSLTSLTDQINQVHQTNPALNPIDLSSLSNLTNQ INPASQTNLDRTDQSSLSSLSSQDNPTNQVSPIPSPLSQTSPPIPALAHSGLAYVLVH RALTRVNSLFPQRRLGVRFRVSLLLSLSDRSSQTGRVNLINLTNRGSLNSRIAQISQG NQINLVSPSNQSSQSSPTDLTNHNSPIGPTSLSNRTAQTNQDSSQDNQDNPNNPTSQD SLSNRSNLSSLVSLSSQIGQTNQGSSQDNLNNPTNPDSLSNLASLTYRIDQIDQTNRS NLSSLVSRNSQDSQDSLSNLTNLTGLTNLNSPTGLVSQTMASRTLEILSPVNLSSQIV LISLDSNLGNLNNLSNRDSQDSLSNLTNLTGLTNLNSLTSQDNPSNQIGQTNLISPID QNNRTNPNLANPVLDSRIRVNLILGTPPQDSRSNRINQVSPISRMNLSNLASRTNLVS QVMASPTPEIPSLVNPSNRTSRSNPAMAGKILETLSPVNLSSPVNPNNLTSRANLASQ IMVSRTLEILSPANLSSQTRPSNLVMAGRIQETPSPDSPSNLASLNSLTTDSRTLEIL SLVSLNNQANHSSLINLSSRIMDSRIPGIPSLANRNSRSRSLNLNLNRNLNHSHLSSP TNLNLNLNLNLNLSLSLSLSLNLNLNLNPLSNQSSLNNHNSLVKAAIKEGTKETQDSA EEIKEVTREETKEGIRVVTRAATRAATKEEIKETQDSEEGIKVEIKVATKEVTKMVIK VETKEGIRAATREATKEEIKETQESEEGIKVATKEVTKAVTKEEIRVGIREVIKAETR EETKEGTLGLAEETRGATKAVIREETRAVIKAVTKEVTREETPGSVEVIRAVTKVVTK AGIRVGIREVIKAETKEEIPDLVEEIKAGIKVVTKEEIRVATRAVIKEVTRAVIKEVT REETKEGTLDLVEETRAATKVATKEEIRVGTKVATKEVTKEVTREAIKVETKEGIKEE IGAMAAMITEKPKLPLRRRQAVEDPEDDDFVDHFSDDDESDGGDEYSEYPDDVVVFPT VTETVWESNVTQTYMVTIALAQKTVYEDVNQVITSTLIPPAQTLCANEVDETTTEYFQ GREQTEVHVDYVTVTTEQTIWIGQTTIQTYSDYHAMTQCWQGGGWYGFP
SAPIO_CDS5307	MRPAKRLLCLLFAATAALGVTAQEITEDDFTQPEIEQGDALAQL AALAADSSQDTVMRLAKRGASGSCTPNNIRIRKEWRTLTANQRKQYISAVKCLQTKPS LFDPTQVPAARSLFDDFVGVHLFQTVNIHLTATFLTWHRYFVYAYETKLRDECGYTGP LPYWEWGLDVNNPAASPVFDGSATSMSGNGAFFQHEGIQMVQPINGNILMLPPGTGGG CVTTGPFKDMTVNFGTIILPVYGQPILNGSANPIGPDNQRCLKRDLNAGIAKRFTSFL NTTSLILKYKNVEQFQAHLQGDDRYVLNELGVHGGGHYTIGGDPGGDPFISPGDPAFY LHHAQVDRVFWIWQMLDFANRQGVHGTSTLQNNPPSPDVTVEDLIDLSPLAPPVKIKD LMNTVGGSPFCYIYL
SAPIO_CDS5309	MSFAPVNPRPMLQGLINKPIFVRLKWGETEYKGTLVSVDSYMNL QLDGAEEYISDKSTGTLGSVLIRCNNVLWVRAADEKDKDASMTG
SAPIO_CDS5310	MYSSLATLAWAVFSASQAMASCAHGTHLHRRAEGAVEVSNFGYI GLTGPTNWVAIDSANILCATGTRQSPIDMTEGQFQLVPASAIGLQIPDFTEGTEFENL GTTVEVVAKGGTMAVNGVQFNLQQFHFHLPSEHLDNGTSRAMEMHMVWESAQGQIAVV GVYIDVENANGGAVAAPPAANETAAAEKRSAKMDPRYKREAEPKVAKRATTTLLETVF SSVGAITQPGTKTETEPLVMSEIVDIINAGDLQNYSGSLTTPPCSEGVTWFVSTQSLQ ISAENFARVRDVIGFNARFPQNSLGQPNLLAVNGAMMSSPQVQLAALRQSLGGRVGFQ 
SAPIO_CDS5311	MAKFFKLSFLAALLAWAPTQTMAQGIRGTATFTGRDHTGGTCSL ANYTLPAGISGTGIGPSNWAQAGKCGSCIQVNGPRGSTKVMIVDSCPSCLENRLNLFE DAFKQIADPVDGIVDVTFDVVSCGLSSPLIVRSKIGTSRWFFSLQVLNCNYPITELEV STDGAQTWEPTVRQEYNYFERDDKTGFGKDEVIVRVSCANGNQVIIPNVTMDEREILA PVNC
SAPIO_CDS5312	MGFIQNINHKVAASPVGRWFRLEGSGHPKERKGSFFFTELRAGL ACFFAMAYIIAVNASIVADSGGPCVCNGGADDPICAKNEEYLMCTQAVKRDAVTATAA ISSLATFCMGLFANLPVGLAPGMGLNAYFTYTVVGFHGSGPVPFQVALTAIFIEGFIF FGLALLGMRQWLARAIPRPIKLATSAGIGLFLTLIGLTYSEGIALIVGASATPVELAG CSPGNRLEDGTCPSWDKMRYPAMWVGIFCGGIFTTVLMIYRVKGAVIAGIILVSIISW PRTTPVTYFPYTAVGNDAFDFFKQVVDFHKISKILNVQEWNISGYGGQFGLALITFLY VDILDCTGTLYGMARFANLIDPVTQDFEGSTLAYMVDALSISIGSVLGVPPVTAFVES GAGISEGGKTGLTAMMTGICFFISIFFAPIFASIPPWATGCVLILVGSMMVGVVTEIN WKYLGDGVPAFVTIALMPFTYSIADGLIGGICTYIVLNTTVWIIKVISGGRIVPLNYD ERDGWTYRIPGGFFPPWTRRLFSGKKDFWREDTPPLTSVAVQSDAVTSDRDAGSDNEK NGAAANQAPTKEA
SAPIO_CDS5313	MNYIGPVEFSYPNDTSRAELEGDGFHVSGDHAYCRCPAAVDCVL LHPSCYGVFLRSSAIDKFEATKRLWVIATWKHPWKLSPPLYLPRKNLDRDALQVVAAR CGISQLAALPSEIVQIIRANSEPYDCDQCSFWNVVAAVSLANQVSVKEDSEPLQTVRL SRILSWERGGKLQRIGIESRSQYKAVRVTIDGDGISRVEALPEVPLYSGECYDTLSFA VLSIEAAESVVAHAMHGRLRLQFADPSNHPTVWNTPAPPDLLQCKPFAPWSLPSPVAF AIELNKIAGMSFFFDHDRVVDVHPHYNSHSGAAPAFHRACNLFSHANPVWTYLPIAKR DKVLFMGFRNFEFINDRAFQILVRTQLVGDIVLGVFENPSLNLTTSHIGTIMPETLVC CRSRDWPRWNFGTYHNAPERNEASNLFPDAEDLTGELARPFLRHCPEDVILRNWAHFY SEAPLKNVSSAVVFYHRHSGFCRGIILHYDNGGSRGLGECRVDLDPVKTFTRPLRLWI LEDTVITRGIHAYRRVEVEFTRDAEDSQKGKIWRSYPMEGVLRFWYSTGESACRVHVE RS
SAPIO_CDS5314	MDEDQGPSQIIPPTEKRRSLGRFLAGARHLFFTKDGLIGTYDYA FLFRPNLPFMKKPEQGSPFFGLNDRMPLLLALLLGFQHALAMLAGVITPPIILGGAGG VNFPPELQQYLVSTALIVSGTLSMIQITRFHIYKTPYYLGTGLISVVGISFTIIPVAQ GAFDQMYKNGFCPTDDNGLRLSCPKGYGALLGTAACCALLEILIAFIPPKILLKVVPP IVTGPTIMLIGIHLVESGFKNWGGGSGPCFQPTSDFFASCPNINAPHALPWGSAEYLG LGFSVFVTIILCERFGSPIMKSCSVVIGLLVGCIIAAATGYFDRSGIDSAPVASFIWV HTFKLTVYGPLVLPILSVFIIVACEAIGDITASCDVSRVEVSGPIYETRVQGGVLADG LNGLLAALMTITPMSTFAQNNGVIALTRCANRTAGYCCCFFLIVMGIFAKFAAALVAI PSSVLGGMTTFLFCSVAVSGMAVIARSVPFTRRNRFILTAGLTIGFGATLVPTYFDTV FTYHGPNTSLRGFMDAIEIVMESGFVVTAFICMILNLTLPEEPEDAMMMNSLPAQSDD DSMLHVRFKFKMSQHASVHWFLDRP
SAPIO_CDS5317	MAPTVKYTYPSPLAGFENAPPLSEEKNEDGKSLVNPAREGRSIA YERFIDPLDQGRRGGFDIHIYYFQNDKEQAKYAFELWERIRREFPELRIYRFWDKPVG PHPVAMFEVNVFTPAQFGAFVSWLAVWRGPLSALIHPNMLPGEGESENEAALRDHSER AIWMGERFPLNYGLFQTAIENERKSQQ
SAPIO_CDS5318	MSADIEQAEAPHQTFDTVLVVDFGSQYTHLITRRLRELNIYSEM LPCTMKAKDLKFRPKGIILSGGPASVYEEDAPHADPELFELGVPLLGICQGLQQIAWR LSPHNVIAGEKREFGKASIVPQRHNTPVDRLFDGVEGDVWMSHGDKLSELPAGFCTIA ATSNSPYAGIAHEKDPVFGIQFHPEVTHTPCGKRLLRNFAVDICGCKANWTMSNFIDQ EITRIRNLVGERGQVLGAVSGGVDSTVAAKLMKEAIGDRFHAVLVDHGLMRLNECAEV KETIGKHLGIDLTVVDASERFMAGLAGVTDPEKKRKFIGNTFIDVFEEAAIKIEKDAQ NTPNAGKVEWFLQGTLYPDVIESISYRGPSVTIKTHHNVGGLPKRMMEGQGLKLIEPL RELFKDEVRELGRQLGINEQLVMRHPFPGPGIAIRILGDITPDRVRIARHADHIFISM IREAGLYNKISQAYAGLDTNKVVGVMGDNRVEGYIIILRAVVTIDFMTAEAFKFDMDL LMQISTRIVNEVNGVSCVVYNTTSKPPATIELQ
SAPIO_CDS5319	MANQEAAASSPPGAEDANTRIEVDAAFNDDDSAYAASLVSDTTS LRTSFREYKWEHGRRYTAAEDTKYWGPNDEKQQAAEDLLHEMHKFILEGKLHQAPLSD PKTALDVGCGIGSWAIEFADENPACTVTGIDLSPIQPTFVPPNLRFEVDDINKPWTYP EGHFDFVHIRNMLGTVPNWTEFHKTAFKHIKPGGWVEQVELSSVMRSEDGSIPEGSAI QRWTGLFDDIGDKLGITFRAAESAYPAITEAGFINVTQRIIKVPLGPWPKDKRLKSWG QWCRYFSLEGMEGFALRSFVDVLGAHCLRSET
SAPIO_CDS5320	MDPVSALGVAAASVQLSGVAAIGALRGIGLLKSFKETPARLTEL LLDVEKSVPSTLHLRQELLNPASNLRQCLSSVQHQALYEALRDAYDATLVLQTTMEPF LADPTVTAGRGKRIWRSIVSIKREKEIEEKLERVQRLNGRVMRELQISSLHLQAGLVG LSQTVSEAIRSLQSTLVLQTTGLETSGDDSLELQTAVSNTDQPDIESPATDGKHETCT AGPSEVDPVCDTERSLPLNMASTTHTDTAWQASSDLTSYDMVALRDDILPVITGRKSS LADETTNLAVRLSDADKRDLALLIRNELIRSPSLIHEAYDEIGPWHKSPTVPARIARC SCRTEVKQKSARRGPVSFRYHAKKRHDSNCSARQPGPWSWKHQFSFQLLPFLNKTVEV VFGATIHGGGFHMEPPLKVYSTVRRSESPIFQLFDGLPERCAIGKKPLRRCGYMWTDK STGSLFCGYEWDVSRVKFELRKLHEKLVEMQSKRLGSISDKDEHGQTVLHEMIILIGL FASVYTHIIEEIDALLLAAQQCAVDTSSAAEYGHRRYHYDIMLGFGEVHTTPKDRDWS VSTAAQDCLRLFSEELKDYSFFGRLSTFCAIEPEMDVNLAKGGEYAELVEDVVKKGLD PNVRSSIVAALRRDSWLLKYFFEGALSNIILNRNLGHLQRALELRSWSVEAFGSLPIN ALDLAIGWADGLKVLATVWTGSIAAAIELAAQMREYGSLEILCNEFNVPLFGDESELC QFTRTMLAYYRSYFILAGALRQRREALANIAHRYLSQDDLSSLGIEDERTLDAKARPV YDLLKERSVPLEPRLFPGPPGSMYGSIARTYRDYSDKLYLTVMPSLYQLYESNFLDID NRDALRQMRTPLDEFLLSRSENDDDWVIVCMWLLKRGASPTFGKDEPYDNLLVRVAKS IACLSEDLFRDRSGRPAMKNLVQYASGLCSPTQADECLCFCSANGCLALHHLLRQDMF TLNGWCHGHREDRTLFWAEQCHFEPDDAEYAACLEAFVRLELFNRLGMAHTCCSWGLS TRNEAENERIREEDAELNAQLNLLMEVYRHTRSRWPEPEADSEYVSRVECGCVHEGAD SWLFYVRGTLMAHNTWWWYKVRQILPDLWSHKRPWQPPGDEMEIFVQGTLLKRQGYDG LDFSEVIRRHFRDDLPVSPAQAETEGSASTSITHDRDSAVSQGGVGAESDGAGTAASE SNKEFVPRERPELLQQVLEFFDYEYGTPEDFSYWDTDVEEAFAALDESGRLDELSTTD HTGLENRVIIEEVSD
SAPIO_CDS5321	MSLAPSPSNGPSKRWQCWECQRRRLVCDSQRPVCNKCKTAGITC PGYDDKKPLTWLAPGKVLARNRRKKNAAGNPSSSGQEVIIKGVTQVKSLTLIRMTAPN LPSTAAVARAYPVPTKILRTDLCDVVEAASFYSSYVIPRTLDALLVQDLPFLVTEIEM KNLPPLTLHGIVCISLGFRIHSLARGVNPDTVQHLWSRLYHHRGAAIRILNQDISGED TRASNATISGLVVFLVQELQQSIDPNWKYHFEGAMELTKLRGGMLEICAETPCLKPVL TLLMMIGVFGNTTSPAEGQIAPEPHFQMIDEVAQIFETGGLTPIVCPKHIFFSIIRIN NLRSQAAKGVLPRNSSRSTAEALLRDIESFSPSQWAETKDSYVEEWTLLGTIFQTSAA LYCICSLQSVAVLPATIGMETIKASYRARHLQLLEEGMNNRRVTQTLLWPLVVAGVVA VGSGASLKTRAFVEHSLVALIWGYEVG
SAPIO_CDS5322	MESPVVESVEHPYHPPNAELPNYLANSLSVPALLTLFALGAGAI LSTTYLIVTKARPRITRPDALVAMWFGLCGFIHLFFEGYFAYNNATMPSRTDLFGQLW KEYALSDVRYLTRDPFLVCMESVTALCWGPLSYLTVAFIAMDHPARHVLQLIISLGQM YGDILYYSTVWFEVVHKGAIFCRPERYYFWAYFFLMNAFWIVIPFGIVVQSAFSITNA FRTAQRYGAVSGKKNQ
SAPIO_CDS5323	MCASRNPESLTNEGEFHARVPPSKPMMKGGHAPGVHLGKDAVPE FSAETYPPGTAPRENTYLPNPYDESGRRPSHPEMEKPTRKTSETAQGGASAESHRGKG QPGQGMTSKGSQGGH
SAPIO_CDS5324	MASFRATFLFLQAILSLAYGQDTSSTGLEAYPNCALECIVTAFG GGSCAPTNQTCICTNEQLQNNITACVTESCPIIEALSTKNISATRCGLPVRNRSGKHV STSVSMFIFAAVFVGLRFGYKVFAKIEFGMNDWLVLASIVATTPAIFVNVFGTASSGL GKDIWTLPPDKITDTIKYFWVATILYFLDTALTKLSIISFYIHIFPSARVRRLLWGTF AVTSAWGFAFVVGSIVQCQPISYFWTHWDGLHKGHCASPDGIGWSHAIMNIIFDLWIL AIPLSQLRKMKLHWKKKVGIAIMFFLGTFVTVVSIVRLPSLAYFTSTTNVTMNFYDLS LWSTVEVCLGIVCACLPTIRLLLVRVFPILGRTNRQRSSEQDALICHARRE
SAPIO_CDS5325	MASKTDYQLASLAAGFTLGFGFLTVWEAIKQTRRNRNPTRSAYI YMVWGEIVANLAIGIIGWLFLDGVLGPTFVEINKYWDRISKVLILLVDAGLNWYFLRI VKVRLVSQHGLAKYKPLVGFNAKLMVLSICMDVMLIGLMSLKNQVVFVQFHPVAYMVK LNIEMSMASLITRLAAVRHNADFSSLAYSGPHRSHTAQITGNRNDDMDPEYFQGASIE LRRTDEELGKMQGGICRRFDVEVRIDSPKSVPSNEARSYDEPSSRSFGKVDDEVTLTH 
SAPIO_CDS5326	MRTPPQNAQLRPAKALMKAVGLFWIFALTLVLASLATKLGAYYA SRAEGIHQADYAVELISTFVFEFAVATFVSVLVELAMCIKRSTRYQTQSQDQLLRYMA WGTSIVLAGLALAHFIPGIDCVASADWRSNDNVKMEDAEDLREILGLVFAVLAWISIV VAYPVVFLVNKSTDEPYRDVS
SAPIO_CDS5327	MSVKRDGNGLRGLASLSVVITHVARAFDTDLFNPAVSENGATRF LQWPLIRVLIQGRIGVAIFSMVTGYVCALKPIRLSRQGNWEAALKSLSKSAIRRVPRF VLPTTVATLLIWLVAQFNAFNVAKHSGSDWTVRTSPPSLPTLWQSLNDLWINIIKTWT ENYNSYDPNQWTLMPLLKGSMMLAAMTMWWYYYLDNDAPFGMQFFWGVFLADFQNLPF ANNILTARPILCRVISVLCMAAGFAIASLPEYNTEWTAWSNNLRILLDSVLYPGSDYA RFSTTLGLHLISLGIHFSLSLQSLLSNKYFLWLGKQSFAVYLLHGPILRTVLCWMLFG FSVPADHVNDEGETVPTNFEPVGGLRLLICFVIWLPLNYAAAIAWTNYIDPWCAQVTE KFVAYVKEDTDGLLGVERRPKAFDPRAKAGESSSIA
SAPIO_CDS5330	MYRDIARWEREGNRASSIERVRREVAAVERQMIDMTTDHWNRVR GVDYLPPTALAVDEQWRLLNKDMVGPEKKQGEADNNPCTKPDFPKGSLPSRTRPQAGL SSPSSLSTVDTTGPPPKPRTNRELAITNFPYHGFHRDDSDFSGLESRSPATPFDLKRE EDPQKSSPQICSQPTESDGSTSDPEDEESLPESLLLGDPIVGALRELHSNALLQNVNV ITDFVMRDSHQGHRAATNYIQDDTFEAILECQQHLQHATHRRVRRRLDNLDLDSQTRE QVSIIVTEEQAIAFQEYLQRRRLDRGRSGCNSEENSASSSIAEMSQDSADARRRSTPS PDPLSRGEPAARSSSQENYCSSTGFGVGHSLEWQGPQLDINATTEAPLAGSSSPWQII GSEGQGPPDHQAPDDADPLTHTDFTDLFTEDDLADLGFFILEADIQNAAEHSNS
SAPIO_CDS5331	MKRVLASGLALLTTALAQQVGTEQSESHPKLTWQKCTAPGSCSN VNGEVVIDANWRWVHGVDGYENCYDGNTWTDLCSNADDCAKNCAVEGADYSGTYGITT SGDALTLKFVTEHEYGANVGSRVYLMASDSKYAMFTLMNNEFAFDVDLSQVECGMNSA LYFVPMKEDGGLSDESNNAAGAKYGVGYCDAQCARDLKFINGKGNIEGWEASDTDDQA GVGSMGSCCAEIDVWESNAYSYALTPHACENNNYHVCKDDTCGGTYSEDRFNGGCDAN GCDYNPYRLGNTDFYGKGKTVDTTKPFTVITRFEPGAMYQVFIQDGKTIEVPAPNIEG IPSDSNKITSEMCTAAPIAFNDRDRFNEVGGYSQLDEALAIPMVLVMSIWSDHYANML WLDSTYPPEKEGQPGAARGSCPQDSGVPAEVIEQYGSTQVIWSNIRFGPIGSTHAV
SAPIO_CDS5332	MGESVSARNGLSHDSPEATADLDEPPSGLRPFTIPHCIDAIDVA RVLDTHPKNGLTHNEALARLERDGPNKVEAAKGTSAWQIFLRQVSNSLTVVLVLVMVL SFCIDDYIEGSVVAAVILFNIVVGFHQDYTAESQMQQLLSLASPTCRVIREGQVQEVK SEVIVVGDLICISVGDIVAADARLLDGINLSAEEANLTGESVPISKHAEKVLDAEDIP LGDRINMIYATTAITRGRGTAIVTSIGMDTEVGKIAAMLRTKKTVDADTPFSQRAAIW LKSRVRTVLGFDGTPLQTKLSIFALMLFAFAILLVLIVFATAKFDVQGQTLLYGICVG VAVIPESLIAVLTLTFTVAARAMSRGNVIVRNKAALQAVGGVTNICSDKTGTLTQGRM VMRKVWLPDDTQVLVKDTTDPFDPFSGKVSWMTGSGNSSSRSTPTIEKSPELPGSSAF NAFLDVIALCNNATVSDGKNAADDSASVTTATLPTSWVAVGEPTEIALQVFATRFGRG KPELLHSRVGRLITEFPFDSSCKRMSVIYEHPSNGRRMYAKGAPEAILPLLSETEDVK ALVAAKADELASEGYRVLCVAHKSIEVSDSVDERADAERNLELLGLAGLYDPPRLETA GAVKQCKTAGVSVHMVTGDHIKTATSIAYEVGILSQDLPPAEVKSLVMQASEFDKLSD ADIDAMKSLPLVIARCSPMTKVRMIEAMRRRKAFCVMTGDGVNDSPALKQADIGIAMG LRGSDVAKEAADMVLTDDNFASIVTAIKEGRRLFDNVQKFLLHLLISNIAQVILLLIG LSFKDKSGESVFPLSPLEILWANLITSSFLAVGLGMEQAQPDLLERPPSHSRGGVFTV DLIRDKMIYGFYMGALCLAAFTSVAYGVAGPDHLGHGCNDGYNDSCKVVFRARSTTYA TLSFLLLVTAWEAKHFTRSLFAMNPELWSGPTSFFKTVWMNRTLFWAVVAGFLTTFPV IYIPVVNRLVFKHDMITWEWGIVVACLVTYLALVEVWKATKRRLGLGVPDRATSVATP QV
SAPIO_CDS5334	MAPDLKPLLLPQLVEERRRLEAQALQVLQAQQVAQPVLAESDLT HIYYTTNSSSSDVASPITPTFSTRGHTRYSSSVSSLELPFGFGDQPSSPSQAAHSVKS CIRQLPDVEEEPVERPELDLPEGLYDCLSADYDDLGFMSDTDLSGVRMRKSPRRGSAE ATLAGITSRIGSRFPSLSRWSGPKKGGSTPFSIYELSADSATRIAGAISSRSSSISSP YPFGPDYAPEAALSPSPSQSCWGSVESVVLPNLDIEKTNNSGRNLERERALATTPLLP PLITDNVPQPPPRRPQTPSRARSASVFEHPTHVPVAARTATTTPALSTKPSLSSFKAS PTSPELPIPFPNLLNDEWSDRLGHANFTILPLPYLPEIADLESLRKLREDWDLARTNY TKHLFRTGENYGQTSKIYGLTEEKWAEVEQSWKRMHDKAVEQILAATSATSQSMAKAR SRSRGRGRGRAESTPPKTVMNDIYAGMEWKRIEDTKPGAIPRMLSEDKFPSRGDEDIV GPMVRDEPMARGVSVDGRGVRLWKNIVDKVGLRR
SAPIO_CDS5335	MPPKRRHDTSDAESRAPKQPKYPYENRITEPVARHMASSSGRRR GQSGAAQQPLPSSSTATRKGHGQSKNQPADGLGMSPPGPAQNTVPHSTCCFPQPLTAH DNQSGGQAFPFPSAGQAQVRREPAVPTSAPPVQHLPPQHSGGPSGQSVVSGAATAQTQ GQPGTRLVIHRDAFATTVSKHLSVCFTIIASNPGQPSPQPSASNGRISPNSAVLEKLP GGSRAYPCYRCLLHYMANGSDSTGSLQLCVDADDARDLAQFVIGIPLRGEISPDVIKA SRTRLKNICQQMIQADQEIKASFDKYLSIWMGNSIVPQESLSTIPSTVSSAIRTLVNV LETLRAEQRAQGDRFRNLENRMEQLGSNPSRNTKQGDRVEKEG
SAPIO_CDS5337	MRSLLSLALLSLTTALLTKRQAETSLHRLFVARGKQYLGTITDQ RLLLSDVNAAIITANFGQLTNENSLKWESVEPERGVFNFDEPDFVVDFAQENNIPMRG HTLVWHSQLPGYVQNITDAGELREVITEHITSVVGRYKGKMFAWDVVNEIFNENGTMR ESVFFNVLGEEFVSLAFNTARAVDPDAKLFINDFNLDSANSNKTQAMVSSVKRWIAAG VPIDGIGSQTHLIQGLSAGVPGALEALASSGVEQIAVTELDIANAPPEEYTTVINACL NITSCVGVTVWGVSDKDSWRPGENPLLFDAEFNPKPAYDALVQDLCTDNCIL
SAPIO_CDS5339	MSSENDSPVLKHLVEANTAYGDSFTHGDLALPPSKKYLVVTCMD ARLDPAASLGISPGDAHVIRNAGGSAHDALRSVIISQQLLGTREIILIKHTDCGMLTF TSEFAHGLVEKNIGEEAETDIRGLDFLTFPDVEAEVKRDVAWLRGKKAVLDVPPASSR IEGPKYRKIANIDLTMTVSISTKVPPQAPPSFDFTPSSLLAETQSIIARTKALEDHLA TTLTPSTATFSNLLSPLEEDYLSARSRTTIIHHIGTVSADQALRDASLEADKLLAAAS AESIARRDIAALVGAVYERYKRGDEVLDPQDSHLLEETYGSYRRNGAALEEGPERERF LELKRELQDVLLAARRTLNEADDGVWFTREELEGVPERNVAKYKTREDENGTTQYWVT FRGGDYVAVMHNAVRSATRKKAYLARGNRFPENVERLRRVVKLRDTLAKILDYENHAA LKMEGRMSESVEEVVSFLDDLKDRLKPIGKAEIEALLELKRDHLKKMGVVADAASGHV SDDFAYLYAWDFSFYQRMYDHEKFALDMSKFPEYFEVWHTLKGMLSIFERLFGLAFRR AKCSAWHEDVVLYTVWDSDALGGEFLGYLYLDLFARQGKLSQAHHTSLVPGFIDTSKG KRQYQVGALILSLSRPTPDHPTLLLHGETKTMFHELGHAIHYLVGKTKYARGFSRDFV EIPSIVLENWIWEPDVLIEISRHYSLLGDENMQRHWKAEQAKAASAGKQPVGEELEPT LPRQFATDIARTRAVNGAGHMLFLIHQALFDLTIHTGKGYNDVVDIDLTRLFSELGEE ITMLAGLVDKKTGEPTTIREAGFGHIFRAYDAGYFAYAL
SAPIO_CDS5340	MASTATTLKGQPLDRALLDSTLRRRLFYTPAFEIYNGVAGLFDY GPPGCALQANIIDIWRKHFVIEEDMLEVDCTVLTPDEVLKTSGHVEKFADWMCKDPKN GEIMRADHFVEDILEARLAGDKQARGQKVEEDEDAAAENSDKKKKKKSKAKAEAVKLD DAVVQEYEEILAQIDNYHGDQLGELIQKHDLRNPTTGELPSHPVPFNLMFQTAIGPSG HLQGYMRPETAQGQFLNFAKLLEFNQNGMPFASASIGRSYRNEISPRAGLLRVREFLM AEVEHFVDPEGGKKHDRFHEIEHIELVLLDRHVQLSGKTDLKKMSIGEAVKNQVIDNE TLGYFLARIYLFMKRIGVDESKMRFRQHMANEMAHYAADCWDCELLTSAGWIECVGCA DRSAYDLTVHAKKTGAALYVRERLDEPKVIEEYEVDIDKKLFGPRFKKDAKAVQAALE DTNQQERECYGKTLAEAGKFTIKVPGVGDGKVEITKDLVKIERRKRTVNIREYTPNVV EPSFGIGRILYSLIEHCYWTRASEGGDEARSVLSFPPTVAPTKVLLVPLSTNPAFKPF VTAISKKLRQLGISSKVDASSATIGKRYSRNDELGTPLGVTIDFQTVKDGSLTLRDRD SMVQVRAEEDKILDAIKAIVDGTKTWEDVEKELPRFVGQELEVEVR
SAPIO_CDS5342	MAEGSSSSAPKSPSISSSTTMEEHEKLMMEMSELDDNGNPKRST DLEFNKREEEENLLPGGNEKPAEPAKSSFRAALTWMGINTLATVGIVFTNKAIFSDPQ FKLCQLSFACFHFTVTFLTLFMFSRPPFNKFTPRRVPFKTMLPLCITMCLNVILPNFS LAFSSVTFYQLARILLTPVVALMNFILYRATLPRKAILTLIPVCVGVGVVSYYDSLPT DNAKVKTTSPLGVLFAFSGVFASSLYTVWIGSYHRKLEMNSMQLLFNQAPWSAFMLLY VIPFVDRFPTLEHTSFTRWFMILLSGLFASLINISQFFIIAQTGAVSSTVVGHLKTCT IVALGWIVSGRSVGDKSIFGVIMAIGGITLYYIVMTKHNRAKAGQTVK
SAPIO_CDS5343	MEHPSGNGTNGANGNGHAKFFEDFGVWKDSPILKGSTKFEPLPD VKNIMITGGAGFIACWLVRHLTLTYPNAYNIVSFDKLDYCSSLNNTRALNDKHNFTFY HGDITNPLEVVNCMERYKIDTVFHFAAQSHVDLSFGNSYSFTYTNVYGTHVMLESAKK CGIKRFIHISTDEVYGEVMDDDDDLVESSILAPTNPYAASKAAAEMMVHSYQKSFKLP VIIVRSNNVYGPHQFPEKIIPKFTCLLNRGKPLVLHGDGSPTRRYLFAGDAADAFDTI LHKGELGHIYNVGSYDEVANIDVCGKLLTEMGIPHDTPEEFRKWVKYTHDRPFNDHRY AVDGTKLRNLGWEQKTSFAEGLKITVDWYKRFGEKWWGDISQVLTPFPIVSGLKVVPD NDETITDSPQVDASALKKRKVDGTSVTSTSA
SAPIO_CDS5344	MVGTEQAESLRRKSDSTDDDIETKSSAMTSLEPPQSAEKQVLYP TITRADAEGDVEIETEDASVSEVSVAPRGPSRSPDNAREFGSGASLYAPSRFPKRLDT RPKFTVIGDSIMEEESIDLAASTRSLIERYLDYNEENGRRYCGSYYMPNDDLEQTRLY LSHQVFLHMLDGEPTTVPLTDPKLILDIGTGTGDWAIQVGEKFPKCEVIGTDISAMQS TCPPYNVFFEIEDAEEPWLRQPDSVDLVHMRHLAGAFRDWRFIYEQAFECIRPGGWIE VQEFNDENNWKYIISDFPEDAPIRKLARDLFIAGIKAGRPRGVAHLDTKYLHEAGFVD VNVQDLDIPISTSTGSAGRIWLMTVVDGLEASCLRPLTKYMGWDPDETKRCCEESAHL IAKYSKDPEKAGNLTIKVRIVTARKPDVPAGWDGEDMYLQNDIYMQTDGAGASAEQLS LFTTDTRTSVPGERTPVESIAEYDEDDDETPTQDSLPDGVRNGEVNGAGRRTTITAAD AMDLDGRPKSMIH
SAPIO_CDS5345	MAFSSIDDGFVAATGAMSGLELQDNDASLPIFVVEQVQLQFSVA ADFIAAQVANNVLVIALSNGRILRIDLNRPEDIDDIDLPRKTSEIGTIRRMFLDPTAS HLLVCTSAGENYYLHSQSHQPRPLGRLKGVLIESVAWNPALPTASTREILLGASDGNI YETFIETSHEIYRRDVKHFKNLLKLPDEPIIGLWADSLGGRSDMRRVMVATPQRLFHL SGKISTNDSTGSVYSVLFESQEPVVHELSRTTTTAASALVVSPDLPEEEGFREDSRER AFAWLSSHGVYHGKLLTSPANESLGTKVFAESKMLSRSQFTTSEASGKRRTSAELVEA IALTQWHIIALVGRRVVAANRLTGAIVYDQLVLNDGQKAIGFSVDLQKNTFWLFATDE IFEIVVRDEDRSIWQVMLEMQQFDAAMQYARTQTQRETVASSYADHLVEKGHYNEAAA LYGRSNKPFEHVALALIDNDQPDALRKYLLSKLALSKRTAIMQRVMIACWLVEVFMAK LNSLDDTIMTQAELAGDLNPAQSKELLKSVRHEYQEFINRYKDDLDRKTVYDIIGSHG REEELLYFANAINDYNYVLSYWVQRERWSEALNVLKKQKDANVFYRYSSVLMTHVATE LVEILMRHADLRPRNLIPALLEYNKHFRGPLAQNQAVRYLQYVINQLKSKDSAVHNTL VSIYASHKSKDESGLMTYLESQGDEPNFDPDFALRLCIEHHRTLSCVHIYTNMGQYLQ AVDLALSHDEIELAAIIADRPMSNPTLRKKLWLAVARKVITQSDSIKSAIDFLKRCDL LKIEDLIPFFPDFVVIDDFKEEICAALEDYGRSIDGLRREMDESSQTAANIKLDIAAL DHRYAIVEPGERCYECGLPLLSRQFFVFPCQHSFHSDCLGRKVLEQQGVAKSARIREL QVKISKGLVSGEKRDAMIAELDALVASACILCSDYAIKRIDEPFVRGDDDLAEWAL
SAPIO_CDS5346	MDYYNQDRCPVYASFFGAIGCTFAITLTVLGASYGTAKSAPAIF SSGIIRPDRLMQNTLCAVMAQILAIYGVVAAVIISGDLAERMALHTAFLQLGAGLSVG LCGLAAGFAIGIVGDAGVRASTQQPRLYFGMVLILIFAEVLALYGVIVSILMLTRSTL GVTQCRY
SAPIO_CDS5347	MKLGILAAASLASGSLAASVRSTQRRADSPHVVRLNIWRNDADP IQTHALRRRQTVETTIDNMLTLYFVNATVGSPPQEVKLHVDTGSSDMWVNTPSSEYCS AERRPCANAGTYDPAHSTTQKFIDNTFNISYVDGSGASGDFVTDVLTIGGTTVEDLQF GVGTNSSSPRGILGIGYTTNEASVLRDGAKPYENLPVKLASQGHIQSAAFSMFLNDVD SRTGTVLFGGIDKSHFTGNLVTVPIQKENDKFRRLLVTLNKVQFDSTAVGSDLALAVL LDSGSSITYLPDAIAKAIYEQVDATYVEDQGLAFVPCTDRDSSHSITFTFDDPLSIVV PYSELVLDIPTSRGRRPVFDNGRAACLFGIAPAESGSNVLGDTFMRSAYVVFDLANNE ISMAQAAAAGDGTQSDVAEIGVGKSAVPGATRAQNPVTATQGFTNSGQNVTSGAGGQE SGAGTGGSRSASGLARGAKAAAVLAGVVTALATVVLT
SAPIO_CDS5349	MAMLAPKTPFAASLGSGAVIVPNVPSANMAPPRRAPTLPTPNTS LASPTQSEFSDGDSPDSVKNWDVDRVCEYLRTVKCGEYEKLFRKNHINGENLLEMDKE VLKEMGIEKVGDRVRLFLGIKKLRTRAYANQKKRNRDSFGGLDIQYIPSAGSPRHART MANTSSSSRQQYSNRSYDTAGAMDNSRPSSPMPATDIRRQRLGHGASRHSGSPAESQP ARLGQEVIRVISTNGVTKVVKIADCSTCEEVMRVTLRKFALREDHERNYCFWVLDGLE PEPSQCRRLGDTELWRIIKDQKRPERNRLILRRVPAGEPGDAELQRAAAIAMEEAAQS HARALEKTDKRSQVKLQKLLGEGWDEGLRHPMSRGGYSGGGQGYSEMEERQPRGRKGL LRQFGGLRPPSELITSDLTSYFPDHPREDIDRTARMSLRRSTRLSRVNHRLSVASSMS FASSIQDAPPIPTIADSWLNATNQAKNRPQNGLGRLQFRDSVASSMLDTLQEESPIDS TRKSFTSFADSSSDGATSLSVTDPDGNTVMRRGFYEGSGDSTGSGSFQDLNQALNEDG EDVDEELQSFLAGESWDDNKWMKGALIGQGSFGSVYLALHAITGELLAVKQVESPSPG ANSQNDNRKKNMIEALKREISLLRDLKHPNIVQYLGCSSSADYLNIFLEYVPGGSVQT MLNSYGALPEPLVRSFVRQILTGLSYLHGRDIIHRDIKGANILVDNKGTIKISDFGIS KKLEASNILSGANNNKHRPSLQGSVFWMAPEVVKQTSHTRKADIWSLGCLVVEMMTGT HPFPDCTQLQAIFKIGGAKASPSIPEHASEEAKEFLARTFEIDHEKRPGADELMLSPF LVPIT
SAPIO_CDS5350	MLEEQVNVADAVNAAENGIARTPIVAFLGPISSYTHQAALQSFP GDKYDLRPSTNIDEVFEVVQKGDADFGVVPFENSTNGPVIFTLDNLANRYRTCPDIFV CGEAYVDVHHFLLGQRNRSPTLDDGGDGSGTCTPTLADPHPLKPRTKPLGSLKHITRL YSHPQAWGQCSIFLSTYLKGIETIDVSSTSRAAEMVKEDKTGTSAAISSEIAAKVYGV DVLAKSIEDREDNTTRFFIIKKTTRPELKVPRPISDGEVEKEAKEEGETGKLPRSSTT KSLVSFTVPHHEPGALADVLDCFRKAKLNLTTINSRPSLITAFQYLFFVEIEGHYDLD PGVREALVEVKRVAQSSRWLGSWYSQTSHSRGSRQLS
SAPIO_CDS5351	MTSKPIFAATHPRACSTAFERVFMTCRDTLECVHEPFGDAFYFG PERMSERFENDEAYRAKSGFANTTYADVLGSVLKLVDEGKKQVFIKDIAYYLLPPDGK PAKIPPSLAAAEEPKNPTVIPLDSLRRFQFTFLIRHPRRSIPSYYRCTVPPLDQITNF DHFMPNEAGYDELRRLFDYLIAEGVVDRENLVVLDADDMLDNPEGAIKAYCERVGIAF SPNMLNWSEDDTVHAAEAFEKWNGFHNDAISSSSLKPRTHAQEKKNSTSESEDEEWRK KYGEEAQKVIRKTVEANIADYEYLKQFALKVPVRTG
SAPIO_CDS5352	MSAVPLTRPSLVSQLSVGVSRMSIRQGHYKARYRQPKPELTGYP EGWGEKIWIFHEVRSHQVVYSHTKVLDVYKAMKQLPFAGKKTKPAKIRKDLWRHLALV QFPEGKGEVGRSVFAKLREFRKRHELEWDDDMFFEKREDGGKRVLTRQERGMKIREQV PNAVADLAAVLAGVGKSNKMWIEGQPEGVQDAAESAEAQDKLHQATVYWSNDRFKAHA ESWTPNVTHDLLESVAETPAQVPAEGATAEGQSA
SAPIO_CDS5354	MYISSLFAALVGIASSVSAAPQSHDERSAVRVAGPAERKIQRRQ FLDPFTGAVQPLLLGPPIQQTTITVIQNNNLDVIDELTQLAERQFGALIQSQLALVAQ LQTIKNNIRINHFRSRFTQVNTVIVTVTNVLDRRDGSAGTNRYLINQLLADNGVSDRN IVIMVSDIDTMTIQPTATGSSLTSRSTGLPFFDLSTFDPLAPFGSLNQSVILPSGTEA PTLDLVFPDPASIIFANQAAFVENPVTFFQSCAVFAANGNSFVNLAGSFFTSFQQIAA AQLAGLRAQWLLRFLGTATASATSTTGSTATSTSETTTSTAK
SAPIO_CDS5355	MSLVSGEKSNFQFILRLLNTNVDGKQKTMFALTKIKGVGRRYSN LVLKKADVDLSKRAGELTSEELERIVTILQNPTQYKIPTWFLNRQKDIVDGKDSQIVS NAVDSKLREDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKRGG
SAPIO_CDS5357	MDKLKYNVIYVNRNARQDGLIPAALANSENTLASVVGESGPSGF GKDLRFLLEFSDVHVCATGASCLTHLFKLHDSSMLDLKPTIVLIDTPHNERIPEPRPR TRSTSPHSRSPLTEIQIHTPDEEVYGLKLLQRVITESHLRNLAKLVVPIPIINCLPCE NEATETSEANSLSALRPSPALLKRCVDLGAGDVIISPLDTKSMTSLAVQAYRAHREAA REQQALLEVRRGRKLSWVGVHEEKPFSYLREAMVSGLMKGLCRRGHEYDDTIGGAKIA IPQERRLAVASAVGDWHFSAHDFADDELLVGAMLMFKHALSMPALDKWRIPTDQLINF LVACRAAYNTFVPYHNFRHVVDVLQATFNFLVNIGTFPAYPADSSSTLLAQEQDKSPI ASLLQPFEGLALLITAIGHDVGHPGVNNGFLITLNAPLAQLYNDRSVLESFHCAAFSQ ILRRYWPVAFEDTKMRKLMISSILSTDMGLHFEYMKKLSDTQEKLRAANTTDGWDGRT IDDQKSLACALLIKCADISNVARQYTTAERWMHILSDEFSRQASMETELGIPSALASQ PKKDISSLIKSQLGFMELFAIPLFQGVADIMPSLKYTVEELLINRGLFETGATDVQVN GDSYRPETAPSSMSSHNSSAMGCPAASDSEVEGCSPETTIVLAPAVAAPTTPVTPLDF PRPNGVSYFPTTVDHLTEVNQRGPNSTTDDFKAVNGIVTTFESVADFAASDPFNMRYR LDSYGDGKQLLSGKQRCSETTDGSNSAPYSGDWTSQATSATTGKMPLSPSTQGTSIAS RESIDRPSGVVQPSSGPVHDPNKLQPPILAPDVDLRPEVTVTDSSRDEDSTSNGSTGK PESTTLRKRPSRFRMNALNIFRRNKTPTSAQVGAAADANL
SAPIO_CDS5358	MKGKVQSTEVAPADIILPSCESNDDDDRASFSFSVASNSSFSTV LPQDCISSLPPRLQTRHSSLVSAEYASSTASSPCAAYADLSLDGDQGAEQLQPSSTTL EAGGALNPSSAARSRSPIRYSQRAIMSGHGDPTRSSSPLKRRASSMDPEPNNTVGQSA SDFPRAMSVDAPDSGPGYAQDPDASKSTSTTPDSTKRLKLDTSSESSASPPFAGQSPP SSEPSGCSISDGITTPPLTEQLKTIQTLQRAFNETPVQENDVAFVVTKTWVNKALALG GDPKHLKDNISEEPLGSVDNSDIIQEVLEQPPSDEPFVRLKPGTDLETCELFSEDAWS LIYKWYGLKEGQHPIRRVALNTQSDPTSPPNIIYEIHPPVFTIHRLWSDVSGINIPQT LKANNPPPLIMVRSARYSYNQFIRDIKDALKIPYERKIRLWEVDRTIPEVPSGPASSA SAMTPPTTPPESANPQDSWNSLLLDVPTWAQLDRSKRTKIEAEDQTGNPKYNGKATLN IHSLVTDKTLVVEESTDGGWVSTKIAKTNGKTGNRPANISTQSRTSSGRNSPALQGPI TRGRAQKKKSWRGTGAVGLQNLGNTCYMNSALQCVRSVEELTKYFLTDEYVEEINQEN PLAFNGKMAMAYGNLLKEMYHNSDHFRPSSFKSVAGQCRPTFASWGQQDSQEFLGFLL DALQEDLSRVKRKPYIEKPDSTDEMINDQAAIARMAEQVWDITKRRDDSVIADLFTGL YKSTLKCPVCHKISITFDPFNNLTLPLPVENLWSKAVKFYPLNDAPVYLEVDIPQHSS MEVLKEFVSERIGVPVDRLIGAEEFKGKFFKIYDDSMDVSEEIQPNDVPTVHELERPA SNWPPRPVPVEKRRRKLVDGDSPESDEDVWDDPRCDQMVLPVIHRRESRFGRASYRKD DGLPPHFIILTREEARSEDAIRRKVLEKVASFSTWSYLHEDEDGEDGDVAENTDAEMV LTSDGDSGDSKIIVKSVEGEEDLVDVTMKDATKASKSTKAPATTILKRFNQRRPKWVN TDQFLQSVVQNLFEMSYFSDGRNSDGIMATGWNVVDDNKEFPRLSTRMPEPETPVDDE TQSTADWPNGNASDNESGSEESSPGVPAQTRMAEESSEDDVTVSYRNLNRMNGRAKGK YKVGGGRGRPGKQKKTYSKKERRLAKVRRGNKHLSNSFQTPDVPPQPEIPSLVDDGAL VHLGEGLVVDWSEEAWDMVFGLDTQDYGDNRGAKTYSNPEQLKDVALEMKRKARVSRR SSGITLDDCLDEFERAEVLSEQDMWYCPRCKEHRRASKKFDLWKTPDILVCHLKRFSS SHYRRDKIDIKVSFPVEGLDLETRVLHKEDGKVEVYDLIGVDEHYGGLGGGHYTASAK NFMDGCWYHYNDSSVSKVKDPSQVVTNAAYLLFYRRRSSVPLGGPRFAEISAKFNSRF DEDSEEAGSGDDQRVGGSSLYGSSTGGKGTGNSGTIRLRGTNRGGSEPMDELPPYTSV QPSIEDEGIEMGESSNTAAAGAYSTGWSFDQLNGATASTEASGKISLVDYASDDAQLD SASEGPDTLMDSDPQLPDAAGSYFDAVAQGEELLPDYEYSEAAPAVIEEGGAAQVPWN DVHGGPGSWGRAPDNDSDAVAEIRLEDETRES
SAPIO_CDS5359	MRLATSLVLGAASTALAFDAQVRLGAGNAPVDKKPLNAWDTIQQ AITKAGSEAKALWDEVSLLAPDAVAAFKSSALSYKPKPANRRPDSAWDHVVKGADVEK IWMAGGERAVGGQLGAYNLRARKVDPSVLGVDSVKQYSGYLDDNENDKHLFYWFFESR NDPKNDPVVLWLNGGPGCSSLTGLFLELGPASIDKNIKVVNNPFSWNNNASVIFLDQP VNAGYSYSGSSVTDSVAAGKDVYALLSLFFHEFPEYAKQDFHIAGESYAGHYIPVFAS EILSHKNRNINLKSVLIGNGLTDGYTQYEYYRPMACGEGGYPAVLSESECRSMDNALP RCQSMINNCYKSGSAWSCVPASIYCNNAMIGPYQRTGRNVYDIRGECEDNSNLCYRGL GYVSEYLNRADVMEALGAEVSSYDSCNFDVNRGFLFAGDWFQPYHRLVPEILKEIPVL IYAGDADYICNWLGNQAWTEQLDWQGKKGFNDAVIEDLHVSGSSEKEESYGNVKTSGN FTFMQIYQAGHMVPMDQPEASVDFFNRWLGGEWNA
SAPIO_CDS5360	MSTIPPSVLQSTKPPITPLSFNANQPATIRLYPLSNYTFGVKET QPEEDPSVIARLKRLEEHYGEHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LRPEDEELQGFKARLDERLAPVGRLGEGEEAGDWDVGDCLAQWWRPNFETFMYPFIPA HVTRPKECKKLYFIQLPKSKVLSVPKNMKLLAVPLFELYDNTQRYGPQLSAIPHLLSR YNFEFVDENGNIAAMTPGAAPPEGYVPRTKVLAGGGDDTEMNENGTS
SAPIO_CDS5361	MPPSHTPTPTSALSTTLIILLLALAFFPNLFHTLTTLPLTLLTH LFLPSKTTTTTTTPPPTTTMWFQKQFSLPARARGSYLVTDLVLSQLPEIAEYKVGLLN LFVQHTSCALSLNENWDEDVRHDMTDALERIAPYEGKKGEELYRHSAEGRDDMPAHIK SSLIGASVTIPIKDGKLATGTWQGIWYLEFRAVKHTRKIVATIQGEKYQ
SAPIO_CDS5362	MSTASLRTLGGLSRRLRVPVRTFTTTVRQLEAPAAAPVEAEGSK AVTQQAPNRVGVWAKGQRPRAEAMTGPRFEQTDFAVQPQPYAAIELIHKQPVRWTHDR IVACDGGGGPTGHPRIFINTDKPEIATCNYCGIPYANEHHRKHLESLPQTSYPLK
SAPIO_CDS5364	MTSSDTWQAPAAQGKARLITEALEKPLLDDRSYRVIQLANEMEV LLVHDPKADKASASMDVNVGNFSDEVDMPGMAHAVEHLLFMGTKKYPGENDYSQYLSA NSGHSNAYTASTSTNYYFDISAKPANDEDPSESNPSPLRGALDRFSQFFVQPLFLEST LDRELKAVDSENKKNLQNDSWRLYQLEKSLSNPNHPYCHFSTGNLEVLKYDPEARGIN VREKFIEFYEKHYSANRMKLCVLGKEPLDLLEKWVVELFTPVPNKNLPINRWEDEVPL RPGELGLQCFAKPVMDSRELNLYFPFLDEENMYMSQPSRYVGHLIGHEGPGSIMSYIK AKGWANGLSAGTYPVCPGTPGIFDCQIRLTEEGLKHYKEVVKVFFQYVSLLRESPPQK WIFEEQKGIAEVGFKFKQKSPASRFTMKTSSLMQKPIPREWLLSGFSRLREFDPELIQ KTIDCLRTDNFRMTIISQRFPGDWDQKEKWYGTEYKVEKIPEDFMEELRQAIKCTSEN RLPALHLPHKNNFIPTKLEVEKKEVKEPAIAPAVVRNDDLVRTWWKKDDTFWVPRANL IISCKNPVLFASVENTVKTRLYADLVRDALEEFSYDAELAGLDYNVGLDSRGLSFDLS GYNDKLPVLLEQVLKTVRDLEVKDDRFDIIKERLKRGYNNWELQSSYHQIGDYLYWLN SEKGYIIEEYAAELPNITAEDLRYFKPQLLGQMHIELYVHGNMCKEDALQLTDMVQSI LSPRLLPRTQWPVRRSLVLPPGSNYVYKKTLKDPANVNHCIEYWIYTGDRGDPVTRAR TLFLEQVMHEPAFDQLRTKEQLGYIVFCGARVFATTYGFRWLVQSERTPQYLESRVDA FVGSFGDYLEKMTDADFENHKRSLVVRLLKKLENLDSESSRHWNQISAEYYNFGAAQE NAEHVKALTKEEIIDFYKKYIDVASPTRAKLIVQLFAQGNAKSKEKMDTLLSNHLSVE PADVKEAVRSAIMDPEMRSDVPALRKYLSDELGLTEDKVEAVVSVARDPATEPKEVEE GEAVNGRDENGLSNGVNGVTKKQPMLITDVRAFKASLVASAGARPARDITEFSPQHID NDFTLSKLPVESEFTISLNLAHPRQPQRLPSAMTVLHLVLFQFKPELSPEGISEACAK MLALKNTCLHPTSQKPYILSLTGGKDHSPEGIQDGITHAFTVEFESVEDRDYYVKTDP IHQGFIAFAGTVVEKAIVVDYTVGEF
SAPIO_CDS5365	MAPSTPQTGPSSRLLSMKFMQRGAATAESLPSPSPQESSSKRRK LSHHKSAGSTPTPASADTDLFSHAKIQAAVEELEAKRRAAVERRAAELSDSHWVLDIP VAKGGAARDGAKEKPPLSVVYVGYGEIDRAGSDSDGDGTQDDVRAGRRITGNYKRKED KEAEEDSGSGSSDSDGDSDEEGEVSSSSNRGSKKSQSSKKKRDKAEKKKKKKEVKLSR LTSISSGGGSGGISQGGGGGGAFNRQPMKCFACSGVGHKSSDCPKNKARKRRRAAPY
SAPIO_CDS5368	MGGVNLEVFRFGVYLMFPIGFMYYFGTNLDSRFAVEGFWPKAEE TNKVPHSRDEIKAEYEKIVARQRVLMERRAMQQAARRDDGGEE
SAPIO_CDS5369	MAFPPSLLARSPARLILSQCAPSIRNITTTSYLNAGHNKWSKIR HEKGAADRRRSTQNIGLAKAITDATRKGGPNYKNDNPALAAAIAAAKAANVTSAFIER AIARGQARSLSGDALEKMTVEAVMPGNVALILDVETDSRLRSLQELNRLVKKAGGKPG STLFYFEQCGRIVLEKKDEGTALAEWEEEVMGAVLEEEGVLDVEGEEDEEEVVVWTVA GETGSVAQVVRERLGVEPKGVDLVWRAKEDMRVAVEDGAVAEELGKFLEALEDFPEVV GTFINAEKGGISDEAWAKVQDSLILP
SAPIO_CDS5371	MRGIKALYLRDDRTFAALLLILASPASAQSCDVTAITPDYKAAV AHGGWEYRIIANGLRRPRGIVVDSSGALLVVDSGSGIKRIVLEDRGGTCFAVREQTTL VGLSELNHGIALDSQNGILYASSSDKVYSWAYNASAGTVSQSRNTVVEGMDNSGHTTR TLLLSAKVPGTLLVSRGSDGNVDEEAKDISSGHCQIKAFNLSSTAQDFTSSGTILGWG LRNSVGVAEDPVTGGIWSVENSVDQLRRNGRDIHQDNPGEEMNFHGYLNGTSTEESGG NFGYPSCFALWSTENFPDRGDLKTGDQFAPSAGDGVDDGTCNSDFVAPRITFQAHTAP LDIKFAEDGSEAIVSFHGSWNRDNPVGYAVSSIPFKDGQPVAASDSTSAAEAILSNAD LGDCPGNCFRPVGLAWGSDGRLFMTSDATGEIYVLQKTGATGSSGDDENSANHVKGRS WFALIPVGILALALL
SAPIO_CDS5372	MTESGTSNLPAGSFPTSLTAASSTGNPELDLPKLQSLPPEQQEL FLLTFVTNLSKHVHSLSPDDCTAQQFYLKKEIFQILNLPSPQPSRVIRNNLGRCLAYV FSRGDRKLLFETINELVAIVAGGKPKSDADLRAKHAAVCCLGDVFAAAGDSAIGLHQL SCTSLIKLLKSSQNNAGLRAAVLGALGKIAGMVGSSLDEGIARDMWKQARNHAAGDKA WLVVVSSCRCLKSLVKNTPYFLNSNDYDKLETAIFKAIDSPSPQVRHAAADCFAEALV QSFSDLPPATEAPKLKRSKSKAIKRTPTGLVDEDDIPSRPQSPAPSGKSRILTYSFTE VLEILSNRYVRSSTSNRARAGIGVCYGKLLRRLGEKAVESNYLSLVENLAVEILGHNT IANNRYRLLISRRIVEVVLHDIVGHKVLGEAGQIQAATALINNILKNYPQALPERPEP AKNTLTTALGALASLIKALGSAANNFADICRDGLLQVLQHPSYAVQVYASSCMKTFVL ACPQQLLQCLTICMNSLSRELTHLGTGRSSPRRCIGFAHGLAATLSASPSRPLYGSVD VNSRVLTMATNLLKSSGQSELRVSSTQIQVAWILIGGLMSLGPNFVKIHLSQLLLLWK NALPKPLAKDNTSTRSLLEASFLAHVRECALGSILAFLQFNQRLLTVDVCKRIATMLQ NTTAFLRTLPSKKTTDDISQRLIPALQLQDIYMMVQRRVLQCYVKLVTTSPAGGSEAL LQSNLLTLAISLFADPENYGANSLSSSIASAAGTFETIWDIGDNSGFGVAGLVRGFDV RSLPGQHENVSDIPSLDDGSPEESIDKILLSPVCGTLEHDASLLYIGDLDGGPAAPPD PPMTEVVNLAIQLFAFVFPLTPAKVQESILEQIKTFSSAGPLQRDPGRKAAINVNVSA AILSTLRVAAKETNAPSGDITNVAVERLIQDTIRDIVIDADPYIRSMGYAAMARLCNA CGNAFTNHEIKYLVDTIVINREPSARAGCAMALGAIQSKVGSMASGYQLKTILGILMS LCNDPHPTVHYWALEALTLASDAAGLSFSPYVPSTLGMLAQLYSNDTHNAEIASAVSM NLEMEVSTTAVIARCVDSLINVLGPDLQDSTKSRELILGLVGQFQNEESLLLQNASLA CLEHLSLYAPGYVVFADYVRTLQKYLSSEDALLRDAAVDGLYNIMKRDPRDVIAAAEK GFVEQLWLVLDTEPSHDGIRNIIRNWMRQTCLTETELWLQRFQAVLKMTRAKVKEEQS SRTKSKAAMPDLRDEEVAGFAAAAAGGAASDDKGGAEGADVEPLRWQVTTFAMSCMND MFIIVSKDVATNGESAGQTALQNKLADVVRMAFSASTSGVLELRIWGLKIIGAVLKMF GRTPDPDFDEAMLLEQYQAQISSALTPAFAADSSPELAAEAVNVCASFISTGIVTDID RMGRILKTLVTGLENFSQESNENASIGDLKGLSSNAQVMVKMAVFAAWAELQVASSEQ KYLVDVLRPHIATLTPLWLESLREFSRLRFEPDISMTLGPPSLSGSLDTIYAALNRET LLKFYQDSWLKLVDAIASLIEQDSEFVFDALDGKASTPPANGTTASSSAVATRGPDIN YRDEPVAFFFVLFGIAFEALATKPSHSDSLELQEQTLSILKALKKILQPSVSGHAIYR DAVFSETMDLLDRLVLTDGLDVQGHIVEIARALCVAHPSARKKGQVEEEEADLSEDIE QLFELTRIIVLVIAGLLPNLTTEGGSGASQHQQQPRYQMTEEAILLIGTALDALVDAA EVFPSIIKTDLHACIIHIFATILATPTKSCQELIVPQALPTLKRFVASMTSSRQQLKQ ASTSSSGSSQTDVQLQGCLRKFLTIYLKAQRREEQTSLTCVRNCLLASTILFTGGAQV GGNCLPATDGVVARYLEELLDCLTDRMTAKMAANCIRSLLLQPSPTPADHSIARYLFP RLVTFVTNTEPEDPEQARALVARTLANYVGSLRQQQNPQSQNRLTAGVTLVVSTLLAR ASGEGEDAYADTKATLLELAAVDQACFRAVVGGLSEAQRGFLEGVIRGAQRVEGGERG GVGGGGRGGQPSIALKMDFGA
SAPIO_CDS5373	MGSDATTMREVEPGNKTGVYYITISNLPFNTQWKDLKDYVRTVC DVDYAEVFNASTHAWVRIIGHDEFRKAFALLNGGLFNGRYLVADGRNEKEKISIRTLI NWSPGSPSTMSVDGGVRLDYFTANGGTPLDEGMERLGMTGADSYYRTDGYGAGAYSMA GYPNSGESGGYNQGTHSDMYGRGFVSSHCFSDAEYRSGPDGHAWDYGSSGYGYPEAVS PKPSKSHSNKKAKGQGSSGEGGGSSKAKSKSKDKAKAKAENSWDSKEHGSSKKAKDKM TATSSKSLLPPVPVIVDGSSNKRTVDDKESKKKEERKKKK
SAPIO_CDS5374	MIFSPLFKSSTHSVPSPDGQLVATLLLSKIIVRSVQSLRTVHEV TPPTASELAGPILAFTWSPSSSKILIATASHIYVLGVVDPRFRAVIRVPGPSADRPSF VRFGPDDGTIYAFSSLGIKLSIFNLATTKVVEINNPKFYQPVSASRCLSFRPGSSHLA VLTRTAGRDVISLHAPPAWDAQRSWYPDTVDCQGLVWSSDGRWLIVWESASQGHKLLF YTPDGHLFRTWTGPNATVPELDLKHAELGAGVKRCQLSPDARRVAVCDHTRFIYILEV PAALETMRFHHPTTAVIPKDTLQIWQEQLNMPQSPSARVVHAFGRVTQPISPPGPSSN SITISEPSLGGSSCTFDASSTLLASRIEDSPTALWIWDLSAMELRAVLIFSSDIASFR WHPSIPELLSITCQGRDHHGVVFVWDPLSNGPRPMDCASFFPDEKVAPKWQTVWVNSD TPPATVYVGDSNQYLLASLAESGDPHPHWKDVQLSPSYGNTLSIDNVLQSNEDWNGSL TDMEDDTQSGPDDTFSFKKL
SAPIO_CDS5375	MLRGTIHRSRARVRDQLRPSSNASGPLLSWTLNRSLHQTRTLEK PEPATVPQSSQSLRRENLKSARPFSDFLTDSFNRQHDYLRISVTERCNLRCVYCMPEE GVPLSPSRELLTTPEIVLLSSVFVSQGVTKIRLTGGEPTVRRDIVPLMHQIGALRRHG LRELCITTNGLSLHRKLDSMVEAGLTGINLSLDTLDPWQFQIMTRRKGFDAVKRSMDK IFELNRHGADIKFKINCVVMRGINDREIMPFVDMTREKDVEVRFIEYMPFDGNKWNKT KMLGYSEMLDMIKEKYPTLEKVRDHRNDTSKTWHIPGFAGRLGFITSMTHNFCGTCNR LRITSDGNLKVCLFGNAEVSLRDILRKINNGEPIDEEAFDTIKQVVMGQGQSLSPSDH APGVLVNQEELLNVIGMAVKRKKAKHAGIGELEHMKNRPMILIDPTLSPRYPQQSRGF LASPMPQSLWASDTIGSSLFAGDRTLNSSRPFSTTRCLRQEEDKEAHMVKAAAVKKLT HVSDSGSAHMVSITEKAVTSRIATAVCKVRFSTEVALKLIEENGMKKGDVLGVARVAG IMASKRTPDLIPLCHPIPISHVAVDLSLDKSGNAIDVKATVSCDGKTGVEMEALTATS TAALTIYDMCKAVDKGMVIDGLRVTLKDGGKSGRWEMP
SAPIO_CDS5376	MATDLIMPAVNAQQGYPQFYPNQAHHTQYQHQNHHRSQSYQIPQ NGANYTGVSSLSSSGSSGHSPTSPKTYHTRQARPLFMPAALRPNEFPSKPIPTPKTSR SEDNSPVGSLTRSNTSLLGFAGSAMSRLTRRSTDDSSKTFEDDELDVGLFPDVTAMPT RQHWKPDAASTVCDDPTCKRTFGYFTRRHHCRRCGNIFCDFHSSKVAPLDQDANYNPR GTLSRSCNHCHAEYQSWRSRNSSRNSSSASSEAAAGSNNNHLIDSKGPVNPIAAAASA SEPALAGLGLAKGASVAASVPRDWNWSTF
SAPIO_CDS5377	MAFEFSSVLASLPHSSLFSFPPSNQPASLPPPEAGNTALPSPPP FTIPENIYNAALDPRVPITIATLYAVTAKACNAYNRSNNKKPWAIAKTRGFFWFVVLH NVFLAVYSAWTFAGMFRTLRVSVANPFGPNGLAGTVDSLCQMSGAPGLGNAVYYDDEQ ARWTSLAQDAAITAEGLPSVNTPGRIWNEGLAFYGWIFYLSKFYEVLDTFIILAKGKQ SSTLQTYHHAGAMMCMWAGIRYMAAPIWMFAFVNAGIHAMMYTYYTITAFSIRVPIII KRTLTTMQITQFLVGASYAMSHSFVSYIVPVTTTITETITSKVAADAAVTAASAVDPD APATAGSILLDTLKGILLGAASKVSEAAASISQPPASPAPAVAVDASSPQVVTRTETT YVVQPCVNTNGATFAIWLNVLYLAPLTYLFVKFFIESYLRRGKADAARVKGKSAAQRR MSNVERAEKAGWDAAKEVNREVYGDGNGSEEAVEDEDEDVKPATKANGNGRTRSSARR A
SAPIO_CDS5378	MAATIAPNPPKLSTLPPTDFFTDKQWSILSALLDASLPSMAPKS AAKDRRAQVGVPEAEFNRALERLKRVRGGDVPSDEALWGLLGDKCAADPMFVDNCKRF ICSFPAEHQDALGDALKKLSSRIGAYILTGYCTPYDTLPTHTRAKILESWRTSWIPQL RVLSKTIQQLAQKAYFQTNPTFKQLSGYPDVPQDYKPGPGYDFQFLQFPASSDNSQVE ISADVVIVGSGPGGSVCARTLAEAGHSVLVVDKGYHFPPTQLPMPQTEACEYLFEGQG LLASADKSSSLVMGTCWGGSGTINWSVSLQTQDYVREEWAKKDGLPFFTSERFQKCLD RVCEAMGVSDKYIRHNHGNRVLLESSEKLGWKAKPAPQNTMGKEHYCGQCHLGCGSNE KMGPAACYLPAAARAGAKFIEGFEVEKVLFEEGEKKTKKAVGVVGTWVSRDKKGGVDG PAKEKITRRVVVKAKKAIVSSGSIWSPVILKKSGLENPQIGKNLHIHPCNFLAAAFKE EVKPWEGGIITSYCSEFENLDGQGHGPKLEPTCMVPYIALASLPFSSPLEAKLSTLKY PHMNSYISLTRDRDTGSVTVHPTTGRPLIHYTPSAFDARHTLEGVVGIAKLCYVAGAQ EIYAFVPWLEPFVRSTPATTDDKVSAKDLIEKDAEFKAWLDKVRDAGNKPGLGVWASA HQMGTCRMSSRAEEGVVDARGKVWGCEGLYVADASVFPSASGVNPLVTNMAIADYIAA NVVEDLKG
SAPIO_CDS5380	MSKDTTPNPVRTKSTRRSPVIPVSLTEASLDSPTFRTTTVHFAD QVDGIERWLDGYVRSTSKLAQDVISLEESINSYLRQLIPTQAVADTVIDNEYTQLALK RVGDGARDYWTQIQALARRMDVIAVEPIKLFLQNDLRAFKDARRTMDNAQKAFDTTLA RYLSMPKTKEPSSLREDAFAVFETRKVYLKSSLDFCQLAPQLRYSLDRLLVRISSDLW GALRQHRGTATNSAKWNTEIDRIRGWCKDMESSEAVFKRELHLARREIGEATLLSIRP SRDLESYSSSTVAFLSSRGPSSANAPKEGEPVISECQGWLFFKILAGKPVRTSWTRRW FYCRDGIFGWLVQAPHGVLQGDEIGVLLCNAKPAVGEDRRFCFQVKTKSQTMILQAET QGELSDWLEVFEVAKKRAFEASVGKSGTSTPSSAFSITPPNIPEFSAKVLDAIMPGED GAAGALVVPNENLNLAQRSSFDVNAPARRSISNLGRELAREDGESNREHAARIIQKLD LHRKATFGAAAESPQSGAGPAGGIASLISASHTFLPGYSSVVPRSAPVGGLAGLDIPP GSLAPPYLAPPPIATNLSRFAVTVTAERGLTPDISRAMSTAVMANYWGSNPWSSVYTT TNVNYHRLTNADAQQAAAMRRGSSFRNDKSTGGGDVNPPSGPHHPDRRLPEWFPPGYP PELKAQHAQFRLLFPNVPMEEKLVLVFRATWTSSGERGFEGPTLAGNGRIYVTPDNMY FYGQQLGLVVAYSIGLDFIAEVTANPGRDCDFIVLRLSQDFNDTGLTRITIKTFLEDV RLLHARLNLLVDDLQAEEPMEVSEIVTQLICLEKDEHEKRSPSMDSWEDVGFDSPVAE NHPLPRRPHQIADNNARPCLTRHRLRSKVHLPTAPVIYEPDDMKLKVAERHFEIGAKS CFHILFGDKSFLFPKLYFDRNARDIVQGPWVLADHGRMKREFTFKADSVDMLGRSKTC NVTDFQTIDIHRDHVTYEVTHVKRAWHLPHSQYFKVVTKIVITHIAKSKCKLAIYIKT EWKTFPSFSKSIVERQALNDAEADAEELAEAATDQVRRLGPRSRTKRAIQVYGHIGQE TQPVPFTPAHADSAKKQAVQPRTLTEMVYETLKSLTQSAVTSILMWAFAALRVLLRVF TAHRVILVVTGFSLLANLFLTSLEGSAWWRERSATRFLARLGVGPNVMMSKAVYIADL ESVQGTMPANISWAEEGSVCFSAFQAISDATSLDGPYQDAGSHLSAASSRATAQRLRR MRQRLGAYRHDLLVAMRIVNSVEREMLQSEWENWLVDENSRCEQLRSMLKAGGSGGGA SGAGEEKKDGDGEGEKRRRERAKAWVEGYCGSCWRDMGVLREEKRLAF
SAPIO_CDS5381	MADAGQDIKIDAPDVTGSRGPNDLVVWLEGQPDNSKTEAADAIF NQLISRETLSKLSSSKVRVKLCGFIEQCLKSKTPGVRDWALSKDLYLKLFDLYLEWNE SDAERSLRLVLDLLVDLMIQGKARADLGPVKLTVLDTLVSVMAKQSTKPLAKSAIMVL DRFLTKSVVSLKEVGTTYKTWKESELKVTDIELWKNYLAEMFNWMEIQFVCPVAGKFV ATVYRMLSIESKQGFLQASQVPFTVEIWHEWLLEFLTAEPSLLDGIKNYIFVPLFKSE KQESIELLQRMNRLDKAITTPDLYLDIPAMLQLASLEVGKRVGLVEEPVYGGQVQNKD HSGPVVLQEDLLEHVLVHPSFSIRTHALSLIISSSSTTRPYSPTALVLLQKHLGMYFA DSEARFRNELLGKLRDMYKRVRGGIFVLQRSLVRARAATHQKHTGVSTESRKPALYHT NVISHPEPELATALKLHEDFLHWYLRFLRAELVPTASYQRHVTSLKTVAKIIQLEAAP AKTWETKDDKELFFDLFDATWSRALLDLLMDPFDDVRDTAASVLRLLFNDGHYSALLS PGTSPSDALSRFLTRANELANQTGRADHANGVARAYELLHRFSADQQAKSTLLSDLIA ALDKRIASAEHNLGLAVLDAPTHGLFAALSYIVISINEQKYEPKTLAELDRIYFSMLE CCRRIWNVVRDVLCDDSPEGHLPDDLDEMEGLDTKNLLSYSFRAVDESSKVMKAIIQG AKNYGGMDHQCPSMKVFSAFGNLSLEQLSTLRHRGALTTVSQTFAICCQMSRFYQEEQ TSLPLLEQWYKATLNCISTQRSTTRRSAGIPSMMIGILSANYRISFDGAMEELMKIGA TPAAVSEADGSNLPQVHALNSLTGIFKTSYLSHFERKLERYLPLCLQLAADCLRSQIW AIRNCGLLLLRGLMDNLFGTSESKTMMEAGWDGKANRLHYGRYPSLAPVLLSLLKSGR DMVYEISTTAAAEAVFPALDIVRRAGPPASLRDELQQYIVDYLGSPAWHVREIAARTL CSCLLHDRWLESLRSILVPRDSDENSARAKNRTHGALLTAKFLVERLAEVMPAQLTVD YDGLAALLVEIQDNSPRDLRRLPDLQATYLEVCNQASKYGLRLVRNGKKEVAESLHKT ITLSLVKSGDMAEGENHGALFRIQRAVQSVYSLIDPANVDPFLRNAREMVDRDVSSLA ATLETLPKVWPVEHLSGSTISQLFALYLDICMAARVQDVLAIALQNFTDLMADTGDMP EVQPSKDSLLGLWSRLQRVSMNPSLSDEIIRTSGVILGRLLSLRDESGWDQGGALRNF GLLVAESSTDDKPFDTRYAAAEALKFALANSSGTNPSPDPNNASCLPYYQALYNLLND DDSEIRDLGASALTSTTTAPIIPMQAAANLLSTWSHGSDLSPELSAAAACRMVGHDFP VDAPLSALGALGSGSEQLQEALKFDASLFLVEEQNLFVDEVRETKRWKEVFVSTLKDE KKREDPALDALAAWTRPGLKMLLDMAEEKGDGALGWTSRPAVFAVCARIVVSAVALVE VGGFEDVQRDLERLKAVGERVGLSGLLLEMAGV
SAPIO_CDS5382	MSKITVAHVRAQVGELLDYSLNEKKRNFLETVELQIGLKNYDPQ RDKRFSGTVKLPTIPRPNMKLCILGDQHDIDRAKHAGIDAMSADDLKKLNKNKKLIKK LARKYDAFVASEALIKQIPRILGPGLSKAGKFPTPVSHAEDLVAKTNEVKSTIKFQLK KVLCMGVAVGNVGMTSEQLVANIMLAINYLVSLLKKGWQNVGSLTIKATMSPPKRLY
SAPIO_CDS5383	MWWSKSPSTKTSAADDSLSPNTHPYEQTSSSSSENTPPWRWPAN PPASPPHNGSPTPDDEENGGYNLSAANKTGRGTTRTAKREMICGIRRKVFFMIIFGGM LVAVAAVAIGVGAGIAFGRRKKSHPEPTIRSTNSTNITCPSDNDSIFQPMDHTSLYFR LSCGLDYPTSLGAADISTLAVPSMNACIELCAATNDCLGVSWEEESGRCVTKGKVGSS AEGGGTLSALKIEKPAAL
SAPIO_CDS5384	MWRRVYLLLVLVRLWFALSPSYLHPDENFQGPEVIAGQIFSYPV RHTWEFTSDHPIRSVFPLWPVYGLPMLLLRWLWIGNGQAGEIPPIAVFWTLRVLMFVI SFVLEDWAIHELIQSPRHRRVAVLLVASSYVTWTYQTHTFSNSIESLIVAWSMVLIQR IVEAPHRSSLLSCTILSIVSVFGIFNRITFPAFLVFPGVRLIPHLFNRPLSLGVMALA GLVTAVVAITLDTAFYSPAPLTWADLIFRPVITPLNNLMYNISPSNLAQHGLHPWYQH LLGNIPMLLGPATLLAITRPYLSLRLYSAISGIIVLSIFQHQEARFLLPTVPLILSSV QLPKNKTLLKMWTAAWIIFNVFLGVLMGIYHQGGIVPAQVFMSGQPDATQVIWWKTYT PPIWLLNGKNEVLETRDVMGLRGEAVLEDLERLATCDTPADRRNQEYLKEKNGTYLVA PTSATWLDQYLPNKGLNGLRFREVWRTRTHLNLDDLDFAEDGVWGTLARVVGRRGLAV WRVTKSCP
SAPIO_CDS5385	MAEPKPATSKTTWLASNDGVVQEVDRVVAERSMLIKNMLDDLGD SAISKDNPIPIPNVNDAVLRKVIEWCDHHRNDPPTSQDDDDAARKKMTEIDEWDQKFM QVDQEMLFEIILASNYLDIKPLLDVGCKTVANMIKGKSPDEIRKTFNITNDFTPEEEE QIRRENEWAEDR
SAPIO_CDS5386	MGNSVSWLSSLLWAKKEIRILILGLIGEVVTTIPTIGFNVESVT YKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVVDSTDIERLQTAADELAAMLNEEE LKDAALLVFANKQDQPGAKGAAEISEALRLGELRDRNWTIVACSAVDGTGVNEGMDWL VSTVNQE
SAPIO_CDS5387	MLPLAQLELGFLSLASCAHAAYVQWQPCPGIDAHTDAGLVFESL GLGLSPSEDHGGGRDMDFSIHSALDNETKKCADVANDLSTIRVDLRMLGREVATWDAT PNVTCRTTDANLSPMRIAAKTYIGTLPPVSTFYLSFHLFEAEQRGGGGGGGSSSSNVE AGCMTAQITPAISSPIASALRYGPVALFLLVLITSVARTFFDDTKPREDSDDGHERSQ TDQTQTPKRLLLPGLGDFLHYLQFIFLSGSLSLRYPGFYQPVVSHLNWFSLFSSAGPI NRSYQYRSVNDGIYEINGTYGGTLGFELMTQIVGAPMTMDLWLNMILLLAILAAVAAG IAGLVVMPGSANRRCSFWHSESAADEHERSLAESVKSTTAQVFRAILSYFMLPLVALS TYQLDYAGLFPAYHTALACGFLVLIAAAFAWLLWQIPTRSLGVLVFDNSKQYSRLDSD SASVRSAETSFVLILFVLNFIRGVVIGGLQISSIAQIFLLIACELFLLVSIFSLQTYD VLSVGVGCALVRLAVLSLMIVFLPGVASFRVKDSIAYGILCVHALALFFFFFVPAGRH LWRLCIDRLNAERPEVYGLRQLRRREVSNMNLSAAVVNSSSPAALGCPEISLQPALRP GTPSTLHLDTASLTGPSRFYRPPRSPSLHLSIDYGNSRGRPNLPSSPSTPTSHNHRQG LSVSHTSSSPTTTSASESSQPSSTTEAGPEDFRRVPLGPRWNDYSFREADLYYAQPPR RKATAPLGNGPDTGSLPTRLWRRLFSGDAGRNKPTVGFEVIRPGVSESTIRLNGQSST 
SAPIO_CDS5388	MDARRDADKRTEDVVRKPEAVAKRTETRVLDEQPRPQEPMSMSA GGDKGRLRGGGDTGAICCGICAGLACFECLDCCC
SAPIO_CDS5389	MLFSGLKSVCVAALAASLVPAVKADCECGYSTTIGDSKEPSVFT ELLESDFRRVNALGSETNWLLPAFNVTKEKTNGLYGLNFRPSNVITFNNKKKALWTRT EVTKPVATSGDGLELAVSSKLDHDMASVAELDSRRADLKWGSYRTSMKLTDVPGTCAA FFWYFNETQEIDMEFLSKEFDPKAGVYPVNLIVQSPKATDSNYDAAKAGNFIRAELPF NPTRDFHEYRFDFVPGEVHFYADGKVLAKIQGAAIPSNSGHLILQHWSNGNNVWSGGP PAKDTSVSVKYVKAYFNSTDTGHQHASNVRCAQAKDKKSSVCEIPDIAADKSAEDFFF GKLRLNQTLSRARFNFTNSSLDMNARTRTKSVEEEKEEKKKKEEEGSAAVWGATQAGL FALALLMTKV
SAPIO_CDS5390	MPGASVATLPPAAASTTAPRKTSLAPERKYKCQFCNRAFSRSEH RSRHERSHTKERPFKCMKCRSTFVRRDLLLRHDRTVHAKDGGIPLHSDGKRRAGPKTR AVATPSKPAIAIDTATIEQQQMETSGDAIFDVEAAAMLVADLSRAGSYEGSNSMDYTS TNMEQTVTYPSGAIAIPQAQQVQWDNFMPHSISQAKAHSITSSTSGSFESQQSFSSSS TVQPHASQLLSISGQNCNGLVPALQSMINSLPRSGANTPVPQSPSVLSNANAGFKAPQ VNSDDERNMILDNIRAHDTERAIPEGFRLPSQASLNRYLSTYFGHFHHHLPFLHPASF NPTQVSPPLLLAVLSIGALYAFDQDQAYLLHIGSKILVNQFLQHKENFSSRKVPLWTM QSSLLNMIFASWSGDPKGLEWACSIKSLLANMVAGNRYELKLRQEARGDQQPTRAEWV EDEGCRRTYYAVYIFFGLLTLTYNHTPAINFNEFEDLQLPSTEALWNLKVTDEASWKE QLANSTVATFMEAHDNLFQGEKIRYSAFATRVMINALFLEVWYHKRSPEALQDVVTEY KLRLALETWEKSLDLCEPEAVIIPLSAPHKGHPLLFNATAMYRNARARLEVDLKTVQE ALRYHDSYEVAAAMSHARDRVKRSNEMLKVIQECYNCIETAVMQGVRWVARTSPTNWS VEHPLCGMDLMIILSLWLYRLEHDEEPATPEELAMYNKVRQLFERELDDPAYASQLSS VVAKLWGSMLDEVVVWGITRLIGESFRHHSQALVGYVDDIEASSNASTPSMISQGADE DSVY
SAPIO_CDS5391	MLTTDTAAPPFDQGYSNNPYNSRNKFFNDRSYDPSVPVDSSRAA SGVPNDPNSRYYDYESAYSAAAASSSLMNGIVGPAQPGAMYVRALYDYEADDRTSLSF HEGDVIQVINRLDSGWWDGVINGVRGWFPSNYCQVITSPDELPDTILHAAAADHADDE LVEEGEAYEEEFEDDESDPDGVDGLPLEGIESEDRSRSDFWIPQATPDGRLFYYNMMT GDRTTELPLESPSSSADAGPRDRSGTNVSMPDETRPPPEMMARGGLTRDEEQDSEANS ASEIEGENILAGPRGALSSFNDTLSPSVSMDSINGISPVARGNRGDPFANGVPTGQTP MIASATSFTTSPYSLPATTSIPRSFFDDGQTPPLTWTRLVQNMKRAIDRYREAITSNR RSEYVARAEDISDHLRLLLAAGSGTTDNHSGQPSIISQNKALYPHFRDMMSKFSKLVI SSHIAAADWPNAESVQKCLMEAEGVFQGVFNYVEVARQQRGEEIPRLFPGFVIGSNTG GSWQNNGLGPRDPIMTNFLDDDEGVMEPSAILDAKLLERLDELKRMLVSSIRELDKQL VITDKIVTPYRHELVGNNVCTAGTKVLETFKPWIAMIESIDLSVLGNTFQTPQLADFS TNKQSLYDNLSDLILGCQAVAGPLADEWAEVHGEALENRLEYVRQCARALETNSSHVG FSLQLLSEQVQINLQAQQNSMRQREDQLVREQLQRSQTMPYGGQPQRTDSRAMPIRQP LLQSQSFGGDLDPTAPGNFRKGDYSSSKLKKILGEDPSAEAGMGMGAGDDTPPYLRLD YEHEIAWEFKTQPPTVKGGTLPALVEQLTRHDKLDSGFTDTFLLTYRSFTTARELFEL LVKRFNIQPPEGLTQQDYEVWRDRKQKLIRFRVVKILKSWFDNFWMEDYNEESRALIR DVYNFARDTVKSTETPGSAPLMQVLDQRLNGKEVGVKRMIQTLNQNSPAPIMPRSMKK LKFLDIDVTEFARQLTIIESRLYSKIKPTECLNKTWQKKVGEGEPEPAPNVKALILHS NQMTNWVAEMILAQVDVRKRVVVIKHFISVADKCRALNNFSTLTSIISALGTAPIARL KRTWDQVPQRTQTVLESMRKLMASTKNFGEYREALHAANPPCIPFFGVYLTDLTFIED GIPSIIKKTNLINFSKRAKTAEVIGDIQQYQNVGYLLQPVTELQDYILSNMQAAGDVH EMYDKSLQVEPREREDEKIVRVLAESGFL
SAPIO_CDS5392	MPADSDSRVDATIKVASKKDGKEKSKRGVLKLKKIQLKATKLGV WTENISADEDKKLKATEALPSPGTNHVDDDEQETFTLGRPVHDAPEMESCKHCKKNVL KSGAKEHIAQCLRVKKEKALRKKEAREARERAREAAREEARRADEEAHGGKGDDDSDD DDDNKGGKTSKGKSDVGKGKKRKADGEAEKGPSKKKKKEEVKPKVAKPRGPVDVERQC GVILPNGMPCARSLTCKSHSMGAKRAVPGRSLPYDMLLAAYQKKNQAKQQKAALDANA PIEDEDENAGQIDSDEETANVMNALSHWKPQPVLPPAVLQPIRRTYQLARLHEQLQMA TNGGRTNIFKVVGYGPQKLPDGNLMDSEDAQGEPDTVMFPTHTRTPSFGMQGSRRPSV TSRG
SAPIO_CDS5393	MSKGLGLRRPQPGALWRFGSTAVMGLTVMLCKGFIYGLNRAHTE GYEDFVKILEKRKREGRKRGLITACNHISVLDDPLIWGILPLRYAFDPWNLRWSLGAQ DICFKNNASATFFSLGQVLPTHRLKHSPKGGIYQPTITEAIHLLSNAPSSPAPSPSSS SSTPPPYPHPHLSWVHIFPEGCVHQHPTSSLRYFKWGISRLILESDPTPDFVPMFIDG TQRLMPEDRKFPRFLPRVGKDLRIAFGEQVDVRDVFGELVDRWRRIRHLVEPPAAPTD SGDIISPLGPPPNVDADVWREAVEVRIETARRVRVEVMKLRRSLGYPDDDEALGLAET WKKEKEESPRTSTLGEDHVSSKR
SAPIO_CDS5394	MSHSKRNTTRPVFTAHERNLARAAWTSSSARLTRDSFLPFGSCN LCLEPARDPVACHRGDVFCRECALANLLAQRKDIKRLAKARAAAEKEVEAARGEKEAE ARERAVKEFEMVQLGLGTSGRGTASREEEEGRGANGEGEKKKKEVAGLIAQGTKRKFD LDEKEVERISREDVTKARKAIDDEIAQKPTLPSFWTPSLTPTIDPETALSTRKKPKES PICPSSPSDAPHIFSQKHLITLSFTEEKDPSSSSSTNAVKRICPCCRKTLSNPSKPVL AKPCGHVLCRACAVRLVESARADGDDAALTCYVCDASLAEKGDRSKEEEDQPAGKKKA RGLLPSTLIELRSDGTGFSAGGASRVERDSTNFQC
SAPIO_CDS5395	MDGKRHPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLD SEEGTPSTAIREISLMKELKHENIVALHDVIHTENKLMLVFEHMDGDLKKYMDTNGDR GALKPMTIKSFMYQLLKGIDFCHQNRVLHRDLKPQNLLINSKGQLKLGDFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQI VRIFRIMGTPTERTWPGITQFPEYKPNFQMYATQDLRQILPQIDPDGIDLLQRMLQLR PEFRISAHDALKHRWFNDLILQHHQQQQAAAAMQAQSRGYPQQVPAQAPPYDSY
SAPIO_CDS5396	MRNLTGSTSPNSRPFGGASFVVPPAEPLQTSYCLVNEEEMNAAA ERRARSQTFTIPSRRKPSPAISSHETRGRPRYENEKLQSEPLSPTHAEGGAARRESTQ TIRPGDSGLTMRPSNTRASSILSPSVPEGSVAISDISCSIPPHDSPVASFSEYPFSQA ASGLAELLDSSPVVRQDQPASDYTEQQLIMPLITLPDRRPFTETGKAIGGLKILVAGA KGTGKTALIRAILQCCEHIVHVGQARDALAGRNLPNYGRGSRREGDPSITEVYASTMP RPPWWTPCDGDTSEPYQDPELDTQYDKNICFVDTPGHGDDSNVPVRLHLACLTKEDMQ FLLALQQVTNVIPVVSHADTLSVEEVASIKETVAQQLADNYVRPFTFSTSGTPEGTVA VYAISSSPGSEFDLTDASLLVNSEYIQPLVTTDLARLVDDIFCPNGASWLRHSAGKVY LEWRRRHTQYGYGMDLCLAPRLSIQDLAPAGGILRWRRDIGSGRTDEELLEDWAAALR RSLTNNGVQNAQLLRIAHRPSDLAVARTRRHGKGGSRRLKMAEPKTHQDPLGLLEILG TIKSRGQTIVEVVGTVGVLGTFGVWMWYAGGPGVA
SAPIO_CDS5397	MASADRQLGVTPPISMALPTPAEIEANNAMVEELRKQGIFESKE ETDKRNLVLESLQKMCDEFVTRVAQEKDQGLARDARGKIFTYGGFRLGVFGPGSDIDT LVVAPKYVTRDDYFAIFPDLLLEMAPKGAITGLAVVTDAFVPIIKFEYWGISIDMIFS RIAMLKKLPTNTSQFNLTDTALLRGLDETEIRSLNGTRVAHEILDLVPEQSTFQMALR AIKLWAQRRAIYANVMGYPGGVAWAMLVARVCQLYPRATAATIVSKFFCIMRQWPWPQ PVLLKHIERAPLGYRIWNPVVYPSDKHHLMPIITPAYPSMNAAYNINRSSMSIIQTEL SRADGITDGIVVGQRPWSDLFEKHTFFTADYKYYLAIVSSGITKDAHKKWSGFVESKV RMLVLALDRHDTIALAQAFVKGYDRVHKCNADSEIQKVQQGDLAYMIKEEDAPKEEQT SPVKSETKPDPGANEKNSAGGSNSNGDVAGSADAVKNEEGANGVKAGDENVYIYTTTH YIGLALRPGQKSINLANEVGEFKKLCKGWEKFDHQLNAITVQHLRNSDLPDDVFAEGE VKPRKRVVRKANGTGPSPPVDAKQATNGTTSQVDSKKRAAAETGAAAPAAKRRQPASV VAAG
SAPIO_CDS5398	MVREIITVQLGELSNYVATHFWNTQESYFTYGDEEKSPVDHNIH WREGIGADGSETFLPRALIYDFRSSFGPLGRSNPLYENEEDDPNRHLWHGDASIHKQP LIPKSTYHAALDAGITPTLTSSAVRYWSDFTRPFFHPRSIVQLSDLEGTPSKKAATSE GKEDVGTTAPTLDTFDQGRELFKGIDAAEDVLDHHLRLFVEECDLLQGMQLVVGGEDG WSGFGAALLERVRDEYAKSCVWVWMPEGPSGGKKEKQILRLINKAKTLTETLPISTTL IPLSLPSATHLPSYSLNPSSPWHVSALLSTALESSTLPTRLHPFAQHPTPTTLSDLSA ALNLQGRHNLARLQMGLGEGRGAADASEALDIDLFDLTGRTGREPKARGGAFGRVLVQ RGDKRSEEQEDEVFKALFDEMNDNTPTSRFKSPLSYPLPDSFPPIYQTKSPSLTVDAL LSTDAQVSVSAKTLRRLVLPVLRGDERENVGNALAEIAEEYHEGWSSGSDDDDY
SAPIO_CDS5400	MGAYSLPKGPLTSQIINVLETPPHSPEAPASPHPESRPLVVSAQ GHHLFLEDGRQILDACGGAAVSCLGYGNQEIIEAVASQAAEVPYVPWAFFDTYSTRRL SDWLIKSTGGAMGKVYIMSSGSDAVEGSLKLAREYFVWKGEARRVNFIARQSSYHGTT LGALSLSGHAARRGPFEDLLSRHVHRVSSCNPYRQRHPGESDAAFCARKAQELEDVFL RLGPETVAAFVAEPVVGAALGCVPSVPGYFQAMKSVCDKYGALLILDEIMSGMGRTGT LHAWEQEGVVPDIQTIGKGLGGGYQPVSAVLVGRRILDQMEKCGAAFNNGHTYQDFPV AAAAALKVQEIIQERNLLANVVAQGEFLSRRLKERLGGHPNVADIRGRGLFWGVEFVK DRATKEPFDEGLLIAKRIHEAALSEPFNLMVYYGQGCAGGRKGDHVMLCPAYDVSGEE VEDMVERIGGAVEEVLGAQGKLDKLEKL
SAPIO_CDS5401	MPPSSRRSQKTRRESKRDNSTAIDSSPTRPAKRRRKVDETTTPS TAATAGNSSAAVPPVPTPSTDPIEEEDSVLGNGSEGDQLVSRVVHYLTSPKDQRIQAS KDHSNAIHETNKDGVKAYAKVAAQDWTFYITKLEVNIGRASDPPAAHPPAPDDEDFVH IDLGPSKTVSRQHAVICFDTKEEQWFFRVKGRNGAKIDGEPMKSGTSRGLKSGEVIEI GGVEMMFVLPTEISPLHINETYLQRAGISPSELPSPAINAPLLSTTQPSDDLPSSAPA SRPPRSSQSAQQQQQQQQLIAPAPPNYRRPGTPPSAAGRRTTMVQMTSPQAPSSATPF GMTLEIDLSKDENRHIKPQFSYAQMITQAIIHTDDGKLNLNGIYNFIMDNYAYYRHQE PAGWQNSIRHNLSLNKAFEKVPRSTDEPGKGMKWQIVSEYKDEMVRNAFKGGRGGHRG SSAPSSPSHVNYITLTRDPASARKRRMSPILSPPPASSSLAIPQSTPDRSRNRRSAAV LPSDGSPLPRPRKPVPNAAPSSSIYQPQSPTLASSYLQDENTSFVTPAPPRVHPRLAP PSTAPRPSQHMPTSSPAPFWKYADIGSTPLRPAAQFDASPSRRLGGGLPHSSSPPARP TKSPVLSPVKPAPEPPADSSAGDIEEEQGFDLAKGFQSIGSYHAPVSQGLPIARARDS F
SAPIO_CDS5402	MSQQESASSQAKENGDTPVLNGSRPEDAEAPEEQADAGVDAIVT LTVLLPHAPHKIQVQVSTQEQVHEVRQSLIDLQAAFQYTCFRLEHNGERLNDFITLAE VPELVSNPEIKLVEEPYTEREARLHFVRIRELIGAAGDRCDITYGILPGTSLLGDLPV EQQGADANAANAQGSPVADYDFDATPALSALVPAAEQAAPKTIKSIALSSWHPPPCHL RQKGHLLYLVASTNEGEQYQITSHVGGFYVNKSSNAKFDPFPKGTPKGHQAHSLLSLL ELISPSFTESLAKLHEHNGRKDPLAAFQITHAIPAAPWAVPPSSAPQCTHQPDPARSQ ETYLIGGIENADTLRDWNEEFQSAKEHPKESVQDRVFRERLLAKFFADYVDAATRGAM MVARGEIPPLNPTECTDAQIFVYNNVFFSFGADGVGTFTTEGGDEAAKVATSKDVAGV RLVNQLDIDGLFTAATVVVDYLGKRVVGQSIVPGIFKQREPGEHQIDYGGVDGKDVVT ADERFAAPFEKLSRALKVKKHPVWDKEGKRFDLEASVETKGLLGTDGRKYVLDLYRVS PVDIAWLEETEADEASSNGARYPHRMTVLRPELIDLYARQKMKEWVDAELASRAKAKE EEAKEEKKEEESAEGDEKKEDDVSKDQIDLSKFRFSLNPDVYSGQVPQTDEEKAELEA DEKKVREVCQYLRDHAIAGFLKDLVESDAGFPMDGSSLSRQLHKRGINIRYLGKIASQ STDARLKCLLDVCIQDMVSRAFKHIASGYLRRLPYTLAPACISHLLNCLLGFRVNAKP SADIDSTLSALYSDADLSFEKVTPQSLRTSIEEQVAQRFRYTLPEDWAEQVKPRQLLR EASLKLGLQLQAREYNFGIEPATEQAAPAASEAAAQPANGNGEGSNKKKKKKARDGSP ASTASNAAANTFTSEDILNIVPIVKHSAPRSSLAEEALEAGRISIIQNQRQLGQELLL ESLSLHEQIYGIVHPEVARAYNTLSMLYFQMDEKEVAVELAKKAVVVSERTVGVDSPE TLLNYLNLGLFVHQAGDSKAALTYVRHALQLWKIIYGPDHPDSITTINNAAVMLQHLK AYHESRLWFEESLRVCENVFGKQSINAATLLFQLAQALALDQDSKAAVNRMRESYNIF LNELGPNDKNTKEAESWLEQLTQNAVSIAKQAKDMQARRLRAGVTRVGANPRAALGQA SVRGGVVPSAAAAGDAPTVDSRSIDELIRYIEGTDQKGKKVNKSRSGKSNPKRRGGAS SASK
SAPIO_CDS5404	MSSQGSAAAGGGQGGGSGGGGHGAPEDPSIGELHTALASYLMVV CSGLAVILIGWRIYTKLITTARQMVCLSNEKQSYFAIPNTRLSFLKRNVLYAPIFRKR HNREFQLSRAINVGTLPTRMELVFLVGYFITNVIVSVVGIPFTEQFGVAAKRFRDRTG YLSVINMIPLFVMSGRNNPLIPLLGMPFDTFNLLHRWFGRIVIIEGVAHTIAHLWASA STGSWAAAWATAWKVPFMMWGFIATIAFVALLFQAASPVRHAFYETFKILHILLAIAS IIGLWYHLKLKDLPQLPQLGAVIALWALDRIIRMGSVAYRNFGKGGSRTVIEALPGNA LRINVTLARPWTFRSGQHAYLYFPTFGYWQSHPFSVAWSEDSHDPSSEKLAMNVQELN SMKKSTISFIVRERTGVTHALYKKAANSPDGKFTTRCFAEGPYGSIHSLQSYGTVMLF AGGVGITHQVPYVRELVAGYANGTVATRKVVLVWTIQSPEHLEWIRPWMTEVLAMERR REVLRIMLFVSRPRSTKEIHSPSATVQMFPGRPNIETLIGIELEHQVGAMAVSVCGPG TLSDDVRRAVRNRQYNSTIDFVEEAFTW
SAPIO_CDS5405	MGLVYNTYLNSNRIYGCKNCKAHLANHDDIISRNFRGQHGKAYL FNSVVNVDTGEASERNMTTGRHVVRDISCRQCKETVGWKYDKAYETTEKYKEGKFILE AELLCNVS
SAPIO_CDS5406	MASRQLIPFLVAMMLLTGVCNTLLTKYQDNQCARNCDSADPSQR RFFHQPVLQTLQMFIGEMGCWLVIGAGALYRRYVSKKASAAGYEAISTDDNDVADPAD HDDDPVKSDTELKGIRVLLLSLPAICDICGTTLMNAGLLMVAASIYQMTRGALVLFVG LFSVLFLKRKLFLFQWVSLVGVVLGVGIVGLAGAIWPDDQKKAQLLALASTEVASDAL LAVVGVLLIAGAQIFTATQFVLEEYLLEKSTIEPIHVVGWEGIFGFLVTLIGMIVLHL VIGRTEAGQYGYFDMVEGWRQVTSKPELYVSSILIMISIGGFNFFGLSVTRSVSATSR STIDTCRTLFIWIVSLGLGWETFKWLQVVGFALLVYFTFLFNGVVKPPVKFLQVEEEV EELLPEEPIEHN
SAPIO_CDS5407	MASPTGTSTPVGSPFPPLEADPESIPPTSRTNSPDLPPDAQPPL TLAGRLRRLSESFGESDIPESFCAATGSFASSVLSAPPRRRSSLASSAGVSLGTASPA PEDGGRTSRAASLSISPPAVITRSRRGTEPLPETVEHEHEHETTETSSVTIDQALSQK EQRPSDEEVTGKPQGLVGEGTGLVSEAEHAGTAPFDNGYHFPPSHGFVGATKLGAIAL WNYFLTPLGFFVVIYGLNVVAWGGMIFLLLCNAAPAMCHPTCNDINSPRRIWIEIDSQ ILNALFCVTGFGLAPWRFRDLYYLMKYRLRSEHVALRRLAGIHRGWFRLEGSQNVPVN IGPADFLDTEKDDAAAGEYAPFLPYPLKRTPTPPLTEIRAEPTKVWKLDTVIWANAWN TIFQALLAGFMWGMNRYDRPSWVVGFLIGVALVLAIMGGIFIFLEGRRVKSVEGVELS EADKKKLAEDYELDIPHYNNLSDKPPKEKKKRTKQ
SAPIO_CDS5409	MLAVVFDGPHKVSVQERPIPKIRDDEDIIVKVSASGLCGSELHT FRGLEKQEPGFIMGHEFTGTVTEVGAAVKTVKVGDKIVTPFTTSCLKCFYCRNGFTSR CEKSILFGSGALDGGQAEYVRVPFADGTVVKAPSTISDKALVLMADIFPTGFFGAKSA WQLLPMKEKDDVTAVVIGCGPVGLCAIIAALEYKPKHFFAIDAVDSRLEIAKKLGAEP LNFKTDPEGMKKRILEVTEGRGADLVIEVVGLSPALRTAFDLIRPWGVISSIGVHNAE IPFNGHEGYGKNVRLQMGRCPVRAIFDEALALLEKRQDKLEFMFDKMMPLSEAVEGYR LFNAMEAYKVIFTL
SAPIO_CDS5410	MPTDVFNDSGEGSRPQTPFHPRYEPVAGPKFGDVIENLPRADEA YVVAIMDQNRNPDIPHHIIEDGPDLSDKESYTSEHRDHDWASPSRPPTPGKKMRLPAP QESDSSSKFEALQQLATDALANFASPSQASDLSPGPQHAPHGDPRRQAPALLTGRDLP FRREGSLPVSASAPTPSSSSSYAPPDYFQTNTLLSALNPTSPVGTGLPAAPLASPKSI GPRSPTASLLPHRPSQQHLPRLNSAPLNSAPNPTGRLPSLHQLMGPHSPTSSIERDDD HLSYPQTSPFAYQPSALPRPPSISGHHQGTPPVSPNGSYGRDFPSSPKPQGRTANYYP TSKPGPIMASGVSAITTSSLLRSAGGEYMANSNAATSNTDHSGLSAVEGLVSSGNGSG SSEVGTFKCTFEGCQAKPFQTQYLLNSHANVHSSARPHYCPVQGCPRSKPGQGFKRKN EMIRHGFVHESPGYACPFCPDREHKYPRPDNLQRHVRARHVDKDKNDPKLVEVLSQRR DGITRGRRRRGAQS
SAPIO_CDS5411	MTKSDPKDALEHAIKAAELYMRASAEAKTPADRSRIRRKIEEMI TLAEYLKGSTAGEVIQPKQDRRIPPREILTLLKSSKLHGCEFLPWRPCHGGPEVFAQK QGQGLYTDPTPLPLSKVQLENFDGWKRPKDLLLSLESLSLSDDADSKNGKYIFRMNFN GCFRKVEIDDRLPAAKTDRALFVIDRRNPAVIWPALVEKAYLKIRGGYDFPGSNSCTD LWVLTGWVPQQLFLQRDALNLEQEWDTIVQAFKTGNVIVTLGTGRISHSEEEATGLVG EHDYGVLELDSDPDKRRLLVKNPWRTGETWNELGSTSVATPPAEEPDGPVSMHDQTGT FWMSLADVTQHFESMYLNWNPALFRHRQDIHFTWDLAPRKNMSGTVVDNPQYSITAGA DGSVWVLLARHFTDEEMDLIRKRSQSSDKTGLDIGFMSIYVFEDNGKRVPKLTRNLYH GPFVDSPQTLLKFRVEKGKSYTVVPVQEKLPMAKCNFTLSFFANQTLDVAPAKNPMRH NTDIPSSWTRRTAGGNSGSVNYGTNPQFSITLTRQSSLSLLLCTDNLDIPVHIDLVWA NGERVGPSLAIKDVLADSDAYVRACAVLDVPSVDPGTYTAVCSTFEAGQLADFTFRVG SSAPHIVRTIPAEGSGRLRTQLTPFVFAEGESCLRAPLRVSRLTRMCASVRRTAVPNN THDLGPRRSSTPLKISVVTGRGPREVVLGVSGQGEFTEPTAGLKTADFDVEPGRVLEE EGLWVVVERVGGNRSRETFQVELLGDASITAGRWEDAS
SAPIO_CDS5412	MAAQSKQDLISPWGRAAAGATGAVLANALVYPLDIVKTRLQVQV NPGKEKKTENGEASKDDEPHYKGSWDAITRIVADDGVSGLYAGIAGALIGVASTNFAY FYWYSIVRTLYLKSGRASVPPSTLAELSLGAVAGAIAQIFTIPVAVVTTRQQTQRKDE RKGMFETAKEVVDGPDGITGLWRGLKASLVLVVNPAITYGAYERLKVILFPGRTSLKP WEIFCLGAMSKALATIATQPLIVAKVGLQSKPPKSRNGKPFKSFGEVMKFIVDNEGPL GLFKGIGPQIFKGLIVQGILMMTKERMELLFILLFRYIKSLRTRRLDAAEGVVLKKCY VSATTERGAHPVDLEVGSVDLTDRWRHE
SAPIO_CDS5413	MLNMSGAHIPGNRNSTPEVNTVSSLRPPSSRAVGSSHLRASADM AALTGSNISTDRARPSSDFYGRVQLGQGQANIETDPQQELAQQWLADIDQYETTLEEM AAATLDQDFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNH PMSGVLSPTSFDKDPMSNRLNDAMSKLSVDSARNSMVRPTAAAGKRQSGLDPSTINAM FPDAAAAIATEKAKFTQQTGNPPASNRNSTVAGPVETDSGAQNPSSPWPISNEAAGQS KPATSLPPMGQFVQPQPSSGLRSPRPPQLSSNSAIQSTTITAPEVSASDLPLLSPYTA GSGNWASMVNTPLVANFNSAQANTQADMVANATAMKLAALSTVNNRFALDDVRKYRKN RPNDNMQGPSNPPGLNPNPQGVNLPSANVVMINEHGQVVSREHMIALQTQQGLSFGQR SRPSSPGIAMQGTAFPNAGVPFTSPRNNGFLSAYDGTQLLGNAIPPVNLGQLGIGLHE GYLSDHSDMVRGRSPRGRRGNSKPPEDPTDPSLLQDIPAWLRSLRLHKYTENLKDMKW TELIELDDKALEERGVNALGARRKMLKVFEQVKDAKAEGRIG
SAPIO_CDS5414	MAGLSLQSFGLVPMDEDIAPEFRRHPSAHDGPSPVNYAVLQDGD VADADSDVEDLEQDIEKLNVSVRAFLASQNGVAPRPKSPQAGRSAPRGPRKAVEPRGD IKARLFKANRAFMMGNYQEAKDLVFEVIRINAETHQAWTTLSSIFREEGQVDRALMSM VYAAHLRPKDVQEWLKCASFALEVAEENRGVDLNTARMCYSAAVNADPKNMDARVGRA IACHRLGHISLAIADYKSVLAQRPLDLEVIRKLAEACMDSKNVATAAAAAVDAYDLYF GHVDALPITWYDVGIYTELLAYAGRYRDAIARLKSLSRRLLGRAEDMFWDQLEIDDRE FDEGDERRMELPEYATAAQPGPMAYGLGLPRDLRVRLAIYRLSVEDFSEALHHLKYLD PSRADMVADMAAFPFLIRDLAGQLFEHELIAEAQAYYQFLRSLPDEPDALILLQLGRC HLLKGESSAAEECFLAAIEADVDNIDARVELANLYSTAHEDEEALILVNEAMTLQETQ AALAGGAGADRDKLKPVPLSRRSYYLHRPKGASVRFKAKPSGVIPTRYRPKRLVDPDM RRREEMERAQRLSTQYLTVQDLKAKIREGDEGLVPAWMAAAKELIDDFRSYRKFYSWD KYLKFLGRTNATLQQREAGQLSNLDVIAERLSKAIIVPQAPDTQDGGLRDTNFKEYQG ISFGNWLDLFLDYALSLAATGQYEESYRVCEAAKDSTVFTVSEDNVFFIYITWGTCAI YAGDEETCVSISRQIMRDHVLSSDSFRLFSLLCRLCQSSISWYSSGPAQKFILRQIKA MDAAVTASGNAPAGASGHTHLDVSPLMLYGHILFTSTSYVYAIHYFLRARSLDPSNPV INLSLGLGYIHYGLKRQAVNRQYLILQGLAFLLDYYSSLGPHRSDEANYGLGRTFQLL GLQHLALDYYRKVPLEVLAPRTAGEMLDEGGDEVDGVTGRSQHDGGRVVKMAAAFNMW VSYVLSRDLEAAREVLRALVL
SAPIO_CDS5415	MQAIPSLVGTGTAVAAAATGLVFSQPADLNSTTDQTVTNERQST LAGEGPEYAYAGASLFSRPGTSSYTPASAAEDKAYNTLPHHFSLTDSTSTPPRPRQPN PHLTSKQGHLRRLSLNRPGDYSTHPIAESSRDSLSSNGSWIKRFSIRPSSVRSSVGPD TQSLTFSHGSSAPFLPSDVITPLPPNKLVKRPPPPSHANYSDPQSRRRSKTHLPSLRR PATSHQRSATLRQSRQGNNDLTSPPCSPRKFSFDRQLPGLDLSPPVDRDHILTHPAPA PRGWISFFHSRLVKVGVKGISSRSNDGGPSTLVKRISPNNSHRKPVYLTQPGAISSIP TQKRGPDCAEEEQPEVADDSNGRSTDSSNSTPEDTPSKRAKRSISMTFSSPGNWIMRS GSIRRPKRGSESTKPGGKRHVSAPVSTDPAQQERSPNGKLTRTNAKSKTTDDVVDPSK QTLAQARNRNTSSPLPPLSRLSSFNIDLTKLSAASGAPSPVGAISPTIRPHQPSGSSR ASSSMVPPGLSRTHRSPTLTSSDFDGRDFTSGDDDDTDFKSDTIFDSIRTVASGRVRS TETPLESMFDESPPSTAGQTKAKRLSIQEVLIRNWDGEHDRIMEEDDEGALTPVRLTH NIGGLQEPATIVRNDSFTLGGSTNHYSLPNKDFGRLSLDDDDEDWTRDDYTDQDDDVL SNRLSPPSKNSVVNARNIHPNLRVALASISGNRHSEARNSTMTERPLSNLFDWSEPAV YEKQDGEGQSLRPKTVHGKQDIDIRGGRAVTRRGPIAAHVRSQSVPIVHDQIENQKTP SSTKFGTWGLGSKGVSEDWGDDFEFEESPTELTTGKDSCRSFVVPEPIQASQSSVKAH SGQIRELSLLVNDLKRLCRHGRDMDMLGGPHASLWKEAEGIIALASPDEDEPMEGTQT ASSSPPTEIPSNISDRFNDDGFDAASLDFSDISMSKTTVVRSRHSIRRRSVIPDDDIF GGGGGGGSWPLSDDSSLPDAPRTPENRATRSTDDVSGIVKTVMDAMQQRSVSTPVRGQ ANNKVHFDTGSLKALVKRAGDLRDMLSELVRRADPIAPSPARTPRHDGSPAFTRVFNE PPSSPSRRLPHSRSNNSVLSRTSMDTSSSTTMGPKRMQVMTVS
SAPIO_CDS5416	MEAEIRTILPNIDPIISEYSVGFLTHASTVWSEEDEAANSSPLA DAAEAVTEILISASGQPGSPLEKKIKALVDKWVDKYAAANGGERRGPSVVRRLDQTIQ VSSQRNMSSTLAVSTGGVDLESANARKVESKVDRKKLEKAERKIAAKQQKKTFKTVEY EASRLLNQPESAQSYEEFYMAVNPLQLGSGQGQNKSKDIKLDNIDVSIGGNRILTDTN LTLAHGHRYGLVGHNGVGKSTLLRALSKRELPIPTHISILHVEQEITGDDTPALQAVL DADVWRKVLLREQSELTERLADIEKQRASMADTSTDASKLDQDRDTLDQKLGDIQSKL AEMESDKAEPRAASILAGLGFSPERQQYATKTFSGGWRMRLALARALFCEPDLLLLDE PSNMLDVPSITFLSNYLQGYPNTVLVVSHDRAFLNEVATDIVHQHSQRLDYYRGANFD SFYATKEERKKTAKREYEKQMAERAHIQAFIDKFRYNAAKSQEAQSRIKKLERMPVLE PPETEYSVHFKFPDVEKLSPPIVQMTNVTFGYTKDKILLRNVDLDVQLDSRIGIVGPN GAGKTTVLKLLIGKLSPLSGLMSQNPRLRIGFFAQHHVDALDLSMSAVSFMAKTYPGK TDEEYRRQLGAFGITGTTGLQKMALLSGGQKSRVAFACLALTNPHILVLDEPSNHLDI EAMDALAEALQNFQGGVVMVSHDVTLLQNVCTSLWVCDNGTVEQFPGDVNEYKKRISA QADAAGVVKRH
SAPIO_CDS5417	MREIVHLQTGQCGNQIGAAFCYNGTSELQLERMSVYFNEASGNK YVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDQV LDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFPDRMMATFSVVPSPK VSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLSHPSYGDLNHLVSAV MSGVTTCLRFPGQLNSDLRKIAVNMVPFPRLHFFMVGFAPLTSRGAHSFRAVTVPELT QQMFDPKNMMAASDFRNGRYLTCSAIFRGKLAMKEVEDQMRNVQSKNSSYFVEWIPNN VQTALCSIPPRGLKMSSTFLGNSTAIQELFKRIGEQFTLMFRRKAFLHWYTGEGMDEM EFTEAESNMNDLVSEYQQYQDAGIDEEDLEYEEELPLEEEE
SAPIO_CDS5418	MSVFLPSRTAVFGTCVAGARAAAAARQFSGTTALRSKLGRTPIS VPPGVEVKVGEPWVKRDLTTYLKTVRKTVTIEGPLGKIEYDVPDFVKITIDPVERQAS LSVEDSTIKQQREMWGTTWAYLNRHIMGVSEGHTAVLRLVGIGYRAAVEDRPKQAEYP GQKTLTMKLGYTHPVDLLVPKGVQASTPNPTRILLEGINREEVMSFAGRIREWRKPEP YKGKGVFINDETIKLKQKKIK
SAPIO_CDS5420	MMNNVRDPSRLRLGLNPLLTTSIAPAYPQPAPLSAISLSSNVQY PLQTPVSAIQPYNPQEWVASPMAGPERSHTFGHDQQPVSPPPPPPYSPPRSQQPVTQP FEPVTANTSAARIPPSSLNAHRPSPDPPAPTTNFPPPPNSSGRGGSRERRFGLPSLTR RRDQERDQHAQDPSARHSMWGLSIQIPSQQEQQRINATHPHIAPPSARRAASAGAIET PTSARSRPNSRWEPGMPLPPPPPGPPPSQSRSQSLQPSDRSSVPVASPPTRRPPPNGV TALGPVPPTPANWVDEESTPNQANDPSRLQSPPQAVDASSVHSSNQPEESVASCTSSG GNLTRTGAVRHDKTIIQRRAESRTRRNSINPDDTSLSVSDIVVPSSTTLSCRLTINKS TPRSAGRANFEAGESSSHAPRTNLTPRPLGSSQISQTDTGTPPFSPRGLKATPATAEA SPSNAPKTHPTPPPRTRSTSSDARLATPAESLRPPPSGASLPPTRQAIVMQSSDQFTR ETIDRFKLFAMREASAETDAERVRLFADFIVSESRIRRERYGGAIAAMGSEIFDLTRD LFRPMTARRESGTSWCGDSTPQSAAPNSAQRMLIHPNQPEGATNSAPPSATLPQSPAP GGTPTNANWGTNGYMPSLSPILSMSNVDESDSRGRPASRWWEADSSGEANQRLERSKR ESKYMGVPKEAREALQWVDSPRRADQYPPNDSGSPNDYPPEKAGLIDQEQVRTPQNFR HSALSIATASSQPSTPNPSHLDISRLVTLPPPYPRHHPAVNNNHPDLQEIRAVVRTLS DLEEVKSTKERFLKESDRRRQELKKQAAEHRQALRINLQEEINSGNMSYAEAAAIDAD ATEAEKGRTKELEKVDFEKFQKQVVAPINELLTTRIARSTQLLDDLSGGLFNNIENAA DMPQEEGDDKPELLEKLTLLKWIFEARETLHKAIYDLLSDRNDRYKAVITTPYRLSGN VEKLRSAEAFFKEDAAKRAYVFATEVLTRMEEFEKVISENVSRGVEVQLSAFWDIAPN ISRLLDRIPNNLSGFRVQIPADEFDENPSYHEHPLQYLFSLVLHAEKSTYQFIESQTN LLCLLHEVREAVTHAKIKVIEAEVDEDGNPLPSADREGRARQMKESEGQRLTDDLKEK VRIVQDQWNESLGEAIRHVKERVGGWLLETGGWDEQLEDGGVGVA
SAPIO_CDS5421	MVLHNPNNWHWVNKDASEWTRTWLKDTLTKIEATEGDVSAKITN VISMDGDVDVSQRKGKVITIYDIKLTLEYSGSAPDAEDVAGTITIPEVAHDTEEDEFV FDVDIYSESKEKQPVKDLVRSKIVPKLREEFLKLPSALIAEHGKDIQHAPGSNPSSGF NTPKYHPQTTSKPSPAAAAKPTTSSGLVNTVTVTDTDEFRTTADELYQTFTDVNRISA FTRGAPKLFEGAKKGGKFQLFDGNVAGEYLELEPPTKIVQSWRLNQWPGDHHSRLQIE FNQNDVDHVTVMRVTWEGVPVGQEEVTKRNWREYYVKSIKQTFGFGTIL
SAPIO_CDS5422	MVSFTTLILTILSISFVVFVIFFGRIPALRNTPIATLNRLILVH VPNGILAVDKKLTGGRLTTGLLRFGRYLMNDRHPTVLIFYIALLVGSEYLFLPEAWQY LSTFHRVAGTIAIILPYVFLYLAAFTDPGYITHTNHAYHMQLYPYDFSIFQPGHECRT CRFLKPARSKHCNICKKCVSRNDHHCIFINKCVGYRNHRWFVLLLLSTAVLASYGALM GVSIMADQIRDKYPFWSIWPAKDVDWSQYFFAWGWGLQENLGIGAITLLTGMLAPMIW SLLAYTMFLVYCGTTTNETLKWSDWKVDMDDGFAFRRRMSPNRQKFTTIEPAWTRWPV ETEQVLTSCENGHPPPEDAPLPGEGPWERVWRLKDIVNMYDLGLWDNLRDVFFLDYDF RRGRLPSGGGRLRRQKPPKASPL
SAPIO_CDS5423	MRHLTQTLGLQLSTSEIPHIVMAGSEGGIFEGIKFWLAQRLPTR QHFKDLIEENGGTVVALDKKADILIADHLRKDCPPNSYSWRLIEQSVKEGRLLDKEDY PAGRLARVPRPIASSQVTKGHRSAFTTADDMILASWATKSDHPSGNKLYMELEASYPH HTWQSWRTRWAKVLRYLSPEVLERMAAAAPASGNRVPTSTPAATRPSQRAQPDQPAPS PSPGPSSPPKQASQPVRQREEPTRQRAPSPPYTSTDDDVLERHVRAMVEKGKLWNSRS VYEELAKKHSHHSATSWQNRFAERWRDKDVDMRDWNSNQPPHSLRSRPTEDGAVGQRT LQNGEANADDSASPRGSPEAEPEKARFYKDLAIFIETEEDGGRNLKMKRRHRFQGVTF DLWDLYQTVLRQNCPVDDVDWELVAEDMDLDWVAGPEVAELLEGCWDKYLGKFHELTA GFAYETQEMDTVEVATSELEEEVEEVVEEVGEEEEVEVEAEEEVEEGVEEQIEEPGDE DIAAEEVEEESEEEDVFEKPSVRARQDQHRRHTMPALRERDSLLPSSPPVRGLPRPAH LGQSSPTLTDRHHPTTTTLLPSRKRSRFSLHSEIPSTPDSQLQPRAARHDAETPRKRR KLRHAEDINTMEPEPEEEEEEEYITPSRKPPLSSANKRASSSVAHRRVETGRAASSAV RRKTATTGVTKETRPAPSPHTPRRRGVRKTAQPRAVPQPERDSSAPPREEPEQEGEED NSDEVFAAVERFEAQGYSRETVIEGLYRTSMEPTRAELVMESLKTGNGVPKNQRGVWT VRDDERLKAVDSGGRGIAKMRDTLLKKHGAATVDARRRFLADAEAIGFSL
SAPIO_CDS5424	MPPSKIKIALIQLYPKPLSPTHNLSKAESQIRLAASSGAHLAVL PEYAFTSWYPSSPSFSATCAESSRHLLPSLQRLAASLSIAIVPGTLVEKRPDGALVNV CYFISSTGDVVGRYVKRNLWHPERGVVEPGREPHDVFETEFGPVGLLVCWDLAFPEAM RELVAKGAKLVIVPAWWLLSDAGEEGAELNPDCERVFLESMVVCRAFENTCAVAFVNA GGPSTPKDEEEDIKANGGRKREYAGLSQLGMPILGALGKLGQVEGMSIQEVDMGVLDV AERTYKVREDLSKEDWHYRSCV
SAPIO_CDS5425	MGLFSLLNFSENGLFTNAAIALVTVLFVYRYAARKIHPKEPSVV APRVPFVGHLIGMAMHGGKYVKNLGLAHKHMPIFTLPVPMSRIYIVTDPSLAAVVQRA SKSLSFTPLVPDITERVLGLDKRTVEIVKQNLDPLPGEERGFLADIHDMLYNYLGPGE ALNDMSCEATQELYDQLAGYVNAIREKKGRSEVVDLLDWVRHFVAAGTANFLYGPNNP FAVDPKLEKAFWDFDHGLGGLLMGIFPSLTAAKAYNGREKLSKALKDYLEAGHHKEAS RIVQSRIRIAEEYDWTVDMTARSELSFLFAGIVNTSTTLFWILLQVSARPKLLAQIRA ELKDAMVSSVETSGEDTLSMNAVREKCPTLNAVFRECLRVGSENYSTRLVKEDTMLAD KYFLRKDSVVQIAGSVIHSDEEIWGDDVAEFNPDRFLQNSRENKSNVHPAAFRAFGGG KTLCPGRHFATNEILMLAALMILSFDFSAPGGGEISVPKKNDAIMPVHILEPLTREPI KVDVKLREEADEAIKLKVAL
SAPIO_CDS5426	MDPSMMKTMDYFGQNMDSAGRQNAEASSSTAPPPVTSDASLWDP TLSLGMSDEIATSIPYGQQTTSSSQGVHYGSLAGPQPYATPSVSQAPVAPSIATTRKR EGHDRKRAKVDNDAAALESVDYWIHLDDDDLDNKLGGSFEIDFSKRRNDTANYARPAP TFNTMASTPGLGTGLYTTSNTALFKDDFVDDSALDNALSDDEDGLDSLNLAEQLSKID TTAPTEVPPREGLYSTPLSWEKPQPGLRSDPLLGAFNPSTPLLSDAEQKKLLAIALNT SRPPPPTFSGGYGSGLNFGYGYDALNTGFPTLGANTLMETLGANNMGNMSKPVQRPKP QPPPQPQTPAQSQVQIPAQPQNEHSRASSQAQTPNQFNGPHQSPQSQISQHSLQQPQS QPQRPPAPSQTPQPQSQIHSQNQTPAPQPDLQSQSQPHSHPGSIEGESVKESAKLATT DSEKGKEKLRTGDRAAHNDIERKYRTNLKDKISELRDSVPALRAIREEGADDGDEGSA QQRPPKVSKGTVLTKATEYIHFLERRNKAIVREHQDLSRRLQAFEQLLNATARQPFPM PNYSRALFDPRGFC
SAPIO_CDS5427	MSLPKTTSRCLSSLTSSRLSAAPRISLPSRVASPRGLTRLSPHL TSTVKCQTRSFSAANHVDASQLQFGQPLHETHPHLLKAGELTPGITAQEYYDRRLRLC QHLPAGSVILVEAATLKWASGSVFYPFRQDCNFLYLTGFQEDSAVAAIHKVSDNPDDI YFHLFVHPKNPAMEQWAGPRSGVVAARDVWNADSAHDIAELSLRLPEILKHATMIYAD VEKPKPGQAGSKLWDLLQAGAGTEASAQASGKIERLSPLMDTLRLVKSEAEIANMRQA GRLAGRAITDLMRREWAFERDIEVALEYDVKRSGCTGLSFIPVVAGGKNTLCVHYVLN NAAIPADSLILVDAGGEYGNYVSDITRVWPQNGRFSAAQRDLYEALLSVQRRCVTLCR ESANNSLNDIHREARTGIATELEKLGFSSAFGYIDKLFNHHVGHYVGLDVHDCATISR SIPLKAGHCVTIEPGIYIPEDSRYPAHFHNMGMRIEDSIAVDKDSPINLTVEAVKEIP DIEALRV
SAPIO_CDS5428	MSNTRRPPLSSNPNAANSPLRGSAAAAAARTKRSMASIQREEAY GQPPPLKKQMLDGGSHRAVRAPAASLASRPRPVVHVQRSRIAKPVVTTTTATTTTEAA QYQRIQERDFLSWQKQYRARFPRMVFFFDSVPDDVRGKLVKFIVYLGAREEKFFSIDV THVVTTRSLPQPNPSTEHDAAETARSKRDSHAEEQPQTINPSLLDCSSESRRRMLIEM GNRRAAQQQDDLARLKAARNNDILYKARDLGKRIYTVEKLQRILDSLLEGDPYVSRSV SSREQSRGDDLAKLLRRERLNGPSDRDPKAVTKELNYFRGPYIYVYDMDEKTKPVMVR EYPKVADKTDGEWPQFKTVSQGRCPFVDESLADAKLMRRAMERKAAKEAAAKAASAAA TAASIAPTEHEQRPAEPQAPRVTGKRVRDEYGDPLTETKDVQNRTVYSVRPTDIFNPP KDITTMTHIDFTSQKFFTGRAGTGRVFAGEPVASGVQPSNVTSAIRSQAISSNAGVPG TKAGTSKELHNLQKKVLQKATPITHHNETDHRCVTATHSVEAAAVSRTNSKAPDTIEG SEGRAKRATSQAPAAAPQKAKKDMKPGYCENCLEKFDDFDDHVVSRKHRKFAESLDNW AELDELLRVLDRPLKYSHLSGDVDTHGW
SAPIO_CDS5429	MAAPSGSAAYLPLEWNADLEDGANSDIGETGVDKPAPPFHARHE AAGAGCNRRCRNRVKDIITEHERQVKAFKEEADRLREEIKRLENLLHPPKKTERTWPD LARAGALSHEELYKLSCKEENAHHTIVHPTLCFVEASLGRITRLCRESQEQDERKQLV WLRLMDLVLNPWKKRAFLEDKCSPRPPSRFKLDDLPMHIRVSIFRYVFTYPNSLIHAI SRLDPHTAPQEIPPTKGKRSGLLNRFHFTSRTPRGGQSCSLVFAAKGNDLLAPLLVSR QFLFLGAHIFYGSNTFAFSSLGEFGRFTLGIGRARRQRIQHVELMWIGSQELTMEGHS RRTWALASFCDMVRLRSLTVYVDESSSGVVRRQHETIPYIAFLAKKTAGQPNHRLTRN LRGLQGLDYVRQLRGLRVLSFIDLTTMEVVRDWSFALDLQTTCKREKSRLQHENSRLE NLTPVVRRFRPSQSDRDIVNSFYDTEWTPCVQRFENEEYDFLQNAVDRDESATAPSWV SSDHPWGHGTSAVQGFEQGPDRQQDQAQVLMSPPESANPHVEPHQPRTDQVKGKEPVD LETRQNVDAPSREQTPLAGPSRLPPEPAKSIHRSSSPLRSFLRRQVGTGAGRHPSPLV PRKSSSHGSRASSESGLFVGGPMASSIRGAASPAPTTPDTSDCSSLPGPFILSQSLRE PHPEVTAETQAEDVGGEGIEEAVREAVEEKKSAESGDAMAVDERRESPAADQEQDISM QGPEGTSQVNIIDDAIDQSPVNQQVEVLTVTTEQATHTSSMTYAGTARKAPMKSNIPV VEEEGEDESSESSSEEAVEAVESDSESSDKQVANTHREDTERNENEGDDADSDPEDGE NGASKPGEVGTRSSPSASQSVGQDAPRIHVQTGGKGPRKTPVVITIPDEEDEEELDRE DDGSDDSDHLDDLGGVKREREESAEDAAESPASKRLRIEG
SAPIO_CDS5430	MEGSPSPPLADLQGDMLSPAASPIPDRSSPSPELPLTMTASAVL SSLPRDATSALATAGRFAQEKVLVRFKPVGSAPGLSREVCKISASQKFEAIVAYLRRV LRVKNTDSVFLYVNSAFAPSLDEIVGNLHRCFKNANDQLVVTYSMTPAFG
SAPIO_CDS5431	MGNPLPSDRKKVTVGTIRSLYKKKEPITVITAHDFPSAHVADAA GMDIILVGDSLAMVALGMEDTSEVVMEEMLLHCRSVARATKAALIIGDLPMGSYEIGP DQALESAIRFVKQGRVHGVKLEGGAEMAPTIKRITSAGIPVLAHIGLTPQRQNALGGF RVQGKTADGALSVLKDALAVQEAGAFAAVIEAVPAPVAELITNKLNMPTIGIGAGSGC SGQVLVQVDMTGNFPPGRFLPKFVKKYGDVWSESFRAIETYRDEVKSRQYPAPEHTYP ISQEELAAFEKAVDSKE
SAPIO_CDS5432	MSSPRRRIETDVMKQEFYVRFNGPAETPFEGGIWKVSVELPDAY PYKSPSIRFANRIFHPNIDELSGSVCLDVINQTWSPMFDMINIFEVFLPQLLRYPNPT DPLNGEAAALLIREPKSYDAKVKEYVQKYASKEAVDEAGAESEDDDDLSSVASFGDDD EEPAGQMDDCHQALLKSSQPQKKSRAGDSVTNDSLPPDSAATTGKGRGIEAVKMFPSK RKKTDSYSEYDLFSEPVSSHGDTRRRDEEASEREGHLKTTWHLLDNSGRFLGNNTVDE MKKHVASKLGCSDKTWNMLCLSHRVRIWWGKGMFAFKCLGITRGAGSTYKVHLRFIWM PRTLVLDNQQEPSRQINLAEGGGREMFEVEFDGKEEAEKVKMMLDFQRVMIVVMTIAG GTRSTGGAGSPELLEDIADPDNEGSVQD
SAPIO_CDS5434	MANTAIDGLRLLSHHLSKHWADPFAAKSNWTSNKAKATILGSLP KVITIRNGEYSSRLLDETRPPSSGPGDKDELRNGDLPVNGPSLELVVVPRDENDRVNL SSKSHNFAKIIAASNIDPVIHQHIYLCSYGFYHYDSDGLVEATGQPRSFYFGCFLYSI AWSFNPATMKTTGIIILRTVKRHNYGALPLEMLEGVLKVYSKFLTSPLFLAFASFIML AHVLDDNVYRNVDLLRHVERDTEHGPGREGPRMFYKQPDNLSDVSIGDGDEMPGVERQ DTVILEDKTDNINKLTEVSQQLADINVHLANLQRHVKFLMTMAETLEDVSFREYQFDG IPSGLLDSARASTEELLRPLPSLKRRILAVEPSIEYLQDRAKSLSQVVSGLLTHEDAE VNINVAERARKDSSAMKTIALMTMLFLPGTFYAAVFAMPTLKWSDDAPGVIQKEFWMF WAFTIPSTVLVMIASVMLHQRKKLVSKGKRWAKEWKMRRAQPRGRQRKRDMPQLPAHD PEKAYTMTPKAN
SAPIO_CDS5435	MLDLDIYQKNLAGLLLVCGTLLATGSPSKQGKQKAGRESPHQGS QRAFYIVYALVMASDWLQGPYLYSLYRDEHKVSPGLIPTLFTTGFLSGAVSAYFIGSV ADRSGRRLACLSFCVIYSLSCLLTVVPSVPLLFAGRVLGGIGTSLLFSVFDTWMVTDF HQRKLASKGLSLSRTFGTMSTVNSIVAIASGISSEWLVEWAGTRKAPFGLSVGLLGLA TVFIYSQWDENYGDATTPDSGSKPAENRLLKALTNKRVLSLGLASTMFEGSMYLFVFF WAPALEAAHANSPTPLPYGTIFASFMASMMTASLLFTKLSSYTTLSHGTLLSALLAVS TVIFYTLSSAPRTEQTAFWLFCAFEACVGVYWPVVGLLKGKVVDDAVRAQVYGVLRVP LNVFVVASLALTRGGGDYAKVFGACAAFLLAGAGAVALTIAGS
SAPIO_CDS5436	MPPYNDLSRFHRLLTENPRILLVCGAGLSASSGLPTFRGAGGLW RNHSATALATPMAFQRDPGLVWLFYAYRRHMCMNAVPNRGHLALAALAEKYSNVFCVS QNVDNLLEKANYPREKLRKIHGCLFGLKCSDDTCTWRSTNYTDDPLWPILAPASEDVD PTKTLPLLDPDHPAPHIPAKDIPKCPQCQNERALQRPDIVWFGESMDDRNVDDIQNWI DEGVDVVLSIGTSEAVSTAAGFLYDARAQGAVYVNVNLDAEEEDRLRYLDEEDFAFGG DASELLPKLFGPIIGEI
SAPIO_CDS5437	MRSSAISGALLALLGASMVSAQTFTLCDPTKKDCPADPAIGGDF TVDFTKGKSSFFHLADGTTLTYDKEKGAVFSIANEKQAPTISSPGYIFFGKLEVDLVA APGAGIITSIVLQSDTLDEIDWEWLGGSPDEVQTNYFSKGDTSTYDRGGKHSVSASTS TFHTYTIEWTQESLTWSIDGAPVRVLTYADAKGGKTYPQTPMQVKLGSWVAGRSDAPE GTVQWAGGLANFDNGPSHAYYKRIEVADYMGGKKAAKSYIYSDRSGTWESIVVDTEGE GLQDKQVDGGDDNKSKSTSASASASASASASASGSDNSTASAKPTASSTGGSSSPSGT GSSAGAQETGSQDSGASLVQSVTVAGAVLSGLAIFANLF
SAPIO_CDS5438	MAAPANEITLTGWTTRDVRFPTSLDKTGSDAMNAAGDYSAAYCI LETDSEYRGHGMTFTIGRGNDIVCRAIDHVADRIKGRTLSSLVADWGKTWRHLVNDSQ LRWIGPEKGVIHLALGAVVNAVWDLWAKVLEKPVWRIVADMTPEEFVRCIDFRYITDA ITPEEAIDLLRESEAGKAQRLEDALNNRAVPAYTTSAGWLGYDEEKMKALLRETLENG YKYFKVKVGGSVEEDRRRLAITREILGFDKGNVLMVDANQVWSVPEAIEYMKQLADFK PWFIEEPTSPDDIAGHKAVRDALRPYGIGVATGEMCQNRVIFKQLIVTGAIDVCQIDA CRLGGVNEVLAVLLMAKKYGVPVVPHSGGVGLPEYTQHLSTIDYVVVSGKLSVLEFVD HLHEHFLHPAVIKDGYYQTPTEPGYSVEMKADSMDRYEYPGTDGVSWWKTEEAKVILE GEKI
SAPIO_CDS5439	MSRRTLGGGGGGGGGGGGLRSFLFFFCLVLFFTHSLAASRSHQN LHLHHRQVEKSRSLSNSTQNQDELVLQALDVLRRRNKARVTRPVMNNYELADSGELAA NAILASPLDLDANMTGNSTRRARAGRSTEDETDDAASPYSISPELAEAAARMAEASPQ VPKGNHEELARETIAKYRHRRVNDTNAPPLKQRPYGRLGSYGVGQGFSQLHSDSMSIQ SQFVSMSASSETWWMAQQEQLGSAPFAPASDYKVWRNVKDYGAKGDGKTDDTAAINLA ISDGQRCGPDCGTSTVVPAVVYFPSGTYLVSSSIIQYYNTQFLGDPNNVPTILAASSF VGLGVITSSPYVSENEGWYLNTANFLRSIRNFKIDIRLTDPRAYICGIHWQVSQATSL ENIEFYMQFNSDIPDNTQQGIYMENGSGGFMADLTFVGGNFGAYFGNQQFTTDHLVFV YCSTAVQVHWDWAWAMHDFIIEGCGKGLSIVGWDPNAQTVGSLALVDSIIANTDIAVE SSLRSEDSTSFLLQNIALYNVRIGVLDVRTGETLLAGSNSKTIPGWGYGRIVRGDSGS TFQAGSEVPAMQRVESLTGEAYDNQEPNLYARRRPKYYDEPLSNIMNVKALGAKGDGV TDDTAVLNSILAGAANTSSIVHFPHGVYKVTDTLRVPIGSRIIGQAWSQIMGTGVRFE NENAPRPVVRVADPGDVGIIEIQDMLFTVSGPTAGAVVVQWNAQEATQGSVAMWDSHI RVGGAIGSNLRASNCPKQAGGAIKPQCKAASLMVHLTKSSTAYLENVWAWVADHDLDT SDRKQVNVYAGRGMLIESRLAYLWGTSSEHATMYQYQLAGARNILISTIQTETPYFQP MGSDTTLAPYRPGLFPEDPSFDHCKAGDQACRMAWGARIVDSETVYMLSAGVYSWFNN YGQSCLDTMDCQKRAFEVEQSYDVWLYNLCTKGIVELISPHNDEPTMAADNKNGDLSS VLAWLRGARETTGPRDFPGFRIYEPEDAEFFPANDVCKMALTALVKCHEEVDDFQSLR YRGPLENATLTDLVCQPSCGESLSNWVSTVQRVCGADATGDGPPVLHGARAYAGWNET CLVDKTTKRICNDVIDDFTPVNSIEKMPRAELCSFCHVERLAMMQRTPYSSYDDFWKS QLEYIYLNCDMSGDTEVLLPDLDLPESDRDSTFCLSEEWYTTSRDGESCEEIAWAHTT SSSALFMANQARIISCAAGESIPAGTKLCMPPSCGTTYMLQDHDTCASIERNSSLSLQ PGDVARYNPWVGFECALLQNISLSYGTVLCLGPLLGDHELEIPGGKDTTRPPVQDGYS GVIVPPPEDADIAEGTTPYCGAWHVASAEDTCVAVCMEHAITADLLLQVNPSLGPELE ECSSKLEVGVTYCVAPYYDWEHYDDEEEEEEGI
SAPIO_CDS5440	MAAKAPVTGRPPRRSVMPTSPARKDAAQNLGSAVMERTADCDSG NLGKDYVKFDKCPLNVCCSKHGFCGTTEDFCGGNKPKRQVCPVDDRPLSRVVGYYEGW APGRPCHSFYPEQIPRGVYTHLNFAFATIDPNTLEIQPAAMSDIELYKRLNTLKLSDP NLRTYIAVGGWTFNDPGPTRTTFSDIARTTENMDKFINSVIKFLQKYDFDGIDLDWEY PVADDRGGRGGDYQNFVTLSKRLKQALKTTSRTGYSITVPASFWYLQHFDIKGLEPHV DFFNIMSYDLHGTWDMSNQWTGPYLNAHTNLTELKDSMDLFWRNDISPSKIVFGTGFY GRAFTVANPSCMSPGCTYASGAASQPCSREISVVLNNEIMDIIEETGAKPILHKKEAV KTLSWGNNWVAYDDEETLQMRADFVREQCLSGIMVWAVSHDTPDGRFSNAVKKAAKRV EALGSSIDGYDEHVTINHQCKWSNCLEGCPSGWHHVRRSDGGARGNEVMANAQGCEGY GTRWLCCPPGASGYDGPQCGWWGHNNGNCNHLDTGDSPIFEIGSNNQHCKKKNSYQIA GCTWSSTAVKAHAACSWSFDFPNCGDGSCESFESEFFTSSSGSQGAFCRDDSRRKYCC SDKQKDLHWENCEWVDHAGLVPSNMRFGTCIGGCPSGKTPLALDHNGGTCRWGARARC CDVGYKTIQKRYNDKDEEFDYYLNYFIENGAECTPKSSQGETLWRAQEYLEAKIKEIV YGTAAQSTTDVWKQRIGLKYEHLSIGDVRYFAQSNSAALRLGSSRFPRRLICGLTTFN NIVGGKDDLQCACQGAHCCISKRCKRDSDALKRRADESEEDKDLDNNLPHVGFDHERR QISNTSTSLQLESFDDDDRFTIFSGPRAFKIEVDDPDRVFWAFVIFSLGYYNIGRIPI SNPLWQRAFGYSSQGCWDYDVDSLTGVTQTNHGTWSLVVEHIIELQTIAQFIRSTITG EMRSGARFEDFVDGPRPIDRMFSTLGTRRNTVPFVLAPGDLNSAKLNMWQGNDPVASS TLEEFLRQGTATSFQAFINSVRQPVIVLQYLADAGVRTQAREAYRSLRAEMALLSQEA RNQGYRNPDLEHMLDRWYRDHIDLMIRETRAFMERYRAIGRAAVLGSGARNEQEVLEG LLALWDGAADFEFDYEGWFGDH
SAPIO_CDS5443	MDDDFDDAELWAAADRAVSATGAVQSAQPQRRVQQPAPQKIQQP KPQVLDKRPPPAPGTAPSAGPKIVQPTPQVLPQRGSGSTILVSPRQKGNPILSCIKST AWEYSDIPADYGLGLTTCALFLSLKYHRLYPEYIYTRIRNLQGKYNLRILLTMVDIAN HEDPLRELAKTSLVNNVTVILCWSAAEAARYLELYKSYEHASFKAIQGHQGSSYVERL VDFVTVPRGVNKADAVSLVSNFGSLKNAINADPEQIGIIGGWGEVKVKRWCEAIDEPF RVRKAARRTIEDSAPSSASIDPRFALKASQQGAPAAAARSGQTGSARNERPRPAGYVD EEDTMLAQAIEESKRTSQPTQSKPSRNNREPETLSEGVAAALARLREQG
SAPIO_CDS5444	MLHVGTPSIFINFAGRPIAQGHQSSFSTRRAADAMRLQATWLPL AALITNTAANDKIEAVREVFKRTWDGYREYAWGHDSLRPATNGSFDDSYRNGWGASAV DALSTAIIMGETEAVGHILKFIPTIDFGTTSTEVSLFETTIRYIGGLLSGYDLLRGPY KDMAPSKEAVDNLLEQAIRVADLIKVAFDTPSGVPDNDLIFDPEPRRKGTPDNWVATV GSLVLEWERLSDLTGNREYGELAKRAQAYLLDPQPPELEPFPGLVGSRVWVDNGTFVD AMGGWNGGTDSFYEYLIKTYIYDPVRFESYKDRWVLAVESSMKHLASHPTTRPDLTFL SMYLGETLFFYSGHLACFQGGNFILGGLVLDEPRYVNFGLELTAGCRESYIQTTTGIG PELFTWQDNATAPDAPNNSPPPEEYADFYEKAGFWIVSPQYSLRPEVIESYYYAYRAT GDEMYRKWAWDAFVKINNTCSVGSGVSSIADVQLTVGAPVFYDFQESFWFSEVLKYIY LILEDDSDVQVKRDGGDLFVYNTEAHPIRVFEGTGKRRRR
SAPIO_CDS5446	MSVALLKTAIFGAPAGTPTPPTDGERPDNNRRSSAADTIIESDI ESLNPKGGGGGKTKSKIDPRIISDATIGLSDGLTVPFALTAGLSALGDTKVVIYGGFA ELIAGALSMGLGGYLGAKSEAASYRETRADTEAMVRNDPVSVHSDIRDVFKPFELDRS DLESLVDHLAASPKVVDFLMQFHHCSLEPPTNRAFTSAFTIAMGYFLGGFIPLLPYFF VDQVFHGLYISVGVMALALFVFGYVKTCVVCGWRGAHRVLQGIYGGLQMVVIGGVAAG SAMGLVMAFNHISDSSDGAIFGGRAAQFVPVGDNQL
SAPIO_CDS5447	MATYTQTITKVADSASVPEEAVSKPHHVLNGGHLIKFRNPYPSW GPGIGLLNILRVMLKARFAGELQPVVTTPPTVPVLKPTFLPDRTASDQLRATWLGHSC YYIEFPSGLRVLCDPVFEDRCSPFSFLGPKRYTEQPCKLADIPAVDAVLISHSHYDHL SHPSVLEVKKRHPNVHFFVPKGLEKWFNKVGINSVTELDWWEDVEFSLTVDGKEGDDS GEPRTISARISCTPAQHSSARGLFDRDRTLWCSWAVKSGGKSLWFAGDTGYRAVPYLP RAIDDYGPDYADLPRCPQFKQIGEHRGPFDLGLIPIGAYYPRAAFSPMHINPYDAVEI FQETKCANAMSIHWGTWVLTSEEVHDPPKQLREALKKKGIPETGVFDICDIGESREF
SAPIO_CDS5448	MSRPSQPLFQRSSSGTNLADIGLSSVKRTSFQTSKPAFATGAMS SRASADADRRSSVYRSRASTAGHVSHQSFFQQAPQPAGVPRDPRPLKDRSYQARIGQE LLDYLVQHNFEMEMKHVLTQNIVKSPTQKDFNYMFQWLYHRIDPSHKFQKNIDQEVPP ILKQLRYPYEKSITKSQIAAVGGQNWSTFLGLLHWMMQLAQMLEGYSCGRYDDACLES GIDVSGDRIIFDFLSKAYRDWLAMDEDAGDEDAERVLAPHVQAMAVAFEESNSKYLSE LEMLEAENARLQKEIEDLEKSTPDPAILDNHFKIMEEDKVKFEEYNALAQQRSEKYEN RIQILQEELDKLSEELGEVEEERRNLQKAVDDLGISMQDIDRMTAERERLQKGIESAS QRLDDIKKKVAEKESEASKRLDELERMVDKYNTLAYQIALIPAAAVNANGNDYELRLT VNDGPDFTSSQLNGSNSSDRLLADPTSGYQPAHILNLDLRGQVRNSLVSLKKEISERR SVAMDVMMKDHDLLDGIKEAIEDKRNEVEALGHRVRAAEEEYEKTKEVTTAQKLNSDA QIEKMEKELAKMRAGLTESVQLMEQREMNTNIEYEQLTVRANALREELHTEIDRILND VIKFKIHIQKNLDDYEGFVADELEKELGSDEMGEDTRALDL
SAPIO_CDS5449	MAIRDDLVASAAQFLQDPSVASSPIENRISFLRNKNLTQEEIDA ALARAGAYQGSAELAPSTHTGPSQPSQPQYYQPSYAQYPWQQPPPPVPRRDWRDWFIM ATMMGGLGYGLYTVTKRYVYPMIAPPTPERLEQDKKDISEQFDKTFALVEQLARDTEA LKAAEKDRTERLDTALSELESVINELKSANRRREDDAQRIRDEVQSLKESIPAALEAQ KTLADSRLKEVNTELLSLKTLISRMNTQTITTTGSAGQSRQTNGNVNGSNVEQPNTST SGQKNAEPDEVASAPSAPVAKASGRSSPLSSGNAAGKVSIPAWQLAMANKSDADAGAG SQANASS
SAPIO_CDS5450	MENENIQLLFMLLPKLPLILRVAILHILRLSEPARYLDLQSAII VSVLRSFLAPDRPVSISKTQRLTLKDPGIKGRIWVSKYTAPPPPETAVRDALVSAIDR LSDAAGSRAPTRGPDILPVEAEWTGYRAEAKPNSTLPDITEKEKYDELMKECSSATTI LYLHGGAYYLLDPSSHRPTTKKLAKLTQSRCYSVRYRLAPQNPFPSALLDALVSYLTL LYPPPNSFHEPVRPEHIVFAGDSAGGNLALALLQTILEIRRQGRTIAWFGQERELPLP AGAALSSPWVDLTLSSQSWERNAEFDYLPSMTAKVADEFRPCEAWPAEPPRVSLYADD HLLDHPLVTLVMAQDWTGAPPIFMSTGWELLADENKFIALKLKKNGVKVIFEEYEAMP HCFAMVLTKLPAARRCFDGWAGFIRTVVENQESITPKAITVKAKTLEEVELDLESEDL VEEQVQERVRAQIKARLASPPSKL
SAPIO_CDS5452	MTSRPENIGIKAIEVYFPSQYVEQSELEKFDGVSTGKYTIGLGQ TKMSFCDDREDIYSFSLTVVSNLLKKYNIDPASIGRLEVGTETLLDKSKSVKTVLMQL FGDNTNIEGVDTVNACYGGTNAFFNTINWIESSAWDGRDAIVVAADIALYAKGNARPT GGAGAVALLVGPNAPIVAEPGLRGTYMQHAYDFYKPDLTSEYPYVDGHYSITCYTRAL DAAYRDYARREASKLNGSNGSNGNANGASDSKTALDRFDYLTFHAPTCKLVQKSYGRL LYHDYLADPDNAAFADVPQELRGLDYEKSLTDKVVEKTFMGLSKKRFQERVSPAIQVA TLCGNMYCASVWGGLASLISYVDSATLEGKRIGLFSYGSGLAASFMSFRVNGSVSGIA KVLDIPARLGARRAVPPEAYDAMCDLRKKAHLQKNYKPEGEVSTISSGTYYLENVDDM FQRTYSIQA
SAPIO_CDS5453	MTSLPSWLGQPRPAASGDVPTSSLVALLDLFFPGFSSLSDAIFK YLGLNLNVYIPVVVLFSGLAFSWSYISGYIWNLVSSHFMSTVEIRVDDEIYNIVMAWV AAQKFAQSSRRFVVNTNLSSRSWFIWRWRDDDEDDEGGASASTEKHRKTLSYTPSFGS HVFWYRGRLLLFQRTQNRNQMYFTPASEREEISIACFGRSPWILKELLLEAKLAYVEK DAKKTLIYRGTFKGASAEPTWERNMSRDTRPLSTVILSADTKKRLLDDMTDYLDPATR RWYSNRGIPYRRGYLLYGPPGTGKSSLSLALAGHFNLRIYIVSLSSIHSNEENLTTLF SELPRRCVVLLEDIDTAGLTNTREQPKAPNTPTTKDLVSTTADQAPGAAPSPVPGRLS LSGLLNILDGVASQEGRILIMTTNHIEKLDKALIRPGRVDMSIEFGWADRDISASIFN AIYTALDADSSMDSDSPADEVSRESAQKKAAANAAVSALASEFATKIPQYEYSPAEIQ GYLLRYKRDPEKAVQNVEKWIMEMREQKRVKAAGDSQAKAANTATATNVDESTGTGEE KSEQKQGGSTKKSGGEGHEDSIRAGKDGDVLSAVGTPQVTDDESDSSSRTESSISEPV TPTETPTETRSKIK
SAPIO_CDS5454	MDKPNGKQAELNPRTSMAASMRLSVVGDEEGCDVSASLSPDAAL RLSIASLPGPDSTSFALGAREPQIPLQSHSISDTASRQRPSTAPSRPSSIAKPRLVDS SLPNNLTLRTDPETAGAPVAANPAIQVEAPYEGPSGPSHPYQMYPQRPTSVSTISTSA PGHERGYSGPRDPAHPYTLYPQTTATGDVGQLTAIPVGFPSVSSTYQQRAGAGNENSP GFVASLAHAEELPPYSRYPVNDFSTKTGDVEQTTAVPAAGDPSALENNSPAQAQAPAP PQPLPTANAPSAVAPIQGAGGIGLATRDPEFSSTSSDLDSPRLSTRSFTSDGSQQEIN LAPSEIENEKDSMKSWQKRATKKMWGIVPYWAIGLLAIALVLMGVILGAVIGTFLSKH RKGGPPKPPSFADSNFTPGVIPLEAVPPDLPDLEIGEWSLPPFFLASLAPSSCFTIPT QAQAWTCNTPFNIYWMDVEKVSDSLPIASYKLKFTTSNSNTTWSKYAWGTRPPVIPDH QRLILVNDTREMGWGPAWWLNMTYDKTVVLQEDKFSIPTERSRIFRRNSPSESGSYPP ETTDGAGDRKDKDDPESKKWPVPGPGSGFPPYPGAKEGDKPWICTWPDTVLEFFLYPV QNNSYNIHPTSATYPPFTGFPSGFPTGFPTGFPTGFPTGFPTGFPTGFPTGFSVSPTP SALASDLPALSGEGVSASATPSVNPSEEKGDKERWTVPKILPPYPKIIKISERRIPGP TNKLASCEQVEVIDGGKDSRPVLDEEGNPVRVTIPEKPAWTPPLPHDRRWLDAADLFT RDTPKENNECGCVWMFE
SAPIO_CDS5456	MATTKRFLVAIIGVGGVGKCFLQQLHALATRRSSPKLDLVYIST SKKSIYNKDYSPIEIEGVAEVLARSSQAPPPTDELVDYLAAAPAPVVLVDNTSSQDIA DSYPLFLSRGVNIITPNKKAFSGSYALWDAIFSAAETSGAKVFHESSVGAGLPVISTL KDLIETGDKVNRIEGVFSGTMSFLFNSFAPTEGSGGKWSDEVRRAKELGYTEPDPRDD LNGLDVARKLTILARLAGLPVASPTSFPVQSLIPKELESCASGEEFLDKLPQFDKQME QTKNEAEAEGKVVRFVGSIDIPSKTVKVGLEKFDRGHPIASLKGSDNIISFYTDRYGS NPLIVQGAGAGGEVTAMGVTSDLLKTIV
SAPIO_CDS5458	MPSSDLHRRADGGLAINSAGIPLNLKIRPLLSKADIEAHFSKYG NGKITEVKLMNGFGFIEYEDPLDARDVVPAFHGTDFMGERLTVQFARGNRHREGFSGH ERAPPRPRRTPHRMQITGLPNDTSWQDLKDFARQSNLDVVYSETGRDGTGRGFVEFET AADLKTAVDKLDGREFKGNAVSCISDIHLPENVLGPGPLGDVPICTRRTIMIVGALLE DTVLAVRAIEMAIGREAPVDDHPHLTTTMIVAEAIGLHHAALLTITRRAAATTILIVV TIPYLQTPTQTVGRTIDLLGTSPLVTGAILGMVMDQETTTGADTGKSNPLPLSELSLD ENIRSTLCEFTRPR
SAPIO_CDS5459	MVADAVVYHPTVSHYLKFVATTVGRDKLLRTIQYFARFYSWYLF RTNGSKTEIAPWDALKRQFGLARKVFRAGKNIEHLKAAAVAADNKTMDPVLRYATVGR QLGYAGYLSCDLATLLDALGVRKSERAKTLQREAYRFWAVGILCSIVAQLYTLHILRR REARVDKKEGEGVVESKKIAIERSASRLQLFSDLCDLTIPTSALGWVGFDDGLVGMAG TISSLIGLHSQWRKTA
SAPIO_CDS5460	MAGQNGNSSGSEMTEKVNTNIITLTRFLTEEQAKHKEATGDFTL LCHALQFSFKSIAYYIRRATLINLTGLAGSANVTGDDQKKLDVISNELFIEAMRSSGK CAVLVSEEEENVIFFKNNAGARYAVACDPIDGSSNLDAGVSVGTIFGIHKLSDDSTGT KEDILKPGSELLAAGFTMYGASAQLVITMKGGTVNGFTLDNGLGEFILSHPNMRLPRS RAIYSVNEGNSLYWADNVKSYFNSLKELQENGKPYSARYIGSMVADAYRTLLYGGIFA YPADKKSPKGKLRILYECAPMAMVFENAGGQAVDSNMKRMLDVVPVDIHDRSGIFMGS YDEIEKVKAFHKS
SAPIO_CDS5461	MSVAMPQHQYSYPPPLPGQRHFPASQHGMSSAFSSSANPDEDWT KISDLAERRRIQNRIAQRNYRKKLKQRLEDLERRASDESPGAGKHGSTTSVGKPQKRQ QPAPAKNQRSSRASQQSQQKPTSRHDDYRVLPVWDGRARSNTPPLYPSSPYTPCNEPV MTAFVPIQSYTANTVDAYPDYLSGTPSTTLPSMPHVGDDAKRDFYTPDDSFSAYSSYG FIPAIDINTTLHYDSPDPTTDPFV
SAPIO_CDS5462	MMPTHVYGHHQLYPHGDAPWLQTTQQHQAAAVSAAQQQHYSRIP TMHNSLGGLSASHGHDTGHEPLISEDNRRTMAYIADLLNENTREAALLELSKKREQVP ELALILWHSFGVMTSLLQEIISVYTLLNPSQLTAAASNRVCNALALLQCVASHNETRT LFLNAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSTEVINFLLTTEIIPL CLRIMETGSELSKTVAIFIVQKILLDDNGLNYICATYERFYAVGTVLSNMVNQLVEQQ TARLLKHVVRCFLRLSDNARAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLIN LSDNVVDHGSGNHPGL
SAPIO_CDS5464	MVASAGLPNDAGSGPNAHASDTRHPASSAKHSRSRTTIPTQSGK WILGKTIGAGSMGKVKLARKEDGTEQAACKIIPRGSTDEGHQSRADKERADHSKEIRT AREAAIGTLLNHPHICGLRDVVRTNYHWYMLFEFVNGGQMLDYIISHGKLKEKQARKF SRQIASALDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPRSHLKTFCGSL YFAAPELLQARAYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPALHAKIKKGAVDYPS WLSSGITP
SAPIO_CDS5465	MSLKRPRTVFESNGKDDRAPFVWYGTPTRDTISDHGKYIPLYKQ EVRDDRGRKRLHGAFRGGWSAGFYNTVGSREGWAPSQFISSRANRHSDSSKPHPKAPE DFMDEEDLAEAAEAQQLRTAEAFTGVGQESHSRTSRRGLVGLLRGKNDTMGAVLLGKM GWKEGQGVGPKVRRKVCLGLDGSSNDILPRGVSDTYLFSPKDISPVSSYRKPDRSGVG HGIHILSPSSHPPLRRGHNVNRIEDENLFSPTAFVQKAQGDAPFARGGIGTGISDDSD SEHEDIGPSKSIKVAGHDRLSPLTGFIFRPSKYSDTNYPYAPFHYPQPLIIPKSWDAT RWAVAQPCSARSRPSADAPFSTPRDSNARAQMLGESRLPGRSVFDYMTATTRDRLVAI TKNRDLPAAGEVLPRTQANHVLRERSPPAKRWAPHLSQEVARAALARDRVGSGPYMAN PGKRARYHQFLSYSCGLLAEMPSRPEDMSQVDFAQELEEFAKCAEIFKPMVGPIASRF TTSSVSAPMPASQSTNPSQGTEVDLQDTAFDAAKLGMFGIATRSRALFTPQQLLCKRF NVKPPTQAKGNTQESRAQEPRPDAMIAVKPSSAPSASQSMADAPGSTKDSIVFRPPTQ VFTAIFGDTSDEDAAIV
SAPIO_CDS5466	MPNPGHDDMIHDAVLDYYGRRLATCSSDRTIKIFEIDGESQRLL ETLKGHEGAVWCVSWAHPKYGNILASAGYDGKVFIWREQNGQWQKIFDFALHKASVNL VSWSPHESGCLLACASSDGNVSVLEFKDNSFDHRTFPAHGLGVNSVSWAPATNPGSLM TSSPPSDSTGVRRFVTGGCDNLLKIWIYDGATQTYTLEHEPLSGHTDWVRDVAWSPSL LQKSYIASASQDKTVRIWTSDPSTNGQWACKTLNFDSPVWRLTIHPIDVVQFQALPPA SPGRLSPKEEQLLRHTNHTPAHLCATDITAQPCEG
SAPIO_CDS5467	MSDSSCSGSTPLKGLLKQQDHATQHDRISSSAPPHESFRQTPNS SPGHKAFTDFVADNHSIPAAGPGLIHAGYPSPSQYRNPISIAHPHPVAAQPLQAQTRE GWAKEFAEQANNTPFTHLSTGIDGFRTASALGTSTFLHQQSRTTVMRQPAPYALVNLD LPIAEFSTPKLGGGAEINDWMRVHMPQREDFEGVDEALLQLSHELEGFTVQPEPTVDL PATHEVDGVRSSGSELLPKSDLSRDSILEESAEADTLQPGIPGSSTVSDVAKKILETV GHEDDRERLGLEIRAKDVDVAALVNSTFLVDFMVGSLADEQRRRERPQQLTHTVELFS STNSPLKLRTTATISQQDVPVFITDSLPTWRSPTPSSLPVPPVPRDFQQAPQHDFVFP TPDRLSARGSSSQRPSRSVSRRPPVPYFTHRTGSLPQSPVRMDIRDLEFEDFSTLAGS AGMSGTAFSSPGLPTSYDVAGSSASSVTNVGTVSPQDLLVHEPYMSAPNSTALTALTS PSMYDGSPEFNDAFNMSPSLGSCDFETGSNDPWFPLFPPEPSMETSAEFHNAPIALQS GLKGTEASATAKKRKGAGSPGRHSSVAGVNSRRREKPLPPIIVDDPSDVVAMKRARNT LAARKSRERKAQRLEELEERISKLEQERDYWKRIAQERGDA
SAPIO_CDS5468	MTMHSDDETNRSKGPDDVSPEDQDAADEANIQRVLNMVADKGGL AEIKGIDFAHTKPIDQSGKAEDAQDFEDISDDDLPDEEPPTATTSFEVPGLTDDGGTS HDTDDLFGDGRESSPFDAVLGPSSPQAPPAETELVLPTETETQRELSFYPDLLEGTNQ DPSIPPAIESIEDFVKQTWPSFKQGIILNWNELLPPRKHYYKDKKPARKPKPLLTTKL SLDIMNDQEKAFRVPGSTASQQTRGGMFQEGPGNGFVRIAGPTRLGETSGLEFELDDE SDDEPIARLNNQHIDTICYDFDEKIRNAERIRDTQIAAQAQHKRASADLEDEWDAMFM GEAPKKKKRTIPKGLPNITQYHTPSLDNFELLTRASAKRVRLDVNDPKLHLIEPDESR PSKRARREQNLRRMAGGNLGGNALRRFNISNDEAYELLKEAHQDKVRATIGNIMVDHG LPALRLAWPYYKTRLSERPDQFHRPPFHVRKFVGRSIEFSKRNVLKRKAQKGKARDVF KNTKDLTLRDNSTAVLFEYCERIPTVLSKFGMGNRVINYYRKGADTNEPPPPKKDLGE THILLPEDRSPFAIFGTVDPGETVPTLHNQMYRAPLFKHSPREGDFILGRSSTGREGS QWYLRKIDHIFVVGQTFPSVEVPGPHSRKVTTTSKNRTKMLSYRIMRRHPEGMLFTSD ITKHLAGTNDGQNRQKLKEFLKYVRPDKDNNRPGFWSLPPGDTLMNEEGIRALIKPED TCLIDGMTMGMKMLEDAGYDPRLAVIQENDEDDLETQGGKGGKKGGEKGEESLAEKMA PWKTTKAFIDACTGKAMLQLHGEGDPTGHGLGFSFIRTSMKGGYLEQLQQGPLSSSAD AIEKQRRDNNGHAYNVKKQELAYKSGITEIWTKQKTTLSDPTLQDDDDILQIADEDER FNVQGAATPAPAVDDSVSQFSGFTTASRQSKKRLRIVRQVEGLDGALEERVEIVEDPV VIAQYIKRRNEMEAAEKDILEIIPTGDASMDRVFAKKISAELDRLEKNRERRLQREQH KKLQDGAGSARSPEASEKPVTGTTRKCANCGQVGHIKTNKKLCPLLNGTAAASAASDA SGFGGFGPATPMAANSPPM
SAPIO_CDS5469	MVDHPDQLEYAKALWEASGHPPLVFMKVDGGYHRSGVVPQSPIS VDILAKLFQLDNEGVIKFHGLYIHAGHSYDAREDWQALKFLLEEFTVLGQFADSFQEG QPPRPLILSVGASPTATSLQHPCLTQSNESASCSHKDSEICREILNRLQDLKSKGYRL EAHAGVYPTLDLQQLSTHARSPDLLSSDDIGISILVDVVSLYPGRGPDGTTEALIDAG CLGLGREPCKDMGGEKSRHYNGWGLVAPWPEAGLSIPPPTSEFPAVHGGWQVVKVSQE HGVLGWKGDRTDEVPLKVGQRVRIWPNHSCIAGACYDWYLIVDSRKEESRNIVVDVWP RWRAPVAYVATSPDYPLQQSCHIPNFHSLDKYFAFPISIMKPILAAFILSTCSPACSL VLGLNIFRYIGDVQLPLMPPIDKPHHQQPPPQGQGEVILSDVMGRDKSINVFAGFTRD VECIEARLDDSKVNTTVLAPLNSAIEKLPRKPWEDPRDYGALGADAYEGEDGLERAQR NLRRFVEAHIVPRSPWPENDKAQVVGGGRDIWWESQGNKKILKPDNIEVVNVASSVSN GEVWIINGVVNYA
SAPIO_CDS5470	MAPSVIVVGGGLSGLSAAHTVYLNGGNVVVLDKQSFFGGNSTKA TSGINGALTRTQVEAGIPDSVKQFYDDTLKSARDRARPDLIKVLTYKSAAAVEWLQDV FNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELAETEPERVKIVLKAR VTSLNKEGNVVTGVTYEHNGESHVLNGPVVLATGGYAADFTENGLLKQYRPDTLSLAT TNGTHATGDGQKMVMAIGGNGIDLDKVQVHPTGLVDPKDPDAKTKFLAAEALRGEGGL LLNGDGDRFCDELGHRDYVSGMMWKEKDKNKFPIRLVLNSKASNVLDFHTRHYSGRGL MKKISGKQLAKEIGCTPEHLQKTFTTYNAIADGKVKDPWGKKYFHNTPFDINDDFHVS LMEPVVHFTMGGVEINDKSEVLNHEGKPFDGLYACGELAGGVHGANRLGGSSLLGCVV YGRVAGDSASNYLFQKVLSGASTGAQRLGLISLHIDPSQPGKVTVDWSGSASGAAVSA PQVQASAAAPTAEKSGKPGPKPFKIPETEYTLEEIAKHNKKDDLWVVVKGVVLDLTNW LDEHPGGPQAILNFMGRDATEEFEMLHDDEVIPKYAPEQVIGRVKGVEPTLEF
SAPIO_CDS5472	MAIAMGWQKPDNVAGTAAPAIMVGLFVASGGLLFGYDTGSINGI LDMRPFKDQFSTGHVDPEFGLPDLTSKESSMVVAILSAGTFFGALFSAPGADSIGRRL SLLVSAAVFSVGGILQTCAQALPMLMAGRFLAGVGVGAISVLVPLYQSEMAPRWIRGT LVCAYQLSITIGLLSASIINIITHRMEHTSAAFRIPLGLQLSAALILSLGLLILPETP RYLVKRGRPEAAGLALSRLRRLDITHPALIDELQEIVANHEYELTLGPDTYWDIIRGS PHLGRRTLTGCVLQMLQQLTGINFIMYYSTTFFRGARVDNPYTISLIINIINVVSTIP GLLVIESWGRRKLLIVGAIGMAVCQLLIASFTTAAGEDLEVVAQTILIVFCAIYIFFF AASWGPVAWVVTSEIYPLKVRAKAMSVSTAANWLLNFAIAYGTPFMVGNDRGSANIGP KIFFLWGACCIVAIFFVWCMVYETSKISLEQIDEMYERVDYAWNSTRFEPSWSFQQIL DEGWSPSGQPPEHELEPTQSNSSTTVGSTASSSSSTTVVAPPPAAFSGNSNSNKTLPH MSNVDFSY
SAPIO_CDS5473	MGGLDYLDDETLNHLLAAGLDLDDRDSLPAQLRRARAAGLLAHD HGWADEADSAHDGPQRAADVERRPEAPITSEERLRIIATSDVADSFLDNREQGADNCN NAGGGQDVDDPAPPPAAQWDNHDLEFLPDDGFDGFGFPPMPWDNPPREEPQERPVARE RHFARERRMVRWGRRAREAGVEREGNLAREIRQRLAGRGWRRAPLEPAEQREPPRPVA QIPQLPPPEEAMPVDPEGERQRQLDLERELDIARQLELAENLDPAMFLEREWEPGPEL ESPPRPPPEPEPEPERQPEPQAQPPPPPPPEPARQQELVVPAPRAVRTPPPLCFMGDA IDERGPTPPGANAREFSITQVIEGGVRRYVINRDMTCGHGFDMQWHTIPTAEVGNLVC PICEREGGAEREMGGGQALVRCSTCRVRTCAWHRRTRFGLDFYAARDMVRMLEGQSTW EREPGRRERRGEGRRERRREEERRKRRRQEEEEEEAEEEERQEEERRRERHRREGRWR EERRPAERWRRREEEEEEEEDSEEEERRERKAERRKRREEDRRREERRREQQATLRIL YPFARHRSNKRKKK
SAPIO_CDS5476	MSSRSPPSEKIKTKAPGMENSNLTDSATNGEQSASSENTPEKQE KKDSLESEIEPEYATGLRLFLIMLTIFTNTLLAALEIGIVATAIPAITDDFHRLDDVG WYGSATFLLVGATAPMWGKLYKYLNVKYAYLGSVVLYLLGSIVAAAAPNSESVIIGRA IQGLGASGTLGGSLIVINYVTEPKRRPLLIGSWMGVFMVSTILGPVIGGAFTSGISWR WCFWINLPLGVPIIVLLMLFLHIPKHIKPARATWMEVILQLDLPGFGAWLASLVCLTL ALQWGGQTRSWSDGGVVATLVLWLALFFFFFVVEWFQGERGMVPLRLLRPRLTWSNAL YCFISNAALYQVMFYLPIYFQSIHGQSAIGSGINTLPFLAFFAAGSMVSGAAIGKTRL LQPYQLTSALLMTAGASLLYTMGVSSSKTRYIGPQVLFGFGLGLGNQVAMTAVQGFSK PEDVANSTGIMLMCQSISGAYFVAVAQSLFANRMLETLRSTAPNVNPFDVINTGASEI RQVFTGEGLAAVVGAYMVGIKDVFALSLGASAAAAVLAMAIPFKKLPDHDSKKNEEEG RTA
SAPIO_CDS5477	MRILQSLSTLLLVASTAYAASSWEFDDATVSIKSRKGAGLTEKF TQKATLSKALPLDTTDTIKVVLKTKEDRKPKKPHQAFVIIKEEDTGLEAPFAFAVKES GKAVVEIPRKELPVQHLLSKKPLHASIVIGSFGSSEGLVRPVFDIDLVDNPAATPPQY EKPIRYGKLPEIHHIFKAPDRVPPKIVSIFFTLAVLAPIPALFIGWIVLGANLTHLKT AFAAAPLSHAIFFGSIVAMEASFFMYHRSWNLFQLLPVAGVIGVITFLSGTKALGEVQ SRRLAGER
SAPIO_CDS5478	MPPLSKTPGFPGLVPFALRILVALLALLDLTTAYTELSDDALRG IPSAGSDFDIKDGALLAPILIPRVPGTEGSRIVQKHFVDFFQTNLPDWKIGFQNTSAT TPTSGKKKIPFVNLVFRRDPPWASEGDVSRLTLVAHYDSKVEPKGFIGATDSAVPCAV LLHAARSIDAALTKKWANMVANGEAGSGLEEEKGVQILFLDGEEAFVAWSATDSLYGS RALAEAWEGEFYPATSNFKNPLRSISLFVLLDLLGSTTPWPRVSSYFLTTHWAYQAMA KVEKRLRDLGLLQTKLPPTAGLFLPDSNKKSNAFTRGYIEDDHIPFMARGVEILHIIP SPFPDQWHDSKGIPDDGEHLDIPSSDDWAKIVTGFVAEWMELDSYLPKKAGDKRSVKT EL
SAPIO_CDS5479	MAPSKREAIAECISDEALVHLKTYKYSSVDKSPISYYILGPYWN AFVKLLPLWLAPNMVTLLGFFFILGNVGLLVIYMPDLVGPGPSWLYLSFAFGLFMYQT MDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLETAAMGLGNSKSGVFTALCPC LAMFFSTWETYHTHTLYLGYINGPTEGLLIACSIMALSGIYGPGIWTEPIVDLVGERY LFGYAEAIGDTTFRDIWIRLILLSLFLTHVPFCVLNVVKARRAKGLPIAPVFLEWTPM AVYTLSIGAWLYSPYSTLREENHLVLFCLTMSFVFGRMTTKMILAHLTRQPFPYWTVM LWPLVGGAILGNLPLLGFPQISAQAELYYLYGYFVFAAIVYFRWAYLVITSICNYLGI NALTIPREKLRTLYGQSNGSATRKAE
SAPIO_CDS5480	MADSKDADAPSSGPPKGNPPPRFRLKPLDIPVLKHLRSKRVILA SASPRRKALLGQVGLVNLDIIPSTKPENVDKKSHTPAEYVTATAIQKCLDVYELAVQS QPETRDDATEPRPDPSLVISADTIVVSRDGRILEKPRDRADHIRMLRHLRDTRVHSVL TAVCAIAPREDAQYPGYVMETHTEETKVYFARESDGLPDDVIEAYVSTGEGVDKAGGY AVQGIGGMLLVEKVEGSVDNVVGLPVRQCLALAEKVIFRQDGESEGEDE
SAPIO_CDS5481	MATSKSSSEERQSAPPPEEKPRLTESEKKMNHIASEQKRRQAIR EGFDRLTELVPGLEGQGRSEGLVLKRTVQFMRDQLAENQRMVEEIESHGGDVPEKFKV 
SAPIO_CDS5483	MHLAPKELDKLLVSQLGQLAQRRLARGVKLNHSEATALIANNIQ ELIRDGHHTVSDLMSLGSTMLGRRHVLPSVPSTCHKIQVEGTFPSGTYLVTIDNPIRT DDGNLDRALYGSFLPIPSDDLFPMPEASAYAAENAPGAVVAVKGVITFSEGRKRLRLK VTNQGDRPIQVGSHYHFIETNPHLVFDREKAYGYRLDIAAGTSVRFEPGDTKTVTLVE IAGNKVIRGGNNLASGTVEHWRIENIVQHLQSRGFGHLHEHLTADMSLIQPSTMSRDI YAATFGPTTGDRVRLGLTDLWVKVEKDLTVYGDECKFGGGKTLREGMGQATGRRDSVS LDLVISNALIVDWTGIYKADIGVKNGMIVGIGKAGNPDVMEGVTPGMVVGSCTDAIAG EGKIITAGAIDTHIHYICPQQVSEALASGVTTMLGGGTGPSAGSNATTCTPGKNYIKQ ILQACDTLPVNIGITGKGCDSGPAGLRDQINAGACGLKIHEDWGATPAAIDNCLSVSD DLDVQVLIHTDTLNESGFVESTIEAINGRTIHTYHTEGAGGGHAPDIISVVEHPNVLP SSTNPTRPFTINTLDEHLDMLMVCHHLSKDIPEDVAFAESRIRGETIAAEDVLHDLGA ISMMSSDSQAMGRCGEVILRTWTTAHKNKIQRGVLPEDEGTEADNFRVKRYISKYTIN PALAQGFSHLIGSVEVGKLADLALWDPAWFGTKPEVVIKGGLISWGQMGDANASLPTV QPIIGRPMFGARVPESSVLFVSNSSIENGSIASYGLKSRISAVRNCRNVSKRDMKYND VMPKMKVDPESYTVEADGNICSAAPSETLPLTQAYYMY
SAPIO_CDS5484	MPPGSQKGTGKKGAGAMRQRSRNTTPSSMPVTATLPPIDAVDAE YLELRVEHFRALTNDDVVDQGAANAMMPDTKSLDGMVARLQRLQNTIDLRSNFYDKGM RILAMARKNRVDEEEERTRTDEDEREKKNRKKRKAADSLAPQDTKPERSSPLRESTKA KKHHREESVSSSLSTAAQASPTAMEVDEKAKADENEEESSSDDESRPPRPMLPQSNTF GDDPSTFPDPTVYEIRDVVPGMSEDEIKEIYSVVKYPKSDLANLIAGDPPDKDFSNAK PANQISFSTFSSYVEPYFRAFSEEDLAFLRERGDRVTPFTMPKRGKKHYTEIWAEEDG AMAIDSHSTRDRLPPNQPRGSIEIMGDDVGETDKLSVGPLLSRLLQAMRPEARAPPSE DRSNGVNGDAVMSLDMNGDTGESGAAGDDKASSLAPATSMPESSTEAWKKASHPKLEY GQVDERLKQELRHIGFLAPDGNFEAEYDGHFDDEVSARLRLLQERLREQMLINGARKA RLTELVKERMAHQEYQTILEDLDLQVQSAYLKRTRTMGKSKKNKRPGGAGGGSHLVGA AGTARPGIGDLTRTLMERRRRWIDTIGSVFDDENLGKVPRNTEPGSSIFQPPEMAGLI KKEKEQWDEEVDDEE
SAPIO_CDS5485	MPIPRETTSPSRFAPRNGTRSRWRLVDGSFDIILWLTLSLTVSY LSQLAFESQQSANLTTLTTTNAETLNGVIQGLLYVPTIDSSNSCSEDQYDPAIGLPRN VTRRSNLPPTNYKLVAIAPWFSKECTQAYLKAARYDPVRAFIFYKPNNSTKKPQDVDE PIWDLDDGGAWMQQNKFPIFAIPGREGNKLVDQLSQYSGSLQQVPFGEEIIDAFGPNP RDYVRIWTELTMKDTKSMPALWTFFVIVIGALLLIIVCVSVFMHFLQRQRRKSLALRV KQGEVDLEAMGIARVMLAVSSVPAITVDVEIDSAEGKSKHKLFSKRTFSDLVTPNHHS SDPSTKSTKPPKEAPVEPQTPVQPMEGTETQTPNARGAETGSPDDPDAIAQSPQNQEG TATESEDTVAALPPITRHKKSRRNKPRELKLAPIKGPPLSDHQPEGRKSPSDLARARM KHLARPLDDEDDRPLYSLFLYREESHN
SAPIO_CDS5487	MPRPAKKDKAAAVPLPVMPAVTSRAVEVENFIRVRDSTLGRLKT IQQLIKSFADDYLRQTNLLLGLPTTAEDLSYNEPLANLEASLAALGSIPAPQPIAPPQ EEQKKERKKRTLDPNAPKRPLTPYFLYMQTARPIIAADLGSGAPKRAVQEEGQRRWAT MGGAEKQGWNQAYQYNLRLYNARVHSYKNGNPEAKSMDDNEALKYAEEFNIPLPSNED QAANEQDAIAEQLKGTSADAHGEVEETPPAKSAKKSGPGRKRKTAEAPEEVEATPRVP ATPASPAQKRRRTSTKPAEEEPKKSGRKKAGKNA
SAPIO_CDS5488	MFENKSFTFLVAVIGLLWFTRPAAAFGAGNIASISKVEGQNWRH GDIEDALLKIAMAQAFGGKKFNKLNVSRVYFGNWLRDYSQAIDVGTVKAVSAEAIRLL LCVLGFMTFGFGSKEFEVTADRLGCYRPEEHIDNPKNYADNVDARNYHRALRGPVDEG VELAIDPDTGMKNYIANERIGITTSAGLVRDLLTRCVRLGRSYARSGDKTERNESFRL MGTALHCLEDFFAHSNYIELALIELGERDVFPHVGRNTQINIRGARGSVYPIVTGTFG GVDFLHSVTGEVSDKLTQNEIEELEGTLQQSSTADTSILRNLLDKIPDDLFGGQHQSS KVDELQQNATTAQMQNLQVSPKDPEEYTVYVQNIFRQIMPVIEWHDGLMKDISNATDK IPVLPKILEQLEEQLSMFIFSLIAPFIIPIIHQIGAELKTGSEEIIQSSVNEQHIVFD DSRSTDPTHSMLSKDHFSNILNEVAGRAAAYTVSWVVPQVMAAIDDESVNVNEMIDRI VEGILHHPAQREMAQGGAREGRSIIFRCVEEWWNEQGRRQDEYRQKLSREGVQNGENH KEGVQDTGHGHGCAGKLKMRKQFGEPETWEDKVAGKAADAILSGASGAFSNIIQQQTG VKIPSYQPPQPKEEDSGIGGLLGAASSFLGIGGSGSSSRRQEDDQGYTQTQSSYQSGD SGYVASQTTQRYDNDGGYGRSETTERYDSGGGYTQSHSSQRYDSGGGYQQSQSSSYYQ SFSSSGGRDDDGDNRRGGDYGGGYGGGRRNDGDDDRRGGGYGGGYGGRRDDDDDRRGG GYGGQRDDDDDRRGGGYGRRQEQSYGGDSYGGDSYGGDSYGGRQEGGYGGRQEGGYGG GGYQRGGYEQESYGGRREGGGGYRGRDDDSDDNERHGHRRQHHSRSNDSDDDDNHGHR QHHGRGCREVGDLGEPGPDAEDVELEDDGGEERDTEEDGEGVGEEVEAEEAGPEEEVI EEAEEEEEEEEEEVEDEEVEAEAEEIEDEEEQKEDEEEEAEAEEEEEEEEEPEEEAEE ESEERRWW
SAPIO_CDS5489	MKVTLTTLTALAPLIITASAQASGSGSTTRYWDCCKPSCAWPGK GSLAKGPLGTCDRSDNPLNDGGSTRSGCDAGGSAYMCSSHSPWAVDDNLSYGWAAVRI NGQTENDWCCACYELTFTSGPVNGKKMIVQATNTGGDLGQNHFDIAMPGGGVGIFNGC TDQWGSPPNGWGERYGGISNGADCDSFPEKLKAGCKWRFDWFGGADNPSVTFQQVQCP AELVEKSGCQRNGI
SAPIO_CDS5490	MPPDMDTKLLLAGVATALVGSICVYSLVSPANRSGGGDEQPPGL LKSFFLFFYSCFIKPHTGDSKGNQQDALESFYKKQAGAYDATRGVLLQGREDMLALVA AQLKARAEAALAEGHKKQKRRIWVDVGGGTGYNIEAMSCFVNVPEFFSSVYIVDFSPS LCEVARKRFERLGWKNVKVICEDARKFRLEDYENDLSGDRTPMRSPSYSYFEPKRPEH GGADLVTLSYSLSMIPDYHSVIDSLSCLLSPRGVFGVVDFYTQSKADFSFRNFTGGIM NRHVNFFTRSFWRAWFDIDRVSLEPGRRDYLEYKFGTLLTINGRNKSLGRIPYYVWLG VHKAPFSSSSLPHEIIERIDALVTESPRLVPANKGGDALARAVERSAPEIRSKAFLTA VQNLSANLPLPAFFYQNHHWRIYYDDQLKKHTQFNDEYIYAFTWEDARVDERILKIGP KDVILAITSAGDNILSYARQGPARIHAVDLNPTQNHLLELKVASYTALPYEDFWKIFG EGKHVNFRELLLTKLSPHLSSRAFQYWLSNVDVFTKHTHGLYDTGGSRHAIRVVRWVS RIFGCRNAVDSILSAKTLNEQRETWRNRIRPALLSRLICNLVVSQESFLWAALGVPKN QLAMIEQDHAESDSVKGPNPTSKNKRSHAIWNYMVDTLDPVAEETHIAGDNPYYYVCL AGKFSPRCHPDYLHRQTHARLSQPGALDGLRIHTDELDEVIARITPGTLTIAVVMDSM DWFDPSDPGAAVRQISRLNRALAMGGRVLLRSSALTPWYVKEFEAYGFSPKRVGERGV ETACIDRVNMKRIAMAKSNYIASLRQVATSMLLPVAFLTQAVHLAQAQIDCNAKEFVV KTQEDANSLSSCSYFHGTVYISDNAEGDIIIDGLNAVGGRFRTLSGGSSRLTSISSPS LTLVGAEFSATYMNQLRSIKFPKLEKVVGGVYIMGVPELVEADFGALVEIGGDFTIQR AEKFETFPINAGTGNILTNGDAAVRITDSGFRSLGRIFQGSVINEALLSNLPNLHEMS LDVVKANEIVIEGNGNLTMYMNGSMKWYPRGEINFLNVTGVRAIQPCDWPTVGELIIT SNPMEHLRFEASGLKSLTVQNNPQLKSLWPTRRGFPRVLTDVIITHNPQLKLSTISSG PDPLSGLREKCEQSDDRDEREDQWSWPYESMRMINISADIDGDFFDRLLNRWFQNSRG PRIESVEVMSTNPAFNCAVFDEVRTKKGKITNSYSCQGQTAPYGSAALFSPQPWLAVV LFAITLGALHL
SAPIO_CDS5491	MPSFKSTTLAVAAAFVAVARADYVIQPDSVPLSTRKAWCADEKR TCPIICQQIDTRPAIVNDCDPEQLTYGCICGNGQQPNISEYTLTLPYFTCTEYGNQCV TACGQNNECSRACREDNPCGAQDPAPPNKTATETSASASATKSADDEIITNLGGDQQG AAASFGGIELGRQYGLAVVLGGFFAGFALL
SAPIO_CDS5492	MSASMRNAIQRRPHRERAQPHERRHLGLLEKHKDYSLRAQDYNK KKAHIKNLRQKAADRNEDEFYFGMHSRKGPGSALVRGKGFTGKVEGDRGNKALDVDVV RLLKTQDVAYVRTVANVVRKEVEELRRRVREEILNGGGDDARKNERDDEDGEDEGEDF DDEEDGGEIEAKDESELEKLERKLAMAEKKLRVLRRAENHLEIQQAKMAKTATSGGHT RKGKKLVVRKRKK
SAPIO_CDS5494	MDPIQIPSRDVIVSLCQDVQFETHSFAYNDHIWIKCGPGVTLGE AAIQRYVHRHADPNIVRIPEVYDAFTRPQPKAAALTYIVMENVKGDNYATFSEEHPEE AEQVLEAIANAVRHIWDIPLPPNASPGPFERQVPVDRLFSDCGPTSAFNNVTEMEDWL NNRLKQAGRPDRISLQGEPLSLCHCDLGPFNIRVGEPVAILDWGCSGIYPHTFEEFAI VHQFNLRGAKFAKALHRQLFGPKFSNGGVIGLSTAFKLQQEGVPVVVIARSFPSPFEI VDAREEVNYSSQWAGAHNRYIPPLDEAGKRDHDLALATFRHMDALAKESPEAGITFMK GIEYLEAGISGYAALTTETAKELGYEEFKELDAEHLPEGVVRGFEYRTWCVNPMVYCS YLLRRLFLGGCKFIKRDLRSPNEVFSMEELGDLRAVIDCSGTGFGDEKVFVTRGQTCL VANACDATVTRQNSDGTWSFSVPRNFHGGTIIGGTKEVDDWSLEPSAETRARLLKNFA ATYPKILEDGGEYRVLRDIVGRRPTRVGGLRLEKVDAGPGRTVIHAYGLGGRGYEMSW GVAEAVFSLLEEN
SAPIO_CDS5495	MASKDVPTDVDRLPVPSRNPLPLSATQEAQVRDIYYTRVRNHCA PEIKAFAECAAGRTLTVSFACREPLHAMNSCMKAHATQAEQDAAREEWFAMRLARQRE RERKQLKKSAQEDFMREWWRMPERDEESRRKLAEKQALEERVGGARASHPSNERK
SAPIO_CDS5496	MTWTWTAPVGVKLVLIIAVIACRFFRPPHPPTLLENIIHTAVFL AAFWAFWGSIIEHLVLSSLNVTRIVLRMDLVPQYGNMFLVIAASCWLLYRSFQTMRIP VQDLIEILKVDVPEAPDVSLAGIRSDAATLEWSRPPSSRPVQKYVIQVNGVNVGNSGP QDTAITVTGLKPAHFYNIRVIAVGSNNFQSGSSVIRLRTYGKDAKPQLLNGRLPPSFV DQQQSRRREDDQDGDSEPPKSPLPSIEAAPTLDPSTSRDSAPTAVSHRRNTVSSRRHS PSVASLDQPALKDKAPATPETQESLAELNEKFQRIRKEISDTVAQYEREEAEFKVQED QLRKDLERKRQALKEKESNTEQLRKRVNITGEQMRAAEKERAKKEQLLKDKKAKLASA RGHIAKLEAETESMRAKRECFASKKAELDEFRETKVAALDKDNGVLQEECSKLEAELK EKGKMLKELKLERQKLPGGDADERWRETDIQLKKEWEARRKMLEQRILSEMQRRHDLT AQVRMVHNLIEQYRAHQSAMTNPYLQGNPPGIDFVDQSQQNHLKRLSRQSISLAGLPP HSQIPLQESSYITAPGYGSRASFAPGPYVDASTAADSFGHDQAFSSAEISSLTAGAPL SPTATSLIPAGILGDDDLLPSPESRHLQSPFGRPMSANLLDADPQSPASSNRSLSLIS SPRSSSQHLPFPAFPGEPGDRRSREIGGITSSPTAPAVQPSRFTSLFSPFQRARAAPK ATEELGPPLGTLKPGQSQSFPRQLDDWDAANKRKSSLAGGLFSRNSVHVDSGDSHSTN NSSSFSSRRLIPFSWAQGVSGPPGVSRDPSSPRPASIASSELARASTDGGSIWGAPGD SNLSKSRLWTSSDARWHSHNPSRRQSVHGQVNAPETTLASPEDEILDDEDLLNPEVSP SQVGVIGSRPPTSASISQRLNPKAPTFMAGLFRKPDRDGKERVEARAKSKDKSKDKEK NKEKEKASASGAYHSGDQGSHLAGDDDSPSDSRKSRDTFSVHTQTSVSESRESLNLDN TWSNTPSEPSMGLSSSLKEQDNVVKKLFRKGSSSRFNLSSRLGKDSGLFKKGPGSSTN SDKNFSADRSSIGDLDDLGDEALLGRSLDSVTSSPSLGPAKSRDRDNKESRMNGWRFS MKKKGKDAKESLELDRKTSLSLDSEAATTDENR
SAPIO_CDS5499	METTLPFPFLISVPSTGLENIEGLTRGELSVLGCPFIEADSNLK DETWRSLRRNNADFHPHFDVSKLESKDDILNLLDRGARRVFVPVEKFSEYENEEFVAR VAPVVTTPDLSVAKPQGLLITGFDPAAQDLEKFTEECKAKKVKDLFIKPVNGVDLEKF VEFAKKCSAVAILPSNRLTTDKADSSKLLLSKLIASYWKSDRADGLIPTVVCDEGGIA LGMAYTSEDSIGEAIRSQAGVYQSRKRGLWVKGLTSGDTQELLGIGLDCDNDTLKFTV KQTGRFCHLPQSGCFGNLSGVSKLEKVLELRKESAPAGSYTARLFSDEKLLRAKIMEE AEELCDAKTASEIAFEAADLIYFALTRAVAAGVSLSDINRSLDEKSWKVKRRKGDAKG KWAEKEGIKTEGTSTAAPVAAPAAAPAPTQEPKNDRILMKRIDAANSAELTQALKRPS QKSSETIMKIIVPIIDEVRKGGDKAVLSYTHKFEKATSLTSPVLRAPFPKELMDIPPE TIEAIDTSFENIRKFHAAQKEEKPLKVETMPGVVCSRFSRPIEAVGLYIPGGTAVLPS TALMLGVPAMVAGCKKIVFASPPRSDGRITPEIVYVAHKVGAESIVLAGGAQAVAAMA YGTESVSKVDKILGPGNQFVTAAKMFVSNDTNAGVSIDMPAGPSEVLVIADKDAEPAF VASDLLSQAEHGVDSQVILIGVDLSEEKLKAIEDEVHNQAVALPRVDIVRGSIAHSIT ISVKDVDEAMRISNEYAPEHLILHLNDPEAVVEKVTNAGSVFIGQWTPESVGDYSAGV NHSLPTYGYAKQYSGVNLASFVKHITSSNLTAEGLKNVGTAVMRLAAVEELEAHRRAV SIRLEHMAKKAQA
SAPIO_CDS5500	MASRRLALNLSQGLRSRASLSAGLRRGLATPVSSNIVGKTQTTT LKNGLTVASEYSPWAQTSTVGMWIDSGSRAETNETNGTAHFLEHLAFKGTANRTQQQL ELEIENMGAHLNAYTSRENTVYFAKAVNSDVPQCVDILADILQNSKLEDSAIERERDV ILRESEEVEKQVEEVVFDHLHATAYQHQPLGRTILGPRENIRDITRTELTNYIKDNYT ADRMVLVGAGGIPHEELVKLAEQYFSKLPAKSAENFAYLQSKQKADFIGSDVRVRDDT MPTANIAIAVEGVSWNDPDYFTALVTQAIVGNYDKAAGNAPHSGSKLTAFVHQHELAN SFMSFSTSYSDTGLWGIYLVTDKLDRVDDLVHFSIREWMRLCTNVTEAEVERAKAQLK ASILLSLDGTTAMAEDIGRQIITTGRRMTPAEVERRIDAVSDKSVMDFASRKLWDQDI AVSAVGSIEGLFDYQRLRNTMKPKF
SAPIO_CDS5501	MGDGTLIVQSARGATYDSGEDLSDIVKNLNPRWAVRSRVSQTPG TRLWSLSATVDQTQGDKSTEEDIPLGLPWGDFIRWFSLARISNPWIAPVHGKTRFDLN RDGVISSFLNPQGQHLVILALSGLRNVSTSLQSDEAGNVVARVISDSNAKEEATILVA MGDDFRRAMAATMYQARDLVVALAYEDAQRQPGVSEFDGPVRPEWREDWYDGLGYCTW NSLGQQLTDDKIFQALEKLRSSNLKVSNLIIDDNWQSIDHRSDDQFENGWLDFEADSR YFPRGLRYTIRRIREENPHIQHVTVWHALFGYWGGISPNGRLAKSYETVDIMQRRGIG EDPGQIKTTTVIAKDDISRFYQDFYRFLSLSGVDGVKTDAQFMVDTLTSSSSRRELTN AYLDSWNILSIRRFGNKSISCMSQVPQIMFHTQLPHNKPQIVCRNSEDFFPDVPSSHP WHIWANAHNSILTRYLNVLPDWDMFQTVHDYSAYHAAARCVSGGPIYITDVPGDHNLS LIQEMTGQTIRRRTVIFRPSVVGQAIDPYIGYHDDVLLKVGSYNGGSETGTPILGVFN VSSRRLTELIPLASFPGIGSFCRYVVTAHAAHTISRPIKLDDPSALLTVVLDVRGYEI FTAYPTLSFNPGENKEVLVANLGLLGKMTGAAAVAGSKFHVAANGRLQLDTDLKALGT LGIYISHLPTLTIDDDFIATISGRIIPPGTVSISKRDEHVLEIDIETAWKEVGQPVGW SNEVDVRVYFTLKK
SAPIO_CDS5502	MDNKRKSRSSRTSVDVDERASKRRRIPQDADITKGETHETTTQS GLGFLEQIRRTADKNGRLVAGHFEKLLPRESNADYYRKTRLPISLEIIEEKLKNGDFA NMTELESYFKRMVMNAKDFYPRNSQVFEDAERVRKALSNYMVKNNPAYQTKGYQAFPT PLPPEGSTDDASRDDSKSSRSRQSNSRSKTADKEEVKEKEDDESPAKDEEDDPEADEG NGEEEDDGDEGAEEEEEEDDEAEEEPVLVIPKRRGPGRPPKNPVLHAQKMAAKAAMQG KADSQYQNVPYKGLNFQQAQEKIVEELLRKKGDGYEYFEPFINLPPRSLRDYYRIITE PLSIRKLQKMVKGIHGRNDAAGVSDFKGWGAFEEKSSLLWKNAYYYNEEGSDIHELAK ELEGFFKEQLEEAKAVVQEPPQPKIKLKVPTTESAASSKKITIHVGGRSGSTDSQTAI QSGASQNGEAPGSAAMVRRTTASSTAATPLEKGGSVSVASPSPSVRGKPVEEVKHLPA TPVANGAIGAPQGQPAVTGMPNGVTAPPVQQAPPPPPPNPIWDSPYRAEGKGLSNGLM ASLVVRPHSTVAQQKLPTLTLQGSPKQCHRSQVYHVSLKMDVRIGIYPQLTDDFQKSQ RPYQLWVLHNGRILQINQIGNPGQRTLLCETMLGRGLNTIEAQVISQIPPDKRVAGGP EAELEKPDGVVLPTVESLVEGKEAARYQGELRTARVKEYLSILDGPARQRTLEEIREK YRKARTASVNPKLELVQDPHPEPLLIDPVLLAIGIERARRGDNGDNVSSV
SAPIO_CDS5503	MPMDIDISRRNKVPRPLLDEERALLEEFIESIHYSARYSDNEYE YRHVQLPKAMLKVIPKDYHDTTKGTLKLLWEEEWRALGITQSLGWEHYEVHEPEPHIL LFKRPLNYTAPQ
SAPIO_CDS5504	MRPPSKLLCLTILTSWTAQVRCAADASEDACPISPKAIVSDACA SYATLERLNTQVKPAIDDLTKTTDFFSHYRVNLFHKGCPFWNDENGMCGNRACAVATL DNEEDIPEVWRAEELSKLEGPRAKHPNRSVQIQRPEKPLGGDLGEDVGESCVVEYDDE CDERDYCVPEDESATSKGDYVSLLQNPERFTGYAGDGAKQVWDAIYRENCFQTSSFPK SSQPGVSDWTPKGPAAFDFKHVLEVAGRQHALEQKRQENPNTPFVSQTGLELEDQCIE KRVFYRVISGMHASISTHLCWDFLNQTTGEWTPNVACYENRLHKFPDRISNLYFNYAL VTRAIAKLGPYLQDPDYVFCTGDKQQDAETKAKIRAVTDAASSVPQIFDESLMFKNGE GPSLKEDFRNRFRNVSRLMDCVGCDKCRLWGKLQTAGYGTALKVLFEFDNESNEIPRL KRTELVALFNTYARVSSSLNAIGQFRAMVEKPQSPEDAVSWTVDPSLEDESTHGNAVE PETDLYEGVKEDAREKPKSKQERTIRDEFDLEFARVWKAFKIVIGSWIRLPGLLWNIL KSELSRLYEFYVGLPVSPRIWTIKFPRPDEL
SAPIO_CDS5505	MGLVDYSDSDSDPDQALSEPEPKRRKSSTASAPSRTSTTETTPP TGRKPSLPPLPDHFHDLYASTVRQSVVDDPSLHHGRKRLIPHVVGNWPSHVYIEWHPS AEEHRTLVSLIQHAQKKLRGEATLTSLLTSDLGAPLPLHISLSRPLALTTAQKDRFLE AITTGIRSSLVAPFYVKPHTLKFWKSPDSNRTFLVLYVHPCPDPQIFSSPPPTTTSKA QTTPLTTLLHRCNSTARSFDLPLLYQKNGGSGPETDEDAFHVSLAWTLDDVPEGEEVI GSRDERDDKAPLDEVHGWAVAVAGVKVKIGNVVTHVGLEKGCAGGEGEIFSW
SAPIO_CDS5506	MAGKSPEKRPKGGTHRGHHRRESYARPDPIDVLDNTPFGGAYHH TGPYEATLAANNMNKKYSPVAAVHESNMAALRATPREYVQDSLTKHVPLQGTATIPPG EPDFNGDIMDYEDGADLMREPDAGGGPYRRWEGLSYRPEDLKGKGEPSYTYEESEKAR NRLRKSSLGSSPAGSSYEMQPQRPARYSDSPSKRLSKTPSVQERKRSVSDVAKSSSAV QEGEVSSYAGLRRRWGSLRKKSGG
SAPIO_CDS5507	MQCYTELTAPTAVTHSLTLPFLSHDSNNLVIAKGSLLQIFGTKA IPVEVDNALRQDSPSNTTPSLAFESRRGDDDHLESSLLAEGIHRADRGNNTKLVLVAE YPLAGTVCGLAAVNIQGSKSGGHGLLVALQTAKTCLVQWNPDTHTIIDTSVHFYENED GSSFDLPLSEYETVLQADPGSRCAAMKFGLRSLAILPFKQASADIEMDDWDVDLDGPR PAKEAPPEASDKATTNGVIKETPYTESFVLRFPQLDPKLLFPEHFAFLYEYREPTFGI LSSTQQEAYFLSRKDHMSYKVFTLDLHQRASTTILAVDNLPDDVHRVIPLPAPVGGAL LVGDNELIHIDQSGRANGVGVNPFAKICTAFPLADQSSLHLQLEHCVIEQLAPESAEL LMVLNTGKMAIISFKIDGRTVTGVSVRIISQECGGNIIPSRISCISKVDKQALFIGST TSDSLVVGWTRKHSQSARRKSRLVDPSLEYELDDLDIEDEDDDDDLYGDSTSPSSVGN QNGTNGPVKDGDLIFRVQDTLPSIAPIRDISPGRAAFFPNSEEKRNSEGVVSPLQLAC AVGRGNAGSIAILNKNIQPNVIGRFEFPEARGIWTMSVQKPIPKALQAEKEISAAIGS DYGAAAEFDRFMIVAKVDLDGYETSDVYAVTAAGFEALTGTEFDPAAGFTVEAGAMGK HKRVIQVLKSEVRCYDGDLGLSQIIPMLDEETGADPRVVSGSIADPFLLLIRDDSSVW IAQIDNNCELEEMERIDDKLTSTKWLSGCLYTDTEGHFIKDRQSPNSPNILAFLVNTA GALYVYSLPDLSKPIFVAEGLAYLPPFLSTDFSTRRGTPKESLTEVLVTDLGDTTYKS PYLIVRNSTNDLTIYQPILRQGGDSFSKALHFKKLRNPALASNPETPAPQDDTTPQEP RFVPLRACANVGGYSTVFLPGTSPSFLMKSNKSTPKLIGLQGRSVRGMSSFHTEGCER GFIYADCEGIARVTQLPAKTSLAELGISVRKIPLNFNVKQIAYHTAMEIYAVGGSVLE PFELPKDDEHRKEWTRENIDMKPMVERGVVKLVNPANWSVIQTIDYEPFEDVLCLKAL NLEVSEVTKERRQLVVVGTGTRKGEDLPIRGRVYVYDVVAVIPEAGRPETNKKLKLIA KEDIPRGAVTAVSEIGTQGLMLVAQGQKCMVRGLKEDGSLLPVAFMDMSCYVTAAKEL RASGLCVMADAAKGVWFTGCTEEPYRMQLFGKSTTNLEVVTADFLPDGKNLYLVAADM NGEIHVFQYDPEHPKSLQGHLLLHKTTFCTSSNGPTVTLLLPRTTTTSSSASNPLQPP QQNGHDPGTFQTHILLMASESGMLSTISPLPEAAYRRLLSLTAQLVTALPTAAGTNPK AYRMPHPAAGTVGVDTASGRTMIDGAVLARWGELGTGRRAELAGRTGYAGVEQVRGEL ESLLGWAGLAYY
SAPIO_CDS5508	MKFDLLLSILLLGASAANAVALPEPDIAESTGKELWKRKGGGGG GARGGGGGGGRSGSSSSRGGSSSSGSSSSRGGSSSSSGSSSRGGSSSSSSSSRGGSSS SSSSSSRPGSPGFAGSGAPRAFAGGRFYGAGAATPYKAGTRSPSGIVPLALVGAAALA FWPGVWLWGAYQYHYPNHYHFHNDTANNDADLPVICACDPYNPCGCDANNDTAYIQDL VGNGDYKSLNQSLVTVAEVDGQKTILINGTLPNGTEAPVPADESGARSVVIEALGFWP MAAAVVVAVFMA
SAPIO_CDS5510	MPNPSSEERSLLDRLKALNPSTAISLPDRLRSQPGTDLAGRLRQ LREQTESDSAREAPSSPQQPRETQTSALPQPQSYSAKTAGSIPPPDSAAPSWSNGLAD DDGILQTDDDFLEDLLNEEAALGHQLSLDTRAELDSLHELARQFSLLTAAGNKETKQK GDDDDDSNGDEMTREVEEIVSKAVTETKLALEPKGDDPNLIAVVGTELDTAGDDTALS SKDGEQPELSLPSVPTDMPSPTASPSAKSAVPMTGDFEDDMARRMAALRNFKLSIGGQ DEESNPLGLPSVPTFQPSEVEKRKAGPGGRVGFTDDDMKTWCVVCLEDGTLICPECDD DVYCSQCWFDMHKGPAAGFAEQSHRALQFNKDQKKKKVAIGA
SAPIO_CDS5513	MNAAARAVVTELPDITIAYGVSDEYRLAPPCHINNLYNTAFWAL IQLGNHDNKEAEKLLAYELVEPGTHNTEAEADDLAEPIVQTKTQAEKDRKKREKARVL IEHLDIIKDDFWDRRPWLLSNKPGKIPKET
SAPIO_CDS5514	MNLLLSDDYLLQDYPENITNTIRSGHSTCLRFNRKGDYLASGRV DGTVPVKAGRLSYGISKMAAGIVRFDFGRQHISQSSIHGTHVGRSHQFVVSLFDEQPL LVDVTEPIDVKHVLPSSPKRTNVENDTALKEKQAKEDAKQMTTVTIFTSSGDHIIAGT TKGRLNIIDAKTREIIFSNKISGSVITTLRISGSGKELLVNAQDRIIRTFLVPNLSAE NLDLDTIQLPLEHKFQDVVNRLSWNHVTFSSTGEYVAASTYNNHELYIWERNHGSLVR MLEGPKEEQGVIEWHPHRALLAACGLETGRIYIWSVTSPQKWSALAPDFAEVEENVEY IEKEDEFDIYAQEEIHQRRLDAEDEDVDVLTKEPSKVDEEDEDTFRMPILFNLGESDS EEEFIAVSTGTMRRRSPGEGQGEISLNGIEEKALVPKRGTGRGRSKKK
SAPIO_CDS5515	MANLYFSHSSAPIKTVEEIQFGILAPEEIKNMSVCHIIYPETMD ELRIKPRDGGLNDPLLGSIDRQFRCKTCTQAMGECPGHFGHIELAKPVFHPGFLKKVK KILEMVCHNCSKVKVDRTDADFARAVRIREPKARFQKVWELCKKKNICDNEPRERMDP GVDGGQQKVIINHGGCGNICPEVRQQGLSILLKGGMADQEGAPSSKKKDMVPISPEQV LNIFRRISDDDMRDMGLNADYARPEWMIVTVLAVPPPPVRPSVSMDGTGTGMRNEDDL TYKLSDIIRANGNVKQAIREGSPNHILRDFEQLLQYHVATYMDNDIAGLPRALQKSGR PVKAIRSRLKGKEGRLRGNLMGKRVDFSARTVITGDANLSLDEVGVPRSIARTLTYPE TVTPYNIGKLHRLVQNGPNEYPGARYVIRADDVGVTRIDLRHHRRAGQITLEYGWKVE RHLMDGDYIIFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHV PQSEETRAEVRELCMVPLNIVSPQRNGPLMGIVQDSLAGIYKLCRRDTFLNKEQVMNV MLWVPKWDGIIPTPAIHKPIPLWTGKQIISMVIPPTISLFNPSESREDAPLKDEGLLI QGGRLIYGLLSKKSVGAAGGGIVHLAYNELGPEGAMAFLNGVQSVVNYWFLHVGHSIG IGDTIPDKATSEKVQIHIDERKAEVAALTAQATANQLEALPGMNIRETFENKVSIALN KARDDAGTTTQKSLRDMNNAVLMASSGSKGSSINISQMTALVGQQIVEGKRIPFGFKY RTLPHFTKDDYSPEARGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGYIQR RLVKALEDVSVKYDGTVRNSLGDIVQFLYGEDGLDALCIEKQRMDHLSMSNADFESRY RLDVMANKRPAALDALEYGNEIVGDPAVQQLLDEEYDALWSDRNNVREINAKKKGDEM MQLPLNVIRIIDSARRLFGVDGSQRSDLRPQDVIPAVQAMLDRMTIVTGNDPISHEAN KNAMILFKAQLRSRLAFKRIAVGQRLNRLAFEHVLGELQGRWDKAFVNPGEMVGVLAA QSIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNLATNIKTPSMVVYLEGRNATQ EAAKALRNAVEYTTLRSVTAFTEIYYDPDIMSTTIPEDMDMLESYWLTDDTMRHTIDD QSRWLLRIVLDRQKMLDKGLDIEDVAARIRQDYPSDLAVISSDNNADEQVIRIRTISS NDKDEDSGVIEDDVMLKRFESHLLDTLTLRGVPGIERAFLTKGDKLIETDDGSLLAGK TDPRCEEWYLDTSGSALREVLTISGVDTARTYTNNVWQILEVFGIEAARAAIVRELTQ VLAFGGSYVNHRHIALLVDVMSYRGSISAVTRHGINRADTGALMRCSFEETVEILLEA ASSGELDDCRGISENVMLGQMAPMGTGNFEVFLDPKMLDTVISDHARMGLMPGMPVKG GPTEGAATPYDSGSPMADSGYVSLGPGTPDAGNFSPIVGAGSESPAGFQTSYGADGYG SGSFSPYSRGGATSPFSTSPTSPMGGYGGYSPTSPAGYSPTSPLLDSSGGRFATSPSF SPSSPSFSPTSPMLHRPTSPNYSPTSPTYSPTSPTSPRHYSPTSPNQFNSPTSPNYSP ASPNYSPASPNLHAAGATSPSYSPASPKWSPTSPQSYSPTSPTFQRSPAQQSPTSPSY SPTSPQWSPRTPGPQN
SAPIO_CDS5518	MAEEVYDGAIGIDLGTTYSCVATYEGSNVEIIANEQGNFTTPSF VSFSDKERLIGEAAKNQAAMNPRNTVFDVKRLIGRRFDDPAVKKDMESWPFKVIDDAG NPKVEVEYLGETKTFSPQEISAMVLTKMKEIAEAKLGKKVEKAVITVPAYFNDNQRQA TKDAGAISGLNILRIINEPTAAAIAYGLGSGKTGKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATSGDTHLGGQDFDTNLLDHCRKEFTRKTKKDLSSDPRALRRLRTACERAKR TLSSGAQATIEIDSLFDGEDFAMQITRARFEDLNSKAFAGTLEPVASVLKDAGLEKGE VDEIVLVGGSTRIPRIQKLLSDFFGGKKLEKSINPDEAVAYGAAVQAGILSGKATSAE TADLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVADMQQTVQFPVYQG ERVNCEDNTSLGEFTLAPIPPMKAGEPVLEVVFEVDVNGILKVTATEKTSGRSANITI SNSVGKLSSTEIESMINDAEKFKSNDEAFSKRFEAKQHLENYINHVEELVSDPSLSLK LKRGQKDKIEATISDAMAALELKETSAEDLKKQELALKRLVTKAMSSR
SAPIO_CDS5519	MPPSATEPRELVVLLNPARRKALYQLSNDIIIYMRAQLELKDGD DDNDEVPESEADGWSDDDTLCDGEAGRQRYAPPSQGPPPPRRPTNTLPSRKLLTLRAE AVAFLNRWANGFMTDLKEIVVERETPKILEERRNRLQRLRNEPEPSFQGDDLISFDGD SDAASPRRRPEVASLESLYKPLPTRLDTLSFHDRRDMLSCVLLLLLSKGFYSAHARVF VLYLTSSLRLPVSVLINEETEIAKSLLNASAQAELAPPHLSAEAEAEKRRQEGQTSRF WKVGLASVAGAAVIGITGGLAAPVVAGAIGGLMGSVGLGGVASFLGIFWMNGALVGTL FGAFGAKMTGEMMDNYAKEVEDFRFIPLRETTRARRNQDDQPGRLRLTIGVNGWLTDK NDITQPWSGLSNDSEVFALRYEMDKLLSLGKALENMVGSYAWKTVKSEILRRTVLATI GAALWPAYILSLATTIDNPFNLALNRSEKAGLVLADALINKVQGERPVTLVGYSLGAR AIYTCLRTLAERRAFGLIDTVVLIGAPAPSDPARWQKLRSVVAGTIFNVYTSNDYILG FLYRTHSLQFGVAGLQPIQGVKGVRNLDLSEEVSGHLRYPDLISQILTRCGFPNVAGG DGPIEREEDLNAAAAQASGQDADGWGDWNDGPLIDFGEEPEPKSLVSQTEPKSRASQP PGSMVAPVGSSSATVVSAGVSSSRNKQAGSGRGVGPTRSLGSSSAVPAEDRGIDPLSG TFPIRTVELPLRGVRPQVEETWPEPPSPPLRVIEPKSLVEPSPSTLAGAAGSSRPEPT QNPLSHSYVGTLDMYDGVSDEEVGGIRMVSYDSD
SAPIO_CDS5521	MAGLFSLFRSRDGSKKSKKNGNLDDAANQKAVKKWDDASARKSV EPEEIQELIRRCTEELKSRALDLPFLLLPFRPASDPSAVRTFIRHFFDQNMRGEVLAQ ELRMTEPMVISGIVKWCWSRLPGGVVGWDAYELFKVGEQDSNLARDSFKTFIPLSVDN GAKSCIIFDLFDLMSAIAAHGKMNGFGGRKLSRLAAWWTFEHKDTGKGFEGGYNAWLS AADATSHLFFAYLRSLSPTTPQAGITMLPMSLQKLLQETEYPPQRPSLMQTTTNKVLM HVDTVSPTPFALLRRANHFQYRNEDKGLSEYAEYEDPVRALTEECRRVLKAISSANQS QVSSSKHSTSLRDASWSRFEDIGFSSPLEEEDEDDTDGITRKLPALRSTPASEGGLGR PTTPSWADFLSSGFVDDGPASPTNTLLPPDKVLPPIETAIRQRSSQSHRPRLESDRHL EPGELASITKFDLDDAFWWVWMSSLAPEETPERKAAFGRCAVIETNIRSARWLVMEEM VVGAAPEPAAGAYIAEKKRGFFSWTRRGKALSRRKSTGKQALDHGDKRLNQSHPGLSK TSIGPDQHARIQAAAAQLQAKEKQSALQVPERRGRTDIEASQEKTSSMMTLQPAIVSE ASQAMKWASKYDKESTRNAYLADPSAGRGVERSPSRQNGHTGTNGAAPASHQITPVTP KSPERGLSEKALEAPLDVHPAERAGASSPIPPHKEAVPASAPVPVPESKPSLESSPES KKHKKLQKDKAPVAPVAPAAAPAPGFRKLFGRKNRSSKIPDNAPAELNGMLERDRAAP SPVPGASSPLAAAATSSSAAVPKPVEKPAHVAAPAPAPIPAPVQKQPEPVKAAQKSVE PPRPEPTYPRSISETSEPNAADIADAKKEFSRFDQGPLVDQPAFVPDDSDSDDAVPPP IARHARSPSPAVAPVPDPSIEDLSKVQVPPAIDRWAQIRKNAAERAAQRSTAPAPKHD PEDDTSGEETIESRVARIKARVAELTGNMEGVSGPESRDAPGPRY
SAPIO_CDS5522	MNPFRQNDQPDQPTGCPVSGPGTDYNYTGIHPPVSLRPSSGFAP VPTAPGAPQSVPTTPPAQIITTPPTPSSHYVFGGNHINGHSYIRAADACPRSRLAVPQ PFGNYPQSDSRPELPIPFERPYQHVPLYPNQSTPYQPGEAPRTLRAIYQDGDRSNMIV ARHIGMRGLQDAEMASLWSANTIQGSPALKEWLCAREGKLDKLDLWEGGG
SAPIO_CDS5523	MSTLKRKAGTTNGSSSGPDAKKPKSDGSIMSFFGGGSSGSSSTF DKKKWAAKLTAEQKELLKLEIDTLHESWFAVLKDEILSKEFLELKRFLAREEKAGKKI FPPPEDVYSWSRYTPFNSVKVVILGQDPYHNHNQAHGLAFSVRPPMPAPPSLKNMYIA LKNDYPNFKEPPRKGGLLKPWADRGVLLLNTCLTVRAHEANSHSNRGWERFTQRVIDV VAQKKTRGVVFMAWGTPAGKRVMKVDGSRHLVLKAVHPSPLSAARGFFTCGHFKRANE WLKTKHGAGSEIDWSLGPREEKVIVEVEVKKVENKEGDIVAAETAVTVTKVDGEKTEK SKEDEEEEKEEEENEQKTDEEEEEKKDDGKDE
SAPIO_CDS5524	MTSILRATAAGQNHDRFWIDAAEVATEMASATIEEAIRDANDDN DDITAPQKMMSAISGSLLTSLLVTPLDVVRVRLQSQVATIPPKIDFSKLALTTDSLLP NVRVADLGVTSCCREVFFSNNYAESCIMAPRPPSATTMTATASATATATMPNIECAVE EAQRRTISSTLDGFKKIAQNEGISRLWKGLSPTLVMAIPANMIYLTGYDWLRFNSKSP IARTVPDDYVPLVAGSTARILAATSVSPIELFKTRLQATSGEAGTSHVLETFRGIQDM VSAQGYRSLWRGLTLTLWRDVPFSGVYWYGYEAIRGKLSDMRDEKRGRTLERIGPRSH ARRRSQSHENHRETFADSFIAGASSGAMASTLTMPFDVGKTRTQVMRDGKAAADAAKS EVPEARSMVRLLWHIFKNEGVAGLWKGWIPRTLKVAPACAIMISSYEVSKRVFRGVNE RSGSDRAGKL
SAPIO_CDS5525	MSNDPAARRILPQSSQMGSFNFVPQPAPQTQKNYVFVDEHNRHK RLKVMRACEGCRRRKIKCDAATTNTWPCSACVRLKLQCVRPNGFDGSTTETTTSISSY TTVSGGATASSTPTSTSTYDPSNRTLTEASTPTAQGGYPQQSVMNATPKTGGSIYQQP AFAESQTNLFHAVQYPDAPPQPQSNVHYTTVAPPVNIVDENYASHNVFPNPPVQAGQV QGQGSSPGSYSQDSYSQPDLADLLGSLKVNEAGTAPYLRNKASFRREEEPVIEEVDDF TAVLPPMTSGKIRIPPALMPDDATAHQYLDLYFTNVHPFLPVLSKAIFYRQWNTNRQG ISPLILEAIFAIGGRLADEPAQGQQWLALAAAHADAFMDVPRLSTLQALLIILKAKEG APKKGYYYRSWMSIVQCVQMGKDLGLDEHYADHLAGKDCDSTELECRMKTRLWQTVFT LEVMIGSPQGRTDLTVDVDEVDFRLPQPVPGDDDDEFLVSRNFTYLARLVRNISRMNS VYARIKKKKDWGIDPELVQLNPSLTSWLTELPADLSITFPPDNSPAWVPSPFVGNLLS YHYLTFILLHRPQLAFCDPNDLNGKWKQHMMICYSSAKAICRLQEGILHSFGLNGLQN MLRGFSFPVYCALSCVVLHLVAMTSPDPDLNTDSRDYFQRQMRLVEQVMAAWPMPELQ RQINAVREAFSMDTRKPFVLKPSFPYGSPTSSAATPPRSSPAYRPLVDPTGHISNQGS PRNLHASHISYSTQAITPPISAGPADVKNSPPMMVFSGQENHTAGMGHQMSIPEQPSW NPSRIFENWNTSFGNPQVQHPSPSSGAVNPASMKSSSSSPPDMSSYSNLPANDAITSG SQAMPSQQFTTPIPTFITPAMWQESVASVYEVGLKRAWDYDGGPSMKRH
SAPIO_CDS5526	MGKDNANPTILNVSQLPTRQAKKKKVALSGPGTKYASLIFSRPA YLSSSASSSDDESTFDEWSGAEQGFTDDGFVEEPIDEQEIYDPEHPLSLGQLAVVNLP DIHITPPPSSGAFSDPDALVNVLVEITPTITHCSLATIIGLGVRVRLEQALPPNYRVD VRVKEDTHSQDDQVNKQLGDKERVAAALENQDLKRMLDSMLSTCT
SAPIO_CDS5527	MRASFPRIQHILVVGIAGGVPCYGQDEDQIVLGDVVVSVSRGKE GGITYYQFGAYVPGKKFSPSGHTLHPSDSLLSAVQNLRSKYMWKGGTRIPEILRGLRE LPSEEEQLEYEDPGSDHDYLFPGSYPHEDETKLCKHICDSSKAQTRKDRGSKAMRRTD YPRIHYGNIASANALMVSSEIRDELHRQYEVIGFEMESAGIAQNCQALVIRGICDYAD SHKNRKWQKYAAATAVAYAKELLLTLPAEKGEEDMTAPSVTVGQSSSCSLEVERAREY FDRKDFLNAYYAYKQALSNLQKPGASTSRQELFQIHNRMVVCLLTLSSDGKGFNIQEN LEEAQKLSLRSEVYALDRDCKAWVLVMQWCIAFRKAREDSSPRGRIDPATMAEFADSL EKVKSKVICLPDDGYPVDEQIESIEDLRKNMLGIH
SAPIO_CDS5528	MATAIFTSPLDVLRTRLQGEFYRSATALHTSATGPIQLVGLHFR ETFRILASIPQLEGWRGLFRGLGPSLVGVVPATAIKFYTYGNCKRMVSEALQCDQDAI IVPAISAAAAGIVTATATNPIWLVKTRLQLDRSSVEKTGSRSELQYKNSIDCVRQVLR QEGIKGLFRGVTASYLGAAETTLHLVLYEQLKSLLSKRDHGTKREDTGAGISAAAGFS KLIAGLVAYPHEVNSSFYHDYTKGSGSRAGKMDDLYDEFGNFIGEEAESEEGSERGDE AAKYVYDEDNESVVAGQEVMQLDEEGPSNAVILHEDKQYYPTAEQTYGAEVEALVEEV DAQPLSEPIIAPVEQKKFNIEEADLPPVFFDRNFMTSLMNFPEQTRNVALAGHLHHGK TAFMDMLVLETHNITDRLERRTGRKRDEQLRYTDISILERERGLSAKAAPMSLVLPNT KGKSHLVNIIDTPGHVNFVDEVAAALRLVDGVCLVVDVLEGVQVNTERIIKHAVLEDI PLTLILNKMDRLILELRLPPKEAYFKIRHVVEEVNAVIEKAIPGKGAAKRLSPEKGNV LFASAELGWSFTLPSFAKMYTDAYGGIDVDEFAKRLWGDIYFNPKKRAFTRKAAEPGA NRSFLHFVLEPIYKLFTHSITDSPEDLRAVLESLGIFLKPSQYKSDAKVLLKLACEQF FGASHGFVDMILKHVPSPVESAENYLQKYYTGPLDSKVAASMIACDPDGPLVVHISKL FNTSDAKSFYSFGRVLSGTARPGAQVRVLGEGYSIDDEEDMLMARLDDVFIAETRYNI PTDGVPAGQWVLLSGVDNSIVKTATIVPPKLEDDEDAYIFKPITHFTESVLKVAVEPV NPSELPKMLDGLRKIKKSYPLMDAKVEDSGEHVIIGTGELYMDCVLHDLRKLYSDMEV KVSDPMTGFCETVVEQSMTKCFAISPNKKVRLTMIAEPLDEGISPDIESGAVKIKDPI RKTAEFFETNYGWDKLAARNVWAFGPGDLGTSILQDDTLPGEVDKKLLRTVRDSIKQG FNWAAREGPLIEEPIRNTKFRLTDVVLPSEAIYRGHSQIIPTVRRACYSSFLLSSPRL MEPVYQVSVTCLDSYTTEVYTCLARRRGHVLTESQIAGTPLSRVTGLVPVIDSFGFET DLRIKTQGAASVSLLFEHWSVVPGDPLDKSVVVRPLQAASVQATARDFVLKTRRRKGL SDDVSVATYMEGEQYGQLKESGLLDQV
SAPIO_CDS5529	MSNLPPVYIVSVARTPVGSFLGSLSSLDAVQLGALAIKGAVERV PQIKPEDVEEVFFGNVLSGNLGQAPVRQCALNAGLSNSVICTTVGKVCASSLKAIIIG AQNIMTGNASIVVAGGTESMSNAPHYLPNLRNGAKYGDQTLVDAVLKDGLTDAFKKEH MGMQGELCADDHSIDRAAQDAYAIQSYQRAQAANEAGIFASEIYPVEVSGGRGKPPVK VDRDDEVKNLNIEKLKVVRPAFKPNGGTITAPNAAPINDGAAAVVLMSEAKVKELGVK PLAKILGWGDAAQEPERFTTAPSLAIPKALKHAGLTPADVDYYEINEAFSVVALANMK ILGLDPEKVNIFGGAVAIGHPLGCSGARIVTTLTTVLREKKAKVGVAAICNGGGGASA LVIENLQ
SAPIO_CDS5530	MNNQPPRGSAFERDREILDHQRQRAVDEMAHREREQNERSHREP YHPNIPPHHSSAGSIPIHQPVASRLPGAIHSPGGLLANHGSSSAIPLGAPSGPVANFG GPLHEQGNRPPVQHGSQGNPGPQHQMFAQMPHGGGPPSGPHGAPAGSQAIFGGPLSAQ DGQPPIQQGQVAGGVQTSAPPQAGPGAAPQGQQPILNDALTYLDQVKVQFHDQPDVYN RFLDIMKDFKSQSIDTPGVINRVSELFAGHPNLIQGFNTFLPPGYRIECGAGNDPNTI RVTTPMGTTVQSIAGRANQGDPHQPAGGRNPFFNHRAGNWPQQLPHGGDGSEPPFGGP PQNGPAVYPHAQSQGGPPFDASAPGQQLRASQPPNVPAPVGPPAQRNVHTPTPSGPPG PAAVGAAQLANQEKRGPVEFNHAISYVNKIKNRFQDKPEIYKQFLEILQTYQRESKAI ADVYSQVTALFHTAPDLLEDFKQFLPESAGQKATPGRAGEDQAAIAGAMHTPQPALGG PKMPPLGSFAPPPSASKESKKRPRPDKQTPAPVAAPAEITPTANRTVIPPATAHKKPK LAHKPLTSDVAVIEPTLTPVMPEPIPPPSAATSNQEELAFFDRVRKHLGNRTSMNEFL KLCNLFNQQIIDRDTLYHQGCNYLMANQELLSFWKAFLQYDPQDVLVDNIPAAPTGKV SLSNCRAHGPSYRLLPRRERLKPCSGRDELCMSVLNDGWASHPTWASEDSGFVAHRKN VYEEGLHRIEEERHDYDFHIETNLKCIQLLEPIAQQMLIMSPEEKEQFRMPAALAGHS TSIFKRVCKKLYGDKGIDVVNDLYSHPFSVVPILLARMKQKDEEWRFSQANHMGILVK QTDKRQLSAKHLVDVIKTKHEEQRRERSLKGSAPRHQFQWSFSDKDVTLDLLRLMALY AIHNSQHSNTEKERIWDFFVDFIPTFFDLDDAAVQERVPKFQLDSGEEDADDPTPLEL TNGRSRRNGRRGDLLRGVLDPGRNTNKSRASKEGSASGSKETTPDVASGNEDETPEIN DDHASSDLSNERWMPTIPKPTILKSGEDGDLLTADNELKADGYFPRPWYNFFCNQSIF VFFSIFHTLYQRLREVKESEQSVTESIARMHRVRPAHEVGLTESPKLYFESSDTPDTY WPKTMDLIEEYIVGDIDEARYQEVLRHFYLAKGWKLYTIQDLIRTLCRLSLTCSSVDG KEKTYDLIKQFLASREREETSYQTEISARKFAEKCVKDGELFVICWVPDKSEASVRWL QRDETTFYMDEMKLQQRWQYYISSYIRVEPTEGIPRSKLSKVVLARNLPSDVDLDDRS IPKPVSYDENLVVSICLRSSKMVWGAGSSESFLYNSAPATKEEKEKRDKAVKMSTLAR DYRLREKFVLNNSWMKDLSQEEVEKYKADYKKWADGDSEQGEAAAPEARDVEMAE
SAPIO_CDS5531	MASTADSSNMDQQVDLSTIPISPDGDRTAAEGSKDSGKLVTIFH DKENFNVKHQLQNKWTLWFTKPPSGKGENWNDLLKKVITFDSVEEFWGIYNNIVPVSE LSLKADYHLFKEGVRPEWEDPQNKHGGKWSYQYKDKRNVDIDSLWLHVMLAAIGETLE EEDDGEVMGVVVNVRKGFYRIGVWTRTIGKHIPNRGDGDIAGGKGRSPAKGQEVLLSI GRRFKEILKLPNTEAVEFSGHTDSAHSGSTRAKAKFTV
SAPIO_CDS5532	MHWSPCVLRPVTRRSALALRFPLLRSSLTQPHTKTAYSYFGSTL RMASTLPKLPLFDAIARHDPDSTAVIHSLSGRRFKYGELLGDVCKARNRLQEAAGKQD LNGDRIAFLVENSYDYVVTLLATFAARAIAIPLSPAFPAAELQYILNQSEASLLVSSA KFANKASEVLATELDVKPSYLHLEKLPGGSAHEHVTLENDDPGNAAMMLYTSGTTNRP KGVLLPSSTLTAQSKSLVQAWEYSAADHLLHVLPLHHIHGTVNAILTPLFAGSTVEFM FPFNPDKVWRRFASPFVHENGLPKEKITFFTVVPTVYSRLLSTHKTLPVELQEPAREA ISPKHMRVSISGSAALPTPVKKAWADLSHGNILLERYGMTEVGMALSCGLDFADRVDA SVGWPLPTVEARLIDVDTGEIIKAGEEKDAQGRERSGEIQLRGPTIFKEYWRNPTATA SEFVEGEDGRGKWFKTGDVAVRRPVPTAGHSRHEWARGDMYFIQGRKSADIIKSGGEK VSALEVERELLSLPEIAEAAVVAVPSGKWGQKVGAIVILDKEHATKWSAMDMRRALRD RLVNYKIPQILRVVDHIPRNAMGKINKKQLVKAVFADDFSGDEM
SAPIO_CDS5533	MSSTSATPIEDAIRSKVHLARPPYPRSLVNSPPPVSPHGDSITA ALQPSTLEIQNDSHLHSHHKAMVGSTSKETHFRLTITSEAFRSKMQSARHRMIYALLR EEMAQEGGIHALQLRTLTPEEEEKQRTKAAEQEEQKQRHHQEPQHITPAE
SAPIO_CDS5535	MSAANNNNNLSSTHPASPVTTTANMAAQSRRDSSTSNAPSVATS RAGRDKDQLAQTLDMIHTSASRSDALTTFNDFTAPPRRPTPVTESKGLAGDIVQQGLS GLYTRLKEAVGGGGASKPSQDTEDAILREPTSGHSSSKPSVDLRVSVPSPSRLGPGPP PSVLAAELSPTTASASQAHFDPSASTPSGRASISGAAYALANMGMDTTSATPVTVQEI SRSAVPSDDGLSRLSGSRKSLSKTELTAAGTPTGSEMPISFDRADVTSVRSDRANSSA RTPREEGPIADYNGELLPLSPVKSATASSVKSHDFRPPSTTPAPLSRTPVVIERITRP RSPTHAGSHTSSVAPSISDNGPNHASTRASHYRDSKPADARSQATRTPDLRVPGAQGN SEQVNMRLEKMKKQVLSKEFWMADETCKECFLCGSVFNVVRRKHHCRTCGCIFDSKCT SIISGQKFGVSGNIRVCKTCLAIINRRYDTSGSDDSADDSYLPAIFRSTTEQSQNSQK STRFVEPKPSGAEDRVSSHSSERGERHYDVRSGTTPMMAIPATRRIGESNRHSAVLEI DAPQLSRPASSRSLRSLASSRPQSSGHKRHHSKHNALGRFKSVNPDDRAPFRKGLRDE LNRKSPFPAFHEDNIIDPELAAYMSDESSADEQMGIFATMSNADLQSTSFDDKSNFGP LLAAGRKHRGRDKSISGMSFTSRGLDDTVGVGGHFGHRAPRRRNLSNVSTSVHHLRSP RPKSGVFKGPSTSNEMLFSLDTPVTETTKLTRSESLRGEKAPQVELNPSSKRHVRKLL HQLLEDAEVPNPPAWEKALIPILIQCTDDVTPDVRHGDYMDIRHYVKFKKIPGGRPGD TSYVSGVIFTKNLALKSMPRRIIRPRILIVTFPIEYQRHQQQFMSLGPVIEQEKEFLR IVVNRIVKLNPQVLLSEKGVSGMALEMLSGANIAVAYNVKPSVIEAVARCAETDIISS IDMLALPVRVGHCDGFEVKTFVNNNYPNRKKSYIFLSGCNPALGCTIALRGAPTQTLS SVKHITEFMVYVVYNLKLETCLMRDEFIRLPTGETDVSVPSTTRQTTEGSLNNSTHTK QSDHTGPGPTVVINAPESEPPSQTSIDTGDYSETTNASGDTAGAQDNHTESRPSQPSD TSHAAHDVTVPEDVPMPTYYSDMVARYEAKILSASPFVRFTQPYLLMRAREQERRLVY LKRLRDQDSEAEPDPEKSKSQPFQLITPETVHSIGQKAPSQLKEIIRAVHDAEYDKAL YNYQTHKRQWENYIQGNINLFDPYSHQNIVVLYSVICTETKIPCVEPGLITIGFYDEQ HMDTGMDPDCTLGQYIEDLCFSKDSVCASNGCDRTLLEHHRTYVHDASMITIFLENAP EESPTLFSDDITMWSYCKVCQKDSPAMPMCESTWKYSFGKYLELLFWSRGLRLNDKAD CPHDHHTDHIRYFALRDTRVRIHYDPIDLLEIVVPRSRITWKVERDLNLKNDIYTKTE ERWNRFMISVTARLKNIRIDVVLPEKADACKAEVDKLMKKATDDHVRLIRKMQQIYIN SKYYEVIPFNVVIREMLEVAGEWDTAFAQFEADFLPDKDVRQLTVLQLKKMFTDNESR ESLPAPESLTSSTAETLETTPTVESDEPQSQTFTDSEAKSSQPTDYTDSSLDNSGALE KAEEPIPLAEVTLPTDEAMDRVEPLDLATSVQVTPHQGANAQAPLATPPITTAPEPAS ALAAEKDVQDEGADAQAGPKASDVPLAKTTPASPSVPTPPLSNSSSDKSLKEKVEQLK RRNQMLQGESGLPRHITAEAVKSMIPERSSSRKVGSSISPPMIRSITQPTVRQLSKPQ QLRLLDAPAAEEGPLKTEKRLSDRLAGALKPRKPGPPSSIPRLVHKKESKVSTLAKHF EQLSREFENQRIRDRKKRAEKMKQRQPRTNLPRTSTAAIVEVYEDVDEAVQEPSPSEL EQQPSKCVQSPPQTPCKPPSHSQKGEATRPETLPQNGKTPATPVAESTGCSEKAAETG VEPKADAEAETDAVQASRNESDYEFVSDAERSQDDDILQNVKEIADSLEPTTEELPKH QKTSLLKMLTNFWAERSSSGWQPLDYPVSMGDHIFIDSDIIVREDEPSSLIAFALGSE DYQAKLADIHHQWHLQVQRSSDEENVEPKSIPTSTSGMFSHDSHDEDLEKGLLRLTGT HLKYQFTEGSAKMTCKIFYAEKFDALRRKCGVADRFVESLSRCLKWDSRGGKTRAVFL KTLDDRFVLKSLSSVETAAFLSFAPSYFGIMAEALFHELPSVIAKMVGFFQVFIKNPV TNTDIKLDLLVMENLFYDRSPGKIFDLKGSMRNRKIQSTGEQNEVLLDENMVEYIYES PLFAREHSKKLLRASVWNDTLFLARQNVMDYSLMIAIEDERKELVVGIVDCIRTYTLD KKLESWIKDRGFAGGGRNRPTITSPKEYKSRFREAMARYILQAPNCWHQFGGVSTGLR PRFESDLQQE
SAPIO_CDS5537	MSRQPYAPTPHSYVPNTTLSATINLDEEVKLAENRAERDLQDSL AEIFSIIVTLDELEKAFLKDAIPEADYAEICDRSLKQYKSILADETVSKAFVGLEEFK AKWDLEVPRATERIRVGLPATTVQTSSTTPAAPAPGAGGTNGVLIAEATQEFITFLDA VKLGLLSKDQLHPLLSDVIQSVNRVTDKDFENRGKIVQWLITLNQMKATDELSEEQAR ELELDIQQAYGGFKRILS
SAPIO_CDS5539	MDTDAASRYRSRILREMNANRDNPFNSPPSSTGSHGTVSPTLTS VLSDIDGESTRKLNADIARITGKKFQVNWEAAHQKWPEFYDLPSKRVPKENRTNLYST HLDNNDDDSTEDAWKGSNRTRAEMQPSVQNESDTSTVLSGKAQHLRSASAQFDRRDFQ RHSPLAQTHSRSPSGPQHTANVSSRRTSADALLNRLRASTASPDRARHSLNQHTSHRH SSGSNFAKFSPSVQKALDRQVPISTPGNGNTTARSFCMPDISYLGDFVSGTLRFNGTV KNGVPILVKHGKVIDLNTRQPPNDHADVDGFEVPEDEERIFVSMDMIRDEIIALQDHH DGMQKYAEELQVEVEQLRTQIMQLKMRRSVDSGFGSEPDGSMYEQLLSEKKRLEEKVE ELQKLLDEANRKLNDEGRNSDTLTIERDRALKKLQDACHNIGDLMDKLDSREQELRNT QKQLDITFQSKDVNNTLRDELESTKRAHESSLREVSSLKETIQKLREAQKNQQSEIES LRTDTISLRREQETLMTENRSLRSNARALMTEIEGLRHTAENAQQEMDAAREEIDSLQ QEVQVLEQEKATFKEDNDSLVRHNEKYFTENKLLRRENSGFERSLHDLHDENLQLKEE VEFLKKQLDHVRPTGREDDLSGPFSRHDEDNMTSGFTVPDITLQRKEVEVTEEAATET DMLPPADLTTQTNEIADDQEDKSMDIRETIEKDDSVVVATETQTKNRKKTKSVRDNTS QRVAFSVPEPAPQETKAKPNIAAKRRNVAPRETSKNDFAGPVNFTLDQESTGYQSAEP TQTHQLTQNNTKSQTVHLTTTKTTQRSSKRTININGPRPASRTGYQRSAASTGQVSVE NTVNSIASVLNRAACPALSEQARRILDGLCEHNCRNCVVCSRIVGHRGTISAADRAEG KKRITIARPVPVTDRMNECSEDPTMRPSQPPGQALAIVIKGLEDEAAHMSMELTKLQA QYNISDKSLGKRERHDLVSEIQQLLKKLEVKNDQIYALYDVLEGQKQAGQAMSDEELE MTIFSITGMSVRDVTDNLTWNGIEG
SAPIO_CDS5540	MKPLSTLALLPPIVELTLAFASSPKTPDRSYCTIFKRPAFYHQN CRPDLPYTFMDDGAPEESTPIRANNSLLEYAYTSLATMQNRFFVPYQGTWPRAIDWTA AFIHTSLSASTNSLSKALAEPQAKGIEDSMIWNMVDKYFSQIVGFYFGQDYISLRHQA FDDILWVVLGWLESTNFIKSHSELHYPRDGRWDADAPPNLRDALINQPWHGNQWTEAF AHRERTFWELATQGWGEDLCNGGMNWNPRFLTYKNAITNELWISASAKMYLDFPGDKI ASPVSLDDDKLAGRNRTFLEAAMKGYEWLMNVNMTNNAGLFVDGFHISNRLQNNTKCD LRDEMVYTYNQGVLLTGQRALWDATGGVAFLRDGHHLIQSVIKATGWDLKKSRPSDKT NPGKLPPWRGLGRGGILEEACDTTARCSQNGQTFKGIYFHHLTYFCAPLTESLEEVDA HAFELLEKAHAEACKNYVPWVAYNAEAALSTRDEKGVMGMWWGAEKWGQDPEVWGSEG GNTYDPNNNSVIDYRNFGVPKDNVWTKPGAGSEVIPGVELDDREGEEDVATFKLREAN SQHHMASSSSISTKPHLKDPNTRGRGRTVETHNSGLEVVRAWYELSQAYGDKRGTTGW RFGIQWIFSRLWRIRALGPFLFF
SAPIO_CDS5541	MGLFSFLRSVYDVSTLDTRFTTPSSVPYKTVLESRHDPLAKDYT AGVKAKAQPSKWRTPEFLLYGLIFIVVVPMMIWIPFSVSRPSHPQWYKFRTVLETGWV PGRQIDNSDMQYRTFRKNLPFMALLLIFHPLCRKVWNLVFPLRTDRYEGNHIAAQGTT RLNQRASFDFVFAIVFLIVLHGFSAVKVLAILITNYQLTTKLPRKYVPWATWIFNIGI LFANELCGGYPFKKIAAMITPPSGDIDLGAVDPLLVQLGAWLDRRSGLLTRWEVLFNI TILRLISFNLDRYWSLDAGQSSALEKKQLDPSNLSERDRVSISADPQDYSFRNYLAYA VYAPLYLAGPILTFNEYISQSKYRSATIDTARTIRYGFRFLVVLLTMELILHFIYVGA ISLAYPVWSDYTAAELSLLSYFSLMIIWLKLLLPWRLFRLWSLIDGIDPPENMVRCVS NNFSTLHFWRAWHRSYNRWLIRYIYIPLGGAKFTSLKRSVRSVVTYILVFTFVALWHD IQLRLLIWGWLIVLFLIPEIIATKLFPASKWEGRETAYRMLCCVGGVVNVLMMMSANL VGFAVGLDGLKSILSGILQDRHGVMFLVTACTALFVGIQVMFEVRESEKRKGINLRC
SAPIO_CDS5542	MIKAVRNAFSFGTDLWDPSSRFETSWLFPPLVLFAFRTIIGLYI LITRLLIIGKTCASDTGCAPVRNEFSYFTVLTYWGLTFYFIVASLHTLTYALTTRPLL DRFPRPLQALHSLFYTTVVTYPFLVTIVYWAILYDGPWYTVTYNGWKEISQHGLNSAF ALFEVAFPRTAPPPWIHILWLIIILALYLALAYITHATKGFYPYDFLDSGPDGPGGPG WVAVYIICILVAVIVIFVVVKAIIWFRVWVTERKMHMDGKFAHQRRTEHDPEIDVGQK 
SAPIO_CDS5544	MAAPSAERVADLKAKYTKAGQGQVFTFYDSLDASEQATLFEQLE KFKPEYINEITNRALNPPKVEDESAKTGLEPLPESARASILDSAKDDIDRWYDLGLDL ISQNKVAVVLMAGGQGTRLGSSAPKGCFDIGLPSHKSLFQIQAERIRKIEELASKKSG GAAAVVPWYVMTSGPTRGPTEAFFKENNYFGLKESNVVIFEQGVLPCISNDGKILLES KSKVAVAPDGNGGIYQALVVQGVLDDLRKRGIEHIHAYCVDNCLVKVADPVFIGFSAS KNVDIATKVVRKRNATESVGLILLKNGRPDVVEYSEIDEATASAEDPQQPGVLKFRAA NIVNHYYSFSFLESIPQWADKLPHHIARKKIPYVDLATGESVKPTKPNGIKLEQFVFD VFPMLELSKFASLEVKREDEFSPLKNARGTGEDDPDTSKRDIMAQGRRWVEAAGAVVE AETADTGVEVSPLFSYGGEGLEKLKGQTIRAPTVLDS
SAPIO_CDS5545	MAEGAQTAKSPILPEPGRRNVLITSALPYVNNVPHLGNIIGSVL SADVFARYSRGRGHNTLYVCGTDEYGTTTEARALVEGVTPRELCDKYHKIHADIYKWF KISFDIFGRTTTEPQTVITQEIFLKLKENGFLEERNTTQLYCEHHHAFLADRFVEGEC PKCGYGDARGDQCDGCGQLLDPLDLKKPRCKVEGSTPVTKDTNHIFFKLDKLQPEIEA FFQESSVKGAWSPNAKQITAAWLKQGLDGRSITRDMKWGTPVPLPGYEKKVIYPWFDA CIGYVSITACYTDEWKKWWYNPDVQLYQFLGKDNVVFHSVIFPGSQIGTRDNWTKLHH LAATEYLTYEGGKFSKSRGIGVFGDSAQKTGVGPDVFRYYLLSHRPEAGDSEFNWDDF ISCNNNLLLKNLGNFVSRVVKFVNGKNYSNVVPNYTEYQDATIDEFKAEINKLLAQYL EEMDAVKIKAGLATVLFISQAGNAFLQSHKLDNKLAENEPAKCGAVVGLALNLIHLLA AVLTPFMPDTAASILDQLKAEPILIPDTWTADSIKPGHEIGKAAYLFGNIKPEKGLEW RESFGGDEVKKAKEEAAAKKAKKKAAMKKPKGQKPKVDKSAEEAEAAALADATDEELA KKAAEVTLKAAPGA
SAPIO_CDS5546	MTTTHLPVLTLDSYDNPPPIVLMPPSDGSSVTTGASAAVSIANP KTSSPLTLHPPSEAEIRLLRLKNRSPKAPAHAHPIPSMQNAFNESLVEATRGPADKPK LRQYDAKTRRERLIAQEKNEEPHCLRWRYRPGQTQHELRKLMSQISFGMNLLLNGKAN SDDQVVSIIQGHIDEIDEFLEVALEDFQQAATDLSGRIKYLRLPLDHLEVFEKLLEDR NYRAELIQNNEVIEHILNRTASMADQYENDFAEGLRSTQDFIAYLSEQRNSPRRSQYP DIDDIYNAMNGNTDGWQRAFKDLQHRASKLDELSTELSTMVAEMQKKAGEVSRRTWIN IEPFSLPQVSSVRLSQQTSPASSPPPSVMSNRSPASSNSVGESITAAHAPMNSIQFNR LTITAQLGPALENPFDDLKYNTRRQDDAISEESSTHEEVVTTAADDYVYHEVNDDAKD QTIGDTDGGEGQDREEPNDGPREGDNEDDTYGSLYILQPRTYTPRLPAPLPSPAVKEY TPAETVEPLSPNSPNLRDSFSPRTTIRGSVEQQPASTPGLPKRSSLRQRVSLKANPPE SIKIPPPHVMRSTPQLRESIRDQPTQPPLPPPDSAYGSDIDLRNRASAAIPEPSPPIF THTMPSPLSDRQIYHPVQASPHSPLQQRPHTSYNAFPARQGTNSGYYPSHTRNVPSRA GGASMLSKVTTLTYDSSTTQGGKGEKRLKKKKSAFGWLKKAFSLSEEEKAAFEARRAA QDRNLYYENRSNKFLDGRRVRQPGQPDHRSPSNSTYQASTYQASVYPQSQYQNSNYPN SAYQHSMYHESTGYPSPTGYH
SAPIO_CDS5547	MDPSSNELTSELDAFRKQWLSEVQSKRATAPATSAIQQGPPHTG SSAHATVPISSVIRARRESGSKVLAPAPAPAAQAGHDDDDHAQPPVFDELFGDAAARK GKEPEGTPEDTGDEGLVSALDHFEAAVMREAQGNLGDSLNLYRKAFRMDSRVDQTYRK KHFGAPASRPPQPTTSPTTTQASLARPAARVKPPTSQSIGDLISSFQGLVIVPAPPII LGTPAPPCPISDLPEEILCHIFRDVAILDVADFARLSRVCKRFAYVVATEERIWRRVC LGSEFGFAGMHYRFQTDVHWNALPPTFDEEEEDEGSEEKGEKTEAVITSVEESSPPAL WLSPEEQAERIAHRKESITLSLLPTFYSSSWKQMWRNRPRIRFNGCYISTVNYIRSGI SNANHITWNSPVHIVTYYRYLRFFRDGTTISMLHTSEPVDVVPYLTKEFVETHRGGAA LHLPSSVMRNALRGRWRLVSGRGGDENPEGDLVVETEGVSDYIYRMDLSLRSAGKAAR NNKVVWRGFYSYNKLTDDWAEFELKNDKAFFFSRVRSYGLGE
SAPIO_CDS5548	MAIRGSQWDRLNPMRLVRQLGNTARQCWHMGATSFGGPPVHFKI FHDKFVDKIGWIDEEVYQELFSVTQACSGPASTKMLYCINLIHDGFLAACFGFLLWSL PGAAVMYGLSIGVSGIGEGLPRPVYALLSGLNSATVGIIALAGVQLSEKAITDTPTRL LVFFGAAAGMLHNALWYFPVLMAVSGLATIVYDFRWLHRPVKAVARVVKAPIRYARRK KEKGRKNEDGSSGDENAAPAPVELDDVTGHSSAVVGPSSSGAISSNRGKNREDDDDPL TLPTTEQEAHELSSSRPPSPEPRIVPSERRLNFTWKFGLAVIVGFFLSFTVIMVIRGV VKEKPPLYDVFANFFLAGTIIFGGGPVVVPLLREYVVAEGWVKPRDFLIGVAIIQSLP GPNFNFAVYLGSLAAITLGYPSIVGAILGHIGLFVPGLIIVHGTMGVWGAIRGLRAVK SAIRGVNAAAVGLIYTAVYRLWQIGYIDEGFGQGTSLANEPWWVVVTATSYVGGYWFN LSPPVAILIGAVMGMIRYGVVSA
SAPIO_CDS5549	MSCSRVFLQRAPHSAIRPIPSLYRTPFRTANLNLTLTHKVVLLH AYSQTTGRPIKQTQSTTSAPRDQKPAAQSIYTRPEPNRKYVFPERVIIYHAGSGRVMY LAVLKLTTIFLAVLFCGILVPSYLADGKPTWQTAGLVLCGVIPLAFVAYTTAPFVSHI AIHLPPPARHSRPSLQRFVASLPAQTRLEFTTLSVIAKPRVSYFTLGDLAPARKRMGI VNFRRDTLRENLQRRWWMYRAVGGFNVQGSNRGVKEGWIWDAVRDKVNSGGAKV
SAPIO_CDS5552	MALPPKFAAHRIVFGKPTSPYASVPPAAHVLEVFLDYTCPFSAK FFKTLTGTVFPLIHSNPTYSSNLEVIFRQQVQPWHPSSTLLHEAAVVVNQQSPDKFWV FSEALFSRATEFYDVNVVNETRNQTYGRLAKIAAGVGLDENSVLEALRIPSEPVEGQL NSGNKATGDLKVLVKMARLTGVHVSPTVIYDGVVQNDVSSGWGEEQWKEWLAKNVV
SAPIO_CDS5553	MVCPQDKHSALLPHATSTNTRSTGQVSFHTLQEAFGPQSLGILV VKGLPENFAQLRLQTLSYSSYLGNLPKEALEKLENAKAKYLTGWSLGKETLKNGQVDT FKGSYYANCAFYVDASLDCAKPTTEFSEETFPEYLSPNIWPGEQVLPGFKSSLEDLCR LVIDVAVLVARACDQFAEKEIQGYPKGYLEHVVSTSTTTKARLLHYYPQTAEPGKDKD GDGDDWCATHLDHGCLTGLTSAMFIDEEASPPFVSGGKSPLDSKLPPLQELAASPDPE AGLYIKSRTGETVQVKIPRDCLAFQTGETLERITAGRFKAVPHFVRGAAASASGGSIA RNTLAVFTQPNLGEEVDIEQHITFGEFARGIVAKNTVS
SAPIO_CDS5554	MVKPLVFKGEKRPKKRKRNHDSNADTVASSTAGPHHTDDVENDD SWVSAEAATDVSGPVMIVLPTNPPSALSCDANGKVFAVDIENIVEGNPASAEPHDVRQ VWVANKIVGTEHFRFKGHHGRYLSCDKHGMLSATTEAVSPLESFILTVTEDAKFQIQT LGNTFLSANPPTSSKPNAQPELRGDADADAPNTVVCIRMQARFKPRIKESKAEKAREK ISRKELETAVGRRLEEDEVKRLKRARREGDYHEQLLKLKVKGKHDKYS
SAPIO_CDS5555	MYTLRLASSFSFPPDTYVLDVAHLPGAGVACITSSQHLALLRPD LSGEARPALTTAHGNVTALRVVDSGAATVCTAGEDGSVSVWDLRRGDAHVARFQGGDV RASPSQKRLYTEVHSDDITELNFHPKNPNILLSGSTDGLVNVCDTRISDEDEVVIQTF NHDASIHHAGFLNDTDVFALSHDERFAIYSMAEEQEKGVAIADFGDVREKLGCQYVAN VTPKLDGSGAILGAGAQDQHLFSLIHLSKNGESWELNSNARVNLPESHGEEIVRGFCF FDEEQVVYTCGEDGKVNAWHPGS
SAPIO_CDS5556	MRRSLVLLLLLQAVAVLGSHDQQVLSEERSPEPVDPTSNDRHNR HAQFEWDVEEATPPIRQPGADLVDEALAHLRKIPDTQHRRRRRSSGLVGQLFDFTIKS LFTWNVPAAPQASPIVTRGPLHDAVELLQQASQQNNSDALYILAEMNFYRNYSHPRDL STAFSYYDKLATVHGNTTAQFMLGFYYSTGIGNVVPRNQAKAMLYYTFAAARGDTRAE MALGFRHHAAIGTPKNCELAAKYYKRVADKAVGWFRSGPPGGMSWVQESWRIADDNGG AYGRGASAASSGLNAIKINLHSHSNAAIDDVIEYLDLLSQKGDSQSSLDLGRIYYEGQ RRQERNMELAKKYFFIVAKRYWKKDGRVLENYKAGSERIVARAAGYIGRMYLRGEGLE QSYEKAKMWFERGRSLGDASSMHGLGLMLLHGHGVQKNIALATELFKAAAEDYAPAQV ELAVLYLDQGGPEDVRVANNYFELAARYGLIEAHYYLAELIFHGVGRDKSCGMALQYY KGVVEKAEPLVSSWGAANLAYEEGDYETALLHYLIMAEQGYERAQNNVAHLLDPDQSR LALDQRVAKSLHLPLLAGRPSSSSPLMQDPSTALIYWTRSSRQGNVDSLVKMGDYYFY GIGTEPDFNKAVQCYTGAADYSQSAQALYNLGWMHENGVGLTQDYHLAKRYYDQALEV NQEAYLPVTLSLLKLRLRSAWNTFTHGPIHSIQDDPKPKKDWSLAEWITNFIQDDTNY YEDSLYDDIFDDTIGDRQHGLDEDSGLELLFIFGISMALVGLLYYRQFRQQQNRRQQD EERRRQNNGAAGDQGELDEGVFPRANDPEFANWVAGGIGH
SAPIO_CDS5557	MSASVESLFENGGHITMRDNESEDWGGRNSACWARSVEIPNYVV VNGSATNIGAFVVFNIRVETQNGSHMNIRKRYSEFDDLRRKLIQTFPGFDAAVPPLPP KSVISKFRRPFLEKRRSALQYFLNCILLNPEFSASPVLKEFLFA
SAPIO_CDS5559	MASLATRAYRPLASTLRQSALQQNAALRVASFHTTSRRDLLPPG PQVVKKTVNDPTSFRDGDPAHGSYHWTFDRLLALGLVPLCVTPFASGSLNPGLDALLC ATILVHSHLGFQNIIADYVPSRQFPKSRKAVNYTLGAATLLAGVGLYEFETNDVGVTE AIKRVWKACYFARNAQWPTERPSV
SAPIO_CDS5560	MAPRPLPSLALSRGLRSLRGVSHNGGRVYTPAYLQIRGISESWK EKVAAAEEEWALRADDIRAGRRQHVWDLFNERGFIKDVAGRPELIKELILKKRIGTYV GIDPTAESLHIGHLLPLMPLFWLYFNGMPTTTLIGGATARVGDPSGRLQSREIMSNAD VAKNITKIHYQLKRIWANVESIGRKYGHNGEWAGRPHLRNNNMWLNALPLYEFLKRLG NHIRIGPMLGRETVKRKMTEGDGMSFAEFTYPLLQGWDFWHMYSKNGVQMQVGGSDQY GNIVSGIEIVKTVRDTEPAEHFKISNDWTSDPIGFTVPLLTDSSGAKFGKSAGNAVWL DHSLTSPFDLYGYFVRRPDEDVENLLKLFTFLPLADIQRLMTLHAEDVPRRIAQHVLA FEVTSLVHGPEVALREQMQHQLMFGKAPIELPEGMVTAAKGVKPASFAPADGLRTTSN EATQETIKLPESLITGKSIARILYACGLASSASDGTRLVQGGGAYVAASPGPDKKKLI PGSLDWTPVKTWFPSDTARFLIDDRLLILRKGKYNVRIIEVISDEEWKESGMTYPGEP YTGKLRQVLKAMKEEKASGKNAVEEEVDALEGETDATRPPLRTVPNNPDIHFPSGPRK GGKSG
SAPIO_CDS5561	MIQGIFYARFFPKEGPRIVAQSPAGLIGTAKTSLLDFDILQAYI IPRKSFVNRLVTATDPDGKYTILGYPVLIAHDKYVRNEFIFNFGVVLEADVDRVPYER VVRRLAVTFAEMEVQDGYLSGQEVEGENGWAVIETEKRRSVEGLLEIVREDLNNYGEC MIPVDDANTINMKLFPHYKQPAEVKGWHVPVAKMKLSDIVDPTWDLTMQKVITHIDGA SDVRRIAYLADVSLDLAKTALRHLLYYDTILLLDMFFFSSCYAPRPGIADFVANLDGM VEECAAYACIHSRQRLSNFHLIRLMTSFCVGKSVIEWLKSHRDAGLDVLRYLDVRRFV QFGVIKGCLYRVHKYVVSKQYIAALATGQSAPRPGGDALQKYTDGNHCFDQIITELNL TDEEITEKLKSLPLPVGDLTVFYR
SAPIO_CDS5562	MADNAEAGDSPQEVTFKVKSSNDKVHTITMPETATVLELKTKLS TEEYENIPAERQRLIYSGRVLKNDDALSTYKIKPNNTIHLVKSAASNPTPPSASSSTA PAVPSVPTNMAAGTANNPLAGLTGARFAGHVPLPNRSMFGADGGMGAPPSTDEIARMM ADPAVQQSMNAALDNPDFINMLIQSNPTLRNLPNAREMLQSPFMRQMMTNPDMIRMAS QMQGGGGLPSFPAPGATDNTPAGAPASGAQPGGAAPGANNAPNPFSPFMFMPFPGAPG APATGAQNANQGTPAGAGAGAGAGAGAGAGAGQAAANPFLQFLGPDFAPGSAATTMNP VERSLLQDFLRSLGTPPPSAPADTRPPEERYAEQLRQLNDMGFYDFDRNVAALRRSGG SVQGAIEHLLGGS
SAPIO_CDS5563	MSFAIEAPGEAQPLTPDELYVALRDAASSSTPAPRRQASVFLNK SLPIEVRLLAIIQLKNGIDRYWRLFSHVKGGLTDDEKAVIRSRLFQGTVDEENKALGL HNALAVAKVVRIDYQKQWPEALPSLIALLRTHKHGDQHKVHGSLQLVLRVVKEMATAR LRTSQTALQGITPELAHILGEMYTERAAAWVDSLSKGVVDAEATELAMHNSLFCVRIL RRLFTSGYESPYKDETVSNFWSITQTHFSHFFGYIGQETIPATYRNLIGKHLLQFSKL HVKMAETHPASFTALPNSLPLIHAYWNLVTKFAEVFDKSAGISQTTTQGGQTKYEEEG PLLERLTLMALTLLRTCARIAHRPFQSFKYRTDEEKKDREKAVQLIKTQWLTNEFVTQ ITNVLITRLFLFRKSDLDAWEQDPEEWEQREQGEGNAYEFEVRPCAEKLFLDFLTSYK DLLIPPLLGYFNASTDTGADLTTKEAVYTAMGLAAAHLATYFQSKLSESGGQGVQNID FDSFLETTLVRDAQEQGPLAKVLRRRIAILLSQWITVESTERNRPIIYQLFTHFMNPN DECNDMVVRITAARQLRWVVDEMDFDVEKFLPFATDVMTQLAELVTTIDVDESKLAIL ETMRLLVTRMESHAAHFGDFLMSALPQIWEASGTEEFMIKQAVIAIFSALVMSMGPGS QRYQHHMLPLIAESASPGSGLHGHLIDESLDLWNSVVMQSNPPLSAELVNIYELAIPL LEYETETASASLNLIESYILMAPQAILEDRFRRPTLAGLGELLKSHSRDRVRQATECI EYIIRSATEFGGVSGVTVVLQDLIEIGLLRSILESLHDAWEAHQTTGPNRRVSKLNTV TEGDYFAILSRLALAEPNAFAQMLGSFGPFEAVWNWLIGEWFSHLAAMDFAARQKLYL LGLTRFLELGEPVQTLVLNKLQDYLTMWTNIISDIQDGDVTDTLICTELPPEEWHCPK NTRENELMLKDPVYSVHAFEFVKARIGDLVQRVGGEQKFQEEWAVNVDKDVLDKFQQL TQLMASQGK
SAPIO_CDS5565	MVNPRHARRHNPAGPHDVVVADRSSRSSREWEMPSFFQFTQGTE SRARFNPDSSPLLGRFRAVPPRPGLGRNRSTGNQPGPFSSLRGSVHVGYGSILAAAGL GVGDDSDSDSDFEDELAALEGVGPVRRLWRRNVRKVFDLWVEPKQTAVKRVVDHWWGR YGALVVMPAILAVTWCAMPFPQYNLDDDNGRPTDPGSGSKIPGHGAARVRVNFWFFLF FFYGFYNLTALMWITKVFNLYSLNWWPQSLGFPLTVCLIAIVSIAVPIPIYLSPETRF LTIHNTAWISWTFIIMAMPVAIACIILLINERHLRLRYTLSETQRIFTTSWWTGEEDT ISRRDRRRPQLQPDSFDPDLLHVTEQPTHRRRVPTIDRRWLPASFVRFVWFCLALFVG LMAYVIGEAYAEIYLRTLPHDNWETVVYVYSWVATIHILDGLTGWILGVREGERVGSY PLSWAFKLYFMLTYQTYVRALYARLRSPAQFITLQALSSAGLIIFYPTLMSPIFHRIL TILGVSTLSYSSYQKLCVRNIFIRFIAENVSMATFLGSVLVLHFGVNKDVYPYFAFDD PNEPYDFNLTFYASSVTWACELAAALCVRIVIRWFFKLDVDLEGKLDLAVWPELLPTS AAVILHVLQNMLFSIIRLQFR
SAPIO_CDS5566	MPSPEDVQVPSNQSTQPTSTAQSVLNPLAAHPVYPDSAATSEQA QDQDASRGQPAAAAPPSTELASAKMTLKSALRHFMDFPIKGIDFVDIMPLFHDVAVHH TLHTALHLQSQESFASKPDIIVGLDARGFLFGPGLALRLGVSFAPVRKKGKLPGPCVT ASYEKEYGTDFFQMQSDAIKPGQKVLIVDDIIATGGSAAAAADLVSQMQGDVIGYLFL LQIEGLNGREKLGEIPSVIMLEDV
SAPIO_CDS5567	MASQPLALYLILLFLGSWLSLAMGPSHMEKLRQDSVRMFLHGFN NYMEHAFPEDELRPVTCAPLTRDRENPANIGLNDVLGNYSLTLIDSLSTLAILAGGRQ DDHGYTGRLALLDFQNGVSDLVKYYGDGRPGLAGLRGLRSKGFDLDSKVQVFETVIRG VGGLLSAHLFATGELPIPGYDPTPPVHWTSNDPLETAPIEWPNGFVYDGQLLRLAFDL GQRLLPAFYTNTGIPYPRVNLRYGIPFYPNSPLNHQSAAGRGMYGRDITETCSAGAGT LVLEFSVLSRLSGDPRFEEAAKRAFWSIWEQRSPLDLIGGGIDAERATWISTASGVGA GIDSFFEYAMKAHILLSGLDLPNETAIAYSSRRDYKPLWLDPNLIQRPLTPEEHSPDS FLKTWHQAHAAIKRHLYNSWQNPNEDFHHPSYMNGNYQTGAVSALWVDSLSAFYPGLL TLAGELEEAVEAHLLYAALWTRFQALPERWSLRDGQVESNLGWWPGRPEFIESTYHLY RATKDPWYLYVGEMVMKDVIDRCYTECGWAGLQDVRTGEKSDRMESFFLGETTKYMYL LFDPDHPLNKLDAPFVFSTEGHPLIIPRDRKRKGATRSHQTNAATEPDAYFDPEFTNE CPVGPAAVPLTGSTIAARSDLYHAAGVTGLYTTPNIHGEVEQVGIKETEYGPVPLIRP KSNHTYFPWTLPSTYIPANGTCHRLPEGRQLTLQFPHRASGRSDSSFWQSVVKLPDEG LFIASLDDLKLQMVLDTEIGGLPSVWRIVSVNNVPLGREENAVVDADLISDMTDPLFK RVREHTAVEVILSHDPFDLRHRRSRAGNPDNSLVSAYKAAMRTVKDRVSLVFPRFQTA KTSLPVAEDGNDIVVDEDSALVYDLGLDLDLDADLDLALETIDSFVASTPVGKGAQLV PDSPTAKYVSAADPDSEIHLPWTSVYLTDEACEPLPTEAPRNHQVIVIRRGSCTFSQK LASIPNFYPSPTSLALVIVLDESGTEEGLVSPNLEETQFAPSGLPRYNPIPLVLVRTP PGGYEKFRAATSLGIRRLYHVQSRGIRISNLRVR
SAPIO_CDS5568	MRLVLTAAGLFGFAQYADAQAMMRFGCSQLVVERVDPLVNPGQV YTPHVHQIVGGNSFNVSMDPEVHDPAALSTCTTCTYSEDMSNYWTAVLYYKARNGTFK RVAQEANMGLVQNGGITVYYIPPYDGRTKVTAFPKGFRMLAGDPNQSSPEGMQPGICH RCFGRNQQPFGGAPCTGADTATLPAGMCLGGIRTTVTFPTCWDGKNIDSPDHKSHIAY PTPAFEMNGNCPESHPVKLPQVMYEIMWDTKPYNDPELWPEDGSQPFVYSFGDKLGYG QHGDYVFGWKDDSLQKALDARCNLDRCSTLKYQTPQEAMKCTIPQTAAEEVDGWLSSL PGNYPDI
SAPIO_CDS5569	MSMTHDLTQLALSSPARDGDASRSPGLKSFSLGDGIVVFSGGTA ANSLVDVFEHVREAKKCTLHYVIPISDNGGSTSEVIRVFGGPGIGDVRSRLVRLIPEN GDKETTAIRQFFNHRLAKSYEEARAEWVNIVEGTHPLWNNISSPRRELIRSFLNSFNQ EAVKRMRPSSRFDYSSASVGNLFLTGGRLFTGSFEAAIYLFSSICSVPEKVAVLPALN SNFAHHIAAGLANGDVITGQNDISHPSVPTAAVPSSEASLKRQRDTEEHDKVEDANLP GTLPALRKPAISFSKEDEEELPARIERVWYINPYGQEIRIAANPRVIEALEKCDSVVY SIGSLFTSLIPNLVLKGVGDVIASNPAIRNKVLILNGTLDRETGPSTNPFSALDFVAA IARACSYSRGKEPPTEDEYCLYVTHVIYLEGPVSPVVDKKYFEKLGIETNYKTMAPIK VGIVGYGFSTKCFHLPYILPNPDLEVYAFLQRAAPPSEDPTRKLRMGHCTVDFPEIKH YRTADDFFADPEIELVVVCTSTHEEFVERGLKAGKHVVVEKPFVTSSAKADELIQLAK EKNKILTVFHNRRFDSDFRTLEKLIGLKALGDIKDAEIHFDFRSPGWVTSWNTKEYSP GQGMAFGLGTHTLDQALALFGRPASVTGFLRSNRGIVSDVDDTFTIILQYDGDQRDLT VTVKTAIVTHMKNQLKFFIRGTEGTYLKFGYCPQEARAIAAPGQPATDPDFGKEDPSI WGELSTTREIDPNSQKYDEQSKLYIGRYPSLDGWCRGYYENVVDAIWGNGEVKIKPEV ARDGLRIIELARESHEQGRTVSWS
SAPIO_CDS5570	MTAPFALSSNSSDVPQALSSRQLYKIKGTLGIYSILAALGYFSV IDSELTESMSILAAEATILQYTFPPYTRPLAHLFSTQVAFCLAVGALLNGVRTDQEEL AVYAVHGLDLRSGFTERGNQTWQAFQATSLVAVCILTWIRGPHEEESQPQLPSPRRIP FLTNNHELPLALPREMTGEIPRLTPSTVNTAFSLSPSWQAWYRACVAQFVEDIGNGTW QGFTTNSSITTHAIGLQLTGIRFTNLKKTETSIEGEADGSSDAEGPVKLTVQIARDTG AFELTRRPRDAPSGFHRMSGTMTPLGLIGIWGEEEEVCNGLLWLYKEEWVPPRDAT
SAPIO_CDS5571	MRLPSWLVWYKKPEYRDIREYSTAVGTGRRPISPDGRGKTAIPS RLSLEKVLANETCSPMSLYDFYMYLKHIEYSPENLEFYVWFKNYESRFVKPKQAGTGS LKEKDTQSLLATTVTETEVERVSSENGADLSYDPELAKETLGQIELLIGSAAMCTMNG CNNKPTITDRVKAFAANVTGNAGTSSGNSSSTNLAEADHRAEITAIVGLFLVPGAEKE LNIPPAMRELALNQLLHSTDPEHLRPVADHVYLLLRNCSHRNFVRLGVSNGTYETVCV ATGLGIVLTCAGFLYMLVRGLAPHIGKHSQWDAFGAWPMWWLGMTLILSGLRGSCFFL LLFTRRQPLPWERFDDSASMISQRSAIVKRLSRLMIFDRKFRVKDASLRQLQHKIVIQ SMIGGAIFATLSTLVFLFLPMWKQTVDGYAG
SAPIO_CDS5572	MSFLGALKLSDSWDSRMLLLAFPVIPALTYLITSLQARHDLVRS KSNVKDVAKNPPTVPFSIPGLAHTIPFAFRTVPYLNSLLTSFGNIPLLLRVAGMPMYF IPQGETIRDLFRNSRVLSKYSVGIMLKRQFGMKEEDIAVWAADKSGISPHPAPGYESI APEKRLWYIIHRDLHNQLSGSAINVMTAKFTEILTESMENNTNIKHDYWMVMPDLYKF LREEIFKAAVQSLCGTGLLELCPTFVDDFWAYDDAFPTIFRKVPRFFAPRAYAAREKM LQNLKRWHEWAAAKFDWTSRDALEADWEPIYGSKISRARALMLRNSGMSIDGWAALDV GFVWTVNANSIPAAIWAVLDIIRTEGLRERVMAETEPCFTADSFDFDVLRLCSQPLLN SIYMEELRLRAAATVTRQIVTDDFRLGRWKFHKGANIFAIPWFGGRDETFWNTGRIDD PRAVSRFWAERFLEYPDDPASGPIRKHDQPEIYQSPGPEKATKSMTAEDDRDAKLVST GLESHYFPFGGGPKICPGRFFAKQEIMATVAVLLRAYEMELIDPVAAENVGEDMARFP LGTLPPKGSIPFRIRRRRL
SAPIO_CDS5573	MIGLRTAIVPLALSLGTAEAFQPGFAWHPARETDGNLAKIDAVV PLATAAPEPKHLFKRGTATCGYIEGDPLQAVTCRADLGAECLYDSTASAIGCCATTNC DIWTACIPRTDSSRTSTADMDRTRYCSNAASPHCAVYLWKDPRWTGYTMAICDSVSTT YSFYPSPLAAVDDTTTTPPRRSSSSTTSSSSTSTSPFRTTSTTPSDNGGGTPVGAIVG GVIGGIAVLVLLGVGLFFILRRLRQNNNVPPAAPQPMVAAPPGPGQAPPYYSPDPNMN NQMYAGAPSNVPSMYGPPPGQMQPMGPDGTTPPGGFYYAPPPQDNRVSMATTTPISPT SYSPSIAVTSLANQPGGHPGQSPIPAAREFNSPDQALAAQNAQFQPPQQQPSPVPYNP QTPPVVQAYVPPTAQGGYPKQGGMAPVELPTTRPDGELRELP
SAPIO_CDS5574	MRLKSLSFALAVGHVVCSRVPALDARQSNPCLEIADEIEKNPDL RYIRPSLALGCLRVFTFDVEESVAVIDYLIPMVSFQSSLAFLKDPPEEYKRTSGNNGI DLLGELNKIREKAITKGYPSQYDFSVAVKSLALRVKDGHFSINPWRTGLFSLIQDMYL IAVSIDGVNLPEIYELDYFQDELSHGREPSPVAKFNGVPIEEYLDSLQDRIFYQDKDA TYNSLLVDISAFGAGENTDVGPFLSSGLHFDIPDETTVELADGTVITRANAALMTTAF KNQLMKEIQERRGVTKAEAIAPRQQDDQPSCLPSEGVSPRPSFWPHPVEEHAEHYTGL YFLTGKYEDTAVLEIRSFQSPYSGYWDGDQCQDEDLHEFYRFVHDSVRTFQSSGRRRL IIDVMGNDGGFDANAFHILMAFFNIDADYGFNIRMRSTPAVRWLANAAQSQQTNVSTN FRDLFYKFPENLGPERIHGDDFSKLIFRNITDRNRLYGFSNPGLGEPLLPPGDIVVLT NGMCSSACALFVDLATNDLGVRSVVMGGRPLEGTMQAVGGTKGALLVTLKDTQDFIDL AVKAAGLTSPPPELGIPPPDYQPGELRRMATNGRDVWRDGAEVPTQFTVQAANCRLFY TPDTVLNVVGIWERVADVAWRGAKCVPGSTANSDDTISDRRPPFRQGGLRLNAAGEKK TRAWVHYFDGEKRNATLEKSGRVMEELKAWEYAADVFHENGDENWSGTIVF
SAPIO_CDS5575	MTRNWTHLVRFLAKEDGQIHLGQIDAKQVPDLGLALEKGETVTA KLVTGNAFDGVVTDKALTISTLLSPLSSDEVPIIRCLGLNYRDHAKEASMPIPNEPVL FIKPRTALNGPHPARINIPKIAQDGTSDYEAELSFVISKTGRDIPKDQALDYVLGYTA SNDVSARAQQFKNSQWCFSKGLDGSCPIGPVLVAPSAISDPLNLHIRAILNGQTVQDS NTKEMIFDIATTISFLSQGTTLERGTIIMTGTGPGIGAMRNPKIDLKPEDDMRVEIEQ IGTLINKVYYE
SAPIO_CDS5576	MTSLKILSFGYALFALAVAYPTSIERARVLGRQEDVLDEYDYVI VGGGTAGLTVGDRLSEDGKYSVLAIEYGYLERDGYRPGRTTYNITSQPSPQLNNRTFN VGIGCIVGGSSAVNGQVFQRGTAGDYDIWGELGGAEDTTWTWENILGYFKKGIHFNPP EEEFAEAFNITYDLDGWGQDPDTHIYATYARGITPGVIPLYNAMTNFPGVDVPVDGAN GQNGLYWFTTSMDPVNFNRSYARTGHWDGLDRENYQLLPATKVNKIVFDGDRAVGVQI HPRDHRDDTQVIKARKEVILAAGAIHTPQVLQLSGIGPRKLLKAADIEVKVDLPGVGA NFQDHHYIPGVRFSWGNAPEVPELNLSSNRTGNGIALGAFLGLKAFSPDLFEDIATRY ESQDAAEFLPKGTDCTIVAGYKQQKKIYARAMRDNTISFLECMLGGSPSCSPQNVHPV SRGTVTLNTTDPESEVIVDYRAASNPIDIDIMVEAIKFFRRFITTGDLAEYEALEEVP GAEYQTDEELAEWARETIIPSVYHPVGTAAKMPRKWGGVVDEDLLVYGVEGLSVVDAS IMPTLVGSTTSMTVYAIAEKAADLIKSRA
SAPIO_CDS5578	MSLVARLSLIAGAALSLGRPGLGAPWSPPPHQCPNGQFVVELQP AEHIVKRPIDINTYCPRPTEIPIDDDLTLTVRDAPTSIVTRVTCIEKIYTTYTKIRPG HGTWEGPWATATAIGCNHCPNGGFPIPPLYGGGRGTVWIQPTNGAQPSFNGPFSTTTL QCDNCGGPQAISITLPPSRPGGPGTVIVIPAATTEPFDGPYVTLTAPYTGTAPTTVNL PPGPGDSTGTAIVFDPAVSEADFTGPFTTLTAAHTGTATSTLSLPPGPGESTGTIVIL APETPAPEFTGPYTTVAGVHTGTDTTTLSLPPGPGDSTGTVVVLSPETPAPEFTGPFT TLTGAHTGTGTSTLSLPPGPGDETGTIVILAPETPAPEFTGPYTTVAGVHTGTDTTTL SLPPGPGDSTGTVVVLSPETPAPEFTGPFVTISAEQTGTEAGTFTLPPGPGAETGTVV VVGPAAPTPEFTGPFTTVSGVHTGTDTTTVSLPPGPGDETGTVIVLSPQTAAPEFTGP FTTIAAEQTGTEAGTFTLPPGPGDETGTVVVVTPRSSFTGPLTTLTAPQTGTEVGTFT VPPGPGDETGTVIVVGPQSTFTGPLTTLTAPQTGTEVGTFTLPPGPGDETGTVIVVGP QSTFTGPFTTLTAPQTGTEVGTFTLPPGEGDETGTVVVVGPTSSFTGPLTTITAPQTG TEAGTFTLPPGPGDETGTVIVVGPQSTFTGPFTTITAPQTGTEVGTFTVPPADGDETG TVIVVGPQSTFTGPFTTVTAPQTGTETGVITIPPGPGDETGTVVVLAPQTAAPSFTGP FTTITGQHTGSETTTVSLPPGPGDETGTAIVLVPEPTSEPAFTGPFTTITGGGYPGPE PTTLTFTPTDGSGTGTVIVLTPTAAPTDEPTTGGSTVEPSETDSTPSTTDLSTEDVSD LSTASSAPSDTESPTTDISTGDLSSVTTGSSQPSETETLSTGTSPSESASDTTVEPSS SETAPTGSETEPTTDVLPTDTQSSTEGPEATSTTGGPEETSATETPSSSDQPTENPSS SEQPTETPTENPSSSEQPSETPTETPSSSEQPTENPSSSEEPTEIPSTTEEPTETPTS SDETTETPSSTEEPTETPSSTEEPTEIPTSSEGPSEAPSSTEEPTETPTSTEEPTETP TSSEEPTETPSSTEEPTETPSSTEEPTETPSSTEEPTETPSSTEEPTETPSSTGEPTE TPSSTEEPSSTEPTETPTETSDTPTSTPTAPLLCPSMPEPCSTLETVTNPLEYVARIG LCTTALGVFGAGEAAICLTELNPIDVFNCLTDLYDNYCITELPVECTELGDPGLVDFT INLATCATKLGPWAIGTAATCFSSSWSNGQEALDCINTALGFDSGSSPTSPVATETPT LSEPVVTPTATFTPPVIAGPGCPEMPAPCSALETLTNPLEYVTAIAACTTALGVFGTG QAAVCVAELLPSDVFTCLDDLFQSFCLTELPEECTSLADPGLVDFTVNLAACAVTLGP WATGTAAVCFSSSFGNGQDALDCITQNLGLA
SAPIO_CDS5580	MRASAIGVAALALGLTQALSFPFCDPDNCYRNLIDERFVQGAEP ACKEWLSGTTTAAIAIPSNFGNCEDVQAFSSACSCITYAATATDLSSSSSSTGSPTRT RTRRPPFTWRPTGIPTGGPSWIPTWRPTRSYSSETTSAEESFSTGDPATSDISDYSAA SSSSEEPVVTGSSTSKEFTTSGYETTSSEDIESSITEEPTTATESEEPSSSEEATTTT ESVEPTTTEEPTAIEESSIVTESEDPTSTEEPATTTESQEATSTEESSAVTLSDEPVS TEDASTSTQSLEPTLTKESSAVTQSEEPSTTEEPSITTESEKPSSIEETSTATTEEVT IATESEEYSTAAATETYTSPEVITSDEAATTEEPSTTYSSGGPSTTDDEDPITSAIED PSTTASEENPSTTELPTTSDTDEEGSTSYSEEIISTTTTEDVSVTDEPSSTDSAVDAT SSPSWSHTWTAPTGIYHNSTTYAHTRSHTHTRRPSWTTSTIYATTVRTIIACPPEKPN CPARPHVVTEVIAISTTVCPVAETDRVSGPEPTWYVVPKPQGGNGVQVIGNHSGEESN TPHVSAGGKGGGVQDTPNETVNDNSADLSTVEGHGNTENDKVVGLSEVDALNGSDSST GPDESDDAGFGDIPSGDNPEIGENSGAGSGSGFGPDSEHPGTAASNGVNFSPKPTSAF APTSGAGHPIASIFGAILAVVVALL
SAPIO_CDS5581	MRLFNILAAASVANAHTIFVSLEVDGQNLGVSNGVRTPTYDGPI MDVTSQSLACNGDPNPTMSTNTVIDVKAGSTVTAIWRHTLDSGPENVMDPSHVGPTIA YLKKVDDAKTAAGPGDGWFKIQEDGYQNGVWGTSKVIQNGGKHEIKIPDCIEDGQYLL RAEMIALHGAGTYPGAQFYMECAQLNIVGGSGSASPQTHAIPGIYSGSDPGVQISVYY PPVTNYIVPGPSLFTC
SAPIO_CDS5582	MDFSNSSPFPEGVISFLDTDLYKLTMQCAVMKYFKDVPVTYAYT NRTPEKKLSREAFQWLEKQVQKLGNISLSDEEYRFLKTHCDYLDDDYLAFLKEFRLDP RRQVKMTFTPAGEDTGSPSDQGDVSMEISGTWAGTILYEIPMLALTSEAYFRYMDTDW SYDGQEEKAFEKGMHLLKAGCTFSEFGTRRRRDYHTQALVFRGLVKASKEAEKQGLSG KLTGTSNVHLAMRFGIPPVGTVAHEWFMGIAAISGDYRHATEQALRLWVDSFGGKLGI ALTDTFGTEEFLKSFSLPVTPAKDGASIDRFKTEDGRIKTYAEIFAGVRQDSGNPATF VKTVRDYYDKEGITEKKVIVFSDSLDVEKCLEYKKISEEDGFLPTFGVGTYLTNDFVH LSTGKKSVPLNIVIKLSSAAGNPAIKISDNIGKNTGDKETVRMVKKELGYIEHEWKEG DETARWGKEEKV
SAPIO_CDS5583	MDIHRCRFLPYPPSAINAIAFSHPRAQNAEQASSARLAIGRENG DIEIWNPLNGTWLQEKTIHGGSDRSIDGLVWVTEPDRNLADDKVAAGRMRLFSIGSSS TITEWDLLEGAPKRHATGNHGEIWCLAAQPISSGKSKSDSTSQIPRLIAGTIKGELIS YTIEDDTLRFDKVLVRFQAKKHQALSLAFVNAHTAVVGCSDSIIRVYDIRKGMLVNTM TLGSDLAGGARDIIVWSVKCLPDGDIVSADSTGQVCIWDSKTYTQTQRLQSHDSDALS LAVSADGSIIASGGMDRRTIFYKKVTGSDRWGKVWGRRYHDHDVKAMAAFESGNKSVI VSGGPDAAPVVLPMREFGLENHRKLAHLPQQPPLLSATNARFIVSWWGQEVHVWSLRR PFGPMYKKSPGSDVDGNQKLLKTIVLSTESNISSAVISPDGSFLAVSSAASGVKAFHL RHKNPTVAADVQVSPVKLPADFAQVGPASLCLSPDSKWLCIVREGSEVLASRIDRTNA SQKSSPAFSVSKWQRLKRLRRDVPRHKLLGGLGSYDRSITRTCFSPDSKMLATADLAG YIDTWVLRGPKVSTAGKEGVDDDGNSSSSSSSDSDAEVNGNGVSNDSKAGNTRWTRNP ASRQLPKLSSTPVVLSFSPDVPRSAMTNGTSEANPDTKVSDDYTLLAVTAPFDVLAFH PLQGSLTHWTRRTRGARLPPEMLDVRDAAKGVVWQGSRAWIYGPSFIFMLDLHVDPKI SALQSKKRKRIDPDSGAGNRMQVGALGPHKVFKLDGASKEAHTFQNVARKGDDMDISD DETNEGTNTHSGELIALRKNEGEEEEKNGKRKWWTTTKYRPILGIVPLGYSLSTEEQV EVALVERPHWAIDLPERYVGNKERTYY
SAPIO_CDS5584	MADKAQPNNGPPEGQSFDEEWARDLRIRFESLLRDKRMNELRSS HTRRFGSPGPRECSSNANLRAAASSSSGRPSTSHGHSTPPSYSSIRHIPKIPTPPNQN DRESQKFRSLMISLSLTPTKYENPGLLDEALQIIPLDRIYAEAEEETQVLQAQAASLG QSKPEWGYQDCVIRALLRWFKRSFFTWVNNPPCPVCLSPTIAHGMAAPTPDESACGAL RVELYRCSAADCGAFERFPRFGDVWRLLQTRRGRVGEWANCFSMLCRAVGGRVRWVWN AEDHVWTEVYSEHQQRWVHVDPVEEIWDNPRLYTEGWGKKMSYCVAFSIDGAADVTRR YVRKTEYALPRNRCPEEVMLFIMQEIRNIRRANMSKDEKYRLQKEDAKEDAELRGFVV ASIAMAVTNLVPGGSSDGGSGSSDDTKRPAEQPAQASGGMAWLASSEDPRMNHNQYPG YPPQDPSQRRDLP
SAPIO_CDS5585	MTLKRKRSISELSSSPVRPSSSCFTSPASSINFSFNSFAGPSSP TPMARNPAHLNSRTLKRFRDSRPSEEEVHPQRQQQRSAHPFVTTSTSSFLEVTVGSNL SCVNSLGGHGSGGFDDV
SAPIO_CDS5586	MAQRARPASHADSWYKGNPTILSEELEGNLEDVPSSINDKDLPI PGARVIIAPHAGYDYSGKCAAWAYKCLDLSKAKRVFVLGPSHTYYLDGSAVTTCGKYE TPFGDLTVDRETLAQIKKDGGFKDIPFRNDVSEHSLEMHLPYIYKRMEQTFSSPEEFP VIVPLLIGDNNKQEEKEVGEILAPYLADPENAFVISSDFCHWGRRFDYAVYSPTAEID RLEHLSRSDRRVQGRPIHETIKMLDQLAMDAIETGKHDSFFDNLKQTKNTVCGRHPIG VVMAALEEVGRQRGEEGKYKFKFVQYQRSGLVEDVHDSSVSYGAAYAIV
SAPIO_CDS5587	MSNYGYGRPNPFDDRESPAPGGGYGGPVRPPQASFGQGPSPYGG QRNNNNYGGGNNVEMSNLPSNNGYGGNGGGYGGRNDGGYGGGNNGGYGGGNGGGSTSI LDECSEIDRGIEEVERNLNQLRNLHRAALNDTDTSGGSRVNRELDGLSSDTMTLYRSL VERVRKIKSNPASRQGVNSRQVERIDGRLKNAIRQYQEIDADFRRETQAQIARQYRIV KPNATEDEVAAAVDGADGGQVFTQALMQSNRQGQATATLNNVRDRHAQILKIERQMTE LAQLFQDMNTLVVQQEVAVAQIEQKAEEVVENLDKGNEEIVTAVKTARATRRKKWWCL GITVLIIIIIVVAIIAWLAATGRLNTGGGNNNNSNNNNNANTNANTDANNGNTGNTGN TNNAKRGIAVANVEAVSEVLVKTIPHVTRQISETVSRARSIQPGARFRNLQ
SAPIO_CDS5589	MSVSVLFRSHRTGLRQLGCRTTRAFLAASTRHFSNFLVTPQELA DALEKNPPKVSPEPKTVAVCAAWFLPNDERTGIQVFRETRIPKARFFDLDKVINKHSP YPHMLPDAKEFALAMSEIGVRRDDTVVVYDTKELGIFSAPRVAWTMKLFGHPKVHLLN NFKLWVDGGFPIETGELYSVDCCTYPIHPMDESKVASFEEVKDIALDYGKEGAEGVQI LDARPTGRWTGKDPEPRPTISSGHIPGSISLPFSAVLDPETKAFYEPERLRKIFQEKG VDPEKPIISSCGTGVTACVLETALEVASYGSAESRRVYDGSWTEWAQRVTPAENLIIK TEGEEDAA
SAPIO_CDS5590	MADRGGAPRGGGFGSRGGDRGRGRGRGRGRRGGKSEEKEWQPVT KLGRLVKAGKINSMEEIYLHSLPIKEYQIVDTFLPKLKDEVMKIKPVQKQTRAGQRTR FKAIVIIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVIPVRRGYWGANLGQPHSLP SKQSGKCGSVTVRLIPAPRGTGLVASPAVKRFLQLAGVEDAYTSSAGSTKTLENTLKA TFSAVSSTYGFLTPNLWKETKLIRSPLEEYADTLREKRY
SAPIO_CDS5591	MIQFTPVDGNSLDPLISNLRHLLERVKYDAPQALWSSIIEHIEK DNNYEFFRFVEDLIAHGAIDDLLRMYDDVWATARLIYGERFPRLDNRTLETDDYTSKE SARQAAVEKAKERAWKGDASNDYKEDWITEELKKEGLSWEDVQRGYHLFGSDLALRAA RIQLLESLGILEHIDRSAVILPDEDAWLEPFKTRYWSTGDVEIDIAEEGSEDDREEEC EGDGKEDEIPVTKLTTLEGSFTGDEKNPTANAKPTNTRNFLATFLKDAAIGTAHDFSQ IEYNGWAIASPLIQLLRIANFLTDEDIDRTADWTGKMAEITQYLTYLTVLYDPEKHSF GGILREVTDMLLIHVLYENYHYSNSVLNLAFDVKPEASTRLPPTEGPAIRLFEIATAR LRNSKTPRRLLHRLPLGVVDIMYRLPTKALEAYLAWFLKNQKVHWDEGSSSCHWNRRI HEWDTHAVEGIIPFGFNADQVEDDTFIQCMRLGPLRTSWALKTTCSFTYDAKTDLKSQ QDLTRQLWHFSRFRGANRAGLTMVLRAFGSQTREIRALDSPYRKLVLPTARDKEAMRT ASLPAGLIWDSLKHDKPQDDVDSFKGYVFWHSMLVKNNEMWATKLMPELEQHSKTRFG VLAAGRPPLPTTNFKGPFIAVDVGAVRYIAWMFLKRLKSIERDLKTASRLYPRFFMTD TLAHVQWGREDIQMQRGTYRLSERAAKAQFRERAVLDARDWELQLLEKLSHRSTNEGM LEPVPEDWTVEKRIFMDRVQALVHDSSGHACFDKGGVTIEELLEQLNRDVGGPVSMIS FTNQRQAEEYLGDLERYGRIRIEETPTGALFYHRPETTLHPEDTIKFNSAALATMPKP RTYEDVLQTDDKDRGQDMIRTRLAVRGAAWRLGYTIKKMEQGLANLPKYTEGQREEFK KGHRELTWTLERMVERRCDLGWEQSSPEETLPSRQTKRVQLPAGRPMQTKDVIKLADR KNYLDKWDDDSRELGDEERIDRAVAENMVTECNEYKNPLWPLTVETAFTTEDGSRRTI HRREAIWGWAKPEVRGQVPQYFSINRWPVELQTPETQLEIRGEGLPVDTDVTMADATP KNRCWRGRTEGDVIMVDV
SAPIO_CDS5592	MPVNTRAQACRASPDEQRRQGSAPGLPEGNQREPPRRYQRRRKR WTGGTAHEARERIIRLGIGRRGPPRNWKQIERQERRSQAIRMQSPGEEDSNGEVTSER TANSSSPDAMSSKDGFQAEREDIEDDEEEEEEEEGEEEDAEEGDIWRAPLAIGRSLGE NLSRLQLAYRRVAQWEAILEHNKNCDPESLQGIQDYLRRARKELWTLKVNEETTTPMN ELESTKKQAISQRIQTLERALKTSRFSEEIINITRALQGYKTGEIRYWDKWTLIWNDH IVDFCPTYASFCVDRLERLDRYAKKYGEGWLWYEAPLAGESEMSTLMGDATAMRATSS ELQNQMYGMGCWPVTMGFKRVRCYVTSGPEIRRKKKVRWADQEEEEEEEENLTSSPSK VNAQTMSSVEIEDDPPAPSLYFDLILDSGATFPVLYEQDFRAIGINPETYAAQTTTKV KMITNKDEFRLYELRVSVTDKDCLSLVMPTQAVWPLEYPELGGIIPVLMLPKETRTSS NPLAPAEGDLSKLRKGRNWVTGCSRVSGILPFLACYTTTVPGSKVIWMGEDRRDVLGP GKFPGQMRYGAEIDDEELTQLREEAETDRAPGVRFEQACKDGGRLVDKDGQFGVSILR RYNDEGEEIGKYTVDPWTWSKDRQGQQSKKQKR
SAPIO_CDS5593	MAYRRFVEDDDMFYEPDQPTRPWLDAKETAKLLAWNRQELIGNE LSRLASDEYLEDIMQHLRHMEDETLPDANLIDLQREIQWFMRPYLIDFLIEAHACFSL LPETLFLTVNLLDRYCSKRVVYKQHYQLVGCAALLIAAKYGDKKERVPQINELNNMCC GLYDPGMFTQMEMHVLNTLDWTIGHPTVDFFTQLLVAIERDDTEVEHMAAYISEIALY HRDFVSTKPSVMARSSLALARAILGRPEITDGEWGHVENVTLLALSQHLHQPSISLAK KYSSTTYSRVTHKLADFMRQQAAITRRSGPQPGPQSTTPAPEESSVYATPHGKGAAVK GFDGYMTPPITPDGACFDNGMGREYAMPPRCPATPTPQGHVQSRHPMVMDYQ
SAPIO_CDS5594	MLPLTVRRVASSAAAQSSLFTHAPKAAASLTTTQRKGPLHQRRY SSSKPSSPKNRSNGSKGLPAGETVSASASSNEVKTPSEKRKRKSKVNDEANDPFHGFP SVPSTQHLSQEALGLSSFFSMHRPISITHSLPRTVSDEAFAEIFTAKTTANKTSDVIS TLSRAVDDLEGPMAKMTISRDGHAEQAGDGMQKIDVRHADGRESSIFVQVKSMTGQFL PFQPPPIPEPQANGEVLGSSASAEADVDLSPQHRVYRAMFTIEETLDADGQVHVLAHS PKILNDEPGTRYIDRLRRLRYEDALRRREGFHAISVKKRRKLKMKKKKFKKKLKASRK LRERLHKI
SAPIO_CDS5595	MDTKTLIKKQFTAQKLVFHFIFWGAHWGVFAYGWYKQAADPRLA PLNLLQFSVWISRGAGFVLSFDCMLILLPVCRTIMRWIRPKVRWLPFDENLWFHRQLA YATLLFTIIHTAAHYVNFFNVERTQLRPGFALDIHYKQAGGITGHVMLFCMLLMYTTA HVKIRQQCFEAFWYTHHLFIPFFLGLYTHTVGCFVRDTADPISPFAGDEYWKHCIGYL GWRWELWTGGLYLIERLYREVRARRETKITRVVRHPYDVVEIQFAKPSFKYKAGQWLF LQVPAISKYQWHPFTITSCPYDPYVSVHVRQVGDFTRALGDAVGAGSAQAKLYDGVDP LGMYEVALQNGQQMPSLRIDGPYGAPAEDVFDNEIAVLIGTGIGVTPWASILKNIWHL RNGPNPPTRLRRVEFIWVCKDTGSFEWFQTLLSSLEAQSNEAARIPGSSGVEFLKIHT YLTQKLDMDTTQNIVLNSVGADLDPLTELKSRTNFGRPNFPKIFEMMRDGILDRTYIN GLESMRTTVGVYFCGPSAAARDIKKACKQATVSEVLFRFWKEHF
SAPIO_CDS5597	MARKAVDSRIPALIRNGLQEKKRSFFVVVGDRAKDVIVHLHYIM SSMDIKQNKSVLWAYKSKLLGFTSHRKKRENKIKKEIKRGIREANSEDPFELFVSLHD IRYVYYKETEKILGNTYGMCILQDFEAITPNILARTIETVEGGGLVVLLLKGMTSLKQ LYTLTMDVHSRYRTEAHDDVVARFNERFILSLGSCQSCLVIDDELNVLPISGGKEVKA LPPPDLDQPKTPAQRELDGLKDSLQDTQPVGSLVSLARTVDQAKALLTFVDAIAEKTL RSTVTLTAARGRGKSAAMGVAVAAAVAHGYSNIFITSPSPENLKTLFEFIFKGFDALG YADHIDYTIIQSTNKDWNKAIVRVNIHRQHRQTIQYIRPQDAHVLGQAELVVIDEAAA IPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIKQLREQAHAKNANVAPDTQVAD RSTGKAAKSESVPSSGRSLREITLSEPIRYAPGDAVEKWLNSLLCLDATLPRSKNTIH GCPDPSQCQLLHVNRDTLFSFHPVSERFLQQMIALYVASHYKNSPNDLQLMSDAPAHE LFVLVPPVSEDSQKLPEPLCVIQVALEGQISRQSVLNSLGRGQRPAGDLIPWLVSQQF QDEEFASLSGARVVRIATNPDYVSMGYGSRALDLLVNYYDRKFTNLSEEEPMTSAEET FPRVTDDELAGASLLDDNIKVRDISKMPPLFARLEERRPASVDYVGVSYGLTPPLHKF WKKATFAPVYLRQTPNELTGEHTCVMLRPLESSGDQSWLGAFARDFHRRYLSLLSYDF RTFAPITALSIDESAIAGARLDKAEVKRLRKSELDELMTPFDLKRLDSYSNNMFDYRV ILDLVPTLAMLYFSGRLRSDIKLSGLQQSILLSVGLQRKDMDTISQELTIQPEQVLPL FNKIIRKITAHFAALVEGAVEAEMPKPDSIGVSRENASGAHDDERVNERFEPFAISLE DDLQDDSALTEVEKKKRELIDSLPLDQYEIEGDAPGWDDAEKQVMNAAKRGQKNVVVS VKSGKTKRKAGPTAAEIYDKEIGEKERKKVKLGRKAKMS
SAPIO_CDS5598	MGISRRPKDKAARQGRGGDQGGAGARPKKATFETTKKKEIGVSD LTLLSKVSNEAINENLKKRFEGAEIYTYIGHVLVSVNPFRDLGIYTDQVLESYRGKNR LEMPPHVFAIAEASYYNMKAYKDNQCVIISGESGAGKTEAAKRIMQYIANVSGGESGD IQQIKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQIYFNSQGEPVGADITNYLLEK SRVVGQITNERNFHIFYQFTKGASQNYRQLYGIQKPETYVYTSRSKCLDVDGIDDVAE FQDTLNAMEIIGLSQAERDEIFRMLAAVLWVGNIQFREDDSGWAEVTDRSVVEFVAYL LEVTPEQLVRAVTIRILTPRSGEVIESPANPAQALATRDALAKAIYNNLFDWIVERIN RSLKSRQATTNTIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKTEQEEYA REQIQWTPIKYFDNKVVCDLIEQIRPPGIFSAMKDATKTAHADPAACDRTFMQSINGM SHAHLTPRQGNFIIKHYAGDVSYTVDGITDKNKDQLLKGLLSLFQASGNSFVHQLFPH QVDLDNRKQPPSAGDRIRTSANALVETLMKCQPSYIRTIKPNENKSPAEYNVPNVLHQ IKYLGLQENVRIRRAGFAYRQPFEKFVDRFFLLSPATSYAGEYTWQGSYQDAVKQILK DTSIPKEEWQMGTTKAFIKSPETLFALEHMRDRYWHNMATRIQRMWRAYLAYRAESAT RIQRFWRKKRTGAEYLQLRDQGHRVLGGRKERRRMSLLGSRRFLGDYLGINASVGPGS QIRNAINLSTGEQAVFSCRGEILEAKFGRSSKPSPRIIIVSTRAFYIVAQALVNHQVH ISIERSIPLGAIKYVGASSCRDDWFSLGVGSPQEPDPLMNCVLKTEMFTQMQRMMPGG FNLKISEVIDYAKKPGKVQMVKVIKDSQVTVDYYKSGAIHTQQGEPANSVSRPTPKGK PVPPRPITRGKLIKPGGPGGRPSRLTGNRTPQPRPGASSSTSSRPVPQPAAVMAAAAI PSHTRPQASRVAAAAAVTPASRMPPPPPPPAPAAKPKIMAKVLYDFSSDKANELSITK GEMIEIIQKENNGWWLGKNARGQAWVPSAYVEEQVAPPPAPALAPRAPPPPPGGQNGT RTKPTPPQPPVKRPAAGRKPAGYQARDSGMSLNGGADGNPTPNLAGSLADALLARKNA MQKGRDDDDDW
SAPIO_CDS5601	MHPAPSLAEAPPKTDESRSPAPPPGHGGPPGGSTQQPRPPTPTH SQSVSPPLRKDTASSISTQASGTSTAPGTARLSVEGANSNTSYSAETSPTPHQSIFSV KDGSDLSNNRRASRRRTGPLSQQQREKAALIRKLGACSECRRRRVACHPSHHNMTWED AVKKYQKYHRSLSPNIPDIAPLTGARPISPAPTTNHSPLNHNNHVNAVFRRDSSDAMD IDSGPAQSPTQSPPQSESRLRTPLPSGKPPAVPGIDSLRAVLESHASRIFSTPHRGRY SAAQALLLYWQDDDDEVLSATVQDLADVFDKQYRYTFQKQKIPSPSDECRNPLRWLLQ QITAFADDRDQRDVLKILYYNGHTYLDRNKEMVLASSRDREKASTIRWSGIQQILEEA CSDTLIIMDAAYYPSPNVERKQGVLELIAAASSEEHFQLLDRCAFTRALTEELRNKAR GPNHNSLTAAHLHAKLLSMYPKMIQDQNPEREWISSIPAPLHLQITGNPRLPSIQLCR LHRTSLPFAADHMGPQIHLSMRLKDDFFDIENWAEWLRVMPDGVRDVKVEGQLPLLK
SAPIO_CDS5603	MTSHHGHQSSFEGIIHFSSRLPLEENKRARAKRKFYHIINHFEA TSTKGSINPSDEYNRPKLIRLIYEYARSAESQDIFLQAFAQALELSMDHEDDVDFGSG QLEEPLGSALYRFADHLFDHFFLPLRASTKKTPQPSPAYHSAIQQAQGGGVQDFVGTP GRLSELRGDCLIRGRNRCVISRRFDVTEATRRIRRNRDDAADDDGNKLGDESHFEDLE HPLKKAALGILNMFDNGVIHLIEGDDIDRPRNALTLTHNLHLLFGNFEVFFQPLSDAE PHTYQIHSFLPPPIVRGLLPVTRTLFLTENRTIEPPSRRLLELHCAIAHILHLSAAGA YIDKLLDIWRRRAYRQMGRPNWVAL
SAPIO_CDS5604	MSHEADAFREHKYVALNVFRRGVGQDEIELCAHLAKANPSHPGY RHVRTALDTFKLERDEGQHYCLVQKPMWDSWKDMLLRNPAHRFTEDLLKADLKDDNIL QELVDRSVLAAFTKDELETPSPRKIVDDTTIYVSRRFDLPDDFGDVVLSDFGAAVRGD VKRNHDAQPNVYRSPEVMLMTEWSYPVDIWNVGVMIWDLFEGRHLFYGDDPKKNKYTT RAHLAELVGMLGPPPLDLLHRGRRSMEFFTEDGEWKGDVDVPSDASLENSVTRFEGEK KEKFLTFRLQHLDQAWTLHLAIRDSNLSTTTTTPTNHSSKTARGQASPSILPEMDPHS PPKRMTRSRAAANAVETTTRTTKIVTAAAKAKAAASTAGSSTTTTTRTTSAKRKTRAD DNDHEDEIEATAAPAKTARPRGRPRKVEEPAVEVKAPASNTAARATRTRAKKAVEPEP AVEEPSKPRRGRPRKVTTQQNEEPKPEPVKTTTRSRALSTTTTTTTKATVKKTVTFQE PEKENIDPVTSAKSKQADPKPATGLRGRPVRRAAATAATASTAARSAATTTATRTTRA TRTTARAAAKSSEKLPLSPKKITQLSAPRDYPSEDELAAPEKTPLRKSPIKPPASSTL VKGKKVEIAACAEKQALAISNAVPEETELTMALVSPAKRPPPSPYKDTMKSPAKRSDV VQLLSTSTAKAGGKSTTGSGTPFKASLLQSPAKRPPPSALKAVVPSSLSKPEPSTAAT PSFRTSLMQSPAKRPMSAIKLSLVSQDMAQTPATKPTILSTPAPASHAKPSELLMDDD EADIDPQPVQRNLFAQPLPSLKFPGRLSAVLPRDANPALDLEMTTVDEVTEDEISLIM SPPAKEHVKVDEANSVQEAEEESDSTIELDDHEMTDVFEAVEEPQVEELVPAEPLEQQ PLSPTGTTTPPNSPPKNLLGFGLRQKDLNPFQGLDDESEDELTSSIQRKPYRSSRSPV RSINTPSAGSRGKSIAPSASGVGYTPLVAKFGAWSATSPVKRASSSNSKRVSEEPTAK PTFFEDEMSVRNTEDVEDFEAAIEAAIDADIAALIDPVFEDVPITDEDMALVAEAQEM SLMAPEEVDEVLANQDGEDEDSLSEASQDYGDENQVPVDPAIIESHRQASPAVPPQTP ARVPIAREFHTVSKVPLKPADDSTPSPLKKRRHSVSRLPVSRPSGVKRSATVISYTPT KKDRDRSAPNTPSGDSDLWSTLGTPPRSPHKHLDPALLRGAVVYVDVHTSEGADASSI FVDLLTQMGARCVKTWNWNSQETSRIGITHVVYKDGSKRTLERVRESNGVVQCVGVTW VLDCERDNVWLDEAPYSIDTSLVPRGGARRRKSMEPKALANLNGTLIKSPIKQSRDTK TAPSTPLPNRRDSTVWMRTPPEQLAAPLDGEQDAEGDDDDDWRHLAMLTPVPKTPAPD AIARYVENITPDTPTEAGGSPADQDLLTRTCPPKKLSADAFKELGRDMFSPEKDPQVM MRLMAARRKSLQFAPKVGSPLSKAWN
SAPIO_CDS5605	MTAKPTQNPDQEEETPVAKSAEDRKAANALAHLDARDESSAASN VDQDAVSAAMKTLGGGAAGAGGAGAKGLPVRNKNVKVDQADVALLVEELDLPKAKAVD LLKAHEGDAVAAMKAYVQIRV
SAPIO_CDS5606	MASQTNPEVPAWTPDSWRTKPIKHVPEYADQAALTAATTKLARL PPIVHPREIVSLKAHLRDVAQGNAFLLQGGDCAELFDYCEQSAIESKIKLLLQMSLVL IWGADKRVVRIGRMAGQYAKPRSSPMEIVDGREVPSFKGDIINGYPIEEREIDAGRLV QAYHHSAATHNYIRASLSSGIADLHRPLDWGLGHVRDHTLRAKYSETVHSITDMLRFL HTIGANRTDTLDTVDLFTSHEGLVLEYEQNLTRLLERPRLTSSPSTGEVPEPPIKEYY DTSAHFLWIGDRTRQIDGAHVEFFRGIANPIGIKVGPTTPADDLLVLLRTLNPTAEPG KITLITRYGASRVRDLLPQHIRAVESSEYRRCVVWQCDPMHGNTMSTPSGVKTRQFAD IFSELRETLDIHRREGSYLGGVHLELTGDAVTECLGGGENLDEDDLSTNYTSFCDPRL NEKQALELAFLIADHYRQERKPLPI
SAPIO_CDS5607	MDRPNRPAALATTPGLPPQAQITTSHDNTRVSAVLPTGDSVEVL LHGATVISWKDGSGAEKLWLSTAAKLDGSKPVRGGIPLVFPVFGTAPGHAATASLPQH GFARNSKWEFLGKSTSEGGDDAAEGSVKLDFGLSSGTLGEDWKAKWGYAFGLVYSVTL SPGSLSTALVVSNEGEEAFESQVLLHTYLRVKDISATEVTGLENSSFLDKVDGPQTKT QSGPVTITGETDRVYNPETDPKDPVRVVESGKPTVTLVRDNLPDVVVWNPWVDKAAGM GDFEPKDGWKNMICVEAGSVRSWTKLEKGDVFEGGQTFYAN
SAPIO_CDS5608	MSAILLETLPPRPPTPPRESTYDPMADAPFKQVLARPSFDPRLS LQTPPSGVHSPRSAATDSNPSSRRSGKNVAFASDTLIREAPVYDSENRVSPPSGPLVP SSKASKPQKGILKPFISPNPLDISFNTANGVTSQYSLINMLESSARRLAGDDRIDRMD AYGILSRALRASNNLPDRVALQERMPRFMEYIQRDITVSPPDSSLTNHALTFLLTILH FPGVASSLTNDFGIFMIDHCIRSFGDSAVSKDVTRHLLQVVAQQNFSPRVMTPDRVGR LLAALSNIEQHVTGKSIIHSRIMIYRRLIKQCKPLMLVHTSWLHDLLADTLSNTKDIR GAAIVLGFEAASTIGKDRQVSKKLADLFQAMVDEQKYVDFYLDRLKTMLRSRQDASFV PKIWSVVLLLMRGIQLDKWQYLNHWLRVIQQCFNSGDMQTKQEANYAWNRFVYVLHFE EASYTKLLGSTLCQPVCSQWKRKVSAPKTDEGFQNVIFGSACNLFYYTFKPGVSQGLL DKYWDTTVKPVMQQLCANNQPEERVDQAISILTSLFDNTTRRQWIEDRVLTNPLADAS ELPPLEPKWLRHNAARVFSVLRPILDRNFADIGRTSTSTYKLWYTLIASVSSAASKEI KVSFDTTEFISYVFTSLLRYWRRGITKENSQGQDISVFGPIFLSSIRQFIGTVVEAFG LLPFTEKQLCSRQDTFTPATTPSHRSTKVAGVSRTPLHHLFTILSTLPNGVPDDAAFA DFSTGVFAPFFKAKNARAASDLTQEMLNSVPMDSLCPYGPWIMAANAVKTALASGQSR SAPSFAPTSSLEPLVGKEYRDLCRILERGFKSTPNLPSECWSSFLEAVETHITEETGP AGRALALVEPLAKTILDHVTTTGRSVDPRAVYATTALLHIASQPRDRQTVDSARMRLW GTSTAKSASFDPFDSLYKLSNVVMEELYSSLGDVEMAKLAAPFLTELDGFLRRCNNSL VLKTVCTLQDGLVFWIKDDESRLSSRQSADTASAAKQLWDQICDFISSQETAESLHLE TFERLFCAAFQSKHRHVVNSVAMLWNRMFHDTQEIAYPEALQTTLLSVRSMVDLVLPG LDETSGESGAAQQQHAFVQSQDDMEVLNMSPPRSTRSERVHFVSSGSSIAPTPLPFVA SDFKKPQNSGRNRKQQKSKTKLRHEDSQIQFEPVPSTTDNEESQNLTEHQLEVRERQR EQEVLYNMRSSSPTRNEAMEVDDARDEPSPALPDPKKETPKRDTPARQKSYEDYISST PTPRRGQMLPLQDVEMSDPPSSPPELSQVRRYPLVPEIQSRSNSVADNVNWEFSSSPV SGSPLPLQRVIVQQEEEPEAEDDGHVPDDSEDIAVVEDSFAMDVVPSSAAHELMVPEA EKEDEEDEAKNLTPSRRRTRQSVRQDSQQLLQKQKQSQVEKKRVPSTPPRETCARGLD ATPKSDNDEFVDAPSSPQVVTRAKARQSPKAPEPVSSVETSFAISEAAERSMIRLVEE AEAKQAEMKRRTRSSRRAAVKDCITVKTDDGEDEDEDEDAEEENAGREIPSTPQKQTN SVEAKSKKSTSHRRKRKRGSGSRQHDERSKRRRSAGATDDDDSSAATSSPSLRRHSSQ ARSSEGEDEDVQSQVLSEHIAASQSQSRQSPELGENGPPSGLGTGVPDPEDVEMAMED AVNEGGAGADSQTDGSVLTSGSSRTKGPTYKIEKHFKKALEKMRTVALSREEMYRIED LFLDFKRELYEAEKRGRDVHRK
SAPIO_CDS5609	MPYQSRTPSPEGVASDLISPAAEPMAPSLSDEELADTVAAEAPS EPAASRPTQRSRGGRRGRGGRLQGQIKSHAAEVSTKNGEALASKAGVRGKRGRPRGVA EEPPAKRVRRSETAPRRSTRVVKQTAEKETTRDAAKIVKKVKAAAKTPPKQAKRRGRP PKSEKEKEWEVEYVVDKKIDSKSQETSYLVKWKNYPEDSNTWEPEANLGNCQRAIRLF EAQQVSGKKKGRKSRG
SAPIO_CDS5610	MDVLRTTLQPITHNLPAPLRTFAISLIGPTCYQTLVLDLDLTDQ ACLKLALSKGLGIGIVAASSIVKVPQILKLTSSRSAEGVSFLSYLLETAAYLIGLAYN FRNGFPFSTYGETALILVQNVAIAVLVLHYSGKSGLAAAFVAGLAGAAGALFTEEVLD MKTLGWLQAGAGALGVASKVPQIVAIWAEGGTGQLSAFTVFNYLLGSLTRIFTTLQEV DDKLILYGFIAGFVLNAVLAAQMLFYWNSPSSTKKPRVVAVPLKREAAAPIVPSSSAK STGTTPRKGPTTRRRG
SAPIO_CDS5611	MPLTGCHHNPAVTRENLDPGTTQILLTLIREAYKELFLNPKPTK SVTLNGSVDKKRVVSSKLGFISITRESAAVEFPVVIQGKELLQKLRQIPLFSAVSITG ELVEKPSKVSDPNAPPQYELVASSIDCLSPFPKDIVLTENDNFAPESRFLQLRFHTEF RHRLRFREWLQSTLSSVASKYDYSAITTPMLFKSTPEGAREFLVPTRTRGRAYALVQS PQQYKQALMASGIRGYQQFAVCFRDEDLRADRQPEFMQFDMEKSYADGRQITSDIENI VKEAWQRLCEDHTLYKYGDSFIPVQNQHASRPDIQKLESQNIPALPKEPFPRLTYHEC MTLYGSDKPDLRIPGQISDVTKHVSENFVSMITYLKDSTVEAWKFHLGSSVREVRAFV HEFMDGLNTQFRDHPDGTPQVLIFDPQQPRDGFSSLGPEGIDPLLPDLGPGDLEPGDV VVFQARKKGPFYGGSTNLGEVRKRIFDAAVAKGLIEAPEGFKFLWVTEFPLFSPEEDD TPGQGGTAGFSATHHPFTAPLTPQDFELLRTDPLKAKADAYDLVLNGTEIGGGSRRIH RADIQEAIMREVLGMSEERIADFEPLLSALRCAPPHAGFAFGFDRLCALLTGTNSIRD VIAFPKSMKGEDLFARSPGELTESQLETYHLKLRE
SAPIO_CDS5612	MSSSFEKSVKGATKIKAAPPKTKYIEHILVATHSGEAGVGEVFR ALQFRLRDSTWTVVFKSLITVHLMIREGRPDATLAYLAKHINILAISSFTDAQTQGRN IRHYARYLAERARAYRDTKCDWVRAKESRLENLSVEKGLLRETEVVQHQLSALLACDV MEQDPENEITITAFRLLVLDLLALFQVLNQGLINILGHFFEMSKPDAERALNVYRKFT KQTDYVVQYLGVARQYEHHTRVEVPKLKHAPVHLGRQLEDYLRDPDFEIHRRQYIAEL DAKKKAGRTGGASAIARLKQAESNTSSSKPASSTTNGSQTAASKPAQPSKGPDQDLID FFDSIEQNQTTMAVQSTAQPQVTQPQVTVQFPTQAPFQAQPTGFVGNGTVMAQPTGFG AHNPFQQQAFSGFVQQQQQQPQQVAQPQLQPNFTGAGFGGFSPQPSFQPGNLGTIPQD AVASFQQAMPTGAPGLAAPQTTNPFRASMLINQQVTSPIQPNSLSTPTSPASNRLSTN PFALAQQTGGAFPMQQAQQPTAAPLQPMATGTNPFAKNVQPIQPIQQQPQQTGANPGG LVPQATGTTNPFRQSTFVNHNTGMGWQHNQMPIGGGLDQIQTIPVFPRPAQQAPWQQ
SAPIO_CDS5614	MADKELTPEQEAAARAKEAEEQAALPYKWTQTIGDVDVFITIPG NFKGRDLNVDIKKTKLVAGIKGQDPVISGDLPHAVIVDDSTWTLTTNADGTKTLEIHL DKVNKMEWWAHVITTAPKIDVTKITPDDSKLSDLDGETRAMVEKMMYDQRQKEQGLPT SDEQKKMDILKKFQEQHPEMDFSKAKIN
SAPIO_CDS5616	MGSLDFRNEDHDSDSNLSPMESDMANSTPDTEFSPPCSPQHKAQ ILTDTRLSARKKLSQLTLEEKVSLLTAADFWRTKSIPDKKIPAIKTTDGPNGARGGIF VGGTKAALFPCGVSLAATWNKGLLREVGHHLADEVKARSANMLLAPTICMHRHPLGGR NFESFSEDPLLTGKLSAQYVRGLQEKGVSATVKHFVANEQETHRLTIDSVVAERPLRE IYLRPFEITVREANPWAIMSSYNLVNGVHADMNDHTLKDILRGEWGAVISDWAGMNST AESLKAGCDIEFPYSPKWRFEKLIDALKRGRLAQEDIDRAAENVLTLVERLKGEDMSP EEPEREDDRPETRRLIRTAGAEGLTLLKNQGAVLPINPNTTKVAVIGPNANRAIAGGG GSASLNPYYNTIPLDCIKKASKKEVIFAQGCHIYKWLPVASPFCTEKSGQPGVNIDWY RGDKFEGEPVVTQRRTNTDLFLWDSAPLSQVGPEWSAIATTYLTPTNTGRHTISFMSV GPGRLYVNGKLELDLWDWTEEGEAMFDGSIDYLVEVDMEAGRPVELKVEMTNELRPIS KQKQFGITHKYGGCRVGFKEQDQVDYIQEAVNAAKAADVAVVIVGLDAEWESEGYDRQ TMDLPVDGTQDRLIEAVVAANPRTVVVNQSGTPVTMPWADRVPAIIQGWYQGQEAGNA LADVLFGIQNPSGKLPCTFPKRIEDTPAYHTWPGENLKVVYGEGIFVGYRHYERVKIQ PLFPFGHGLSYTTFEYGRPELKQRVLTEDGTIDIIMGISNTGTVDGAEIIQVYVSDDR SRLPRPEKELVAFEKVALPAGETRHIRISLDKHAVGYYDTSLNAWIAEEGTFKVLIGA SSADIRHTATFEVKESFTWVF
SAPIO_CDS5617	MAWSKSSRIITMVWLRAEILGAFFNAVFLIALCVSIVLEAVTRF FDPPEINNPKVMLIVGAFGLASNLVGFAILGGHGHDHGGHDHDHIHEGAHGAEDEQRL AEEGRGEGEILETDAEIAGQGGSAVDVLPHVAIARASSLPRQPTGGMENAAEDRPSVA IRGRARRRAGSAHHHRLASIDDLSIYPSSFRQEIIAASRSQPTYNDVSSSSSSEDNGG ADERTALLSDADLPALEPIRFKPTAGRARRGSVTHIHHHHSKPKKDKPHGGLGHSHGD MGMHAMILHVLGDALGNVGVIITALVIWLTDWKYKLYTDPFVSLFITVIILRSALPLT FATSKILLQATPDYIDINELRDDIQNLPGVLSCHHIHVWQLSDTKIVASMHMQVSFPI SEEGGEKYMQLAKRARKCLHAYGIHSATIQPEFCPDKSQHREFVSLEDARAHTLDGSG GDGTVSPTSSPNQQVPMCLLECVDDCDQEGCCSVLSTSTTSHSAANNHGHNH
SAPIO_CDS5618	MSSEKPPGSRGSSPAQSVDIAPVTAFKPTRDFVLAFCSMLMVVL AVAFEATTLAVALPLMSSDLGGTALQAFWSGTGFLLASAVFQPTIAGLSAVFGRSNLI YVTSLLFAIGSLIAALANNFPVIVAGRVIQGAGGGGIIALTEVIVTDLVPLADRGRWF AILSAVWSVGTVAGPLIGAGFAQNVSWRWIFWINLPIIAIGAAMVFFFLKQAKLPGHM VEKLKRFDWTGAVLFTVGSGSFLFGISSGGVMYEWDSWQVLLSILMGVAVLGGFALWE ARFATGLGVELLVPAKLFDNWSIISSYIQAVLHGAILWSLIYFLILYYQAILFYSPIT SAVATLPETLTVAPSGMVVGIVTAITGRYRWALWSGWVITTLGAGLLYLLDAQTSVAQ WIFLNIPVGIGTGMLFPGLALSIQASCAPLLNAEAAAFFSFARTFGQSIGVAVSGVLF QNVFKTKLEDLPAFADKALELSRDATIVVGVIKGMDPSQMKDDLIGAYNDSLKVVWIM LTALAGVSMLLGFTVKSFSLQREHVTKQGLVTNEKVSDVEADAGNGAKA
SAPIO_CDS5622	MSSPRQQTRPILRAITSSTRPLYQILRCINFSNKVHVEISEGGI RFAADNARVMQGSAVIDKTLFSSYIFQPASSSQDGESDDEQPPLPSFQINLGFLLETL QILGSMDVAKKSEPERGTILSYNRGGAAPFSDRALGLPGTCTLTYAEEGAPLSITIEE FGVKTTANLVTYLPEIPEDIPFDRGDLAFKIIMQPRSLLDALADLATMAPEKLLIEVS DTSPYLTLSTKGGDYGSSSYDFSKGRHLLETHVVHERWVQSFKYDFIKAASEAMRIGN KVSFRGDRQGVLSLQFMVEVEGAGSSFLDFKFVPFTGYDGEDEEEGDAADLYD
SAPIO_CDS5623	MDSSLVEIWQAAQGSRFNPTVGKDSQFLVAIFLVLLGLVGFGSF TLNRSFANIALFGIPSSLALAFGVVYMFCAVGVYV
SAPIO_CDS5624	MLPANSKRKATEEPSSPSAAKRIKHSESAEPEQKPAIKPIPFPE KPAVIEERNGEIEFRVVNNDGDRESLIVLTGLKCIFQKQLPKMPKDYIARLVYDRTHL SIAIVKKPLEVVGGITYRPFKGRQFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKATS DVMHFLTYADNYAIGYFKKQGFTKEITLDRSVWMGYIKDYEGGTIMQCSMLPRVRYLE MGRMLLKQKECVQAKIRAYSKSHIVHQPPKQWKNGITSIDPLSIEAIKNSGWSPDMDE LARQPRHGPNYNQLLHLLNDLQNHQAAWPFLHPVSKDDVADYYDVIKEPMDLSTMESK LEADQYGTPEDFVKDAKLIFDNCRRYNNESTPYAKSANKLEKYMWQQIKAVPEWSHLE PY
SAPIO_CDS5626	MASQTPDPKTYYGYLFKEDREPTETLDALLRAIARYISLEIDDK TQTKLTPKKIAAYYRAAGGNYDSLFLSMEGETISYIFSTIGCQHTLQPVPEDDFRPPC IPALTTRGFVRWQSVELLLSPSINVPLLQFAVENWHLKHPDTGEPFPSPLPAEAFPSE PDKKIKKWWEDKLESFREHDPSAEEGPEPEPEPEREPRPHVKTKSPSSSGSRTSPRRA RAVHVSSSDESAESEPIRGRPRGAGGVNYVFAERPAPRHHPDTFVSPTFKDEHIPQRR RSLSMRTSPTRRRSPDIPRQRPLRRKPSPKVAPVIIPSDESPSPTMRSRATYGSDPTL HKLPLRSSVRHVPPGHGSPHHGSPHHGSPRRGYSPGLRPPVDTRHDDRRKSFPFGGVD KLMNTVSSMLSRTPERRRSSSRTNLHGANNYSRDDDYGHRLDRHSPDADDSDADSSER GRRRRQKEWERDRDRDRFRAEPRGKERYDLDRDRDYERDRDGLRNRGDGLRERDRERE RERDHIYDDRPLRPAPRRGSPYRRMTSHDAERRHPPDDWMEFEAERGAPRHGPPRRRR EGHAYRDGRD
SAPIO_CDS5629	MGRLQEYEVIGRHLPTEANPTPTLYRMTIFAPNTAVAKSRFWYF LRGLKKVKKATGEVVSIKEIHEKHPTKVKNFGIWIRYDSRSGTHNMYKEYREMSRTDA VESLYSDMAARHRARFRSIHILRVVEIEKTEDVKRQYIKQLVTKNLKFPLPHRVSKIN NKKLFSAKRPSTFA
SAPIO_CDS5630	MDKLVKELADAHASGNGYVVAQLLLPLSPPDQPDRLRSIARSTN AASVKKDVTRAMRRSSYISNLTNEEFTGWVDILAAYWKAVSAIVPLAEHINDNDKAAS WTRVYETWKELTSTVIRGYSNYGFEAWTIPCLYVMGKHLRLYAMKSDQERSNNPAADR GPSYADDFDPETERNGQLRDCEQVLKRIFTLCLSDRAPVETSRKWGIYFIINLLFKTY FKLNSASLSRNILKALTAYKGDMPPLSAFPKSQRVTFKYYTGVLAFLEENYREAEDHL MEAWQLCHKNSIRNKERILTYLIPCRLLTTHTLPSKALLEPYPTLQNLFLPIAQCIRS GDLHAFDVALQEHEEAFVKLKIYLALERGRDIAMRNLFRKVFIAGGFDESKEPDAAPI RRTRIPVAEFQAAINLKSEGDRIDTDEVECFLANMIYKNLMKGYIARERGMVVLSKNG AFPGTGV
SAPIO_CDS5631	MFGNRRRDSTKSFSKSYKVNKSSSSFSKESGVSKRRHESRHRRP GTASTATTNEPDTPITNHLSSPVVTLVVGAEQRLFAAHEEVLCLSPFFQTALHRNVAD GNTKRIALPDEEPEIFSSVLEFLYKGDYYPRLVHSKRRNSWELEPPNDDNRGVEPTIF HHSVDGDLLKDTVIYCSAEKYGLEELKRIALKKQGLQSGIQCSTILTSARYAYANTPD SDSKLRAHYLALIIRSRSTFKRSGTMQLEMWNGGTPLFFDLFVALCNHVDDISAAANT PRSSRHS
SAPIO_CDS5632	MPPIQFPDLASLVKENILANKDLILNHLDAYFEYLYWMPCLGYI HKSTIYAELEEDRLQPSRAAAICSVAAFFVSPGPKAVAFAQRCSMHVEFHIFCNIGSL KEVNLYLYSFDILHNHMNGKYAKCWQLIANACRLMHGLQLNWEVPGSKRPFQEQECAR RLAWHLFNFDRIFAEGFEAYVCCREDNMKIRLPCPEDAFNANKEVFVEHLNDKPMKAC ILPGLHGYQVRMVNIRHHVLTMSKKFASGPVYLQRERWEPSKVMEDVRRLQLELSSFS SSLPDYMKLSDQNISRWLQSKEKQVFVLIHGLLCTAHIDLYRFSLPGIRERGTPDLLK KLPHDFIVKSQKQAIAHAITLARFWETIYNQSKAIQRGKLLLIGDYNIAPLVQQCAKV LMTAKQHELYRDLTSHSTAPLWRNEPADGPSVRRLVDSCLRILQPWSKVIPCVKSRYD ELIKSVEDFDRTSKYEEETSLKLASSDPNSVRRLPGPHYILETAYMAEAQRDQMNAPP VSPAVFARFYTAALQEANSTHDPYTGLDAPDTDWRSEFCPPGMPIVLAEARGLPIEQL DINDPPNRLENTATLMADTPSSDTGAGSRAEALATTYPHTQNIPIQSTHGADFQINLG AMAPPNPFLGSQNMVHQHQPIPYSTAPPAPPGHRFGPHLYNPLGGPMDPQTLQQPRPS PHQYPHNQ
SAPIO_CDS5633	MTAPAPHSTFSRGYPWDSPRTLAEHAPRRAEKIALPSIRQAFPE LQLRIPSPQDSLARTPSATTSPTTALSNAFTPPEYVHSPNSNNNKRRRYSIDDAASGE RVNRVPRLYSTQSSVPAGRQPSPTMTPWTPASDSWGGSAKTSPFLPSRAMPALQSPLV LEGADRSRDRRPALPNLGLPHITYERDSQPIPRVAVHHSQATDDYPPPPRQTPLSAGP VIDGPPTQFRHNPFSYQQYHHPSRVQSLSVGSVHPFDRVPYTPAGYHPHHYHDFVRYG DVGHSGMAGDTKQRKRRGNLPKETTDKLRAWFVAHLHHPYPTEDEKQELMRQTGLQMN QISNWFINARRRQLPTMINNARAESDAANSARRANENKPPVTAAPLSDGESSSFDDDL RIKRQRSGHFKRGSI
SAPIO_CDS5634	MPETPYVTIRSRQTVGGGSGLQTTSVAPRSATHDISRTSYHVKH VGHFAQTLEEAAARAFPNRGRSTQRYKKVQALLLHWSTDDLFVLPELEDLEKCLREDY AFGTDIFPIPADNAHLELMMRIGQLIKEHESTDTLFVVYYGGHARIDESRQSRWCATR NPDSPWLQWSAIQTLLERSISDILILLDCCAGAASATFSTGNSITETISASSWDAIAP DPGRYSFTNALIEVLQEWRIRTFSAAMLHAEVLARLKHPRPIMINGKYFEARSTPVHF MMTSDHKAPSIEISRIVACEPALPSPPQPPQISQVPQPSYGQPIPRPRTPITHDHTYA DTSSDEIVGTPETCSTTEISSLSEPNENVPHVMISLALEDDQRLDLNAWESWLTSFPA LAKYVKVQGVFKSHSTLLLVSMPVMVWDLLPEDHATSFVAFIRSNNIAMQQPRREPLQ AAQSTAQEGPANTRPRSEFFDDNTTITPSVGPSLIREYEPVPDGRGQTAYTGLRTVQS TKSLSSLPSRIPGSLTDLPAGNISRTLIYNQHRSARRTTFADKVPEPPNFAVHIENRL EYYYQRNQHPSDADREFIASNLGIETTHVEAWYHYRRERDVVRQQLEALKIGDRPPER TSSLRMILPGHLNDLLDIALPGQVLLIDLRTESDFQRSHVQGAVNLRAPAAFLRHASL DLIEQAFADDQSRRTFSNWQFAKCIVFYSRGLESTSDCRAADALLPRFRAWGWDGEVY LLKGHYREFGASFSKHIEGTRMTEEAKEHIENLRKKPASKQDRAKADAFYRNWLAERQ AEERTLGSGGSPTVDDERRDSLERQEQNLDEEFQAKFPDLYRQAQEMYEPGGIMMRRY PHQQEVHRKDDSISDAKAQMVEYLDRGLTKMRDGGKTIPRPATTASVPMRAPGRSKLQ DLQYYDGSSALPAAGDNTRRDRRSSDDYVEINKGDAGESILGKVGYSGEPEGALTGDR PKRGRGGGAGGGNGGILNRVWRRG
SAPIO_CDS5635	MRRRITFIHRPEDGIDPSSIQVAHGSITGPVLLAAREERLTLDV DELPGNLALALKNLTQDIHIRWTTSAPYETTEPLSSRISPGLHILYTPLGQGPEDSTR ICSLIEGYFGPLGCENPESFIDVPSDDAAKRGLLLYYEIYEKLDDFISKAKPTLCPSS SNDDECSARVQALASAASLDISWIHASQTLRVTALWPTGPQVLSAKSTAEHRAEVGIF STDPLAGEPHEIGLGGLVATAAADETKEPSIVAYAIPSRHRQAESSFSVKVLEPQGLH PTLQLAVSSAHPPSADDTNSGYEEQCTLHAYLTLPRTIFADRYQLADGLFMASKNLTK LRYASQPVDLEMPEYKTPQWGSTVLLDLAPPTNSDSPWTAEVPLHLRYMPPTPGGLSH TEVPYPAVFWTCKANEDTPFTDNPFDRANVGYDSLFGPHAVYWHVQPLPNAEDNRLVT TLRVPVLAVEKSSWVETGTTLTILLGFGWVLISLFFAARRSGNEKKAQAATKKKQ
SAPIO_CDS5637	MVASYTNFGLARFSTLRNFLVRVSICFVLLSITFQYIHRARHDA YKCKSILHSGSWATSPDPKRPFLHWTPDDGTLHEYSRDDTVSCLRGRRIIFVGDSWMR QLYWAAANHLDHFKQELATLDFHLSEDKETNLSLEAEGVRLEYIWDPWLNSTSFAREL VPFKPHAGGTKRKDARKDAPALLAVGSPGLWATRHGGAEYMDLFRAGVDPLLPYLNGE LYPASAPWLAAQPHSFASIPNQLVVVPAPTPFYKRLTPSRKQTMTPEKFHAMNGYLRS LPGSAQSHIPWGFNQMTEGNEDAYNVNGVHVTKDVRERAVNVLLNVRCNAGLPADVAG AKPLACRATARPNFVQIAILLIGIVATPIALIKARGETVTDNWVWMLVAPLSLAAMLS YLADRTHMFTGVNRVWDSTQFIWRFIGFIVLAFMTLTPRQQQRPSTKNPPALAREYSD EFKGILQALILLYSQQDGDSSLGAYKVFRLAIAGYIFLSAYGHATYFLTTNDYSLRRV ATVLLRLNLMSCLLAFTLSTPWTTYYFAPLISFWFLTTFTIIASHKHSNEDLLSLFLK TAAGALFVNVAFLHLGLLETLLSLANIILGTSWDGGRMARELAFDSITPFIGIISAGV VHRAAQLRNREFSPPPSFHHAPPSDSFSAFLDDVIIAISGHGHSRFENGKLAKKIFTV FTAAVLGLVGFILLSDVVIRYRDTYENCHLFLSWIPILCVLYLRSTQNGPYLALPAFL GKIPLELYLLHHHVWLIDSGTRLLTIWPRKVPSSLLSQALFSFQRVPITVAFIWIAAK CHEATRLAVNAILGVSDEGNDAEVLDADLLESKGAEVKLQRAQMGWRDVSGRLGLLLM VVWGAPRLWSLSVGFFF
SAPIO_CDS5639	MDVDTEPLMLLLRRHAPVAIAAGHIILVIYLTFAVSRSLYRSYL ELPPSQETRLRKSHRQRHMPIFAALAAASFAYAAYTTFTYAILSYKVWAVENDIPLPV RFWGNDGILPFRDNHTLKYVSRWLIDTPVYLDAFEILAEKARRHWWGQQLDLAVLPWS LLLSIEGRRRNIPFTWAYLGLAHLVGLSFAQNLFYIALLLTPAPIPSRPDDTIPASGY ISIRDSIFPPKPVNWCPHPLLFLIGYSLSGAGIFLAPAIAGTGLSTRLLLITRGLDFL PILMPYIVPESWGTLHSHPHRAYNSFSALFRLLSTMSLVLHGRTTFWALARVVDDSQH RHSAMMNVDLEKLALWERTTYGLGRIFGALYHHPVISRASGDVILSALSLGLWSAIRA ITAEDILSSAVPFYPKIEAHAVAHDATAGEEMLAEASIKVEPPTPSPSAEPKRRRGRP AKVKAEQPTTDEDAPAPTTPRKRGRRPRKRKSVSTTPAPEDAYVPAAEERAVIPEGDE VRLVDGELAWESAALAWGVTVVGGLGCGSSGVFGGECVSR
SAPIO_CDS5640	MLPSATEYSVILLGLDNAGKTTFHEQVKALFNPDHPSPRLKTVP TVGQNVSTITLPDMYLKIWDVGGQLSLRKLWQSYYSSCHAIVFIVDSTDIGDGDLDDG EDDDDERGERAISGRLEECRLVLEDVLQNEETEGVPLLILANKQDREDCVEVVRIKEG LVKKVFEGEKASSIRDSRVLPVSALTGAGVREAVDWLRSRVKWNKESRPPVMR
SAPIO_CDS5641	MPREAEPSLNEKAFVLQAIEEKQRLDGRNFEDYRPLELTFGDEH GVADVQLGKTRVLVKVSAEVAVPYPDRPFDGIFTITTELSPLISPAFEVNRPTEREVL LSRLLEKTIRRSGALDIESLCLIAGKKCWALRADVHVLSLDGNLVDAACVAVVAALRH FRKPDSSTEGEEVTVYTAAEREPVPLSWLHSPLCVTFSFFGEEGEKGMLVDATWLEEQ VATGNCTIGMNKHGEICQVSKLGGAPVEAVLLLQCGNLALAKVREFSDLLDAKLAEDA KRKDKGGLIAQLSAENER
SAPIO_CDS5642	MTTAHRPTFDPARGREALRGSTYHQITLPAHLQLKYRKAGQGGS ASDASKRDLRAELLAAEEAHFAKKNGTTRRAPTSRSPSPSVEGDLKRTREEPDEEEEE EDLEAKRRRILAETRAIDADDSDEESDESEESDSDDDSDAELQRELERVRREKAERRA REEEERQREEEEQKERDIALGNPLLNKPDFSIKRRWDDDVVFKNQARDTDDRGKKKEF VNDMLRSDFHRRFMNKYVR
SAPIO_CDS5643	MKSRRDFDFVQQNPDNAAAIVITSDLHVIAEAKVDFDGDFGAKY GITKGVYVVPAESEVYAPVAMWLEALDLVLVRLKEKISLENIKGISGSCQQHGSVYWA RGAEGKLGALSAEKSLVEQLKDSFSHPYAPNWQDHSTDAEVEQYNAKLGDSEKLAEAT GSGAHHRFTGPQIMRLRRKLPEMYGNTERIALVSSFLASVFLGKYAPMDISDACGMNL WDIPNAKWNESLLELTAGPDGVDELRQKLGEVRKDGGGSIGSIDNYFVSKYGFGADCQ IAPFTGDNPATILALPLRPLDAIVSLGTSTTFLMITPYYKPDPAYHFFNHPTTPGQYM FMLCYKNGGLAREKVRDTLPKPESGDPWANFNRAVLDSPPLDVASESDRAKLGLYFYL PEIVPNIRAGTWRYTCNAADGSDLSEFQDKDGWGPEKDARAIVESQALSMRMRSQKLV HSPREGLPPQPRRVYLVGGGSLNPAIARTMGEVLGGAEGVYKLDVGGNACALGGAYKA VWALERAEGESFDELIGKRWKEEGAIQKVDEGFRDGLFQRYGNILGAFDALERNILAE K
SAPIO_CDS5644	MGVRKLHDDHKHGGIGHHHHHHHDNAYLTSRNKGDAGVRITRIG LYSNLAMAIAKGIGGYVFHSHAMIADAWHSVTDLASDVLTLATVSWSSRDPTTRFPSG YGKVESLGSLGVSGMLLAGGLMMGWSSTLALIAQLGIDPAVVGDLIHHGHSHGHSHGG IPSMHAAWLAAGTILLKEWLYRATMKVAIERHSSVLASNAIHHRVDSWTGVVTLLAVV LANFVENAAWLDPVGGLLISMLVVKAGAENTISAIRELIDRGLDDEIKTSVKFQVNKA LGSITEGHEVELRLISGTKSGQNFLIDLELAVPGTWTVEDVREIESGVREQIGSHIRG AKRVRVRFVSRDAPPPREFDEFVSGEVSPEVVESDAAEEEEAEAHEHDHEGHSHSHSN GHSNGHANGNGNSNGQPRKRH
SAPIO_CDS5645	MSKIEIELSTPQPGAGPVERSGAESSSASVREVAFNNDASTDHL TLEREAVSLPPMDGGKDAWLFLAAVFVVEALVWGFPYAFGVFQSYYSTHEPFAGASNI PVIGTCAMGIMYLDGPIAIGLLQLFPRYARWGPLVGLLIMCLSLSLSSLSSTVTHLIA TQGIFYAIGGSIAYCPCLIYTDEWFARRKGLAYGIMWSGTGLGGILIPFFLEFLLNKY GFRTTLRAWAGILFVLTAPLCYFIKPRLPIAHRTHSRRPNLNFLRSRVFLLHQLANII EASGFFLPGIFLPSFAQSFLGASSFLSALTIMLVNTAAVFGCVAMGFLTDRFHVTTCI LVSTIGATIGVLVIWGLSTSLPVLYVFCLIYGFFAGSFTSTWPGVVKQVVQTEDRAGR GVDRSMIIGCLASGRGIGNVISGPLSEVLIKGHPWRGQVGSAYGSGHGTLIVFTGVTA LLGGTTVLWRRFGWLG
SAPIO_CDS5646	MAPPTAPLNLDVEAISGICGSISIACWVVVFSPQILQNFQRGST DGLSIQFLIVWLLGDVFNILGAVIQGVLPTMIILAFYYTFADIVLLLQCFYYRGFTWK DEVVSSSPKPSENLEGGLPVNERTRLLREHRRRRRQRRGSDWSNLSPAVPIVPDPFEA PPSPPTVSQTIRWNTLAVLMVCGAGVLGWYLGRNGPESRDTPHDGEPGETLTFSLWGQ IFGYLCAALYILSRIPQLILNYKRKSTDGLSMLFFLFACLGNITYVLSIFAYEPRCGE EGCAPGEAGKIYGKYILVNLSWLAGSVVTLLLDFGVFTQYFLYNNTEEAHDVLSECSD SDSIDDTPWERPLLARGDSTYG
SAPIO_CDS5649	MEPPPPTAFPSTSHLSRTTKTHTQHTFQISTRKLPISKAGPIST MEKSLGIPVPEMIFGDNLVRLAHLPTGWSLSFNAYDALDAVGKTAENMLQVAYAKDWA SSRENSSGDIKEVVNPYDWSYSSTYSGTLEGGVEGRREFERTEKKIPLELLKRRDPIL FSDEVFLYESELDDNGISVYSVKLRVHEKRMLLLARLFVRVDNVIVRIRDTRVYVDFE AEEVIKEHCDREDTFANVKRALLMTGRLPDAITASLRDPNILSPLIPIKDMYTVALDL KSS
SAPIO_CDS5650	MTTPLDQSQKDVEAFIAFAGTIRQDPRIIQAENIARELSLLRKK NAAYETTLDDAVKKKESLSKESNETRSQLRALQEQCIQLQQEKKKLVKELHETKALVE RQERDLGEKEERVAELGRLRVELTSEATKNIKNRWSALWSSVNELAKKYLSVDLGNDI LTERSNWKDIKEQALVKSITLPPTNSIVAKQMRVAAGVAVISFALCQHIFCPIEREGD FAEAMSDLASEDPTAEARCRSALLAILEHLPDYNRAVLESARRNLKQDVTKCLEPLIL EQSRDAFRADLDSLCGTAQKEWAAIQRVKGRWNVQLGRLGNDDNNWDRVQLWGPAATN IRGNGNAQGQANGNLRNRPTPPTPAESPQTRTPSSQISTCMWPALRATNEDGSLTILY GMALFKDQIIPAEDEERERHERRPDPPVTLNSWMATFLSSSFVI
SAPIO_CDS5651	MATRTLEARFDSLSVNDENDPHGDTKYSKLLASASRPTLVKVAL QSQSANANSAIVTLPSQAAQRKNPPSPTRKPLPTSARASSSTEADNERRSTALVEQPS VPKQFHLGMFEIGRPLGKGKFGRVYLAKERTSGFICALKVLHKNELQHGRVEKQVRRE IEIQSNLRHPNILQLYGHFHDSKRVFLILEFAGKGELYKHLRRENRFPEWKAAQYIAQ MASALRYLHRKHVIHRDIKPENILVGIHGEIKISDFGWSVHAPNSRRQTMCGTLDYLP PEMIKPGSSDNSYNEKVDLWSLGVLTYEFLVGEAPFEDSQVMTQRRIVRCDMSIPSFV SPEARDLIKRLLVLDPEKRIPLEQVQTHPWIIKHCVKGERATNREREQRRS
SAPIO_CDS5652	MPSESASPASPSAPDGPSQLVTPELAPLLKNDPARTGFDPKIGY WMNIFHYMTGSMTREGQFHFREHLYRENEKEDCQRCEEYRDWLFAHSPVVRFMRDKVA DLNGTLDSSNVFCVRCPARLTTDGQVVRTGGGFNPYSGIKICANEVRDRKHLEDTLAH EMVHAWDFLRWKMDGVGNLRHAACTEIRASMLSGECRWAREFFTRGNWNLTQQFQHCV RGRAIMSVMNRPGCKDEAHATKVVNEVWESCFADTRPFDEVYK
SAPIO_CDS5653	MDPTGEWDSDRPEGEEKGVVDMRSRRGQTIVIKLGTSSIVDEET HEPLLHILSLIVATAVKLRKDGHRVIIVSSGAIGVGLRRMDVQKRPKRLSSLQALAAI GQCRLMGLWDSLFQHLRQPIAQILLTRNDIADRTMYRNAQNTFMELLDMGVIPIVNEN DTVAVSEIKFGDNDTLSAITAVMVHADMLFLMTDVDCLYDKNPRSNPDAQPIEIVEDI AALQADVSTAGSALGTGGMSTKIVAARIATSAGVTTVITRASTPDNISKLVRYIHATK GSPCLTPPCIHENGADSAEELLIQSTSSLAQSTPTLSRSLSSLDLEMPPLHTRFLPDA DPVRDRHFWVLHGLAPHGTLYIDSGAHKALLDKAGLLPIGVVDVEGNFSHHEAVRLVV VEKVCPPGPDGKPWEGPGIEVGRAIVNYSATEVARIKGRKSVEIGALLGYADSEYVAA RQYISFFKRESRPVTPSFEHMPFQPPPLSAIDSITVNPMSPR
SAPIO_CDS5654	MRQLTEKETQTLFAKLANYTGSSLKNLITPLGEGPNADRFVFRL HKDRVYYVRLSIANIATSIARDKFISMGVCLGKFTKTGKFRLHITALPVLAEHARYKI WVKPNGEMPFLYGGNIVKAHVGRWSDDCPEHQGVVVYNMNDVPLGFGITARSTTEARR LDPTGIVCFRQADCGEYLRDEANLFATG
SAPIO_CDS5656	MPPKQLGHGQQMEAARELQNMYKKGNQQTRSSSSRSSSRQAVRV GNRYAGNGAANGFRPQARQPIPFKFDPSAAAEAQVKPSTIAWLSYRDDPPKSSTPVPS PANVKSVGSMQENAKPGVGSVNVQAHASVGSRKPQLAIEQENAMVGNGKALAPPSITI PGVSGNQVVVTKTVKGREGEVGASGKAEGEQEKETEKVEDQGEEDEEQEEMSTTSIVI EADPNDPTKYHIPLMEQFKLLQLGWTPPSSNSLGSPLLGDFGAQQPGKKQMTLLDSEA AMAIQPRALVHPGSPSPSPTPKFRKLIDISDQASDEEDLIQFPSDDEEGKAKQQASKP SDELRSLSNVADLCKCKHVSRTVEGLANSKWARPGYQHLGHFPKNTAILGHEDGCPVR VEFAKAHPLDCGANPATYHGQDAGVIYLRTPDDGGSGPQPPLKAFNAEAADFIPRKK
SAPIO_CDS5657	MDQAPSSPEARERAVSSEPSSTRPNPFDDGDSSRKRRRTSLSGS RSLSVDTVQSPHDEPSNSSILAKPPSDVHASVTMDTDTSTPQTPDRKIMLSVDGPADP GSSRVTINLRNANPSSSESPTPRSLDDSPLPGDVKLSVELPDRDMKGEHVLEEGNQAA ASSPVPATPISSLSDDDGSPDIEVIDVPDDDTDAVLGDGEPEVSIIGESRNALQDPTL DFPYNDGEPLIETVSRLANYISGQHQLEESLFESLQTWADLFLRWAETAGYHIAYHSC LENVGLWQSFPDLVWATCNRALGRAATPRHAVTSFYLTFAKLTGFFILLDCQTLANAS QPGGPDSGRVPETFSYAFLAGLHGLTGRDEPRILNNLEAQAGWLRPDMISELVQGFQT MPGGSIQTLTRLVESYIKLMERFPRFVDQPAAICQVVSDIVWESNRRLHFSGLPQLAI DQCKQRLLQGHRFYLVVSQALSETIQKLVNHLSTDGASGQIISLTDIYHCALHGDHKM ATEMLLAHHQSHPNLPAHLTPGAIAWEWRFNMFGKLIMSGQMQLRVWAVGHMCSDLVM FWRRCGDGQDDLTLFLAYFAEYLMRTKLVEYILGPTCHPEITLESGNVVGFLLVTKFY QKEQTDLLWQTITSSQDRRVADALTRMAANIMHLCDQDHLLYFCEKLELLPIESFDNA SIRHFCEQVFKQLMAKFQGERQLLNFLPYELCLRLLRESSVCSAQSQIAYPEIQAFAT QKLRELHQYGPDREGRRRLYLTCIEDIAAKSPTTLGSLCGLFISIRHALGAELQVLIA EHNLNSLLVDELEHAISLCNQTNGLYVLTGASNTPRRDFIYSLICHDPDSLTAELGKR LWDMMVGPNSASVEDRVSGWHILNDAASQAGFDTSFMSSCLSIHFPTLPAHCFSEGAL RFLKDEIVPRINNNLITLDNDESVASSGIEELWRMILTAPDQAVSDAAIDVLVRDIYI DSKAILDYPHYRARKVHLGLVNRCLDQLRDAAKKLTSFTDGTTSGDDESMVIVATDTQ VLEQERMFVRSLAVLRQFLQAHQSKAHFATADLRPLMPPSPTMVVGESAELKYQSFDG DKQTEVQPLNIGRQNTAASLLASLREATGFENYRIYYKGKVFIPDETKICKSLEDLSI HDGLILVKREEDGAPPSSRMRPGASPLEIAILSHFEELWGYLSLEEKFAQEIYQFLIK LPADSHILEVLDSSSTPYLDVFPLGQPFKCLYALYALVEYTDAARRKTITALPSFEDD ASSNDGYREAMERAVMLNFAAVADPDVIEKCPTGHLKSQLSLQLMTSLVDLLSSWRTT VTSDEMDWFEKAPPLLRLLTILRDAISKPSDENSLRLIPTTFTAILLLCAVSAGYWDG LTKTDAFGLLTQDLLLHPKFVIREKISKVIKNACMDQNSRLNVKMAEFLWPYVSGIVP KLVEHPASCNEGFDLIEFLILKLLPKLSPVLDLAQLAEQSCTLLLDLHSSEDICDPDP DDYVVGGLVKLLHCCIELDSSIADPSSMLFRRGTARDLLWRFLFPVSKELDEAPSTVL LNRNSRERLYNIIFDLVKRDEQQFKWLLEDLRQLVPFDKDEDEPYHYELPLQFERSKA IRSPCGYVGLRNLSNTCYLNSLFTQLFMNTDFRRFILGATVRDGANQKLLHQTQKLFA FMQESIRRFVDPYLVVGCIKTYDDTLIDIHNQMDVDEFYSLLFDRWESQLLNADDRKI LRSFYGGQLVQQVKSNECEHVSERLEPFSAIQCDIKGKGTLQDSLQAYVGGEIMEGDN KYKCSTCDKHVDAVKRACLKDIPDNLIFHLKRFDFNLRTMQRSKINDYFSFPTKIDMR PYTIDYLADPESPGPEDIFELVGILVHSGTAESGHYYSYIRERPSSGSGETWIEFNDD LVSSWDPETMESSTFGGPDFRYDNNGIVYDKNYSAYMLFYQRSGKLQVDEKSLAVPLK VDIPAEILDHIRNENTYILRRHCLFDPNHATFVRRIFEQCINRGKTCSEEHKVEKIAM HTALGHLDQVVSRTKDVPDFAQYYEILNGAVLKCARCALCLFKYFRDRHESFRILIQR NPEPLVRADCGELLITAIAKIKQEFPEVYGVTENALDRDNLEGWYHTQESVVAQVPLL FDTLWLTFQIHLRSWNEVFGTMLRFARFGELETVMLLNSDFLSRLLRIIQADSSLDLA PNYQRMMTNVLRRGLNRPPSYETIITLADHLMSALHPVLSPDTIVEKAEDRLLMYLHG ETTPPWTSVEVFTVHQEWATTPGNSIFLSKLIEINQAPKATDNIIERLVQANRQLDLK VFQTLRSNITGQMLQGHYNGPYLRAAVTYCKSGEDDEMILRMLVHIAAQCRQLNNTEG RAFLDFFQSLYAPPGPNATLSAWSIFFNMTAQIPKWTPYLLGYYDGEVRWQTEEFIQK AIFDWGTSPTFGEEAGGERRKEVLVSAAREMGFNSLIYLRDHFIQRRVHVARDMVLPL QRAVARASAYFNIEPEEGSPDQEFAQLSQTVLEAMKRITVDELEEDGSEWDNSCGSSA GDIEAFDTLGDADLQ
SAPIO_CDS5658	MTLSKDCISMPLEAGTSLLDTHHIPTRLNDALEYAARRLARKSM QIALVAVCREYHTPSMLPVCNSPVFAPPSSPEPGPVLSRTGFFAASFKKRLMRSNTHP TLTISSPSYNSSDWALRSATASPTWSISSETTTYSSASSKPSWPCTPATPGTPMSAPP MTPCTTASSLTNESGPLSPTASGIRLVHGDVLCPKDEQVVREVLEKASRKYKIGNQWL PPVVHPSTYGLTDGIIRRSLRQDETLFSSHSLTLYCLDRLYTFKSALSSYSRSKCPRR LEDAVDELRRLFLAGGGRKVSKADIVRSYDWLSVSDAALADVDRMYRRAYGGPDGIGA IEGMPMQADPLDEVSIELEKMETCSIDSIRIEIEEAIDDIIFIQRADDVVSPLEANWP LPSQPLIILDRPTPIVSTPIGWKPPIPVLRLQTTFIDKHAEVPKDVPRDKHEEVEEEE GDLTARPERATLRPLWPTIGPGASIDELLRSPDTRTSRSSDMSRLGPMTPNGYDDISP VTRGEWGFLFSEPTFRVKTAAVETC
SAPIO_CDS5660	MDTNNLNSLLSQPQDDVVKTARNELFRQLKPTCISLAGLVAKPP TGAAGNKEISTYLDRLLATINSSVEAANSDLLNDALSDYVFWPISQIFAQKDKFSFPV VERAIKVAALLISKGWSLKSFQDLFPQLLFFFTIIIAGVPGSEKKTEVPEETVLEVLR ALRALFETSGRNALFASIYNSPDIIRKVNTTLPVVIDFTLSEVSAEIQTEALATLQAV IRAINDVTELAKFLPGVASSLLKLLSKPAIHKTRVLTQGILTLESIVCRVMSDMEVSL RVKRFEKSGKQGSREDPYTPEWLSHTKEQMKIVMATVLKLRNSGSEGVRDALEKFCLM TVNDCLRSLENCRPMLVETAIVLMERSRLSSSNRRTFPRLADLVDFYPEIAEQIKSSA IEWLEGLPDIALQGDEARQKRSIAFLANAQKTLVSACQTSAYLNGLIIDALYNILEGE GSAANSSQAVLLDSEDIDAIETTTKTLIAQRKDGENSHLFQPIALPHESQRETREMTI TLLGSLGDYWDRSKAADEIYQDLEWNRRSSHFACCWLIFKLLQSALDSSEDLNMWLAP PDEKTHDIAHPEKVLQELYENCVYLLSHAFSKGFDWKTLALALEIVEYTARRTGEEFV REFVNVLFPITSLLGHEHPQVRRNALITLNSLVLHCGYKDVSELIVSNADYVVDDLGF HLRSMDFSPAMINVLIMTTRLSGPKVIPYLDDLVDDIFAALDNYHGYPALVEGLFSAL REIVDQGAKAETLLLVEGEESSRVDHRKKRAPQFTMEDVQKEIEEREVKRQRREEEDK KIDEQIKKGHPKMPWAELGKEETGRRITELLDDSEQPEEGSTSGQDEKETGNDAVAPE GDAEEEVKKEKSSAYKTLTRIAKLAQHYLTFPSPTLRKQLLGLVATAASALASDPDMF LPLVNEIWPVTVARLYDSEGFVTIAACETLSALCVNAGDFLTSRFKTEWKNGMHKWCL NAKEQASKSTGKRGTGTGRLITTIDSSNTGILVPLGDGKVLEPKKDSPEDAATQLEIS GGLGSYALAVKVWDAVVDLLVAIVGHVSIPDDMFDDILELLADLVHKRPDVKEVLDVV NADAVWLALYERGEVEWKPTPQIEGWEFVPMTKGIGYPGPDKADDAKGKQKEREE
SAPIO_CDS5661	MAASLPAPFLTRVHYYDSPTRYTCAYETGVDGAPNALVVIGGLS DGPHTIPALIKIAPRLDPANGGPNYSIFEIRMRSSYVGYGTSSLEEDVVHIAALVKYL RSIGKKKIVLCGHSTGTQDIMEYVNSDKQSIEPVDGFVLQGPVSDRQSLELLRSTFPD YDFDDTLACAKQFIAEGRKDDCLPRSKVPPIFGNDPISAYRYHSLAAKGGDDDYFSTD LDDDFVKRVWSSFTKPFLVLHSAEDEFVPESVDKAALIEKWRNVNTLMSPLSGVLPGA NHTLDSDEAQKGFGDKLVEFLSTI
SAPIO_CDS5663	MLLLSPLFLAGASAFLVAAYLLRCLFSPLAKVPGPKITLFTSVI LKWNELNANRRGYIHDLHVQYGPVVRIGPNEVSFSSPEAVREIYCSGGSGYDKTEFYD LFKLYGRRTMFTTLGKTDHAKRRRILADRYANSNVMRPISMSGIQERARSFVQLCEES VGRSLDIFVNRLIEFYSPTAHKLVAKVLYFFAKPRETPLADEFVLKASKQTDPANFTL LKRMLEKSELDSMDMAAECLDHMAAGIDTTGDALCFLMWEISQPKSFHVQEKLQKELL EKAGEPLDKLPYLEAVIWEGLRCFPAIPMSLPRYVPAGGRVIDGYMFPEHTMVSAQAY SIHRLNEDVFPQPEKFDPERWLDVDGDAERKRLQFAFSTGGRGCVGKQLSSPPVSDPA SLK
SAPIO_CDS5667	MGLLDGLLASCGLRRERRRRFRVPIPAGYPQALDSQATTSPNTA ASDPIDSDADANVGLDGEYVASPAGSPAGSRPGTPRPPNFGKGRARRGRFFVPPTSPS SASSESEESASDEEWEDPVLHTSDQPHGDIRFTAGWTFIRGAGPSFPPPRRHCQRETQ RETHRAGESSSSAGSSHNRNQNQNRHSHRHPHRHPHINTTTNRDRNSNSPSSIPDTAP DGYIHFEPDMPRVRFQYPEYPPRTPEITLAALPNLYLRRDEASAEAYAGARPFHLAPN GQGVYPGGVYTQMHQPGLGQPFLRGVPVQAAQGVNASSSPSLYSPPLLRSLLKVTLHP GAQGGLAPSFTVPVTIPVQVGAGPSAAPQPDILPSGLYAHHHLVPGGGGVVPPFTPYQ GGCSTGGIPPYVNGPFGVGMPGGPGYGCGNYGTMRHGHFEAEDFQPSDEDPNRMYYCR ELDGSWTLRSRFAINKSRKDIRWYITPEGMFYAIRIRN
SAPIO_CDS5668	MTVFSTALWRIAKAMDFVNDLMEVEDEVFQKYRRDILKALQGVT PPGPHPVPTGSTTNRSLPAVKVKPLGEIRDDQMLFYDTLVLTEQFDNAPAVHLTVNGL VSARLEQRLGCIGVVRTHRRKRIFIPLLIKSHNQNILPIHHAQLAGHLDTVLGKEVAR RRDALLNAEPIPPAIANPISAFCLDYIQGAVTRLNEATIIQVNDDAGPVLDIPKNFIL DSIALYQERMTRAEPASLVNGVISSTVQVGYPEPAEINLTELIHMTSMMMTDRLIWAP DDIDVLEHDFLDFHFKIFSSKPTMMAIHGYAIGPPSAQVVDDSFSPHDTSYQDFLDYL NEYHDPPRSRLELDGHHEHLYNLLLDSGAALEAPLPEPVIQTLSDMKQRALVHLYPTE NQWMYSYMEGDEPLDQLARKHFWPLNRVVEYHRHMLEIYLRGHTLVLVRATELDAHRF GLYASRASELIRDLLLQKYQPNRVGGNAGQGSGL
SAPIO_CDS5669	MAKYTEDDVKKALLELSSGKALRATAHRFGIPRTTLHSRQAGSK SHREAGEPQQRLSKAQEKLLKGPQSHDAYSGDEEKKGGEDKGRLEMSFYIERASDRSS AQGACDIRRLKLELLPWEAKYSLASTTSPRQEQWNEHSSPAASYNGIIYGLGRAVLWA SMKKMPQLENAAQQDEVLAVTSNMSSSIWDTFTGRKSQPKPQSQTTTPPPPSYPTTAA FDPTEGQGVEAFLKPSAFADPSSLHPLAGLDKDTIEYLSLDDTALAEGHTVVPSRGFF DDLCYGTGVTYVTALGIGGAWGLQEGLRRSHGQPPKLRLNSVLNAVTRRGPFLGNSCG VIAIGYNFINSYIGYLRGKDDAANVVLAGALSGMAFRSTKGIKPMLVSGAVVGTAAAG WAVVRQIFSPEDEKKAEVAL
SAPIO_CDS5670	MADLTEHPILARVHDDDGSALSKKFGPGLTNYYSGSPLNRVSFL REDHGFLSAAFASADAQFMVLDDFAPLVHDSATIKAVRRDDVLPITGPDPFGSSEKDL VNAFDSTVTKPLIIFLGIWEDTESEFRHRIFRGRPWFAVDITPRGSFADVARTLVDRL TQDGSTFLRATRQNTIHAHFAAMYGQARGIVDWNLRNQFCAGCGCPTMPIYGGYKRVC PPTDRNGTGVAQARKDCPTRNGITNISFPRSDPTMIAAVVSADGKKMLLGRQKRWPPG FYSTLAGFLEPGESVEEGVRREVWEESGVKVGRVVLHSSQPWPYPASLMIGAVASALP DGEDIHLGHDPELEDAKWIPLEEVRQALKRPTRLDTVHTANGTGNKESTLLLPPHTAI ANRLIAAICDGYASHE
SAPIO_CDS5672	MSTAEVAAATKECHAQNSGTEAPGSVSALPAFNRRIEITLPHPK NRAQLAKTKSKEWNPATFFIVTFLLIGSMSINMIAVKTGHANFVRQSDVRIGLLREVV EKLQRGEKVDVEQVLGTGDPAREKEWEEMLRDIEKDNAHQDSRKEKESKPDETPKVEN TVESTPTPNSAPSSSTTTKPSKISRSSFY
SAPIO_CDS5673	MTSINSKVEAVKTAVSSATTCTSATTVILRELLISEIDLTPTTS TTTKPISKRVPTTSRTRTKAAESTKIESQTGGKNAGLSAKDKEVLATHVINASLKALT EAAKASPPPQTPRKRTEPGPTPRRTPSGTLRRSLSTPLSPLQPRTLNRTATTIEPPLS KPSRCPTSSANGACFALVECARVAFQCLRSLNGPEASSQKSMQLEMGISAFVGKLLAL GFQDHALRELRILKKRLEERALAGNPKKGTKTAAATEASVSNARVLSDLLDFKESAIS SSTRALVISTQLYVLRLMMLSKKPEQIEAAAPFLRESNKTSPLNLLLESLRDDPKDIT KVARQVESLASIVLSLTPSPSNREDAEAMEPRLHPSPSCVFELQALFLKAKMHLWKIS RRTGDIDKEILTPFSRYLAAFGRRLRHVSPDFYELCLETFLGLQEMIKDQGLTWSETS KSPVATVYQSLGTSAISLKRVAEAKNWVEKLYSFLDTEKDSAARRCSIAAQLLGLCLK CKLDEPKVTNLLAETIEGMQGTLRGESAELDQLLVDLSLARRSAVGLLMSASEKKSKL SSALTELLQSFIIQYPRFTIRWLGKPPSRDAGATGKDFLRFESRRQAVQGTLGQVLDS ALVVTKSLLSSGKVDWTKPDAVLQDCLDLLDRMGDLKGSLNGSNGNTYHVKISNLYYM KYALMRQNPENANDLTALRALRRSLDAVRNRSPKEKEAAQFVAKLERFADICTKFRRI EEARESLQSICTTMVEEGVLSNVASLLNDQPPSVAWSTDEKTELLSRTLCSISKLDKS WNDWTFFMPEVERAAVLEHLVHIIASGENTKTKELLKLTDPPADSLLRIYSLEKFPIR RLRTLLYLYSMHIANSDYSSSIRSHIDAAVRAASSKSLGEDGGLTRYLPHLTAYLSSV TSLTHWTPNNANLQAAISHWRGVVDSSKSKEDVLSRIDDVATLTTHLKAVADLASMKG EGTLVVALLELLADLAKKLEESFLDDVVSNYSLLATQYASLGYTDKAEAIIESTKEIA EQTDKLSGATLADFHLSVADYCITTGSFDQAELRLAEAKQAFTSLVTNQTSRSRGSSL MAMANLLYSIVALEKGETQSALTYAKDSVKIMFHDWTKYEKKDKTMMGSDANHSALGD SLGPGVGSLETATLSRVVQGSDFWALASPLIRALHRLSSLYAHMGMFQDTLYYAEQAY KVAESSGSAFYIAQSAMWSGSVWIKGGKPEKGLDYVANARAFITENPHISQSVTLACH LSELYRMIGDSESEEEMLQFAESNVHHAGATIKPQLDDEIANEMEKLSLTANKTRTTR GTRARAPTVKKTMAKTTTATKRAPARGKAATASKPAPVEDDAHISLKKASILLYRALG YIHQKDWASAVAVLEGIKGRLRGLEDVSREQMIRAACLVGQSLDDMIHDPVFSVVQDS TISFPSVSLVKRADNDKATPAKPAPVRKGAKARATTNFVDALKEAQDYLLEANSAAVV RGDGGFLHRISSLLQSTVIFLSATTSKPNLIGHPGYATCAVELARNVTWRRERKAQQV TGSAAKIEWPASLPTMDPSRSSLGVTTDISRFQRDYIDIIPSSWTVVSISPSDNREDL CITRLQAGHTPFVLRLPLERANSRDADTEVFNFHHGLEELQELIKLANKNSHDARDMT IKGAKAAWWEEREMLDDRFKMLLDNIESVWLGGFKGVFSQHKRRTDLLARFQKSFQNM LDKYLPSRRRIRGKRSTKTPKITFDPRILELFIGLGHPTPDDLDYEEALTDLLYFTID ILQFHGEQNAYDEIDFDSLVVETMDAISAYHQAVSAEGETEENAHTILVLDKSLHGFP WESLPCMQGAAVSRVPSLACLRRLILEQRSLNPAEMDFDSESPSAENDSRPGHHVSPT SGTYILNPAGDLGNTLSAFQKPLEDLGEAWSGIVSRSPTEPEFERALTESDIMLYFGH GSGAQYIRGKTIRRMQKCRATVLLMGCSSASLEAAGEFECHGTVWNYMLSGCPAVVGA LWDVTDREADRFAGKVLEEWGLMKRGTFKEDKKGKGRALESNDDDDDEESGEGCSLVE AVARARGACRFKYLTSAAMCVYGIPVYINRED
SAPIO_CDS5674	MKSSRNRPGLESRLHSINDASNSLLSPSAANGISDDPFSLDTTD DPLNTTSPTHNMIDAPPFGDFSFSYHDLQDSSVMVNLDSPNFDRRGSEQFSPQRTKGA DNDADLAVATTSFALAPAESVVRRSSVINVEDDEDENMQDRNSPGANSLEESVADEFG LSVTGRSERSEPAEAGHRSKEDKGDTAPPWSELKTKAGKERKRLPLACIACRRKKIRC SGEKPACKHCLRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEERIIRIVPKPEQES VASSVTRAVVKPAIPGSLPTSKNPSKKRGAEEAFGHDLDKWSRTTGKAKTDGSTKPAP LQMQENEENKLYVEGIEALPPKDIQEHLAEVFFENIYGQAYHILHKPSYMRKLRAGTL PPVLVLSVCAISARFSTHPKLGSTPNFLRGEEWAARARDICVERYEWPNITILTCLMI LGLHEFGTCHGGRSWALGGQAIRMAFALQLHKDLEHDPLRFNGQSELSFVDREIRRRT MWACFLMDRLNSSGTDRPMFVREETLKIPLPVKEQYFQLDMPASTETLNGKVVHPLGG EEDNLGDPKKNMGVAAYMVRAIALWGRIINYLNQGGKEQDPHPFWNSESEYAKLTKQA DDMIEILPESLKYSADNLQLHSTENMANQFLFLHIAIQQNILFMNRVAVASPPATGKA EVPKAFVTNAGTKTFAAANRISELLKDAESYFVTAPFVGYCAFSSSTIHILGIFSGNP AMEATSKRNLATNVKFLSKMKRYWGMFHWMSENLREQYRTYADAARQGSNTNENSTAS PIFQYGDWFDRYPHGVSQCDFVDPASYKKRDKGEEAVLEQKPELHTVEEFFTTLSPPQ SSETGSNGKGGAALKKKQPLAKKGSISAQRPEQQQQQQQTDGLMSEFQSRAQSTEPLS ARLQHQRNFSGALGGQTSGPAGFNPLSIPHSQAASSFHALSPVSPVVGGYGHHGPHNP ASFYAPDLLSMGLSQQAVNSMIQPLDRQMVFGAYSMDPGLTNGGPLLDGVDWERFARQ ARGLPEGHPARRRIPGRLNLNGGLAGAAAARQNIETMAGFGQEASSAWFMPFNMEPPE LGHDLGMGMSNLDSLTSMFGGGSMAASSPTGMNGLRHGH
SAPIO_CDS5675	MHPDRIARLPHKVAALADPPNFPKKALFEESDSDSDDGGAAVES GNFKVNSEYAKRFEHNKKREERQRLEEKFKKEGNDEDASDSESSDETEDEDAFLATED LDARISETLHALRTKDPRIYDKNAKFFSEAAPAENGAEKKKKEKPMFLKDYHRERYMA GATGASDSEPDDEDAEKPKTYVEEQADLKKAILAEIDAAATGGASDDDNEDAGFLKPK PKSKKQLAEEQADEASKKSSAVLTEADIQNADKNPELYLSNFMAARAWVGPDGSNWKA FESDDGDSDLEKADEIEAAYNMRFEDPSKSNEILKSYARDVAAQKSVRREKMSARQRQ REAERERKEEEKKQRREEKARLKKLKLEEAQGKLEKIKKTAGLSGKELSDDQLLEILN DAWDDDRWEEEMKKRFGEEYYNEDDNGMASSEEEGDEDAEGSEKKKKKKKPKKPKWDD DIDITDVVKDYEDDAPAFTLSDIEEDQEMADAAADDQEEASSDSDSDSDSDSDSRPSK KRKTSKDHKKDRLAAKRASKQERARLEALVSTKLEIDDPDLLALGASASSSKKSAPTL FRWRETSPRSFGMTARDVLLAPSDKALNQFAGIKKYASFRDPEQKRKDKKSLSKKARL KKWRREVFGREYEETGPDFGFDALEGVEDDAEEIRVKKRKADDEDETKEKKKKKRKRG HKKSTAEV
SAPIO_CDS5676	MADPNAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKEPT IGAAFLTQKCNLPSRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDITKPASLIK AKHWVAELQRQASPGIVIALVGNKLDLADDSVDDDSNARKVSTEEAKTYADEESLLFF ETSAKTGHMVEDVFTAIANAIPETSLKSSRVPGASSAARGGDEQRVNLTGPRDVGAKE GCAC
SAPIO_CDS5677	MAAATITESQRELISSLSPDDIPIKLRCANCSKLAVNAFRLPCC EQAICESCHSTLPSSCPVCEHSPLSADDCKIHKSLRTTVRVFLRSEEKKRDNLKLKEA KEQAKEQAAAEQAAAEQAAEAKPASSAVTTAGGGQVSEQAETQPASATQDESVAPAPQ GNEIATTEETDQDGAKKPTDQDDTAPTDAEKAGADAADGANDDGESQQLVLKPADGEV EGTDAKEEAEDGAQAQSEYRANFGYDAASGGAFANMGFAGTGDFNQMQMMMAMQNGSF NGFPMMGMAGMNMDPMAMQNMYMNMNGGYNQGMGMNGMNMGMAGYGGGMGGSNENWNE QAQSWNFGQDNYNHANSLGMGPGDYGNYNSGFQQQQNQQTGFNQGNFGGHQFNDYRRN NYGYRGRGRGRGAGFHDGYGRGRYQGYSGGNQAYGHQYPYQQNQNQQQAYGLGAAGSG QGYNSQNGVMAADDPSSREQSKVDEFGREIRPEGGSPDDRDATASQPDKNDSNEAAAH GGDQVGSGNSGEQQQNPEQSDRAVSADATTGGGSGNFGKVTSVANPDVPFNAPTGPKA MRQGLPNTSVAHLRARGLLVDDDRASSPYSHANGGGDMKGSRHRSRSSSPSRSEHEYY RDRSRDRDRDRDRERDRDRDRDRDRDRDRTRSGRDVRDKDYDKKRSGGDGDRERGRDR SRSTVPSRSRSRSGSRRRRHKSSRRHRRDRSESVAMEDDGTDEHRKRSKHRSGRKHAS GATSTVEDEGASSKSRSKTEDGKSLHERSRSASPDDSKRHRSSRRDRDSEKRRDKEKY RDEERERRKGRHDRAERDRDRDREKDRDRDRDRDRDRDRDRDRERDKDRERDKDRDRD RKGRRDREKRREERREERHRSSKKHTPEPPSATDAKSDKQQFEPPAKSSSHPEGRRAS QASASSAKGGKDPHTLEREARDRERLLKEAQRIASLAGSKRSRGDDDEDWKRRRKGRR SEVVSGDDSEARMRRLEAEREGGRWG
SAPIO_CDS5678	MAASNGIYSANSFMNPGPAPRPPTDRPRLNLTPDANLPGSMANM VISPIRSTATSTYTGSTISLPLAARQLANNTDGLGGVAIKKEGWAQVKESKNFIQPWK AKYLILRKESLDFHKAEGGKISYTLYLKDVVNVGRVEAAGTIFEIKRNTGGSSTSPGD DDGTVRTLQIRVKGDDDLYEWIDLIYGSCPGMGGVSNPTNFSHAVHVGFDPQTGDFVG LPPEWSKLLNSSAITKEDYERNPQAVFEVLDFYSDLTKRQENPAQYPGLTPTPASSQQ PKPYGYNTGGSTVAPPRPMQPQQQQQPPQRPAYNTIPSQSAQNTPRRPSPTEQQQQRS QMQGMASNYVSQDSMRDEQRMKQIEAQRQRDIEEQNRRDMEAYNAAIPKTKTPIAQQE LGGYGGGPSSTPDRYNPTRAAPPAPAKGPTQPLRAQRPAPPAPTGSGSRPPIAQPGMS SSSQSRPAPGQSSPARYQNGGAASSARPNGPSGNPPPSRLPAPVKPLNVAPKPSAAQQ QADAVRAAEAALTAKPTAAERKQDQRMSTMSESEVMARLREVVSKDDPNLSYSKQKKI GQGASGSVYVAKVKEGAVSPIAREVLRQQGPRAQVAIKQMDLAHQPRKELIVNEIMVM KDSRHKNIVNFLDAFLRNNNAELWVVMEYMEGGALTDVIDNNPTITEEQISTICLETC RGLQHLHSQKIIHRDIKSDNVLLDARGNVKITDFGFCAKLTDSKSKRATMVGTPYWMA PEVVKQKEYGPKVDIWSLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPERI SKELKAFLSVCLCVEVKCRASADELLQHDFLKHGCPLASLAELLAFKKHAK
SAPIO_CDS5679	MAEKKADAPAAGMLWGGRFTGAIDPLMLKYNASIQYDKALYKED ILGSIAFARANSKAGIISEDEFQAIERGLLQVMEEWKQGTFAIMPNDEDIHTANERRL GEVIGKDIAGKLHTGRSRNEQVVCDMRMWLRDRIREIDSQLVAFLITLLTRAESEIDY IMPGYTHLQRAQPVRWAQWLMSHAAAFKQDLERLRQVFERVNLTEELGFSGITLNSMN TSADRDFLLDFLVWNSIFTNHVSRWAEDLIIYSTSEFGFVRLADAYYLQEGWGPMLDS VQTVSDSLGIANGVIATLKVRPERMEAALDKTMLATDVAEWLVRKGCPFREAHHIAGR VVAQSEKLEVPMDKLTLEQLEAIDSRFTADIAEAFEYETSVEAKTSKGGTSRSSVLEQ IQVLRAILD
SAPIO_CDS5680	MHYKTALALFLGVAAAAPKAQLNAPQVSEGPSSIKINGVSLLGT GCPAGTADVQVDATGELFEATFSAYEVLTGPGTRASDWRKNCKLTLNLEFDRGFQFSI MATDMIGYAEIPAGAHGTCKNTFSFTGQTSSGGIDKVDFDLGLDGHYSGDFDLHADPG IFSWSPCDGSTAILNLNTQCSISPTSESALIAVDHVSGKLTIQFRLAWRPCPNFRG
SAPIO_CDS5681	MSAKIAKGTNSVSREYGKIRIYIDNSNLWIEGQKAYAKRERLQT SWDPVWRFDVGRLKTILTEQSGLRREERDYTVEVRLYGSTPPPADTVWKAIESRNVQV STFARSSWTGREKEVDAEVIADSVDEAREDYPACVHVWSWRDALAHIYKAKEEDRVTV HYLDNYLNEIGFRETSFRIDRSAIDPHSVVVLDALANEINKFVESLTIPVYRYVIPRD DNADWRALAIIPAFSGMSFTDKERIFTAAYTCLSEKHELRVLTWLEYNQRRAQDAEIV VETSNSFGELPGGELPEDKNQAEPEANGDQDPFTDMNYPFKKKKARQRNIEQKSRQRC DWRLYCRRELDCKYGYTKGEEAYFTLSGSKKPRNFKLCNNKDCIRGATCAFAHGEAEL FCPTCGKTGARHEMRDCPESDRNAHKYY
SAPIO_CDS5682	MRLIHARTGEIVEFPNEEVKYIPEYAILSHTWEAGEVSYQDYQD WQDQKIRAFKKGFLKIRSTREQAVKDKIDWVWIDTCCINKWNSTELSEAINSMFRWYR NAKKCYAYLFDVQREETLSIPLTFEKSKWFTRGWTLQELLAPNDVVFFSSDWTELGSR RSLSRAISKITGISEIILDGYRSLSSVSVAQKMSWASDRVTTKPEDIAYCLLGTFGIS MPLLYGEGDRAFLRLQEEIIKVSPDQSILAWERLPSWCWWFDKGGERLVDYEMEQQSY SSRNEIRYPFTRLKSMSVDSTALLLPPPAPDDRTILAPDPVNFFRSHDVETTDAGRLM PFSMTNIGLSIRLHLIPIQGDRSRFFAALQCTSGALDSHQLYIPVIRTTAGSWVRSRE PKRPALTVSANKTCAVPPTRMLFVTGDDDDEHDEFLIYGGLSPGEWLAPFSYAFWLSL PCGDDGWKLFRR
SAPIO_CDS5683	MKDGIDFDFAALPSLIDPGAEDLLNTIDSIRALNIGIHFDFPQI VVVGDHDSGKSSVLEALTHIRLSTEGGSNCARFVTELVLRRSSVSRIDVNINLAEREE KANEEDEEDEEEEKEEEEEEEEDEGEDREDGEREVEEGEDGKDSEESEGDPGDHHSKP FTKRPFSQSMLSKRIEEAKQKVQRTDKFSRGVLRVEVMGPDVPSLTLVEVPDLREITA ENHSKHPEEADGLVESYLRRSNTIALHVCPANVAHSYHGTVVDRLASKLCLTQDRILH IATKPDLKTTCFKPTRNGETAHVSELEWHVLCNMAHRDEEDCCYKNRDAVETSILNRS PWVDIPYEDRGIKCLRKEISKLLLEQIHQALDDAMGNIISQLNERETRLARLGSPRTT AAEARSYLLGVSAEFQRLCRDALNGLYGDKFFSGVDSRSNKLRAQVQDLNWAFGITLS TRGASLRIVNDADPSLGGENGGQGQTLDGAKTSAPSHLKPLLSVYQFPLPKLVTSQSY LNKTLAEYGSINQGRELLIVDMFKKQAQPWKKIAMFHLRLIIDTTHEFIERLLRYINH SNDDTATAIRRAYIDPFFTEQRKSLYHKLMELLRPYAEGYRMSPSLGYYERISGRVDC HILEHFTTSPTEVGESDTSERIQDSPEPESSQSTEPKPGDIIDVMKMYYEMSLQTFSD NIIHLAIESCFLRPIPDLFTPAMVSLMDDTAVLALAGEPEEAGLERRRLKEHADVLRK GLRKCRQYRPRRSVMDLLSGLGHSPSSGTLPKSMQAPSVMPKVQGETTPTIPRTSSSS ATGTTAAAPTFKFNASNVYPIADKPSEVNLRVPPPWFRSTNTPEAGQGLE
SAPIO_CDS5685	MCYQVGHACAATGYSTLYAELDILPEVPIAEEARESPAENSRAI YESIMAAPVRYSVMDDYTLTINAENPVSPACSNGDTDVRWRLEGRIELEVYQEHPKDE VFILPCVEEDRRLGLDNVEGRTEYHRLTPAEVKLLYEPLPPDLPTMKKDILVQMAAYE GNIDRYARLARPRLVDEVELACVIRGIYHHTMFARWWASEIESNSTRVQALESTENLD NIRKAISARRIMVNDYEEFHLGWPAGKPKPYLIWWPLRPKDSTLYILFGRVPEMKEQI IVACIFCNYSDLYLRLCTEVTGNIWWAAKQALNPFYIDHLEKKAAEKGINLEWLREDL GVDAGPYDGASVNVSELEWYVWATPELLRKIELFGGSVGRGDTAWAEEVTLPEETHLC FKEISVKKDGSENILMLYGKHKVEREGQCTDEKSYATSWGTTEFIRNLQSSMPSGCDA RHGLVFAGLLMVDPSSLSYSHKEGSHYDKFYYVQSGNDCSSIAGKNGISLIQFLKWNP RAGTNCAGLWADAYACVSIIGHEPSPTNPGNGIQTPTPTQPDIVKNCDKFYWVKPGDT WTKIVADNRITLADFLKWNPKAGSNCAGLWGSAYACLSVLGYAPTPTNPGNGVQAPTP IQSGMVTNCKKFHFVQPGQTCASIQTKYRVTLANLYKWNLAIKSGCTGMWSQTYLCVG AL
SAPIO_CDS5686	MQAMRTQACPSSTYDDEHHRIAIGNFSESRSPENPMLATGLHHI AFGYSSLQDLVTSYKQRRARGITPFWCDNHGTTTSMYYQDPDGNMVEMQVDAFPTIEE TNAYFASPDFHENPIGVDFDPEELVRRIESGEDEQMILKRANIGPRGVYSVPTPPKPE DGLSCFYSAQKPMGRPKKQMYPEAECQGHNLASELRPEGQQQSYSLPFTEDQIGLTTT ITQPTTHTYSSGQQFALFVGGIGGVDFLADITFGQRNLAAETTTASHPPNATEPDPGT TIKAGGSPEWRTDTSCRCLSMIFSALEALSRLPDRVEDAIYVARDASKTVHDVASCTQ CGLRLFQHGETPPIRPSQNVTMLMAIFPAIASAYEKVLELAEIEAAQAKQQQRSLVFQ LGSYGGAWGQAHWESGGCVESHNNLTLDADSWKRLVRALLRADLHGHGKYQTGLWEII QEIKSIYTSTPKRDPSTSHIDAPLPTGSGLGSPMVEDTESRPASSGGEMEEFSKVVKE AQHALSKLVIY
SAPIO_CDS5688	MESVASIIAVLQLSEAVLSSCYRYAGKVKDAAADIDRVIHQIGY LSTILRDLKDLTQLNGTIQASTHTPSNALKNLTGDHGPLAVCARCLDELKLKLPSGPV SLRQKLQWPFESKKINDVMDRITAQVPILELALLGDNYSLTVATKVYLEDTKRREERE KGLDWLRCVDPTVKHLASRRLHQPGSNHWVLKEEDFTQWRDNMGHTLWLHGIPGAGKT IICSTIIDHIEGLCKTRPEARLVYYYFDFSDQDVQKLDILLRCLLWQLCKHDEYLPQA VWTLYESHDNGRKQPSNEVLANTLFELLSHNPNRQSYVIIDALDECPIDNREHFFELI LDRIEKYHQTGSYNFLFTSRKEADIEKRMAQSTVATHNIPIPTECVKEDVRLHVTQFI ASHWVMKDFPKHLATEIEDTISEKAQGMFRWAVCQLDLIKNCKQVGTVRKTLKNLPKT LDDTYDRILASIPDETWQIARTALMLLAHSIRPLTLEELAEGMVVDYEGQSFKPEEHR LTNYRHVLEICSSLVSVSKARWLQEKYSIENRALQGSLYKEHEVVQFAHFSVQEYMIL QRSKSAPRVSRFSFSPATAHQAIAELSLVYLLDFGSGVRLPGIDFVAFPFLAYAAQHW PEHWRRQLTQKDQDTVNGLIQRILDTEEDHSAYINYTNICRPDALVDEVSSFGIYSLR GHQAKSLDSIPQPLYYAAQLGHLQLCQWLLNERGCDVNAVRGTFGQAIQIAARFGHKD AVELLLDRGAHVDRHCGEYGYPLQAAAYGGHVECIKLLLDRGAQVNAVGGRHGSALIA ACGQQHVNAATVLLDRGADMDIVCIHRGKALNIAAGTGNMALVQLLLQKGANVNDTCG RAGTALYWAAESLNLQMVKLLVAAGADVNLQCRGAELNNALQAACSNPHKHARGPEDS ESMTYVEIARFLLKHGADPNLHGGKYGSALQAAVAGSARGNTEGNNIDIVKLVLEHGA ELNYRGGAFDSAMRACVYGGNISAAHLLIDLGAELDDEIFLDAIENKRKTVVPRLLGK GVDVNAQNKSGTALQLAIKAKDTATIKILLSHPDIDVNALGKTDAGITALYTAVAYEN MDIVKQLLNLGADVNQPSDGLFCLISAVQKGNMEMINLLLDYGADINASLPHRPSALM AACKKRNDALVQFLLDRGADINLWVPRQGDALQAAAYEGNESIVKLLLARGATVKARE GRNGSCLECAIMAKNPALTRLLLDAGANVNYSRTLEELRELGGGFGGPLSGSIWYKQE GLTRHLIELGANVNWPGREYYGTPLQEAIESDDEEVVMLLLEHGADVNLVGGRHGSAL ACAIMEYKEKEGGDKYIQLLLDAGADVNIYAGEERTSPLGAAVRKGNAKIVETLLDHG ADINKPSKYSMSPLHIAVAEGDLDIFRLLLSRGADPDITRPGRGDETPLAATLRCWRG TMLKELLEHGADPNFGASVAVVSAARSGYTEGMPIMIKHGADVHVQGGVPGQALHAAA RGMHVSMVKFLLDQGVDVNATGGRHGTALMAVLENVCYSGQNQAMPVIQTLLDAGANV NSPPSETHTSALQAAITNLHHAFVDDLLVRGADANAYDPRFGTALTAAACQGEVELMK ILVEKGADHTLACEKFGAPLQGAARSHRLAALEYLFSLGADVNQLSGKAGYALHAACR HESENGRRVLRSLLDHGADPNARGGKYETALQAAAKHGCLDNVKILLAAGADPTIEGG KYGSPLKAAVAKKKHYHVANFLRRYMAARNMTVS
SAPIO_CDS5689	MGQHELAHVVLDHIRRCNSVTIKFSTPCVGVETLEGRVRVMIQN DKEDCVIEADWAVGADGCNSAVRQMSLIPFEGFSWSNYRFTAADVRYDFEKEGGYREA NFIVDPINWAVIARTGLSDVWRVAYGEKFDTPVDDRSVRERAKTRISRFLPGAKDFEL VRVKPYVAHQRCAAEFMKGRVVLIGDAAHANNPVGGLGLTGGILDAVVIGNALLRCIK GGEDEAVVRAAAESRRNTWLNITSPMSQSNYLRLCSEDPAICSGREEFFEKLRTDKEF YLAVQNSLGDLLPDNFESMAVEDKRRSQYS
SAPIO_CDS5690	MSSLGDPETGRSVISSISGTPSSHENMGFGTAGTATLDADPAQL LTDPSHRAGAIDVEISTPHGTNNWLTHENGNPDLNLFLELDGHQISHDSGLGMGSGLA QLFTDVQSTEPVTIPPLLGGFCHLSTGEEPAVGQTLNQVGIPHAPVDRALAECIAAFQ KSCLPYFPMLHSEAIRQGSLPLELKYSMAALGAKYTGEYPRLAKVYYLSAVECLQKTT TLSQLRILQTRVLLIEYAAWQTSKQSRQWAIREQAVAKSAILNLMSQPGQDQAYRRID KAIREEILR
SAPIO_CDS5691	MRPKVAVITGAASGIGLALTRDLVSKGWNVAIGDINSQRGEELS AELGSAVCFQRTDVSSWEQLAVLFKKAWMTWGRIDFHAANAGIDDKESLHGSASLSDD DEPSKPDLTVVNVDLLSVFYGVRLATHYFRKNEVKGGKIVVTSSSAGLYALAQLPQYS ACKHALVGLTRAMAQPLRAENITINAILPAFVITNLAPGPLNSIWPKEHTTPMSTILR AFNLYLDTDMTGQIGECSLEEIYFRMQPEYANASQKWIVEESGGLWERAYPPSER
SAPIO_CDS5693	MQAYRDHSSPFRGQPLQGNVSFPLISSYIFDWIVILVVGVAGFI IGNIDPSKRPFSLENQDISFPYTPHETVPNYILIIASTLAPIVVILIIALVFIPGTAV PGGIPQSLIWKRKLWELHIGWLGLALSRSSAWFITSSIKNLLGKPRPDLLSRCQPDTA NTAQYVVGGIANVSSNGQLVSAAICRSTNDDILRDGFRSFPSGHASSSAAGLIYLSLF IASKFAIAFPTLAPSGYTESSFSAFPSRMALHHHEGVDSHAQHQASLSAVRRRAAAPP VYLLVFALAPFLATIFIASSRWFDFRHHGFDILFGFSIGAITAYVAFRFYHQPLSEGA GWAWGPRSSDKAWWAGVGSFSYATDRERL
SAPIO_CDS5694	MRISELSTDVQTSLLPAPSREDGRSGGLSQIQTPSTSTTTQHEP TTSPLINNEDASPRAVCERLRRTLPDFDALLTTFRIKGFWWNSFRQKTRAISRSPVED LISFATKNYTSQKPAEIGLLVVAYARCLNNGGDLYALVERLVISNFAYFATVEGMECL VLLAKSYTDIGQPRRAWLTWRRGLTVAQLLGYYYENNDETIQRVWWSIYHGDRFTSLL LGLPHGFSDTYYEALLGGSAENSMPAEHYFTLRCAMLAGKIIQRNIVPREKSFAQSLM IDEEMEEIASSLPEEWWRIPSTLPQSPSELDSLRERILQQFYYFHVRSYLHLPFIASS GPSSNKSAVSQGIAMTSARAMLERFLLLRTEIAPGAPLFECKTSDFVGLTAAVILALC LFGNGALTDPLRYDSDWNLISATNASFKREVQSSGCNMAAQCCQTLKALLHSQYPQSD GCQLKDIFIPYFGRITRRADGPTNTPAVDESRSHNISLGPVLQTTPNQTSIEPTEEST AGLRSTAASFEYAGYDVPNILSAASLNFNEAVENDMVGSWSDFFLDLNQDWDMFTSYD Y
SAPIO_CDS5695	MAPLIWLITGSTSGIGAALVDHIVSRGDKVIASGRRVEERIGNL KSENVALLELDITADSSTIKAQIGRAWETFGHIDVVVNNAGMSSMKSAEEADDEYVNN MFQVNLFGHMRVTRAVLPLLRSQGHGCIAFTSSSTAWTSQPFLSHYAASKAALSAYVE ALHKEIRPLNLRCVAFECGGFPTHLGQPRSEGEAGFGNNGPSIQGYEPLFNNLVGHYV ANPMAHMPGDLAKASARMVDIIKREGVAAGRSWAVRVALGSDGMGSARQKCEEMLKLL DQWEDVSVSTDRDGQEAVATKEMFEFTTIIEK
SAPIO_CDS5696	MEWLQPAPQPIGLFDTFTARQTEVLVIDEKLLSLSGDSFDIRLA NGMPLLKVKGSVLSLSGRKDVTDMLGNHMFTISKKILSLHATFAVTNGTGQLIMEVKN SLVQLIGSKATITFTSRTGKYETLVMRGNWRSSKADIVDEATGLVVARIKRDRTAKHY LMGAQTYTVTIAPGVDMALVAAMCICLDEKNE
SAPIO_CDS5697	MLTQILTTLTLVLGVSAHYTFPSIQSTGDWQYVRRADNWQSNGF VGSVTSEQMRCFQSREEPSKATFTVAAGSQITYNALPNVYHPGPMAFYLAKVPEGQTI DTFDGAGDVWFKIYHEQPNFGGQLTWPSNGKSNFPVTIPACIAPGDYLLRAEHIGLHA AQSPGGAQFYISCAQLTITGGGSTDPPNKVAFPGAYKASDPGIQININYPVPTSYQNP GPAVFKC
SAPIO_CDS5699	MAKAQRSKRNSSAASPYQKPAATRAPIFKFNTNIGQHILKNAAI ADAIVAKANIQPVETVLEIGPGTGVLTTRILDHAKSVIAVELDPRMAAELSCVVQGTP KQQKLQIVLGDFIKLESSELKPFDVCISNTPYQISSPLVFKLLSMPKPPRVSILMVQR EFALRLIARPGDSLYSRLSVNAQFFSKVSHVMKVSKKNFTPPPQVESSVVRIEPRTDR PNISWDEWDGMLRVCFMRKNKTLRATWTGNKVKAMVEQNWITWACINTEQIPERDWKI LRGEETADDQAMDDAADEDDEMGEASGDEDMMDEDGPSKKLAKVNTSKGGNITLGLTQ IPRALVSQLISIKIRRVLESTKLGGQRAAKCDETDFLHLLSAFNDEGLHFA
SAPIO_CDS5700	MGSKHDRQVEALGGPVDVIATQPIPTPAPTATSEHGRGHIESSD SSNPLESIPSRLTWRLYVSHFLSTWNSRLFEFAAVLFLASIYPDTLLPMSVYALVRSA AAIVFAQAVGSWIDSGNRLTVVRVSILGQRIAVVLSCGIFWALELRKTRLEKGVKDGL FAAVVLLACVEKLCAVMNLVSVERDWKAMKKPEEAALNARIRRIDLLCKLVGPLVISS IAIASTLAAIWTTLGMNLAAVVAEYVCIAAVYNMVPDLRRTPRPDEPNAGEDPSGLLA PDAEPLARKPWSERLKSTAHSIFPLSSLPFYFGHTAFLPSFSLALLYLTVLSFSGQMI TFLISVGYSSAHVGIARTVSTIFELSATWIAPRLMARIGVVRGGIWSLSWQMIWLAAS VSWFMADVHREGANLIVASTVLAAGVAVSRVGLWGFDLCAQNIVQDEVEPGHRGAFST VEAAFQNLFELVSYGTTIVFSRPDQFQWPVVISVGAVYLAGGLYTYFVRQRRGHLLHA PPCISTVTPKVFAMPQARSHNPSVHWPRTGLIWPTGNTTKVIRPIGENRRWRDAIFRA LDSQEGEIRTKAFVDKCFTDLVIQAKALIPRLEEISVIDYFDEVRQRVPWATGLHPVI LGALFVELTRARAQWWANPKAPHDVNQHSPCAREVDGFREALYNSLSHIKYVVLDLDD NGNLDQVSEGPIAFFIDSGLLGDLPQNQTIKSELENGVHRLTISGNQGLEEDEDKVIE IHV
SAPIO_CDS5701	MEITRNPRLCLDGIRGMTPRGAVDEFVFFLQDEKNDPGTVAFDT ARPASQRQNENASPLLSRNNETATVGQQQGPILDRSSIHISGLAKTPPSQNKLLPENL EVLGLGSSGYVYKCPRGFAYKVHVSQREVDLMKAAGDCSVAPLSRLVPAEKRKAIQEE MVSLVERLHSSEIGLVHGDIKPANFLRCRDGKLRLCDFDSARLIADEEAEGWEGFVSE RYVAPSRGFPDYGPPTVGDDNYALAISVWELFTGKDALIDEDMEEVSKYGRAVDVDEL EDDDIREFVRNRLRDGGAKV
SAPIO_CDS5702	MASPPDDYLDDPRFSRIFELPPDPSRGRDAPFKVKYADYGYRNE AHPEQEHVLLFFSPLMGSRLLHVAKDALAKRHKTRIISPDRPGIGGTDPVDAKDRLRV SREVIIELLRHLGIQHVFLACHSGGTVYALDMLVHHPEILHPEMPYLAIGGPWILPSH TGSKLLSLARSLPVQVLGQTDTFVKLINNHVGPMIGTSLGLSTGLAAKLGSNTAGHDR TAANTSNQEADFEERIWDKVMERIYEEGVQGLSPEAILLLQKVDGADGWGDWRDYDTL VPRLVEALRSTGSTLNVVVFYAEKDLLIGDGDSKGPLWFDRCWDAPDQRDTINYYREI IRGSDHNGIWNLGCGTVQQVFAQIDRLTVNLAQPIV
SAPIO_CDS5703	MSDDQKEKIGGYDAPGQQSITAGTEVDWLDEEEKRARRKVDSSV LPLLFLGLLVFQLDRMNLASALTDGFAKNIGVDQTTINLGNQLMFMGIVVFEIPCNML LQKIGPRKWIPGQIFLFGIVATLQVFVKNRKGFLVSRLMLGFAEAGYIPGAAYTLSTW YKKRELAKRIAVFFFGMFAGNAISPILASGILQLSGKRGIKGWKWLFLIEGIFTIIVG ALLLFVLPGSPDTPKPLLSPGLIRFTEDDRKILQQRLEHDDKEKRQGAQGMQIPLKVV WKTVSHYRRWPHFVSTFAVFSTWSPLTTYTPSIIMALGFNRTEANALAAVGASLALVV VFIFAYISDRTNQRGFSVVGAHLCYLVVLIVARSVHPHVGKWSRWGLWTSVNAFAVGY HPVHNSWVQLNCRDPRERSISVAMWVMSAISGLMVGTQYFRAGDVPLLESTYIIISVL ARGNTILKMEKNL
SAPIO_CDS5704	MGAVKRVAVIGAGPAGAITVDALAQEQAFDVIRVFERREGPGGC WIGDTERPRTVTNLSELASRTADKPLAIPQKLPAQTPKVNQPRFDESSIYPYLHTNID SIPMEFSQEKIPTERSELSIATHGPDTPFRHWEVIRRYIAGLVERRGYEDFISYNTSV ELAEKVGSEWKLTLRKEGKEKDYWWVEYFDAVIVASGHYNVPYIPAVKGLEEFEKARP GSVLHSKHYRGRDQFRGKRVVVVGASVSAADIAFDLVGTAQHPIDAVIIGHAPNGYFG DLAFKHPDIRTRPSLCHIEGRTVHFVDGQSVADVDHIIFGTGYSWTLPFLPSVEVRNN RVPGLYQHVVYRKDPTLLFVGATAAGLTFKVFEWQAVLAARLLADRTKLPPQAEQRKW EEDRVKLTGDNVKFTLIFPDFEDYFETLRKLAGPGEKGFGRQLPPFKREWVRAFFEGH ERRKAMWARENEKARANRAKL
SAPIO_CDS5705	MKPTASGPKVLLGDLLQSAEAGCPGCGVLVKAIRHHAPEQIRSP EPFELRMYEERGFLILAVVSRNPPYTKARGEDQTRQTRQTLIELFSQDYESCLWSLIP TMHDVPHPESVSSPELLSQARQWIAGCEASHAACVSPLSFSLPKRLVQIQRSPRGKHD KFSLRLLETKPGDIDRYVCLSHSRCMNPIITTQRRNVDLHYAAIPWDSLPKTFQDAIQ FVFELGIGLIWIDCLCVVQDDDADRREEAAKMPAYYWNSYFTLGAASGADSSAGLLRR GVGEGTTLQIAATDERTSKRFYITARKPLTHQFETIDNEKERLLSTRFLRFGPQELIW ECRQTTDCQCGGVAQKSEAQFQSNAALRR
SAPIO_CDS5707	MALTTTSSSFLSVRGITTTLVLPLLLLTSCGQTSVLPRQQDKKI LPWVTVDANGAFKTITPTVQGGKTTSAFPGNTAVPTADSNGAGAFLVCNEETGSHGAY QPFCAPENGAEAEVGKTYFITWDAGFFQRPETQVMLQGNYLSPDPDPGIADTGFSSEK FGASKGFFAWSPGAGDVDLPEVKSTDVRFFLAYLYPNGTIRDKDPGPKVLLHISGKEK GHKKNVTAIAVGVAVGIVVLGVIIGLAWFFLKKRVKLGGSRNSGGYGVRRSKTQRSAG IALDASPSFQREDVDFSRAAGRNVFREEVARQDKGTGY
SAPIO_CDS5708	MPRVEPEPVENSFTIVDSLHDREDQSPGSSLSAPIIENSTLVQN LYEGPKKCRCCVNWVDQLPAKAEPDEEEPAEEEEKTPIVIRYSVTRGEDTSRVSIHSI EIQDSATREALFPVFEGFDNIHPSINYLVFHAPFKPFFYRWEKFEAAIESCEVPRTKE ILTQLRSVVRADLAEAFAVKKELVANGLISFPYLWIIFQPGELVCQDGGPGNERFYYL ESTEDLTRQSEFRLFTQAVEYDGFRYGLWASDFSIYSFAGTRKITRLNVFPAQFIDDF EKVREAVIERGRKFCSLTGVHYKEYPDDASGSQAGDGKRQYRRVMIDPMGSQDARRML HFLRLKEDMVCETTLITQVPVDIPPVGGHKSLERSRWRSTSPDRDSYRDRQYEDDPPR RVRRIQPRPRSRSETPPPRVVPLFKDADDEPLSEFHLQICTTHVPGFCLKKRRWESFH INKVRDIEWNPDPFNTLVLPDGYKDLILSFVESHIDGDESFGDVIQGKGSGLVALLTG PPGVGKTLTAESVAETLRVPLYILDLGQSIVELDEERYPSPRGIDKSRIKLSEAFRLA ARWKALLLVDECDLYLEPRNESSPLRNRVVTKFLHEIEYSSSLLFLTTNRPDALDPAL ASRIHLTVTYPPLDRVTRRTIWETFLSHNGCPRLQPSALDALANVPLNGRRIRNVVRA AAIMAGRNKRSIEFRDIKTVLQITEGKIVEESRGDD
SAPIO_CDS5709	MGQEVQQNGTVSGDAMKNENRCNGLNINLDIFSTSELLRVLQGV LGAAKVNEDDATQVHRWFFDPASLTPQAIEGFEADRARITLQDLCAKAEAKFQGEDDA RHISLVTIGITFLRVIGRKGIQVQADELDLVWRIVRSALAVTPESKLRWAASRSAQGF LSVALCSLIKDGNIDELFRLHIWMPDGCRGNADFAVHSHQAFAQGWILAGEGKDTPYK VKPVTTIGEATHARYALGWTDSKDKGSTTYKTHQQSSTVVNTGDFMRAEKQNSTIQTR GISYTIPEASFHTSTVQPDVLHATFFFFDASRGFVMDAPVLGPKDAESFTQIRDPGGI TPAELVSRVEAVRRWEVFMARGRYHMDRAEWEHALRELNSALHLCQSEKGFPNTQRYT SIVHGGLGNTYRRFGRYDQAESHLRKAMDGLGVSVERVEFSGELGVLYRHMNRVREAR SAFSDQYETAKALGFDRPTCRAVGNLGMVYYQLFGETGDMGLLEKAIQCLNERIERAR RLQDEEQSLDLGGGAKHRRRQGRTWEIIGLTRLSLCYSARNDNNAAIETASIAMDLTA DSEDTTVVAVTRLFYGFALLKGGRRDEALQQFNPPYGCTPAMALCKEPSEEHRQYLRD LIDAGADLDLVDEHGYTALDYAVYSGDPKMEVLVLEGLRKVAGSTEEGIIQRQYESML RKGYRELFQEELRPVLLDGGHNSIEKLRIRYAQELAKDKRKSDKFDQLKFLRYSEFVQ FGKFPRSDDSMTETFTPGCEHSAGEYIVFVSYRWLKVANVTTSPDDQNNTQYNRVIAA VERLLQLHPDINPSRLSIWLDYACVDQEDPAKGVAALPMILAQCNAVVSLVDDEYYTR AWCSVEVMMVQTLKRSYYLHEWYEQTREDGDQELSKWTLRPGPMDMVITMADKRVTLE EDRAKTLFLERQARLLGTT
SAPIO_CDS5710	MKITGLVLLSATSLALAAVAGPEDRNRFGGGHRGTRGLEHTSKS KCTKTRSRTRHTVTKTVTESECPNTSAGELVTVTVPTTVTVGGEDATVTITAPNEDNT ATITVAETITVPGGDDVATITVTVPGDDNAATITLAETVTVSGDEVTFTVSGEEVTVT VPGDEVTVTVPGEEVTATATTTLTIPGEGEVVTLPAETETLTQEITLTIPTTITLPAE SITVTQALTETLTETLTEPTTATETETLTQVVTEPTTTTATETETLTQVFTEPTTTTT TETETLTQILTEPTTTTTTETETVTTPTTTTETETVTTPTTTTTTETETATITEPTTT TTTTTETTVQTVTTSVLACPAGNPIINGDFEGSLTGTWSVLEAGDADVDRIAVGGAQG YALRARINSGNGNLPQRIVQSVTVCPGANYKVSFKARRTTTSGSVYATLYVNDSQQAG GLITASSFTSVATIGSGIFSTTSNSVTVRIEFTYGGSGGSKEVQVDDIVLTKL
SAPIO_CDS5712	MHFNPTTLLTLSLAATGALAGPHRRRACKAQPPKGFVTVKDGKF QLDGKDFYFAGSNAYYFPFSGTQADVVKGLTAAKDAGLKVFRTWGFNDKNTTYDPNGL PQYGGEGATTEVVFQRWDNGKPTINIEGFDKVVDAATEVGIKLIVALTNNWADYGGMD MYTVNLGGQYHDDFYRLPVIKDHFKAYVKEFVTRYKDSPAIMAWELANEPRCGADGTR NLPRSENCTVELMSGWIDEISTYIKSIDPNHLVTWGGEGGFNVESDDWAYNGADGGDF DHEISLKNIDFGVFHSYPDWWSKTVEWTNQWIHDHAESARKAGKPVVHEEYGWMTPEA RQETLGQTRNETRLEVVGGWQEISVEEKVPDMYWQYGYSEYSFGRNHNDGFTIYLDDE EAKTLVYEHAEKMNALNGE
SAPIO_CDS5713	MKCFLYLVTSCFLLATVAQDNDADQTVEDQYPETAEIFFDDSLS LSGYALVEFEPLKDGHTNPNLSDTAEYEGYDWTQEFPGSKLGDFKAHLRVVDNVPFLE DSSDGELVPSTTNKTAVSTVTYNLPQSLMDGDKVKPVHPSWLICRQIWIATKPGNTQG DHQCGFIPDQCRKDWTEQLTRNWVSGDEVGRCTAHYVYSPPKSCLDSIGNASTILYHK LGDVAWRVGTGSSLDLNNKTSLQKASENTFIITTAWGYSVNSSSDSRETPDVSFSCLN VQEDSKPNSNENGSPRTFGLSAIGLIIGIGYALWVL
SAPIO_CDS5714	MRLLRHLSVSTTVTLSLFARGCLGQYPDMIVGSYTDEETGINFD TWTAPPAENGDGGVTFGIVLPENATTNDTGEYIGYLVLTSFRWATDYFMPDPYSGDGS PTITYIRSSVNESRYELVYRCQNCFSWDDAQGENGGSSNSSEGEIMLGYAQAADGPTN PARPSEITLQYHTLGYAQWVVPVGNVTRGDYETWSELAREAAPGECEV
SAPIO_CDS5715	MTATIPNLSLDGGLERYLLNTPPQTLAVGCIEDSLSYGYWQIED FTYESNRGAEQNLVELIYFRVTSQISDLNIECSVSSASSNWTSIDPTSSGYCESSNPA TTFKFYYAPDSSNGSLTINQIWSCPSEEDGTQIIFKAGADANFVIDTDSPGSPVSISE TGHVIIPYSLLAPIEITPRDEVLSSPAGSNNPACRKASETPMWTFSDVKWVWFNESRE SPPTPRGALAVTLHNGITNQTTSCLHTGGLDLVPLDEEADCETREDGDWTICSGSAWV DSYSGQYLGQAAVRFNACARKVEIVESWYCGGDEEGEGLKAWKYQVHSGGDPDFAIPS AFISNATLCEAIMEDSAVTGTIIAEHSLLKNAFDFQYPREGGCLVDSIRPENWYWNFF PDYFSVEVAEDGTVERMNSRLELGVAIPYASAAFHCFVPTVETLGDCYSPVIPGGRFL DAKGAYNSETNLFSIEQSWECSDRSPHHPVMFTAKGSIEVPEGDGEPVRMPMEITWET TDREGSIGE
SAPIO_CDS5716	MLFKTLFAIGAAMFVAADLLDEKERRCDEEDDGTPVNWGLLALP GVDMLDLYGPIELLYFVAGNRYLNVTIITPTADNIVITPPMGNRYNSVFKPEIVGAAT FGDDLDLDVLLVPGGAAARDSTLTYVDDYVAEMFPKVKYLLTICTGAIFAARGGVFDG RRATTNKNAWDLVTQHGTNVTWVAPARFVQDGKVLSSSGVSAGLDLMFAFIKEHYGQE LHDRISILTEIVPRAHDDDPFTDIVGVPHQGQI
SAPIO_CDS5717	MPVVITVIDDVSGRLLDRVDRTSSIDSGKIVRYTGHVECHGGDS VRQTVPVTFLSVPYLALKAVDAEAAASGYRSGKPLLHSLYGYDTGVERELKQVVQKLS IASEIMITFGQVSSQFILGDLVTFIEGSTGKDELHVITLIDQFNHRYTITVKADCNYV DMLRHAVTLASPPPQDPDDFELLDNDKHPLTPLRWLNMLTSSPSARHVLRLRRKHRPQ LPPVPFPDVNNAPMHYPPQREQSIEDLGDVDEEVRASDSGEGPFDETADNLFYGDECN SLVQYRGGRADGWRSVVNDASSRRRDVESLTTGHYHSTGLSSENSHEQSLPPRAANIS ARQHSEVALIHRFYQEPADGVSRIEINEAFQDLISPAYSLPRRLPPPSQSPNSVQGKK FFPRLARSCFGRAKARMPAVVSAGVKRNAQEPESEKPPLALGRSQGPVAERRPASVEP ANTQQQQQRQSPSDGDIIIEPLFPNSGQSREASRPPMPGTHEVRNDVSGETTPQTLWA GIHSQKSGSEPLRYVVRDFGNCRLASGDGDERGNTQQLSWTDCADCKAAKKYDTPDEL LNHINTNHTQTTVKQLRPFDDASFCWVSPTQMATDENTLSTWLGYSIIKDFIESMEAV SKVSRELIYYMARPGSRFAEDGLTPSPLPKTLTTAFCNILANYILVAKCLALTNRSGY TSNPEEKDVVLETISDLELTISSAFKQVFDVLEKARSDIILLCSTRREVDCLHVQSVD LPFLTLLIIKNVERFTLDTKQLSHGIIEKRHADDKNRVDVIAVYQHYLSVLQFHARNR PQKRVFLEIQNYQEELSALGHLTQLQIQVLRYHISLLQPGSFRLTSRRRLEQHGTEYK FWMKIDKTLTERLDHLSVLDDQAHSLKEEVKNAIEIIEEDHGKAIRVFTVVTLFFLPL SFISSFMGMNTTDIRETTSDQRLFWSVAVPVTIAVIAVAFTYGYAGDSISDWLHSRFR PHKRAPEWTGSTDLVEDKYYYPSAEEAGNMGEPSSLG
SAPIO_CDS5719	MVAVHFILTFLMAAVIPAIGQRKCSKISQRRAWHTLSDNEKRDY IDAELCLMSKEPKFGFDGARNRFEELQAAHQVQAHIIHGVGAFLPFHRLLMHAHETLL RTECGYQGTQPYWDETRDAGSVSRSVILDPDTGFGGNGVGEDGCIADGPFAGYINSLG PGYKITDHCITRYINNTRSLQAGQRYIDRCYARQTFVEAWPCLEGSPHNSGHGAISGL MMDPIASPGDPIFYLHHTWLDKVWWEWQLLDPPARLTDIGGRNTQDGSEGFPGFPPNA GPRRPAPRDVSGGDDPGFNLGFPGDEDLIDWDDVDWDNIGFPSLPGSDGGDFEMPPGL FLPPDAMRPPKDAEPQDPKGDPGDETTLNHVLNMFGLIPEATIREVMDISGGLLCYEY V
SAPIO_CDS5720	MKVTSLLTSLLLAPIVASHANHDIQQELIQRREFLENNKVNLNH CAEKLRKRGHDKRAIKRRSEITNKLRKRSNIKARQESDETVTWKHSHHSDEDYTFETD TSIVFSGNRSCILNPEVTEGPFYVAGGLVRQNIVDEQPGVPLAVDIQIIDVDTCEPVE GAYLEIWHCNSTGVYSGVLSEMNGVGSADPTNLDATFHRGYQPTDADGAAQFETVFPG HYQGRATHIHLMVHLDPEVRENNTIVNLDVAHVGQMYFDDDLIAEVEQFEPYTTNKML LTPNANDFILAQEAAVTDPLSEYVLLGDSVEEGIFAWYSFGINTTLVRKVSAAATLYE TGGESNPNAGGPGFGAPIGDLPDGFCIPAGGFPAPPGGDGQPGFPGGFQPPEGFEPPE GFPAIPVCEETGEPAEDEEPAEPEEPVEEEPEEPEEPSGDE
SAPIO_CDS5722	MDDYLFKAHVDSCKTVQEKTSGLEIITYSGTFSPPPPRYGVKPT GTLRLVVQKEACHPDTFSPQVISLDAKHYEQMVRKFNLPTRAIEGTSVVGPFFWSFVD HSSKDPRLHILFRKSDTRKQGKTEGWEVVLSHCFSTRMTTGFVKGTSTSHSKKAIDDL IQCATQTDHPLILPLILLSYGLGLERDQQLRDTREWVRRLEQSISQRVEAQEDGSSTG TTAGVKESMADIEDTNRALVECHARMLRRRPQDWLEIISGMEDAMSLFRTRVMPETWT PELEATHSSINGRLAFYRTKLRAIEGYVHTTIERLSIQRNALTNVMAHRESIVNLQMV AMITDQRRIAQASKKDGNALKRLSMMGAVFLPGTFVASLFSMVFFNFHASDSEGRARI DVAPQLWIYFVVTAPLTLAVVLFMWLWDRKRERRAKKATNALEAGVMGMEKDVMLQLR RQRVEDRC
SAPIO_CDS5723	MSKFYTRNSASKALASSSNTSGLRSSTESKQNFAYDTESIMTND SAPVKAKAKLEYKPQPLSKYLQNAMTQWS
SAPIO_CDS5724	MLFSTPFGLQPIALVMFGFTATTVLANPANSFCDRGNTPTPDDC RAAIAKINPNEVYTGIRQFSAGDCTVELRGAFSSTNPPPTRGSDIIMQAEDIVEDGCR GVGFCEDTGGHVSVRQCTVCMFGTCAVCNAPRRRDTGDASGSLPTRSLEERQPEEPGS FSRRRRVASEPEPASAPHLVARQNPEPGVECRSSLNPPSVDACKKLADSIRGQTLSLP FTTSVDDCDLAVFGHFRDLSASGDKIADRISHDSDLCASAGSDPVVGSQKDTTQELIF VGFTIWFGNLCGRFGFGMANCVP
SAPIO_CDS5725	MSAMNTGAGRSMEDYVLTSKRYIEWFREFEQIKIPEDLFNDEKR RTEIRVSEARAALVRTLLVSKVPLAQYETKLEEYVKWENKLAVLLDAGCRCKFQSFEK MHDRLVAEHGEKFQAMEEAQFARRQTGLRNDNRSNHTHQEPRANVATDSPDPSSARES TWIQSNTSTTMSPETENPNTRPQKRAGENTHVNVTEQPVFLPDEPSTASDMLGTKASH SENNKRRRTTTELSSETEQPKRPRLGDSIDTPREGASDGGGENETTGSWSQLTIEFDE LYQDGEAEYKHHIVQWPSVGGKWYIIRCDKHGMHFGTSPLHAGGQHLAGKSHNNMQKT PEVVIKELGIEVLNCDLEKQTLNNELFKRLVDSGKYHPLNNRWAANFQRPPPSQTRGS SRRSRDSHEWVTDARPGEIYKVLCPNLGVYLAAVILPRDCFNDPSFDEIGLPGGALED TCLLENIPACYRVCTMTGKIAGWANGYEDGGELITDREFPVLCFDGLPYLRKTSVTWR SAKDLRTFDMNSPREEKQIIENYKYLEQFLAIRGRQKQRAHGEGRVQEAEEEAVVSPV RTQQPSPLQAAGILVTTGAVGEGSGSPDGPAVNHLQNSSIQCDPQRRHGYGPIIQAEE ESEGSPRVGEEISMGAPVQPDLPSPNGEAQEQPQRHPEYNTGQTIQEPDAGPQQRTEV AGRSGSRDRSAIEVLQEIQRAASAGAPQGCPPNAGPHEHQTDATRSPLQPRYASVNHI RNRRAEAVRSSREIRTGGGAPTSEPRFVPIPGSQRSHHLNPAGPSDIPSTPPYASTMG TRQLIILETPYDSLGATEVQGPEQREAPEQTHQSSSDVQPQLSQPTAHAFAGQAQFSN EFRPSTSTPGPVQNPTHTPQSRRSPLQFSSDIIAPGAQVSDATFVQGNDTSAYIRVPE AVMGAPHDSDFGDSYDPQGEIWDF
SAPIO_CDS5726	MTRNIDPEAEPLLDREPQRPASVKAQSRAAKVIHHVSTWSTVYL CGLFAFLIDYPTFMGDAAKIRMLELGLCRDYYRLADVSVIGGDGSIPEELCKVKAVQS SLARLRGFLQMLEYLISIILAVPFGILADKWGRKTISAVGIIGAILGNAWYFTALYFY RIFPTTAVYAAPVFVLIGAGPVVIPAMILAIVAHVTPEETSLVVLYFIRDEEPKYIGH SDSEDSPKLHFMVGYQERHKAP
SAPIO_CDS5727	MVSQLKKASEATLGAGIDGYGVSITAPWQTFWKDHNQWDSDINN ALWVNDLSPWAPESDDPVYLGEVRAALAASGRWLCQPYGRYNFEVVPDIRDYIYYIRP IVLVAGEAADNPEFLSIVRDVAAELPDILPGTDPEDKKLVGSAGGSAELVILEDPGFG PAKGAALWSRLKVEASGYCETAQGCEMPIANQQDEDENSRAEETRIIA
SAPIO_CDS5729	MALHLNSNLKDLKIVEIELKYKLATKDASNFEDTIDILISSAFA VFHARAVRPILGVPPNMPHHCRERVEKSCNYLEILNLPERDSKIEHFIVIPDRLEEDS LTQVLADFLSSGDLGNQDCYFVVLGFRDAAAEFASLQTHLTRIRDKLTKDYQRDGHNA AARGIVSIATGLGGVTLGLARALGCLSGDEIALVGGNPWGSLGLFLATSVVGGFFASI VYLERHRKASEKLEGLKNVENALSAVAEGIKEAQAAAVSLYCSRVHQVPLECMGRAKR AEIYSRFGVYHYGSSLKSPGSEDGSMRPAFERFTEKVRELFDSIDKLTKGAQIKVLPI GKSEDEEEALYHH
SAPIO_CDS5730	MEDEARHDGMHQKTAILPKESDKLGFGAFIGVANKRVAERFSPD AQHYPDTLGSFIHHWLTLEEARRESLRNVVAGSDTSATTFCVIMLRLLSNPYAYKKLV DEINEGIKAGKISSPVTDPEARQLPYLQAVIKEGLRIKAPYC
SAPIO_CDS5731	MLDWSRHGLNTLEGATNPLEVLSLGNPALRPRQTQSRFLNQNSQ NFAVNGSSIPEVDFDVGESFAGLLPINGTDNRNQLFFWFFPSTNPEPKEEILIWLTGG PGCSSIGELLQENGPVLWPPGVFKPVRNKWSWHHLTNVVWVDQPVGTGFSQGEVTARN EFDVARQFMGFWKNFVDLFGMQNYKIYITGASYAGLYCPYIAHQMIEAEDPEYSDVGG MMVFDALYSKEAVSQDIPMVPFVDSWERAFNFNRTFTELIHTRAGECGYTQYLEEFLV FPPAREQPSNLPGYNEDGSPKPGCDMINQVFAAAVELNPCFSVYSVFDHCPRPHDPLG FSGGSLIVRPDAGAVYFDHPDVKAAIHAPADTQWVFCSSQAGRSVFVDGVDESLNGGP ASQPVLPKVIETTGNVLLGHGERDFVLTTAGTLLAIQNITWGGERGFQAEPNRPLFVP YHVDQELDVLAGGGIFGSWHEERGLMYFSVDGAGHFLTIDQPAVAFRAVEILLGRVEN FQSTSPFTTDGNATQQPMLDLGVESTGGVALQSTADRSESSQVSLGMEWVMVVVFLGI SVFDLRV
SAPIO_CDS5733	MWQEALIKYYEECGTDLRTIHPSRFTVAHIVAEQDHQLLLFNQF RHDKGKLDKLRTLISSNSEIIQGVATHIAEAASAAFPPSAAILTAFNYVLNASKAVSE DYDLIVSFFDIMNSFLERVSMLENRMPNEWQFKKFLVNVFSAMLTLSAIARKCRQKGR LSKWAKALIDGSDPKLKAAFDSLHMHLERFESATMIATLKQTIDTAKKVEGIGQDVRN IHAGVQQNLALSQQSYMVGLETKGFAKDAAFTSHEILTVVSRQEERGAEHAAGLQKVV RALNKMSGTMSGQDSRLVDAGARKSAAMRTLENRLSCNLDDRAQLTEIENVYVNGTYQ WFREQEIYRDFECGNLPLVSISAAPGMGKSSLSYTVIRALQDEYIGDPTTSVAYFFFR EDVDELTTASRMLRSCAVQVARQDVKYREEVLTDLQFEIRYPEDNNDELNNDHLRLWQ RLYKKKFDKKSSRRLVLILDGVNEAKEDSRNYLEELFKEVCASKDLKIQILYTCDPGI FQIDSESIPVKNFHLTKEHLLPDIKTVILARLKSLSRLRKLSQRTKKKIVLRLTKQAD TIRYIDHMLRRLNQIGREKAVLRELEALPTSTADLYRVLLADCQKSRSDQDIEVLRRF FAWLAYSKEPLSLGAANKLLHFIAKDNNISIDEELDHRCARLLRLSNSNETEENEDED SDAESDKFDDDKINENEENYQEDLEVFLSFQERSLRSYFRPRTNASDDKLRSSRSLGH AMILEIIHSILTSEDEKPSFAQVDLSYYGVQFWIQHLLEIEPDDLTQDELVRTIDSIC GILSNRGGSIRKMEEHLQYDLGVAPCSILGNTEESLGKALTCIQKWAVKATQLPSSSI SGGTVAWMRPFARNPKAIHIKIADAHVSNWLASYDADWDYLIYRRFAFAHEALYLGRE LPAVQQNESLRKYWETRGAEPNTHIFSKESFMAVSRAFLHIDMTAQSYKSIGVTMVLA DLKDEGLEQLRLGAQSADTPLDSLTIFAKMGDAILDIVDRMEPPKSLDSSGHNSAGPN GTTEEKTAKPNPKVGAQEGADRAKMEDAKPDTGSDNEAKTKTYEDWVHEALDVLSSAL GVVDKIPPSDLENLRVQRTIREIWLMKAQAELYLNNATNTVSYCHKAKAVIETDERVT GPIATIVTRLAKMEEWEKLMDVLRPLCLNKYDVWYYIYQYGNEIDRAAKEAGRVDNLI DLYRECAMLEDLRTWPLTTMHGWAAFYQEVIGTKDAIQKAKAILNKIIDVSTVTSNIT TASFRLANILLQEFRTTREPAEKLAAYREMQALVKRVGDMMGSDFDSNQSQNMMPLVL MMRRLDAYEYHQSLDRMFKGCIQALTDEVSWNDKGSFRALAKTLAVVGLEEDARIAVT CQIYITDMEVHKKDRSMSESEPDSGDTGGHSEKSNGALAETGSNGSGSSEKHREEEKK NSDDNDFAASEVDEDLDSANGQLGCDGCGELFSNWHNGSLYLCYYCTELDLCEKCYTN RSERLSGKLPPDWRVICPAGHKHIKAPAEGWKGLKNGVLKFEDKEVVFRDWLVELKEK KWPEAWERYWDGEST
SAPIO_CDS5735	MAAPVETTIQAQAGAPAPPAAAPAPGAAKPGPIDNRDVEDWKNR LNDFLAKPAEVINSKSPEGSKSWFAGLFDCFNPIDSCLITCCLPCVTFGKTHHRMRKN ANLEGYEPINTTCLLLVGSSCVGLSLIPLAMQRADVRAKYHLEGNCISDILISCCCGC CSLIQQDKEAAHQEALLAEGGVKEQYQTQQGMAYPGQ
SAPIO_CDS5736	MSNLEMRRRPRQLPGPFNPFSPPSPFIPGSVPSNLIVPPPPPTN PAAAIPLTSGFFQPPAPAETPAAAAPSPEPQVTLTALNPPAATELPVPVALPNVPPAP AIASDFVDGDFSVSVQPENSLGLVVDGVGSPTQAAPEVVTVDGSATVGGAATASTPTG GIAFGSIAGIAFLVSIIFLFYKWRKGTLPQSVNRLALKFRPTSPDADNMEKGLTRSDS VSIRTASTTGTSYSKAINSIKAFIRPSTSSGNSSRAEQGISDHTPSISAPTVYNGPQA DKLAVPLPLHLAKLQAAGLWTAEGSETVNAAAIAAAVTTGPMNPKPVVAEPLVHPLRA HKVQSEEGVTADKQASWPL
SAPIO_CDS5738	MTSKPVIGLLGGGQLGRMLCEAAGPLGIEVAILDAADAPAKQIN TSPKHITGSFKDPDKIKELARHVDYLSVEIEHVDALTLAEIDITGVTVTDESGKTSVK KVPVHPAPMSLEMISDKFEQKVHFEKAGIPVARQVKLDSGDIESSLRQAGERFGFPFI VKSRNNSYDGRGNVVVDDAEDFEDVVEALGKDGLYAEKMVKFTKEIAVMVIRTEDKDK GASRTYPYPAVETVHQDSICTTVYMPPRGVSQKVCQQAQELACRVVGTLWGRGVFAVE MFVTADGNLVVNEVAPRPHNSGHYTIEAVPQMSQYKAQLYAILDMIPEHLKLTPRVSS AIMVNILGGAQPDDYERLVKLSETTYDDDMDVHLHLYGKASKPGRKIGHITLTGTGSI DDLEKRSKEFVSLANTIRDERISAASEQLRPQQDALAAPTVPRVVVSKTAQVLVTMGS DSDLPVLKAGLDILRDFEVPCEVRITSAHRTPRLMADVAEAAAGRGIKVIIAAAGGAA HLPGMAASHTPLPVIGVPVKATHLDGVDSLHSIVQMPRGIPVATVGINNSTNAALLAI RILGAHYPEYLDKMTAYMKRMETEVYGKASRLMDIGYEAYLAGMPKK
SAPIO_CDS5739	MANATIDMTLPPLPDYTVSEVPDLLPYVSDFWLSMILPVIVYWI VSIFFHIVDIYDIWPQYRLHTPEEIVQRNHATRYEVARDVILQQIIQMATGAFLSFSD PPQLTGKEKYDVAVWARRVRLAQRALPHLLGVLGLNAASISKNMASSHPLIAGALAGG YYPFLTTELGGSDGLVVPAFANWELTVAKAIYWLAIPGIQLFLAIMFLDTWQYFLHRI MHTNKWMYATFHSRHHRLYVPYAYGALYNHPFEGFLLDTVGAGLAYKLTGMTMRQGMF FFSFSTVKTVDDHCGYSLPWDPMQHITSNNAAYHDIHHQTWGIKTNFSQPFFTFWDRI LNTMYVGDRAEKERQKVAEAALREKQTNGKATKSNGTAAGKAR
SAPIO_CDS5740	MGSTYYYYYELDGSHETHDPTRPSTRHCPYMPGQTVNSLFVPIQ RTLRKRSASLTSMRNADFMTMNPKDKFVTPRPAPPAPGAMAGPRLGSSPHTLRHQSSA RSLSPNPSWKRFFRKSARDDRETMVSPEPDDNRSERSWGSGSRSRDISPESLRRFLCD DTIPTSPVDERPVMMIPEDIAEEMEDDDNFATPDTSDVPYATCLAPPPFKRTTSSLSI SVLANDTPEPVAQMPADVALPESPTIPDFESTLRSRFSFSSLSSYASSAGASPEVETP PIYDLLDTLEFATSSNDVDEPLHHQSPVPKQSLERQYSLPQTAVGTKAGVEASSALIS SPIDVGMDDLVAELGWMANVIGKN
SAPIO_CDS5741	MSAEDEQQPRRADCDETSPLSDDSSSDSDSSAGSNDGGSGSPKI EWLATGRAKRSTAGNRMKSMLANEEPDSDLELLFAEDENDAGFEDVGDDASDVQMDSS SDDEDEQNAGDDLEGEKELERQAREKRIAARKRKAQEAIPAKFRKKVRVQPIGPVAAP APAPRPKKKSERQSWLPSPADAPTRASERQTTRMSKEQLHQQMKEREARRLKQLAIME KKQKKLEAMKKPPMTQEERLAEAAVVEKRNAKSLNRWEEAEKQREEERKAKLAALNSR TLKGPVITFWSGRREWNDDRLKQGGIYHIEVEEKPRKKRLTAAEKEKEKEKEKAKEKG KLKDKDRDTAMSTAPPTPVSATAPTALGAAGPQAGSTSGVTTPKAAEPAKTAVKPEAE SKDNAALPEPPVGTSSSLAPPAKPPQATAAAPSGAPAKEPSPVQPSTQTSSLMAPPSM SALPLTEAKLVPIEGSKPRPVMALPAIETPRTSASLPSPSVLAAPVLAPPAGLSPTML SSPGAYSNPPSPKLNVLAPPNTTQRPSLLSLPPSTPKLASSAAPTGLSAPTNFPLQAP PKRPTAGVVPPTPSLTKSNPSSNQQPTQPQNSSTDKESSKPAVDAEDSSEKPAEGQNG SANVATRNAIILQNFDESIIKDKVVQTQILFGRKMSRLQKPAAHPLCVITNHPARYRD PKTGLPYFNAYAYREIQRLLHGDYRWSALLGCWVGSGKYAAKGVPARFLDPDAPAPPP PPPPPPAAPAPGPEEKAKVDGQTSESTEKSQASIGAAPEANAAGATGAAAQCPTCTET SRTAILAAATATYSRYSYSCSAPSQNTSGTCPAGDTCSIHAATGATAGTTDDAACPTK TARTAASRSARTRAAKSRAKPQILYHQPKYPSVSTGSQSSSGCHHHHHVYSYPNRNNA NSDSSTNVHSNT
SAPIO_CDS5742	MTTKQPLEENVDDDGEGSLPQKPQPTTGSGEFVARKARYTVREP FMATFFSTILIDEAYICRNFKNIIPKLIQNLPSRSIVAATATPLQNKISKGSPEDDDE EESERRDKTNVASISTQQRALPRDVLSRFRLAQRINFDAREADSLSAESAPATASSYN RLEVVKSWMSISDYGSETIEATRPASQRAAILRRFNSPHAAS
SAPIO_CDS5743	MPSTATPPKHPSPLRSAASTKDASGNDASSVTPPRRAHTFQNGA APERQIRSTSTSRPSSGALASPDAFEATDDNDETGDGVRMSIDMGELPIELVSLTDSF IESLSAKVHPTPPSIGNLSRLFQDFYAVASTHINTHISTLAMKQSRDASPAPSRQSTA KRLRAKAASIGSKDKAKDFEQQMLTPEELAERKRARRALELKRGRLEEAVERRLCEGI YSRIYRHRSTQDEAQDDKLRSKTAALALVGINLTDLGIDLGDSSKDDEDSEDSKTEEI KAALEPARHGLVLMNDARYPLGKLNHLKAVHKSIVDVLSKYRPSASADDIMPMIIYTL ITLPPQKLSVISDAHFIQNFRWEQKLNGEAAYCLTTLEAAISFLETVDLSTLRADELP SGQPKLVSQPNTPKVETFPPAYAPGLSSATRSPPEPTLATATGAKPPPSPSGGLRAAV QKNRRLSDLVNTPAQAINAASDSIFTTADQGLKTISNSLGDSYNFLMGKLRERQETTL ESAKDLVMPKTLDDARKLVSTPPPEDDASVSGASSVHGPEEGRTASPHRDDKVLNLIG GKKLSSRDHSADSLRSLRSTGSSTTRKAVSVVAVAAQVEGIPEAEEEAKDKEKATPAP QPSPGPSVNPALVVESMRNLSSSFNPMARLSSIGMIRGFGRTAPTPPPKDVSSHKGPA TDGGDLATAFPDLATALPPKETPKIPPPNKRFMELQSPGDLKLGEVLELLRDYRRLAN ALKDMDAFESK
SAPIO_CDS5744	MDAYPHHFQHGAEEQPALNTPPSMTGSQHIGPTTALQSASLQPF GLPSNATENEKFPDFCLHQEPVVVDNDNDEHHNYYDPSAHSDPRLVNGQHHHQFISSS IIHPAVAKTIQDPATVRALRTSSIISSAPSEASISTSETSTRAASPTPTYDYTASPNS SPASSQKGSPELHSAPKFASPTPSIPRSRRTKKELNAARVQRSGSYGSQQAPTKDKET TPSKRRRFLSSATGQVDALAAVEPAHEQVLTRMAFAEQQRWITVQQKTFTKWVNTKLE ARDLEVKDLVSDLSNGVLLIHLLECLSSESLGRYAAKPKLRVQMFENANLALDFIKSR GIQMTNIGAEDVVDGNRKIILGLIWILISRFTISDINEEGMTAKEGLLLWCQRKTACY DEVEVRDFSGSWNDGLAFCALLDIHRPDLIDYDALDKSDHRGNMQLAFDLAHKEIGIP NLLDVEDVCDVAKPDERSLMTYIAYWFHAFSQMEKVENAGRRVEKFVLNMQGAWEMQS AYERRMRELLKVIREQLVEWQQAKFEGTYADAKAQANHFAAYKRGKKREWVAEKSELA TLLGNIKTKLGTYRLRPYEPPAELRLEVMEQEWANLSKTEMTRAQLINETIRDIKNAL RKSFADKANDFAMALNTMQLAISGLEGDVEDQLHHVRKLSDNLPPLDQYLDTIAAVDE KCQEANIEENDFTTYTYDELCYELSLVKSSVQKKLAFLENQIVARNMTNLTPIQLEEF ESVFRHFDRDDTNSLQELEFSAALASLGLVFSEDEMHDYFLETSKGRDYVTFEQFIRF MVDVTEDQNTAEQVFQSFREVADGKPYVTEMDLRHSLVPDEVIDKLAEFFPRHQGPDL ASDRGMPQFDYVSFMEKLIENDADSSSNGRINGFH
SAPIO_CDS5745	MASRSRLMPIVQSARRPAVQHIPRPVNLKVVASAVASARQENSH CLNLTQSGARHLSSTPVRCAAGAIKLTSEAYPNVKRDERFARVTPEDVAFFKGVLGSG SAVLDGVTSDAADDIAPFNEDWMRKYRGQSRLVLRPQTTDQVSRILKYCNDKKLAVVP QGGNTGLVGGSVPVFDEIVLSLGRMNNIISFDEVSGVLVMDAGVILEVADQYLAERGH IYPLDLGSKGSCHVGGNLATNAGGLRLLRYGNLHGTVLGIEAVLPDGTVVDELSTLRK NNTGYDLKQLFIGAEGTIGVITKVAIQCPQRPKAVNVAYFGLESFEHARRAFREAKSQ LSEILSAFELMDGRSQQVVQHFTGNTNPLDGDHPFYVLVETSGSNGEHDYAKLEAFLE DVMGKEIVQDGVVAQDETQVQTLWKWREGVPEALAMVGGVYKYDVSIPLEEMYKLVED TKARAEEAGLLFEDLTQTEPNAEHPILAVVGYGHMGDSNLHLNVSTRTYDKRVEKLLE PFVYEWVQKRKGSISAEHGLGIAKKNYIGYSRSDTMVNLMRQIKNLYDPNGIMNPYKY I
SAPIO_CDS5746	MVGVPGKYKGCETCRRRRVKCDNTRPLCKKCVDNGRECEGYERQ MVFITATLEDGGRCSSHPPRQIGGSSKKGKSQSPVPPEEESPQFVAENPLQPSWNHVI TLSRGGAPQGFRIVAVNTRLRSIMQDHSGREDFSLEVPPYDVPDFPFYGADGELDVTG RCIVHLAPEQERAHGPGSLCMYLYELSVALMKREETFLASPEWMSIPWEQHEKTRLDR LFDIISHIPPILARTEMALSQPVTQVRQHRVQELLANCLHIEHQLDEWYNTASMVNNV PSLWEEQDMEMQIPFLSRFTFIDDISSIMFIYYWMALLRFHRCIESLRVSLYHLSYQN MYGQGIPPPNLALDEYKYQQGRELAGKICRSLDFALRGTLQPDLLVAPWTVAREYYRE MSDTTGDGMLELFWCDSFREKLVEKGQYLSQLVQGKKWTEVDGP
SAPIO_CDS5747	MASSYDRALSVFSPDGHVFQVEYAGEAVKRGTCAVGVKGADVVV LGCEKRSAMKLQDTRITPSKIGLIDTHVCLAFAGLNADARILLDKARLEAQSHRLTVE DPVTIEYITKYIASVQQRYTQSGGVRPFGISNLVVGFDKESKVPRLYMTEPSGIYSAW KANAIGRSSKTVREFLERNYKEDMDREQTVRLAIKSLLEVVQTGAKNIEIVLMAPGKP VEMLSVEEIEGFVKNIEQEKQEEAAKKKTGRTPGTGSATILTRGQEES
SAPIO_CDS5748	MCKHILNAQVSIRAPCCRKWFDCAECHAENETHPLRQQLELVFA CKKCKKCFRKDAQEFEEADEYCPHCDNHFVLDAVTPKAALTVEGTDARVDNRMLKDER MKGEEQRTVFDLKDDADKLG
SAPIO_CDS5750	MGFLESARGFLWTQIKALKPSFLSKDPHFNFISVHYFWIIGMTI FGSILLYGTGKGKLAYVDALFFASGANTQAGLNTVDMNTLNTFQQVVLYIIPMMSCPI TLHGSVVFIRLYWFERRLQNYVRDARNRRGTLSRSKSMGGDNSRLERGVNGRKITILR GSGKRIANDGSPLEPSELPMMATPAGPSDSGSASKASDRDSDSTVGKNQHAEDDGISR PSNQHHSISFAPTVTRSDGAGQDATKFPHHVEDDDDDDEQMPALERHNSEVLRIPNPR EAERGIGPKRLEVGESTPDEDEEDEEHHTGKAKKPSKAMNDNNKGQDQEELPDPLRRR NPVITIEEPDRHHTLHHLHHEPERSAMDDFADEARAIGNTFSPLRFRKPRLFQSKDKV HHADSNDSDESQSRGTNIFAKIRELFGRKEVEEAPYLSWTPTTGRNSKFPGLTLEQRE ELGGIEYRSLRTLALLLVIYFWAFQVFGLVCMLPYIKNKEKYGAVVDAAGVSRTWWSF YTSNSSFMDLGLTLTPDSMMSFHTSTFIMMISWFLIIAGNTGFPIFLRFMIWAFSRIV PRGTGLWEELMFLLDHPRRCFTLLFPSNATWWLFAILVGLNVVDLIFFLILDLNNPVI QDLPWNIRIVDGLFQAASTRTAGFSCLPLNLLNPGAQMLYLFMMYISVFPTAISMRGT NVYEEMSLGIYAPHAEDDAFGMSTMNYISSHLRRQLSFDMWFVFLSLFILSISEASRL EKRDFSLFDVLFEVISAYGTVGLSMGYHTVDASLCSQFSTVGKLVIIATQIRGRHRGL PYSLDRAILLPSESRFAKEAAEPGPGLLPRTSTAVSTGSATGLSRRISTTTRPRTIER PSTSIFAQILQPGPSLPAKMDRRMSVETLPYDLPLRRAATVAASDEDDDVESVHPVTR AKTYTGSSSAVRRASFGG
SAPIO_CDS5751	MNALPIRRPLGCLKTILRQTRYHRQIQRTFATETSSSEPPTSTP STTTSQFRLHKPKTHKPTPFAVYAPPSHPAPTTPKDPMPPFHAASIATLDPTGARTAL FSKSRPDRAKVGDVLMVTTHRGEPFAGALMQIRRRGVDTAIQLRGNLMKTGVEMWFKI YSPAVMGIDIIWRRPKRARRARLTYLRKKKHDMGSVDHLVWAWKRERSQLRSSNKGKH LGTVFESS
SAPIO_CDS5752	MLRHPFASALRAASSSSRIIQNGSVSIVAQQLWHQQRTFTSSNS LSSKVIFDKTSSPELDAVLTEMLHKIILPARLPKKKRKIVFNEKYRQKLQVDPIVIEV DGYEHRFDTLDFHNGTIPASRPLMRQAVRLMSTREDWANLSRMLAGYRNAGRLIRTDD VKDIIANAGKSGNIYAILDALRNVKESDLRIRTLPRADLILYWIQMGAVKSGFAEEET TKAKKWAIMLRELMEDEDHVKNPTWPGRIPLHREPQVVGQVLHMAAARAVYHQDCKDE DGKVAELAETLVHVWPAERGLREIHQSKLSPETKYSEVLRFQDEDDGYLSQSTTCRIS VGSFVLNGIELAKKVVAPELAAQLEPIAELLKRDLDADKAANLKAERGWDVYDKLIAK KA
SAPIO_CDS5753	MLRQGVLRRGVRMFATAVAPREPSAHTIAVSKAQGISNNLTEAI GNTPLIRLTHLSNETGCNILGKAEFMNPGGSIKDRAALYVVLDAEERGLLRPGGTVVE GTAGNTGIGLAHVCRSRGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFENPENY NHQARRHAEALDNAVWTNQFDNIANRRAHIETTGPEIWTQTEGKVDAFTCSTGTAGTF AGVTRYLKTVSDGRVKAILADPPGSVLYSYITSGGKLTERSGSSITEGIGQGRVTDNL KQDIDLVDGAVSISDAKSINMVYRCLDEEGLYLGASSALNVVAAKEVAEKLGKGSTVV TVLADGAYRYADRLFSRKWLESKNLLGAIPKHLEKYIVLP
SAPIO_CDS5754	MDIPRPGLDLEKELTCSICTELLYQPLTLLDCLHTFCGACLKDW FSFQAASAQDAPTPPAPGTPIFTCPSCRSGVRDTRHNATIATLLDLYLKANPEKDRTD AEKEEIASKFKRGQEVLPKINVEKSPEQLRAEEEDRRLMEEVRAMSLREVGVESSLAP TSRRRRGESRDASQDSRTRRARESSARPRGEEGGGRTRSRHPPDSSRPSEERRRRRSE STRRPDETTAAAVEARTRQIEHQSSIRSLIGSTNMSERDIEREIEEFARQIQEEGILD GLDLDNIDLERDDELSRRITEAYRRRQRERARQQESARESGRESGRESGRESGRESGR ESTRTNPVATDPPPEPNPLDAQRPREGDSGAGRRRNSAGARMHSEDRSRTQQGSHHLG VQEEPRHRRRTASSSRTSTAPVNPSPIIAPTATRSQTDLRSHTRPANVANPRAVLTES RGSSSPVTVQSPAEGSHLSFASRVPLQNKTGVGPPELPSPTRMQRPRRPTELSLANRS THSSPLDSPNSPAPQRPPLVFVEPAISCSRCNKQNIEYSVHYNCGICAGGNWNICLDC YRAGRGCQHWFGFGYVALAKWEKARAANPSIEPFHILRASRYLPPMIRPVRGDDGWLR TPEDPMERLQSGTFCDKCLAWSNGCYWRCDTCNDGDWGFCNNCVNQGRCCSHLLLPYV YQPPSTHNTPPASPRPPKLPHNTAIFTGSSATNLSSFQSLTFMTKCDICQDQIPPTQS RYHCFECVSSVDPDTQPGDYDVCVSCYTDLVSRRRISPENGPRGWRRCLAGHRMVMMA FQESTVGQRRYIVRDLVGGRRLRTNPVEGTSALVSWCWPQGDQKLGRLVTTDVAATAP AVPEGDGALPEGVVRVQTFPPDGGLGLVARARWGWLPIHRQRGEDELYFPRNAEIREV EEVNDEWYVGSYMGDVGLFPAPYVRLFST
SAPIO_CDS5755	MNTARCLRPIASRFQPTTLPRVVRRFSSNTAYEYIQVSKPKPGV SQVTLNRPKALNALCTPLIKELNQALTELNASDDTSVIILTGSERAFAAGADIKEMAP LTFSKAYTESFIESWSLLTTQVKKPIIAAVSGHALGGGCELAMMSDLIYCTEKANFGQ PEVKLGTIPGAGGSQRLTRAVGKSKAMEIILTGKSFSGVEAAQWGVAARAFPTYEALM EETLKTAETIAGYSRVAVQACKEVVNKSQDLPLRDGVEYERRVFHSLFGSEDQKVGMK AFADKKKPEWTHS
SAPIO_CDS5756	MNTSSPVAYALGIYLLNLFLAFLQPKFDPSNDALDQDMEDGAAG GLPTKADDEFKPFIRRLPEFKFWYWATRAIGIAFLCSWFEIFNVPVFWPVLVMYWIIL FVLTMRRQIQHMIKYRYVPFSFGKKSYVKNSN
SAPIO_CDS5757	MSKSSSSGGGRVIHQDFIARIRYSNALPPPSIPPKLLEIPNTGL SSGQYTTPGFASRLVREQPLNIEADAELGMPLDLVGMPGIFDGDESSIQAPNETPSVH PHDKALLRPLASLSRSKTGDQPVSFLRRTEYISSTIRRSGAGALINKDNLRRPEKRPA PEPDDSPANIRRKIDHGFEVAEKYLNDPSKLKHPLKKNAKVVDVFPILPDLDSFPDAG AYVTVKFLNAPVHSGTSYDTRLLSGLFKPCDRTPAEDAAYAEAMEMWERDPTNHSKPA PCTNYDYYLTKTAEDAQRFRAKFDIDNPENGEEELYTAQGESGPCFQFDRLRAYETVK ETELDHKTKYSHELIIGYSDGDIEGQKAAWYYPVMQRSTIRPQRQKRIDLTRGLEADD GQTVVDQLEVTVEDPSDELREHALKYKEHPHGWDEEEEDGEQERANGAEKTNGGADGE RRRDNSSPVRNGGSHSDEEDAEGDEDE
SAPIO_CDS5759	MTDVAGLVVGVATLWQSCVQVYEVVDSTRQYGMEFELLNVKLAV ERVRLICWGDAVGLGNIRTGRPSGEPGSNPDARLYREEVRSTTLRLLGCIQHVFENTD RLQGYYGLQPASPALTGGESQDLPLSQSQRILDGVFKRAYDNLRRIARERQRETPLVR KTVWAVRDRKKFEVLVAELRGFNDGLESLFPEAQLRAAEAMKSDINTALQVRELQLLQ EATAGEHEPLSECASLRLEALGATESARTELLSKTRSENGSQATAENVVAEGQEDVDE EVPLDGRQSGEEEDELSKRLKEVELYVERKSVGALTLGLIGPSPGLARVSAHVYWDGD KRDASFSSYWDDQDKGFVSTTHTAFEMYKKKYMRKRSQDKYEPLDDEDYTLLDPESHA KFENLNPGTVTVEGYGLECWDFEKTKPREHTVMVSYAKLPEAPARKLLRRLNELQTEP GKFGWSPEKEELDLKEFVGTLGIVYYEPKYAQDRNRWVGDLYSLLNRTDIFADFTTAS SVGLRWATPGDENCIGLWNFLRQIIIGRELAVRLKHLDGGMSYSGFTERILATLIISE LWLKHVQIVLKDEKLLTDGVKGPETAEERAKAEDFKSKGNEALKKNEFQKALDLYTEA IKIDLGNTVYRCNRSAALLGLEKYEEAEEDAYVATQLDPKYAKAWSRLGMAMLKLGHG KRAKKAYERALQVAGKDATTQMHQGLAGAKKLITETVKAINSETDKEKQHGLESSFLD EDWEIVGKTPEFHSSIHEQQVEGLLVFAERMKWPYINEVRDYAEDIYSNLRAGEIINI NLHDWLYGTMLPGKWFSFKIMTALIFCTPSIDVNKVAVAPYYDCGLSLPTRSYWRVRT VLGRVLGCLPGVISLCGWIGPCPRVEFDPPVQGDKPRHIRIKTRRVALTDCNSDSDNA VIHIGSRYARQEATRIQPNEEVGSYLAEMRDPSNWVVPEPPVRDVGTCEIESIRLKRL ALDINVAQNVAKGMLDDLGVELKTEYRASITFKMDNNESAVTYKLFTNPVFVTPPPCR PGPKGAHEVHIRELPRFQKNIWSIERLKDHTAEDSDDDEVMVINATGKGAEVLARAWC SERGKSAVIRRIGASGCGAMIVAGTKAPTLAGMEEEEGRWAPGTFG
SAPIO_CDS5761	MVLTSVLHDALNTINNGEKAGKRQVMIRPSSKVIIKFLQVMQRH GYIGEFEEVDDHRSGKIVVQLNGRLNKCGVISPRFNVRLTELEKWVVKLLPARQFGYV ILTTSAGIMDHEEARRKHVAGKIIGFFY
SAPIO_CDS5762	MVRNSPLKSIFKYVPSALSIDSCEETSSFESDVEEKNAPEVSEE VEVSADAASKGQMSVLDALKGVLKLALMHDGLARGLREASKALDRRQAHMCVLNESCE EDAYKKLIIALCSEHKIPLIKVPDGKQLGEWAGLCVLDREGNARKVVNCSCVVVKDWG EESQERSILLNYFQTEQ
SAPIO_CDS5763	MAKAKGNDKKAAASAKGKGGKADKEEKQVKGAQSINVRHILCEK HGKKEEALAKLRDGAKFDEVAREFSEDKARQGGSLGWKTRGSLDPKFEEVAFALEASS TGNPKIGEAKTGFGYHIIMVEGRK
SAPIO_CDS5764	MPQSEGSSPTHTRANSNASTAHAPKRAKLPVLARICDDIRASIL GYRPPGPWPHLTELSSLIAAFLQDEETPSMHVNFETIKACRLDKLLEDILDPKHHPPR PPEELRELVANAERLQTAWTGRFGEEYSSIDEMRGNELVQAGQLRDLYFSIQDGKPGW RIRRFRRPSEELTTTAFEPGQWFVNMASAYRNGMAGDSMETLSKNGMKVLPLLWGREE RNDRETTRYTRDGGASEMVHSLLSHVGQRIHILRGHKLRSPYAPEAGVRYDGIYILRS FGLKLDPLTDTYRMTLIIEQASGQERVEAFQAIPRPSQLDDWRLYERIEEAEIQRVGK DGFETWREMQKEEDRVAF
SAPIO_CDS5765	MASTRPDIQRSSAAFDTSIGITERVSTPPTFWQGIMRHRRTDFL VNEIQKDGSVLHLRNCNIEAPKDNNRPPPTPSLENDAAEKPDIPVEDVAALKDLTSTE FAEKLVDMYKSANAQAKTSASTGLIEDKATRSKIHQEVRRIFKSEIDTTTDPTGAIVA SKRKSNRTKNKNKGSKLRVDCPGSGEFLHFTLFKENRDTMEAVSLISRMLALKSRSIN FAGTKDRRAATVQRCSVRQRTATDLARLNPKLYGMKTGDYMYSHDAIRLGQLKGNEFT IVVKDCHFSQDQGKSVSERVETLQSSLQGTLDSMYKNGWINYFGHQRFGSYATGTNKI GMMIMGGKLEEAVNALLQYDPSVLDDPPPADLPKSNARHDEYNRALACHVFQTQHNAT QALKHLPKRFVAESTIINHLGRSPSARGDYAGALLHLPRNLRSLYLHAYQSYVWNHAA SKRWALYGGKVVEGDLVIIDDKSAAAEQTEAGEDEEEVVTDLPEDDDDEIAVSARPLT AEEATSGAYTIFDVVLPSAGTSTLLPKNAVGEFYREFMGRPENGALDPLNLPKSHKEF TVPGRYRHLITRFHDAPSVEVRAYADDNEQMYPTDLDLLMKEKREQEEQARKQKRGGV GKRNLEDEEGETSGENPAKKTKVESEPGVEAPADVEMAGAEETNTPVADKVAAILHFQ LGRSAYATVAIREMGLSPDEQAAGSRS
SAPIO_CDS5766	MADLSAHPQGDAPLPVPPTTSSIQPPSTTIPTMSAPAPLLRPAL GGRGGRAPRLGLAIPASPNVKAVSPAGGPAPAPSRPPLPTLNINTPAGSKVSAYSQPP RSSNIQPGQSAGGGSESSAAHSRSGSFGPFDGRASNPTSAGSQYSALSFASQFGLDVS RAQGTPDPVSAVGSLYSERSDGGVGMERDGSLQGLEAFDQLSLDRGRTKDVEELDNEA WQIANMEKRIVQLGNLGEGAGGAVTKCMLKGGKTVFALKVITSNPDPDVKKQIVRELD FNKGCASEHICRYYGAFVDPSTASIFIAMEFCEGGSLDSIYKEVKRLGGRTGEKVLGK VAEGVLGGLTYLHSRRIIHRDIKPSNILLCRNGEVKLCDFGVSGDFGTKGEADTFIGT SYYMAPERITGKSYTITSDVWSTGVTLLEVAQHRFPFPADGTEMQPRAGLIDLLTYIV RQPIPKLKDEPENNIVWSDNFKYFIECCLEKEGSRRATPWRMLEHPWMVEMKAKRVNM SKFLSQVWGWDEQQAAPAAA
SAPIO_CDS5767	MTFEASARPERTVALVSWTLSKNRVNKANPKTPAAKWNRDMLRW LGEAVKKDPEVDIASLLSKNYSDKLKLLKAEPSRAKGATRSFPPDDVRSRFDVTAAVS TVFEPSTELQLFLQNDKSLSETLIDLLDESEVLYKSVWAASVMVFRVKETFAVKVLAN EDNASTEHQSLTYLREHLPGFPVPQPHGLLRFGIYSLLFTSFVPGINLETAWGQLSDS EKQSISAQLNALLSQMRSLPFPDGMTVGGVGGLPCRDIRRSTRVSRKPIINDQEFQDW VFSGAKTVSPVYTRLLRSLLPTLPVKCVFTHGDIRPANILVQKADDGSWTIAAIIDWE TCGFYPEYWESVKATNILTPREESDWYNYLPDLASPNRYPVQWLVDRLWDRNMENS
SAPIO_CDS5771	MADSSKNAPKEAKKGPSGLKLVYLIIYNLISTVAWLVLLACTVS VNGMEGPSFVYSEIGDWVRWTQTLAGLEILHAALGVVRSSVLTTVMQVASRYLLVWGI VYPFPEVADSPVYSTMLFAWSITEVIRYFYFVLTLDGYQPDRLRWLRYNTFYVLYPMG ISSEAWLVYKAIEPARALHPLLPLALYAILAIYVPGSYILYTHMMKQRNKVMKSLKET KAE
SAPIO_CDS5772	MKKTLLLCFIHGFKGGESTFGDDYQFTRSLRDSIAKQLPKINVK VLVYPKYETRGDLGECVSRFRDWLLEKVIDIEVETGTASPTIDPSVRTILIGHSMGGI VAADTVINLTSEKPILPETIGQDLPSPSLHSLMFPYIQGVLAFDTPYLGISPGVVAHG AESHYNTATNALAQINNLWGTGKSTTATSASSTGLKALPAPPVDNAAAAVPAQSGWGK WGRVAMYAGAGAAALAATSAAAYVNRDNITQGLGWVSSHLEFVGCLARAEDLKRRVAH LVRASEELDFGFANLYTRLGQAAGSKNVPGVAGSVFGSQRTFCNLPSRMAAGTWKEAV NDKASDETIAHMSMFEPNNNPGYNVLLKDATELVVTWTRNEWYESSSEPQADREMPQ
SAPIO_CDS5776	MSLPPDLRVLCHQLTSPKISSAHLAQSAQVLTGHVLQCADALSA PQDSKLRDNASEAAVLVHKLKTALKTLLNDRDHRRRFTGVVLVKAVVDVGGWECLRSS EPWKKDPIASKELAVVALARIFTQLHSYQTLVREIATPTIPGFITACLQLLKPSSPDQ PLRTPLTVIETILTTLSAIIPLYPTTSRPFVSQIRTAIRPYLVPTLSDARVIPETLQS SSRRLSIALHFTAPKAGGSDEWTALLSGTVKHFHSTADQVFRAVKETWEPPLGYTASK VVFGEEPQGGGDSADILPIWSGVTSGSQRLVGLLRFMAEFILQPTKSQVSIPVGILVD AVCRVAQIARHVPKSQTWEQALETNPAVSREERDELWSAIPLIHVAALELLLTLCLRL RGNFVPVVPEALDYLVRVFKSGISNASIRCSSYRLLAEMLPLCGPTMTKETVSTLDLI IRACCRDLQQEAGYLKPAAQPTAEAKKNGVVMNADLFLSQKTDEASTSSPRLDPPHLS AAENLLTGLLSHLPQHHIRPALRALLDQTAILSHNKDAMMASVLNPFRNKQSKMYPSI LPFYSQLFPDDQGLEVLRSNMRTSQPSDSSSFSVFKEAPSEEVSEDQDEDVEMAVEDT VATASSFKMADELEVSPPLVEDTAFKAVPVVDPGPKENPFAPRKPEDIPAATKRKSDD EAEEIKPVKKIHRDISMDEKMDNAPATLAPAAAEDDDSDDESVQLVASFDSDPEMDEA E
SAPIO_CDS5777	MSPHKAIHYLRALDEARCDGNWSTVPELVRKVRKHAPERSCLAL VAEGEATISQATLMHASSNRPSTALTVEDLGVATHLPKLLNAIESEDNYLEDKFQAKV CVGWLYWVVGEYGVAAQRLPRSLDEEYTELEGAENVSDWTNVCALKAAYLKANCAARN NERVEALYIFETGLPALTSVWTSKFPKQQLRYWAELFLTEYCMLSSQALQRRERSLED ANSVACFRTWARYWEIQSGSLPGGHGFRGSVPRRRIWCEYYTALSRIVEDDLPFPTGY LGKLPAEQHSARTHLRMELKKVENAYESLLLQETQFPRANNEREEVEAFVNLVVKNWS IMCGRGWREYDLGPGGREAMSRGVLEILYRAATKTYHSTAILRHLFTVHMAVAEFDLA FKAYSSYRDIMTKAKARVEKTGVPEPHLDDDATVLMTMSQCALALCRYGDRAAIERAR DVAAELEDFLARLPQIQSGGSESGSLAMPEIRESPLHPQIPPRVLAEAWQAIGLSHAS WSRVTFDSRSRIEIQTKAVRCLRKSLSPEFGRSRSYRGLFALSLLLAERRELTPAIEL VKVALAAGKSADGQGDLIHGPYWQERALIPLWHLLGLLLSARQDYSMAARACDGAFEQ FKDPLILFGHQDQSFRSDHLNEAEAKREKLNARRAVVDEMDFFEKEGILEVKMTQLAL VELVEGPEVAVNASPELLSLYTRLFGTVLPKPPTHPPKTADVPKTSGTLRSIRGSLFG RSSRNTETRQMSIVSDTSLGSARPQTTQTTASAAPTIQITQENGQSVEVRPLKTPTEG AGRRRSQSGRRNSLRKRDPSRSRRRAASTGPTPRTSFAADGESVFTPDGDAQSDVFAF TNRRQPSIASSFSRGRALPHIDSYLSAKPRPVEYADIPTDAYNTMPTPLPVVQFSKEA DQRQKNAILVNVWLMIAGFYRRANMFDDCKGAISEANKIVQVMEAEAAKDTTTPMTLG SAHWGERKSIEELWADVWAELGNLAVAKGEPYVARGDFESALTYFPDHPAAIVGLSSI LLDIYTEELLPPPTIPPLDMGDSILIAPEGRGSLVPANRTPLPSTPLGLGTTKLPETT STESESDDDDSDTEGQETPHSPDIKPRDDDLPPPHKATSLPLVDRFAARDRAYGLLSS LTRLGTGWNYSEAWFALARAHEESGQIDKAKEALWWCVELEEGRGVREWNGVGAGSYI LS
SAPIO_CDS5778	MDAPDTYINPSDSRAYWSDVSADVNGMLGGFPLVSRVDLIGSRA FLAKNGVGAKEPLRKVKRVMEGGAGIGRITEGLLTSIAETVDVVEPIPKFTAQLASKP GVGLVQNVGLEEWRPPQPKDGEEKVVYDVIWNQWCLGHLTDDQLVEYLLRCKEVLEPE MGFIVVKENMSTMGGDVFDDEDSSVTR
SAPIO_CDS5779	MAASDYEIWTVEEPYLESHCKLGEGPFYEKATNILRFVDIIQHR VHTVNLTEGPSSFQTIQLDCPVTVTADIEGIDPAEKILIGVKYGLAILDRKTGKYEYV AKLAETPNERLRTNDGGADPNGRFWLGTMTDFNLGEFQPEGGLFRFDGTATSELIKDL TIPNSVGWSPDNKTLYFTHSTSAEVLAFDYDVETGGISNQRVFYKHEGSGGPDGFRVD VNGFIWHAVYGEGRVLKINPEGKLVGEVRLPTRNITCTQFVGTELFITTANDDEAEGG ERSKALGGAVFRVDVGVEGLPLFPYKLQA
SAPIO_CDS5782	MEVPLTAPNGKKWNQPVGLFINNETEGEICSVYSATPDDVHKAV TAARAALKDPSWKRLSGTDRGALLHKLADLVEQNAEVIATIETLDNGKPYTVSLESDI PQFTQVMRYYAGFSDKNFGQVIDAGPDKMAYTIKEPVGVCGQIIPWNYPLAMAGWKLG PALACGNTVVLKLSEVTPLSMLYVANLIRDAGFPSGVVNIINGYGREAGVALVEHPNV DKIAFTGSTATGKEIMRLASKTMKNITLETGGKSPLLVFEDANVAQAAKHAHLGIMSN SGQICTANSRLLVHEKVMDQFVDEFTKQVKNVSVIGDPFEKATFQGPQVSKEQYDRVL SYFKSGVDEGAKLVVGGRPAKVASGKGYFIEPTLFSNVKSSMKISREEIFGPCAVVIP FSTDEEAIEIANDTTYGLGAMVFTRDLVRAHKTAREIEAGVVFINSTDDSDVRAPFGG VKQSGIGRELGEAGLAAYCNIKSVHVNLTDE
SAPIO_CDS5783	MAPPDFPSAKLTLSYPLYACDFDPQDPTRLVVGGGGGAGRSGVG NKITVLNSPTPDDLIVAGEADLSRDEDSVSSLAVGPRKGKSTLVYAGINSSPAELAKG KNEHLRVFSVESTKTRAAAGAAVPSANVSELSRTALFSATHPEEYQRLLRLGAPSSSG TQLGAAASGLGKDHEIALFEVSPTGSVVPKSKGKVELTRQADDIDLLQTGEDSYQLAY CHEYEIYTVGVVKGKGEDPKLVFTTPHNEGTGAPRAQFRCIRYLTPNFILAIANLPKR SGVLLQGIRLPTKDGENGRVAITTKLPKRVGQATALAVSNLTPPTSPGAKIDRVQFLI AVAGHDSSISLYTLEHKFIQTISVLFELFPLHTIKDAHPLQITGLAFSPFTAPKTSGN TRPLAIKLASISMVNTVVVHSIPLKKFVDKSAPARRGGPPRPVRYIVAAKSTNPATKP LIISLSVIVLILAIVAQALLEIVGSSPPILGASKYFNPYGTLRNPQDEFINRVLGDVP RSTHQNVVLREEPVLTGDDGVQIQAGVDYDEAQGKASSWDELTTKQKELWKEKLREQG YWAEKMGEDVFRGVLFGQIAGAIGQAVGG
SAPIO_CDS5784	MSSEAAAPLLGQNSPSLKRLLPKLAALPFAIWNHMSRRSRILSG LAVFILVAMGLTSQSGVAPPVPRISVQYPHSAFNESKVALLIENRPNPILAPLMLHFM AVVPPDWRFRFMGSPESVEHINKSVAIREQVNAGKLDLTYIPTNMSTAGQEMISRFLT TLWLYETVLQPAEWLLVFQTDSILCANSRLSLNDFLEYDWIGAPWHPNGRWGGNGGLS LRRVSTMIDVLRNQVRVEDSEPEDVWLAERVSHHPGAIIANGTVSMTFSGEQHTGEKV HLDDDEMIRKYGSILAAAEAGEYVRDIDGWRDGFYEPMGYHTGGSGSHLHRGVWGSPE MRRHIWKYCPEVKMTLAMDAAKYVPGECNANWAKRDLTGASTEEMDSAYPYGTEIIDG EEYPLLPPGLTPF
SAPIO_CDS5785	MAVISSTLALRPHFIKAAQHITKVRILVVFSLVLTWLIAGLLPH FSPMIQAEFKSRLSEARQKIPKLKVDWKVDEDPRAKYNSQKVALLIEPRPIPHLVPHI LHMISVVPPDWRFLFIGSEKSVVSVGRAFTIKHQQIIGKLDLMVLPSPWSIESKEHVF RTLTDMRFYDEFMPGVEWILKYESDSIMCANSETSLNDWLDWSWAGAPRSANDRFSGN GGLSLRRVSAIRQVLTFQERYNDTEPEDEWFGKRLWVLPNHKVAAGDQGRLAVEDVYF DNAMGFHVREGKNLRDEVWKNQAQRKKIFEYCPELSMIMDMKLERERCEGDNGEGFFG KKDSEKKKAEEEAKKKAEEEKKKKEEEEKKKKEEEEKKKKEEEEKKQKEADEKEKGKE TEEKEKEKPEEQKEKPAPENGSEGQSEKTPDDKASEEKPAEEKPAEEGKKPEGDGK
SAPIO_CDS5786	MLKRPSFLLMVYRPVASSPSMAQRTRIPTRLVATASATASPDMS SLNAAKDFIRFVNDSPTPYHAVASAVSRLQKAGFVHIKEKDPWVSEIQPGGKYFITRN ASSIIAFAVGRKWRPGSPIAMVGAHTDSPCLRFKPVSKKTNAGFMQVGVETYGGGIWH SWFDRDLGVAGRVLVKTSDGAIVPRLVKIDKPVLRIPTLAIHLDRSANFEPNKETELF PIAGLVEAELNKADEKDVAAEAENKPFQPLAAMGERHHPHIVDLIAETAGVSPSDIVD FEMLLFDTQPPCLGGLNDEFIFSARLDNLNMAYCTIVGLLESSTDASLENDSTIRLAA CFDHEEIGSLSAQGANSSFLPSILRRLAAVKATNDDDASWDVEPDSSAAFEQTLARSF LISADMAHSVHPNYAGKYESDHRPVMNKGTVIKVNANQRYATNSPGVVLVQECARRAE VPLQLFVVRNDSPCGSTIGPMLSAKMGVRTLDLGNPQLSMHSIRETGGTHDVKVAVEL FRSFYESYGELEEKIIVD
SAPIO_CDS5787	MAANMQHMMMPQAQQQQLRQQALMSLRQIVYTSMNNHPPQPHGW QASYPVADRVTRATNLITNVALALNSVDLTKATDSGISFEREVFFRSPTKELYEQQMQ AKTQEFFRKREQNEPNIRNTLNAQAAAAAQAQAAAQAQAQQLLMNQNMMQMGRGIGPN QGPGPQQGFPHVQHPMQGGQMPQHQGPMSMNMNQINMGMHMGMPGPGGQPMGPNAQMM GMAGGRPARPQQPGNANDMGAFTAQDRQRALEKAVKLMATMGEPQKTNLRASMARRIS PQQLQLLQSQGRDPVLLFLQNQALQEIQRARMGNAAGQINPAAQAALLQQRQMSQGGP MPGAPHQMPGNNFSQFANVGDLVNQQKAGIRAQEAGQVVVPGGPQQTTQPKPNQPSLP VQGQMNMDQQAAMNQAQLRAAQMPGKQIGPGQPGPMTGPMSQSQSPAQVPANRSAGEG NPMEGPQGMIPGPGPFPAGLDPRFSQANNQRQMASGAGTPNANQTALHSAIINSLTPD QQRNLFSFPPGKQFEIIAKIEQQQRQNMAKNLGQIGPRPDDQQMNRASSGGNVPGTMM PQNNAMNGAPHPGMPVGAGQQQLVNQAMLDSMEFPRPLLGNLKAPPELKTYRDVKQWC AQDPRTQAQLPKIMALQRQQYNHIMRGRAMQLGQKLPPGMNPAMPIAGGAMAGQPLNM SPELQRLIQAPITDQEILQIRNSDPKATAMSDEDVRAHVINLRKLQFMRQQQQQPQQQ PQQPQQPLHQQPGSQPAPVQARQVSGPPQQQQPQQQQQQQMQQPAHTQTQQPGAAPAT KGSGPQKKPNATPTMSAAAARKASAQLSRTQPSPAPAQKNLKRPFPEEAPETPTQPNA PGAAQRSGSQQGHGQPSLPSLATLTPEQRAKYEQALKNQQMASMANRSHNDELSTRLR AISDDEQRRAAQDPGNEVPLSPQQRQELLANIASLASDFGRVGKVLSKWYSITRDDNR ARLFFRTRYRMVRQFHDGERLSQPKEALTITPLEIQRGRDVMRSITKDCQAAFAKSHQ ATNAAQPQAQQSAVPGGGQAPLSAENLKAQNAALNNKAQQQQRQQQHNAGPKTTQAQE PPAPVVQAQAAATHQFQMGATSPHGQPNYMNKAKDMNLHIPPKKRAKLNHQQNQPQTP AAGRQQPSPRATKATAPEPKKQETKAQTPKPTFSCTEIDCDNVFPTEEARDAHMQAEH IQPRENPLKFFQENLASTLGLDLDGNVLGDVSAASQGTPQPGAAVMSATQSKQGQTPA SFAATPMSRGPSMNRSVSGTGPKAQLGSKAGGKAKDDRAKAGDSKDAVTDKAGSAPKS GMTTDPYANCINPKTLFSGVPGFDCVAGGTFTDPSVYRALTPNDTPESSKDSGSSEPN TDLPEFGADLDTHWQPLDDGFMMDLGNINMDSLGTGGVDDLEKSLLLNAQGSVPGADI CWEDANIDFDKPFTFDDRLYTMDAST
SAPIO_CDS5788	MSTSTPERRRALAPLDANKQSPAPPRLKFDSSNTLTKKPVSPVS VRGLALARSPVKSSGTEARKRPLEVFQGDENGIIAKKTCVEVEIGRNDRTGDDFTMST ERDQSASPDASSIFDTSGLETHNSTATDVELGESPQRASVSRAQTRKMAGDLLTRLRL ANYKVRTGQAHVPFTQLEARSLPGRRNSTPLPTFQVQQPSPPSASQTRTTETNEGAED ATGQQPLLASKPQTQTVTAPVDRRNESNDAQLWGASTYRNAGREEPTLPPLLTSGKPT PLRPTAGGEAEKLPSSVRRGGAASSLLRLAKGDD
SAPIO_CDS5789	MIASILRAAPPPSLPQNGTTRLPDLQRISSSPSSSASRKSDDLL LAEGASDSSPGSSHLDSPLAGYNGPTPKTLDGVREAFFKVLATAAKNKEIAGIITGYN QNDGFTGSATTRGTLKIWLLSQAKFNGLKMLELWARLAGFESLQQNGAAFRRYFRRYR NGDADVPPVDEPRGAAVKRLSAAEVDARVPAVQVRLLRGVVSDALKQMGTDLSSAKTE IKGMKKAISKFSETDHLATHLRRLSESGEAGFPSPSGTESKDEDGCPLSLVAQSVNNV LKSSNRKIDEQIAATERAQSVAEMLREELDEKLVLYDTVIKELGTSRRLVKEAKSECN MLRESFVSLQEFKDQLDPHKIEISEAERKLGFLEEELDRYMFKGKLFDELCQEIKMMP FRLENADVAHVYFDALRDWVRAKEAVAAQKWEGLDGGRGVSSMEEVHDFIKGMTESAQ GGNESFGPFESTETSFSWSPSVDDNGYEGAGEEEEEDITRVGIF
SAPIO_CDS5790	MADSSPPPGLPPYIIVFGPSANCTLDLCPLEYSVYRYRPSLPAN IVFIVLYALALLVHAYLGFRWKQIWFMVCVILGCLVEIVGYIGRIIMHGNPFLFAGFM TQIVFITSGPIFYTAAIYITLSKAISHFSPSLSRFPPRLYYWIFIPADVICLVLQAAG GALSTVSSGSNQTGIDLAFAGLILQVIVIFIFCFLFADHMIRFFRQSSPSSSKGGAGH IEASANIRPQRMNIFLGGLAASILLILARCVFRCYELREGYSGETMSEEGLFIGLEGV LIVVAVFALCFGHPGLVFDKNQGAVVEGVVEREKADHSSSSIAGNQA
SAPIO_CDS5792	MKFTAVVFLAAGAMAAIAPEPVESVVESGVTSAAPVESEAVTSA KKWTTSTVYATTVLTITDCEDTVTDCPGDATKVVTETVAVSTTVCPAEEGEDEPTTLI PAPTGGVEEPEPEEPEEPETTEDSSPEQPEPTEAPEPECPVTKVKTITTSYTTVIPTV IIETVVEDCPAPTGGIEHPVPTGSVPGNGTSPGGPSPPITAGAASMAGSIFFAAAAGI AAYAFA
SAPIO_CDS5793	MHYLSKTFGLLMSRRSAPCAREVQGLCASNNAAAGQLLHLECID KVWTCVHRAVEAESQGLVVLATRAGCSAKRDVGCLVTRQEEGEPPAEEEAPVEEEAPA EEEAPAEEEAPVEEEAPAEEEAPAEEEAPAEEEAPAEEEAPAEEEAPAEEEAPAEEEA PAEEEALVEEPPAEEAPEEEAPVEEEAPAEEEAPAEEEAPAEETPEEPPAEEAPEEEA PKEEEAPVEEAPEEPPAEEAPVEEAPVEEEAPVEEAPEEEAPVEEEAPVEEEAPAEEE APEEEAPAEEEAPAEEAPEEEAPVEEAPEEEAPAEEEAPAEEEAPAEEEAPAEEAPEE PPAEEAPEEEAPEEEAPEEEAPVEEEAPAEEEAPAEEEAPAEEEAPAEEAPEEEAPVE EAPEEEAPVEEEIPAEEEAPEEEAPEEEAPEEEAPEEEAPEEEGPEEEEAPVEEEPEE PEEPVEEED
SAPIO_CDS5794	MRLYTFALSSVLFASYGLAQSVVNNLQTAQNCLASIQTAQQALD SAVVGLTANSADAATILPQAIQGVETALNQAVIVMQRAQPLNAADAQILLGSVNSLSY SVRAATMSLVLQQPTLNQLGLVNLGVQTLTAQRVVVSQLGQIVVTKVVPVAVPAFQVG FGHALTAVDVGLNVLNGGGSVAVQLIPSGQIPVQGLAAIQQQCTAIGFVPINAINGIN GIAVQQQQQLIQHCSAVGFVPANSIGGVQQVFNQQQVLEQQCLAAGLIQSNETQQVDA QDAINQCAAIGFAQQATEKRTVSASSADLNERCATIGFSASGGAQQQFVASPETCAAL GLVAQNNDIAAQCAALGLQPGSNSTEVAQQQVQDQTQEGKEKANSNGEEKASNSKAQR REQRIQTQQSQQGEATQQKVTVEQCLAQGFVQANGTAAEGAARNETASAESQRLVRKR MGMIENAVEIAWNPSSEQSLKVQAFEFLSQARNEPQAWQACVNLFVRQSPRPSEVVRL VCLEIVNSAVHTQGLDGASLTFLKDTLLDYTRRVYGPNASPEHLDPAHLQNKLCQTLT YLFVFLYKDGWQTFIDDFYALTAATDGSSRDNVPGVILYLRILGSIHDEIADMLLSRN QNDAKRNVELKDQIRAQDMTRVAQSWKELLAHYSHQNDQIVDMILRLIGKWVSWMDIS LIVNEEMLRLLLPLVGRSNQTGSEDKVRDAAIDALTEIAGKKMKNADKMQMITFLNLR EIVTELVASPPLSELKSTSRYDTDLAEAVAKLVNTIMSDIVRVLEDSQADADTKALAD RHLHDFIPSLLRFFSDEYDEVCSTVIPSLTDLLTAFRKVPLLPASYSEMLPPILNAII MKMRYDETSSWGDQDDQTDEAEFQELRKRLQVLQKTIAAVDQNLFIDAISNLVANTFQ TLDQQGAQMDWRDLDLALHEMYLFGELALPHQGILPKNERATPASERLAIMVKKMVES GIASSSHPAVVLQYMEICVRYAAVFDAYPEHIGPVLENFVRLVHHDHVRIKARSWYLF FRFVKHMRPHVGNVAETVIQSISDLLPIKAEAPGDENDDDMSSEEQDNSEAALFTSQL YLYQAIGYISSAPSTPPEKQALYVRSVMNNLFADMENHLPRAKSGDAQALNQIHHIVM ALGMLAHGFSEGLPSTSSSAAPGQTRRPAPDRAVSDEFSRAAEAILVALGAFNNTFDI RTACRAAFSRLLGVLGAAVLPQLPQWIEGLLSQSSGKDEMAFFLRILDQVVYEFKGEI YDVLNILLTPLQQRIFSGLSEPATGTDDHIQLGELRREYLSFILVILNNNLEGTLISE VNQGFFETMVSCIITLGRSFEGNPQSSKLAFGVMTRMATIWGGPDLATISANPTAPVG SPSPAIPGFDQFMIQRFHGVCWEVMQDPQFKPDLDAQTKQVLTEIAALEQVIYKKTGD VFIQNVQNQLFPNIGIDGTEFLRSLTSTDKKTFAGYLYGLIKSRRS
SAPIO_CDS5795	MDDYFFDEFVSECKFYLEDTQYLEIFNYSGAFEPPKLRAGVTCT SSLRLVLQENAQQPDTFSPYVITLTHDEYRAMVRGMRLPFRAIEGTGVVGPFFWSSLD QEDEDPNLQMIFRKSDVRKKGKTRGWETMLSYNFRTRMTTGYAKGTPSSDIGKAISHI RACATQIVHPLLLPMILLSYQVAPDNEIRQRQARDWLRRLEHAISGRDEIRAEESYVT AGILDMDGITRDMYECNGQVLWKKPQAYIDTILELERAMGRFKTGTGDQFTRELDKLH KSMLARTEFYMVKLKGLENYAWTTLERLRIQREALYNIAAIRESKLSLQIAKEQKYVA HAAKHDGTAMKTLSLLGALFLPGTYLASVFSMTFFNFEKGADPVIAPSLWIYFAITIP VTAAIVGTWIFFNQRRKSKFRKAQLAVEDDVEVMEREIMATIRRKTLSKESTWNSLSP RKP
SAPIO_CDS5796	MQHIVSTGSPVPSEIFALVATIIISITVLLILRYFLPLRTTPAF YLVPIFFAIFLPAAIVLLVPIDLASSARTEDDAIRGIWLPERVLLVSWRITYWLTFAL TWFLLPILAEYSDSGHRDPYGKLMYSLRSNAQFHAIVLGSSFVGLIYIFIKYGVSLTS LKSVVMALAYCWGLVFAIYLMGHGLVSIPRQLFRNASISGRLRRLQSHAPALHEKMED SLLTLEDIEAQVLELSRRKVGSARDFGDWIEELVEIAGLPDSRPVTVDNTIGGESRIL PTVITEQYMANLTRELIRARHARSRFVGEWNRLVHEAAKTQTLLDSAASKKLDVGELH PESSPWSRLTVLTPYSRYLLHYHIMPYVRIFTGVLLALASACIVWSEVVKVALPNLSI IRFTVVHHWVGEKGQVGFAGQVLSMFWLLYMCAATLTSITEVKVWRGRALTRRNTAYE SAFWYSQQVAKLSVPLSYNFMTLLSPKIYKKTVFYGFLGQLIDFTPLGRWFDYLFPIL ILFPVCATLFGIYGRVKRLLGFGLDIVDDEEEGGGRGSHGAGLWREGRDLIERDLSGH SLSRRRDEARARAAGGPPGRSAPILSIPSARGAGASSSSPLRSPTQPSNPRRLGQPSR DAVWNDEPTGDENFFQILGHRMKNTIDTFESPRWLQDLGEGIKNPPWTNNGNEGGGSG RNNGNQSDIRRWFGGGNDEGRIRL
SAPIO_CDS5797	MADIEEWSANANEAVRICLWRPSKEGPVALGPPFNAQFTYPLYG EDEQIFGYKGLKININYRANDMRPVVKVSYQAKFDAVGDTEPADIMTPLKENLPDIAF SGYPDFVENTKKLSLENTWKPAGELHATMQDGSHTYEIWKGTLVDPGIKQLVARIQLL PLLFIEGGSPIINPKFDAVDDRWTVWSLYEKDEDGYSFLGFSTVYRFYYFGKRIDPPP PPGTRFELPVGDFDLGQLPSRARLSQFLILKPYQSRGLGTRLYKTMFQYYYDNPLTRQ LTVEEPNESFDDLRDMCDLAFLLTLPEFRDMSFKQGIKLDMKGLLPELVDRKAVDALM EKSKIVPRQFMRCLEMHLMSKLPESVRPRLPTEGKSKNKPTADDKFIFNVWRLFVKSR LLVHNVDVLGQYDLGPRLVLLEETMDNVQFDYIRLLNKFQRRAQRDKPKRRLDDDDTE DASASKKAKVEDVEEDGTSI
SAPIO_CDS5798	MADPFEVRMRFTKQLQQLNASVTATQKAAQYALKYKDMDEDLHS CIVEQLEQNRNMNTRANIMYFIEQFLQLASKDGHVNYVRMMQRDIIRVVDAVAPDDGT GSANVKVVRKVLQGLCNKGFFQQDLVLEIEECLKDRVTKDFSLESPVASDSQAGRPAP KHSTFEDVRQIDRRIEEDRERHKRLRETMWAVPAGPTDKPEWEKLWEETSDWGSDDDL MAKEEREMKEREWSSYCTHYNG
SAPIO_CDS5799	MSLSYRYAAQRCARQLRSAGSFRYAAPIVSTPRRWNSTEAAAAP ENPKIAAIVDQISQLTLLETADLVSSLKTRLNLPDVPIGAFAAGPAAGAPAAAPAAEE AEEEAPAAAEKSVFTLKLTGFEATSKPKVIKEIKNLLGLSLVDSKKFVESAPKVMKEN VPKDEAEKIIETLKALGGTVTME
SAPIO_CDS5800	MAAADISSNMVSLMRDSETLGLLPPEILEVVRNHAASGMLDAIA NAALSPRLTDRLFVHFQHVFADASDFKLEALIQEHLGTADPVLGDFDGLEVDYGFLSL HEHSRVNAVEKLRQDIARSGTHDGPIFAPQELTPHVVSYGRVILPRPSGTFVQQSSLV VTSTVRDNLEHLASLLRNPGSILLHGLPGSGKTSVIKELAKDLGMSSDMVTLHLNDQT DAKMLIGLYSTGSKPGSFQWRPGVLTKAVKEGRWVLIEDLDRAPAEVVSSLLPLVERG ELLIPSRSERIKAASGFRLFATVRTSKGMNGQETLPHLLGLRFWQQLAIRPLPERELE EIVRGAFPMLDKIVPQILSVFHRLTRTASMPSIVASSRGIARQMTLRELLKWCRRLQD QLLASGSTVTISEETRERMFMEAVDCFVGHLPEGKGKDELVFAIGEEMHMAKAWVEHQ MTAYVPVLKDSESHLRVGRTSIEKHKNPTIQRSKRPFADTTQAKRLLEQLTRSVQLRE PVLLVGETGIGKTTVVQQLADIVGRKVVAVNLSQQSEVGDLIGGFKPVNALNLAIPLK DEFDELFRATGLAAKNQPYLNRIAKYITKRQWSKASKMWVEGAELFKKLLQVEQKRTR ENGEPPAKRQKQEAPSRLRHLVDIKSRWNEFEKSLDQFNAQISGNSSAFTFSFVEGNI VKAARNGDWVLLDEINLASSDTLESIADLLTDPGETPSILLSETGEIERITAHPNFRI FGAMNPATDVGKRDLPVGIRSRFTEIYVNSPDRDYKDLLKIVKAYLHIKSAKDEQAAD DITRLYLNTKQLAAEKRLVDGANEVPHFSLRTLTRVLSYVREVAPFYGLRRALYEGFS MGFLTLLNRESEELLVPLIAHHIFGSGNSRSSILSQRPKLPDDGRQYIRFKSKSQDRQ YWLLQGEQTPVESEDYIISPSVERNLLNLVRATSNARDGGFPVLIQGPTSAGKTSMIE YLANYSGNKFVRINNHEHTDLQEYLGTYVSGTDGRLRFQDGLLVQAMRRGYWIVLDEL NLAPTDVLEALNRLLDDNRELLIPETQEVVKPHENFRLFATQNPPGLYGGRKVLSRAF RNRFLELHFDDIPEDELEYILQKRSRYTAPSDCKRIVTVYKELSHLRQTTRVFEQKDS FATLRDLFRWALRNAENREEIAANGFMLLAERVRNEDERIAVKEIIEKVFRVKIDLDE LYSKSSQALRHLQENRQGVVWTHGMRRLYMLVSQAIRNREPVLLVGETGCGKTTVCQV LAEVLGKELHIVNAHQNTETGDIIGSQRPIRNRASILEALHVALQSAMEALKQDADGT TDELLGRIRQLPAEALSAIPEDLRTQIETLEIRSKALFEWSDGSLVHAMKTGQFFLLD EISLADDSVLERLNSVLEPQRTILLAEKGIDDSFMAAADGFQFFATMNPGGDFGKKEL SPALRNRFTEIWVPPLSGTEDILEIVTRKLVKEVKNLANVIVDFATWFGETFRSASAT AFSIRELLVWVQFVNQCHGVDPLFAIVNGAATVFIDSLGANPSGLIALDSQGVAIQRR NCLNKLSELLRADISSIYNSNPELTSDDAKIAIGGFAIPRISNEVDEKDFKFFTPPTT RLNTLRVVRALQMQKPILLEGSPGVGKTTLVAALAQVCGQPLTRINLSDQTDLMDLFG TDVPVDGAEAGNFAWRDAPFLRAMQRGEWVLLDEMNLASQSVLEGLNACLDHRGEVYI SELDQVFKRHPDFRLFAAQNPHHQGGGRKGLPSSFVNRFVVVYADVFSGEDLRLIASN HSPDVAPETIEKLIRFITELERKIAQDRSFGSLGAPWEFNLRDLLRWLHLLHSSDPLL GTAKVDDLLDIVVRQRFRTERDRQEVTKLFADIFGESPRTHSLYHDINSSFCQVGLAL MQRSHLAQPVGLQNIDLKTRLSNIETLILCVTQNIPCILSGPSGSGKSVLVQHLAALA GKSLVTFPLNADVDTMDLVGGFEQSDPLREVNSVLQELQETLQASVLSVVPGKAPADA LQLLHLLATTAEVPEPTILLPLAESLLSLVSPSSEVGAVLTRAVETLQKPLTVTNPRF EWLDGVIIKALQTGQWLVLDNANLCSASVLDRLNSLLEPNGFLSINEHCDPDGEPRVV KPHPDFRIFLTTDPRYGELSRAMRNRAIEIHLFEPMAEQTRHLRWVTAIESTLQRYHL SLSMSRDDGEDSAKAITALAFERLTKADVHLLPRFVKDGNSQAYYKCPEVAKQSQQLV EFLRADSIRDLSASISNMYSSLPAINSGNIGDAQPIFPIKNLPIALLLSKTVGPQPFR LAICYEYHQDLQSLDTEIRSQAMAARSSKIASLNRLQRSFVAERVTAVSKDSTVDVAE FFSAALSLIDGFLRARDAESDWRSQAYNPAVREFVTDLLKKIDRHFSLGFSLKTGLGM EDIWRTFRPDLVPDSAILKRSADIITLAKRFDSLKWKIGSPIADLAKAMSTFSMAYGI VRSGRAGAEALIMELATEIQSLETRIGLESADVVPFFTGNFETLRQISVLRDFKLGNS IKGDNITVLSNVPTLAQLYLEDTHGSAQLLQAIDYLACQDYENLAWRGRLLPSVLAKA GGIGATTLNSLALLEMELPQLTTFLSQASRAITSSTFVDLNAILHKLVISFVEALEPG LSDKLLDTETRLHQVLATKPLKFEDRQTWFPEDLIEPFLGVAPHILEVFKDNLLLSWC SLIATSLGGTDAYRFAALAWVQFAVGALRLYVPNRVFDPHLRLTLEIESYKELKENLL SKLSSLVTFESQFTGQLSNLRIELLEREIDNLGPLPADNDKVYRPERSELSQIHAEFN NVLKATVYSEVATSSLHDLPEEGLHLVTENVSRVISRLSGYFEAYQDMIRPVVNFLRI MQVGLSLNTEPARLSESTSELISATPFLGGNFIARAPSLQNKTFEFIDLMTISSAVDG VDNFSAEDREAVSACFHAFYNEWTRKLEADRKEEEAKQSLYRFKGSFEDEEEVNEEEF NELFPTFDGEEDEQTAKPSLKKYKVRDMSIKVAEAHRNLLLSTPEAPSAIRTALLSIG RKMASDLSEKSTVDQDLSNKLLPGTLLTLSDQLSSLNMDGTPSNYSFYTDANLHEARQ LVHLVNRIKTRFRELQLTDEIGHLQPLADVQASCEKVLQLVHIEPLAKMLPKVEHLHA MVYEWQFGGWASRVHSVPELYSRLTESIVRWRRLELSTWSKIFDMEVRRCHDDANSWW FVAYHATIAIPMALQEKGNLKEYAEKLVTDLEVYFTSAILGQFSTRLSLLRQFHKHLQ LLVLDYPALSIVHTTVGSFISMYQRYQKVVDEEITRGRLPIEKKMKDVLLLASWKDTN INSLRDSAKKSHLKLFRLVRKFREVLGQPLRLVIEKGVPDEEYPLTALAEDMSLAKVD KDSTELASREMLNWLGQFKPLVNVGQTVSRMTQVGRVPEFVGQAVEEVDAFISSLSTS MQELRKETPSVLTDENKDLVKHLKARKRKLFADVLREARTMGFEYNLDTKRLAQQESL SAILATCGSLAFFSPAAVEGTEYFFHKFIDMMPKIRATATDHSEEITGREVARSIGYL EGMLSAILNQRRSLSKGKSLLDIEAAVKELRDLSTGGTNDKITNSRKNSNLSRTLKWL VEVLRYAIQLIDTHAKLGQVDNSVCQGILQSWLTELGDLSLKEQESRKLPAGLTSSDR EALETLISERLQVFEHEVNAMLLQFDNVAFVLRELLNWTSVSAKDTEKLEPQSLLDFA GEVVTLSKKILETVAQFQKAADDRDTKSHVGSSKNLDTMIQKLRVESVARNLKQCVNS LGSVDLSIIENDRSITALMAMFVPIVDQYAIICRNSYTRLLELHRSTVRLAHCLGKAY THLASQGFCTPQEKSDDTSGGEGKLESGTGLGDGEGAEDISKDIQPDEDLSELAQEPN KEKDGDIEDEKDAVDMADEDMEGEMGSVAGDYEEGDKEGDEGESENEMDEEAGDVDDL DATAVDEKMWEGDGEEAEKDQQGEKPKGQKQDDEQMAAEAQDQDMSDKEDADNDEMEA AEEEPQAESEDVKAQQDVERQDQNVDESEALALPEDMDLDFGEDQESGTEDDGLDDLD SLGDKDERGEEKEDEANMQGEDDEPADETKPSDVDGEQAEEAEQDDEDVVGDDAEVPN DQGIDEQGDEEQEEDQAEQDNNLMEQPSADPVDTDPQDVAPSDVKSGGQDQNKDTMDV DEDFHNNSTRQDEGASGDGSDSQQAQPGGQGKLSQPEEAAQQQNQEEKKEDEAEASRP EPFRKLGDAVEKWHRQQNEIKDSRPEDDKNEQANPQAEESEMQQREFQHLQDENAVPD TQAIGAAAEDEVQPIDDSMAIDEEKQDPSSNVLPDTEEKEVEQDLEKMDTAEPEDMED AEANDGDDGRSGVKTRQGAYNRERSPSPALVEEDETDENEEDDEVLETASVLSATHLT DEARPLREFTESMQQWSEFQNKTQSLSQSLTSHLRLILTPSQSTKLSGSFRTGKRLNI KRIIPYIASSYKRDKIWMRRSVPTKRAYQILLCVDDSKSMGESNSGNLALESLVMVSR SLTMLEAGQIGVVGFGADVFTAHDLAAPFSSHDAGARVLQRFSFSQDRTDICLLIRST IDRFRAARLQADRGGEDLWQLALILSDGLTQSATHDSIRRLLREAAEERIMIVFIVMD DATKRKGDSVLQLKEARFVKDVDGTSRVVIERYLDTFPFQYYLIVHHLEDLPNALSGL LRTWFAEVNA
SAPIO_CDS5801	MSIDKTVMTKEDSEESMRSSPENEQATNVPANSTPQEPQQPKRK GGRKPMKIYATSEERKQRNRQAQAAFRERRTEYIKQLEETIQIHEQNLHNLQTAHRNA ADECLMLRYKNSLLERILLEKGIDVQAELCAKTASPNLGPTHVPQTLAQPPPIQRAIM NRHHQSRRSISSIAPKVEPGMGQLATPPQPPSVLSPKNRHTPPSHTNSPTSSAPTFGS QPALSPPASDVGPLRTPAAVPQHQLSPVPGPGPISPPTARPVPVMAPTSAAANPRLAT VSTASASVTPTTTPGTVTSPTAASGYYTTPSFQNHIEQLEQEYDAQADIMDDSEIDTP SGPGPYPAPFSDPNQTMAMTPTSPGQPRQMPSGAQAVQTSGSMQSYGNLTQLLEPNLE WDPFGLSASMAFPSQFSFDQSNLR
SAPIO_CDS5802	MSPPRRRSKRLAAGASAKTPKKAPTPSLDTVSESNEPIHDASEA KKQSRPAIVKMPSTPSSSSPIKPPMSEMHPSKAQKTMAPPSSDLRLGFTDIRPSEKKG NQLPSGVTQVTPSKAPPSSPFTFTFTRQGTAADLGLSTRAQEMMNELRDEAARIKAEL AAQREREQEEETQRNGGRRIAKPKGKSGRFSAAHMAEFKKMDSIENHPSAFRALRNQL TPVAGIKRSSSRANLDELASPITLRSGIKRSQSKARLDEPETPRHRKTPAAGSPIKSR IPVDSNPPTPAKRFKQRLEDDASSSRPVSRDTSSIPRPKSSGVDAVPGSLVRSQSTMN LASSTKSSLARAAAKGPTVSLVKSPSKPELSSPKKLATAGNPTAGVATPTRRILSPGR FEKVKSILRGQKGDNEKKPGSALPLPAGVSKTPHPPRVDKALPPIPVCATTPRRKLSK RLNATPLRKEAITAQKNSPTPMSSGIFKFRAKASQSSRAEEPQYPSVDSVMAGTGNNT GDVAYPDLSVFSGMSPSNREEKSGSMPPPSVPGTFTFRSDHTISFKSVSPTGFGGSAG QSSIRHVRASILPIPGSFPNSVMDAGKANVTSGSNKENKSPMKILPGISHGMRNKKRH RPSSDEEDAEREEAERAAKKRKNEHVPEGDVLFAPRLVGKTPTSIKKAQVSRVGSQPL TRDSPVKRKPGISLSRLKMLSQPKSRN
SAPIO_CDS5803	MHLLRAIVLVSEILAAAALALPHSQQQAAGNNGVQTTRGRGRGR NRNNGGAAGGAAGGAAGGATGGGATEGGEGEGEGEGNEIEQQGQFNTVIELGGGDIKT DTLFPPGVNGVLEVEFQNPAARQLAVVENTKPGNAPVGFDFLEPVSYQIAINGGTRGL TLQKVDYILNAGNALDISKGQVGRLCTETDTFVIGAGVGELEFEAEENELTLTVDDLN GEWAFFVPTGTGAAASGSNSTTGGSNSTASSGATPSAAAEGATAGTGAACQTLACQIF QSLGIQQ
SAPIO_CDS5804	MSPESTRLTRIGGRSRRSQYWSRLQRRDDEGDDESGDENDGQND SDDDGEDEAQPRLPPPAAVVPPPPAGQGTLPQPPVVASPIPLEAPPATTPTSAAAAED TPLVVAAPILIPGTVTARPLITLTAVAPPRVVVPSTLVTSTRGVLPSSSSIVTSRVNS VSTIPGNASFTTSLSNVIATSIASANPALSSALRGGVVGGINTTPVIQTPAFSQPTIA ATTAVGAVPVPTGAGEPAVASSKGINTGQIAGIAVGASAGIALFATGMYLYKKKKRTS STTPSGSETPISNPQIAPPPPTMPKMTAPMRISELMRAPDVPRRMELTNSMQILAYRI KDAFDSDNRNNGGRPESQEVPFVDPRTYMDLSGQRTPPVPPMPYGYQSPSWEESGGRR Y
SAPIO_CDS5805	MSLGGETLHFGPRSRANTDTTMNTTFNEKSKLGAAGSKSAGILP LYNGIRAEEALRPDKGHEKDFQVQNNPFGVTPGELNKMLNPKSLAAYAALGGLPGIER ALRTDISSGLSADEGKLTGTVRRAVEAAQERKSHAAKASTTLQPISDVNHAVDAKEQF VDRKRVFKDNRLPPRKTYTIAGLLWRAYNDKILWLLTVAAIVSLALGLYETFDGGKNV EWIEGVAICAAIIIVVVVTFFNDWQKEKQFTKLNQKKEDREVKAVRSGKAAMISVYDV MAGDVLYIEPGDSIPADGIFISGHGVKCDESSATGESDALKKVPGALVWEQISSGTAS PEDMAKLDPFIISGSKVLEGVGTFLVTSVGVNSSYGKILLSLQVKTEPTPLQVKLGTM ANWIGGLGSLWAGILFFIQLFRFIAALPQNPGTPSEKAGEFLDILITAITIIVMAVPE GLPLAVTLALAFATTRMLKDNNLVRVLRACETMGNATTICSDKTGTLTQNKMTVVAGS WGKDQKFSLQPSGDDEQTFTNLFKKLSAGFKSLLMQSIAINSTAFEGEEDCQKTFIGS KTEVALLILAQNNLALTNVAEERSNTQIVQIYPFDSALKCMGTVIRLPSGDYRLVVKG ASEIMLSKATTYVANIDSDDYAVVSMDEIAKQQVSAQIQAYAERSLRTIGFLYKDFPV WPPVEAEVLEEDKSMAKFASVMSGMVWVGLVGIQDPLRPQVTDAVRRCQGAGIKVRMV TGDNQVTARAIATECGITTENGIVMEGPVFRQLSDHEMDAIIPRLDVLARSSPEDKRI LVERLKKLGETVAVTGDGTNDGPALKTADVGFSMGIAGTEVAKEASEIILMDDNFTSI VKAVMWGRSVNDAVAKFLQFQITVNIAAVTLAFISALASDDNSSVLKAVQLLWVNMIM DTFAALALATDAPTDSILDRKPTPKSAALITMNMWKMILGQAFYQIVITLILYFLGEK IFKYDFAANPHQKHELDTMVFNAFVWMQIFNMFNNRRLDNKHNIFEGAHKNYFFITMA AIMVAAQIMIVFIGGQAFEVVRIDGVQWAVCILVALPCLLWGVILRLIPDEYAEALFM AIAKAFMFVFRPFWKVMRLVFHPVAEIGRGIRRWRKGKVIEGVDDSD
SAPIO_CDS5806	MAPVQRDIHSDLEAQIKDIIQDLFKVMVVTSHYDTSGRPSKEVL ANSLKTLSTSLQTLNSTATDPTLPLPQVPPELVKYVEGGRNPDIYTREFVELVRRGNQ LMRGKMHAFATFRDILAEQMAGAMPELKPDVDRVLEETRVGSSATGGVRSGSGAAGGG GDGTSN
SAPIO_CDS5807	MKSFFKKPSKHPHPNEDDTYYSSEVSSPTKSPTKSSSRSPSKNS TAQPSPTRKDPSKSRSSSTRAFVRQSTDPGHSSSTRRKKTELNTHPLNLPEEERKRLS SSALSSMSEPSAMDIDEPSTGATASSPPTPPHQSPPQPQPQASFSVPITNGTKDVNGD DSAPPVPPPHRSTPTSPTPTKAEEAETYKAAGNKFFKEKNYADAIRQYDKAIDLVPNS STYLSNRAAAYMSNGQYDAALKDCTRAADLDPQNPKILMRLARIFVSLGLPEDALVTF NRIHPSPAARDVAPAKEMLHHMRAAQEALRSESKGSMVLHALNQAERLLGAGASKPRK WQLMRGEAYLKMGDPTSLAEAQNIAMSLLRFNSQDPEALVLRGRILYTSGENDKAISH FRKALACDPDFRDAIKYLRIVQKLDRMKDEGNKEYKAGRWQEAIRLYTDALEIDPANR GTNSKLLQNRAMCRIKLKEYESAVADCESAVKLDPKYIRAHKTLANALGLAGKWEDSV RQWKAVHDLEPEDRSILKEIRNAEIELKKSQRKDYYKILGVEKDADEAAIKKAYRKLA IVHHPDKNQGNKEAEERFKDISEAYDTLSNPQKRAQYDNGDDLADMSDMFGGGGGMGN IDPEILFSMMGGGGGGYGGGGFGGGMGDTEDEEDDEFEFEDDDEHEEEAEDEGDVEEN PHAGRQQSPRGYQSMRSKLDDHIRARQDDRDALVDHLRYVGAWLVAAFVLLPPHLAVL VALAGAILYICIQRPQQPWH
SAPIO_CDS5809	MKNTLLLLSAQAALVAGQCPNLHIFGARETTVSPGFGSAGQLIN MIINANPGATSEAITYPACGGQASCGGIAYGDSARQGTNAVASQVNNFHQRCPNAQIV LVGYSQGGQIMDNALCGGGDPGAGITSTAVPISASAVNMIKAAIFMGDPRWQYGLAYQ VGTCRAGGFDARPSGFVCPNASKIQSYCDAADPYCCTGNSQATHQGYVGVYGQQALTF INSKLGSSNGGGNTGGGNTGGGNTGGGSNCSAKWGQCGGQGWTGPTCCQSGSTCQAQN QWYSQCL
SAPIO_CDS5810	MASHGNALSKLQPSQVRTLREGFQILDRDSDGVVNRDDVADMLN QLGLPSGPSDVARFFPPSAPQTMTMPLFLNSLATTLAAMSPSPELLSAFSAFDVDDSG QIDLAELREALLNTAPEPGERPLTEAEVNMVLSGFTGRRAFNRHMNPGRGGRNEVFKY QDFVHSIMGSNGGSESEEAKE
SAPIO_CDS5811	MEDVIGPMLKSDVVWDTAATVYFPPCAEASAKTRNAFRIQTLAA WLWVSSQFPFPPTRTLHLTDPFPLETEQQHALGVAIEAQQQR
SAPIO_CDS5812	MASAQTVDPISRSAPRNVPQVNANGPWPNRRTSLSSFPASRSPS QMSHTSRPSRSPQPPPLMEADRSSNPSSKSKTPSIGVSSQHSSLSGSGPRRYNPDAFP PRKLRSQYPRGSTENHVEYILVASFDIDRGPVMEHQYPVAITGDEHMLAELMLPDQAH VRNQDWTIFFLHKDTSQEEEDEERRQKEQRRRRRRRKQNRAAGIISEEDEEELEEDEA NDPDDWDDDESTDSEPEGGEGPPLIYVLNLVNTKQDKTVKRGAIVKAMAICTRHPFLH IYKPLLLLALDEYFKSPVPETLAMLYDSVNAMDLSLMPKLSLLERHLLQASDNKDLFV EKFERMIQLRIAEDSGEHVSDQPFDASRDPPKPPGISRAGTKAHVEGNSAYSVPRDTH EFESKVMYKGIPIPIKVPVAVMPESVGDFSLIKLIQTFSTSHIKQPLSYSPHAHLTTN GANTHPIIVLVNALLTQKRVIFLGHNMPSGEVAEAVLAACALASGGVLRGFTRHAFPY TDLTKIDDLLNVPGFIAGVTNPTFELHPEWWDVLCDLSSGRIKISSRIEPAAATEGMV YFQQQNPTYASLVQVHASGSSSTPDLTNDTAFINDILKSINARHGERVIRAKWRDWVT KFTRIAAAFEETVYGASALYIGSDDLEGSVTPGPPNGHGYVWVDETAKLRELAGNVTR IEGWRNTRSYYSFIQDLAQLYTVRPLKGLDLHHMHDRLRTQRLTPAQSKDIYLAFAKH VHSYDEICLLLSVAPESHAGLFYLALGLFHKDKEVRVWTTDLLERIGEHEAGQHWWKS LSRFEKLAFQRIRREMEAEMRTKLEKEGLSTDGRRIS
SAPIO_CDS5813	MAFTTNATIKTFGGTLLKLSHASATTTTPMALNLFLPAQATPTT PAPVLIYLSGLTCSPDNCTEKGFLHAHASRLGLAILWPDTSPRGLDLPGENDSWDFGS AASFYIDAKREPWNKGYNMETYIVKELQDLVFGEFKQLDRSRVSISGHSMGGHGALTL YLKNPGLFKSVSAWAPISNPSLCPWGEKAFTGYLGEDREEWKKHDATELVKTWKGPLN CLIDVGTGDNFYKQKQLLPENFEKAVKDAGIEGLETRYHDGYDHSYFFISTFGEDHVK HAAKFLGL
SAPIO_CDS5814	MAVVKKLLAALAATTLLTGGASQTYEFSEEELISGEALKALSKE AYENALARLPESGEGCTRENVRVRKEWRNLPAEMRIKYVAAVQCLMESESEYVDVDGA KTAFDDFAVLHYNLTPFVHNSATFLTFHRYYIHTFEEQLRNKCGYDGDFPYWEWGLDC DDPAKSPLFDGSETSLGSDGEPVEAGAGGGGGFGGGFGFGMGGGSGGGCVMKGPFSNY TVNLGPSSRANPLEYNPRCIKRDLNGGICRQNASLRNTTTVITDSPDIELFQAIMQGD MRYPEARGLGMAVHGGGHFTIGGDPGGDFYFSPLEPAFFQHHGQIDRMYFVWQNLDFE NRQNIAGTGTMMNQPPSDEVEITELLDLSPLAQPRPIKDLIDTIGQSPFCFVYE
SAPIO_CDS5815	MSDFGDDVDGGMDEPMYEEEEGGEYWEEEVADEGEADKTNNIDE QDNVVVTGDVAAAAQGKNGPKSMKERRIPNEERTTTPYMTKYERARILGTRALQISMN APVLVDLEGETDPLQIAIKELKEKKIPLIVRRYLPDGYYEDWTCEELLQ
SAPIO_CDS5816	MPPKRGLPSETSSDINEPPAKRPDNSHSAILSPPSSLKSDDKKY TCEFPGCTKAFNRPSRLTAHQRSHTGERPFICQVDGCDKSYMEEKHLQQHIKGTHEDY RPFVCSHCGKSFGTSTRLQRHEAIHARRDNQLRCSEFPPCDRLFKQQSALERHIRSDH LGLKPYVCKEPDCDASYDSAGALRNHMNREHAENKFWCEECPRPPGTAPTVGFTSKSL LQAHMRNEHMNCIFCAFRCNSKYDLNRHIEVHHSGMTADDRKNFECTWEGCDKRFTKR SNLNVHVRVAHEGLRFTCGRVDLTKSDGLEQWPIQAGCGRDFASKANLEDHVRHVHLG LERQQRPCRRAEAIMTAPTDFLDELSGATDAERRSLECTYPGCTQRFIRYHDLQLHLP SHDVPEINVMPEVQTIETVQDAVAVIQNVAMDFHQPIPTDAVDMLNVPAIPMDPVVHN APDVPIDPALDLFDWPINDFTINQMQNEQANEHFHQQFTNEEFTHHFNPIANHNEQLP RQEQQVDA
SAPIO_CDS5817	MSWAAVDNDTRGWILCFVSGAACVLGSSVICVDLLIRLLPGKRN FSINQSSVFLASSLSLSFGVMLFSSLYNMLPSSKQYFIDAGFGSQSAGALMVVWFACG FLGIQLVSRFLHRFMPSHVVDCDHHHDGPQTLDSHGHSHSRSPSPASKLSHSLPLPRS QPLPEAPTTIPEDAATLPLPASDESTPLLVPDTHINGNGHLHRHNHHESHHQPRRPLD LKQSRRSTAPAYETEGRRLPMVQVQERVMSFVKDLKGRCDETGPCYGYSDPCGQECYK HLQARAPSSTRRISLLRTPSTSHYPGHHRGGLWHGREQESTASSLISPSHRTSPPQSR DTDIDEEEEYYVAETPVEEDLEAQHHHHVPTNAFLAIGLQTSIAIALHKFPEGFITFA TNHANPTLGFNVFMALFVHNISEGFTIALPLYMALGSRFKAMLWASLLGGLSQPLGAT VAALWFSLVHRTHMTPNAAVYGGLFAVTAGIMVSVALQLFVESLSLNHNRNLSIFFAF LGMVILGLSGALVEDH
SAPIO_CDS5819	MAYDARGDHGHGGGGGGGGGHEGSFMRARGRRMFPVSHIHFCFP LPQYFIPRSLIIAIVVVIIKCPVTDYGSTLSHWVQNRALKPNGSYFGERERPSPSYIV DLEPPAARPARAAASIPAKHLHTSLNKVKHPVNVVRWTPEGRRLLTASSSGEFTLWNG TGFNFETIMQAHDSAIRALCYSHNDDWLVSGDHDGIVKYWQPNFNNLESITAHSDPVR DIAFSPADNKFVTASDDSTLKIFDFAGGVEESVLKSHGWDVKSCDWHPTKGLLVSGSK DHLVKLWDPRNARCLTTLHGHKNTITKTLFERIRGFCLATSARDQTARVFDLRMMRDI CLLKGHEKDISTLTWHPIHPNFLSTGGHDGSLFHYLLDEPNPPPGHPMTVAPYDSADP ESCPAQTIYPAHKVSYAHDFAIWSLDWHPLGHILASGSNDRLTRFWSRPRPGDTDFFQ DKYHIGEAAAVAQGTWDRRGGRQQRQEEEEREIEDEMEGLVDQKMPIKQQAPSFPGIP GLPLHGSTPSTSMPIPPPMIPGVVPPPPIPLPGLGGAPPPPLPAGLAGLDPSNPADIS KIAEIMQKAGVQLPPPPLPGLLPPGMLPPPGFPIPPPPPPPSSTPMDLDANDANRRRA PLPSQEESLRMEQVRGNYTRAR
SAPIO_CDS5820	MASTTSSDAHLAPNDSTIRRKIVKKESSAWDLKTLEKESGKAYR HVAAVHKTSQPSCLSHDSQATPSFLGFRNLMVISLVAYLIELVAASQAVGLRRQGKSH KDGPSPEEAAKFRRTWIVIAWLHSINVVSILAYTSYAVYYHIHHPLIGTITELHAIIV LLKTYSYALTNRDLRHAYLHPATGGLAAIPDLYAQCPYPTNITMSNLVYFWWAPTLVY QPVYPRTDKIRWVFVAKRVGEAFGLGVFIWFTSAQYATPLLLNSLIKIKTLEYTAILE RLLKLSTISLVIWLAGFFAFFQSTLNALAEITRFGDRSFYDDWWNSENLGAYWRTWNK PVYKYFRRHVYSPLMSRGWSPKMASMMVFFLSAVFHEILVGIPTHNIIGVAFLGMFLQ LPLIALTTPLGKSKTATSKLIGNSIFWVSFTIFGQPFAALMYFYAWQAKYGSVSRQAI PASSS
SAPIO_CDS5824	MIHHQPPPGYTTPPFPSLTWEILDPTERKERSLFYISDIWRFTL LWTLIIYAIFHLASASIAVVMHGHKRSAWKYLWIVPVAYLFVAGAQGLVAGSVVGLML GAVYNAGYFSMSPWIPFAWGWINVLVLVISSFSIQGGL
SAPIO_CDS5825	MQDSSEFAAENRRRMHAGELYYAFTPDLTADRRRCGAACARYNA AGGNVSRRHLLELWKELGKNVYVNFGSTWLDTCLITVGDRTLIGPNCSFFSATHPLDP TLRNGTSGPESGKPIVIGPDCWLGGNVTILAGVTIGRGSTVGAASVVTKDVPEYTCVA GNPARIIKRVDVSAPPPDEGGAVVTE
SAPIO_CDS5826	MRRLREFVRRHKTRKEESQASSEPPPLPSLPRPPDVHPAARDVS PQDQSLFFRLPTELRMKILHTAFGDRTIHIDFRFRAPLHTYETSGGHEPVHGGYPPLA EYFPSPRKWEPKPRGAPAWRWWSCVCHSSMPHDYKARCDLTLFGSFDGTDEVQQRARF AEYLDLMPRAFPKLAHLQLDLGPETYNGTVPPWDCLEEIEDIILKPLLEMSNKMKRLR DFSVLMSQCLTCDFFSSKAREESLLAVVNKDGLSGKVWYPFTAKLEGGEQPGKGYWIE EGHPGTYGWRPDGRRE
SAPIO_CDS5827	MMRRSLSSLTLLVASAISIALAQDTTPPVDNRTCPMMQLGQPNY CREWHIVVEGETCEDIWSQHRTWMTLADFFAWNPTVGKDCSGLYTGDYVCVDVPPQKA LTITFPKPEKFTLPEYFSWTPAPLPTVDADFTPTPSHGPMPTNCISHYLVKAGETCDD VLADCELITREQFFEWNPVLGGNCYGLLADHYYCVFAFDKENLPLPATVKTKPENVPA DSASNCVAWYRTTDGDDCYLISLIFSTFSEIDFKTWNPSVGSDCSSLEIGMYYCVPSP GVRPQGLRQSSRPSLRTISLQPL
SAPIO_CDS5828	MACSRCGVHARTLLQRAAQQQTQFLPANSRLARLPTVTSVPQRI ATQTRRESTQAGPAKTKTSANKLDDSIPTRKIDGTGLVGSYAIYGGTHQVYKKVAQPA AYSISEVDRHNGTLETLEDGEEVGKSEGTWHKLLGLPPTFSTWSQVTMLRMYVLVARA RCLEPEAYQSWYHQLLNHFFFDCERMMDINHGLTAKSQRQRFLKDLFVQWRGLLASYD EGIARGDAVLASAVWRNLYKAKEDVDYRNVAAVVSWMRATLWDLERMKDEDLVIGKDL FRKSLESQFHIVDTQISAVKDQVVKPGDNKSK
SAPIO_CDS5829	MRRVVVTGLGAVTPLAVGMRTTWKRVLAGESGITSVLTERPPAS QWKGIPSTVAGLVPLDKGKGAEHEWRPSEWLSAVEQDKMPRHTQYAVAVSEMALKDAD WAATTEKEKAMSGISMGSGIGDLDHLYTTSLGYNQDGYRKLSPFFVPKILLNMAAGHV SMKYGLQGPTRCITTACATGADSIGDAFTTIRLGRANVMVAGGTESCIHPLALAGFGK ARSLSTAYNDNPTASCRPFDRDRDGFVISEGAAALVLEELEHAKRRGAHIYAEIKGWG STADAHHITAPRSDGNGAFRAMAEALREAGVKPSEVDYINAHATGTRVGDAAEILAIK ELMKDVDESAVTVSSTKGATGHLLGASGALEALLTVLSIHERVVPPTLNLDHPDVGGI KFNLVPNKSQKKEKLDVAVSNSFGFGGVNSSLPQFSKLNFIYTAVSEAAKRTLRRPTE AMSRARDGTPSGVAGPSTPTEHPSAATGSSAKTSDFSRSPIENLKESVAQWGDSAAHR SDDDDDEGSEHELLLDPLGQGPGGKSSVDLDSEEGIALKAGDEDEEQEDSPYAEVRAA VHNYDQDLPCNTVRAWVIGLSLVVVGASMNTLFSLRQPSIGIGPLIAQIVAWPLGHGW ARVMPGKEFNTFGIKWSLNPGPFNVKEHGVIVVMASVSFSVAYATDIILAQLVFYKQN FGIPFQLMLTISTQSLGYGIAGIMRKFLVYPASMIWPGTLVSVTLMNAMYEERDRPDP RVLGGNMPRYRWFGYVTLAAFLYYFIPGYFAQFLSVFAFPTWLAPDNVIVNQLFGGIT GLSILPMTFDWTQVAGFVGSPLIPPWHAIANTLIGVVLFFVVTASLFHYTGVWYAEYL PMSDAGTYDNTGAKYNSSRILTPEFTLDEKAYAEYSPLFISTTFAISYGLSFAAIASL VVYTYLHNGDRIWRQYRNSTNEKPDVHMKMMRKYPEAPGWWYMSLFVAMLAMGFYTIT AYQTNLAWWAFLLAVFISFGFSLPIGIIQAITNNQIGLNVLTEFIYGYIQPGRPLALM IFKTFGYITMSQALNFISDLKFGHYMKIPPRTMFLAQVVSTTLSCFIQIMVLNGALSS IDGVCTLEQPERFTCPGGRVFFAASVIWGLIGPARMFSPGQVYSGLFFFFGLGAIVPI IIYYASKRWPTSPIKHLMAPLIFGGAGMIPPASPLNYLTWGMVGYLFQHHIRSRYFNW WRRLNFLTSSGLDLGLALATLVIFFAFTLNNIDPPSWWGNDIVRTTLDYKGGAVQAKV PEGQTFGPESW
SAPIO_CDS5830	MLIPWKIVSLILSVIVSLASIIATICLSNRTFIDDGLVRWVEDN RVYIQIGVQITATTAAISQTHIAKSLVRFITLDQLLPPSKPITLDNLRVRRAIVEGIP VFEWRNWFTLIWLLLLQIPAALWAGSLTPKITTSDYSTQVQIPSFGPSSSELWGSLCA PAVPCDQLLGETVDLGTFSYLAWKTRTGLLLNAAGQASSRNESIPRYPKLDNTGFAYQ GRLYGVGSSVGLVGLDGPEDIAEARILHYTFLEDGYRSKVSCQYNASSRLNFKKLDVL PTPGGIYAPTGFWASGSLPNGKWAGFPTWGVLVSDFVTALAAVNGQSRYMYGFVAGES YDRLNQVQCEATFTPTRFKVTVDVAKKTITVSHTEEVPHDIDPTGSLINIAFLSVSYL SQTLTTLYTSILGDSFLRNIDNVSARNGRLGSNPVDALRGVEEGLELLLDHFLESHGA AQVMLQNETTRADGTVTVVAVKVGNLVVVSVLAGVTGLVVLAAFEECVRLKLWKQIVR PPPVDLDFLEYKSAIVGAAKGSGSGFREVQDWDGKSDDQVVGGLYNSRFGQQVGSHGV AAPSQNRSSLSPPNSLTRPVKDMSAGDETVKQGLQHHIPGPARKTPAVEPNLLPQSNG N
SAPIO_CDS5831	MSISATTAKASSHGYDPTFTGQVIAATGPNAHKRLAQIMPSLLR HLHDFAREVDLTVAEWMSAVEFINEAGQMSNDRRNETQLVCDILGLESLVDEISSKLL RKSSPDSIGTTSSAILGPFYRKDAPILPNGASIVAPETRDAFAKDLAHFSGRVVDDKG NPIPNAIVDVWHTAPNGMYEQQDPDQPDFNLRGRFRTDEAGKYSLYCLRPAPYPIPDD GPSGLLLKLLDRHPWRPAHIHVIVTADGYRPLTTQIFDKGDKYLTNDTVFAVKEDLIV EFVTRKDDQDAKWTLVYDFALSRV
SAPIO_CDS5832	MTRPKVPDDKRQRTAQACETCKRRKQKCNGLQPCSACIKRSSPC TYKSETAVDPAPQQKASSPTKRRLTEISGTPAGKPTPKDNQAVKKPAIRQNTLPTKPT SQRPSETDAIPLSKPPAVPGDTQNTALHESSSRQSTVSGADDEAEVFTETRLLQAPNG RLIYLGDSATLSCLQILRFIVGEFEPCDFTNDPSRHKIMENVIDFDSNQELPGIIPPP QTAQVLLNSFFANTHGLLDLFNRTDFERAAIACLHNPFIAKPGMLNLMYLNFATGLVL ATPKPGNDEDAIIGRLRQSQIDVAENYFRTMAVQSAFTLGLHRGMQMQLIFSKQEQVV RRKVWRSLFVLDRFLAAALGRPTLIAEEDCSTDSLEALQEELGEMPEDDRCMNLAVDI ARLIGRILKTVYASRRVTTKLAQEFTAHSQKLEKQLQKEFHWDRAMDPSTPTIEAVAI LHLNLFHSHAVLLYTRPFFLYLLKNDQVDKVPPTKFSQRMQRFSRTCVKISEHTIEIV QAAHNARYLPQRNPFVTHFLFAAALVILGNEFARLHPNPGYSRQLSNVLSIVAYCAQT DPQARRLTYILETFRDVVARRHRSQSADTERDPSRAVPYAVSPRDNIAPGPSRIPKID TDRYKGPSVSDGLSTRTRVPVGSPMRYAPIIPSLQEAPFQGPTNGASPASSASYSLRG GDAMPGRRASDVNTPAGEDEVNLDALWQSAHGEPHLSGVPLYPTFPTGPSKPMQSESG SFGMYDAFLHSQGRPS
SAPIO_CDS5836	MNSEDGELFIKHLANFVRTHEKALANALQFRRQPARHGPSHSVG SVSIPSSPTVPERPSTSSSTSSALAAALSFGAFNLTSQTIKSTKLSLTPHHLFYLLSR FEELGIDVGSMKIRLENLHDTRASANYVSFLSSSQRLKRRGSDVGSIRSVSSVRSVMS GMSALWSSLGIGASISAARQERQKAALQVDMKYLYSAFTKIPCLRLAPDWRAPLIRGY EEFPFDSAVPLYAFKNLQALEISDVDFRQFFGWDRLADQLRSLTVKRASLEDPADILI DIVLDDMDKRRRRTSKAQTSPTHPCPGHSSPRRIPTQQDVQKTSSAPASPEPRKSVGN VRVNPMSASESAIDEAHSMNASQLPPKEQGDTDEEAKSPSSPSPRPRSFSPVRRPNSR NASSHVRGSHKVKRSGSTSSHSSLGDSWHHHMRGSSSNLLAMGILPASKWRFLRHLSL ADNSLTSIPATSLGPLSNTLHSLDLSSNLFTQIPDSLATLTALRSLNLSYCMIDSLHS LTRNPLPAITALNLRGNRLQSIAGIEKLYPLERLDLRENRLTDPTEIARLTGIPDIRE IWVEGNPFTRTHKNYRVTIFNLFRQTPGYTEDIIIDGTGPSYSEKRLLVDRVPLPAAV PVVKPAPPEIPAVDVSKPAIIYDAPREPHVLRKERPKSKIVSSEVSVGSSRRRKAPKR RIVDLAVSEPTPSSVIAHPQQTVQCVAIKKAPVESAAAGGILAESRAPEVDNSPIGAT QLLSAPTLKPQEANGNTTTPREVQRINTDVDSQPPPTYSVTPNVQTNWSDPREWDSGE MYRRKIEALRDKVGNGYLSVLSEESWDSTLAPDYSAKAYNPSLHSTTASVMDSHHHPH NLHHHHHSMAPPPPPAIHSGRSLG
SAPIO_CDS5838	MSAPAIIIDNGTDTIKAGFAQEDIPSVVFPSIIGGEPDFPVGYV AKGRGGILNRPIRGGGRITDWTQMEKVWHHMYSELQVSPDAHPAILTQPLNEVEYSKQ NTSNSLYDISNRKKMMEVFFETFDVPAFYISSQAILAAYGAGRSQGIIVDSGHEETRI AWVWQGACLGYAAITTPLSGTTVTNSLAKLLKGHGLPSHPEMAADVLADIKERLCEVS VNKDVQGGGDEKSYQLPDGRTIKIGRERFLAAETLFDPSLSDSPQAKSLQVAINDCVQ KTDDDLRRRLYGQIILTGGNSMLPGLAQRLQTEITSLAPPQTAVSVISQPNRKYSVWV GGTLIGRMPAFSEIAVSKEQYAEVGSSIVQQRFGAGF
SAPIO_CDS5839	MAPKTPTPRRTPTGRRRGRPPGTASTLDTSRLGANAVAAATEPP PKKRRYIPGGAGGGGRFVDADDYETPAPKRSPARPRVTSARTGATQTPYPKRERSTRN RSTSNPANIEDMRYSSTAAVVAAVVQSEGYKPREERGWEEFHPNLDIDATFMVFSSEE VDGTSNVHSSPAPKDPSTPLTTSTPPLTMNGINLDLDRQLAASLGLVPGTTPKRRVGR PPRDPLAFYAARVAEGLGIPKTPAVLPIYNQTPKERLDLKRPQFRKTDRILLFESKKF GQARYVDKSMMNIGYQESDVFIQPENRLIKAGGSIVDDEADQTTSSTKPGRSEVAQSM GGVLGRVEYDMDEQDDMWLEALNAERRRNELEPITREVFEITITKIEKEWHALEKRIP KPNPKPPQTHRPRSSSAAAVNGEPLAGEDQDSRCAICDDGDCENTNAIVFCDGCDLAV HQECYGVPFIPEGQWLCRKCQLIGRGVPTCIFCPNTDGAFKQTNSSKWAHLLCAMWIP EVSLGNHTFMEPVMEVEKVPKTRWKLTCYICSQKMGACIQCGNKSCYQAFHVTCARRA RLYLKMKNSHGALAVLDGSMVIKAFCDKHCPPDYAAEHSVVQSTRQAKKFYKKNMKGL VWADSQAAANAIAATHRHAITEHPPDESQITGAKLAGAAGDKKKSQPAKPVWKLPSGA PIIPQAVFDTVETSLQRFTIRKRKEFVAEACKFWTLKRESRRGAALLKRLQLQMETFS SVELTRRNFAAMGPGGKARLARRVQFAETLLKDLEQLKSLSEDIVQRESEKLDASELE QEFVDTCYFPVATLLVAVVEKALALDKNIFKKGLTKLQAKVNERFYTTTLDFARDLCD VVREGINTEPNAAPPSNIPEQAPNPVNGPPSKQTYNDARDRKRLGKRILKAVQPQLET ALQTESSVTSKPLDGLAKELDAMLEACVELQQPSITVSGAPLNDTEDSQDVVMVDAPV SQITVASRPSSPQADKATLDDDPSVMDTSEDIKGKGEPGSDDKDAAVAQITVPSPQSQ KATSHKETCGIDESDTPPAMNGYVAMPKPAQATPPTPPQSTDSLGKEPTDPLTEGGVP WYFKQFDVMGTSATEPDPEEPTEETRSPSEELTDIDDDELTELAIDIEADTITASPTA LAAPGKVVSLPKTQASPIRKRTRASTRKR
SAPIO_CDS5840	MADQHEVDLDSIIDRLLEVRGSRPGKQVQLLESEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVNRGMLGSPGPATRSK
SAPIO_CDS5841	MSEAPKPLVAAHLVYPRVTIEYCTQCKWMLRAAYYAQELLSTFQ SSLAEVSLRPSQGGTFIVSIAAYPPAEEVDPAQERASAPVLVTKVLWNRAVDGGFPET KELKRRVRDVIDPGRNLGHNDRQHGAAKQVTTAAAAAVAATTQVVASSDEAAAEKNKN VEIASSGTDAVEIGSTSCAPNSKEGCQDCE
SAPIO_CDS5842	MPQYNPREVGDTSQIKKGKQSMADLKLRRLTELNNRLREDLERE RIPVSSAAKSIIAYCNSTRDYMVPSVWGAVPRGEDPYAPQQSGGCCLVM
SAPIO_CDS5843	MAPPPHQKPENVLKRANELIGVNQAPAALVLLHEHINSKRSRNV PIASLEPVMLLLVELSVEQKKGKLAKDALYQYKNISQNTNVGTIELVLKKFIQLAVDK VTAAQQKADEVQSSLEATAAGESNIEDLEASETPESILLATVSGEQSRDRTDRAIVTP WLKFLWEAYRTVLDILRNNARLELLYQSTALQAFDFCLKYTRKTEFRRLCELLRNHVQ TAAKYSSQMHAINLSDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLSLSK RPPKNIMMANYYEKLTRIFLVGENYLFHAAAWSRYYSLLRQSALLLATGQGRKADNPP AAEADIQRAASFVLLSALAIPVISTTRSRGAMVDFDEARKNKNSRLTHLLGMSQAPTR AGLFRDALAKSLLKRARPEIRDLYNILEVDFHPLSICQKISPILTKIGADTEMEKYIL PLQQVILTRLFQQLSQVYETVDLAFVENLAKFPEPYQVTRATVEKFIMNGNKKGDLSI RMDHATGVLSFDNDVFSSSKAGSGAGSAESETGSIQRLQSTPSEIVRSQLTRLAKSLY TTCFYIDPSFSKSRAEARQAALARARAGAEEEHNAILQRKEVIERRKEAASEAQARKE RELAQRKREQEQALQEAEDRRLAAEQKEREERRLKAERDRVRKEELKKQIADLKIGPN AIDIDMDNLENLDSSELRRMKLAQLEREKNDINEKLRITGKRIDHLERAFRKEESKKL PADYEKQTEQDKATYDVVKAQTLKDAEEKHKAAVELKGRLSRLVPFFTEFRSDLHERR RDEFESRRRDAERELDRQITARKREFKERKLREKREREEKERQIREAEEAAAREREEK QRLEEAKREELARLREQRARESEEAREKAALQLRREEEALARRREEKERARVQAERPE PFAAASSAGRPPLKLPGAGKWREREAAKASEGAAAPSRAAPPMERTDSRERVGAAPRL NLAGSGNKPSWRDRQREREAGGRDDSSSRAGPRVPSGAGGYRMDRTDSGRGANGRNES PAAPAGEPIRPSGAPGKWVPPHKRT
SAPIO_CDS5844	MVVDTATPGREAEAVDRRRESGAGEAGGDLELTTVLGLVAAGLV EGVTTVVVQSWGGWVVVTTGEDVVLGGLVVLEAMLLVVETTLEVEDELEVDDTSLEVE ELVVETSLEVEELVVETSLEDEDELVVDSSLDVEEEVVDTSLEVEESVDETSLEVEEL VVEASLDVEEADVSDVEDSVEEAEDSVVEVEDSVEEAEDSVAEVEDSVVDVEDSVEVQ DSVTEVEDSVEEAEDSVAEVENSVAEVEDSVVEVEDSVEEAEDSVAEVEDSVVDVEDS VEVEDSVTEVEDSVEEAEDSVAEVEDSVAEVEDSVAEVEDSVEEAEDSVAEVDDSVVE VDDSVEEAEDSVAEVEDSVAEVEDSVEEVEDSVAEVEDSVAEVEDSVAEVEDSVELED SAVEELVLETSVELEDSVELEASLEVEETVLETSVEVEDSVEVEETSLDVDEAVLEIS LEVEDAVELTVELETIGTKIILHTRVGPDGQGWCKKSIDVDSTEVEGRGPGVLDEMSR HEGNEGL
SAPIO_CDS5846	MEPLVSKYVPHVLLHLLSLAPSQYCQAYFTILGLGCVGLQLLPS LQDALLNYGARKAAAGDSTATGGTTEESLLVTLLRKLGLLTRVPHSWFVHFYVALLCL QAFWAVQFVTHGRILTTIAEREVASGGGGGMTLGQVVLVWVMLSIQGARRLYECLVIM KPSASPMLAVHWVLSFLVYVFMSISIWVEGSASIINVSLADANLAPPFHTLFPFISFV VASRQQQEAHKHLASLKKYTLPTQGLFSNIVCPHYTCECLIYVGLAAAAAPRGHVFNP TLLCALFFVVANLGATANNTKRWYVEKFGAAKVPRWRMILFIF
SAPIO_CDS5847	MTPTPPSSSPALPSLPQSLEDARIRTLPASAYYLPNFITEEEEQ FILDKIANAPKPRWKQLTHRRLQTWPSDLVKNALLDEPLPQWLVDPVVSRLLSIDYRT DDSGTKTNLFSISPHKKPNHVLINEYPPGVGIMPHKLTYHPVVCTVSLGSSLCLNLYR SKEDGALDPEPVYRILQEPRSILITTDDLYTEYLHGIADIEEDVNLSAETVANWDQLG SKGPFEKGSNERQMRISLTYRDVLKVIKLGNRFNPMLGRR
SAPIO_CDS5848	MDAQNAAGPDKTKPAAAAASESTKRPDHDPDQNRSSSSSTSAKP PAAASKPSPNADKDVKLNTNSSADAGDDRSVADAASSDAETIVLPGKDGHSPSKVRKV KHENRSDDDIPATARKSRDRDRDHGNDHGGQRDHRDKERDKDGPHGREKERERERERS ATTGASASASNSAAPSPSLKKKRLPEKLSENKPSLPAPGQRNKDTSASTPTSPPPKRR RSTDTNPRQSHADSDSDNARHRSPKASKERAKSSDKVIPHKRKAPKVESEDEEEQRKA RRQRTAPASTGPSGGDSHSASHSHAHAHPSKHGRDQQKSSRPHDQTTARGRSVSPQPR PHRRSASTQLPSQSITGLSQKKKRVPAPLQSTDYHSDESSASGSPHPRSSKLRSLATP ATADSTISPAKMAPHKKHLDAHGQTFLARACARGEYEQAKQRLTERPDDLNVADYAGN TPLQIAAINGCEDIVKLLIDAGCNLDCVNYDKDTPLLDAVDNGHLGVIKLLLDAGVNP RKANVNGEEPIDRVNDDMDNADEIRAVLKEARQRIGDRRRTSEEHHNERTDSRPSHGP ESPRRSPVATSHGIAASGRRSTNMRATKTSNHLLYMPMDDKTLRQAAGRGDEETVTRI LQVRDGCDDPEAMVNAARGGHDLVMQLLLALGGANPDPPPVPNVAPDVATPMLAAIGQ ENIKVIQLLLDQNNFDPTRRFKGDTYYEIARRRAGPNWKEEEHILQKAYDEYKKSRSK ESSKKSPSRREREKEREAEREHKRANRNEAREESARSVKRSHAASPSRDAPEPKKKTT SSSKAALSPKEKKRPEPPTRHDDHHTSPKRGPGRPKKDDRVPSIAVSDRENSPAVHKQ TKAKRTESDLAAISSEGETAKPRRKLISKGELRSEREKQRRTSQVSTGSSMKDPASPQ DSRTDDHPEKEKLKGEKYHDRTKAIKRDESKDRLSVSGENSSKRHRSSATPPHSVSGD KEDSEVPTKRRRLDVDGKERRPKLSSSAAEDRPAKSNLSREQSLARSMKSAKASAIKA RDDDDRKEPKAKKSSESNRRESNKSTSSEKSIHVKYEDADVDMADAPPLARSNEAEAK SKDNHQHHGQDKKRSADDADVTASKEEKKRRDAEEKEKEKERERERRKKREEEEAKRL EEEAKRKEAEERKRQKEEEEKKRRVEEEKERKRKEEEERKRKAEEEEKKRKEEEEKKR KEDEERKKREEEEERKRKEEEERLRREEEKRKKREEEEKKRLEEEERKRKEEEAKKRR EEEERRRKEEEERKRKEEKERKKREEEERLQREQIEREAAEAARRKREEEERREREHR ERQRAAREAEIRRQREEQERIRLAKLPPLLRWLETCPNPKTTDMAEKFKHMQGIRYDT IRPETTGTADGREQWVLNTQVALLLGEKDLALSRYTAWEKIPVSSLAKKAIWRLESNR YALISESMFDLGLQLPNYYGEGIDPCRMSYKVIERLRGEAWDKFLKLDMFFVKLSDLM FIVPTIPHLRGIRLSVEYRELPENESQLFGWKVSQKWKQDPNPDRFYGFAPRNKYYIN GALVDEERPGLSATSSQPFPERRVPRRNGFQQVFPDDPEYVRLCKEQGLEHLLEDESR GAPITNGVQTNGPVSGAGAQVPNGTTHSVSSSAAAGSSPTTVASSRNLHSPQQHYRPL VNGINGTSN
SAPIO_CDS5849	MSTTVEKIKEIEAEMARTQKNKATAFHLGQLKAKLAKLKRELLT PTSGGGGGGGAGFDVARTGVASIGFIGFPSVGKSTLMSKLTGQHSEAAAYEFTTLTSV PGQVVYNGAPLQMIDLPGIIEGAKDGRGRGRQVIAVAKTCNLIFIVLDVNKPLTDKHI IESELEGFGIRLNKSPPNITFKKKDKGGLNITSTVALSHIDHDEIKAVMSEYRIASAD ITIRCDATVDDLIDVLEAKSRSYIPVIYVLNKIDSISIEELDLLYRIPNAVPISSEHG WNVDELMEAMWERLNLVRVYTKPKGKMPDYSSPVVLRANKCTVEDFCNAIHRTIAEQF KQAIVYGKSVKHQPQRVGLSHELADEDIVTIIKR
SAPIO_CDS5850	MHNAMIGTTGMDQESHPAHDNSQYGSSSGWVDVNSYSQSPLPDY GNFNYMTTGLPSESLARIAPSPTTIQTHQQRSQSQAGSTQQSPQTPHHPQLPMLIMPS HAWPSMLTNPVTYSTPPMPIPAVAPSPTAAPAPVQPKPSRPSTSTPRRTLTDDDRRRM CRYHEENPTAKQLDIGRMFGVERSTVSKVLRQKDKYLNPEDRSVSPVKRNKGKLPDIE RALASWARKAQQSGMEITDSEIWEKARHFAHGVSGTDQVQKLGISWLEKFKQKHAIGQ PRIVRRASETSIPNSAAKRAISSPLLPQSQAPNGISPVSPIAQPSPLSGTRSDDELKD GLGSTNIFGFRSDTFKRGNNQSTTSLSSAFTDAGTSSFSGSAVSPTGPFAFSPDSNVG GFLPSDQRHLPGGPESHFQRPRSQTFPTLDIECLNQQTTNPEPATPKYAISSTAPSSA LESPGRELDSRHFRLTSVITSSPQLHHSSSNGTLGSNAAGLSANQLMSPPSPRVGSSP SSPTLEDARRGLDLALSYVQKSVSRYDEKDLMAVIRFFEGVGLRQYAQNMKGPGASMQ TLSNLGRIPEGGEVEMTNAPAPASAKLETMMA
SAPIO_CDS5851	MAVPAAPPKSSTPKPSSHSRTTSQASSRNYAASAPVSRRGPRST APDYLSDKATAAFIRRTLCPQQNGDAGRNAAAPIEELLPPLTSRNDVDLQLYAFLAVI LKEFVQAWYSKITPDETFVAEIVQIIAHCTRAVEQRLRKVDLESLLLDEIPDLLDRHI TARRAARNPIARPPIEVHPREVYHSLCPLPYLSPVPTPDSPEAVELQRENERAYRQLL VRAVLAILLPTEDLENPCLTSLVGEILSETIIGNVIANKASQPWLIWEGLAILARNIT EGKRRRPKRRDNDQPSSASAGRGFSAQGLLSSVIHWMFLAFVSIQFLVTTLVTASSFP RRGGRSFSENGDLTSQSSPDYTSTPDASSLEKGDPVTSAKVPLVDFRIWPCIANLIEL QSRMPWLAGTLSMLQLGLLDGPGRIGDVDRTMDSETGSHAPSFHVSTLVSTMAIKVST NLGAKSPYSSPIAFLVLGSEFDPQPPNAAVSAFTRPAVRTGLTPNAPSLNPSTTAPEA HRPTTLSHLLHQHVFDPSRLPPLLRSLRAALFPNNAPGTPTLFPPTSDEQLLALRRRS AASLASIFPPWLTSLYFAGRPPWTSAATRPASSNGVDSEVVDAIDDSLLDVFADEYCN KHLMYSVLELVLVRLMPELTEKRVSELWEERLN
SAPIO_CDS5852	MFRPVDSAVRLAMSRTSRRYFSAARNLAKSTASTTPARSRSVHS TTRQLPDGIVPSCNLAAHTQQVPRHFSTSRSVEREAAAAESEVSDAHGRKPRLQKNDL FHPFSTSPLPEIRRKAEFIRQHAYCPHPDHRLTHLPTVAPKEEDATKQGGSLAPAHVH FECPDCGIPVYCSEGHWMDDYEAHLEICDTLREINEDEHDLRSGRVFPEFQFGAEQLP EAVVNLLNWDTFMYSRQYAAIHEERAMRHATRLLTYPVTIGSVLHELSPYNIRNRVTA EGLKSFSALRYSLHPPKSGGGTDIKGLRPTPPPMRIFILGARAESSLPRNAWMELAHL FPDSRLHLIFIGPESMANRDDEFPLPERTPQNPFGMVVEDRVGQNLKISTIVDYYHTI HKTGYFTPYDPYFDCFVLFHPGLGHPASSHEWTETLPMLLETKLPIICTGYTQFDMQR DIDWIHETARGEFDMLLEPGENLFRSLKWDLNDLDPQDVSCGNWGVWAFRGKRYETTR KEDAA
SAPIO_CDS5853	MATTSNMFLYSLTIQPPTHITQAVVGQFAGTKEQHIVTSSGSRL VLLRPDPTQGKIITLLSHDIFGIIRSMAAFRLAGSSKDYLILATDSGRITILEYIPAQ NCFTRVHLETFGKSGVRRVIPGEYLACDPKGRACLIASVEKNKLVYVLNRNAQAALTI SSPLEAHKPGVHVLSMTALDVGYANPVFAALETDYTEADQDPTGQAVDEIEPHLVYYE LDLGLNHVVRKWSEPVDRTAAMLFQVPGGNDGPSGVLVCGEESVTYRHSNQDPFRVPI PRRRGATEDPSRKRNIVAGVMHKLKGSAGAFFFLLQTEDGDLFKVTIDMVEDDDGNPT GEVRRLKIKYFDTVPLATSLCILKSGFLYVTSQAGNHQFYQFEKLGDDDDEPEFSSDN FPADPNASYDPVYFHPRPAENLALVDSVDSMNPLVDCKVANLTDEDAPQIYTVCGSGA RSTFRMIKHGLEVEEIVASEVPGIPSAVWTLKLNRGDQYDAYIILSFTNGTFVLSIGE TVEQVNNSGFLTSVPTLAAQLLGEDGLIQVHPKGIRHICRGEVQEWAAPQHRSIVAAA TNSHQVAIALSSGEIVYFEMDADGSLAEYDEKKEMNGTVTCLSLGEVPEGRRRSSFLA VGCDDCTIRILSLDPDSTLESRSVQALTAAPSSLSIMAMYDSSSGGSTLYLHIGLHSG VYLRTVLDEVTGELTDTRQKFLGPRPVKLSQVSVKGQTCVLALSSRPWLGYADPISKS FVITPLNYVDLEWGWNFTSEQCEEGIVGIQGQSLRIFSIEKLGETLIQKSVPLTYTPK KLVKHPEHPILYTIEADNNTLPPELREQLLADPGVVNGDGTVLPPTEFGYPRGKGRWA SCINAVDPLGDEPRVTQTIDFKNNEAATCTAIIPFASQDQEPFLVVGTGKDMILNPRQ FSEGYIHVYRFHEEGKELEFIHQTKVEEPPSAMLAFQGKLLVAIGKTLRIYDLGMKQM LRKAQATVSAHLIVSLNVQHNRIVVGDVMQGITYVAYKPMANKLIPFADDTISRWVTC TTMVDYESVAGGDKFGNLYILRCPLQASEEADEDATGVHLLHSRDYLHGAPNRLDLMA HFYTQDIPTSITKTNLVVGGQDIILWSGINGSIGALIPFVSREDADFFQTLETHMRSE DPPLAGRDHLIYRGYYVPVKGVIDGDLCERYALLPNDKKQMIAGELDRSVREIERKIS DVRTRSAF
SAPIO_CDS5855	MSASLPGIRALPESQYDLSTYWGRVQHAAGLSDPRTLFAGKTGL ERARSLIVDYKEGRAQHMTPELWQAKKIVDSTLHPDTGEPIFLPFRMSCFVLSNLVVT AGMLQPGLGTVGTIAWQVVNQSLNVAINSANANKSSPLTYQQLAQSYAMAVTASCSVA VGLNKLVPRLKRVSPATKTILSRLVPFAAVASAGALNVFLMRGEEMRKGIDVFPVLSD ADKAKLVAEGKSESDVPSLGKSKKAATIAVSETALSRVLNSSPIMVIPPLILVRLQKT EWLKRRPHFTIPVNLGLILGTSFAVLPLALAAFPQRQSIRADSLEPEFHGKGGKDGLV CKTPAPKIYPSSSELKEQPQPKTTICKSSSPYNNVAFRTLRFSLSKFEVSFPPHIPGM DSTAVKNAVMQQVRTEASVANAQKLMNNLKSSCFEKCVPKPGTSLSSTESTCVTNCME KYMAAWNLVNSTYIARLRGENAKNDSFV
SAPIO_CDS5857	MSDDVSQFLQQVQQLQGRRVEEDEARSRELEEKILQEKSERAAR RAERARSISPQKSSPANTPPPPSHRASGVSDRLSLTSSPVLESARSRRDDTFDNMESP VTNFSTSPTKENESTFDIESKRTSVTSSGTIPSPGIRTAPLSWQRRPNSQSFDKTKSR PLSMLAAENAARTSTTASPEPSSTTEQSFTKDQIAQALSAKDPTWFRQTSDRGLNSPA FRRTQVEDEDRLDMSSMRSQLPGMTPPTSSRESPISNPAPLQPSKSAPHLDLTPTQRF EPGGQSPADSEDRGGVDEASPTRAEGRRSPTRPRSPTKGMGGMIRDGSATPTPRPTSR HGSEELGDEPATTGSHGVADPTPDTKSNLTLTTTPLDQDEKTTPPASPTKTMDTRRWS PTKSSWLEAALNKPESPKPKPAAPPSNQPAWMVELNKARAQKANNPDAAINKLNPASH KHEVKIDGLLRSSPATSATKSNPPAVAAPFSPANLRSTLNTPSTVSKSTVGSETPAGP GDSASKPSTPTPIDFRSQLKPRQTPSAFESPKGGNVNELKNVFGNLRKTKTQNYVAPD ELKDNILRGKAALNLTGGPKKSERKDEFKEAILKKKEDFKKAQLEGTGVTPRAPGTST TEQPLPEGLAKRNQLARSSTLSNRDSVSSDTTKAASSVLSNRNSFATDITSVEAPTPS PSSLKTSHTPTRETTKFETTTPKAENLPQSPVSQPASAQKEFTTPSRLSTRAGGSSLA ERFNPALAGILARGPPTVTAPGSTRSSDSPSASGQQSSSAGPSSSDAAGPGPQLTHIT KNRARGPRRKAPSSAAPAVSTSPATTATQTSSASPAATLPTTNPGPTTSASGQVKSST MATSITEMAAPASMGTSDQIQLADSSKAIAAGQVQLVDSSIMSPSYGSQSYQSYTRRR ETESSTVSSPGQIKLVDSSAMREAYAAATPTRESRGSYSGQSFGSATPIRDSRGSYSS FSSLKGALASQVAGAAVQRSSPLTSNATIAETSQKPTEEPKSQPPSPRKLDMKRMSKF LDDADQPSPKTTVPPLSENPLRSPRRMSRPPSPNKLPEQQPLPQPASSSTTPSSPAKP LPHPPSPTKETPAPLQRMPTGGPSRFVMGVAAMFGGGSASAPLAKESVPAPNGQEARR SRSQSPTKVGDRPPPLSPRAKSRSRVSSPMRSPTKQAIETAALLSDFFGPQRPKREYR ADAAEILMRRPPTGQGIKTLSKQRLQIFGDGKMVQVPLHNERVLFEREMYICSHTFTN AVGKKLCEVYLWAGDEVPASTVKAAEGFVGREAKAVGGKMIVLKQGKETPEFLQAIGG VVITRRGSSNKYDSLAPNMLCGRRYFGFVAFDEVDFSPVNLCSGFSYLINQQGQCFLW KGKGSDSEELESARLIGMDLSLTGQLEEIDDGNEPATFWDIFDGGSKQLSADHWRLKP NYSKYCCRLFCSDASSKQQIIELSPYSQSDLSPKNIYVLDAFFEMYIIVGAEAKTQYA SFRNALDFAQEYAILASGMEDRPFVPISTVVLEGIPRDLKSVFRKWRDANSPTIMPPP STPGSGLKRGRSLRIVPLTQALQALAEP
SAPIO_CDS5858	MARTTTGTSAHTSAHTSNSLLDLPPISALFLIDFDVKAGYTIAW KRSIPGLELEGVVEYKSLPSGLHSVKDDLIYFIHEAGHAGVSAFINVPCDEEEARHAR MIAVGVLVPLSFGRLGRAWRHAEALKDMAAKLAVDRKRTTILEAYWERCKSSADTKAP THRTDETPITSPTVPLHSIPSNRSKGHSRNRSASDGVIFQPDHTLSKYHPARSLTALL DAFGPLIFPIHRAAMLRKRILISCHAPVHEVCNFEQAPTHRLRPLFTIGVHDIPSLVA STARQRQQPGEGDDDATLREDDGSSGWIACTTDSILAMKETLWDVLITMPPPFSANAQ TKVWPTVECPGKKPVKATQRDLRRFRALKAGLARLGAVARPISSHGTTQSQASLDIRP STSGTTVVEDECDEALDKIVQPPTWAELAYGGFMWWASAGEQHRSYEHDEAVHDASLL ADWAPLPATGSIANLQSPGILQDPMTASIPSLNQGREDTHEDGGARVELAVIAFFHRL TIDILTVIGDAVEADDTPYTDDDSDNGDAEALLLARDEDEESRPVRIDSTAFELMGLD VWNPSDAEFIQEIARVYFDRSVRVEGKGIEVCGLRVC
SAPIO_CDS5859	MSSEYSYDEEGQFFPFFILTLTSLVTVPLTWSLLSPSKDAGAAA HRGKASGAYEAKHGNAEVQSQRTAQRRRLRKVKRAIFVLAGWAVIGLMVYLIIITKRV TPKLWNPYDILGIPDSSSEKEIKSKYRKLSLKFHPDKLKPDVSKNETIEILNARYVEI TKAYQALTDEEVRNNYIQYGHPDGKQSFSIGIALPKFIVTDGNGKYVVLLYALLLGVL LPYLVGSWWYGTQRVSKEGVLMESANNLFREYKDDLDESGIVSALSTAKEYKDLLRAD KADKDLASLESKISNVIPAKEKAKLEELDDSVRRKALALLWAYLGRIDLGSDALNRAK IQVGPIAQSLIRSFTTISLAYGNTAPIVGSYLANQHIIQAMIPKSSPLFQLPFFTPEV VKAVDGDSKVHSTVQQFMDLPDNQRRQLVVGKGLLTEQQYTTAMDVAREMPYLRVAKA FFKVPGERFITPSSLVSLVVKGRIIPPGSEKIPQVNPLDLEDVDPAEDDLEAITGRKK KVKGADGKWRVVEDKKINPNLATAPYFARDYSPKWYVFLTDAKSGRIAVPPFLFSQFD EPIFDDAGKPTFNMQTLKAQFAAPPQPGHYTFAMHLICDSYVGLDTKIEVTLVVEDAS KAAEMVAEDEISEPEEDSIAGQMNALRGQPVSSGKKKAESESDDESGTDDEEDDDTSD TNTDTEDES
SAPIO_CDS5860	MKATTSIAMAVAGLVGIASGQAYIPNCAVPVLDKIIAEYVQTCT EAYNYICFCKNPVAPWYYTQYAVTDCPNETDSDAAVGFITTFCKDIGYPITFPIPDPN AGGTTTTEPTVEPTAEPTEPPASSTSDPEPTEQHTEPPASSTSDPNPTEQPTEEPSGT TDSSSTPGPSGTDATSSEPTTIVTSTRAPTSSPTCTATQTGGSGGGDCTCDDDGRPSS GSATGTGKPQPSAPVIVNAGINNINNYPYSLGLAGVFVAAAGLF
SAPIO_CDS5861	MWDRLVSYLTTFWLGAAVLVAALYMVSFVVPKAAFVARALTGYL CLLIASCMGLLICIVFRLFGIAGSSQWAAGRVFKYAMYLTTGVTFEIIDPHGVLDNTR PAVVVGNHQTELDVLMLGCMFPRNCTVTAKASLKKIPFLGWFMALSKTIFIDRGNAKD ARQALKGAGQEIARRNQSVYVFPEGTRSYSSEPMLLPFKKGAFHLAVEAGAPIVPCVV ANYSHIFSIKGLVFRSGKIPVKVLAPIPTKGLTSADVEDLATKTREKMLEELITLSAE AKGTVPAAMKVAAASK
SAPIO_CDS5862	MNSLRFARTALRARPAALRVPLQRRTYAEAIKLSFSLPHQSLYK SQEVVQVNIPAESGEMGVLANHVPVIEQLKAGVVEVVEESGSKQFFLSGGFAVVQPNS VLSINAVEAYPLEDFSADAVRAQISEAQKIANGSGSAQDVAEAKIELEVLESLQAALK 
SAPIO_CDS5864	MWIINWFYDVLSSLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELAIGNVRFTTFDLGGHQQARRLWKDYFPEVNGIVFLVDAKDHDR FPEAKAELDALLSMEELAKVPFVVLGNKIDHPDAISEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGDGIRWLSQYV
SAPIO_CDS5865	MPGRRNSFFQSSDSKYGFSPQSYDPSSVPEIAEDFSDPEGIEAF ANALHAPDFQTPIDELTSPRRTSFSTIRSPRLRPSDVDSHETDSPASPAPGGNGTTPD FRQTLSLTQQPPVFISAQNDWAPVNEKIKKPQRRKKRRTAAPVHSLLGERTKDETREG YLYNLLKWPLLLGVTGILALEWISYLFTRLYIYLYEQTFAWRGTRERLRRNMRTTNNY RDWVVAAKELDSFLGRQSWKEENEYAYYDSKTVRRVWEHMRKLRHKAEDVEDGADKAE GAGKSKSADELRILAEACVKSNFVGVEGPRLYSQTYYGTKNLVQNYIDESEKTIKFLL NTGQLSNDDRRVLFKQMHANFGRTALCLSGGATFAYYHFGVVKALLDADLLPDVISGT SGGALVAALVATRTNEELKELIVPALATRITACRESIFTWLPRWWKTGARFDAIDWAD RATWWSRGSMTFREAYQRTGRILNVSCVPSDPHSPTILCNYLTSPDCVIWSAVLASAA VPGILNPVVLMMKTREGTLVPYSFGRKWKDGSLRTDIPIKALNLHFNVNFTIVSQVNP HINLFFFSSRGTVGHPVTHRRGAGWRGGYLMSTFENFLKLDMNKWLRFIRHAELLPRP LGQDWSQLWLQDAFAGTITIWPKSILSDFWNILSDPDPDRLARMIHEGQQSAFPKLKF ISNRLRIERLVEKGRLETRPWLRRGSIETILSEEDMRSLLADGSNSTTDGDDTEGIEE GIVMGEHHIFRGMEDEGYAGSYKED
SAPIO_CDS5866	MPLGFLKKKRTREGNGENPTSSPTSPVAPAAAKPPESTPVSAGG GRLPSFPLPPTSAAAAGGPATTSPALPNTSPNNTSPNNAASNTAAAAAASTGNEDVQM SNVTAQPAYPAGYLAAPTPEHQNLPSISNLINQPQQHGIPAQQPAQQQYLTQPDTHLG QTVSPGTDPDKLAQAQAASAMAPPAQPTPEQGAQQQAHGVQANQPSQPVAASNQHAQY TGAGAGAQDNQGQGRVTKGKYSLQDFEILRTLGTGSFGRVHLVQSKHNTRFYAIKVLK KAQVVKMKQVEHTNDERRMLGEVKHPFLVTLWGTFQDSKNLYMVMDFVEGGELFSLLR KSGRFPNPVAKFYAAEVTLALEYLHSRNIIYRDLKPENLLLDRHGHLKITDFGFAKRV PDKTWTLCGTPDYLAPEVVSNKGYNKSVDWWSLGILIYEMLCGYTPFWDSGSPLKIYE NILRGTVKYPTYINPDAQDLLSRLITADITKRLGNLYNGSADIKNHPWFAEVTWERLA RKDIDAPYTPPVKAGVGDTSLFDRYPEETERYGQTGHDEYGHLFVDF
SAPIO_CDS5867	MRFTSWLSAAGLAALAWAKKDTTSPKDIDGEVKSIALRTHTLVQ PYLDSDMQSRWYDFGGDTIIRTDSYIRLTSDRPSQAGWIFSRVPLTATNWEVEVEFKI HGKNQLFGDGFAMWITKERASPGPVFGHADNFNGLGVFFDTYKNNRPGVVFPYVMAMF GDGNTRYDKETDGKNTELAGCSARGIRNAQVPTKLKLTYFQDKSLKLELQYKADGEWT QCFETNEPPAMPQISYLGFSAETGELSDNHDIISIRARNLYIAPRSSKPAAGKNKKPQ KAHSYQKKKSGSWLGFLVKSVLVLFVIAGSYVAYTAWRTSKKSHRF
SAPIO_CDS5868	MASSHQAPQRERPKRRRSREPDWSSFYKNGLPKEIIVIDDTPEP EVAPSQTQRSVNGAPDAYTNGTGTTRHAVKKRKRDDEAVNVEVGYYTQKYATSHTSTP HVNGSLSASISTDRTTVRTAAATSLSSGSQYDFEIQLGHKRKRTRQQVANEAKRREVE VLGDAYITYKPPPQPPKKAGEVPVRVVHDHNYSKNVKVDDDDGHYIVVPDADLTDRYQ MIKLLGQGTFGKVVQARDRRRNRAVAIKIIRSVQKYRDASRIELRVLATLKANDEDNR NRCIHLRDCFDFRGHICIVMDLLGQSVFDFLKGNSFVPFPNSQIQSFARQLFTSVAFL HDLNLIHTDLKPENILLCDNTYQTFTYNRKIPSSSTTVNRQATQRRVLLDTEIRLIDF GSATFQDEYHSSVVSTRHYRAPEIILGLGWSFPCDIWSIGCILVEFYTGDALFQTHDN LEHLAMMEAVVGSRIDSHLVQTVNKMATRNAGNPASKYFKRLKLDYPTPDTTRASKRF VKAMKRLDDIIPSTNPFLKNFLDLLKKIFVYDPARRITAKEALQHPWFKEPAQPDDGT EAAKIRADRMRMEAASLSMEARRLPPIRS
SAPIO_CDS5870	MKAGLVSALAALAACGEAATTPKVTPRDELAYSPPFYPSPWMDG FAEGVEYMYAKAREIVAEMSIPEKVNLTTGVGWQGERCVGNTGSVPRLGLRGLCLQDG PAGIRFTDYNSVYPSGQLTAATWDRVLINKRARAMGFEAKGKGIDILLAPVAGPIGRI PTGGRNWEGFSPDPYLTGVAMAESAMGIRGAGVIACAKHFVGNEQERFRQAGEAQRFG HDISESMSSNIDDKTMHELYMWPFADVIRAGIGAIMCSYQQVNNSYGCHNSKLMNNLL KDELGFQGFIVSDWQAQHNGVSSALAGLDMTMPGDTTFNSGLSFWGANLTLAVLNGTV PAWRLDDMALRILTAMFNTNLGPDQLPDINFSSWTLDTDGPVHFVANENFEVINQHVS VQEDNANLIREIAAKGTVLLKNEGALPLKKPKFLAVIGEDAGPNPKGPNGCADRGCNE GTLGMSWGSGTANFPYLITPDFALQAQALKDGTRYESILSNWENSATRNLVKQDNATA IVFVNANSGEGYIAVEDNQGDRANLTLWNGGDELIKNVSSICPNTIVVIHSTGPVLVT DWYDSPNITAILWAGVPGQESGNAIADVLYGRVNPAGRTPFTWGPTEESYGTKLLAKP NNGKDAPQDDFTEGVFIDYRHFDRISEEDPDSAPIYEFGFGLSYTTFEYSDLKIQRND IGEYKPTEGETLEAPILSNFSTDLADYVFPDDVRRIEQFIYPYLTTAESAEEASGDLN YGQKPDEFLPPGATDGSPQPRLRSGGQPGGNPLLWDAIFTVTATITNTGDIDGEEVAQ LYVSLGGEDEPVRVLRGFDRLSIPAGESVTFEADLTRRDLSNWDVVLQDWVITEHEKT VWVGSSSRKLLLKGTLDFAAGPEPEQPEEETPEPETPEEEAPEEEYPDEETPEEEGEE 
SAPIO_CDS5871	MAPKSKTPAVSAPVHEGYEFGGPLGAAAISFGLPILMYVFTFAC NDISGCPAPSLLNPKTLDLGQLKREVGWPEDGIIGVFDLNVTLYVLAYYLVNALLYGI LPASEVQGTELATGGRLTYRFNAFTSHMIILAVCVAGTVQSGASFTLWTYISDNYLQI FTANLLLATFLATFVYVRSFSVKPGNKEMRELAAGGQTGNMMYDWYIGRELNPRVTLP IIGEMDIKVWFELRPGLLGWLLLNAAWVAQQYRNYGYITDSIAFIAGIQSLYIIDSWI NESSILTMIDITMDGFGFMLSFGDIAWVPFLYSTQTRYLSIYPVTLGPTGIAAMLAVL GTGFYIFRSSNNEKNLFRTNPNDPRVSHLEYIQTKTGSKLLVTGWWGTARHINYFGDW IQAWPYSLPTAIAGYTILAAGTGAEGAFVMADGREVVQGAARGWGMLFTYFYVLYFGV LLIHRDGRDDQKCLRKYGDDWIEYRRRVKWRIIPYVY
SAPIO_CDS5872	MRLPSTTQLFLLFGPALIPLVSAESKTSSTSEPVPACTASGGSA AIGGFFDLRPDIAVPPDDEGKSRKRVVTSDYHANGYDYNYNFTLNICAPVVKPVKDVV GVEKALWQNVSAYYTSEDGDIFSIGQESMKLQTRGRKLVLQYSGGSPCDKKSKTKRTA SSVHEGATYRYEDYTDEEEVDLDGPVTPTRTKDDDKGDDEDDEKEDKSKKGDKRRKST TISFLCDHDPLATHAAVHFVGSDPDECAYFFEVRSQHACIAAEPHHPGTIGPGGVFAL IFGIALVVYFAGGIVYQRTVAHARGWRQLPNHNIWQGIWNFISDFVIIGVSSCARLLP GRGGGYRPLSRSPTNWRNRDDENRLIDQLDEEWDD
SAPIO_CDS5875	MLSSLLRSGSGGGPIEHNTTAFLVRSLASGWHRGSIIAVDAGVH LGAIARILQSTQPKRLGTDVPLPHILTTGPFAGLEVHSACPNTNASHIAQSLIDTYLI THPHLDHISGFVVNTAGFPGTRPKRLAGLPSTISAFKAHIFNNIIWPNLSDENNGAGL VTYMRLVEGGSPALGEGEERGYLEISDGLAVKTWSVSHGHCMEKHSHRGSQSSQGGAA APASRFGSADASSVASPRHLSWSHSLGPNSLSTLPMSRIGNLLNQPSGAAAAAQGVSG ISSDPSARVCVYDSSAYFIRDVVTGKEILIFGDVEPDSVSLSPRNLRVWREAAPKVAA GTLSGVFLECSYDNGQPLDRLFGHLKPAFIIEELKVLAAEVEEVKRATMRESRKRKRS VAYPPAGTHERDCEADSGDIADAGLAVRRRIAEEPISPRSMKPLQVPGAVPCHNDFNL DNGSTSTAPGAQRMATPSSDVDHREASSHTNNNGHAAAAPAAESSGCAPLTGLRVVII HVKDKLIDGFIPGERILSELQEEEAIENLGCEFIMSSPGQSLFF
SAPIO_CDS5876	MPPSRRSDFLIRALVYPFTISSRFTTLSKKPTMAPAAVTKHNPH PDFKKVEATREDWDAKAKFHLTKTVDPSWKFGTGPNETQGAKQPQHISIDPYEPGRPA NFNYKLLISSVIPRPIAFLSTRSPSGETNLAPFSYFGLVNHDPPLFAVSFVCDLQSAK DSLRNLVESRECVINIISEGFVEAANACSVNAPPSASEWAISGLTPVYEGLDVGCARV KEAVFSIEGRLESVREFESKSRPGQTSSVMAIIEGTRFWVREDAINEERSIVDPAVLR PVSRLGGIMYGRLNEAFEITRPDFEKDIGGSEGYEKLARDADVKNASS
SAPIO_CDS5880	MLVQRPQSALVTRDPRPRPRPLCSSLLNGLECYDETCPASHDPK LIKSRRCSYGHRCWYLERGECSKFHTATERYLAARKMMEIGLSGGFVLNTAQKDGVTA FGDWYGIRNTEVIASFNCLRGGGLAVPGLPPAFVRPTTPVRFDTRDMHLRNISPWHAP EAPKFQPLAQALRVRRPDMSLLSGFHIVTTAGCLRRLTNFLDGENHRVGERTQRLDIE VREDTVFIGRWEDDARNHMHTGYGKQFEELATSYDALLPDLRDTLSSHVAMAYDMGDL KLVVQAEVDAICCKCHTSSKGPWGAPETPSTLPKRRLSSGRFDVLSLDTGNGEGEEEE HYGAVTTAKEGTRILHTGRQVEPSCCIEIKTRTEKALHRPSSPDFMAQLYFQRTSKVF LALHRNGCFSPEEMWQWDAAKAVSKWEADNQVLLARLVKLLEDVRSRAVEVAEKAGGQ CRMALVLGRDASQEGRRDWKATLYEREGSESMLPEDL
SAPIO_CDS5882	MGVSRLSMQLEFEGLNTALKDLLALSRRIKELWIFGPLASDQDS TRQQAGDLERDVLQVAKLVNGLEESTMNELARKYGGTWKDKPKEAGQGRGQI
SAPIO_CDS5883	MRPTRALAQVAFCLSTMTSLAAAWPTWLDTAAHAIVARQDAAET SDAKTTKASRPTGNLNTAATLDPDAPRTTSGAKGGSSGDGKNSTKTREEFDATAPPGG AVMVTPDPRLGAPLIKAGDTVTFTWNYTNVLADPTAVDVLLSCSRVSATWTLTANMSY QDPATYVWDSNVQATDPSQPLLTEEFTMIIKDSDASISDSAQPGYLSRTDVRLEIYTP KDYTPLSEWNCATCSFAASDLDQKALMFATSMCLITIASFTWFVTGLNL
SAPIO_CDS5884	MPSKPKSRWADTEEDAALEAKQKRQKEEKKRLKAEKARRLEDRK AKEAGATKETHQADDDDERPSKRRKVTPDRTARDRMKASLRGWAPCRSAKNYKKLNDI EEGTYGHVSRAKDVATGRIVALKRLKLDEADRNGMPVTALREIKLLLLEFVEHDLRSV LEDMTEPFLPSEIKQLVLQLTSGLSYLHENYILHRDLKTANLLLNNKGQLKIADFGMA RRTSDPPPANLTTLVVTLWYRAPELLLGAKTYTAAIDMWSVGCIFAELLEREALFRAY TEAEQISKIFEVVGVPTDDTWPGFRRLPNAKSLKLPRKTKKQSATEDRIRPRFPDLTS AGCALLRDLLSLNPDARPTAAEMLEHPYFQEKPKPKAEVLFPTFPSKANQERRPRREP HAPVRGAAVELGEVDVSHLFASREGEAKGAGFRLRMG
SAPIO_CDS5885	MRSLIWTVVLGMVLWTVQAFEVVMDQSDDNSRRCTGMYSKNSWG GPVDPYIQVVFVNDSSINPDTDPIVSLLIFEWKDIDLIGVKDPNRPDENIPELCGNSQ IQAGLCQESQKGEFVVAPPNELAEKSKNLVMTQAVHLKDPHVKVYPIKKTGYYCILTE AFTGDEYKAVAVFRNAYGELPATQVPKLPFYGGMTILYALITVFWAFLYYQHRHDILA VQNYITAILVFLVVEMLMTWGFYEYMNNNGSNLGAKVLLVVVAVLNAARNSFSFFLLL IVCMGYGVVKPTLGRTMIYVRWLAVAHFVFGIIYAITSLYIAPDTAGPFVLLVVLPLA ATLTGFYVWTLNSLKFTLKDLQDRKQHAKASMYKKLWWCILLSILVIFGFFFLNSLSF ASVNDPDYVPFHWKTRWFVLDGWLNLVYFADVAFIAYVWRPTANNRRFAMSDEIAQDD DGNFEIGDIGVPDDSDDEEENIGKPINGQTTVPATTQGAAAGASSTPAPQSSSSQAQQ QQSRAAPRDSLDGETIFAVGDEDKFSDDEDSDEENAKLVKPKDKTR
SAPIO_CDS5886	MKLSPLALRAVSRRARDAPTLLRQTTSLPLHSSHRWLSHTAVRR SEGERKWSTPLAEKIATAIEATEPMPLARYMRMCLTSDLGGYYTRGDLKEGHDQFGLK GDFVTSPEISQIFGELIGIWFVAEWLSQGRPSRGVELIEVGPGRGTLMDDILRTIKKY PMAPAIDAIYMVEASAHLRNVQKNLLCGPDAQVSESKVGYHSVCKHTEIPIVWTQTIE SIPKDPKKMPFIVAHEFFDALPIHAFQAVELPPNQDASNASSGPPRTSPTAASPPTAA GKPNIQWREMVVSPVPGQPKIDQENPEPEFQMSLAKHATRHSRHLPDLYPRFRNLKSI PNAVVEICPDAGLYAADFAARIGGSPKHPKPEPSGAALILDYGPSDTIPVNSLRGIRK HLHVSPFSEPGLVDLSVDVDFTAVADSAIRASEGVEVHGPVDQAEFLTRMAIRERAEQ LMRAADPERARDIEKSYKRLVDRGPNGMGKLYKALAILPENGGRRAPVGFGGDIAGA
SAPIO_CDS5887	MGKGTDKLYITHSEWSSSDAYSASTGSSAGAKSRSGTSSSTFRR LPFNFCAASLQPFKNPVCTPDGTIFDVEVIGAWLEKRGTNPVTGEPLKKKDLIKLNFT RNADVDSRGAGLSDGLGDLVDPVTYKVFTDNTHIVAIRHGSYANVFAWDTVERMNIKA KMWRDLLDDEPFTRADIITLQDPQFTHSRDLSKFKHLSDGGEAILTKEQEEERKSGGV NVDALGRIGDKVLRAKAAVEKARKEREAGKDVNKSTALTKSPGTSRQSTTTAASGAKV IPAKAAIYTTGKAAASFTSTGLTPETSGARAILTDEEFMLKPRRVKIKGYARIETNLG DINVELHTDTAPKAVWNFVRLAQKGYYNGVAFHRNIPNFMIQGGDPTGTGRGGSSIWE KNFDDEFDGPYTHSARGILSMANKGKNTNSSQFFITYKPTPHLDRKHTVFGRVVDGMD VLSKMEDVPTDGSNRPLNKIVMKNVVVYLDPFEEFLKQRGEQEKLEQKKEAIRRSGGT EDDRTTWTGKRLRGAGSQGAGDSAPDVGKYLKASTGGSAAQDSLEVEEEIDAWDAPAK KKVKAGGFGNFDNW
SAPIO_CDS5889	MFINAFRALATALVAGSLCAASPTAIDVRVLNELAPRDPMEALR NGHLEKRLSADFSLDRQWNNEVLFGGSWTEVDELEPKHVNLEVICQECWTKGSVTARL TTENIFDPVVRLEFDEVEAYVDLGIKSSAAATYAIDLFSSSTPVGLSLPGLDIGLVFH LDLVFSLAAEVDLTGGFYVKVAPGSFLETDVFSGDIVDHVFDGIGTKSIPVEVKTGSG TFKASLRLRVQCGIEADIDLIGLGAGAVVGIYANIVEFVTVLDSTPNCALQAREWFDL NVGAFARFDVVVDFTRIGAVPTVSTTLVNSPTWTQCLLGGAPAQTSDAIPDEKNLDVL LPLPASGLESDIFPTPSQALPSSFSTAFIHTISCGGSSFAATISGSTGLTTAVEAIID HQPSSVVKIPGGSNFTATLSGATGFTTAVASIIDLQPSSVVESDSPEIITSANNSSLV TSTVYSTTVYTITSCAAGVINCPASWEQQIIVTRTISAFTTVCPAGAKVTLPAEPPSA APSAKVASPRPGASNPVAYVHVITDLVVLSACETPIVNSFVEPTTRKPPTQHTVEVEE PWPTVALGNTPVVVGASDLVIVDAAVGGASLGSGKGNGTVSTVSRIGGVSPTGSGVIG TVAKPSGPAIAGAGRVGTAMGGLIACLAIFHLF
SAPIO_CDS5890	MPGDVHVYVLGLAPGPAYCRVRRQFLAPGVGMEQRLYRIVEDFA RFFASAWNNRPAVEQPPGLLEEYYEFLDQVSQRLPLSERLLAKVDEVRQGLPLLFRPG YPVVVQHDDLLENNIHVDEATGRVTGIVDWADAIIAPFGVSIAGLETVLGVQTLTSWH LHASHYSIRQRFWETFYGEIGDISEEDRRSIEVARLFGLFWTHGFDEKVRAIAALEAL CPL
SAPIO_CDS5892	MTGKVPITLSYRKPGTQPPLFVAGTFTEPPWTAQEMSYTIGSDG EHAFSHTCKVEPGSEIQYKFRVGTGNWWALNEDAPTVTDSAGNQNNVLKAPSLVEVKK LTDRSDVIANGHQNGTATTEPTEHEPVSDGEYIHANHGSTDDLLKNSAPGAPATDAAA SAGTAEQDGPENNTNARAADTLPTSDGLPPLKGDNKKSWFATIIRTFFVEWIGGIFRR LFGRRRVPQE
SAPIO_CDS5893	MSVPPEIIRVKRKRDDDSIPVAFLQLDEATKRHCSGNWVYQRRV VQATYDIRPVIHSTKPEDVTGIEKQDSSMEGVPEGAAEQRQFFMSKSSFLSVQAVGQI SARKRRQGTAVFVEREPKKTRPTSLPSGDALIGTGTTSGSLQQPLPTKATASILPQET QHVFASIQPQASQEEMGPAKGGLESAAAEEPRKFKRAGRMVRDHPRPAGGSIAAAAPL PEYHDNMEKLASEMGAWTMDEIQRNLDKLEGKSSRAQPSTPLQEQRQGLVEKKAVSRS TLSLRPKVPAQRYAERHPEAMAPVGPPTASDGAAVGKKIDASLDTEMDVDGDWIEEIY QRVPASKLDATVPRSNVGVIVFEDEKEQQFFYGSGEGDSDAEMWQDEEDENAEDYYGA DYPEDEVDPDDEFDRNPYRFRTGNASDDEEFDLHDYNEEDDEFNEFADDENDTDAHIM AGEDPAVAARKIRHFLKRHGDGHLY
SAPIO_CDS5894	MSMLRPDAQSTTVGWAGRVSSTGTPSPSCSPLSSIVSLSPQAKE KLPHVPFLINDGGESDVESWAGSAGALSSPRHSRLSTPSDNGTETRPEVVRLPCFNDI VRFAGGIPSHSTSAPAPSSASWNWPPTHQGHHQRPQLPPPPTIPVAPHRHMPPLTDPI HGHKKTRKPPTNPTGLPRTNRKYTQEQIDFVLYLRVDRKMNWQDVTPAYIRQFPQEAG RTTPGLQGSFYRENLAMPVIDVNGDLVFDENGEQVVQVSKVREQPKKIGLLDRYPERA IDYPWVDYKDKTRVWNRGKRYQQQLAAAKLRQQRRQAFT
SAPIO_CDS5895	MSLETIYVVRHGFRCSYFVDPVSGVATTTVLSPTKIAADTPLTA HGVDQARQLGAHLMSLEPPIDAVYSSPYYRCLQTISPYISLRNEQAAKSQEESGALLT PLVIRSETGLGEFYGQAHFDQPKPAQMSFLKTLFPVLDDSFESGVVAEKKGESIEGLY DRVRSAVEKIIERCDAEGVRSVILCSHAAVIIALGRVLTGQIPDRVDVQDFDTFTCGL SIFKRPTTLSSNYSSTLADLDVSVQSPDGADDQAADTAKPVPVTSSTTGSTDTAQKPT RLVGNWICQANSDCSFLSGGPERGWYFTGDEAFIFGDPVPSGDAASGLPDDIAALHTS RL
SAPIO_CDS5896	MSVLQTEDLVSYQLRSSYLDDIADGVGERLLTLNDGFLNSAAYK AAGWRTNHALSKRTHSPPIPTAIASEYFQAPRFARHTLEDEADDAGMLTVGGDSTLGP GMAIKRRRRREQMEEDDSSDLSDESDDESDTRPAQQIKFAKMPVRGRAGSSPLQSSNL RQMTTASSPHATGHGLRRGSQSALDTVKERVRRDTVTSSEISSENEFDASGFHRQREQ ARLAARMAAAKASRSRAKSNDDIVPGLPRHDSLPLPEEEEEESDASDISDAAFAESID SASILDAVDNPIHGSPTIQVVGTPPRAFTRQSTIRRSHAPQPRVLEALPPPRPMSTIR PISMVQPVSMLSELLGSKKSKSKPTMPFQTFASLSGEGDNRRPMLLRIYVPFSEDPDE PFEVLIRRDVSDDAGGNFRVVTVGDAIGLSLLRYIQEKRQPPLPTEKLNVNWYSLRIV EEGGEIDDDFPPLERKKPLASFTTVNNRAAASNFQQGAATRGGGRNRANSNKAHDEFA LVQASASEYEENKKITPEYEPDEEEIDGDGGGDDDKDATPKNTTPQPDLAASRAPYQP RQNPVLTTAYRPGALLDQPQVVTSVPHARGQQKLLRVNILSSDTAPGQLVTLDVTTDT YLAEVLDLVCKKRQLDKVNHVLKIPNSGAVVMVDRPVASLGAVSELELHRRRFATDGP LTVTGSPSSASPKLPFTEGGQQKRWKKDKFMGVHPLAREALKQDELNNANYKKYTVWR KQPMRIVGMSERIFIIDGEYIHIMAASGAKGVDGGRKATTVHFSNVVGCKVMRKHPTT FKLVVYKATESKRYDFEAKTADEANEIVKELKKGISPYRDV
SAPIO_CDS5897	MRFTSVACLTLAGFAAAQDISSIISSIESAATSVIQSVTSVIGT ATETTLTGTGTETTLTETGTETTPTGTVVTETPTGTTTIKTSPTGTAGGAATTTTRRT TTTTSNPGSYQTAAVGLGALFGGAAIMVNL
SAPIO_CDS5899	MGGLILLLTLCVVMAIASFLAGAVPLSMSLTQSQLRFVSNIGVG ILVGTSMIVIIPEGIGALMPHPGASHSHKVRSVDSISAIAARSPLEIAISGDIPTVNS HSRPRESLSSRSEDDDFDDRFDHSHDPDPDPEPAPEPAPDHSHDDTSSPPPPGPPIRE EVHFELPTFAIGFSMILGFILMFLIDRLPKHAAGNQPASLARDIRLDTLSISPSGSHN DESGPFIGIMGPSHEHSKSMATTTGLVIHAAADGIAMGASSSTSNTRLGFIIFIAIMV HKAPAAFGLTSVLLRQGLSKRAARAHLLVFSLAAPAGAIATWFLITILGGSNLEGENG QWWTGMLLLFSAGTFLYVAIHAMQDEGHSHDEQPVMNGYGNTPQPKGPELRDTLAIVG GMLLPLLTHIGHHH
SAPIO_CDS5900	MTTPAPDFDQQLTGSPPPPPTPAASPGPSHQQPDWSDAADDEDF FLAKVRQHFQNCSGPQRTRLLADLLNLCTSQQLSFVHQFVSPLLKKDPFTSLPDELCL RILSFIDDPKVLARASQVSRRWRDLLSDDMTWKNLCVKHDYGRRLSDVYHPTISAPSP TRVTINSLLLADADRSASCFSNSLSASSATVSASHSMDASHSMDGSQLSARPKPRSYK SHFKQRYLVEAAWRSGGTSVTCNITQEGGVVTSLHLSPKYIIVALDNARIHVFDTDGN SQRVLQGHVMGVWAMVPWEDTLVSGGCDRDVRVWDLVTGACLFTLRGHTSTVRCLKMS DANTAISGSRDTTLRVWDIRTGLCRNVLVGHQASVRCLEIKGDIVVSGSYDTTAKVWS ISEGRCLQTLQGHFSQIYAIAFDGRRVVTGSLDTQVRIWDPNTAECIAILQGHTSLVG QLQMRGDTLVTGGSDGSVRVWSLERMTPIHRLAAHDNSVTSLQFDDTRVVSGGSDGRV KIWDLKTGHLVRELISQSDAVWRVAFEDEKCVALALRQNRTVMEVWSFSPPEEMLYDR PLSLLPRPLEDAPNRPMSALPFDFRRSQDGLAGSSRDSQDVDMCDAGPSTAPLQGGNK TFFHDD
SAPIO_CDS5901	MFSRALRTRAVPSCLRSRGPTTFAATSRTVTTDAAQSSLEQPLP KSDDEPFNIRLSDESFETYELDPPRYSLEVTKKGLKQMYSDMVVIRQMEMASDRLYKE KKIRGFCHLSTGQEAVAVGIENAITKEDSVITAYRCHGFAYMRGATVSSVLGELLGRR QGIAYGKGGSMHMFTKGFYGGNGIVGAQVPIGAGLAFAHKYNDDGKATIILYGDGASN QGQVFEAFNMAKLWKLPALFGCENNKYGMGTSAARSSALTDYYKRGQYIPGLKVNGMD VLAVKAAVQYGKEWTAAGNGPLVLEYVTYRYGGHSMSDPGTTYRTREEIQRMRSTNDP IAGLKHKLLDWGVLSEEELKNIDKEARAHVNAEVAIAESMAPPEAISQILFEDIYVKG TEPPFIRGRTAEELHYFDN
SAPIO_CDS5902	MAPKQATLGYVKPAQTTIGRFFAQVDGTRPRAAPRQTTLSFSTK SNGPAEKKEVKEEIDAGDVSPQSDTKKRSRAKNEELNASETESETKSIKEEAEAPPSK RARRNRKRIEDDEDEVMTEASAPSPKPKKESPVRKRSRSRSRSPTSPVKPKSAKAAIG KAKASKIKKEEEEKEKDTEERSIVSSSEDEMEVLEEEEEERPEITAKARKEVQTIIAS KGKDPYPDWKAGAPVPYAALCKTFSLIELTTKRLQIMEHCSLFLRQVLRLTPDDLLPT VLLMINKLAPDYAGIELGIGESLIMKAIGESTGRSLQIIKQDQKEIGDLGLVAVKSRS TQPTMFKPKPLTVRGVHQGLMSIATVSGSGAQQRKVDLIKKLLSASDQNSTGKVDITK DKGGPSEAKFLIRFLEGKLRLGLAERTVIVSLAQAVVAHEAEVKGKLPSTSDMEKGES ILKQVYSELPSYDVIIPAMLEHGIMKLRDNCKLKPGVPLKPMLAKPTKAITEVLDRFE GQTFTCEYKYDGERAQIHYLAKDAPKGEAEDLKNATHLKADGVAAIFSRNSEDLSRKY PDILAKLHAWVKTDTKSFVLDCETVAWDVSEKKVLPFQQLMTRKKKDVKIEDVKVKVC VFAFDLLYLNGNPVVEKPLRERRELLTQSFVPVEGEFAFATHMDSQELEDIQTFLDES VKASCEGLMVKMLDGGESGYEPSKRSRNWLKIKKDYLSGVGDSLDLVVLGAYYGKGKR TSVYGAFLLACYNPFSDTYETVCNIGTGFSEQVLEDLHSQLSEIVIERPKPFYSHSSG TQHQPDVWFEPRYVWEVKTADLTLSPRYKAGCREGVDPSGEKGISLRFPRFIRVRDDK KAVDATSSRQVAEMYRRQESVTKNKAPAVDDDFEY
SAPIO_CDS5903	MSGHFIPNVDSSTPSSSRSPIPIQTPADSSDERDDGIEPFWVDE PDTGVLAEDPLNETRNEPITFKRKQKRNMLSSTARFFSAFTNAGGASRHHDEPPSPSL NSADGDGILRGIGVQGLDQDGLRGGYHPKEEPPLDWYVEGPGRRVAYENLTAIDWIFE YTKERQRLRVLRSSASGLLGYIQQLLDASQVWVILILTGIATGAIAAGIQVTTSWLGD LKTGFCSGGPDGGAFYLSKASCCLGYDQGSKCLGWTSWGEAFGIHSGGGKWFIEYLFF IIFSVILAYFASALVKEYAIYAKHSGIPEMKTILGGFVIRKFLGLWTLITKSIGLCLA VGSGMWLGKEGPFVHVACCVANLFTRPFRNISENEARKREVLSAAAAAGISVAFGSPI GGVLFSLEQLSYYFPDKTMWQSFVCAMTAAVVLQALDPLRSGKLVLFQVKYNVGWHGF ELVPYVILGVLGGIYGGLFIKANMFVARWKKSTPWLPSAITQVIMVAFFTALVNYPNV YMKLQTSELVSNLFTDCTKLLEDEIGLCKTGAASAGTVVLLIFAAILGFGLAAITFGL QIPAGIILPSMAIGALVGRAIGIIMEILVNSTRGFILWDSCEPDIPCITPGTYALIGA AAALAGVTRLTVSIVVIMFELTGALTYVLPIMVAVMIAKWVGDAFSRKDIYESWIHFN EYPFLDNSDEIIVPDVPASQIMTRIEDLVVLTATGHTIASLKTILDAHPYRGFPVISD PRDAILLGYISRTELAYNLHTSTQAPRSLPPETEVFFSHQALADPRTTLDLRPWMDQT PLTLPSRASLHLAVTYFRKLGLRYVLFCDQGVLQGLLTKKDVWYVLNGAEETRRSSRM DGRGESHGEDAGDSGAEEVGLLAGVDGSISPGEGDDESMF
SAPIO_CDS5904	MAEAEPGQGPSAEPRKAPTISISQVQNLLNSKDDTSRFTGLAVL KAVLDDSPKLREDQEIITQLWNSISPKFLDRLIRTGSKSSGTGKDTREMLNLAVSIIH TFTTLLPADEKQNPRLVQRIPSLMSAILCSSKETTQLTLQAMATITSFPAGGNEFMAL DDITPLVEVAPAHPEALQILSFAWFNTMNGPEGPGQVRDKIDGTIRKLVSSFKGTDGV TLLEFLSFYLHYADPEAIPQNPQCFIGYLVRSLENDFDDESCTLVMDPNLLLKLRKSL SETMSVTIEYLRDRWDASVAGAMGLHPEARVGKATTGTGSHLTLAWDSANDSAGDDPM ILAAVRALAIWLREDESSMLRKEASGLLDMFFDLYKSSGPTKNDFRSAVLVALEGMLE VARGKEAFFENDGWAILVKDLLDVFHRTSKESNESDAYRGINIVHTLIRIAEEEDTGT REAWMDTVTSVAAWSPPDVEQPSLVREFQFAVLQLCAVLLARASPGLRKRYLHSTSAI VGIVNQLRQHIGDNRILQEQLQDVQETLESLR
SAPIO_CDS5906	MVLGPGEQHSNKTCATLADKFEPLCVVVGDGAVGKTCLLISYTT NKFPSEYVPTVFDNYAVTVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFS VTSPASFENVREKWFPEVHHHCPGVPCLIVGTQVDLRDDPSVREKLAKQKMQPVRRED GERMAKDLGAVKYVECSALTQYKLKDVFDEAIVAALEPPAHKKKKSRCVLL
SAPIO_CDS5907	MAAPQELADRTKMEDKKVYVDTDAGADDETADGSEQKPYKSLAY AYIQNFEKPAPAYLTRASVTGPGEDETARLAWKDPPKSAVKKAQGALDSHKKKVAKQR QLAAAEEEKKKHKLQALEDAKKIVLKEDETLPKAIKINIGNQDVELGDTEKKGTRVKV YGRIHRLRPQKHATFITLIDGHGHLQCILPAGDLTKNYDALQFAQGTSLLLFGELKKV PEGQSAPDGRELHVDYYEVIGSAPSEEDAITNKVSSSQNQWDAQMLDNRHLVLRGDNA SAIMKIRAATEWAFAKVYKDMKFVKVSPPALVQTQCEGGATLFSLPYYDETSYLTQSS QLYLETVLPSLGNVYCIEKSFRAEKSLTRRHLSEYTHIEAELDFIHFDDLLDHIEDII CRVIDNVLEDPTIAPLIKELNPDFKKPERPFMRMKYSDAIDWLNAQDPPIPNEDGNPH VFGDDIAEAAERRMTDIINKPILLTHFPVEIKAFYMKKDPSDLRVTESVDVLMPGVGE IVGGSMRMEGYEELLEAYKKQGIPAEDYYWYTDQRKYGTSPHGGYGLGLERFLAWMAN QHTVRTTCLYPRYMGRCKP
SAPIO_CDS5909	MTSLKPRDPYSPAELQALYPSTLALRQVQILLRHGERTPITSRF ENTGLPAFWPYCRDVTTLRSALLNGRTNGFTSFEWKRHLETFASDSDDSPKLVWGPHG DVDGICDNGTLTDQGRQTTHALGIRLRDLYVDQLGFLPKTINSTDWMYLRATPIPRAR ESLQQVFLGLYPEEDRSPGMPAPAIISRNPAQETLYPNDLHCRRLAHLARQFSKRAAE RWNESPELRYINNRIGKWMPANRRVAIDSKPSLVGVLDTVNATLAHGPSTHLPAEFYD PLLLEIGEKLAIEEWFAGYAESNEYRKLGIGSLLGDVVQRMVISSAQDPKDEPPLRQH QHSYADPQRPIKFAMSGCHDTTLAATLTSLGDRSALKKWPPFTSHIAFELFSRNSGDP PRTDGVVSDELVRNDTARAFLSNKERWGEKEEKALDGWFVRVRYNDEPLILPGCRKPG NHLDGDVSFCTLSAFKSIVDKFTPTNWRRDCRANIDAPAFPEKPEPAGY
SAPIO_CDS5910	MSLRGPLVHLHHVEHHFSELPTELRLAIWNLNLHRPRIVPLHID AQSPSETGSEPFPSLLAIPQCVSPCPAPANLHVCHEARREALKFHRLSFGMYRQPGRI FFNPDSDILYFGSHEGFGASEAHFRTAMSLCSPEDLGSVRQLAINEAVFKVGCKYVHS LAADVLALVRSRMPALEELVFVPAGAVEAEDVAYSGYDADLVLIDIGGTKETIEMSRQ VKMALRDFCERYPDWKKPKCRIMAMVRSSKRKKNS
SAPIO_CDS5911	MSESKRQMNSSEFEVDSHDPPIQSKVKTLGLLDNVRTALTALTL LSALTILAVSADALSVYQATHLPDDFLLPLWPANFNIRPTTALVICSAIVVVTSSVSL GFGRIKFLNDQAVLNAIFVLVPAVTSLIAAIIAISFFYTVNASTEVDTVQSWSCQWRD VAMTMRPHFGTLCRQSQAGIGLAVALVPIQVIILGVAAGELVVLKAVNAVGVPSRKTA SPHTF
SAPIO_CDS5912	MGTNNKGSGKSSISSVVFQKLPPNETLYLESTARIQKDQMASFM DFQVWDFPGQIDFFENPNFDIDAIFSEVGALIWVIDAQDDYLEAVARLNATILYLQRN YSNLNIEVFIHKVDGLSDDYKLDIQRDITIRIQDELSDHGIENAPVTFHLTSIYNHSI FEAFSKVIQKLIPRLGILEGILTNLCRTCRFEKAYLFDVLSKIYIATDSAPADMASYE ICSDYIDVIIDITEVYGSWPRNSEQRKKLEGEPWNMKLEDQVACPCAESCMMLHDGNR PIMLREVDRYLALVAIMKEDSYDKMPLVNMNVEAVVQGLKEFFEITKPRK
SAPIO_CDS5913	MVAQIALSAFSETKYDHATQPGLPVSSPIVDLSVTWDSAAGNVF IYRPKNEVVSKIHQGAKGRRGEPSTVTAVKWKPDGQFVAVAWDDGYVRLMGLENNKAA HNIKVTEAGEAKISHIGWTSNSVTKKSERRTSTASSTWLGVLGKDVPAADLPRELTFL EVDTALPKISPLPSGSAGNGEDSTVFTLRTGIDFLFQPFKPEDSEEISVMIVGTDKGN VHLSIYDSFTVGTFNYPSPKDAHADVRLIHHTSHHDLSTHSLVFKSTGESRYTLDIVP MDLSFIVSSPINLSLLASKLTTLQKLLRYLRQAFLHMQVEYKNTRDLPSRFLANVQED LEGAEMGPRDIVSALYHTLVTGHTYEIVKEWLVDSLAERGHKRWDRAVVSGLENLRSI IHENFLPALSRCGVILSRLKGLAQFYESRDDTGLSAIQISRLMDTVACLTLVGHRMLL VVMEELELFTSFSLWLRFQIDRLATSSGSDELMEKEATMNNGHILAYIKKYLLRSPLS IFLVDDETGGDWEEIAKGASLLETLDAQLKKHENGQQFAEFLPKVHWLLGHLDDKASG VFSDIAQAQKRSVRFGQATTISLDQEITGVDICMDAVHGSGDLLDGLTYTAVATKERD SEILLLRTSMPIVNGISGTVETGCCTISIAPKKVIDVKFLTPTLLLILGSQTGAPPSL TSLPLKTATLPWTSYTPSTPLPPPRELEPSSVATTQIPLGKDGDFIPVQMDIHDKSDV RGPMPARAYENVANRNRLSEVP
SAPIO_CDS5914	MVVLAASICTRGGKAVLSRQFREMPRSRIEALLASFPKLADSGT QHTTVEQDNVRFVYQPLDELYMVLITNKQSNILQDIDSLHLFAQVVTSTCKSLDEREI LRHAYDLLSAFDELVTLGYRENLTISQIKTFLEMESHEERIQEIIARNKELEATEERK RKAKQLEMQRKESARGMRGVPRTPVYPTYTPPSRPAAPQESYDSYEAEKNKTFNKPVA LRGKGMQLGKKSKTTDMFERVRGEMGAEIDDSPLVAPTAAPAAQVVSPRASTTMDRDA IHVIISESITANLSREGAVNSISVNGDLTLRISDPSLTRIQLGLTANSSHGVQFRTHP KVDRNTFNKSKIIQMANVAQGFPVNNSVGVLRWRAAPKTDDSSACPITFTVWINKDSD KYNITVEYELTGGDSLKDVSVLIPYQASEPIVSSFDATYDIMGDMLEWTIGSIDEDNG SGSFEFEAEANDENDFFPMTVRFQKTSPYVDVDVTSVSLVDMDEEITFSKDVKSTAEN YVIE
SAPIO_CDS5915	MAAEVSQAPLEGQLDTDLTFGGDLGRDTGLELPESNESAVGITE AERFSADPASKATDSLNEIDWEEHPQPNNNDESPAELELNDTTNGSAPHSSFDVHMDE SEFKLDASADAVVNAGGEQEEGEAENGGFDDDGGGDASNLNDNSVGEEVVEHEINYDE EEDDEKLDADIEVEDVSLEYPPTPDQGAESHVHSDAEDVSEEISHDVEEEKDEDEDQS EQVAAPDDHSTDESEDDQGELPTSCPDISVTYRSQEYPLIHGQNNADVQMGFFEDVAV LDLTVDNLLSRFRQELVDDLGEQDELVLQVDELGLEYAESTQRDHLSGVTLRQLHEIF DQLVKNQDPDASRPLYTNLIVRPHPAKRLELLIDEAFNGKGLDEIIYWFQPNVHGQAG HTESNVDMNADVSSDGDGADEYISGDAEEEEVSANDDLVSEQDDEEISENEPEPSGDD RDDDITEDQYYDNGSRDLDEVEDTADHQYADEVGMNELTEEQTELLESAQDNEALIND LVAADVTEVEEVATSNDKVHDDLTTEQPADEVLDEGFDLIEMIDDDDNDGALELGQAD AADSSATATLDGHADNEIDYDDHNVNGDAIPDIGESAIAGEPLEEIDWRDFPDENDAS NEKETLSVSGKRPHSEINDGEEFDLDNENDVKRRRS
SAPIO_CDS5916	MMLEEKYIGLGLAMTSSLAIGTSFVITKKGLQDAEKRHGFEGEG FVYLRSPIWWAGIGTLGIGEVCNFAAYAFAPAILVTPLGALSVLIGAVLGSYFLKEEL GILGKLGSAICLIGTVIIVLHAPPDEEIETIDQILHYAIQPGFLLYAIAVAVYSIVMI YKVAPVHGKKNALIFLSICSTVGSVSVMAVKAFGIALKLTLAGNNQFSHPSTYVFLLL TIVCIVTQMNYFNKALACFPANIVNPLYYVTFTTATLCASFILFGGFNTTDTVTIISL LSGFLVTFAGVYLLNLSRGDPNGHKLISGRPSMDVSGTDMISSIQTRLSMQSRRSLDP HRRLSVSSQHGDREGLMRGYDEESGAFGLTDLAEESDDDGIGRPNGSTNGNKRTYGDS IELQERKSDS
SAPIO_CDS5917	MSPVPGTQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVR VTPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSA VAQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGF MIHSGQPALDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKSGPQKTLPDTVTIIDPK EEQPILQPASIDYGAKAAQLAAAQEAQRAAEEAEEAPAAEQ
SAPIO_CDS5918	MEVRKVFDNVQGINGGLTSTGTLRLTDFHLVFCSPAPNSQQSKD GAPPKIRESWITYPMLCYCAFRPTPPSSGIPSSIRIRCRDFTFVTFNFQDDKLARAAF DFIRARTCRLGTVEKLYAFSHMPLKPELGINGWELYDARAEFRRQGISEKSTDKGWRI STINQDYKFCDTYPGLLVVPSSISDLTLKYAKDFRSRNRIPALSYLHPVNGCTILRSS QPQAGITRKTNPQDEKLVCAAFSSNMRSGMIGDLARPKLASEPSSQSETPPDSSVSDV SLQSDIPEMYDSKGKRLIYGAQQSNLIVDARPTINAIFNQMQGYGSENMEGYKNTQKV FLNIDNIHVMRSSLNQVIEAIKDADISALPPNRDLLAKSGWIKHIFHVLSGAIQVAKQ VGINHSHVLIHCSDGWDRTSQLSALAQIMLDPYYRTLEGFMVLVEKDWLSFGHMFRLR SGHLNHDDWFVVQKDALAGSTVQPGESDGRGDALQNALDGARRLFGQAKTDPQLEAMT ETAPGEVVESEITTKKMVSPVFHQFLDCAYQMQRQFPDRFEFNERFLRRLLYHLYSCQ YGTFLYNSEKQRHDAKVFTRTSSVWDYFLSRRVEFINPNFEPVIDDHVKGRERLLFPD IKEIRWWHQVFNRSDEEMNASLDAMAAMESGRETMMAGLEVPTSTIQSNSQPTTPLRS LSPMPPTTLTTSQSALDSVDPAKDSLTPEAAVPALHRSASADANSGAFSTIRDGLAGL RLLNPLGRAGEASNAAASVHREQEMREMTSRDDELSFNDAVVIDPSEVPDDACPDEDL SYWILDLSTCTIIGSSDEKVPSHSVACTPLV
SAPIO_CDS5919	MPTITVDKYKLYEALGQKFTTEEFEDLCFEFGIELDEDTEDSDR PIVDGKQVPPELKIEIPANRYDMLCFEGIALMLNIFRGTMPPPDFRVLEFSNEKVETI TVAQDTTKIRPLVAAAILRNVTFDQDRYNSFISLQDKLHQNLARQRTLVSIGTHDLDT VQGPFTYEALAPEEINFVPLNQTKKMNGRELMEFYDKDKHLGRYLHIIRDSPVYPVIY DASRTVLSLPPIINSDHSKITLDTKNVLIEVTATDATKLDIVIKTMVTMFSIYCGDRF TVSPVKIVSDHNGQTRVTPNLDHRTTEVEVDYLNGCTGLGESPERLCKLLDKMAYVSK PSPKDKNLIEVSIPPTRTDVLHACDVMEDLAICYGYNNLPRSSPNKSATIGAPLMINK LSDIIRNEAAMAGWSEVMPLILCSHDENFAWLNRKDDGNTAVRLANPKSAEYQVVRTS LLPGILKTIRENTAHAVPLKVFEVADVVFKDEALERKARNERHFAAAWYGKTSGFEMV HGLLDRVLSMLRTAFVTHEEGLTSKVGSSLQAASGSGKPDGYWIEEIHDDTFFPGRGA AVFLRVDGVVKRIGELGILHPTVLEKFELRYPVSTLEINLEEFL
SAPIO_CDS5920	MADAQNPNDELYPIAVLMDELKHDDVLLRLNAIHRLSTIALALG PERTREELIPFLDESVDDEDEVLVALSEELGGFIEYVGGPQYGHVLLSPLENLASIEE PVVRDKAVESLNKICNDLSSEQIEEYFIPLTIRLSKADWFTSKVSGCGLYAAPYKKVS PPVQEQLHQQFGHLVHDETPMVRRQAATNLAKFVKEMPASVVVEEMIPLFQHLAQDEQ DSVRLLTVEVLISIAEVVPKEQQASHGVLLTSLRNLIEDKAWRVRYMVADRFEKIAKA VDEEVVARDLVPAFVKLLKDNEAEVRTAIAGQIPGFCALVDRSVLLDTIMGSIEGLVS DTSQHVRAALGTQISGLAPILGKDATIEHLLPMFLSMLKDEFPDVRLHIISKLELVNQ VIGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVNFFNEKLSNLCMSWL GDTVFSIREAATVNLRKLTEVFGVDWANEAIIPKVMAMGNHPNYLYRMTTCFAISTLS PVVTMDVIAKSILPMLDKLAEDEIPNIRFNVAKTYGTISDILRRLPDQGTIYSLEKAG ASFQPSPRGLELIQQRILPNLEKLQKDNDVDVCYFAVTAAQRATGQGEGDPMNTSP
SAPIO_CDS5921	MADHPPSHPAHLEPSKLGTKEYWDALYSTELTNHALNPSDTGTV WFDDSDAEQKLLDFLPTISPALSPETTSLLDLGCGNGSLLFALRREGWSGPALGIDYS ANSISLARRIEEAERAEGGGPATRFQTWDLLRGDLEDVLSGEQRGGWDVVLDKGTFDA ICLSDEKDEQGRRICEGYKDRIAALVKPHGGLFIVTSCNWTEGELRAWFVEGTGGEFE EWGRVEYRAFVFGGAKGQTISTLCFRRG
SAPIO_CDS5922	MPFGRLGKHGNRSQHALPILEPSGSASTSGAAKDFQEVSNRPGS GSGSSGAGAPSSAAASAASAVAPGLSNAPATANPPHPASPTGFGSSESLDLQHQQQQR QQAQQTQQGQRPPSQPQPHARQQEELAQNPQSPHRGQDQDEDDQHPPPPPPHHFKPAN KITKLPPPPTLHNQSAAGAGAGGQSAPFDPRLSGHDLSAPVTHPSQAHHYPPPLQHRS TFSDSVDDLQQQIASPAAAAAHVHAQAQAQAQAQAQAQLPAHGLGLGRHQKHSSHHNH PALPHPFSQQPPVAPLQSNQQQQPPPPQPEQKRSARKIIKGIFTSSSSKENHNQQQQQ QQQQQQQQQQQQQQQAGHYDNTSGLARRPSKRVSNTPNYRYSLTQQVSQLSIDQDPHQ PTDWQQQHHSPHPLHGQAPPPLAGVGELDEEFVARGPDIHPHHIKTLSNPLNTTIRQV HPDLEAHSPYPGEEFQLQSQQHHHHPQQQHSLPPHQHPGIIPQFQLQQDPNQQHVQGN IDPLQHQQPQQQFSYPSSPQVQYQPGLPQLQTGHLPNPLQHNPETCSQVSRESPIAET APASSHFQSSQISPAATFASQSGQESPLPPLVAETQQDGAHDQSMAPPPSGAQQPRRS QEVDRGQPGAPPPSYRHAQVMPPPPAGAQQNQPFRGAGGQDRMPYDGSTGDQGRNSPQ PEREDPEKAFRELLVKYKNVKRLYFDNKAQIEQMTGQIEHLQNAIANQRISQSRTALD DSEYATRFNRLNGAINNLSFNIRKDWQMVPRWLDRYVSQDALQTGKQEMTAVGRAVIT RWIVEEVFNRCFHPGLDPVLSRQLKEIELNIRRNSYTLNSQEEYDALTAKVVSWRMAT LDGLARELNSSDSAEHRAEFTRRVTSTLLSTLMQYLNDPPPAGVDGSASMIMELAVGI AANLPLESRDVVIRYPLPGDPLDPSFMEVEKQGLPSLEGLEAGDDEDDDDSGDPNEKP SSKDRHADRSRTGPSKDANRVRLAGFVALEVRGRQVLIKAPVWTLG
SAPIO_CDS5923	MASQPQAKKANPKSKLNQRSTTPLHIYVAGGSDIRETGLGGEFR DVEPDVVTTEGAVSVPVRQPRLNLEDNAIVQISVGQKHTAALTQKGTVLTWGSNDFYA LGRDTPSVGHEGWPEAVQALVNLKLEIVQVAATDHATFALTSNGRVYGWGTFMSDDGV IGFLKDLIEHKTKVDPDELIAKIPTPIPGLKDIKELATGSNHILALTRTGNVYAWGSG HHGELGRRLVYRHQFESLTPRTISLPKNQIVRIFAGFYHNFAVDKKGRVWAWGLNNFC QAGVPAEVEEGGTDNLHISHPVQVQTLEGVQIRHMAGGLHHSIACAEDGRILVWGRCD DSQMGASLERVKDNFLLKDERGVPRILMMPLEIPGLKAQFVAAGTDNCFAVDPDGKIY AWGFSDNFRTGLGTEDSVKEPTLVSTEGLSKLSAKLVVTRGPFTFFAGVPAEVDVASE DD
SAPIO_CDS5924	MSSDPSQDPQWDSPMEGLESETEGSPLSWGPSTPSYDSDSEMDD APFATITLAAPKKDTGKTQKKAIAATKDEESSEEEEEEKTEEKEEEEEENEEEEEGGE KEGGVKEGREKEQEKEQEKEQEKEEEKEEEREEEEEEEEEVVVPKRVTRSQTGKKPAT RGGRAKATAAPRPSPQPTPKTTPKPATSGGKARAAAAPAATSQPAKGGRKTKPAAATS ANPQTATRGRKPKAAAATSATSQPAKRGRKPKAAPDPPAATQPAKGGQQAKPAAKADD GKGTPAKSNNKKATGKEATGTAANKKASEDKAKGMNKRPLNDPGSNTGNPPKKQKGGG KGTASTGQTEETPPPETAVDIGPAENPIPRTEMALFTFGTGKEGELGHGDKCLVCEVP TPIKIFKEVIVGAACGPMHALALTADGDVYSWGSNNNGQLGRDTEAGPGSSTRYKPGK IDHKHIPEGVLFCKVAAGGSYSLALTTTGDIYAWGTFIDQDKMKGGENKGFYMRGGKL IDVQTEPVKLPRLRGIVDIACAEKHALALDKKHKVWSWGHGTGYRLGRHLDDPMDNNR AFRPAIVPRLPDDVVGVGAGYNHSMAFCTDEGLWAWGESKYGQAGSAVIQSRYVDAPQ QILFDPCPINDVKQAAGGRYHSAVVTTNGRHYTWGLDDNGRLGFLFSARHLWIGKKYV APNISVAEDAGTVKVGGWTPIIIPETGNGQERWATAVACGPHHTVFIGEPGVIKSAGV GANYRLGGRSTRDRTLPQSIPIFCPDKNNPDMMRDIRREVRPVWAGAGETYSMIAIQA GDRHEIPIDQEKEITIKQEEVTPVKEEKETPVKQEEETPTKIKIDLEVTPSEGRGSGI GLRFL
SAPIO_CDS5925	MASGRRLKYGGLLVTACGVANAAIVSRAAHLPSSIQMLSVPSED TPIALEIGLRMQNIEKLEGMLRDVSEPKSANYGRYLSMSEVHEVFRPSADSSTAVTAW LESNGITDIVDNGFYINFATTISKANSLLNSSFSYFDVQGTKKLRTREYSISDDMARH IELVTPTTYFGTTKSHRPVKMPGPEAYGSRLLARQEGNSTERSPCSRLLTPSCLKEMY GFGDYEVDPESSSRVAFASFLNQSAVPNDLDVFTETFDLPKTSWESVIINDGDDHQDP RGNVGEANLDSQMLALSGQTLPMIHYVTGGSPPFVPNLRLDADSNTNEPYLEFYQHLM DLENHEVAQVISISYGDDEQTVPRDYAIRTCNLMGMMGLRGVSIIESSGDGGGTQGYD PEIAWVGSSGGFSNYFERAWYQEAAIERYLDEVLDPDLKEEYEQYANYGGRGFPDISA HSSSPSYHIIIGGSASFSGGTSAAAPLIAGMIGLLNDARLKAGKPTMGFLNPWLYSEG YQALTDVVGGYSKGCDGWDTQSGREVPGAGVIGERGAYWNGTEGWDPATGLGMPNFKQ LLKLAMGDNVDVGDDGQEGFDERGSDNDTKDGSKHMSAEKGVEGGVVR
SAPIO_CDS5926	MRVFEDQDQFRFETGSFTGFRPLRQLGSGYLTSPGHFKQPLCGN EEGWGPLSPHRYDFTPCFIDVWVATVAAFGIVIGGIAVAWLVKRCTPVDISKNWHFWL KQSLLAAVIADFVLQLAIQIISYPGIWFGDFRVWTTAATIASLAVIFSIQWLEYTRLR NPNGVALFYWLFLLIALAVKLRSLISQQLYHSDLWYFTIYAVGCGLSVAAFLAEWIWP KSDSRYEALEEFEEECPLETATVFSRLTFSWMTPLMRYGYKQYLTEDDLWALAHTDNT KTTGDAFDNAWQHELEHRKEKPSLWWAMGRAYGGPYALAAIFKIGNDISQYVQPQLLR ILIGWVDSYRPGKEPEPVIKGAAIALGMFSCAVFQTAMVHQYFQTTFVTGMRIKGGLA SAIYRKSLRLSNEGRSHKTTGDIVNYMAVDAQRLQDLAQFLHQIWSSPFQIILCMVSL YHLVGWSMLAGIGVMIIMMPLNGWISKVMRNLQKKQMKNKDARSRLVTEIINNMKSIK LYAWSAAFMNKLNYVRNEQELKNLRKIGATQALANFAWNTAPFFVSCSTFAVFVLTQD KPLSTDIVFPALALFNLLTFPLAVLPMVITAMVEAGVAVRRLSDFLAAEEIQPDAVAI KPSPDAIGEESVVIRNATFSWNRHESKTALRDIDFTAYKGQLSCIVGRVGAGKSSFLQ SILGDLWKVEGKVEVHGTVAYVAQQPWILNATVKENIIFGYRYDSHFYELTVKACALL DDFVQLPDGDETVVGERGISLSGGQKARVALARAVYARADIYLLDDVLSAVDSHVGRH IIENVLGPRGLLHTRTRVLATNSISVLQEASHITLLKDGEIAEKGAFQELMLQKGLVA ELVKTAKQDQNGGSSSDSSSSISSSESATVHEADLKDSDRDALDEAGEPIPEAQHGGE TDGKKRAMSIATLRRPSAASFRGARGKLADEEGNNSRTKQKKEHSEQGSVKWDVYTQY AKDNNLGAVAIYLFALVAAQCVSVAGSVWLKRWSETNQESGYNPDIGKFISIYFAFGV GAAALTVGQTLILWIFCSIEASRKLHERMANAIFRSPMSFFDTTPTGRILNRFSSDIY RVDEVLARTFNMLFVNLSRSGFTLMIISVSTPIFTALIIPLGFMYRWIQKYYLRTSRE LKRLDSVSRSPIYAHFQETLGGVSTIRAYRQQDRFGLENEWRIDQNLQAYFPSISANR WLAIRLEFIGAIVILSAAGFSIMYVAGGSGLTSGMVGLAMSYALQIVTSLNWIVRQTV EVETNIVSVERVLEYTHLPSEAPEIIPGNRPPVSWPSKGAVSFNNYSTRYREGLDLVL KNINLDIKSHEKIGVVGRTGAGKSSLTLALFRIIEPVSGNITIDGINTSTIGLLDLRR RLAIIPQDAALFEGTVRDNLDPGHVHDDTELWSVLEHARLKDHVSSMDGGLEAKINEG GSNLSQGQRQLVSLARAMLTPSNILVLDEATAAVDVQTDVLLQATLRSPLFANRTIIT VAHRINTIMDSDRVVVLDKGEVVEFDTPQELIKKQGVFYGLVKQAGIETD
SAPIO_CDS5927	MGREWSRDHQYPRQPISWQREGFPNEQPQDDARYSEPQELRRPP VGIDKALRENCNIPVKAYFVAAILDDGSSATFSGPGALSINQIKQFFHMETFRNYTQQ ALTGAPYDDSDYGGENSGFRSGFGRDFSSRRMTERRRTSALDAMDSDAAYKARKRPRS HSSRQLLNDDRDIPLTIAATKKPIRIGDTDVVWSFYQQRFKNCQQTACKLIAKAWVKA VEPKKQSHHPYTGKDEKAPEWWPKPWGPMKDERVRHKEPDHLYKKERVHLLAHILRLI VEPSHKQHPDVQKSCLNVKKLEEVTAEALSSFFSDPENPGNSKKRPYLTEIFKIAKYE ERYKNGEIDGDTEVFVMADDKIPEFYQNESDGSLKTGREKHDDQDAPIGHRGLSPPKP VAPHPMIPTNAATGATNTAGNISAPQFLSELPVRGGSHLPTQLVQPEMGSEQQASYVE SAGIGGVANTSIHHTAPSLPMSEILASPHDTTDRRHSLVFNSPTDFSASGNTAMYSQQ WQPASTAPATSPMYSFPHQQTPAPQANYGAQPNMSLQQGQQQYLPQQYEGLQRAAAPF DPNHPHLYRQGPVTQPNVGHTQGYPNYLSSDNRGLPGSSIKPESLPRSHMH
SAPIO_CDS5928	MDDQTDSATATAETTPLLDPSQAKAPRSVSFNPNPVTRTIDPLP IRRPSSRQPRTSQARLTSGSYPAFSTGSAPTPPVLAAFNSRLRRRNSGGSLPTISAGA AAAAAGMGGVGQPKIGPQRSSKTAQKLKLLPEPDPWDEVDEESGRDVYSQFTRIKDPT ARRDAARLGKADREKLPRVTAYCTADRYDLDLLMRYMKGKGKKRGANPKLIDECIYTP YSYDLEGDVARRQDSGLGLGLRETTSVPSYERRHSADDVGAVSRGYGPDVAAASEPEE AAPGDAYGGANTTMRVSDGDLHSAAPERTSTTTTTAGTLIPENTPDFDTQVHTPEIFL FDYGVVVIWGMSVAEEQRLLKEIGRFELEGLPADVIETEEFNFYYTREYQPRIYNDFI TLRDKHNYMTKLAISHALAQSVKTSLFEELITTTIETCKEIPNQIALSGKVALTRSQI NMQIGELFTLRINIHLNGSVLDTPEVFWVEPQLEPVYQAVRSYLEMDQRVGLLNDRLD VIADLLAVLKDLLSHRHGEKLEWIVIILIAAEIFVAIINIIIDVYVGI
SAPIO_CDS5929	MAPKASRKSTQANAKPPFVPPANAIPQPLPPSVEEAYRRKCCQL RHRYNQIEEHNDAARLRLHRLRRQLDKLRVERAFLLEQISKRTSMNVEDSDGSPSPPP TPKEKPLRTKRGHRKPSLIPDLDLSKPAGSTPLSQGQNLSPSSENFSQDKVNGIAKPK KPSNAFEIYCSDKTDEFKEKNQDKEDFNLEAELARGWKDLPEKDKEEFQAKYEDALEQ YQKDMEAYTKKKEKEEKEKEKEKAAKKSGDADTQGADTEPEGDRDHDVDMDAKEAAAE EGGDKETPSADKDAAGKKSAEKTPPVDHDEEMEEAQGDDEKAKAKDAEEETAEEGKQP QDSGSQDDDVEMVDHPDEKK
SAPIO_CDS5930	MPLKDHSRKYDIVVFGATGYTGHRVAQHIASQFPINLRWAIAGR SEAKLHEIAQECEKINPDRTQPQIEICNLNQEEVDSLAKTSFVLITCVGPFAKHGEFA YKACANHGTHYLDTTGEAPFTKSMIQKYENAAKTSGAIMLSQVAVQSAPADIITWTLA KNLKEKLDADTGKVTVVTSIDSAPSGGTIASALSLFETFSLSQIRNAFKPYNFSPVAN PTRRPRDPSNFLTPLTGLRTLPDLGRVTTSIANRVDGNIVERSWGLHQTLPSLRARVA YGPHFSWAEYASAPSALQGAAGHLGLMVGGAVLTLLPPVRWLVKKFVFAPGSGPSVEA AKNDSVVFRAVAEPDPPKEGKRAFGQAEYHGSMYTFTAVLNSQAALTILEDKLDLEGG CYTPACLGQGYIDRLAKQGFAIKSEIRE
SAPIO_CDS5931	MSLNVPDAPNAGLFKGGYNNYDSEDGAVLRNIDACRAISSTVQT SLGPYGRNKIVVNHLQKMILTSDAATILRELDVVHPCAKLLVMASQQQEAEMGDATNF VMVFAGELLKKAEELLRMGLKTADIVSGYEQAQKHALEALEELVVDKVEDIRSEEELA KAIRTVIASKQSGSEDILADLVSHAILAVLPKNPANFNVDNIRVVKIMGGSLEQSRLV RGMVFPKEPNGSVKKAKRAKVGVFTCPIDIGQTETKGTVLLKNAKEMLDFSKGEEKQL EAAIKELHDVGLRVVVAGAQVGDLALHYLNRYGILVIKILSKFELRRVCRVVGATPLP RLGAPMPDEMGTIDVVETLEIGGDRVTVFRQEEEATRTATIILRGATQNHLDDVERAV DDGVNVVKAITKDPRLVPGAGATEIQLASRITAIGEKTPNLPQYAIKKYGEAFEVIPR TLAESAGLDATEVLSRLYAAHHNQEDWDTGVDIENENRTGTLDTEKEGILDLLISKQW AIKLASEAARTVLSVDQIIVARQAGGPKPPGPNPNWDED
SAPIO_CDS5932	MTELDQCIICLEPLVILAKPPSVAAATQLDTALEVPTDPPDPSA LLGQDGANSDTNSRIASLDGCDHVIHDSCIRLWAQKTNTCPICREPFRQLQVFDGVSG TVIECIEIEEKKQVAEFDPQTWLADNVEEEEEEPEQPCPVCNSSEREDVLLLCDGCDA AYHTYCIGLDYIPSGNWYCIECADDFQPPVQEEDAEEQPVRRRPNRRRTVGRPASRFF PRTRERMRRARRQARSLAWTGPWGQFSGRVYDALQIDLDNHEDDDALAEFRISQMLRE REQREYQRWQQRVSIANRLGAGEVFTNSLPRAARQQLEQRPPTQPVQESRDEVRSWGA LERARVAEQSVSSSQAGRKRRAPSATASPIEPAQEPERKLKRPRTRRILPAHSEASSS KSSVPPAGRSAENGSSSRSTEQEAPSFLSALLKEVEVGMGTASDDENIKTFFGRAPTD AASPASSPTVSAHNSPRALSLTPPPLSLGRPSSPPLSLSSYVEPRYPKANYSPTRQQA SPREQSDTETRSEKNSVPNGVQNGVQNGVQNTQTLEIRQPRPRRPQEESAARSIDTSP VRDQLSPEAKASINGIVRSALKPHWHARELTTEQYSNINRDVSRKLYEEIKDPSLLDD EAMKTWEKVASKEVARAVAESKA
SAPIO_CDS5933	MDDAAWGALKEEFPGDWTWMILSLVPSWMLPECAAKHSVGYCRS CAMKPATSMAAGVQYSCPTSGCGHVYTPDQTRLLARFALRLLADKPSLFEVSKRTSSN QGEQSLGNSGLPESEATRHESIFLPRMWPQPYDDKHRAPGAILLYGPPGTGKSDLVKA LAKKVNHTFLTMSQVPLYQVLEPEEFIRELFAKAREKKPAIIFCDKIDSNWEDFYYGY DGKEKGLRMIAEVLSQLGDMDNTNAGVVVVATATLPWELDPAVRTRFDRRVYITLPDH QARMELIKVHAGKWGNMLSEADLSKVAEMTNGYSKTEMSHLTQLALIASTKKMRTAEY FRMVTVSGIAMYAPCKAEDGGAMPMTLRTLPIGAMPQHPPLTADDFLEVMRVGHVKLS TGMNDLSRYETWTKEFGVWENASAPGDPQIPQPPGVIDPNESLRDHTSTEASQAPRTT LESFPARQGELESSYKFELTDITVDIVVKRVEEIDKGIALLSSLYTHHNTDMTIIFVG SEFRANDLVEFLDRRGVSARSLVREHLLDEDNVCRLVNLGRLPVLVTDHPEVPGVSLS VRRHIITYDFPADFSGYLDQLGLAHKGKSTTFVNKSSKGVVSGPIDKLRAIGVEAPDF MEAIARESNY
SAPIO_CDS5934	MAASSRGQRVFQLASRSTPRLTPRCSIRRCPLPVRYYSAINAES SPSQTSPSPPPPTTTRPPPPEPASISTDNARYLIKAGVILTRPPLITKEQTSFESAYY FYQKRLNERLVLPFSQKAYFKHDTPPQLDWAVKLKERNGVVAREIGQYNGRSATAWDD ELRVGDKLSSPESLMESLLKDAEVRVSEDAEEIPPEERVPVERPMPRVTEADQKNDVQ RLDRQLDRTLYLVVKTSEGKWEFPTAPVSTDEALHEAARRALDDSAGVNMNTWIVGRV PVAHLVNKPVTDKDGNETQRGVKSFFLKGRIMAGQADLNENRFGLQDFKWLTREELKE TLAPEYFHGVRNMMAER
SAPIO_CDS5935	MDITPSNFLTRFPQILNAYNKCSIVAVDGEFSGTKFDQDLAVET YRGILPEEQFKLLEDSWDKPGMEAPYQKLRWVVRRFALLQLGITFAWPAKDEKGYPIL KTESYSFLVSPLVDGQINKVKLWKTIQRVVSMNTNSAKFLDHSGFDFEGLFKNGLPYL THPEEAELRRALDRSDRGQRNRNVENDVNKLRGKEARERDRIRTVIESRMGARRNSRG LSISIDPPKDTDMDIADWKTLVHHVVDQDFPRCRVTNGRSSPVVVVKLVELERTHNVD DLARKHNMEADIRKAIGARSLLDMLIGNDIGTQIQPQDIAKAQLAAERQANGQPARIN TINDPELKARTEALQELFDNHWKRQQPILIGHNLGWDLAFMIGTLFEPLQDTFAEFLP RVDGLFSRILDTRIITDACMPEAKTANLSQIVAQLKYRSVEPRCQSESGLGYDDGDGK PHDAAYDSFLTVEAFARLGQDLVRNKCWDEGAPFPTQEEMNERIMNWRDPIWDPFRGQ FKVMGELVREKASWGRH
SAPIO_CDS5936	MHIKQIIIQGFKSYKDQTVVEPFSPGTNVIVGRNGSGKSNFFAA IRFVLSDAYTQLSREERQALLHEGSGSAVMSAYVEIIFDNSDERFPTGHSEVILRRTI GLKKDEYSVDRKVVTKSDVTNLLEAAGFSRSNPYYIVPQGRVTALTNMKESDRLNLLK EVAGTQVYEARRRESLKIMDETADKQAKIDELLQYIRERLAELEEEKEELRGFQDKDR ERRCLEYAFYHREQVQVQDALDEIERVREGGKDSTDEYRAEFVRGEKTIAKLDAEIHR LQREMELLGIDKRQLEEDRRENAKARAKAEMMYKNLVDGQSAREQARASHAAELETVR ADIAAKERELATIIPDLNRRRLKEDEVKQSLDAAEANRARLFTKSTRSSQFKNKAERD AWLRKEIDEVTMTLSNQKANRIDADEEVKRVEASIKQLEQEVGALRSRLESWGGDRSS LVDDVTKARDALDRLNDERRMLRRADDKLDSLIADARQERDQAERDLSQAMDGSTARG LATIRRLKKERDIPGAYGTLAELLEVSDAYKLPVEQVAGASLFHYVVDDEKTATYLAN ILYKQQGGRVTFMPLAQLRPRPINLPRSADAVPIISKIQYDSTYEKAFQQVFGKTVLC SNLTVAGQYARSHGVDGITAEGDTSSKRGAMTGGYIDPRKSRLDAVKTVSKWRDEYAQ KVEESDEIRRQIERKDQEITRSMGDLQKAEQKLRQVDDGFEPLKAELRAKTGHLESER TQLDSAIKRRETIDRHMKAFSESISAHEAELASEFKKALTPAEENQLEELGRRVQEFH KQWIDFSKKRRELEQRKQILEVDLRHNLQPKLDQLNSLAFENSASAGTSLSEAERDLR RLQKASGSIDKKLREIEAQLESQQVQIIELEGQRSEQEQSQQEIASRIERQQKRIEKN LQRKALLTTQATECAKQIRDLGVLPEEAFEKYERMEGKTIASRLKKVNEALKKYKHVN KKAFEQYNSFTNQQDQLLKRRSELEESQASIEQLVEHLDLRKDEAIERTFKQVSKEFA TIFEKLVPAGHGRLVIQRRTDPRHEAEESADDEQSASKVDNYIGVGISVSFNSKEFDE QQRIQQLSGGQKSLCALCLIFALQQTESSPMVIFDEVDANLDAQYRTAVAALLDSISK EAGTQFICTTFRPEIVHVADKCYGVTFHNKTSSIDCVPTEQALEFVEGQKR
SAPIO_CDS5937	MASRLDRLVTLLETGSTRLIRETAVNQLADWQKQHPDELFNILS RVVGYLSHKDWETRSTAAKAIGKIIEHAPLYDPNEDDGQLSNLAEKKEEHPERNGQIK KEEGDDDVSFASAEFLKLENFPVISIVKYGHELLRGGQVDFNLAALEPHERLTYLKKT LNARLGLLGRRVEDGELPPIPLNGKAQTLAGQLANHPTLNRSDSLNSNSNNQGGEEAG LSSRQLNVLKRKRKREAQKAAQGKSGFGDLSIRRSGTTGSEGFGGDETPSADPESKKN GKLNDYFSLERPADVDEDTKVVTEFKGPAVEIKTELQADGGLEGAEWPFERLSEFLKM DLFDPKWETRHGAALALREVVRVHGGGAGRCRGKPRAENDKLNKVWLDDLACRLCAVL MLDRFTDYSSDTSVAPIRESIGQTLGAALRHLPPSSVYGIYNILYQMVMQEGSPFERS AWAVCHGGMVGLRYVVAVRKDLLLQDAKLVDGVCNAVMKGLGDMDDDVRSVSAATLIP MAKEVVMMRPDSLDALINIVWESLSNLGDDLSASTGKIMDLLATLCSFPEVLNTMKAS AAMDEERSFTLLVPRLYPFLRHTITSVRLAVLKALMTFAHLGQDASQGWLNGRILRLI FQNIVVERDKDTLNMSIELWLALVQCLGQNPGNLAVEVGPHADAMMELALYPIGIHRH PIPMNASLFQKPSGGTYTMPSAAGAGPRSSSPEPQRAAKRRRKSTKVEEAPVPTHTHD VDGHMIQGEVDLVGFEVLIRSRVSASRAMGFLMALLPAQTLSQYAPPLLQGLSSPYSS TQMTAAILIEEFAKNIPSPQIAEGFVAPLREIIEAERPTHYRDLTSYIQRARSQCQSL FYLFRDHGKVSQSKLPVLPVVVQGEPEAGPGAFSIATAEKCVSDDFERLKKAVPPGQK LIAGKQLEETRELTLQTIEEAKLAKGTRDVRIKAAAAAALVAMQVFPKKLSTLIKAIM DSVKTEENQELQNRSSTTIAGLVRIFTEKGRRGPAEKVLSNLVKFSCVEVAETPEFSP HATKKNVILSMQKEEDRVDHADAAKFAREAKAARITRRGAKEALEVLARSYGPDLLTH VPSLKGFMENSLVKGFSSPTLPAEVHDPESIFGQDVIDSLSIIRTMAPTLDPAIHPFL MQLVPYVTKALHSELSVFRYMAAKCMATLCSVLTVECMTVLVENVLPSINNPLDLHYR QGAIEVIYHLIAVMGDAILPYVIFLIVPVLGRMSDSDNDIRLIATTSFATLVKLVPLE AGIPDPPGLSQELLKGRERERTFIGQLLDPKKIEPFKIPVAIKAELRSYQQEGVNWLH FLNKYHLHGILCDDMGLGKTLQTLCIVASDHHQRAEEFAKTGAPDVRRLPSLIVCPPT LSGHWQQEIKAYAPFLTVSAYVGPPSERRLLKDKLDQPDIVITSYDVCRNDVEILDKY NWNYIVLDEGHLIKNSKAKTSIAVKKLASNHRLILTGTPIQNNVVELWSLFDFLMPGF LGSEKVFQDRFAKPIANSRFSKASSKEQEAGALAIEALHKQVLPFLLRRLKEEVLNDL PPKILQNYYCDLSDLQKKLFEDFTKKQGRKLQAEAGRDDKEAKQHIFQALQYMRKLCN SPALVMRPEHAHYAETQRILAKQGTSLEDPVHAPKLTALKDLLVDCGIGVEGDNNDPL YQPIKPHRALIFCQMKEMLDMVQNTVLKKLLPSVSFLRMDGSVEANKRQDIVNKFNSD PSYDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRL ITRGTLEEKILNLQRFKIDVASTVVNQQNAGLSTMDTDQILDLFNIGDEGLIAPDKQQ GAASIEGREEDVIDVETGEVRVTGKKGWLEGLGELWDNQQYEESFDLDGFLKTMQ
SAPIO_CDS5938	MPVDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHMEREQ RKHHIATLKYERIINDGLLKRISDLLTSLKAHASDAPASSSTSPVQHAAQIAFKAVME TAPKNPDDDKPPPKPEGVHPDSEPLPTYSKMMASVLDQVNKALEEKKVTPEKRFDAMV EEVGVHLKRVEGLQEELQKKLDELEEKDKKKITSESYHTGFDSSYVNKSKSTSSDSKS TAKQSQPELLNPNYEKMLAAQSESANTSKANNDEDDDEPVEASADAKEFSRIPINDYR RSFDFITAHPAILAEKESDGLLVEAFDASLEGHEQYARQCVHQALLLQYCRALGKDGV PLFFKRISQKTNAHDMFFKDVQDTYAKIRTRTREIAAERANEAATGGVEQIQLHAVEP GTTINITIPDANSEDEEVRKARAVFEGFKPELKKALESGSLDEVNKVLGEMKIDEAEE VVGLLGEAGCLSLEEQIIDTTTEEGKRQLEELGRSTEGSAEAPPAASKEAYPDPE
SAPIO_CDS5939	MASSSSHRSSRSNASSLHHFHQAPIHHTEPDPEDHDVTNIPIET LVEHLLAAKRSLSTMTAVVRANEICTAALLAYEESVVLSAETEYLRRGMARQLSVLAR VKRSLNRTYDAGKKEFKQLIRMMDVADGKMRTTTTLLRERGVPREFREGEGDEGEKNL MDFVDEKSVLAVVDALKGSVKELQSIQTSFDGDILRFETEIRNLKKAVIATSTNTPSP SGSRQPISTLLFSLTDHSQNMADLLSSLTKHFDLCVTAVRTTEGGAALARRRAAEATQ QQQDGPNVSISGVIAEQESHVSDLEPITSQDRADMIKIVVEDASEVEGVVREINDRLA AMESEFDALQREAEHVRRAYTGTHEAFRVLEDIGSKLVIYVAAEAEFLARWDDEKEAI FSKLEEMEQLREFYEGYANAYERLILEVDRRRTVEDRIQMIWKKARENVDRLVQEDLQ HRDNFMQDVGEFLPTDLWPGMNKAMKRWEVTPAMVEGMEAQRSTPALSRSVVEGAKVR LTGGGGR
SAPIO_CDS5940	MVTTNLLSTLALGLPGLVLGLRGRTFTSWDWQVNPREEATFPSS GLVTSCNVNNQPLSNSRNVASGCDNGGAYMCDTYLPIPIADDFTYGFAVAPGYNCCKC YELFWLNGYAAGKRMVVQVVNWMPDEEEGTDAGKPGDFAILTPGGGSGPHSRGCTRQY GSTWGDDYGGVSSMTDCEQLPENLQGGCYWRWNWAGGEITGWDVEYKEVECPARLTDI SGCTPAPFQA
SAPIO_CDS5941	MSAPHDLPMELPIIDLDVYLDQALDSPLVQEECKKAAQALITYG ALVLHDSRVSEDDNTTFLDLLEDYFAQPQDRLKEDERPELGFQVGVTLENTEKPKCAV DEPCLDVIQRLAESERPLDISAHQPDPKCRFFWRMTEKPPYETEFPGLNAENVVPQAQ TIKNRWEPVMNKWGTSMKSAVTGLAEMAAVGLGLPAETFSAAGKYGPHLLAPTASDLE KYGEKDTILAGFHTDLNFLTIHGRSRYPGLHIWARNTGKRIAVKIPPGNYLLVQAGKQ LEHITGGLVKAGYHEVVVNEKTLDVIAQRKVDYPARPLVRISSTFFWHLSSDFDLVPI PQLSEKAKEIRAQQLQIGRDEGKEVEYLPMKVGKQVQNELRHIALLA
SAPIO_CDS5942	MVSHQNQTFGTTSSSSTSHPPSLSNMPAAIIDQPSRDNCDRRRS QHSSALRLPTVAPELVGKAVTPFLRDHIPGLYSPFGKSQQQQEGQQLHNHHHHHHHSH SHQQDQQTSSMELLAEKQPSNTKYCYRHRPDAKCRRVADETKMAMIQRELDTLPSSDR EAISNFWSLFSASPAKHRNLMLQGIITQCCFPQLSTVAREVHEQLKIDFLTALPPEIS FKILCYLDTASLCKAAQVSRRWRALADDDVVWHRMCKQHIDRKCTACGWGLPLLERKR LRDWTRQRQLANSIRATREADGADSEDARPAKRQRRDSSSDGQQSVEVVEKQPKFRPF KDVYRDRFKIGWNWKYGRCAIKTFKGHTNGILCLQFDDNVLATGSYDSTIKIWNIETG KEVRTLTGHTGAVRTLQFDDNKLISGSFDNTIKIWNWQTGECVNTLQGHTSGVISVHF EDKYLASGSIDRSVKVFNFETRQTWCFRGHEDWVNEVRMDLPSRTVFSASDDCTIKMW DMDSKQCIRTYTGHLGQVQQLVLLPDDFEPDEDTDTMDNVSVTSGRGGTPSGSQRHGY HGHDHSHRDPTPHQEDRAAFGPLFEYDSQRPLPPRYMLTAGHDNNIRLWEIATGRCIR TMFGHLEGIWGLAGDTLRFVSGANDSSIKVWDARSGKCERTFTGHAGPVTCVGLSDSR MASASEDGEVRIYIFEGNGNNLEEYGTPA
SAPIO_CDS5943	MASKAGNNGRAPPTVATNLIAGGGAGMMEALVCHPLDTIKVRMQ LSKRARAPGEPRRGFINTGIGIVKKESPLALYKGLGAVLTGIVPKMAIRFTSFEAYKQ LLADKETGKVSGKATFFAGLAAGVTEAVAVVTPMEVIKIRLQAQSHSMSDPLDVPKYR NAAHALYTVVKEEGFGALYRGVSLTALRQGSNQAVNFTAYSYFKDSLYKWQPEYIGQN LPSWQTTLIGLVSGAMGPLSNAPIDTIKTRLQRRAAEPGVSAWKRITAISAEMFKQEG FHAFYKGITPRVMRVAPGQAVTFTVYEYLREMIEKTQKATLLGDQYEE
SAPIO_CDS5944	MQSGISASKELVSQFNDLLTSVSHFGLLVNIANESLSPFKLLTS ASSDFDSNLSLLAPHLQPKEALYIILRRYPTSPRLVAITYVPDTAPVRQKTLFASTRL TLVRELGSEHFRESIFATTPEELTAEGFRKHDAHAKLAAPLTEEEESLGAVKRAEMEA GFGSGKKEIHLSKHFAMPVKEDALAAITEVGQEGGRVAVMLKINPQTESVELVQENPR PNSINELTRVISDTEPRFTFYRYTHTHNGAESSPVLFIYTCPATAGNKAIKNRMLYPL MKRAVLEVATSNCGLNIEKKFEVEEPSEVTEESVLAELHPVAQVRQGFSRPKRPGR
SAPIO_CDS5946	MAAIIPFTERYMQQLTDLIHTQDMLDHNGYISKPLTLAEVENKR RCSRCFRSLHKSNGKRRSNNKGGKKEKKHEIPNKKTEQAEVSSKNEASSGGVLLRPPT TSGDTVSVAVQLDSTNATASRPPKQKTVVMACHFHDGRIIRGLWSCCNGHSQFSKPCK SAEFHRPTDHKIEWLISQWQYHPTPTVDPWRLPQPRRAVALDCEMGVSITGDSELISL AVVDYFSGAVLINKLVFPKVRMLHYNSPFSGIRFSDLNRARRAGKCFWGRDDARAALY SFIGPETIIVGHALHQDLTSMRLIHTNVVDTLILEQSIVERCRIDTVNLGGREKEEVG NEDGGVPVNGAEPKYKKRKSGSFTLKALVQDRLGREIQVGSHNALEDAIATRDLCHWY MLNVVGRLLPVGSIEGNPQYGDGVKGLVVNEEETT
SAPIO_CDS5947	MDRLRPIPSVHTTPVKPRAECTFIEDLNAKYRLGIEFPPLRLSP RKRREAQTTEAARRADDIRGLTHCLHYRSPEILLAVEQQFRNEAQSICSQWVPKPQAV PGLIPVTDAVPQATTAEQQQELQQCLLGLLQRAERDSPRRKLFVPTSDATTSFKGPAE KSSTRPTRRTFRKRLSDELEPHGDNPFAQDGPSDSKRARSALDQVPVREREPVSRPSR TFGRLGSRGDLFYRNNENDSFTSVATAVFSTTGRVGDDTLLSDSQLTQPFDDDENPDL KPGLISANTAFYIPVDPPSPSPVEQTDVLASDGAHNGPNSVLELGTSTVQGSHQAASV GPLAHMQAETAVTWETARDAAPGLLETGPLARQQQSIPELPVRRSHLADPPQLDLPSS EMPFRDVLRRSQDELSIISSFTSSYHVDFEPGNPVVGQNSSLDARLRDSWHFSIIINI AQAKLPESLINAPLPIIWEVTRAALHCGVELADLEINYNDGWRDQMIFNQELRNHPAF IAASKPLPGMCDPRAWKAAFSTFRHNGRTVTLVMEAKANPDNKGPLFLFSLEPLKFDL GHRLCRRFGADRFLEVIFPAPSTVSKDAETVDRVNRWLLQVPHVVLSRVWRAFFLKDA KKQIKEDQFNWVRKTYVQQYRVYFFCEDGANFVHRKRHVHFPPKEQAYNVETRTKMGL SRLLEWAIGLSRSKSQKSLKLFSRLALSLTPTTATVVLQKEEIICHEEDILSPTGNIM NDGIGRMSGTLAQKIRDKLGLDATPSGFQGRMGSAKGFWIVDVDTYDEKDGEVWIETY PSQRKWECDFEDEDHRTFEVKSYSRELRSAALNKQFITVLEDRAINRNRMRETLAELL RRAVSEELGAQMLAANDPMALQRWASLSPSARADRILHGEVEFLAGLPNADEEIIKFL LDGGFRVSELKFLRELVYKVAKRRQEKLQEKLKVQVPRSTYAYMVVDFSGLLQENEVH IGFSSRFQSDGESKTLLHGIPILVARAPAHFPSDIQKVKAVYKPELSHLTDVIVFSSQ GNVPLADLLSGGDYDGDQAWVCWDPDIVNNFRNAPVPTQPDLIEDGYLHVDHDTFDQT LTRYENRLEVALSHFLHQSFVFSMQGDLLGQCTNYKEKLCYRTNKISDESAVLLSTLV SKLVDRAKQGITFTQKDWQGLIKAKGLPNIWALEEPAYMKSDCSGHDKHILDWLKFKV IKPKIAEELTELESSLGDAHHWDKDLAFYYDELEVLKAKSRTYDGLLTKLSKDLHGIH SKWKEIQGNHQIGYHEKVNKVYQAYLDVKPHQSALKTHAKTYLYGTDENAPDGVSRWG LIKASCLFKLFYQKGAKFAFTMAGAQLQRIKAERCAARNPGTASSRPSASVVAEMYAC HRPDNKIIDALMARKGMGGYCESLADIHEEHFFDAEGNEIDDA
SAPIO_CDS5948	MEDALDPPEGTASIKDLTPEEASRIIHSHRKVRYGEATSVDEND APEPVRYLGQNSIPVLLREQPGSTNGGDVSDFRQDMRPILGLDTSAPFPLMSTKHLDR LTQEITSELPSDREVMKLFRTYKEVTQPFWGFVIDLDEFESKLMAYLEERARPTYDSI QVLLLTSFVLLNDMKAEASWAILGLTCRLAQSLGLHRPAPHDGKELTTPEAKAKEVIR RKLRLSPDLIAGATYDQIIDICDSVRELGTKVVPQIQFKDKCRTVKDRLQHFAIQLHL AFTISVACRPALRRDCPFDESQKAFIADECKTNLITTVKMFLSMHQLSVIPTRSWAFT YNGLSSALLLGILVDTKQDPEIRQLQGDLIAALSATAAKEQPSPSGGVKKTDKDIELS GPLWRALMALKNMYKHGSITGTSVKREGVENTPSTLTEPVAPAQPFTAPSLNANIEDA QYRPPPLAGIDPAHQDAARTMAEMQQRGAPIPDYTSLSPTQPVSGVNLDNIVGMDPTP YMSPLDLYDSIWWESPEPWNNNNTGFDAMNFEFMAQPPPGQQQPPQSGFYF
SAPIO_CDS5949	MEGLGVAASVIAVIDLSAKVASALIRYSKDVRSARDDIERLKRG ADGLDKASRRVQRLLDGPNRATLEGAQEMRDLLNDSRLQLDQLVKELDPGKGRKAMSR FGFRSLEWPLKSKDVEKIAQDLGNRRQAIFSYLQAALILDADQKRALDKLPVADGATF DSHAEGEGATCYPSTRVHLLSQIDEWADSRTAEAIFWLNGMAGTGKSTISRTVARAMF KKGQLGASFLFKRGHGDRGKATRFFTTIAAQLVLKEPAMAAHVRNAIDSGVLGKTMGE QFEKLILAPLSEIPHDARRSQRLVIVVDALDECDDENDQVSRMIGHLFRVKTLQSVQL KIFVTSRPELHIRFGFKTVNDAYDGIILHEIPRPVIEKDIRAFLTIELARIRDRYNVM ALSGFQLPSDWPGPSKIETLVTMAVPLFIFAATVCRFVQGNESPEIQLAKFLRYRSMS QLDATYLPVLNQLVPRVDDSERSDVIEGFRELIGPIVLLRTPLSPSSLEGLIQVRRDV IYDRLQLLHSVLSISPDIDTPITTFHLSFREFLVAPNKRATNPFWVDEKETHKRLAAN CLRLLDKHLRADVCALKWPGTARSAVDPQTINKALLAEVQYASLYWVYHTEQANDRLQ DGGQAHNFLRVHFLHWLEALSLIGQASEAITLIQALEALLKPEASTSISRFLADATRF VQANIVAIKAAPLQAYSSALVFAPEMSIVKETFRDRVPAWLALPPKADTEWSVCLQTL EGQWGDVNSVAFSPDGTQVASGSDDNTVRIWQAKTGECTQIFKGHGGNVTSVAFSPDG THIVSGSSDKTVRIWQVKTGEYECVQTLKGHGRDVTSVAFSPDGMYIISGSWDETVQI WQVKTGKCIQALKGCSRDINSVAFSPDGMHVVSGSLDNTVWVWEVKTGKCVQTLEGHS GFVTSVAFSRDGMHIVSGSWDNTVRIWQVKIGECIQTLKGHRWSVSSVAFSPDSMHIV SGSWDKTVRIWQVKTGECVQTLKGHRWSVSSVAFSPDSMHIVSGSWDKTVRIWQVKTG ECVQTLKGHGAVSSVAFSPNGMRIVSGSWDKTVRIWQVKMGECIQALKGHGEAVNSVA FSPDGMHIVSGSSDNTVRIWQVKTGECVQTLQCHEAVSSVAFSPDGTHIGSALKDNTV RIWQVKTSECVQAVDIGVASQRLAFEPDGLHVLTDVGAIIVDPSIVVNTASEPRQKSA STISRPDDSSTGSGYEDGFGTGPGEGYSNDQDTGHGGGHAERRFEYGFSTDSCWVTWH GKNLLWLPAEFRPVCSAVAASAVAIGCSSGRVVVMSFSSDRLPI
SAPIO_CDS5950	MHGYSSSEESEDPKRPRVLPTSSSTTSDQKKKKKKKPPPQVAIN RIWKKLQARQPTIPLAVLPFDPVVPPASPDRANESSAASYERAAEECRRKVRKIIEEC HRVNTRYRDPGWDLDWDLKMEKGNCLNYLGRETFEVGYPPSSSATVPKAVKRVHEIFE NPTFMKNVSSSEIIQGSIGNCWAVAALSALADVVGGIQRLCVAHDTRVGIYGFVFYRD GEWVYSIIDDKLYLKSPNWDCPSMQRQLLSQIDREDVELHYRKTYQTGSKALFFGKNK DQNETWLPLLEKAYAKAHGDYASLIGGWIGEGLEDMTGGVTTELLTSDILDIDAFWKN ELSKVNKEFMFGCSTGLLDGGYGSRDGITERHAYVVMDARTLKSGQRLLKLRNPWGKT RKGVWEGPWSDGSKEWTTDVQQELGHSFGNDSVFWISYEDLLSKYQHFDRTRLFREDD WGCCQRWIGVDVPWKASYHEKFHIRLTKDSPLVLVLSQLDRRYFKGLEGQYNFRLQFR VHEKDRPGAEDYIVRSHGNYLMTRSVSIEVPNMAAGDYTVFILVTAERSSCRPSVEEV VKRECKKRAENDKLAQVGYAYDLAHSKAHHHLEKVKEMRKKANQTKASTCRKAHRRRD WEKRRTDREIKRKQIEKNEAKKARLIAERDAARKKAIEEAKGQSESESSEEGSSEEEA AKEPQNEAKASDASSKESPSEEKKSEDKPAEEKDEKTSKEEKPSEDKDSGDKPAPSES ASSEEAKKSEETLGTASSEPKLGEAKAEVAEKTETTNETKSEEPKKVTIAEPEKKDTK SKSKKKRSKEKKSSKEASPPVEEAVDYSSDSPVEEWEAIYSSDDYVRKPRKQAVPETT EADRYPSEDEKLPDPWNAVCIVGFKVYSRDSDLELRVVMEGGALLEGGMGQKGEKDLD NAQANAAGEREEKCNAFTSYDPIVVTDEDGQKKEVEDDAKVAGQTEDEDGDDESEGDD AAPKEKKLKRRKDSKATPEESHKANGVKDPDVKEESTPPTPKDDKEASTEDKKEEVAE AKSEAKADNPKEEETSDKATPEEPAEKKESAEEKPVEEKSEKDDVSKDEEEVKKKEII KKSEELDEKEAALRKREEELRKKEEELEKRFQEMKKREEEEKKKEEEEYVDCEEVSSD GSSVGVQTPDSTPSEEMNKFLG
SAPIO_CDS5951	MTTMASPPQQSLPQVTPEPLPSTPPTETDPLLPSSTPDEKSLLS RIPLPTPALRIIALLAAINILVWLISAYPLTKHPSLAAPAALSYVLGLRHALDADHIA AIDLSTRRLIAAGRRPVSVGTFFALGHSTIVVVTCVAVAATGGVLRERFGGAEEVGGL IGGVVSAVVLVVFCVGNGWVLYGLVRKARAYKSAGDGGDDGVEMRGVVGDIKLDDEQT MGFLARTFGRLFNLVDRPWKMFPLGVLFGLGFDTSSEIAILGIASIHAVQGTSIWLIL IFPILFACGMALLDTTDGALMSTLYLSPTFARDPLATLYYSLILTAITVVVSAFVAII QILNLVESVVKPEGPFWDGLDVLSDHFDVVGAAICGLFAVVGVGAVFVYKPWRKRMEA RTDEL
SAPIO_CDS5952	MAPMVPLDHWIWWFTERLYSLPAPPRRKRSKPMEVICVGPPRSG TESLQQALLDLGYDYTYHGWDIVYDIPTPAPGWVRLARKKWYAEGRDHTFPALNVPTI TREDFDELIGHCVAVTDAAASCFAAEMIAAYPEAKVILNVRRDMDAWHRSAVTTLVGV NKSWGFYIASWLNRECFWAWHVYERFLWPLLFRAPDGNMAKAIERNGKWVYREHCAMI KGLVSADRLLEWSVDEGWDPLCKFLGKAVPDKPFPHANALSGGWKAREEMCNKRWVEG AFLTMFWALAGLLAMGLVVRYYL
SAPIO_CDS5957	MGQTDQQRQDGLVITTAVFTSIAFVVVVLRSITRFILIRKGGWD DSLMVVAMILSIGYFLEILIGKANHIGHAMSTLSTDNMLNLIKVTLGIQLTYYAAVSS IKVSILCMYLRFLASNKSRLLAYGTIIFHVCFFIICVTVTASQCRPISKMWDLTGMQP GKCIDTTAFFYFTSGFNIITDIWIIALPIKALIKINRPRREKIALIFIFGVGTFATIT SIIRLHTIYTYTLAKDPFQQSILVNLWSVIEVNTAIICGSAPALKPLFTPKALKAARQ GSSNGGSHQYVARSGYIRSKKSVDQTWTETSVNLGPVPYSSTEITAGGHRGREKDKET DSTVDILPA
SAPIO_CDS5959	MSSKIAAALPYITYLCAVASAQQIGTAIPEVHPRFPTFHCTTDG GCVEKQTSLVTDALARSFHAVDNMDISCGSKPFDATICPDEATCAQNCALEGIDYASI GVLTRGTSLTMRQYLFNGTEYNRVSPRVYLLAEDEETYEMMKLLNQEISYDVDVSQLA CGMNGALYLSEMDATGSKSATNVAGAAYGTGYCDAQCFDVPWINGLPNMNNSGACCNE MDIWEANSRATVYTPHTCSGVGSFLCSGDECEFEGVCDKNGCGINPYRSGFQNYYAPG SDQVDTSRAFTVVTQFISDDGTATGTLSEIRRLYVQDGKVIENHPVSSDEDTPGFLTE EFCTDNNAEGFLRLGGMKTMGESLQRGMVLIFSIWNSDGDFMDWLDSGEAGPCAEGEG DPKKILMERPDVSVTFSNVRWGDIGATFDAVADVPGLVAAAPAMAQPAAAGAAGPPGV VTAAASGRYAPSLAVGVLALAFAVLI
SAPIO_CDS5960	MVSTRSSSVALNTPDLPTPAKTPRSRKSATPRAPTTTTTTTKTS AATWSHTPTPLTLLWLAVSVPLVAWDTGYVLLRPHTMEGGALHWPLYVPYKLYGEIDH IYGWKAFNAGNGFTSAQGTLNVVESLLYLAYLYLWSKGRASDGSVGGRVGGLGLLLGW AAAVMTLSKTVLYWLNEYYSGFDNIGHNTPFNLFFLWIIPNGAWIVLPTYMIYVMGAD ILNAFAVASGDKSE
SAPIO_CDS5961	MTPTCKQHPGPAHAHHMQHLQHLQSSPAKVTSSPTWIPWAFYNS PNPRQPIKFNRARPSGPRRLRSTRTCPDMYKMSNKIEDSQTRVRSRSVGQGHPLDTKS PTIKKFNGATRSATEWNNLRRDPDIWYPGGNCLVYLYARGQSQRGPSFKIPFSALLHA HCHPLIEKFISPDCDPFSVDVESLHHRYAETRHDSLTTSRTLEIYIPPRPGADKVQAF AYHLATRNFFAWLCRRSLVGEHLGAALVGLVGSMREYRLPSAENVKDLIDYMEQEGYL NMRHNPDHALAILYLAENCRLRGMYIDAFAHCTGMFWELESSAEYQFTSQASKDLLSR ARAELDFRLARATFQLRTFCKDAFSATDSCLKVGALAHMERFRGFLHAFYSAKLGRYP PLPPPKSSGVFRKEAYHTMRFDFECLRDLLVDESDSGTATGKHATADKSGIDILQMIL SYDMQWHYKTQKHPLPLLPDISGTTSTWRRMTRLRGDKLKPDERLLAHASLVKAYNTT NPRIIHNDLVQAYRRFEEDLVMSPSRFDKKERLTLPDGRKLRWAIIYAICQVLRNVTE PPREVFDTDGIDYNIAISTEGLPPWKMDLSARPETPRRRSMFVENFSPEGAGAPSPMK SPTTPRRHGHLSEQLLSPTKLGRSISYRAKTLSQSIQVSPNNFVCRPLSVFRSPPPPQ NSPRTATLDSTSQTPTRSARPPRLATEPTELPRPTTSASVAVRSFPLAGNRANLQLDI HNSNHQNQDQRPIEDAPMTATSSSSVQESVASSSGAENPASSIATSPEASPKQERINA PWSEPPLIPLRHKREVLSMLPSPETPVRSHMRPRPQSAIFVSQSSFAHPPRPVVMPDY AMGYAQLVEEQREEIYNHEEVSDDKLVPSPLRIKKSVESLASFTPGDDKCLSGVRREF GGLDEVLSASPACL
SAPIO_CDS5962	MASAAYYTYIQCPCSSASSSRPIDATSPAAPGAHEDEYEDDDRT FDPRAPRSNYSLYPLEHLMYCEDCQQIRCQRCVNEEIVTYYCPSCLFEVPSSNIKSEG NRCTRSCFQCPICIGSPLAITSIDKPPDPTLLAPEGLPTPTTSQYVLTCTYCNWSSSE IDIKFDKPSGLYSQLVKVRNGGQPKLTARDYRERRKEDRSAPPLPDAELDVDLQFAHL KAFYQGQLADINASATGGLSALGDLGFSSPGTLSRIMSLYTGGSLGGKRNLGKSAVMR EASTTDDGLKLAQLDESAVVEKLLNGSWYDTVSTEQRDAQPAYTAPLASLDDLRPIPT LLRTKRSKRCPVCRHIITKPDAKVTSTRYRIRLVASHYVPTITIRPLTSPSQAPGTPK VATPSPRTSTLTHVQQPQLLRPGQPAQFILTFKNPIFEEVKVTLGTPPRTPGRFPSKV TVLCPQFTIGANTDMWDDALKDEYKDPSKRHTVAGSTATDDGSGQGVAGKVWERGRNW VSIVVEVIPASLRLDGLRWAKSEGEDVDDGPLREDEDALEIPMFVRVEWEAEPQEVGS APGRDKNVKEKRELAYWSVLGVGKIMQE
SAPIO_CDS5963	MSAVNKIDPSSPSRQKPSELEQSIAQALYDLETNTADLKIALRP LQFVSAREIEVGHGRKAIVIFVPVPSLHGFHRVQQRLTRELEKKFSDRHVLFLASRRI LPRPRRSARARNTQKQKRPRSRTLTAVHDAILTDLVYPVEIVGKRLRTKEDGNKILKV ILDEKERGGVDYRLDTYSQVYQKLTGRNVNFEFPQVASEF
SAPIO_CDS5965	MPKPKSNPSREKGKGKANASASTRKRTRGASETEKGNKKRRKTT ALNKAPTQRLNVYVFGANENGELGLGPSAAEEVIRPRLNPHLSADSVGVVQLAVGGMH CAALTHDNKILTWGVNDLGALGRDTTWDGGMVDLSDAEDDVDPERNPKESTPGEVEMD NVPNGTIFVQLTAGDNCTFALTSEGTVYGWGTLRTTDGATKFQPDIDIQRTPALIPEL EKVTKIEAGCNHVLALASDGRVYTWGFSDQDQLGRRVLKRRAGPWAGLNPRKLRLKDV VDIGVGSDHSFAITKDECVYGWGLNNFGQTGIAENAGTDAATVIAPTKIDGLTEVSQI VGGNKHSIALTTGGDCYVWGRLDSSATGMDLDALPDEGVIYDRRDKPRILSKPTPLPD LKVSFIAAGGDHSLVISQGDRKPYSWGFNGNHQTGHNVEDDVETPTKIVSKSIRDKEF VWAGAGGQFSLLAEEVVITVESDESDE
SAPIO_CDS5967	MADSRGEAAGYYQQQGGNGYGPGPQMQYEQQPYSQPYGQPQYQQ QYPPPQNPPYQQKPQYDQGGNNPPPYGYQPPQAVSGEYTFDQAFRIDKPKWNDLWAGI LYLLFVAGFAAVSGIAIRGYATTRNVNGSGIYDGTNSFSLSTNTIILFVFCLAIALVF GYGYIWLIRLWPKQFIWLTLILNVVFAFVTGIFMIARRSWGGFLFLLLGVFLIFVYWS WRKRIPFSVLMLTTAIDVAKNYGHVYLVSFLGGIVAIAFAAWYAVTVVAIFARYSTGN NPACAPNGDCSDGKVAGLIVFCTFTMYWMSEWLKNTIHTTISGVYGSWYYSSRNFPKH ATRGAFKRSMTYSFGSISLGSLFVAIINFLRQLCSMAYQSQASEGDIISMCIICCLQC ILSMIQWAIEFVNRYAFSYIALFGKAYFPAAKATWKLIKDRGIDALVNECLVGPVLTF GALFVAYACSLLAYLYLVFTKPEYNSKGEYTAVIILFAFLIGLQIANIFTTPISSGID TIFVAAAWDPEVLMRDHPDIYDAMVRVYPQIQAAIHA
SAPIO_CDS5969	MASKEITETPQGARPPPASPLGILSEKKPIVPDFSDNVSDSSRD EGQILNVTEDDLLEAKALASTFSLEDTRRLMQRVHKQHSRDPNFPISIIERIEEFLAS EDIFDNPEKHERLIQEMKIEAALITNNSPYAEVRAVVDNHDDPTMPASTLRAWFIGIF FSCAISFINSFFDVRLPSIYVIQTVPQLLAYPFGKLLEKTLPDWGFTLFGVYHSLNPG PFNKKEHMLITIMANVAKSTPYTNYIVWIQVLPQYFNQPWAISVGYQVLIALSTNFIG YGLAGLCRRFLVYPAYCVWPSSLVTIALNAAFHDGASESASVLGPLKSVWKMTRIRFF AWAFGLMFLYFWLPNYLFAALSYFSWITWIAPNNGDLARITGGYRGLGLNPIPSFDWN IFTYVVDPLMVPFFSTFNVFLGTFFSMFVIIAIYYTNTFNTGFLPINSNRTYDHFGKI YKVASIIDERGIFDGEKYEQYSPPFLSAGNAVVYLFFFAVYSATVTYGILYHRHEIML GLRDAWSAIKWKKNKNGDDQEITQEKADRDALDIHNRLMSSYKEVPEWWYMICLVISI GLGIAGIAAYPTNTTPAVVLYGIALCLVFVVPIGIIKAMTGVEVTLNVLAEFIGGVWV EGNAIAMCFFKSYGYVTAAHALSFSADLKLAHYIKIAPRFTFFAQMVPTVVSTFISVA VLQYQIHIDKICTEDAPFRFTCPGPNTFFTAAVFWGTVGPRKIWGVGGQYAVTLVGFP IGVAVVAIFYLLSLKWPKNAIIRNAHPVVMFNGALIWAPYTLAYVWPAVPVAAFSWLY LRKRFLGFWSKYNFVTSAAFSCAVAISGIVIFFALQISNIEVDWWGNSVPFEGCEGAV SCTLKQLENEGDYFGPRIGEFH
SAPIO_CDS5972	MDIEKNPPPAAVDLPERTADSDVNQGPAEKTVDPDANLSPEEKK SVYQKLVWKLDLHLLPWLCILYLLAFLDRANIGNAKIAGLTHDLGMSVSQFNATLTIF YISYSVFEPLTNVLLKRLRPSVFIPLLMIIWGSVMTSMGFVKNWSGLMAARWFLGLAE AGLFPGISFYLSCWYKRSELGMRTSIFFSSAAISGSFGGLLAAAIENMAGIGDLPGWA WIFILEGLLTVVFGFASFWTVYDFPDGPRTRFLNSEEKKRAINRLKADNQASAEYEAF QMKYVWQAMRDWKMWIGMIIYSGCTMPLYAFSMFLPTIIMDLGWNTSVVRSQLYSVPP YIVAAVFTIVVGYLADRMNKRGIFNVLTSLIGVVGFIMQLATTSPAARYTATFLGALG IYPCIPNTISWVSNNTEGVYKRGVVLGFVIGWGNLNGIVSSNIYFSSPDYVEGHGVVL AYMALFLCGASSLMMLLLHLENKRRLAGERDVWVEGKSEKEIREMGDRRPDFIYVI
SAPIO_CDS5973	MSIALQVPNEYGYVILSGAFSVLVGFWHGARVNFFRKTAGVPYP YMYATPEQYAAAKTQKEKDALYLFNCAQRGHGNFLENHTGNLYLMLVAGLKYPVVAAG LGVFWSISRIMYAVGYTNINKKAGSGRYIGSGQFIAFLGLLGLTIKTGWDMLA
SAPIO_CDS5975	MLPSYITLFCFLSSLVGAEFAYNIEPGDAKIGEHYVVEEYQLQT FHEALAKGVEDSPAAALDTLLGKRQSCRAGYGYCTYARGCCPSSNRCCSGGYCLEPGK VCCARGPCPSGHNCCGVDGCNPIGTECCRDGRYCPAGNICVIMPGVARIVCCTDLRCT ARVDNGRTTVIGGMTTRTNTFTTTEYRTYYMTITWWYYSYYWSYSFAISASIVTSTRV STRTVYTVRTTDADAASDYFSDLSKDLTLPTPAAATSLADRAGETSYLDGGRHLTSST TTSRATAATFNPGSGSGTSDSGSSSLWSGLDRATGLLLIVGIGIGAGAVLL
SAPIO_CDS5976	MTVSHRKRSIDDDFVYTIEDSDAVPDEEDLVPEPPKKKAKSSKK NKKGGKDAEDSTEGLWGKNDEDDGAMASDFEFAPDGINDLGDGDFDGWGFEGAKKGVD GDKRGVDLDAIIQRRREKKEQKKKSKKGTASESESDGDNEADLEADVESDAELDLDDA DDEVLADDAFGMDVDSEYEEEEQETVENEDDGASGDEDAGSPAEETDEGEEEEEVNDD DDDEEEEEEDAEELAKREAFFAPEEPTQDVGKKGKDGSFQSMSLSRPVLRGLGAAGYT KPTPIQSKTIPIALLGKDVVGGAVTGSGKTAAFILPIIERLLFRPKKVPTSRVVVLTP TRELAIQCHAVATKLASFTDIKFTLAVGGLSLKAQENELRLRPDVIIATPGRFIDHMR NSMSFTVDTVEILVLDEADRMLEDGFADELNEILTTLPKSRQTMLFSATMTSSVDQLI RVGLNKPVRLMVDSQKSTAGTLTQEFVRLRPGREDKRMGYLVWLCKNVYHDRVIIFFR QKKQAHFARIVFGLLGLSSAELHGSMNQAQRIASVETFRDGKVSYLLATDLASRGLDI KGVDTVINYEAPQNLEIYVHRVGRTARAGRSGNACTLAAEPDRKVVRAAVKAGKAQGA KITSRVIDPSDADKWQKKIDDMEDEVEEIMQEEKEEKQLAHMEMQVKKGENLIEHEAE IKGRPKRTWFETEHDKKKAKEAGRTQLNGLRESLKKKHGGKTLSNKDKKKLDAKATRA EGPTWKKGRAERDGKGAVLELKKQPKAKVSKPPKSRLGSKGQGLAKSKGVPRGKGKGK PKGGRSSIIKIVLHPRGHTKLALNYNPWHSTGPILSLNTKTRYDLPFTVALFRRRSPH NKRELLRYLVPHTLRVRYQERVLLFHLDVLPKLKYSAQSRIHTFLLWRYRRRLEKKPG VLDLVRRQGAPSAFAPRRATQPRGVGLIGPKKMLPTSSGGAGSAAQGGRYGGSGGGYD SDGAPSRTSRRMRLAAMAGSMYRAGASAVSEIKESYAQTRTRAFDPLEHERQSIPGSF PEARVTVQGTDQMILFPTYAKRHVKHDRNMPPPPVSDIPPGGMRDDDYWYTGWEREWD EDAVVDVDVRGWIYSPHRGPLTRRSRIMLGLARQLSGIPRLDGPQNAGAVDNSIGPSR PSHEDLRVQEKIALEAAKIEQRGRAERKAASHGEYSEGPDVPYQPGPNGFNGTSHSST RASLTPPHRQGTASSNGTTDLTEAELATANTNLAARIAPFLTVPFAELPVTIFFYNDS CSQSRTVMTNASGQFNVRAALPFVPTYVRVLANEDLSTLQAVKVTESAGVSVISDIDD TIKRSNISGGAREIFRNTFIRDLPTLTVDGVKEWYNRMHSMGVGIHYCSNSPWQLFPV LAAFFKISGLPPGSVHLKAYNGMLQGIFEPVAERKKPTLERILSDFPERKFILVGDSG EADLEVYTELAVAHPKRILAIFIRDVTTPEQPGFFDSSVGSGLLRTEKEMPDTERRSS PNSVGDRPVDRPQLPPRAPAAAEVPTGNLIDFSDDEKPASPKEAPTPPNKPRQLSAFG ASSRAPPRPAKPAALRSGSSESKISTHLDESHPGAGRTSSAPPPPPPPRSRKPVGISA GENTRHPLSQIQTLSAQNAAAPRKYPSGELQYASSSPTSSTSRQTPPPPPPRRTGTSS SIQSNRVGAEFSTPRDISRPHQPPPRSSGHTPLSSTPPSGRTPPNGMATATPDWNSNT QQGSAATGVNKKLELWRRRLARAHEILDEQGVALYTWRRGYDVIEEATGIINAALEEG NQASAQQKRSG
SAPIO_CDS5977	MGESRQELVSWLNQLLQLNITKVEQCGTGAALCQVFDSIFMDVP MSRVKFNVNSEYAYIQNFKVLQSEHSPNPNAKITPAINPSRINAINEYGPRMGTKRPS AL
SAPIO_CDS5982	MVPNQRTGGFVPSYVHKTISKSNAVSPEAQKSAAATLQFDQARV ATGGAQDPQRGNQNWNAEQDAAAAPEREGNTAGDDQASNESNDRKGGNLPAELLTAIV SQVDSRSDLLSLKITCHILYRLADRQLWRVLDLGTPASVLNTLQEMLMHPARRFHVRE VRITQIPEPEDVLRVPSIVISRHRFIPYPGREGSSVLEFCRVAHVTNYLFWAYGTPLR QIGKAFMPYELSWVFLEALLAAIMLLSPRLRTLTVDVPITHYHRWSFAPDRIFAVANN IFDTAAEDPEHCPLLPPIKALKLRPGAPLGGAWCSLDAFFTLKRDPSIKRIDLTRTDM MWDFRPLNVPHYLPNLQDIRLDRGVHTAARMARLAICRDGGCLRVDMTAAWKHRETLA SFLKNRAERLKRLHYFISAEALQPVPTCYGSPALHLIGLSELQCLAHLETDTSAIYGP ITQVLRISLSVKLPPNLRFLRLVEDWSLQRRLEGPELPEYRKTMYGHLVGLVKGYNAL LGIQTIVFEPHLGVYWSGLSGPAEWRRGANASDPFTCLREAVEADESMSVQVREVSSD RMSKKKGIQEEEEEEEEALENEIRSWRGFGTGRTLGRE
SAPIO_CDS5983	MFSVAVQPPSQARANTRLYPPLIAMGYPSGTTEADVPYLFATAV LRDSEGNLIPEQLVGTLTAGGACAEDRRLPGTSQPIVFMFPNLSVAYEGSYSIRVDVY RVDFGDAQGAILVDQVESRRFDVYDVDVPSQKPSPEEHSIIRKLRDQGYSVPSSPV
SAPIO_CDS5984	MVRTSALLPLLAAAGTVVGRIAIPNRYVVEFAEGADVTGFYDKL VDSAIRARKNFTSQYFRGASIELTNLDTSDETIDEIRRMPNLRVYPVTLIPPPDDKII WTGTPNPQTPALQKRQRKGGKDADAPEKDVFSTHIQTQVDQLRAKGLTGKGLRIAVID TGIDYNHPSLGGCFGPGCLVSYGWDFAGDDYTGGTSTPVKDWDPIDCNGHGTHVAGTI AAQTNNEFGVEGAAPGVTLGAYKVFGCNGGVENDVLIEAFIRAADDGSNIITASIGGP AGWSEDPWCVAVQRIVERGIPCTLAAGNAGDQGLFYASSAASGKGVISVASVDNIETP ELASESNFTVEGGESGTFLWTAGAPNNWTGAVDLPLHAYNFNITDPANACEEWPEDTD LSGQIAFIRRGNCTFVKKAQLAQAKGAEYILLYNNVPGIITVDVSEVPGIKGIGMVSP EQGEQWIEDLKGGSEVRITVEPEDLSQKTLILNPNNATGNFASLFTSWGPSWELDAKP IIAAPGGSILSTYPLRLGGFGVLSGTSMATPLVAGALALLMEARGKITPSEFERLLSN TAQPVLYNDGNVTYDYYAPVAQQGNGVIHAYDAAFATTILDKSNLAFNDSDNRIASQE FTIVNLSDEELTYHIGNLPAATAYLKNSSDSIATSLFPNELTKEYTFLSFDPESLTIP PGQAAAVKVTPTPAGSLDAGKVAVWSGWVTVNASDGSLYHLAYQGATGSLHSQTVIQD IGLLSIPLPGGLNETIEPVQSSNYTFRLPLPGTANLTTAINLTSTRFPGVLINLAVGS IYVDVEIVRILPDGSEWQYTGLLRDGPFLFNPRGEGAPLYWDGEFREGTFAPYGTYKF RVRALKIYGDPENEDDWESVETPTFKILYEDKLPVERRPAGEPNLDNVWGLGLGSESR SKAPTSWTDRIKGWLGL
SAPIO_CDS5986	MTELTTASNGTTRKLPYANGKASYAEKHKIADHFIGGNRLNNAP ASKVKDFVAANDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERAIHFTVMATP EDLQANADYIRMADHYVEVPGGTNNHNYANVELIVDIAERMNVHAVWAGWGHASENPK LPESLAASPKKIVFIGPPGSAMRSLGDKISSTIVAQHAEVPCIPWSGTGVNTVVIDGS GIVTVPDDVYMRGCVNSWEEGLKKAKEIGFPVMIKASEGGGGKGIRKAMSEEGFESLY KAAASEIPGSPIFIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAP VTIAKAETFKGMEEAAVRLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTT EMVSGVNLPAAQLQIAMGIPLHRIRDIRLLYGVDPKTATEIDFDFKNPESEKTQRRPR PKGHTTACRITSEDPGEGFKPSNGVMHDLNFRSSSNVWGYFSVSPQSKIHSFSDSQFG HIFAYGENRQASRKHMVIALKELSIRGDFRTTVEYLIKLLETEAFEENTITTGWLDEL ISKKLTAERPDSMLAVVCGAITKAHIASEACMAEYKAGLEKGQVPSKDILKTVFPVDF IYEGQRYKFTVTRASVDTYHLFINGSKCAVGVRALSDGGLLILLDGRSHNVYWKEEVG ATRISVDSKTCLLEQENDPTQLRTPSPGKLVKYTVSNGQHIKAGETFAEVEVMKMYMP LIAQEDGVVQLIMQPGATLEAGDILGILALDDPTRVKQAKPFVDRLPEYGEPVNVGRK PAQRFALLYGTTENILLGYDNSAIMAQTLSQLIEVLRDPELPYSEWNAQFAALHTRMP GKLATQFSQIVDRAKARHAEFPSKSLARAFHKFTDENVAPGDVPLLKSNLEPLLDVLE LYVDGQKVRELNLIRELLLMYWDVERHFIGQRQQEDSVILKLRDQHKEEISKVVQIVL SHSRVGPKSSLILAILDEYRPNRPNVGNVGKYLRDVLRQLTELQSSRATSKVSLKARE IMIQCSMPSLEERTAQMEHILRSSVVESRYGETGWDHREPSLDVIKEVVDSKYTVFDV LTLFFAHDDPWVSLAALEVYVRRAYRAYILNQISYHHDDNGSPLFVSWDFALRKIGQS EFGLPLQSAAPSSPATPSGEFKRIHSISDMSYKLINKWDEEPNRKGVIIPCAYIDDAE ELLPKALEALPVFTKRRQPGLISDLNGKRRPAALKHSGDDVELSAVINVAVRDAESEN DKDILSRILPIIEQVREDLLARRVRRVTFICGHNNGSYPGHYTFRGPDYVEDDSIRHS EPALAFQLELGRLAKFRIKPVFTQNKNIHVYEGIGKTVDTDKRYFTRAVIRPGRLRDE IPTAEYLINEADGVINDIFDALEIIGNNHSDLNHIFMNFTPIFQLHPQEVEHNLQGFL DRFGVRAWRLRVAQVEIRIVCSDSDGNPLPLRVMITNTSGYIVDVDMYAEKKSEKGDW VFHSIGGTKEKGPMHLMPVSTPYPTKNHLQPKRYKAHLMGTQYVYDFPELFRQAIQNS WTKAAKKNPSLASQQPKQGECIAFSELVLDDQDNLDEVNREPGTNSCGMVGWLIRART PEYPNGRRFIVVANDITFKIGSFGPKEDNFFQKCTDLARKLGIPRIYLSANSGARLGI AEELIPHFKVAWKDAKAPEGGFKYLYLDEATKKRFESAVVTEEVTEEGEKRHKIITVV GSEDGLGVECLRGSGLIAGGTSRAYNDIFTVTLVTCRSVGIGAYLVRLGQRAVQIEGQ PIILTGAPALNNLLGREVYTSNLQLGGTQIMYRNGVSHMTATDDFAGISKIVEWMSFV PKERNAPVPISPSSDPWDRDVVYTPPQKQPYDVRWMIAGRYEDPDNEQGEFEPGLFDK DSFVESLGGWAKTVVVGRARLGGIPVGVIGVETRSVENITPADAANPDSIEQVTIEAG GVWYPNSAFKTAQAINDFNHGEQLPLMILANWRGFSGGQRDMYNEVLKYGSFIVDALT QYKQPVFVYIPPFGELRGGSWVVVDPTINPTFMEMYADKEARGGVLEPEGLVGIKYRT PKQLETMSRLDPTYAALKKQLADNLSGPKDEIEAIKAKMAIREKQLLPIYSQIALQFA DLHDRAGRMKAKGVIREALEWSDARRFFYWRLRRRLNEEYILRRMASAIINPSPDHHD TKNRQIRERNLRLLASWSSIEDFDKSDRRVAEWYEANRRAISDKVDSLKSENLAAQLS ALVRDNKGAGLRGLREVLRTMPVEEREQALQFLKQ
SAPIO_CDS5987	MAEPPSSPPTDTTTAEEALSWYKSQYEQLEHELAEFRESSRELE QELEKDIEQAEKRERFLQEKAETLNYEVDEWKRKYRDSKAEASAAQNALEKEITTLRD SHRTLQLKLRDAEVANDDFERQARNTSSSLEDLESKYNVAIERGVMLEEEVRMGEQER ENLRIDAQRLKEELSELKIEVEVLQDRLRKQESRHLSMISTDISVPESPTFDKSIGSP ESTASSPLISTPPDTIDLPPSKPTVVQDPPSPPMSDASGTLRRPRLSLTKTPAPSTQR KSRLPSADNSVTPKPRPTNFSSSLTKRPVTRVATATPATKTPANRTTAPRSTSHRLPT TNNSLSHIRSLTAQVQRLEARVQSARSRLPARVNTPPRPSPRSSINGMGSVPSTVTIR SRRRATGSVTSASASVASDDTTPTNPSQGARKSTQTAGKHIPRLSTSGVSRLSFGPLP NRNPGGDSEASMSRPSSRASITSGYARPSSRTDMNPPPRPLSRTSLSGARTPLSRPRS SLGGSLHGHSASVGRFDPDEFEDDSDLRTPSRRGTFSKLEMEGVVSRIPAPASSIPAP TGRRQSGGPRRVSSGFGFRESEPLDELDETY
SAPIO_CDS5988	MAVPDKFTGFQVNSAETWQEFHKNEFQPKPFGDYDVDIKIECCG VCASDLHTINGEWGPQNYPLAVGHEIVGTALRVGPKVTLIKPGQRVGVGAQSYSCLDC RQCKNENETYCTKQLDTYGAKWPDTGIVSQGGYSSHVRTHEHWVFPIPDGLPSTAAAP MLCAGLTSYSPLVRNGCGPGKKVGVVGIGGLGHFGILFAKALGAETWAISRSRAKEED AKKMGATGLIATNEKGWNEPHRMTFDLIINTANSFDGFQLAEYLSLLDVHGKWVSVGL PGGDGITIKNQDFLPNGCFIGSSHLGSRREMLEMLQLAADKGIKTWVEEVPLSAENLK TALNRLGHHDIRYRFCLTNYDDVFGK
SAPIO_CDS5990	MTRRIIPVADWAPAQEAMYSEPSSSFSPSRGNPVLYEPIMPSYE ARPRAINFSLLRRRPKSLVIESSDTNMAVPFLERHSHIRSESAFVGHSHASKPESSSS LRGIIRRASTSLRVKLHRRPTLTNSSISEEPYLEARPATAHATWHRLRQAASFRQQRP VYGESSEPPNHQLDHLTEAMAALPVPSIGGEPPIIPHNTGAAAKASVAEYLNLSGASS SDQESVSHGLRNKWLAHVPGEECGNDRESGIGITVAGSVSEADLTDSKRASLRTQDGT ISRIDFITQLPTELAIQILSHLDASGLMTASQVSWDWRAAVANQHVWRESFLREKTAT YATSGPIKPGAGLGVPTVKPSNNWREIYCAREELDRRWKRGEAKPVYLNGHLDSIYCL QFDEFKIITGSRDKTVRIWDMHTYECKLVIGPPEVLSDISVLIDESKQPVHYATLSNN QRVAHSMPALVSFPVHHKASILCLQYDDKILVTGSSDASCIVYKVNSGYRPIRRLRRH DAAVLDLVFDEKHIVTCSKDISICVWDRETGALLRRLRGHAGPVNAVQMRGNTIVSCS GDFRVKLWNIDTGKNIREFAGHTKGLACSQFSEDGRYIASAGNDKVIRIWDANTGECL REMKAHDGLVRSLHIDSVSGRLISGSYDTDIKVFDMESGSQLLDFPKWHASWVLSAKS DYRRIVSTGQDPKILILDFGAGVKGIEALESTERQGSDGGYI
SAPIO_CDS5992	MDTPSSNRCRASRTSCVQPSSTPQSRDSELATPRNPPSLPSPTE RLLVAVFPTILIFGAIFSLVSPHTRNAEFDYVTQSHSQTSPPSYFAHKGNVFNRYFVK QGWAWTTGAFLLFALTHPSLRAGSKRAQALARFALVTLWWILVTQWCFGPALIDRGFR LTGGACQALEELVEGDREAAKLEFFTAVTCKAAGGRWAGGHDISGHVFLLALGSGFLM QELGWAVARWAGRGREERAVVMDDGAVKGAGVESAAAFGEGRERGGDKIGFGGKVILA VVGLDLWMLLMTAIYFHTWFEKFTGLAAAILGLYGVYIVPRLVPALVKSAFSKARANG DLLYFQTYVTVLAPASIPFQLRFSPALASKPTAPLPVPNAPKKVFDPFDNPAKELLVA EIQPSHNLVLNKFAIVPEHFILATKDFREQTHLLEESDLAATYACISAYHESVPAGEL GSEVGEGELFAFFNSGEFSGASQPHRHIQLLPVSRMREGLEGEEWDVLAKRLVGEVKD LPFTVFAAHIEPGIEGWELREKYLGLYRRACVAVAEWLGREEIAHGAETQTSGEARIR YNLAMTRHALIVCPRLAEGGPVWEGGEVVGKVSLNGTVLAGTALVKNLAEWDSLRHDP DGLLQVLKVIGVPKRYEEKVIEETRADGVLKSSI
SAPIO_CDS5993	MFIHPDPNLALPGTGIIYFQKDPETLPDTPVSPTPVPAPPPTPN LPYAQLAQWFFDNHHRPAGIRGSDHLGGSGLFAREPSYGMLLEDHPMANTGKTTVESR DLNSPISRADAPDYFSNNTALTPGFIPPPHQENHSSILLNTFWPMDTSLPHPPPLQTF PGAQAYHPFHNTPLCSPLQDPMYFGLGIDGLDCPLPPLNSGANAVFSPGPNHLLPDVP VPDFPFRGEPIRALTAEEEAAMKREQDVYTQTSPFRQARWGEGQGHVGTISPKALRRI PSPLLSPKVESSSFAVQPHQEVGLPSNFLFKHEEPVRSEKLRRVLPSSPARPRPVAIS PATSMMDLPDVPQLPSNGILAEGSKKKHNPSTSETPIKDDKVKVEKGESCPRKLLSRA AQFSPSTSSRPLRGTSSKKGANVASGLPRPSSPLRSARDEFLVRSKLEGMTYRQIRLK GGFTEAESTLRGRFRTLTKSKEARVRKPEWTEADIKLLRKAVRGFSQGKDISKVKIPW KLVAEYIVNHGGSYHFGNATCRKKWDEITLSTRA
SAPIO_CDS5994	MAGDLTYMQLLKKQFTVSKLAFHILFWGMHWAFFAFGWWKQAAD PRLAPLNLLQHSVWISRGAGLVLTIDGTLILLPVCRTIMRWIRPKIRWLPLDENIWFH RQVAYSMLFFTVVHTAGHYVNFYNVERTQLRPALAVQIHYMDAGGVTGHVMLLCMLFM YTTAHAKIRQQSFETFWYTHHLFIPFMLGLYTHATGCFVRDTALPVSPFAGKEFWDHC LGYLGWRWELFAGGFYLIERIYREVRAARDTKITRVVRHPYDVVEIQFEKPSFRYKAG QWLFLQVPSISRYQWHPFTITSCPYDPYVSVHVRQVGDFTRMLGDAVGAGAAQAKLYE DDIDPNAMYEVALQNGEEMPALRIDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILK NIWHLRNGPNPPTRLRRVEFIWVCKDTTSFEWFHALLSSLERQSSEDARLPGGSPDEF LRIHTYLTQKLDINTAQNIVLNSVGADTDPLTELKSRTNFGRPNFKRIFEAMREGIVE RTYLGGLDGSAQTTVGVYFCGPSVAARSIHQACKEVNTPDVKFRFWKEHF
SAPIO_CDS5995	MPPFYPFRPTQAPPPVPSNRPGSAQSQAPASVEATESDVTTRRY FSNASGRRVNTACVVLETLHYQYPDLHIVICPEFGCNLLAFAAAGHARAELLDNEAAR FPESLKSKIYVPSQRRLDDEEGRLITRVDWGKFAYEWGDDKFIVYLADGRDGMTSYPD VRNFYVLAREKGRAEALITAAGRWSSELQEEVWVFDQGNWEKSKELFESVMKSSWDNV ILDKEMKDAIISDHLTFFESRESYQKLRVPWKRGLLYHGPPGNGKTISIKATMKMLWE LKKPVVTLYVRSLSSWGGPESSIQSIFAKARQLAPCYLVFEDIDTLITPSVRSYFLNE VDGLKSNDGIFMVGSTNHLDRLDPGISKRPSRFDRKYFFPDPDFKQRVAYCHFWQHKL AGNKEIEFPDALCDAIADITEDFSFAYMQEAFVAALLAIARGDKEGTGVANVNSSTKE EDSRSTASMSGVTITEDLDDGWVGIATVDHQELEGLALWVEIKKQIEILREGMEEAA
SAPIO_CDS5997	MPYIPPSKSAASSPPHGSPNATRRHSEPTLGAALPRSSSYLTKH RRTPSALGLSGQANGGPNNPTPPGTSEDLKSLARGIGSIRQSPPPVTGPRGMPSGAVI SPPDSLSGSDDEGLEMRGRKLTNLKALKDAVNSIPLRRESSPVDEPSPVTPTVTELLS QPVTFVEGMHHSYSTGALDALGSRRTSRAVPGMECSAIHSSGSLTPSEEDSEDEKRAK PPMVRKKSGELVRPALRPPSRRRPSSMPGTPTFSKAVHFDAHLEHVRHFLQVDRPLAV SAGSSPIDHLDSDSEYPFPPSDDEHPRQPRSPPFEWEIITSNFPTDDLARNALPARVE RLWLSKDCRSLHGSISVANLAFHKNVICRFTLDYWKTTSEVSAEYSGAIHSTGHDRWT FSIRLADMANLETKTLYLCIRYNVNGQEYWDNNNGANFQVDFHKKYLPQNGKRGFLGA GTRPAGELPRSSRRPDANIQQPRPKSMPVGLSSHLIDQARRTLDKSRDEFLDDHGPIR LKKSSSDFQDSSSSSRSSNAFGRRYDFNASLNEAKQSAKTKDSRKLVNQPAVPSTLST KPPPLLHSENHDSPKKKDYTYDEIVNRFCFYGSKQAQTASQPKAPKASAGRLSGSADA SEHYHHVSATPERRAGPRDANHTNTAVLALSTSPRSDFSPGGDGFFSPSLHAGSPISS LSPRADTRRHGYPWLGSEQKADFLEARVAL
SAPIO_CDS5999	MVANTGTEADSHVPANPTSEQPASKSIGAEESAPASDAAKASGA DTNQQKRERDGRSRAQQKRKRKAPLPDRFNNKKKKLEDAGSTPDGVEIDDRDRAPRKP RANPFSAEEIAAEERRPKKKVAVLFGYSGTGYRGLQINNEEKTIEGDVMKAFIAAGAI SKANADDPKKVSLMRCARTDKGVHAAGNVLSLKLIIEDEDVVEKINSHLPPQIRVWGI QRTNKGFSCYQSCDSRWYEYLLPSYSLLPPHPDSFLGQKIAQFAGEKGVVEELREKNA DVGNFWREVDETCIRPILEKLDIEMRTAVLDRLKINIDIPVNDATKPAAESAAPVATG TTSDAPKPPSPAADPVPADPTPNEDGSPSGEGSSDNAAKDTTSFAQRELGPADFALRD IKLAYVAAKRRFRISPPRLQRLQSALNAYLGTNNFHNYTVQKSFKDPSSKRLIKSFVV NPNPVIIGDTEWLSLKVHGQSFMMHQIRKMVGLATLVTRCGTDPAIITETYGAAPIAI PKAPALGLLLERPVFSSYNAKATGTLEREPIDFEKYDDVIEPFKREHIYTRIFEHEER EHTFHSFYQQIDSFRSNFFLWITPGGIAAAKLRQGFTEEDAAEDEKLMNKQLGDEDDE DVNPEEGEG
SAPIO_CDS6000	MSDTKMQLEDWLDDLCVRFIINLPQEDLSSVPRICFQVEEAQWF YEDFIRPLDPTLPSMSLRTFCLRIFQHCPLLTTFPVGVHIQAFEEFLQYKTRVPVRGA ILLNEEMDSVLLVRGWKKGANWSFPRGKINKDEDDLDCAIREVYEETGFDIREAGLVA SQKETKFIEVTMRDQQIRLYVFRNVPMDTRFQPRTRKEISKIQWYKLSELPAFRKKGH GNHSDGGNAATNANKFYMVAPFLVPLKKWIVQQQKRDASRARDEIYAIPEPVPEEAAV EDYTWTRPEAAQGGPDLDALEGATRELQRLLKMQPPTQGLQQQIPSQPSPEQDKGGAL LALLQSKVSVPGGQSRAPHTPTDHVIANPEQPRSPHHHPAQHPPLANQDYPPPAFHIP QTQGHWTPEQYPNAQLGNGPPMQPQHHQQVPGFLQPQPNEPTLVHPQPLPPHVQKTGV LNYTTPSPHTLINGPPGPQGAPRGVPQQAPYPGYSVTQTRPPGGPLTDHSKALLDAFK QPASHNPQPTEIRNPPPYPTSDYESTRAPEVVYAASKPYQPAANPQMPTAMYSSYPGP QGVAVRNQGSVNEKHRSALLDMFKRTDPNTSPRAANFGRPASGSGSHSKPGSIVGASP RIPMANLSLRDQSGSPSSKPYQSPRSQGREQAAPRVSSSGSSQPLMQLLRRQEQASPK IHQRPTAAAASNYSYYYDPAQAVPAGLASPPAPTSLPVPVFQKQQVASPEHKQKLLSL FGQPQVVPPPVDESTPDHVSVVKRPSVEYHSGSITPGAGYVTSTATTTVPAPDSRRGS GTPISPADRSFLLGYLQSATHQASH
SAPIO_CDS6001	MTSMIATFIGKRILAESVQNQFGTEDPYFETVPATRLDGTPNGK FKKRRKALPPGISKHDGKVLTKVKRRAYRLDMSLFNCCGIRFGWGSAIGLIPGIGDVL DALLALMVLRTCTSVEGGLPTSLKTKMMLNIIFDFAIGIVPFIGDIVDAAFKANTRNA ALLEAHLREKGKKELRKSGLPIPEVDPSLPEEFDRAQREPSPEYTASQPRPQERMTTG TRQNGRSQRTPTAPAPARVRNEDSRGRGFFGGRSRPTDVEMGEVDRNLTASSRTKKQR R
SAPIO_CDS6002	MDANSPPDDRDDNGSGNEEDTNSTNGDFDGLKFFPPPDASISGT AAVDDDPRFYGAVIFGDFRFLDKSRPADDAQFISDYQAAHGHHPGCYKCLEWFINCDA DGHLSGWCRRENESHSKCIHCIHRQHRTCRPVRIRQLRYYADLFQEVLTAPVFNKERF KAIQRDARAFSRAPDAPPENCEG
SAPIO_CDS6004	MADITPSKQAATAIEAFKMESPVKKLNFNAADKENNPDAVAVQD TKSVVAEVKKVEQQPAATTVKPEEADEPLLQENPQRFVLFPIKYHEIWQMYKKAEASF WTAEEIDLSKDLHDWNNKLNDDEKYFISHILAFFAASDGIVNENLVERFSAEVQIPEA RCFYGFQIMMENIHSETYSLLIDTYIKEPAQRTHLFNAIETIPCIRKKADWALRWIAD REATFAQRLVAFAAVEGIFFSGAFASIFWLKKRGLMPGLTFSNELISRDEGLHTDFAC LLFSHLKHRPDKQLIKDIITDAVKIEQEFLTEALPCALLGMNANLMKQYIEFVADRLL VALGNEKIYRSTNPFDFMENISLGGKTNFFEKRVGDYQKAGVMASTKRNEEATVDAGG DGGAFTFDEDF
SAPIO_CDS6005	MAKTKYYKTSQEWFDQSILLIEARPSTTRITTKYSIKRPRIKQT EESTPATDAAPRAPRAKLTLKTFDPVSGATLKYKTTKAAEVNRLIQVLGKLSKGMAGL KGARDEVQQQGAEAEKEEKVVGAAQGAAAGAEAGKGAQVPEKGQAGGGGGKKKKKGKR 
SAPIO_CDS6006	MSGFEIVGAVAAAGQFIEQSTKVIGLIKAIIDQVRGAPAEIAQL TEEIEALQQIAIDIKASKGPHSRTTDKVLRRCEDHVKALRKILEKISCDLDAGILKKT WQAVRGVYSEDGIRAILDKIEREKTLLIADISARNGSSLHSYGKKLDSIESDLQIVAR SLECLPTNRNQSPDADKCRRDLFLTDPRTHRERLKREKGSVIVERLERDAQARDTPSL VLYFFCDNRDNERNGAIQMLRGLLYHLVELRPGLIKHMLSAHKVQGKRLFDGDIDKIW DVFLQTIDDPDVPPVTCVMDGLDECDESSLTSLLLKIESLAPTDKLKVLVLSREHPKC IRESLASRPQIKLDAALESVSDGLELYINTRVAELAESSPHYPQSLIERIRKSLITGS GGVSLWVSFMIQDLRGKEPSEVVDCLNDLPEGLYEIYERILNQIKPRHRNQVKALLTW VVFAEWPLSLEELVEALAVEETNGLNPSQIVRDYVRFCGHLLTVSETGRVVRFVHQSA KDYLVQARKPPNLSWTPVFDADTGHSTLAIRCIECMHQRFKFSDVPWKDDYRGRLLSG SETGRLDGSGALIPYAKNFWDFHFKASGHKAREVLERHSSFFKGSELLYHQLHTEFPM DRPGLVFVDSENIVDLPAFHIACGLGLHAWAEYILENHKPALRLSRFANVAVSVRENR KWTRLTSLFVVAVTQQLSCSLGTVQM
SAPIO_CDS6007	MSSTPMDLDAPGSSTPNGINLNRVIGGPSNTTSQLSDVISTFRP TKLFRREDVKDGQPRPRVLSLDFDDPGELLMTSESDETIQIYNVKDGLHNKTLLSKKY GVKLARFTHTSSSIIYASTKQNDAIRYLATHDNSFIRYFEGHEGRVTCLTMHPGHDNF ISCSLDNTVRLWNISTKQWVGQLFLNTPYLAAYDPSGNIFAVASPSSGTVLLYDCKNF DKAPFSVFDVVEQCKDYDRQWLLKGWTKLEFSNDGKSLLLGTKGPGHFLLDAFDGTLK AFLRKPEGGTTRLAPGETTTANGSSGGSPGGGASMESSGDCCFTPDGRFVLSGSRKDV LGWDIKHAGENKVLDPTFVLEEKREAAVIEFNPRYNHFATADEDVIFWVPDLGY
SAPIO_CDS6008	MGTAFIAGGIAACGAVTATHPFETVKIRMQLQGELQDKGHQPHH YRGPIHGVSVIVRNEGLRGIYRGIGTAYIYQILLNGCRLGFYEPMRKPLTKLIFGNET TQSLGINMFCGASSGVIGAAMGSPFFLVKTRLQSYSPFLPVGTQHKYKNLFDGMSQIY KGEGVGGLYRGISAAMIRTGMGSSVQLPTYFFAKRRLVRHLGMEEGPALHLTSSAVSG FVVCCVMHPPDTIMSRLYNQNGNLYNGVFDCLTKTIRTEGFFAIYKGFLPHLSRILPH TILTLSLAEQTNKFIRSLELRILPPVALDTQEKKL
SAPIO_CDS6009	MAKPEPTPTSDSPRPCARCKTNPATVKLRTESTCPTCFQSFTHN KAVKRLGLLRKELVGPREKRTCRYLAGLSFGPSSTALVQILDENLKYVRARANPNSPV AYELIVAHVDTDLRPHPAKGSNGDAQTNGSPATALLQRYREKFPEIDFRLIPLSSAFY ISSIDWPSLPSTDPTLDPQSRMQSLFDALPSVTSKADILRLFLRRILLSFAVENSCEA LLLGCTTTALAELTLAEVAKGRGFSVPWQINDGPFPLLGSEQGAPRSMQVYYPLRDVF RNEIHTYLRFADPSLSDIIVSNPPASADVVSHKDLSIEEAMVRYFESVEEGYPAVVAN VVRTTGRLARAGMSGGGGGTACRLCGVAVDESGDARWKGEIGVGPEEDGGDSKLCYGC ERSIRG
SAPIO_CDS6010	MDKSSYPGQASKPKKWQRTASDLSPAIKTNSDSTAGGESITKLV KKVSRSFSAKTTNLFKKSSGRSSEDSSSSVSGSSQKGGAGCFTTESFEQTVPDDYSLA PRPTASSEDGLSSVRFSTCPSKSSSQRSRNISGMLRTCRKRLSIGSLRDSLDEMGNPE KKKRTSPIVAHSSSGAPRLGALSYEGAGPDGEDSMFTFRNDLDRAMNEITERGKMADY VRAKDSPNPFRYSKNLAVRGKPLTFNRATNVLGNIVNQKPRSISQNELVICKNSENAF KDFDFGIRSEFTSRASSYGKLTTISSGGRGASEDKVQKAFNERNEILESAKKELAKSS PQTAKFVGQVRDLPLEARDRNLTVPGGIGTRVKLSYPWIQFTNGRLEVFETREAALGS IKYEVHAINDLAFYNVDTKTYFLQPPCYFIDSEYAEVGYLRGLELVSLSYEAGTTRHN AAADAADPNVPIAGRPYLVMYMDEENDYVIVDVRDDSMSINDLIGF
SAPIO_CDS6013	MLTSSYHGSLVAFEGSSDSSISTQLRLLPVSPQILILPNILNYL PNETADSTFHAATFIRKVHDAAAARLEAAFNFLNEATPDSKRLVFLNGGTPSAYALCV KAIAQHETNGDFERAEGRLQAMIAGGLAGLSEVGKKNLQKRPSLSFASALDDADAFLF QDPITRAMRAADALDRQTESLQPSTDLDLTLSAARRPRPRSMSLPIYGYVDHLGDAAP FYVFGARAEGGGGGRASEEQAIDDFDEDNPGGRTFFLQSPRLELRSFYDEPDDIDPFK LDSSLKTPISPRSPSCIGEAYWAPINSAVAQDDEDPVVLGEASIIHIAPSSASRRSLK RARSLDRMSMSRARYRDLPLRLPSNREADQGFENLDGARRFSCVDIADERGGLPLSPT STRASYVGVPRTVFVRRSSAAKVTLLAKPKKERKTGCASYVDRGTDAEDIITAPAEDA REPVLPFREDLVVYFKNGRLDTLIDKFVQAFRDGGIYAPAPVQPSRSEASNNGGSEPE TTAARVVEVEQVHVRNQPCQEVISDRPSSDEEYDPFSYQKQPPPLLASTSSCKPVIVS TIVTLSPSAPPTPAHTPPPPASPLHPVETTPRRRTIRDFAVPGNPTAVAVQNALRSLL AEYFPAEEDGFHQFNFHHLPELDGLWRPIFREAGSGSDDRHEEEGGSSSMRMVDQIIA FGSQAGVKREFVSSVGRRLEKLGAQPSGVSRSGRIDFRYLIANAMQAFTAQPLAKQSN DNPFTNPYLLATLIIPHLETYLAAHSEVRLLILEYPPEHLATVLAIQRLVGVDLMKVA QIVDSSSTDSPFAPIRSHGGSLDTTPSHSPAMSSPAGYSGVINLSPTLSVEKSLTPST ANYLLTSTATDAEVSTFLSTISKVLFEISPFYAYHNHHHHQHKTPRQHNLHHIDTTAA ITTERSPHPRSISPPLSGATFSTIPHLTISSQSPPLSPTSPQPPPLPQRSLSPIRSSA TPSPSPTETPVQTPCSPQHGRFSPTTSTATTKTTASIIVRDRKRLPLEKEDEGVGLDL EDDSDFEDLEEKRLLPIFMRRPVARKGSSHKALKFLGLA
SAPIO_CDS6014	MSFSTPCASTMSASADPRNFFETDASIEKRERREVKSKNKFGNP IVLKSKILAVINDPVSPSSSVLVAEAAGRVRRVNLEILSQTSTVTKTYQGPKNPTSCL AVGGRQNDVLYAGSWDKCIWSWNLATGQPLTKFIGHSDFVKTILWARLGDTDILVSGG ADKKIMVWDANTGTRLHTIQDPKTSMLALQHLALDPKLSTAEEVIVVSASSDPHIRRW RIKKDSYEQLSEIHPDAPGSERPTIQEHDTSVYKLVFEADTEDCDLWTASADGTAKCL SRARHFTTEDEYAHGDYLRGVVTTDTWVVTAGRDEYVKVWDRASGNLYCALEGHYDEI TDLVLLPDPRGIHHRVCSVSIDGTIRTWPLMKEQLDNVVEEIKKANSEPAEEEKEKNG TNGAGVMTAEEEAELAGLMEDD
SAPIO_CDS6015	MDSSNRIKPRSRPAHTAGTTRCTYTPDGSRLITVGSNNTIRIYK TGFDGEPTNIDECPEHNVAVAAAGDFFVVGSEDGTVSLYSLATASFERFLLRSSLPIR DVALSPDGKWCAVANDETTVKVVNTEDNFQIKTLKEHNRSTKHLSFDPKASVIALTCT DGIIYIYSMTSETPELIRKVDGVVGVLDVDSDSCGAVAWHPDGRSFAVATPTRDIQII SKNDWEKQRKFSNGHLGDITALAWSPNGAMLATAGRDGKVLLWETKTQGVIDRHHFNN VVDLSWHNKDNTLSFTTTEGEVYIHTDFVSDQFASFLKLPMQPSPFFHDPLTDISGNA RRLEAAGKPLAARPRRDSLDSLEDAVLDDDLDDYGVDGDLDDFVVDDDGAGYTTGRKR PAEDDGFGDHAIKRRHLMQPQIHAAFQPGSTPWRGNRKYLCLNLIGVVWTVDQDSHYT VTVEFYDHEFQRDFHFTDTFLYDKACLNEKGSLFSNPPKDDLPATLFYRPHESWTHRS DWRTELPKGESVVAMSLSDSFVTVVTSANYVRIYTLFGIPYRVYRPKSTPAVTCASWK DYVLTMGNGPVGPDGRAKLLYSIENIKRDEICQNEDTVALPEGVNLSSVFFSDKGDPC IYDSTGTLLTLLHWRQPSQASWIPLLNTKLLSRLASGRKHETYYPIAVADDKFHCIIL KGGDQYPYFPRPLLSEFDFAVPLTSTVPKAKRASANGAENGGEEGGSDVENGDEDMDE DQRESATLTQQLLVTDILARQLRDVLADARSSATYSERSLLAKLELEVDKTLLKMLAI ECRQSEDRGMRALEMVELMRDKTGKMAEAAGKVADRYDRTILAEKIRELGEKKVNGMD EDDD
SAPIO_CDS6017	MAAVAETPQRPATLADCSDDHITSRIVPIPTPKIQMTAPSPGEP MEISSPTKPFAKMASSAGASPTSSGPVAHNGIVNANASAHARSTSADDANANANGAAP TSTPTSSEKKPIPSADQSALSNPSSMPAPPAAAAAAVHQPKIVQTAFIHKLYNMLEDQ SIQHLISWSPSAESFVMSPTADFSKVLAYDVLTPPDFLAAQ
SAPIO_CDS6018	MYGFHKVSDVFHTGNPETALWEFKHGNGNFKRGDLVGLREIKRR ASKHALVHREFNSQKPSPLQPGAPAEPMPMTPDGNDPRLVGMEHSLYDMGIRLQRSEE QVNAMHMKHQAVSEVLGKVMQLNQELSRALLALVPSPDHPVHRDVVALQGEFQRQSDV LRAIDEPHEHFPNSRQQYFSNIENAPISPRQLAQDDPRRSTLAVPHRNNFYRPPVSSN LSVSVTPRRAFGSFSSSTAQTSPSSLRGPPPPPPAPHPLSNVEPAPSHLTRRHTSADI RAHGWQGQPNHSPYPAAPGGPPPPPVGQWPPSPNRLAPEDQRIRESFSNYSLSAASQQ PRPHSRPTTPPPPFANGISGSDTFGSWSFNSAGGRENKNLAVRDSSGPPTRRGSMAHI LNPADTAEREDEDEDPRGDDDRKRKRMQ
SAPIO_CDS6020	MRRIAVLLALLCSDTTYAQVHLNYSTLFPFDPVAAETLGDDLLH YMVPVRLGTPPLLRYLVPAVGNPATKVIVRDACVEFEEPAVCQWGSYNDSASSTSNTD GIDSEDHAFHDVLQIGNIELAAADLTIFYDPAGRLVTQPGTLGLGRGISSESPRSVPE AMTEKKLITSPAYSIWADNSDGTSGHILFGAVDSSKFSGKLSRLAQPPLDDDWGNIVL PADLVSFRPSVNDEPLTNGTGRIAIDPFEPFSWLPNKIVEPIQSYLGGAVNLSRQGRP RIMVPCATARQSSARLTIRLGGDPGVVEIQLAGADLIIPEAVWSETGYSAEAGREVPW CLLGLQDWENESSTEWLFEARPPFGINLGASVLRNMYMVFDTVNKEISFAPLKFSGDM EPDESATIIFESNGSKAPLSVPAPELASSDTSDPGSSGSSGSKRALAIGAIAGIAVGS VLGAGLLIAAILFFLRKRKKVNYDAVAEAELPGDLPSNSPEMLGVSATAEKTGGNIGW WRRGKRNLGRSPATKLSPVAEEQTVSTPTPTPAELEMALALSAKGHLHDHSGASPVEL GSPGVPSPVELEAADVVVRPVELETPGGVVRPAELDTSPVIRRKPVSQSMIPRSVAEG LPTQSGPVGEVKPSADTGQVVQPPAETVKEVEPAVEIAKEARTVEEARPALVEKPKKT PSPFDPQAWRKRIYR
SAPIO_CDS6022	MAAPSGRRRAPYKDFLQPALHRRFASTATILLAVSYVISILLSD YSYFWSWFPIGPSGIRALSIFGSGLVILILRIAQYHVDVRTSNSAFATFTQDLARAET YEAFGSYFISSLFFSIIFLHAYSDDSAMHWIVYRNGDRPRLNERPLFLAFYFISCGIV QAAVHICKDQDKLTLGGSNENGKKEEKGASTFSRAIRKSPDIMSEAASRAVIALITHL LFYFVVLRSFAWPWALFFFRLFYNLPKTNMLPPSTPATFHTLKISIFGGFFLFVLWQA GNAAFSAFMAKEPLKNGKPLTAESKDPNGSLLNGLKSKKTPVRAYAMWELSYIARDFP NRRKAIFEDIDRKDGPMWSQVYSICLELVKSIATRVDEHGKQPAAPQPETKPNEPKAR TTAPPRDEAIFKHQPSTKSMRGEVENAIDHIARSPGKTPMSQLSPVAKKTWKKAKDSV LTQDQQEALRPDHVVGQFRQVILRILQNDKIGPIFRHEYRRGLAAAVLGTPSAELALY VNAVEVLGLLAVNSLGEDKFGNVHRDVPTIIRTFTSTIRKLDAFTASFPVHWTDVEAK KECPEVDALLDALRSALGKLITSFEPFRNDLRLTLTDLRLAKEAAGVTESADDAREPD MAEIRRR
SAPIO_CDS6023	MSFDQLSSLEAGHGGESGAYSDDPGFKQLLRELRTKLSDLERNV LKLRTDVNLLGTRRDTARVRERVHDFLEKSRDSCLSIGEGVKKLQTWDDLSKQQKYER NKISAEFQDAFKEFQDLQRTALEKQRASVSAARAAHESETAGEHISTSPQEQQLQQQE LSSLAAQDEVDFHEALIIEREEEIRNIEQGVGDLNVLFRQVAQIVSEQGEQLNTIADN VEVTRDDTRGAMVELTQASRYQKAARNKSCCLLIIVAVILTIVLLAIFLD
SAPIO_CDS6025	MPSEMLEESTCSTNCSDVKSQLPVPSAAMFLASIPLTYFVFLPI LSRLVGAILAWYLRRRSSGRKALLLTLKHEDQRRFQKEKTRAAQTGADEEGWEKIKLQ ITPSSGNGEKARKDWDGIVGFFHPFCNAGGGGERVLWAAIRATQLRWPNALCVVYTGD QEMSKDALLSRVKNRFNIDLHAPTVAFVYLSTRDWVLASTWPRFTLVGQSIGSLIMAW DAFHLVVPDIFIDTMGYAFAQGFSKFLFRRMPTCAYVHYPTISTDMLESLDPSSPLGS RGLNAGQGTGIRGQLKKAYWQLFAALYSRVGSTIDVVMTNSTWTQAHIKLLWGPYRSQ KTKAEPITVVYPPVAVKELEDAIEVTPETEKKREKIILSIAQFRPEKDHTHIIQSFAR FAKSGSPTAQGARLVLVGSVRDDGDSKRVYQLRLLVNELNIKDRVQFHLDASWPEVLD WLRRASVGVNGMWNEHFGIGVVEYQAAGLISVVHSSGGPKLDIVVDIDGEPTGYHATT EEEFAEGFEKALSHPNPLAVRQRAQKSALRFTEEEFAKKWTEQMEKLVVMVA
SAPIO_CDS6026	MLRCLFFSFAALSGAYFIPEIVFQNGGVNGGVASENAECSRIGR NVLLNGGNAVDSLVATTFCIGVVAPHHSGIGGGGFAVVRDENGEYEVIDFREAAPAAA SENMYDGNVEGSVTSGLSVAIPGEVRGLEYMHKKYGKLPWEHLVEPASEVARYGFKVG HDTIRYMNAVITKNRNIFLEDPAWAEHFAPNGKLVEVGDIMYRKNYADTLDKIGKHGA DAFYKGEIAESLISVIQKENGTMTLDDLESYEVIRREPLEISYRGYKLYTSGVPSSGA ILMSILKTMEQYPIEDWEDVHLTTHRFNEAMRFAYGSRLELGDPDFVPESIKIEKAML DENVAKSIRDRILDDRTQPIEVYDPREIYTTEGQGTSHIVTADKDGMATSVTTTINLL YGSLIMDPVTGVVLNNEMNDFSIPNVSNEFGFAPSAANFIRPGKRPLSSITPVIIEIP ADAESGTPSTLYATVGAAGGSRIISATTQVIWHLVEHDFSLPQALAEPRIHDQLMPNH VLVEYKFDNTTVESMRQRGHEIVRVAEGLSAVHAVRVEGGVFEAEAEPRQKDSGGATA 
SAPIO_CDS6028	MATTTVAAQQRPDLSREDRTQLLLAQLMEGGREDDETCRDLDKV TALLNEDFELTKADKDTPSICKVIDADCLDTILGHMDMRQPEDVRAHATLTTAAYLKA AGEEGAKALSTFFFDRVRRGTYDDFIVAFCVASLIFPIAPEIISELFLSPGFLPSLAP LMSRKWKSRKVETACLELLNAACMHPQCREAVEKYCIDWLEEVVDQDPNEVTRALDSD TQISLEDGSVNMNRHSLKVQVMAGVVLAKIRAIPSKTQLNNEGGERVQSASTTIEELT EKFTTFQLRKTDGHEDLKKAKQHSIEGLAYVTLRPTIKERVAHNPELLQNLIKSLSDA PPKSPMTYGALSIFVNLTRYRPSLTEEEEKMRQLKAYANAAGKLTQSQDDTLDDNTHV SERCKLVFEAGVTPVLVAHSKNGSTASLSLIVSIINSISLTSALRGKLAQQGAVKLLL TAWSSIPTKEPQARQMAAQALARILISINPALVFGGNRASPVTSAIRPLVSILTPDQT SEKRDLLPSFESLMALTNLASMDNADVRGLIIRTAWPQIEDLLLSSNTHVRKAAVELI CNLVQDIEGMALYADGTPQAKQRLHILLALADSDDIATRSAASGALAALTAHENVVEA ILQQERGISILFNLCKEDNEDLRHRAAFVLRNVVTAEGRVGELGKKQVAQQGGLEMLT ECAKKTRRPEVLEVVLEVSKVLIEEK
SAPIO_CDS6030	MQTRFSTYKHVAMAMRFLNAGASATEAVTIAIKILEDREITNAG YGSNLCIDGTVECDACVVDHLGRSGACGAVSNIRNPICLAKVLLDKSLEPLSLRRVPP SFLVGVGAVKFAQRHGLEIVPNENLISKSASDRFHRWQQDLRRAAALKSKPNNQTPDA AENSRPGRSVTLRPFGPDQSDHQNAVATGLFNEAQPDSPSNVIPASRAESSSTEGYEV PMRKSTANSSGKDVEPTPHLKPSDTCAPGAEIFAQFPGLTNEGALALLHPQYQGRHPE VSKAPTNGPRDGNGIGLAEQALRDGSTSPQALDKQFPAGILGCHEDNRTAPPEWNKSS FGHKRLYGEYAQDSDDMITDTVGAIAIDQMGRVAAGSSSGGIGMKHRGRVGPAALVRI GSAVIPAHPADHDNVSVAAVASGTGEHMATTMASQRCAERLMRGSRCGEMGEDVYDGD DHDIIHSFIMEDFMKHPGVQGMTTTGALGVIAVKKSRNAVYFYFGHNTDSFALATMAS TDREPKCLMSRLAPDGDTKCSVGARRVAIR
SAPIO_CDS6031	MANQTPAVVMDNGTGFSKLGFAGNDSPSFVFPTAIATKGAAGTG GTGSGRPAVANKPSYLTGGAGPSSHLSAKRGTEDLDFFIGDEAIAASGGPGYGLHYPI RHGQIENWDHMERFWSNSIFKYLRVEPEEHFFLLTEPPLNPPENRENTAEIFFESFNC AGLYIAVQAVLALAASWTSSKVHDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIP IAGRDITYFVQSLLRDRGEPDSTLRTAQEIKEEYCYVCPDIVKEFSRYDRDRSRFIKH VVSHPGGRQVTVDVGYERFMAPEIFFNPEIYSSDFLTPLPVVVDGVIQSSPIDVRRGL YKNIVLSGGSTLYKDFGRRLQRDIKHLVDARIRASEIRSGGAKSGGLEVQVITHKRQR HGPWFGGSLLGQTPEFRSYCHTKAEYQEYGPGIVRRFALLGGPGGP
SAPIO_CDS6032	MAEQHTYNFDITMSCGGCSGAVDRVLKKLDGVKSYEVSLENQTA TVVAEPTLDYTTVLKTIAKTGKKVNKGVADGVEQSIEVPAEA
SAPIO_CDS6033	MARSLAIPAGLASLLLLAVRVDAHGIADGLAVYPGGAAGGTYYR GYNPSFQYEKPPPEVAEWSTPQNLQNGFVAPAAYNTPDIICHLGATPGAVAIPVNAGD ELGIHWDTWPDSHKGPIVDSLANCEGACQNVDKTKLKFFDISREAVINAASDLWAPNV LIKNDLTWFVKIPESIAPGNYVLRHEIVALHAAGQPNGAQSYPFCFNLAISSKGTDHP EGRTFEGYYSQNEVGIVWDLFGKSAADYKIPGPADNLYSKAEVASQRKPSITATGTFV KSLDLAALPKETSSAEEEASTSEAAASNTEVAEETPASPTNAGTTTDSVQVNPPSPTA ATSEKESTPAASSEEAPSQTSESPVSSSEAPASNSIAAAVVYSTVYITHRVTVTQVDT TTLFATVTLPRP
SAPIO_CDS6034	MDGSGDELLVSRGQALIAISVTSTVLAIGTCGVRFMARKQTNRG VWWDDYSVIVAMVMGFVSMIFTSIEATSIGNSIRAMQFYYLAKPWHMFGTTLGQISIC FFFLRTLGRRRPWNVFLGMLILLLALVNLAFALASNLLCRPLEKLWNHEVEGECFDGE AELGTAYFQGGFAVFAFFFLCIFPIMVARDLVLVKSIRWPFYILAISMMLAAVFSIIR TYQISVIGARGSFSSDVLLATIFDVLTQNLAITAANIIPLGTMLTSPNVRDLSNLVSV SSSHLDDLSHRSSHTPPHSAKSTIFIIEGPRRGDADDYYFNAPPPPPPRHDLESGMAR PSAAVVRPGTASSSRGASRPGTASGHHSRPRTAMSNRSNVQQTSRPGTAASWISATGS VGRSGTSISAGGPPPPGVNTEALQGVIMRTISVEIVEETVADVEAELRGNGDDWRDIL KGGR
SAPIO_CDS6035	MAPTKKTPPPVYVLGVGMTKFLKPGSGIDYPEMGLEASVKALVD AQVNYDEVEAAVACYCYGDSTCGQRVVYQLGMTQVPVFNVNNNCSTGSTGLVMARTLI SSGMDCVFVVGFEKMMAGSLKGFWDDRSSPLGTLMAKMAETRGVTKAPGAAQMFGNAG REYMEKYGAKVEDFAEIARINHAHSPNNPYSQFQTVYSLEQVLASPKIHEPLTKLQCC PTSDGAAAAVIVSQAFLDARPHLKDRAILVAGQSLTTDAPSAFNGSSIDLMGYDMTKL ATETALGQAGITANDVQVVELHDCFSANEMITLDAMGLCGKGKAHELVRNGDITYGGK YVVNPSGGLISKGHPLGATGLAQCAELVWHLRGWANNRAAGGQGAKVQGKKGTKWAMQ HNLGLGGAAVVTVYRRADGKEATVLSDAEIARISGLGYNPAVEARGVTEEDIAKVVSK TKTHPFARKAVKAKF
SAPIO_CDS6036	MSTSVITPVSNGSYDRPQSQASSLPEPSSQHTRPFSQTPQTASQ QQSQQSQHPPPQQTVLSQTPQPTQSTTQPRSVKRPRPVKSCTECRKRKLKCDRSCPCS QCQRSSRQCKYATDQDAANHSDASDGEAVDGQRAQKRPCNLTPTTSQHPQQTLGHLEP PFSTPMRNGDIAVLAGMTLEELAMRVDRLEKHVLARSPARTELSSIKAQLATAAPDTI RGLSVKRGVMRTRYFGQSSTRAMINLFDEAKFYLANCKRIDGLRDIFTRLEALQKVMQ EEHRKAITPIPVYVDSMLPIQKRMTDIMPPKAVCDRLLASYIDTNEIIYRMVHVPTFK AQYNLYWENQLQSDYFLPQLLTVLAIGSRFTAAAKGLSHERRDGVHIPTACALVRTWL DGLRGKQLVEFTTLQTETLLLHARRMMSLSKMHETWTQLGYIVRMAMTMGMHRDPDEF GQLTPFQGEMRRRLWFTILDMDLYISLASNLPCLLREGDHTCKPPRNLDDGDLYEDMK VLPPEKPIEQHTECQIQVYASMTLPLRVKVTHLVNRIDALQDYGEVIEVGQRLDKYME DLSFIFPRYGYNDRSKSKEWRWRVVIDMHVRRPLLALYRPFVLGVPDAPQEIVRVYLR SCLVILRYLDEMDPTLPHYDETCDMYIQILRQDIIQAAFSICFHIMNTIRQAPANGTI GVSMSPNAGAGGDPLDDVMPGTPSRLIATVQKSLDLLIRKATMCELKDIIPLAVVFNC VKRYPHHADDESMVGGLQLVLEGLLRSTNTSPEKLNAMVAELGGAAAAAGRRDVVVQQ GQGQGQGQGQGPPPQQQPQGQPSSGSGGVDHYPQARSRQPFMFSTQPGAVPAGASAGG STTCENWGYGGWVDNARMKM
SAPIO_CDS6037	MSSRQLRKIRQQQELLKATTPSEEEAKGESEEEELAPRVKPRVS MFAALGGDDEDEDKDESDDETKEAEEKHDEEQEPETAQPAATSKRSKKKKKKGKKKQG GGKEKVASAPQGIEEDEIDKALRELNIRPQTSTTGETRVAGEGAPAQDQQRAKVLAID PYHLKAIHEMQNLFGREVIEAARIEEEAEAVAARRRLLQGGPQTQVDLETFLREDPGK KLPEITLRRNMFIQGKDFWPRATAGGLSMKEIRADPSGAWTEYAFVHSKQYNTVQVIF FHCANIGDPMRLVHLLKQFPYHISTLLQVSSFAKNQDQNQALAADLCERALFTFGRAT LSSFRQNLERGRARLDFRRPENRQFWLAGYHYLKSLMRKGTYRTALEWAKLLFSLDPA DPYGMNHLIHILAIKCHESEWLRSFLDTFEPSNLHGEMRYYRNTLVLGLQQRQEDAEA KRRLIEGMEDVPWLFCALFQALNIDAPPQIWGVQPPSEVNVFYTKLYIQMAKDLWNHP RATSLLKDAANSAKKQESLKATDALLELRTVRFVYLEGNTEMLSLVPRHYLERQPNYD FDPLPPDVSENIFASPTSGVALPFTSPALNPAERQARLLMELMQGRNGGAAGAGAGAG VGGMPGGMDEMGVDEVMAQALGLENLDDLDLDEDDELEDDDATIGAEDLNQPSVQESI YNRLLQLFGGRRDVAERAEEREGEGDGDRNAGATATTSASGGLPGAWPVDEDDEVGGG DRRADGQGG
SAPIO_CDS6038	MSEYIGSRISLISKSDVRYVGILNEINSEQSTVSLENVKSYGTE GRRSRPEEIIPPSDQVYEYIIFRGSDVKDLRIEQQPVKETKPAAVPSDPAILGARPRE APQAQPQEANNQRPNVPGPAGGAPGFPQPGPPPFNNYYGPPPGSWGRGGGPGPAPGPG FGNMPYPPPPGWFPPAQGFPPGPGGPGPGPWNANYPFPPGVPGPAGPPAQVQAQAQAQ GQAQAQKSRRTPVGTPGTEGKPAAAPGKQDQPPQASQQPPTEPKSLGQGAPKAPTAPT PSQDAKAPKPAIQKAQPESIPTGPKNNRVAPVIPAVPKPFQVPASMAGAAASSSKSTA PASNANVQDATEAAKAAVALAMAKMEAGNIHVPQQQSSGAMDNLTKKVNEMRVHAVRA GHTGRGRGRGGRSGPSKIEVPDADFDFASANAKFNKDDIVKEAIAGSPSEAPNGSGAE VVDTESAEKPASPQAYDKKKSFFDNISSEAKDRAEGSGQKAGGREWRGEEQRKNIETF GQGSVDGGFRNNYRGRGRGRGGRGRGYQGGYQGRGGGRGGNFRPRDGVTSTPSAPQ
SAPIO_CDS6039	MAPISAETDFLVIGGGSGGLACGRMASSRFGVKTMIVEGKRLGG TCVNVGCVPKKVTFNAAAIAETLHHAKAYGFSVQETAPFDWTTFKHKRDAYIKRLNGI YERNLQKDGVEYVHGWAKLLSKNEVEVTTDDGAKSVVRAKKILLAMGGHPTPAPSIPG AEYGINSDGFFDIEKLPGKVAIVGAGYIAVEFAGMFQSLGTETHLFIRYKTFLRHFDP MIQETVVKEYERIGVNLHKETHVSRVEKDSATGKLTVTYKDASGNETSVSDVDHLIWA IGRDPATQDVGLDKAGVNLNEAGHVVVDEYENTNVDGIYALGDVTGKLELTPVAIAAG RRLSERVFGGEEFATTKLDYDNVPSVVFAHPEVGSVGLSEPQAIEKYGKENVKVYKTS FTAMYYAMMEPEDKAPTNYKLVVVGPEEKVVGLHIMGLGSGEMLQGFGVAIRMGATKK DFDRCVAIHPTSAEEIVTLK
SAPIO_CDS6040	MGDFDLNSTFIPALYKPADLLPIAKYQREILYLVETFPVLVIVG QTGSGKTTQIPQFLEKAGCCSGGKRIGITQPRRVAAITIAMRVAEEVGCEVGKEVGYS VRFEDATSPSTRIKFLTDGLLIREALMDPLLSEYSVIMVDEAHERSVSTDILLGLLKK ILKRRPDLRVIVSSATIQARDFFDFFSTLKLPGKSDSEKTSSDKKEEGAEGEESKDEE QETVAALTVEGRSYPIDTFYLESSTEDYVKRAVETVIEIHEKEPEGDILVFLTGREEI DDAIQAVADHFVQSGDQEQTLLPLPLYAGLTAEQQMSVFEKPPENTRKVIFSTNIAEA SVTIDGIVYVVDCGFVKIRVYDPDTGIDSLVVTPASKASAAQRAGRAGRTKPGKCFRL YPAESYDELPDANPPEIQRSNLAPIILQLKALGIDNVLRFDYLSPPPSLLMIKALELL YSLGALDEYAKLTRPVGMRMAELAVEPMMAKALLSAPTFGCLSEMLTIAAMTSLGGNV WFYTDAERKKMNVARSKFAVDEGDHLTLLNAYIAFVTVGQKQSKFCHENHLNFKSMTK AVSVRAQLKRYLEQLGVPLEEPPSGIRLDKGRDFAKAAEQIRRCLTTGFFAHAARMQP DGTFQTVGSTMVLHAHPSSVMFTRQADWVIFQEIMETSTKIFIRDITKIEKAWLTEYA PDYYQIKDTSFPRG
SAPIO_CDS6041	MDRNEGVQAAILQDLFSSKLAIHDHGEGSSAPSRAEEPEPVSQD DDETEDGLAELLQLINGIKLLPSSSKIDYAVPGLFNSINSHPIATGTFFQVRKLDQPN HNRQMVAKYPRLDPARKGGGISRENLQAIKLEIQALSHPPILDHPNIIDLLGFSWARL SAVEGRYQIVPVLLLEFASHGTLREYLSDNNTTPLERLRLGKGLGEGLSTLHHSGIVH GDLKLDNALVFDRPDGSVVAKLADFGSTVQLIEGGYHYRGGTPPWTAPEWRKSIEPWE QHAADVYSFGLLIWTLCLEGRSPFPGLTPGEIEARKIGDVIESEAAKSVADHYDFYRH MVECTSELEEFELYLASVICPRRAFSHTLKFDPMRRNLDSALSALSVEDFYSSQKTGD IPGDRGRRNLLHEFRGANAYTMLSDMPQQVRQVLFGRFVTSIERDRISKLEKSQLCFQ LALSHLDGFSDHPSLSTALPWLEKAAELGSEAARGVIYRIFCGAGQLKLRQDPGRIRE YLISATAAGSRIARQDLLELEPEAAQTAMDDFRERFYPESMGPKGAKLGKEVIEAIIS PRWQQGLTPSQRAILQGQRQRMYLNQKLGSLLHFASAYGVNLDSFEKFLDLEKPDIDA KDKDGNTPLLMAMRSGYGYHAQVLLHHGANPRISNQHGQTPCHWAIHVYDQKLLEKLL RIMVSKGADLEGIAKRSDTGDGRVYRQEQYQGTPLCWAIIEGREDLVSMLLRNGANPT TPGEYMSPFDLAVAYNSWRVIKLLLEYAEGPITSRIAGPTRSVNLDGAKKKITEDWVS FAMFGFPHHYHMILHGPSYRDALKYTLVQLRRAGLIRGDLHKPLRKALQSGQGQLVDD LLWYQSCRMREVSSWDLAEMFISEASYISPDAAAILLDRIADNIGSCPLPDMTPLLFT YPLSHRADVGIFRRLLDLINPDSVDITDENGVMSYRHLTPFMLAVLGGAFEFATELLK RGADPNFKTLPANSTSATSSVVNILHLLICVNEDTSLAALQYLLEPQHPFHDRKPDFV ICEESGLTALTYAARWGNRLLFDYLSNHFDSGDAVDMRGRHRGETALHVAALHGNYDV VVALVGRGAKVNVLVRSDDGKLKSPLDCCYNVGVYDTNVPRTSRHWEDLWIARLRAAK FLAARGGRMLIKHSMPIAFRFLLHACSGNWSRLFEEALEQIPEELKSEAYLNALLFRA AKEGSEDVVGLLLDAGAHINTQSATGQTPLHRAALRGHMYTAKVLVQRGADLALRDNK GRTAAIQAFTQKHEGLVEYLMSQGSPKDFPQMVVVPFTAELGDDRTNTTWEETFTATI CLLNWPGTDGGEEEAAGLSSWVVRIHRDAGDGVSDDLSQDPENWNREFASLTGRRGEG FYAAWRAAQIQADAS
SAPIO_CDS6043	MDVFGTLVNATTLVGQIIIVYRHIAAMQSFGAVAEALTAKISFE YFRFETWAEQSGYLEIEDRSEPASTASAQTTHQASSRSHYLLQTAAKAHEIVAQIQTV LAEIRELLEKNRIADKLDEPVGAGATQPNLPTQQAIPLPATRQHKFSLPTSVSFKPGV EQAAAASSRLKLRLGKETGKLRKLRFGWSLFSEDSDMAKLEDLVQQLRYWNDGLRDIL PLQHQQAHSMMTQVRVLGHLNDQKELESVGKASRSISGSIYQDIYVCCGMKREKLETG PEFDRDIGPVAAPLDLFRLPQLGENTPASVDYRQDSNAEAYRVVVEIVDFSTIANQDT LELLNARISRLSYLLKDAARPSDLYLPMCEGYVRVTSSKFFILYRPPDEADPIKQPRT LLCLLPRHPNAPKRPHRIPILPSLEERYNLAKLLAEGLLRLHSISWLHKCFNSNNILF FHGPDGLMVSHPVLVGFGLGRTSDKSSETIDVRQVGSQFDLYQHPELRTDTHKRYEKR HDIYSLGLVLFEIGMWQSIYYFKQENQDAQEFRRAIRNACEGYLAHFMGKAYQRAVLQ CIDEDEMWKEAEDEGDEPSNVHEVFFWKVARELGSVS
SAPIO_CDS6044	MCEKPVGSQSLTLPIVLGIVIPIVGITIVLFFLHRRNVAKFRKE EQDDPNKELDFGFGDKKQSLRRSIFGLGDKNPNHKHQLSMDMNMSTPYLLPPQLQTSR ESLHSLARSIQNSEDPYRPVAQYAGSEVGSLRSVHRGPGGELASIYSGSTRSGGTRDL APQRQNSLPRPPPPTADPFQRQAMPGSDDGMSPTSLSSPDPFKPALGSALSRKPSVPY PDEKLPIDEPNKAEMPDPEKSAVVSPTLPKIDLGFPLQGSQSPPAGSFVTPMSATIET VDPHSNSHDSFPHANMNERQDMGDSHANVGIAHSSPPPQLATPYDPSPQVHATPAYND SQAYSAYNPSQDNHQDGYVDYDYDEPRGRTAHRDMDDAQRGSQFLTAPNMENKRLSVG FRPLPPDDIMDTEDPEYRANRIRSFYKEYFDDGSAANRPPVPELPAQIPERRVGTPNQ QPPRQAQSNEYYEDYDGAYLENTFFDPGTNSFVMPYAQPVHRRAMTPPPRAPRHRGPP PRAVHGSIGGMSMQSGRPPFRPGSATSSRYGPRPGSSASARLPRGKPMPPPTALTTLP NPAKLGDDTFALLNATDFAPPDNFKDHVAGRSQSPAPERRPYQLNVPIHSPLASSHDD LAVIPSPHLLRKSGTFTGLDFAPPRRFKDNGDVMSDAGSIRSYRSNRSGISAANNYAI RNGAGRVSRLPGDTVFTQAQLADQLKPSWTMRP
SAPIO_CDS6045	MADASSMETPSPKYRDASPVVPPATDNTPAPTPSASESPNPPPQ TSQTTPPEPTKEPEPTPTSNTPVQPPESTPPPNNSPTTTPPAPDESVPPTNEDKTSVI VTIVTRSGTGTLPGTSSTPTSSDGAQPAGSNGGSGGLSNSGKIAIAVVVPVVAVALFL VAAIWFWKRRKARKDAEEERRKEVEDYAYNPNADPTIPAVGLVSDGPYEMREDTTSGY RGWGSTATPGSNGPKAPTTLSGSGGGYTGVAYSDATSPPYAHVSDTRSGEPLVDGNPA RDGSYSPEGEILGAMGPSAAANRGGDIHRGPSNASSSYSAGARSDGSVDGAMGGAYPN QYYDQYGSGNPYANDMYAGQRPADAPVQPVIRDNPARRNTRIESPSHYPQQSAGISQN F
SAPIO_CDS6046	MSSTTSSPRVRLRQGTYIGRHIPATRYQPKALEVFLGIPYAQST AGANRFRPAQPLDIAGSTKDGDAIPAVELGPISPFTDATAEPLPSSEDCLSVNVTRPA LPEGDALLPVMVYIHGGAFNMGFGGDRDLASFVAFAKRDVVVVSFNYRLGVLGFLAVE DGEGAKEGLNLGLGDQRVAMEWVRENIGAFGGDGGNVTLMGISAGGHSVGHHILSYTP QAAPFHKAILESGSPTSRAIWYPSHPRQKTQLQDFLSALGLSPTEPNPLAALRSLPLE ELTAAASKTWQKYESSVRWPFQPVIDDSTFIPDRPLELWRKGAGVRIPVITGFCTHEG TAFVSPCHSSEEFRAFFTTLIPGLTEADLDRLEELYPGPPPSSTSEETLTTQHHYAAP PPSPALGPHWRRLEAAYAHYAYIAPVLYTAHQLSISSPSSPVYVYEFAASSPPLATAN HTDETPFVTHSLKELEPHAGLVHVSERMHGFFADFVAGGGDGVLEGWPAFTSPWAAEE EGGKGGDVGKIMVFGKGNDERVAGERGGVGRKGVPCDVRSLSEREIEQIGFWWERTAL SQGFGERE
SAPIO_CDS6047	MNSLMTLTPRPDTTDHPSSFTILEDNRIALDGLTESDTDSSAAS TPDRNPAGLAHIRALQETCVNVIQSHKSTNIPADYQTSLPESSPTSLTVSFGKLRIAS FGTPKEHCAPSADADKPHDIWSPLSARRLPPRPAIGLETFDPPREEQCEIAVVSPYPN AAGIDSPTTFAEPLQPIVEEIPLGEKKQPSKTVAGFQDHPLMQLPACLPGIEDQVAKL QAGQYEYSISYPPTLQPDRNIDADENESPPRSGDKKIAYGCNPARRNAVSKAALRKGI HMTNTITMIASPLEHEVLIREEPKVLIDQLKDTVTEVAQVQAPPSPLQSSGASPSVKT MHSPASVNGFSKSVTPSPVAPRILNIEDSLEALDRLEEELEAVNAVTHLGRVHSPEIP PLGRSPNRASLKPPQPAPRTPAPAVKRPRSSLYAATVRVKSTSSPKTGSGRSRSMSLG AEDHESPKLATAGAPSNSPARKPIPRPASLAPPKPLVKSNRPRTVPTFELPGEAVARR LKEQKEARLSMQVDVGKSPQVARTSTPQRSRSTRVLPRPTFELPGEAISRRKKEQREA KLRAQEEEERKRREFKARPIKASLGQPSTAPRETIASRARQAKVAQEENAGTTGASLK RLSLATAPSSLTGSPQSRGRTTATSPSQVSRATSVSAGSVSGSGKRSTISAEDAVQQK LRGKEILARDNRFANDRDRERAEREMLAKLAREQAAERSRQLSREWAEKQRRKAAAAT AAPR
SAPIO_CDS6048	MARLKAGENSAGLEKSLLSVFEAALLPLGPIDEGRRTPEAAAAQ IDQLCPSLEAPEALELFIWTLWELSLTVVRAAPYDDPALERMVAILESLRLRARGTVS LWGMEYRLWRDLPLLDACIREAWVDPVQVVEDLDDEVPNADIWAEWISLNAFTARLMN NGVVRWTVLAVIEIGAALEHDIVDTYVRDSRLVVVQQWIMLSGKEIFKDAFQANKLDE TEIRATNPGPLYTGPPGLVMQRWRFWMKRLEELGEGAKGKVGLQARDAAAHMKDITEK DGRAIARRLGDIEEPQAEAETETKQA
SAPIO_CDS6049	MSIPPRSFPSHLRGSSISGSGAGPSPALVARIEEKKAELENLKQ LRDLSAAVAGQMEALEQKLSTLSDGTEAIATVMSNWHNVLRAINMASTKPSGDGDTPQ LPQTLVRIPTEHAPALQAQADAAAEAEAEQKQETP
SAPIO_CDS6050	MTQITKVALVGPTGNLGPSILEQLLKAGFQVTVLTRQGSTHTFP DSVTAIPVDLDSVDSLTSALQGQDAVVSVVGNGVFDKQHNLVEAAVKAGVKRFIPSEF GSNTPHEKTRTIPTFAPKIAIQKELEDKAKTTPLTYTLIINGPFLDWGLKVGFVLNVK GKSAQLLDGGDRVFSATTLSGVGKAVAGVLKHPDETKNRAVYVQETATTLKDLLEKAK KAAGPDGWTVEEVPVANLIAAANEELKKPNPNPAVWAYPYVKAAIWGEGYGSHFEKLD NELLGIKELSDGELQALVDSIAKA
SAPIO_CDS6051	MARLNDPPQSADSYETLRRKLLRQNRDLARVNSTQSLKIRHLEN ECARMLSENLELRGQILQLEKEVENSKAQRIADHALEIKAKLEEQLVEWGSILAGLGL EPPAKRRDAVGRRATQPRTSLPKRSPRKSLRDLAKEAEARAREEGRLTPIREHRTYPR QTLDSEEIMALRSEVEAADVSGSSDIGPPPVSQFVDEDYSSIPESPTKPPTTKKTAEP EREETKEPVPTTNVVEKSEPDEAPSAATTVPTFSKPEVQSAPAPAPIKAGSKRKLGAR EEPENINTTNAQIQPVTAARRKSTISKERTGGKTLKELAAMRRESRETATTPVLAPTR RPLAVKSTNEDIASPKKAVGKKGAEPDGKPIKPLKLKANKEDGPRRSTKPKNTEIIKI KEEPVLAPVETAIISPTTEPEKLAAPLDANLLSPSSPQPKSQNESNDTPPPADISWKG ETSRPSRRARVPISYAEPNLRDKMRRPTKELVDAVAGEGKYRRQSSLDEEIAASESQG KRESTAGESSKRSTPAPEPERNDQVASPLAQKGDGVVNDTLPSSVVTERRKRRSSILT RDSTNFNDTMGASSNDTDDTLDTSLGSTSSLESSVDIYEFPSNSPDKGAGSAAVSETT SRKVGVATRRVSMADHTELSLEREETTKVSRKRSSIHSSRRSRAELGRIKQEDGDEGT STVAATKDRSMRRRSTML
SAPIO_CDS6052	MAFLILVIGDLHIPDRALDLPPKFKKLLAPGKISQTLCLGNLTD KTTYEYLRSITPDLKIVKGRYDVEATSLPLTQVVSHGSLRIGFLEGFTLVSNEPDLLL AEANRLDVDVLCWGGTHKFDAFEYMDKFFVNPGSATGAFTTGWSEEGEPTPSFCLMDV QGISLTLYVYQLRKDEAGNENVAVEKVTYTKPVEPSAGA
SAPIO_CDS6053	MSTQPAHPALLIPGPIEFDDAVLQSMGHFSESHVGPGFISVFGD TLSQLRKLFQTTDPASQPFVISGSGTLGWDLVSANLVEAGDDVLVLQSGYFSDGFADC LTTYGAIVTQVKAAPGSRPQLDEVEKALSAKNYKLLTVTHVDTSTGVLSELKKLSELV HKVSPDTLIVVDGVCSVACEEIAFDDWKLDGVVTASQKAIGCPAGLSISMFSGRAIER SQTRKTPPSSYFASMKNWTPIMKNYEAKKASYFATPSPQLVRALHTALTQILDKPLAE RFARHREASDRIKKAVAELGLQQVAANPDDQAHGMTAMYLPESVKPTDILPILGKKGV VFAGGIHKEIASRYIRFGHMGVSVLDPSRNDIDKALTALKEALAECGHSKA
SAPIO_CDS6054	MAVSIEELDSLVRDFYEGRGEQFKEDPDAWLMVDKILSEASYPQ TKYLGLQVLDQVIMTRWKVLPREQCQGIRNFVVQFIIQCSSSEESLRSQKTLLNKLNL VLVSVLKQEWPHQWPSFINEIITSCHSSLSICENNMTILRLLSEEVFDYSAEQMTSTK TKNLKTTMCAEFSQIFQLCQEILTTANQPSLIKATLETLLRFCNWIPLGYIFETNLID TLRNRFLEVPEFRNVTLQCLTEIGGLQTGGPGQPTYEEQLVKMFTEVLTTISNIIPIT LDLKETYPGSNSRDQEFIQNLALFLCNFFSMHLNLIENLPNRDFLSHGHFYLIRISQI DDREIFKICLDYWLKLVQDLYDEMQQLPITDVNPLMAMGAGISGGGAPNPALLMNYPL RKHKYTEILSNLRVVMIEKMVRPEEVLIVENDEGEIVREFVKESDTVQLYKTIRECLV YLTHLDVVDTENIMTEKLARQVDNSEWSWHNCNVLCWAIGSISLAMNEETEKRFLVTV IKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKLFEFMHESHE GVQDMACDTFIKIARQCRRHFVALQPSEQEPFIEEIIRNLHKITCDLTPQQVHTFYEA CGYMVAAQGSKPQQERLLADLMALPNAAWDEIIKQATINPAILQDAETIKVIGNIMKT NVSACTSIGPYFYPQIGRIYHDMLQMYRATSTLISEAVAKDGEIATKMPKVRGLRTIK KEVLKLIETYVEKAEDLQAVRLQMVPPLLDSVLVDYNRNVPGARDAEVLKAMSTIISK LSSLMEDQIPIIMENVFECTLEMINKDFSEFPEHRVEFFNLLRAINLHCFPALLKLDN RQFKFVIDSCSWAFKHDNRDVEAAGLNMCLELINNIAEKTDVQTSNMFFQQFFIPILQ DIFYVVTDPDHKAGFKTQSMLLMRLFYFVSPADGTAPKIQGPIYPPTEAQPGTGNKEF LANFVAMQMKNAFPNLQPAQIASFVENLFAYNTQYDKFRLNLRDFLISLKEFAGDNAE LYVVEKEQQERDAKAADLERRAKVGGLLKPSELEEDEL
SAPIO_CDS6055	MATLVDECKALISDYLPTVFGQGDSDCLVEVQPRKLLDGYSDIP VALWGQSLTGETIQVNMLNPALRRFLGRFASRGIGGAEEYDNVRYRFVNSTADPTESI SSL
SAPIO_CDS6058	MTLGRPLAIPDAHVRVDMPSSVPRGGSSPEDDPSDLWLASPNLE FYNASLSLYMIMGRILDALYGSNLDCDSSQDVFKIASQVFQIEHQLSEAQRGFPPTLQ LVEMADFVQEPRCPKPILKFRVIFTLRYYNLRILAHRPLLQKYLEILSNRAEDTHHLS SLHQIGVNSLRICMQSASSIVKLMMHLTKSKEEDRALLAFNAALVIYSGLLIRAYAEA HEEFFSLGNIEIRRELLHQAVESLMSLDNGNSRTEKCARYILKLDRVLDSLYPSARAE SEAIDMPTDSTSRDVLGMSSSMAHSDLFNHEHTPMGLDISELMVPGDLDFLKFFDPNL NMS
SAPIO_CDS6059	MGWDSNSPATEQNPSPGVDFDAVGIFWTAFAAAWTGIIVSGMVF LFMKRDMPFLRVRSLSLSFGAVILLHLYWLAVQLGYVYGALMPAAVEYWIMSIYVPFG IALFQASNTQLLHVSKAQKKFVRHESISSIRPRLEPVKPSYLRIHRKLDYPTRMLLYV SIGMMFQLFLTTIMFLVSRKFHDEFGIAGTEVTGTDAERKAQQGKGWEWWPSVFWQVF WAWIFAPIILWKARRIEDTHGWRLQTMACCLAGLPASPMWLIALYVPQMAPVNEYFIP PQWIAVSIMVLEIFTIILPCFQTLRHRALQQETLDSIARWESRNKTKPVDDSVSDSWK SLAGMGKAGSVYSSSDSVLVIGALEYVLEKNPEPLRQFSALKDFSGENIAFLMSVAEW KASLPPAAIDDASDGPGRSIARELVRQKFNHALRIYNDYISPRGAEFPINIASAELRR LEVVFEDAARVMFDTKEVVNPICPFEMVETSSSSELTAVGSENSNIEKDERKTSSTDG VLFWGEIPDAFNATVFDEVEKSIKYLVLTNTWPKFVRERRGSSETLRSEEC
SAPIO_CDS6060	MHALTTMPIQSRSLNQLRAMGEIYSASPTTSRCVLEIVWLECVR RNAPGRPVAEYVELLELVRWGELEGNNNPEEIEQGNSVFISPPNLLVFVWHSNMPSGT KYDLLDWIFSMDNLESEVRRDFIKHLDSTMDRQRRRDSVALLTPLMVAGADVYATVQH FDGARWCTLTEYAENLGLEDVWDNALRACGYSPEQVRIESDRRLADMKRLDRGDVTGR ESVDRVFFSSYRVLRLSMGGQPAMKPRPLLENKILFWIINMGDHKRSGTTSPNAPASS PPPAEPAVAQESAERAADFHDAQHWATIGTGDALRDDEADSAVGDDDVASSTESISSS ILHYRTIHGRTYHAERGNAEYWTPNDEHHNESMDIKKLLTLEPERVSILVRAAKLPVA LTTSTGIWAIDFADEYPNTEVIGTDISPIQPSWVPPNLKFEIEDCTQEWTFDPDSMDY VHMRYLYGSISDWTALFKEAFRVCKPGGWVESYEASPRMESDDGTVTETCAINEWGKF FIEGGKALNRTFEIIDKELQKKGMEEAGFVDVKVWDLKAPIGGWAKDARLKQIGQFAQ AALEQDYEGYVLFMANMVLGWTKEEVTVYCAQLRREIRSGKFHPFYRQRVVYGRKPE
SAPIO_CDS6062	MAPSATGMQPGIEVEKRQQREQEYRSGDPSLAVVDEEAEQPPRF DDPYEERRYLKHRLALAFRVFAHFGLSEGVAGHLTLRDPVDPTSFWVNPFGMHFSLIR DEDLIRVDHDGSVVEGGKNKRLNYAAYAIHAEIYKARPDVLCAAHSHSLWGRAMCATG RTLDMLSQDFCVFWNDHVLYENFAGLVLAPSEGKAIAACLGNKKAALLGNHGLLTVGP TIEATVAWFVLLEKCCQIQLAADASSAGTGKPLVIIGEEEARATWEAVGTVGNGYFQG LPLFQVAEREFGERTFLGRGMRAL
SAPIO_CDS6063	MSNIMKPDLVAFLAGTYALYNRTEIRDGKPVNSVAWGSGSIGIM TYTQSGYMSTTVASADSGLLPPDLNLTYPSQEGQSDADWAKAGKHTLSYAGPWSVQEA VPGVPATEESGQILHGPLTVAHIPALIAIFGGNGWISRGYHGISKSALGRDAFG
SAPIO_CDS6064	MRLPLTLAILAGVVADLLCLTNANALPHRKIAPKVFIISMFEPE AEIWQRRLPASGMGDLTERKFHLRGLSMLFPYIYCVGDYTICQVVIGEGEINGAATMM SLILSNAFDLRKTYFLISGIAGVNPKHGTLGSVAIVKYAIQVALQYEIDARSMPEHWE TGYMAFGTKQPFEYPSILYGTEVFELNESLRDDVFRLAAASDLTDSEDTNMYRLKYVP MGVDYLPATEPPRVIKCDVATSDVYYTGTLLSNAFEKTAAVWTNGSASYCMTAQEDNA TLGVLVRSAVEGLVDFGRVIVMRAGSNFDRPPVGVSTYEHLVLLDQNGFHIAIDNLFN TGIEIVKGIIHGWNCKFGKGVAAANYIGDIFGTLGGVPDFGPGVKAQQVKARRVKRRV AVAS
SAPIO_CDS6065	MLRDTHAIGEQNQSSRNPPSPIRRLVQHPQSREVDIDSSPANGD FGGTRTPYQERSRIRRDAFSPNYFNTFFVEERELGRGGKGVVLLVRHEIDGCHLGHFA CKRVPVGDDHTWLEKVLVEVELLAKLSHPNLVSYRHVWLENVTLNRFGPPVACAFILQ QYCNGGDLLQYILGDLQKETTKEELKAQMRRRSRGQTERPKNLRRWLSFEEIYSLFRD ITSGLAYLHGASYIHRDLKPSNCLLHREGAKITCLISDFGEVQSEGGIRKSTGATGTI SWCAPEVLRADATGRYGNFTTKSDIFSLGMILYFMCFGRLPYANADAVHEELEDIDLL RAEITDWKGFTDEKRERPDLPPELYGLLKRLLDTEPLNRPSAAEVLAAMKNETPFEGA SWLPQSPNHPLSPSSGRVQNLDSPLAPVPSWAYLKARWHPGLHGLKQALLALGIEGSP PAVRTGIPA
SAPIO_CDS6066	MHSRIIGLKVIGSGPAAHTAAIYLARAELKPVLYEGFMANNVAA GGQLTTTTDVENFPGFPQGILGQELMDKMKEQSVRCGTEVITDTVSTLDLSSRPFKYT TEFGSESNVHTTDAVVIATGASARRLHLPGEEQYWQKGVSACAVCDGAVPIFRNKPLV VIGGGDSAAEEALFLTKYGSHVTVLVRRDKLRASKTMANRLLSNPKVTVKFTTRPVEI RGDDTGFMNALLVKSTATGAEEVIDANGLFYAIGHDPATSLVKGQLETDADGYIVTKP GTSLTNVEGVFAAGDVQDKKYRQAITSAGSGCMAALDAEKYLAEKFGE
SAPIO_CDS6067	MTSPSLLPSEKVHYDPIEDLNLIFGHPSTVSSISRVLHTVQRHN DTLSADISTLELVQAYGSDSSLERMQTAQQDLAKLFAKIEDVRIRATETEKSITSMTA DIKRLDGTKRNLTRSMTALKRLQMLTTAYEQLRVLAKSRQYRECAGLLQAVIQLMKHF NSYRSIEQIATLSRGVSDLQRELLEQVCEDFELAFAKGETSAQKTTLIEACLVMDALG ETARSRLLAWYVNTELREYRQVFRGNDEAGSLDNIGRRYAWFKRMMKTHEDDHAAIFP PHWRANELLATAFCDGTRDDFRGILEKRMRRADGSNIDVNLLLNCLQETLDFEQTLDR RFATGPRASIDTLSSIEDRAQSYSGSISVAFEPYLNLWVESQDKQLAAMIPKYRNQPL TPPDEDFSPQAVITSAIELFHFYKVTLSQCAKLSTSDRLLDLSKILAKYLDEYAEQVL LHLLQAPGQQGPSLQDVILVLNTADFWHINTNQLEENIKKRIDPELASKVDLSSQSDA FLGVASAAVLALVRDVTRDCDPAWREMRNTNWSTMESVGDQSSYVGELLKHVNAKVKQ ILSVIHKSQYARAFCDNLVEHIAMSYINNIVQCRPISEVGAEQMLLDKYVLTKAFENF LAHHNPSSEPHPTTGGFVKRVNQVMGRMDPLLKTLQVRPTPPEGLVQAYLIHIGDRSE TNFRKILDMKGVRKHEQPHLLELYAVHRDSTSSAKLVSSSPLLASLVPAAGAAGTGIG SINTTAILTGPSLQAKFDAGSLGEKLLNAAREMGTSASDKSGQGVPEKATINENLRSF GNFFKRDIGGLGARFGKRDGSVGASSEDRR
SAPIO_CDS6068	MVDSAHEWLRSGDINLKGPLAGVPISLKDNIAVGGFDTTVGYSS FVGNKNPQDGAMVRLLKDAGAVPYVKTNVPITLLSFESTNDVWGRCTNPHNSKFSPGG STGGEAALLALGGRIGIGSDVAGSVRVPAHFSGIYTLRCSTGRWPKHGMCTSMPGQEG VPSVFSPMARTVNDLRYFTKSLIDMEPWKYDCTVHPLPWRQDIWEEYRGKKTLRVGIM RTDNVVDPSPACRRALETTEAALRKAGHIIIEINPPSPYEALYLGAHLLNADGCQTFK RFFSPGEWEDTGARQMSFLMELPRPLKYLYYLWVKYVRRDAIWADLVRDWRPKSAVES WQLVSKREAYRMKWFEWWNDANIDILLTPPNATPSVPHDGMKQAVSSCGYTFLFNVLD YTSSVLPVLKVDKDLDKLPANFSLKGLNGVAQGAYRLYDANAMDGLPVGVQIVGRRLE EEKILAITEVVEDALHQHGTHYRQLSPSD
SAPIO_CDS6069	MAEETKDNWNAQAYQHSASFVPRLAGKIVEWLDLQKDDVLLDIG CGDGVLDVQFAGILAQGNGLLYGIDSSPKMIEAAKKATDNRGECKFQVLDATELGSCS SLQQIRFTKAFSNAAMHWILRPESKRAEFFRAVHDALEPNGLFVFEMGGLGNVSEMRM ALLMATSRRVGLEEARRVDPWFFPDEKWIVDTMESNGAWEIEKIEREWRPTPADQGGV DGWLRLMGRCFFDAVPENQREECIQEAVETARALSSLPNQIWRYSTDVADFIDAHVEN AAVAVRTTLSSATWLPDTIRPTPPAPTPIAVVAVSRIDTLREWIVKNKFAVGAAVLLT GALSYQVYRSTCYYRKTRKAKRSRRNGGRVEVVLIAGGSPDLPLTRSLALDMERKGFI VYVVCSTTDDEALVHKLSRPDIRPLHIDVTNPPSASLAIERFAAFLKSPYVPVPRARP DHLSLKAVILIPALNYQTSPIATIPPSNFADVFNTHLLHPIVTVQALLPLLTARLGPA GEKSSQPKVLVFTPSIISSINPPFHAPEATVCSALTAFTEVLTAELRPLSIPVTHIQL GTFDFSGFTSVRAQPDNPCDLASQWDDGARMAYGRNFILQSELVISGGRIRGMKGSSL RHLHNTVFDVIDGSLTSQTIRVGLGASVYGFVGKWVPRNLVTWMMGIRKVDELSTWQN SSPEGSQAGTDDDERFSNDAVVIPADSRIVSNVWTEP
SAPIO_CDS6070	MASPEVRDFASIILNHSPQPYTFNFSPFLRQVHHHGLPADRPIC KAYATGHCPNGTKCLDRHVSDPTAAKSGGGPPPSGLNSLVCKHWLRGLCKKGEQCEFL HEYNLRKMPECGWISLLQMTTKIVEEIETGIETGMMEDIATAVAESGEAEEIGGSEDE AIDARLDLGTRLHRSRILLRVSTALSTVDEWILI
SAPIO_CDS6072	MEPRRKRPRLELDLHNQSEDDDDELNYDPEEISRKRDPGFRLAQ SRATAAFRLKSTFESIFEKYERDFSGVGDEIDLRTGEIVVDNGHLQKMRNEKDVGLDL TEAGIEMSGSSASTTAGGAVDGATVRSAQGQNNRQSPRYETSEDEDRILGGDKTTGTH EGIINGPMALVPYASNHRPAHLHTPSNASLYPSPVRLGSQPYFGASPLFFNSWSPSGA GDPIWNAPQIEVPRFRSSFATSLYANRYQLPAREGSRSIWAPRSKKDDDEEELDPLPK LRVRPSPPTRRVPARPVMAKLIRAHPESGGDSGDEECILMGSKIDPTPAPEDGPKRGV NRGDIVSLSNGRQTSVEHNEMIGTTAQPVTQTQSDKIAERGGGELTPRTKLPPPGKDR TRRAKIHQLMPARESGLKSRRSSRETVSPGKEAASTATATTMRSAAVAQGAILAPPDN EKRRWSNSVVVELSSSHLFDDSYYLDLSNMSDDSRNPPPSSRLSVECDTGDSQNDALS APKKVIPDSQTSDSSITEPLEHIPDTVVASLPMCSSANDTHQQLARNAYDLSDEEQGF VSNSAASGRHLPSAKECSSSLPSSSHKPVDRGRLDEVRIAGKWQGETAVPSMKNVPTD SSPAALFSPIKTRFARARARSVTKSSKKMGPSALDVDVSAASTTSLKRAPKPLPLTNI TPSSGERPSSSHALPLQFAPPQSEAPRSSTHGLGIESQPSDGTENTVESTDIVPSHSD HGSPSPQSSREPPCLGGNRQHASDDGVSEPSTEVDTSRSAQMMPKDSDRTASVGPESK DAAKLDSSKPIRSGIQAIRQDTRLKCVTAHRSTKKLGRKPSTHQRNGAVDHISQAESS LSLQTGKPMAGSCDEKPIRQQPPRHLSPTATPKSKMLLNDTTPKSHPSTGHRSLTSLI PEAGNAFSPNDSEDELTLSSSAFRTIFGRPISSKSSITDTARVR
SAPIO_CDS6074	MARVYADVNQNMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLAGGPNVVALLDVVRDSQSKTP SLIFEHVNNTDFKNLYPKFTDLDVRYYIFELLKALDFCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGQSNSDQLVKIAKVLGTSDLFDYLDKYEIELDTQYDDIIGRFPRKPWHS FITTENQRFVSNEAIDFLDKLLRYDHQERLTAKEAQAHPYFNPVRDEAVFKQHLAANA AAAASAAANN
SAPIO_CDS6076	MLQALLENEELRGRIDGLVSQADGELGESASPSSLPAKKLAMES LVSRQSAAEDRTAQILARAEKLRADVESARAEINARRETNTRRRSDLASVSNGIDARR IKALDETENATLSLRGRWNRNSDQMAGTRAFLCKEAAKLYGLKRIKKGSSKYEYKLGG IDVIDLTSMNALPPEVISTSLSHIAHILILASHYLALRLPAEINMPRSDHPRPTIYNL ASSYRHADGEEQSLGNVKIKQSNGDDTSGNNRGPSDFGGGRNRDLGNTSRPRPLFVDK PLPVLAKEDPSAHSFFLEGVTLLAYDIAWACMTQNVPIGDRGSFEDLCNMGRNLYNLL IGQQLHNTQTPKLYPPLSAPSGTSDGDDPDQTKTGLPPPVMGRFSHGTTHTFLGSASG TEFIRSFKLPTPIKLADKLKKKLMSELTVPEWEVVENGDWEPDGAM
SAPIO_CDS6078	MENFNAYLWWLQLLAMVALALFGVERLLRGKEESLALRLMFGAM LLLINAVWVSNLLVNGVCNLFFRSPADGSAEVPIPTCPGAWREGNDPIPIGELTLPVP SIRMITDRLPPVKLSLWIWRVICDECRILRNKFRCWLVIQAWRVGRKLMVPSCLIGRE DEMWQYIDRISLVKRTDSAKEEEERLPREKEMEEVRAWQQRHAGYVPPLRPLLNFSLP NRATKSQARKPATSRPLLPTRNAKAARGHSDFQLVWPDRRVEMRMRRRRPGGNASLRL TDMCRKTAVTSIHHQEIEIQRCPTQGSSDMGDVQHSPMVEPQDAGLAAKVVQTPTLEA VRAEVFEPMDICPPANTGDYGGLISMGIETPPVATFGGPEFKDEDSQDGGDLVPMEID ITPVPSSEVPEFEDEEIDQLADVLEKLHLDSRYWDPR
SAPIO_CDS6079	MSTQPEIRRKRKSLGAPLTATKRTEEKENGRRKGSRSKSIGPGG LDALQQSSGNRRASLAALPKFQPRSILKPTAPLIADIPAYKNQTETLIDLDFRENFTV TGAESLHNPFPDESAGSKIALRTEEEQQAAAKEREERERREARRKSLANRRVSFAAEA TLHTFHEIEYLQDSTTSTDSTRRASSVAAQSTPQAGSSHTSNTSEEESSDRQRTGRRR SSGVQPAWDSTADETVGSSICDSDSEIGDSVEEVEDQEDIDSSSDSDDSDDGTLMTID GEEMTSASVASAEDSTLDEALRLAAQHAGTQRLGSDDVAEAEEVIPSFGWIKKDAKKE IPENKNSEAEIPAAAGEATTEMEMDMDMTHAGGEIIRPINRGIPDDIVDMSMDVTSAF GMIISRGVSAPGIPSQDSPPVESENLPANGNALGSTTSKSWITTSGEEDDSFQDDEEM SMELTTVVGGVLVSKKAESQRRRTLSRLDRTTNIDEAMDLTTGVGRILSQQLPDNAYD VEETMDMDMDMTMAVGKILPPKHRETPVEDEFTTDMEMTTAIGNILRPQPDVTASPKQ LMKQRENAKIVSPGKLPASESHKKQQGTSLSASTMEGGSPGLSVFRGKQIRRSLPSIV GDGPTPSPLTKPTTSDTPKTPSKQTVSRTKRPSPSKTSASPKKTPRAVSPRRRTPEKQ TTGPTPKPDNIPLSSGTKAGPLTPTIALTPQTRRLSGVGADRTGLGSPRVSALLDRRA SIGESCDDFAPLEITAKRKVVFEDPRMLKQELDREYEEDVKNKDGDKTKDDKEVTLNL KEMIASLSPKKNPLRGRKSLHVGSARGLLGKRPAELDDSDDEKDGIKRLKGHQSSPVK NVRLQQPPPKEETTTGRMTRSTSLGLETAKDAFAASLSESICSKPKQNGHLKGSHADD ASQVLGQDDSAAPESKLGGDDAGDRIHLQEFLNMISVSFMELTTSKRRQTQAPAALRD NDDDLSLERCVVAGACTVPMLELYQHSCRELKKYISEGRRIVKEIETETLQDNPPLFR EYMSASPDFKLLMDNQFKNGKTHARLLSKAMWYEWRMKLQHGLREGLIRIDQGMEEDS VSLKKQQRLLSSVLPGMASHYEALAKEHNNLETYAKELADCDPEELQSARNEFLQVES DIEAKKREIFELRSQLQAAESEIEEVTRQKNQGLEDIKEAERVREECRGWTVKEINVY KDRVDALEKDHGWAVTGISSTQVSMAYKREIELVFDVSSFRRGQANSRVDLWYIATTK NSETPLRTTADREFFLQLIRDRVRALPQSRTKISNMLSMVRAAWDMATTIMDNINLIN VTFPTKINKTSDTSIEVVSSLLITEVETKVEVILGLTGAVAPDGVHLTIEPSARLVYG QNFNVGKIRDYLASRINQPPKVQERASTELWSDVFIALHGRLLTRGRK
SAPIO_CDS6080	MATELTVQSERAYLKQPHIFQNAKVKVKSTRPGKGGRRWYKDVG LGFRTPKTAIEGNYIDKKCPFTGLVSIRGRILTGTVVSTKMHRTVIVRREYLHFIKKY SRYEKRHKNLAVHVSPCFRVEEGDQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKKFSK F
SAPIO_CDS6081	MGWLDSVFGSKSADDPIQKLDPKLRDFLEKESPVKFQPNRTPAD VETSQRGPAPEKPRQDAQSGVPSASLYQDGRYADLWKTYKPLESVEADTKSSHEKLMD VLDGYKERKMVIAKAALENCSFQQEEWRRCMVEGSWVDTLQMCRDKVKKFERCYSMQS RFLRVLGYQAEPGRSAILEEDIQLHADSLYQRMLEHEEAVAKAREQGLPVPTFEIIPP PLLSKATTPPDEATTKVWKDQLDKLPEDERAAEEAALKADYYARLNTAERVKELRASE AAERRKRKAEGKSTMGDYFWGLMGPRSESDNDEKRTTPTMATPPPQGEYSPLFLYNSN TALQ
SAPIO_CDS6082	MATREDRMRQRLRGAVRHQVEDISFGFQLPESEDSSHVEGSPDN AASSTHATTRATPRTSEKAQLTPTRQPSGASSRGRSSAQKVEENPDPLASGEGDEDGP RVSEGEDDVLALLPPAPSGRSFTTRRSLATSDVVEESPIHAPGSGHRRSVRDEDIRSS SRRLQSMLRSMGSEAHENMSSSPLTRKSAQRARNQPRPLAHNSPRQARSSNSSLIDDI DELSPQASRSFIGEGEIAEEVGDAEAARVLLRKRPRNSVARKSSPLLDSIVEDHDSGA GSGQDSPTAAKSKSLVEVRPPLKRRKRPQLSPAKQKQPIHKNKSVRKRKLRKPEPSQN DETSADEIGAIDDAAIVPVTVQRFARPRKLARGEDTDLDILAMDIPFVNQKGVNVIDV LAQSCEEVIGACIEQLSDAVQREDSASTRKDLRVKARALEAFAEELRTKFLTQRVKDA EATRNKLRDSILERRKEQEEIQIRIDVEREAHEANRKLLATCLRPSTPCDCLWRKAEE NLPLSIRSNYKRQV
SAPIO_CDS6083	MSSGAFNVFRVAGDIFHISSKGILMYAIHRNRSAEGVSMITQIL YAIVFCTRYLDIFTEPSPYNLFFKIFYITSSLYIIAIMQWVFPRTREREISWKIGAAI LGGSFIVSPFAMLIFESYWSFSQWLWDFSVILESVCVLPQLLLLRQTTVPTVINSFYL LALGTYRFLYVLNWIYRGVDPSSRPPNAVSVIFGVIQTALFIDFFWCYYTRPRVRLRN GGIVDAQDYQRGWLIGRIIGPNVHVEHDDDEESSPATGGRNQPRSSARPKWGSRGISV SADDGIHETERLTGHQNDVDFDDAVDPDARMHDPDDLAKALDDDDEDSALPSVHTTGN GEPSGVTNGEEWRDN
SAPIO_CDS6084	MSDTEMDVDSGPTPSGVRDDDLQTQAGAKAVRSVEGWILIVTNV HEEADEEAVQDRFGEYGEIKNLHLNLDRRSGYVKGYALLEYATLQEARAAINGANNTK FLDQTINVDFAFVRPPPGKGGRGARGGRRRGRSRSRTPEGGSRDADGQ
SAPIO_CDS6085	MSTAPYWGKLPAPAAATMPPSRPNRHSDDYGSPMDQTPADDKPP QRPQRFSVQTTNTTNTEAPTESTFSPFASPTASSFLGQGLAPRPPSYPYRNTPDYPPD IADKRSHRRTRTREDIEPPDLASPTPPAAPDVPRAPPLSYRHPYSNGGTPDPYAAAAA MVAAASKAARESASPVVPVSPLSANMESREGYYPRTPRSSQPDHLPMENNRRPMDSPQ TRVAGEVPMQHVRKGSVKEVTTGRSFPLDASSQPRRASATSQPDRRRKFANDRSPLQR LELTLDSISKEEKRAKVEAAEQRARERARRAAEATKQSPSTPQQQVRFRERAPSASGS PREKPAARPTQHQTPRSVEPPVAVHRGPLTQNPPGDARPHQGPDPDRSVPVPVSMEPA PPVVDAASPPAAAAPVPQILETGNMGTPKRNLSFRERAAQQDLKPLNGLPHDSPVTPS TAPPPSNGGFSLTRSGSNKLKKDPPGDRWYRLRVEAQNALPQSYAQSPAHLTVTAAPE NGYFQGHSQEVVHTPQQTRDIDSYPSFAASRQIAPPTRAFTEPPTQPQLHSQLQPQPQ PQHQPQPQQPQPHLQPGIRRHDTEPIPRTTQTTVTFEDDPRVSKGYLSDGDSRATGTD LRRAEYTPGDGLYLPPNFLDEWKQATVGSLSGTLLHLAEDLPQGVGKDKTWWESEGSK RGISARPRKAEAFDGEYAETNGPTRFKPQLYLQCGPLLRYCGIRRELVAKSTRNPTIV EREIWRGTIMIVTRDSDSSYDITPTLRLFLQPIELLPPPPVQVNGDLSPEYVDPIAGH PKLGRRGETLYVRPVEHLEEAKDLSFNETEEGLYEATRSPPDVPLPKGVTDLPGSYAS RHKRIKVDGEKVAKYKDVRGFRLHAERGHTFWRFNVEVELAEQQQRVAYRINRGPAMA FWVPAKGQPMNIMFHSCNGFGLNVERTDDFSGPDPLWRDVLNTHQARPFHVMIGGGGQ IYNDSVIDNCRGFRQWYEMRNPLQKYSAPFLPELQNELEEFYLGQYCKWFSQGLFALA NSQIPMVNMFDDHEIFDGYGSYPRRAMNCPVFAGLGAVAFKYYMLFQHQSVMDETDAS EPSWILGTAPGPYIKELSRSLFMELGSEISLLAVDCRTERTELDVITEETLKQIMNRC FADVKSGSTKHLLVVLPIPIAYPRLDWLENILTSRLMRPVKAVGKTGVLGSKLNQLDA GSEVLDDLNDHWTAKNHKGERRDVIEELQDLAAIKSTRITILSGDVNLAAVGQFYSHP RFRLPKHKDYRYMPNIISSPIANSPPSNLIVDMVNKRNKVHHFDKYTEEDMIPMFPTG VEGKPRNNKRLLPHRNWCSIRVWAPGNTPPPSVAPSVRERSITPPPSSGSGGIFRRLS RRKNSTRRAEGPHDSRPPVSGSGGLFRSFSRRKSVDTGRPAPSSGKLVRSLSVNRAEF SARKLVGLSKPQGSYHGSNHQVHESDDRAGDYEPYGDVYDPRPAPEAGPGWTSRLRGG GEPEYEQGDEAAFTARPVRPAQPLPLDSSADDFVPKPFHRTPTGLSTKQRKHADDLAV DLEGGLDISLNVEVNPKDPAGITVPYRILVPRLFHDEEDEKKHDAMLASEQPTGFKRF LSLKGKKPAPEVVEQVTMEQQSPSLAHTQSLHKRTPPNGSYGYDTVSSVDRYDSQGDI RMGGR
SAPIO_CDS6086	MRLARLLGALGLLASALASHPIRKTPRNYETSDYYLLHLDDGVS PQDIARRLGLEHDGQVGVLDHLHVFSAPKSNVDFVSDALRTRKLKRDRGPDILDGVRM AKKDIPRKPWSKRIPPGYTSRPASPRADKPDDGAVRMQREVMDTLDISDPIFKNQWHL INTVQVGQDINVTGVWLQGITGKGSIVAMVDDGLDMTSQDLRANYYAEGSWDFNDPGP EPKPRLSDDHHGTRCAGEIAAVRNDVCGVGVAYDARVSGLRILSKLISDEDEAVAMTY DYQNNQIYSCSWGPPDDGRSMEAPGIAIRQAMLKGIQEGRGGLGSIYVFASGNGAANE DNCNFDGYTNSIYSITVGAVDRAGKHPYYSELCSAQLVVTYSSGSNDAIHTTDVGANK CYTGHGGTSAAAPLAAGILALVLEARSDLSWRDVQYIFMKTAVPIYGEADDWQPTASG KEFSHTFGFGKIDTYGAVELAKTWEKVKPQAWYFSPWIHVNKPIPQGEDGLSDEFEIT PDMLKEANLERVEHITVTMNVNHTRRGDLSVDLISPQNVVSHIATTRKYDTADTGYVD WTFMSVAHWGESGIGKWKVVVRDTKQNEHEGVWVDWHLKLWGESIDPEKAVKLPMPQE SDDSDHASVATTSAIASTTATALPTKTAIADPGATDADPQRPESSKPTETGTAAPATS SSWVSWLPSFGVSSRAQVWIYGALALIIAFCIGLGIYLWLARRRQLRNNQGNEYEFEP LAEDADGYEGGEKASYGGGQTRRTRGGELYDAFAGGSDDDSDFEVGYRDGSSAERLPL DADERDGEAAQHVIGDDDDDDDVGDQAQQSRLLDPGR
SAPIO_CDS6087	MAVIDQDTFSNVSWQSDHGEPIPGSSSRPDDAADNLNNGMAAEN NLDRDELGGNALGLEKLECTVSSPIKENDGTKDAFVSYLITTRSTFPSFQKPVTTVRR RFTDFVFLYKSLSKDFVACAVPPIPDKQRMEYVRGDRFGPDFTARRAHSLQRFLTRLA SHPVLRRSSILHRFLESPEWNATMRSRTTRASTSSEHGAGGVFDNFADTFINAFTKVH KPDKRFIEVKEKSDKLDEDLSHVEKVMARVIRREADLETDLKDLAEQFQKLINLEPGV EDPLRAFSNSVEDTSAGLKKLKDLTDQDYLGSLRDMQAYSQALKNLLKAREQKQLDFE QLTEYLNKSTVERDALQSGSHYYGATGGITAGASGFIRSKIEDVRGVDHEQARRERQR KLELRIEELTQEVKEAKNMSEMFDEEVVREVTDFERIKRCELRKEFGALADAHVEFYG ECIDVWEKYIRDMEKEGGVLPP
SAPIO_CDS6088	MSLKRAARVILVGAPGVGKGTQSERLLKRYTQLQSISSGDLLRQ NVKNRTPLGIKVESTMKAGGLVSDDLILRLISNEFFQRGWLKGQGPPQVMTFASAAGQ ETLDESPAKSVVDSVINSTLQSGATPQVSDNPSASFILDGFPRTAAQAEMLDKIIPIN LVVSITTPFDVILERITGRWVHEPSGRVYNTSFNAPRVAGIDDVTGEPLTQRPDDSEE VYRARFAKFEETNRPLLDHYANKGLLWEASGLSSDEITPKIFQHFEKMFGN
SAPIO_CDS6089	MAPSERRPFDDDSEDEEDFNPAPADLSDDEDNANDQLQREARDS SPAIGHQGDGDDDDDEGRSLNARASSDHQGSDDDDESPRRKSRGNDDEEDDEQEGRAD DDEEEDEDEDEEDEDDEDVHQGHRRKRRRERRNVFLDIEAEVDDEDEAEDEERDVEEI EDFIDHSHPDDLVDTERLNDTKRHRELDRRREVEAGLDAEKQAEILRQRYGNRRPTRG PGDSTVIPKRLLLPSVDDPKIWAVRAREGKEREAVLAITKRIEDRLGTKNELLITSAF ERGGPQSVMKGYIYVEAQRQADVLTALDGILNVYPRSKMELVEIKDMPDLLRVTKTPT LEPGAWVRLRRPLKHAGDLAQVLDVTENGLEARVRFIPRLDYGVRDESMSNVGADGKR KRPIGPGPRPPQRLFSEMEARKRHPRNVAGDKNMFTYMGEEFENGFQVKDIRIQQLVV TDVNPTLEEVTKFASGAEDGTENLDLKALAASLKDSNALVTYLPGDVIEVYEGEQKGV VGRATNVQGDIVTLQVMEGALRGQTIDVPAKGLRKRFKVGDHVKVIGGSRYQDEVGMV VKISEDRVTLVIDQSSTEITVFSRDLREADDSGGQGSLGQYELLDLVQLDNTTVGCVV KIDRESLVVLDQNSERRQLMPSQIANKFPPKKNAVAADRSGSEIRVGDVVREYGNQQR RGKILHIHHGFVFLHSHEQNENAGVFVLRAANVNTVAAKGGRVNATSGPDLSVMNPAL KRNNQNGGPDGKMGPPPAPKVLGRDKAIGQTVIIRRGGYKGLLGIVKDTTDTTARVEL HTKSKTVTVPKDHLSFKDKHTGDRIDINTRGRGPPMGSSGGYGGGGGRNPDWQTGSRT PAMSSGSERTPAWGSRTPLHAGGRTPAWKSNNDYSGSRTPAWQDGSRTVNPYDGSRTA YGSGSRTPAWQSGGRTPAPDSYGHGSRTPAAYANDSWSGSKTPGYGVSAATPGASGAD SWGYTPGASGSSNAFDAPTPGAMLGAPTPAAMNAPTPGAYSAPTPAAMSAPTPGAGWS SGWADSAPTPAAGAPTPGASAYYGAPTPGVFGGAAETPAAAQLWGKVDAISPGNTSRA FYDDSEHRRPQGDTASRAVSSISTEPHHPGGPPVGPPPGFNAEEAKKPLPPEKPAPKK DVAANDSAAVTKDVGAQKAQPSSVVPHGKGNEPAAADITVAKTESAAVKEGQKEQKKL TLMQKVKKEAQHYWDGTKLLAAEVRISSKLAVKMAAGYELTRRENRQLQRTVQDLARI VPFSVFIIVPFAELLLPVALKLFPNLLPSTYEGQKSKEAKATMLRATRNDVSKFLRTT LKETGLPVTSAATQREEFTTFFRKVRTTGEQPTPEDVIRVCQIFKDDVTLDNLSRPQL YSMCRYLNLSTFGNDTMLRYQVRHRMRQIKRDDRIISFEGVDSLNVAELQAACASRGI RTFSVSPAQMRKDLQTWLDLRLRDKVPSTILVLSNAYMYSAEVTDQIDALIGVLSSIP EELFHEIELEVHNAEGAATNKQRLEVLKEQQELIKEENEQNEESENVGLATPRDVDNI DDKDEKHLHAEAEAEKIDPEKPEAPKMVAGEVEATKVSKESQESEK
SAPIO_CDS6090	MNQSQQFPSAPRRTGTYSSQHDELHLSGMNEHGQLSPRDYSNSS NPAGHIKLDHPPGPASSSAHQYSPSISVPNILQPGGLGQRPAASPSTSAAPSLNTNYQ GSMNPQLPTYQSQAKPSTLGVATHGYSRSSPAAAAYDNATPSSYAPYTPTSPGGGVPG SSQYISPTDPNKYAPPSQRTLSNTPLGLADIRPRADSTMSDGVPGSIAYEFANAQPTP SNYLAPWALYAFDWCKWQTHGNSAGKVAIASYLEDGHNFIQILDTQIVPASPSVLAPG GSTKCLDFTKIAEATHSYPVTRLLWEPPSSQKQATDLLATSGDHLRLWSLPSDPLIPH GSNTITQPMRDTPATKLTPLALLSNSKTPDHTAPLTSLDWNTISPSLIITSSIDTTCT IWDIPSLTAKTQLIAHDKEVYDVRFCANSVDMFVSCGQDGSVRMFDLRSLEHSTIIYE PTGKDERDSNGGRISPTLAQQTMSNPPPLLRLATSPHDQNLLATFAQDSNVIRILDVR QPGQALLELRGHGGSVNCVEWSPHRRGMLASGADDCQVLIWDLMNSAPPSAINGSGQQ ENHRNPTASWQCDYEVGNLGWVPRLPGHDSGEWLGVGAGRGVWGVRLS
SAPIO_CDS6092	MVFLLLNILTFGLFSKLGRLTHYAFDAVLFSAFLAGMKRSTGLT PSFKTDKASGENKEVAKWIDKYLGVGEWVMDQGIAVAASSGWFERTR
SAPIO_CDS6093	MAYTPSSIDYFRLDTVGKIRWLASLDPTFRHPKNFRRTGIVGTI GPRTNSVETLQALRAAGLNVVRLNFSHGHHEFHKSVIDNVRELQRIEAGRPLAIALDT KGPEIRTGVTIGDADIPISTGKVLTVTTDEKYSHRCTSDYIYVDYQNISKVIEPGRII FIDDGALALEVLEIVDEKTLRVRTRNGGILSSRKGVNLPNTVVDLPALSEKDKEDLRF GVEQKVDMIFASFIRGADDIHQIRDALGEEGKHIHIIAKIENRQGLNACEEIIAAADG VMVARGDLGIEIPHGEVFVAQKKIISLCNIAGKPVICATQMLESMIKNPRPTRAEISD VGNAIIDGADCVMLSGETAKGDYPVDAVREMHETCQIAENTIQYVLHFEEMCNLVRRP VSPEESSAMNAVRTSLDVNAGAIIVLSATGETARLISKYRPNCPILMVTRDPPASRYS HLSRGILPFIYPNPSLSPIEDKWKTDADLRIKWAITEAQKMNILYETDSVVVVQGWRP GAGNTNMVRILSPEAEALALESA
SAPIO_CDS6094	MKFCLASFLLATAASAHYVFPSISYDGQKTQDWEYVRKTNNFQS NGPVTNVQSPEMTCYQTTMNAATTKTMAVKAGSTVNFNARASISHPGALNVYMAKAPA GTSINDFDGKGAVWFKIAHDGPNISPAGLTWPTSGLQSVPIKLPSCLEDGEYLLRIEH IALHSAGSAGGAQLYISCAQLNVTGGSGSIKAPQMLSFPGSYVPTDPGLMINIYYPVP TTYTAPGGGDATC
SAPIO_CDS6095	MGKKTKLPSGKSSLVTDRSVFFWRETDADYGFLSQWYYCPFTDP DDESIVYPTAEHYMMYKKAVLFKDVETAHEILRANTPRAARSLGRKVTGFSDEVWNAN RLRIVTEASMAKFTHAVTEEGFTRGNGEGTEDLPSLSGADVPLPKGARVVGRLKAALL ETGDRELVEASPRDKIWGIGFGAANASSERSRWGLNLLGQALMEARKRLRDEAEGKPA QKP
SAPIO_CDS6096	MQTQLSDLVRDSKLEIQSSGDHVIQIDYVSNPRMGQRRTRVEQH WQLKAELGRGGYGVVWLEECTSGLGSGQVRAVKELRKGPSVSSLPPNYYYRELEAIAK FSQKRYRDFFVTSYGWYETDRAVFITMGYLPDGDLQRYLESPLPTNEARDITRQLLEG LVFMHENQFAHRDLKPGNILVLKKGPDWWIKISDFGISKRIESTALRTHIGTEPYMAP EIKRIFPMDDGADADTDTFTLAVDIWSVGVIAFRMVTGRLPFPDPRQLFNYVVKCSSF PAMGLEPADCADFVQKTMAASPHLRPTSQQALEHQWLMTRLSESNPNLHTSNVGEQSL DFSFLPSAQWSTNERETAGPSARSITAVPDTQPVPPTENEMAVDVGSHFPFPPPPPFS PDSPDKSRDAPRNMAPHFWSVMGHSGSVNTIAFSSDSELLVSGSYGRTLKIWDAATGR EVKRIIAYDSLVTFVAFSNDSRLVVSASDDGAIKIWDVVRGRIASSLTGRGRFTVGSA AFSGHSKLVALGLSDGVIRIWDVAAGREVRTLRGHLSSVASVAFSGNSELVASGSFDC TVKIWYASTGYVIHTLEHEEWVRSVVFSSDSKLVASSSADETIRIWAVDTGQLVYTFG EGPHIPNYGWIMQTSNLVAFLDDSKLVALSVSSSVKIWEVSTQRLVRTLEVGLVCATA FSNDSNLVAAGYADGMLRVVKVTTKA
SAPIO_CDS6099	MDFSSQDTNTVSSAPRKPDSGSVTKRLQTELMTLMTSPAPGISA FPSADGNLLSWTATIEGPDGTPYAGLTLKLTMAFPSNYPYAPPTVLFKTPIYHPNFDF SGRICLDILKDKWTAAYNIQTVLLSLQSLLGEPNNASPLNGEAAELWDKDPAEFKRKV LARHRDIEDE
SAPIO_CDS6100	MSSSKGKKTQQRSAIADVVAREYTIHLHKRLHGVTFKKRAPRAI KEIRKFAQLQMGTVDVRLDPQLNKKVWECGVKGVPYRLRVRISRKRNDEEGAKEKLYS YVQAVNVQNPKGLLTTTVDE
SAPIO_CDS6101	MASPSPQPDLDRNRLPTLFEVLSGRTLPPVDLFSFYIYMRDQQR SVDYLDFWLDVAQHMSLCRHYVRELRRSVLVGTPEPGSKRSSAILESLGELNHPVAGP SMYATEKERSQDAQMSAFLREDQLGAQDSPHGSNNRNSPRTADTPLDPTSDSNSPANA VVRQDIRASAEKILYTFLLPGAEREITLPDSITRDITIAIEEQGRDDPEVFDVAKDYV FQAMERDAFPGFLRMKALGNLIPPTLILRLILGLVAMFGAFWTSFILIFLDRSRETRL WLILPFTVGVYCLASYQYSVDPILALIGLSEYTPFNFSRIREPYVRKLLAKRAIMVLA VTILIDAALCVLFILVPGKRL
SAPIO_CDS6102	MEQTKALNALEPFLALHKTATSPIAAADLVTRATSAPNTYLFSE LLHTPSIQSLASSPTHASSLALLQIFSYGTYADYRAASPALPKLNDSQTLKLRQLSLL SLARDRRNLTYEKLSEALGLDSTRDLENLVVTAVYAGLLDATLDPNRQVVQVHSVAPL RDLAPGAVPPMMEKLSAWSDRCTSTLTDLEAEIQAIKDQAARRQWDQELKEKETQRLT ALLKEPTSESAGKKSAAAVVGKGMRFGKRGAVDDHTEEAMDVDVDDDAGSKVRVSRRK L
SAPIO_CDS6103	MAPPYIKVPDGDTDIRRTSLSVPHMATTTLRIGGMTCGACTSAV ESGFKGVAGVGSVSVSLVMERAVVMHDPQTISAEKIQEIVEDRGFDAEVLATDLPSPL LPRRSAPFDREYTTTTVGIEGMTCGACTSSVEAGFKDVPGVKNFNISLLSERAVIEHD SSLLSPEKIVDLIEERGFDATIISSEKMTPTYETGSAENEKEKSVATTTVAIEGMTCG ACTSAVEGGFTDVDGVLRFNISLLAERAVITHDTSKLPADKIAEIIEDRGFGATILST VSQSDDHVGGNSTAHLKLYGNLDSSEATLLENTLLALPGVYSASVNLASSRLTVVHKP ALIGLRAVVEVISKQGLDALVADNEDNNAQLESLAKTREINEWRMAFRTSLFFAIPVF LLSMVLPMIPALDFGSIAIVHGLYLGDLICLVLTIPVQFGIGKRFYVSAYRSIKHRSP TMDVLIILGTSCAFFFSLLAMMVSICSPPHTRPSILFDTSTMLITFVTLGRFLENRAK GQTSKALSRLMSLAPSMATIYADPIAAEKAAEQWDQVDIKEDTKTKKGAANAEANASE EQVIPTELIQVGDIVILRPGDKIPADGILVRGETYVDESMVTGEAMPVEKKKGSVMIG GTVNGHGRVDFRVTRAGRDTQLSQIVKLVQEAQTTRAPIQRLADLIAGYFVPCILVLG FLTFFVWMVLSHVLPNPPAIFLKDESGGKVMVCIKLCISVIVFACPFALGLATPTAVM VGTGVGAENGILVKGGAALETATKITQVVLDKTGTITYGKMSVAKASLTPTWTSSSLN TRLWWAVVGLAEMGSEHPVGKAVLVAAKEQLGVGSDGMIEGSVGNFKAAVGRGIGAEV EPAISGGGERTRLRVLVGNAKFLRENNVAIPQDAIDASELVGSEGKGSSAGTTNIFVA INSEYAGYICLADTIKEGAAATIDVLHRMGIKTAMVTGDQRSTALAVARVVGIPEENV WAGVSPDQKQEIIREIQDRGEVVAMVGDGINDSPALATANVGVAMASGTDVAMEAADI VLMRPTELMDIPASLHLARHIFRRIKLNLAWACLYNLVGLPFAMGMFLPLGLHLHPMA SGAAMACSSVSVVVSSLLLKFWKRPSFMDDALAGKGMLRRRGSWGVGAWIKDVVVRRR ERRKEEGYVPLQTLEQADV
SAPIO_CDS6104	MSSGNQPDSSASAQPPTPMKSTPTPQRPPNPRHHHTVPSTLPMR NISLDRILQGSSDIPSGQPRTPPPRPRPLAKHQQQQPQAARRAAGPPRGLPHALSRTG QHIPSRTTKLSEKLVLLPEAEEDGLLEDEELSEGAINRRLAEVEDGPLRDEERDVLKK RGGIRGKSYAERLPKVQRTEKVSRLTAYCTAQSFKMKQTAEFLKNHHEARTKLYDDCL YVIYALPLLAGGDGYRVRSRAILRTPGTGKTVVDLEIERSEQVAHEGYFDEYAFQQSE AGLTPNGNDEASTLPDPISRLVTDAKNFAEMFVFSYGVVVFWNFTETQERDILADLTF AENDTGVALLTRPLDQVDYETEEFHFEYSPDARRPRIFNDMITLLPRFDHMIKLTISH AIAQSTKLCFFEERMSETMLDAQHVPKDLALTGELTMGRTEIVKILGQLFKSRVDINL SSNMLDVPNFFWDAEPTLHPLYVAIREYLEIDHRIKVLNERCRVFLDLAEILSDSVAD SKMSHITWIIIILIAVSIVVTVTEVFLRFAILEKSKREGGAGGTSVNLTGVRALGVEG VDFGQGRPTVKVLGAGQGDERERAMDDVRRLLDGMTVEEVRRWRAVLSEEQMEGICGG YHSELKEL
SAPIO_CDS6105	MSSPIDPKIQSNHRHGSSSPDSTRNREQVGDYSASRYSMSAGEY LRTRVTTLRPPMHKVSNPIPLLRMLTGRQWAFFAVALSAWTWDALDFFTVSLTVTDLA KDLHRSKTDITWAITLVLMFRSVGAIIFGILSDRYGRKWPFIVNNFLFVVIELGTGFC VTFEQFLACRAIFGIAMGGLYGNAAATALEDCPDEARGLISGMLQQGYALGYLLCVVF ARALVNTTPHGWRPLFWFAAVPPVLIMIARYYLPENDAYISQQRLRAEAEINQNASVS QTFIRQGKVALRRHWLGLIYLVLLMAGFNFMSHGSQDIYPVMLSNQFGFNHDMVTVTQ VVANLGAICGGTTVGYLSQFFGRRLTIMVCCVVGGALLYPYTFVSSYAVIAAAFFEQF CVQGAWGVIPIHLMELSPGAIRTFVVGTSYQLGNLASSASSTIEARLGERFPLPPTPE GDTRYEYGRVICIFMGCVYGYLLILTFLGPEKMGRAFDAGSDDEAMEVAGVDAIQAVR GMGVNEEKKISDDVEKGV
SAPIO_CDS6106	MFFFFVFGEHTFRKPVPGYENIVCQCYNCGNMSGRVLKSNPWFT LCWIPIIPLSIKGYKDVSCHICNFRQPLENRPDVTAMANGHAPLPPGPPGPPHQGWHG GPAQGPATYA
SAPIO_CDS6108	MRHSIWFLVVAILSLAQAAVFARPHQDVALARRQNGLFDLFNNL GGNRGNNQNNDDSSNDDDIEDNEDDGSNDDSNDDNNVDVNNGNNLFNLGNLGNLFNFG NNRNNNNNDNNNNNDDEEDDSSSNNRAVTETETETETVTETERETETVTADAEAATVT ESVTETETLTVTMMMTVTGLPAAEGDVDTVNNAASTDGASSVADATSAVGDTVGDVSS GVASDAAGAVETPAADLGNAAGQNNQGSVDTPVVISTGIGIVLVSLPDTRGPTTTLPR TNVDAAPTPPPAAAAPVVSDPAANIGAGSSAIDASSVAAVVPPVASVAPVVDPVASVA PAPVVDPAASAVAPAPIVDPAASVAPAPIADPAASVVAPVPVAPVPSADPIAAVPPVA SPAVPDPAATAPVPVTAPSPVVAPPVAAPPVAEIPAPQNNINLGGLDGSNSVGAADPA APAATPDASPVAAPAQLDLSGLDLNNVVDLGNLG
SAPIO_CDS6109	MTTVTELDVWHIQCIKPRLETDEDRKEYVDDVKRFIPVSYFCSA IFDLKAHGEVEAKLGLITNRSLLGIRPLSPWFEFYWTQGFLDTFVRKKVPIFNDIAGV GFFTPWVRAISLLAVAVGDKGGAVEVSKAISLAIRNSFNFETREPDEELRRTGIDRSK EYFRKVEQMLGFVRAASQACGVQRQLRKSRLPSDVEINLFKTILEVLEIGFDALTVNG EVFQQWQEIISSAQNNLKTSGVATVGSLGFAVFGIGQACVGAAYSGASVAGAAGAALL SEDLAGQYRAIQRLAQWLVMYSNKPVSSEEDLASEAQEMKDLRAHLTSHGLGQNSSLA DYQATLDKELEVLCKSLKEMKENPSMEHLFT
SAPIO_CDS6110	MVVHVLDDFYLGLTAIITVVYQLIFFTISYSCKFDLLTDFAGGT NFAILAITTVSLSQSPTPRQILVTILMTIWALRLSIFLFYRILRTGHDTRFDNMRGKF FPLLTFWIFQALWVWVVSLPVTIGNSPAVVIPQKSPGGAVSFGMASDIPGLFMFVIGF TLEAVADVQKYAFRKKYGGGAAQVCNKGLWKYSRHPNYFGDILAQFGIYTISVSPVIS RTLPSSPRAALYASIVGPLFITFLLFFVSGMPLTERPVAKRRYELDHGWDEYEDYLRR TSPFFPFPPFLYVYVPAGLKRTVFLELPMYVFDPSRDSEVGRGGLKSPGEAARGEGET N
SAPIO_CDS6111	MALVGIASPPPIPGDSGYYISSHHFSRERIHGDRTPVTQKDVEA SYHKEPISQNLPRLSFLDLPLEIRLQIYAWVHLLHPFKYRELAPGYPSPAIKPYHTTH LLPSPANCTSTAEETTTTPPKSIHPSPPLLSPDRPFAYLPTSLLLTNRQIYHEARETP YLSNEFVFPSFFSSGLSAAGVLVRGATAWQLDALRYVRLEMKLGDLRCDKKTVMSWGA LCEGLVGVRGLRLRVFPDEVLVLGLVRAWEAGKEEVEEGLVRELGGGLRVMRALRQVE VEVDGVGEEEKTLVFFMEKVLVGSCWLGAITMAPDLHLHSVARVDMNRYGGGRSHDTS QFKMLSSMWLDSVCQILFLTAIVLVIFKLAEYVLWPVAALMFERIEQIVPILFAPLLR RVLISAFVHLLIAYWTALVAVWMAIRVIFDPQEEVAGEARETVIDDGKPDDASAKKKE S
SAPIO_CDS6112	MASLNLSPEEVKILESIRQRLAQVVSSLQKFKDDVVVGQPLPPA SSIQASTTIIQANLRTLTTFIAEHATLLERIAIHPATNFPGRQQEAILLQLLRKKPEP SVEELLDSGRETAKRLDNGGDGVATGAWKAQRALWRWAREYCDSRVEQYASEETHQVY TAEERAWGIENVRTGLRRGMDEEVEEEEEEEEEEEGGEEEEKKGEEVRSARLRLARNS VVGRFEAPPNADWEMKRKMGVGRVPMIPDGDDGQAREKSESERDVPVIGFRAVKRVFW ASVM
SAPIO_CDS6113	MAPDLNSLTPSSVIPNTAPTTPAVNGTRGTNGAASSPAGPSGAK KPNILYIMADQLAAPLLKMYNPKSQIKTPNLDALAANAVQFDSAYCPSPLCAPSRMSM ITGLLPLKIGAYDNAAQISSDIPTYAHYLRLAGYHTVLAGKMHFVGDQLHGYETRLTS DIYPGDFGWVVNWDEPDTRLEWYHNASSILQAGTCVRSNQLDYDEEVLYKSTQFLYDH VREGPDARPFCLTVSLTHPHDPYTIEEKYWDLYEGVDIELPEVRIPKDQQDPHSKRLL KVCDLWDEDFTDEQIKTARRAYYGAVSYVDECIGRLLDTLKRCRLDENTIIVFSGDHG DMLGERGLWYKMSYFESSVRVPLLIHDPKNYTPHRVTANVSTLDILPTMCDLVGTKPI DGLPLDGKSLLPHLQGRPGHDKVYGEYTGEGTISPLVMIKDGPWKYIYCPTDGTQLFN LDRDPQELINLALLLQKTEPRTADEEEAKAKLDEFEAETASRWDFEAASKLVLESQRK RRLVWSALKLGRFTSWDHDPIDDGREKYIRSHIPLDDLERRARYPAVDKYGRETARVL VDQAGSHGE
SAPIO_CDS6114	MSFRPPTLRQSHTVDYSSGVNRQASTTSSSASSGYSNSSDYSRN SSHFSTNTSPAGSPYLLHKRGQSDLGRPQPLDLSDRNGSPRKSNAQDNLYATMRQSLR PLPQAPAQSPTAAAAPSPPPRRSLYQHDRASSVDIGKPAVLPSPPRHSLGLRDRGQTF HGGETAITPTPSPTRHPLGQRDRGQTFHGGHLATPSPPRHSYHQHERGQSVDVTLPAL SPGHRSTLQRHERGLSVDMGKMAISNQERRTPTTPPRPLPLRPNSMLLTRSDSIRDSI GIPPHSSAQLVHHTTTHLAKPDLEKLGRSATSQLRTLSTLAQSESAEDFAITSPDQQV VGLRGRRRLQRVGKPNGNRPGAGSGGYGWEGRNWMDKQRQFLQAYEYLCHIGEAKEWI EDVMNKNLPPIVELEEALRDGVTLAEVVEALNPDRRYRIFRHPRLQFRHSDNIAIFFR YLDEVDLPDLFRFELIDLYEKKNIPKVIYCIHALSWLLFRKGIVDFRIGNLLGQLEFE HHELEAMQKGLDKLGASMPSFGNMGADFGVEPEPEPEETEEERIERELAENEAMIVDL QAQIRGAMKRMELGKVMQGLWDSEDWLIDLQSRIRGDFTRQIVGYRMEMRRFAVNLQS AARGFLVRQRLANTEHFWKSLEPDILELQSMIRAAKVRNKVCGVRSQLVRHDGPVRDV QALVRGFLVRKARAAQQQETAHTAGSVANLQSAIRGMQLRARVAEDERLLRGQAEAVT ALQAFARAALTRNQISLQQEHLESFNPDWEALQAAAQGSLVRSRLDALRNELKCYSPQ VTLLQSFVRAGAVRQAIAKDLDALHENEASIIELQSLIRGMLVRQQRRADQEALIRQE PKIRALQARIRGYLFRQQNARFLEELQSHTPEIIQLQAFARAVLLRAEIDGILSELEE AEDSIIAFQAHAKGFIVRKKFEEKRRYFNENMQKVVKIQSFVRAKIQGEAYKSLTTGK NPPVNAVKNFVHLLNDSDFDFNEEVEFERMRKTVVQQVRQNEMVEQYIDQLDIKIALL VKNKITLDEVVRHQHTFGGHAVSMIANSSIASANQFDLKALNKSSRKKLELYQQLFFN LQTQPQYLARLFRRVREQGMAEKECKRTELLVMSLFGYAQKRREEYYLLKLISRAIRE EVEGCRAVQDYIRGNFFWSKLVANYTRSPRDRKYLRDLLGPLIRDNIVEDPALDLESD PMHIYRSAINNEELRTGRPSRRPLDVPREVAIKDPETRELFIDHLRDLREVCDQYLAA FEDLMPKLPYGLRFLCRSTFESLCQQFPREPQHQILFVVSHWLWRFYLQPALTAPETM GVIERQLSPLQKRNLSEVAKVLNQVASGRLFGGENIYLQPLNAFVGDSIERLGHLMGE LISVADAEATFDIDEFNDLYAKNKPTLYIKMADVFAIHNLVASELQHICPNRDDVVRE IMQELGSAKSNENEMTATGSSDIQMFLTPKLHDVEDPDAEVKALFMETKRCVLYIIRV QQGLSLLDILIKPITAEDEVKWRALLREEFSAANRTRGAYSDANMIDVTRMTYHELKR SALENVLRLEHMGRISKHNKYQDILNAIALDIRTKSRRRVQRQRELEGVRLTLANLHE KAKYLEQQRKSYDDYIESAMATLQNKKGKKRFLLPFTKQYNHQRELERSGRVPKFGSY KYSVRDLMEKGAVVSWKGVSDRDWDKINLTISCDEVGVFAIEGSRGHIQIPGASALVP IEDLLQAQFEARQFMDLFEGNLRMNVNLILHLLYKKFYRTQ
SAPIO_CDS6116	MDHNPQLALRLRIEAAFTVVVTILCSVPALRDVIGLNRRATPQL KNGEYKDKDGESTREDVERFSSKVPKAFVLFFAALGLASSVYISVINTLPGSSGFLRA DNWFYTAVWALYGFNAIYIAASRDPVRAYDIGVFLALSACILLIVSLDLEYARIVYLV GTSPTLTYVRAAAFASIIGFLFSTVSLPRRPDVYKDGRVVNGVDTVPAISSFTFHWAR PMSKLARIKKDLDIEDYPALGFANRSEDLSKTWVTYPSQPRLWKAICRVHARNLFIQI SLAAIKGTTGFIPQWFTLRLLETIQGGTVDGHWPVTVWFIVLGLTISIFADGALEAWL YWISTTGLSLAIRSQLSALIFEKSMRRKNAKSATESENKEKDKDEDKDEDKDKGKGSN VDNENDEEPTESTPLLNGNKSNDDDPKKKEEKKEEDEVANPLKSKQGIINLIGLDTVR VSFFFTMQFYFTNSAVKLVVSVAFLMYLLGWIPVAAGALASLALIPVNNRFSKMYADA QERMMKARDEQLAVVNECLLGARQIKFSALEDGWEKKILKIREKVLSTVWDSFLADTA LLGCWIVTPLVSSATALSAYAIVNNGLTPSVAFVGVAVFRSLEAALNMIPELISEFFD SWISMKRIEKYLNGPEMTRIVDDGPQVAFENATIAWPVDDEVDEADRFILRNISLEFP TGELSVISDLLAGKVFAPAAPSLEERFDQNANRGNWIIPSAKAYVAQVPWIENATLRE NVLFGLPYDEERYNATIDACALRKDLEILTDGDETELGTNGVNLSGGQKWRVTLARAI YSRAGILVLDDIFSAVDAHVGRHIYEQCLTGELSRGRTRILVTHHVSLCQPKTKFLVE LGDGTVQHAGFVDELKQDGTLDLITKHEEAQAKHEDEEAEDLAEDGDGDGEPLAKVPS KAKAPRQFIEEEHREKGSVKLNVYKVYIESSGGLFLWVFGISMFLISTALSIGRSYWL KIWTSPAATESDHYNEYSAWLEAQSALQTSVEPEKPHSMQFYLGIYVAISVISGIIST FRYYYTFILSIKASKVLFEKMTFAVLRTPLRWIDTVPVGRVLNRFTGDFNTIDNTLSR NVMSAASAGLNVAAICIATNIGTWYILFPAIMLVYIAVDLARQYLAAARPSKRLESTN KSPVFELYGSVLSGVSSIRGFGNGAAYIQRMYERLDKHAITSYYISVYNRWLNFRMML LGTVFTGVAATLVLLTDGMTAALAGFTLSFALDFINSMNWVVRSYGNVELDMNAAERV IEYMSLETEDLGGEHPPAAWPAEGKVEVEDLVVGYADDLPAVLKGISFKVNAKERVGV VGRTGAGKSSLTLALFRFLESRSGSIFIDGLDISKLNLTDLRSRLAIIPQDPVLFSGT VRSNLDPFNNYTDAELRDSLERVHLIGSSTPGTATPSSSANTATGPANANIFENLSSA ISEGGGNLSQGQRQLLCLARAIVARPRIMVLDEATSAVDMHTDALIQRSIREQFNDST LIVIAHRLSTIADFDKILVLSDGQVAEFGTPAELWEKEGGVFRRVSQSHIEDERILFV IFATEKKRRNRGVGDDD
SAPIO_CDS6117	MKSIPLIINWHDQNAPVYSAHFEPNGKGRLATAGGDNNVRLWKI EAEGDLLASAGDDGNVILWVLSETHQTAFGSDGLEDKETWRARHMCRSSGAEIYDLAW SPDGSHFIIGSMDNIARIYNTASASPYIDSDLVPGYLVRQIAEHSHYVQGVAWDPLNE FIATQSSDRSVHIYSLRTKDGQYTLNSYDDAKPPKLASHAKADLPPRRISSSSPAPPD FGVRAPLPADSVTSASINSPVPSAPGTPNSLPLPMNPPSVMSHSRRSSFSSRRSPSPA PSMPLPAVMPMEASPKPPSFLNTGLGVKNASLYANETLTSFFRRLTFTPDGSLLLTPS GQYQTQHQTDKDSKPTYEVINTVYIYTRGGINKAPIAHLPGHKKPSVAHITIDTSSAE EPIPELPEPLSGPSPGSQVMEPPPPPTCDATSAQVKPSSADSDAATAVTGGPKSAFIL PYRMIYAVATQDSVFLYDTQQQTPLCVVSNLHCATFTDLTWSSDGLTLLITSSDGFCS TLSFAPGELGEIYTGDVPSLKNPTLSGPVTSAPVQNMPVLTPTSAFAPPSPYHGHQHR NSTGSFTAPSPPTPALSFPAGRPSSPARSTSASSVTTQASTAVLSNPPLIVGSVPGIV ATNSGKVTGVPITTPPETPRNTSTVAGVKRDASEAERDEVKEPKKRRVAPTPVTQDPK PEAS
SAPIO_CDS6118	MFARSAARTLRPLRCQATRYYSTASAATPPPPAPKSGSNAALYI AGAAGLGGAAYYFLGGAAAGKKIDASAAGTPAKAALTGGEQGFIPLKVESVESVSHNT KKLRFKLPEDDMVSGVHIASAILTKFKPEGAEKPVIRPYTPINDEEARGYLDLLVKEY PNGPMSTHIANLKPGDVLDFKGPIPKYLWQPNKHNHIALLAGGTGITPMYQLLRAIFS NPDDKTKVTLVFGNVTEGDILLKKELADLENRYPQRFRAFYTLDKPPKGWAGNSGFIT KDLLKTVLPEPKEENVKVFVCGPPGLMKAISGPKNSPQDQGELSGILKELGYGKDQVY KF
SAPIO_CDS6119	MATEVTNGNGVASDVTKNGDGHGSSDFKLKFCTVCASNQNRSME AHLRLSLANYPVISFGTGSLVRLPGPTITQPNVYQFNKTSYDSMYKELEAKDPRLYKA NGLLNMLERNRGVKWGPERWQDWPIGFPRLQHSNDKGSEGTEGGIVDVVFTCEERCWD AVIDDLHWRGAPLNRPVHVFNVDIKDNHEEALVGGRGILDLANSLNKAAVEERERTGH TAFDNGSSSARASFDERVPEILAEWQERWPNLPAVWTLAWF
SAPIO_CDS6120	MSKYGDGGPFPNNRGQLISPPNSGGSNGGLNGFPPVARSVGGPS PPPSIARSSQGTNMYARSESGRSTKDDQTEAILGEHYLALRRFLNGRNGRTTGATAKA KDKLLRLTSVQFVELSTDVYDELMRRQDSRAPPSLPAENGFHPKRNQARQKLSSLGPD RFQSLVTDVFTELERRFPNFVEGDIPPRMSISRSGTPANGLPPRNASRRRPSDASSVR GPGGGYAYSIPPSPSLAPGEFGRPLQKQFQSNTIVPNKSTMVEEDDDAEDREPFAAIR RAPTGLNDPRPDTQASPVQSETDKRLIEDYQVQVQELREKLDSMEDDMKRKDDEMNRM LDDERSRSSAANMEKKEWDDLRVSLEKRLAEAQDLNDSLRDEIERLRSDHASETRELR NQLADMDDRIDQERRAAAAAAAAAATSAPANSGNADRELQRENQELQEALREQQAVTE EVRRSAQEALREMRELSQQSSSTYERQEDLERTVEQLEQEVRDWRNRYTRAKTQLRSM RASSLGLPAERDATKYLRERGLTADNGLVKDVHVTKFQVAIDDTLQQARTDMPEKVMD AMKAAIVGVRRITKDIDETGASSRNDDFAQQISKAKGKVSGAANAFITAAKNHANSAG ISPVSILDASASHLVAAIVELLQMVKMRPTPAGELEDEDDDGTVTPLDSSTGFFSPQS SRQESMTTQESLPPPPAPPFRGLGGSRLSADSSAYSPVNSPRESRDQTFSRPPMNMNG IGGMGRIDEMNGNGMNGNYYDTNKNLPPPPAGPYDNLPSQYGQSDDLKIYLEDQTALL VSSIQNLVGSIRSSASLEQITDQIEAIVGVAGKVIGETQSSGNAQLVVRLEASRDKLL NANKQGQTIAAKGKGPNDREWKMWTQNLPPIAFELAREMKELVQNVDQLAIPNGDDFS 
SAPIO_CDS6122	MPNGAENTPLITTVQVRTPQPRYRHSTLRRFCTISLTSILIYSF LSFLIMIIIDGPPHRHHRHGHRWFGDALTLSWSAPKVSHGELQEILLDTPEGKLAEEW SRYYTSGVHLAGKNYSQAEWTRVKWAEWGIKSEIVAYDVYLNYPEDHSLSLLKKKKSK NSTDSETWEVKFSAFLEEDVLDEDPTTGRKDRVPTFHGYSASGNVTAPFVYVNYGTYQ DYEDLIKANVTLEGKIAIAKYGFIFRGLKCKRAQELGMVGCVLYSDPGDDGEITEENG YKPYPEGPARNPSSVQRGSAQFLSIRPGDPTTPGYPSKPGVPRQPVDDSTPSIPSIPI SYADAIPILKALNGHGPKASDLNKWWRRNLGLGYKGVHYNIGPSPDNVVLNLYNQQEY TTTPIWNVIGVINGTIPDEAVIVGNHRDAWIIGGAADPNSGSAVINEVIRSFGEAVKA GWKPLRTIIFASWDGEEYGLVGSTEWVEEYLPWLTNANLAYINVDVAVSGPNLRVSAA PLLHDVFRNVIELVPSPNQTVPDQTVADLFSGKISTMGSGSDFTAFQDYAGVPSIDMG FVAGTPRDGQATYHYHSNYDSFHWMSEYTDPGFVYHATMAKVLGLLTAEIANTPVVEF KATKYADELGVYLDKVEDALNEDPDNAVFIMDEDADEEEILLALRAVDRGTSTSTKKE HDFRTFLKRLHRLRKSIGELREAAETVDDEAEWCRRRIEEGIPWWKFWEKIKLGILFV KTNGKYKRFERVFLYEPGLDGRDWYKHVVFAPGLWTGYSGAVFPGLLESISSKDYVNA VKWASIIDTCIQNGVKLLKA
SAPIO_CDS6123	MLDESLDPAVLAVLPPGSEVVDVHEHGTASWSSGYKVDVEVDGE EKEYFLKVLQRHNHVEMARGEYESQKALAEILPDNVAVPLAFGTFQLDSSKSFFITPF RELMDKIATPTALAELLKTLHTTSRSPTGKFGFHVQTFNGVVPVINDWCDSWEAWFTR QFKADILWEQSVCGRDPEFDAVADEFFAKVIPRLLRPLETGGRSIKPSLLHGDIWHGN CQIDADTQKVILFDSCCCYGHNELDLHMMREPRYKFSEKQRHLIEYKRIVGVSEPVED FDDRNALYAMRDNIINSGLHEHRRFLRQKVKEEMQRLLAKYPEGFEGFKRSRGSEYPS KPRPGKEKLLCAILWVTALLALVTSYYVATLGRPFEVIKFPSSHQGPKLDFAIPEDKA MEEGVDDIVIG
SAPIO_CDS6124	MANDERALPSRQRALSPDKDGVLSDSATLFSESSQGPSSDAGRF KQDEERKSIFSFRRSLTSVWSNRHPSATTLDETRGPLGLRLLASSPEPLIDLIFVHGL RGGSIRTWQKEPDPQYFWPKYWLPLEPEFSHANIHSFGIKCIFFLATPHRGSDYAAIL NRILRVTEMTGLSTAREYVKDLTAGSTSTQLINDEFGKFAHELAIYSFYETLETKIGV SSALIVDKTSAVLAVVKNENDSQEQLRVVKSLLKPAPSLEEHHERLAGSCTWIEERQD FLDWRDSDVDFHEGRDYPPSIYWVTANPGVGKTILASHVTSQLKELCVQNSVHFFRFG KKESHSLATCLRAIAYQMATTNAAVRGALAELYRNDCTFDQDDARAVWLKIFTSCIFQ VAMFAPYYWVLDAVDECVKYVDFFALLRGVKPRFPLRIFITSRNLPETQKIMRYLGDC EIVTVEIPITNTMNDIDLFVQNRMKDFPMDSGTEKDDLARQILEKSGGSFLWVRLVMD ELECVNGYESMLEIIQGIPEGMIGYYARATSDMAANKREKHIAQAILLWVALAARPLT VFELSHALELDINVRFPSAKSAIEGLCGNLVCVDKATDIAHIIHSTAREFLLTEDAGE FQIRRSKGHERIALVCLRLLVGPQMQPPRNRRLHRQKQPQRLPPPLLDYAMTQFSEHV YWASAESDELLTALNRFLTTTVLSWVEKVVGKKDLYRLIRTAKNLKAYLDRRGKYRSP LNQHVQRIDSWATDISRLATKFGRALVSSPSAIYFLIPPLCPAQSAIYQQFGRTHDGL LVSGNLTQQWDDCISTTTFENEAGAAVAAGNNLIAVGFESGNIQLFNHRSCQKVQAIK QDSWVDRLYFDPLGTYLVSCSRKFIFLWDLDGKMRWKARIRAQCLLLASSPGYLFAVT HQGYSFKWDIITGEMLEKQSYRYRPPPNSETNIHSSKAPFAASISPGLELLGLAYRNS PVCLFDFASGEFVGWAIDERSRAASQLIFNPDPDVGLLLIAYNESHLALYDSWSGALI HSREPDQNAVFNAVSCSPDGRTFATVDILGNLRLWDFESLTILYHVLTPGHTFRLLHF TSDGFNLVDIDDDEMRVWSPSALVRKTVEEEASTSDQAAVLPVMEGQFEMFRSSKIST VAAHSVVPFVLAGNQDGDVLLYSSNDGHLLESVYSHGAFITGIALTNGKTIASSDINN VVQVWELDLDEGRATKTRQLKFQVHLGVPVVQIMFDAAGEYLLISTATGDRTYSANDG SLVGDLTFTPERRMWRWAPLPSSFYPERFALLADGKLTTYSIENISSKTNSRELLLNY AAEPDSVVEGLQSLILAVEKEKRMALLTIKQRHGQFTSTLTCLVFQLSGIGEWDQDGA VSPSRTLPSSVCKQFLDLRRSNNDILFLHQNQWITSTDLTATNEQYTRHFIVPTDFVA GSLDIVPVQTADEGFTFCVRDKLTIVKNGMTFAEPQTAE
SAPIO_CDS6125	MFGPHWAEGRGLSKESPKEIRLDEDDADALHTIFCVIHHRNDVV PQDITPLEFLQIAIATDKYDLGIALKYAIAQWQQPQGSLDKTGAGYLMAAAYALGDSE MFVERTLALILDYEESYYEFLENEMINRVTCLKSGGIECEQKFAEY
SAPIO_CDS6126	MQGSSSGPNATLQSDEINRQYSEALEEHNIDVNRTQRCDQSKFK TGNLSTTSATSVYVSDAHWVAILDNISELKEQVQFERDIAPVELHSQGKDEKESPALL FGYQGSISKEALLAAMPERPVVDRLVSKYFNDLDTMPPNFGSPSTYPEPSAASPEASS SRPIYLQQVVQCLTFSDYSRGGPHVIETLVHYHMIEHLRRTDTEIDVWLLLGVMLRLA LRMGYHRDPSHFQTLTPFQDTRPPLNLFDHDFDETCSELPQPRNDNEITPMFYILARN KMAKAMGVVMDVINATEHNDSEIEGAEKLLQDTYDSLPPILKLATGFNTLADSPRTML HRFVLATTMHCAQIALHQRCMSTPEEIDVTRPNRSLDTLLEAALKILAYQHIMDTETQ PGGRLWSAGWKFWSTLTHEFLLATTVLSKALFSTLGPHPLVQAESTTANECS
SAPIO_CDS6127	MGVKRGDDSLAESQSGVVDWDGPQDPEHPFNWPQKQRAIHIGLV TFINFVLNLAATLFAPAAQNLAVDFSVTDSTVLSLTVSIYLLGFAVGPLVVAPLSEVY GRLWVYHIVGPIIGGFVADNLGWRWTLWIVCIVSGISTTLCFLFMKETYAPVLLAAKA RRLQKEPGNVSESTEFTKPTTLLLRAFVRPTKMLFLSPVVFGLSLFNAMVFGLIYLLF TTFPSVWRAQYGFSAGISGLCYLGLGVGMILAIGVFGALSDRLLEAKRKNGEAQPEHR LPLMIWTSPFLPAGLFWYGWAAEANIHWMVPIVGTSLIGFGGYFIVMPSQLYLVDAFG AQGAASALAALSVLRFIFGCFLPLAGPALYNDLGLGWGNSVLGFIAVAFIPVPIAFYK CGGFLRERFQVKL
SAPIO_CDS6128	MYSNFTVKNAWCWGLLTYSLALGMTASFAHAAADMPSADDPRVK EHVARKASWIEEENTLRQDYEFRQSLSPTAKQAVAIVDAIRQYEIDNYWRQAGSVGRE DDERFAGMMFPKARPFIVTTQLWKIIQRMPKGSLLHTHWNAMLPYDTVFAVLDKTEGM VISASQSLHLDLYRENATLRIAHVNGSSCNNNNQSASSPSIHSANYVPGTQIPLSRAA REWPGGEKAFYAYLKSKVTLSTDAALRHDLGVDDVWRRFSRFFITAGTMLLYEPVFRQ FVQHLLEGLADDGIRWVELRSDVSLADVVPLGESQPSPDPDFPWRVLSEEIESFKSSA KGKDFWGVRVIWADRRRNDPAAVVAGMESLLARKQAFPELFSGFDLVGQEDLGKPLSM WISELLWFREQTTKLNLTVPFFLHAGETVGTGNSTDLNIFDAMTLPDNRRVGHGFSMY KHPELIRHARDNDILVEVCPISNEVLRLATDVLHHPIPALIAQGIPTSINNDDPAIMG QDAPGLSFDFYQTIQAFDSLGLGGLGALAQNSVRWSNFEDQDPEAWRRDIRLGINGTG IKAKRLRQWNADWEAFCAWIVGEYIGEYPV
SAPIO_CDS6129	MRRQTRPDMDVHHLRGNLYESGSVHATDESAYATVASPSVLSTN SNGGSATSFHGQNITSTASHHLPDKDAYYPEFSEMPSPGRDDLDQFWGSELDEAGIEW FLNNQCFFGLARSDLMTDGVSCNTEAPNIYSVALEDEQPAKPQPVSLRRKPIFLQEAQ SKPFIVETGKFKSPDCGFRVCNALTREKRSHLLAELQQLVHDVDINEHIFSLDSMKQG IHLFFRHINIEYTFIHHEFLMPSSEESREARLAVCGSDSEPGPLLFWAIISAGWSLMR SRNNHEHIMAAKIQRALRISMMSHPGLGCSPPLWLVQALFVILLFARYQGDREEYGFA SIFHGVLLEATRRLDNSSPVAVDNGCESYAGPSVMQAWIKWINTESIQRIVRHVFILD VKHALLHGGETSMMPFDLNIRLYATEDAWYAFTPEEWTRELATNTQKPISFIDMLKML WNPRVTNTAVVPEPLPRGSNIALYGLVSIARELRRRKEISFLNRTGDASLASLGSTAI HSLQNWEPMWDKVAVPNGLTATYLWRDCSCMIHLAYTLYEVGPVDLQMVAGKTIIEGK RRGAAGYAKSRRKMGRWVKEDRAWLALSRAATVIQDRFYAGSPDVVHCHHCLWCLYLA TLTCWNFGLALTGHSSSEHLVKDGKVVSLEDAEAECSQYLQVAASLPPRFHKEQFNDI LGRTTGLLIVLIQFLRSQCQTGMIVESIELLARLVGVQD
SAPIO_CDS6130	MTSIASKLRRRLVVQGAQETSFLDNDDIRPLSLKDRSWTQTTYF TFWFSAAATVAGWYSGSAAQSTGLSIWEVVGCQAAGYLLIAIVFVINGRPGAVYHVGY PILNRAAFGVYGAWWPTFNRAVMAIVWNGVNGVQGGQCVYVMLHAIFPGIATIPNRMG QGSALTSGGMIGYVLFWLMICSVLWIRIPKMRILILYVISAVAMLAWTLTLAGGPGPV LSQGSRVHGSEKAWLIVRFVWLFAANCATFASNAADFQRYAKKPNDVILGNLVGFPVA DVSVAIVGNIVASTSTVIFGELEWNPIMLLDRIQTENYTSANRAGCFFLALMFAYSAL FSSVFENSIPAGNDIAALVPKYISIRRGMFICQVISFAINPWFLLGSASIFIRFLGSY QIFLSAITGILICNYYVVLRGQLNVPDLYTADKSGVYYFTKGWNIRAYIAYLVAVAVN FAGFLGNMGVTVPVGITRFYYFAYPVGLLLSFGVFLLCSLVSKPAHTVPFSEWSEPKN FVRPEEDTENGPVLNGMEPGSSTDVQMENEEKTPKVKEQLA
SAPIO_CDS6131	MSQAQTATVAEAFTTIKLTSGHGPVYRRVSTAKPRNCRPDEIPV IDLNGIYDDANARKKIATVVKRAVEGSGFFYIKNHGIDEKVINKAHQATVKFFAQSLE EKQKVGRDKSAHYNGFSGRGSTHISPSESRDRKESFMWQYDPRFDPEPKDLAAIPAEV KPYLRHEDFVWDGTAQVPGFQSAIITYWQSCLRLARNLAKVFALCQDLPEDYFDRLTT YPGADGVLNYYPGLSADDAAAVTGLPDHVGIGSHTDLQCFTLLWQDQVGGLQVLNSDD QWIKAPPIPGTLVVNIGDFLMRMSNDRFKSTVHRVYNPTTTERYSMPFFFGFNFNEMC SVLPTCVDDAHPAKYEPISCGEWVRRRFEMVQVNKTIDIPALP
SAPIO_CDS6132	MGNIGSGTRDQIFIDAFSVSPMGKSTREPTRFGRSRPIVRIAPN EYSIDDPAAAKVIYGSGRGFVKSPWYQASGSPYSTVTNIFTEPDPHLHATARRKIAAA YSMTSLVQLERFVDECTAVLRTRLEEFAESGASVDISHWMQCYAFDVIGKITMGSKKL SGLQNVRNFATTWVEAKVARFQSEKDWTSPNATTDIITRLLRGHDNDPGKISKAEILT AGIMNVGAGSDTTSIAFSAAIFNLLKHPSSLRQLQEEIREYEARGIISDPIKFSEAQK MPYLQAVIKEALRAVVGINSWVAHANQEVFGPDANAFRPERWLEEPEVVKKRESYFMA FGQGSRTCIGKNISLMELSKVIPQIVRHFNLTPDTDSNKPEWRIENVWFVKTRDFYCK VSKIS
SAPIO_CDS6133	MNRTSCDSCRDRKIKCTKPDTDEASPQGDVLHSAREESDVTLPE IVNLHQDLGCLMVDAQGEYRYVGADSGMSFNIAVRSWFFNDSHATEKVDILPSMIKVT MPTAERQSNPDNDLSPEMLALASRDISLACASRFFEEVHPMYWLFPSEDFYTRFEATY SRAHVPLASSWLCTLHSIIVSPGSYTPHGLLEPYVRLAKLAKKIRQVLYVGPIDDGRQ LTQSNFEDSIRSLNRWLDDIPPHLQLTLSVPPPHRRQVAILHLRYWNTVMLVTRPFLL CMLLRGSELANTPKKQYFDGFSRLCVSAAEASIDIFEDMVPQGLVSSLVLIDFFFALH VVQVILAASALYCPETHLAQAKRCVTILNAIGSFGCPKHLLPETLFELQQVGLLDDLD GRVYTDELPNGLLNTSFEGSSLKDTRSDGMDCSELNWRILGDLDVFNISLEENFIDEL MHISGPFPES
SAPIO_CDS6135	MYEEPPLEPNGRKYRSKKQRPCDLCRSRKIQCKLQGNWTACELC QRLRRQCTFVIGPLQRKKRPRSDDMNNLMTESSQAAGGMRLGSRYLPSGRDVDGVTNL DMDVDINADNTWLPQGDRQGSSNSQEIHGLRADWFSAGNLFGRTPGDNGQAFPETQYE PDSAFILDVVPQMSTIMVRGPSPRTALATSPSESTSSISGAPGQEDPYLAQTTAEGGP SRNGIPQNLGLPAADTLRERRSAQHALDWPPEFSLESRKGYSNQLVGLSGESDPFLLR HYRYDATDTYRMLRLDFRKVSDDTKAPGLASNSSRDNLDPSTAHNSIHFMMCDEIIWK DEVKATERLLAGSEGTEAADMALLNEIVTPDLGVRLLKLYSQFVHPRYPVLSLGELTH MAKSDGSSSLPVGIRSAVYALAAPFSFLDDELSVSKGYWEVPTENLWAIAHRSFHRAT CSSHISSLQLCLLLLQMPPQSFVVAEPPKFWALSCSAVAIAENLGINIDPLNWRIPRE QVMLRRRLWWLTYVVHTWHAIVYGRPSHITDTNWCVSKLTADDFEKGENEGPELQTSI LRQISICMAQCELGIIAADVLKEFYSSLRAHWESPTLDALLTRAQPLRTRIESWRQTL PLLATPVSELSEDGLDDGAALRLSHLTLEILIFRALLRPLYYDAALCRDDCREPISTI FENCYVCANVATEIVSSLKARHFASFWPPSRAWPLARLATMRLDAIFWKGVDSVVYGT GPISPAMRLLKDSGPGALCEE
SAPIO_CDS6136	MTVPAAATPATKSAFRDLTLRVNGSRLMESIHSTCEFGKAHPYG DHPTETGMGRLSLNDDDKAVRKWLIDEVRAIGCTVTVDQMGNIFAIRRGKSKTMAPIM MGSHLDTQPTGGRYDGILGVMAALEVLRTLHENNEEGARFPIITMASAVWSGAIPLET AWNCTEVTPPQNGSTPRTVRQELERIGFLGDISASHEAQTMAAHFELHIEQGPILEDE ERKVGVVTGAQAYRWYEVEVKGRDGHAGTTPLAARRDSLLAAAKMIVASNQVAKSFEG LITTGIINGLPGSVNTVAHTTKFSLDIRHPSNETLAEMVAALREKFDTIASKDSGRGV QVHWKALTDNAATAFHKDCIAVVEEAADEVCARLPGGSSDSGRKLWRHMVSGASHDSC QVSKVCPTAMIFSPTRNGLSHTPDEYCSPEDCVVGAQVLLGAVVRYDAARS
SAPIO_CDS6137	MPVIPARKSVAFLVQKGQEIKIINTYGKQVLDFWAFNPADPNDF LSMVHCRTILLKVSLSRGDKLYSTRRKPILTLTEDTTRGVHDMIWSACDAERYRMQGF EGYHDNCTDNMHKALKDNFPDFHIAHDWVPDPLNLFMNVAIDHHGGLDIRPPTSEAGQ YVIFRAEAPLVIVMSACPQDMAPVNAGMPTDCEYRVLGAGEQQEEQTLAVPAVFRPRT RRVKVALSVDFDAVSHWLGTGCHADNNMADYSSGIFAGQVGVYRLLSVFNKNGVADKV TWYIPGHTTETFPEAARAVLESGAEIGLHGYAHEGIAQMTEEQEREVLLKCIDVATKL VGKKPRGYRAPMYTIRETTIKLLREYGFLYDSSLMHHDSQPYFTPNDPPIEPIDWSQP ASSWLKPSPIASQRYPEDGVHPLVELPCGWYNEDMMPLQYLPHLANSMGYVSTRVVEQ MWKDKFMWLWENPNEGDESADFIFPILVHPDTSGLAHITGMVDRFIGWLKGFGESVEF CTGEQIAQAWLAVQQKARAAA
SAPIO_CDS6138	MAPDSETAEYDVVIVGGGPVGLLMAYQLRRFGVRACVVEQYEKE TQDAYGRAIALFPRTTEQLDQLDLIEPMLQLGFACRTSVTYKDGERVMPGRVWTFMEN IKDTTYDFVLVLRQMYTEAIFREKLESIGASYYQAIQCIDFQVDESAPLDSHAVTSVF TDKRTGRTFHLKSKYLIGADGGRSFVRGHAGIPFDGDTTEDQWIRIDGLVETDMPLNR SYGAIESQTHGNVLWAPLDYGATRIGYAYTPEIAAKYPGGVTEEVAVKEAIECMKPFK VKFKEVHWWTLYTIGQRIARGFSAKDRIFLCGDAAHTHSSGAAQGLNTGIHDAVNLGW KLALQIRGITQDSVLTTYSPERMTAVQKLIDYDRDIATLMSRKWPVWYQGDTTEDPYL MLGEIFEQAASFNTGLGISYPANVVNNQPSPMGLHVVPGARPPDVELTMPGTNQKIRF QRVTRNVGKFWVVVCTGNIESTRPMVLSLDQYIRAEVPELKDHEAVGWVTLTLSVECS PYEAIGMKPFGDTYYDPTNMAHGKFGIEADKGGILVLRPDGLLGSAGPLEGLWLKEYF SHVLKLSGKKGVNGHKA
SAPIO_CDS6139	MTAEHLKEKDTSKSPVAASIAMESTAIDPVIHKRAMLKFDTVVL GCFGIMYLLANLDRNNLGNTNIMGLPEDLNLKGNEFGNAVTLFFATYVAFEAPCSIAL KVVGPKNLLSFCMLGWGATCLGMAFIKNASQLYACRLLIGFFEAGLIPCINAYIGMVY LSSEMSLRSAIMYGFSALAGAVGGLLASAVSNVNAGGLPSWSWLFIIEGIITVALVPV LYFVFPKDPATAWFLNEEERNVMRLRFEMNPHLAIGEEFSWAKVLSAFKDPKMYLHAV LEFSLTLSLFSFMTFLPAIIRGLGYTSVHAQLLTVPVYVWATMAYIIIALLSDRIGWR GPFILMACLFLIIGYAMNLSTTSLGARYAAVFVLGAGVYTTAGLSIVWLNSNFAGHFK RATALGVVFSVGNSSGIVVGQIFTAQTAPRYLYGTRITVGFVGLGIALVIINMLALRW VNKQREKRLEASDQGSSEESHAEKEISDWDDTFKYNL
SAPIO_CDS6140	MRSTAFISAVTAALLGFSMASPAIMHPRFDENHGITVLVCDAPA NLATCKRDCDCPGDSLQPDCGHPVCHDNCRCREATPPPPYSPPPPPYKPPTPPPPPSP PKPKAPGA
SAPIO_CDS6142	MKFLCSILFLGLAAAAPLEAFAPLPNEVKIVGISAIGSGCPVGH AFATVDSTGTIFDVAFDQYVVETGPNTSASDARKNCRVSLNIEFPQGLQFSVVDTTFS GYASLASGQTGTCRAAYSFSGGGGSVAAQKTIRGPVDTNYEMNEKVDLITWSPCGDYT AILNVNSEVRITPTSSTSRGLMTVDSFDGRLHVQFAAYWRSC
SAPIO_CDS6143	MALSSSILGLVSAYWPYAVPFLLFLYFFSNWYQKGFHRVPGPWI NSISTLPRIWSVYSGQHHLDDLRMHAKYGKVVRVAPNLISIADTNEINQLYGIMTKFI KSPFYDLAATYDDEGFVPDPFVIRKDKALHSRMKRNAANAYSLNGLIQFEPWVDPILT NLVHKLDDDFGYINKGDELGFFNTMDLVNNYMSLLGNVAWLHPVLLGNPWVVRLMTRG DTSSAAMIKITAQELQRFRQSPPAEGDAMTFLSRLALNQRANPKSINDRELITHAFNN VAAGADTTAIAMRAAIYYLLKDKDAYARLCSEVRGRLELPVRFQTASQLPYLKAVIQE AMRLHPSVGQILGRTVPAGGAAISGYRIGAGAEVGMSPWVLHRNPEVFPDQDRFKPER WILGEGCQDEEHLKQMHRSFFAFGHGAHTCSGRHISVMEVTKLIPTLLLRYDLELASD ADYKFRNWWFTPQSGLRVKLTRRSNS
SAPIO_CDS6144	MTGAPPPEGFRAFPYQPLGKGEIRLLRLRQSQNAERHKEFVECA ITHHNLYEGLEFAALSYVWGNDEAVVPITLNGAVMKIRPNLASFLDEARRRQDLLGAN DLDVALDARRANASNPPPNLGPGSSEPLVGRPGSTTVDSHQPKNTPVRYIWIDALCID QNNIPERNAQVAMMRDIYAKSSRIIIWLGSAADDSDCAMDMLGSGERKDMPGAVPALT ALMQRPYWTRAWTQQEATTPEVPCEVWCGTKGVTYEEFRRMSDIVNTLHRRGIHGSRT IDVGKTLPHEVLGKIDSTKELRSTRDTRVAYNRSNTYTRIYRTEAAAQFGNLLVKYMH LEATDMRDRVYALIPIYEDLEKSHRRPVEVDYAVSAGEVFRRAMAWAINPERDQHLLM FCSARSRVGAQSWVVDFSKPPTMTPRFEDRRYLGKWGAGYWDLVRPEIHLVDEGLRLI VEGGEIASIADTYGPPLTSYDWIGQGGINVEQAAVWMEGVARFAFPEKKDVPYVSGTS TVAAMDGILSTGVGETAWPYFDLIAGMERTMGI
SAPIO_CDS6145	MIVFLLSLGYSAVAVGIARTICTLFELSATWTTPRLIQQFGNVM AGFYSITWQALWLTFGVVCFIINWEDLISGTRYGDLIKASGLVGGVILSRIGLWGVDL TTQIIVQDEVEEDSRGAFSTAETSAQNLFEMLSIIQRAVPDFRTAEFWLYKSKSPTST PPDAVESFEGLRSAKGKGSRLDDKSLRLAKIIDSPGNPLARLDIELVESTLQLAPPYL ALSYTWGPFSSSLAAYTENDIRFVWIDGQPHAIFPNLFDALVQLRRTYSGRYFWIDSL CINQNDLDERQAQVQVMDQIYRTAEEVIIWLGPSNDQSEEVVELVHKISRLDSSVVQR FGYQLHPTSLSAHNLPHPHSNIWKRYLELYDLRWFYRSWVIQEVVLAKSATAHWGLWR IPWLELLGGCQIFVADRLRKFLFCDITSSYFDHLPMGRNLYRLGLIYRTCTHGFDEAT VISDLSTGLKGLKASEHILLHLLRMAARDFDCSDPRDRVYSLLGLVSHVCKERGLATL SVLPNYDPSCTAASVLTSVAEAVISGSQCLGIMSHVSDLMYRQTPGLPSWVPDFIRAP NYSMGKDAVFDASRFASDGQRGFQINGNVLSVRATCIGHVRWIAPSRIDNQVENLFSM LRVVFNTHLPRGVDRLEVLWRTMIWDIYGHAQVIDEHPAPDYLEDAFFASLLPVVARS YQTPEFYAQASEVVDDLRRVLSKEQKDQPLSLTARLIEMLSFYSAGSVAEDDDGDFSP NQRRADPAIYSSHSSGVTWKAAQSYNFKDRRTQPSIDVKDATFCNITVTYTHPGLGDN VIVEAWLPADNWNGMFQAVGGGGWSTGRNAGAYSAMAGAIADGYATVTTDAGLGTAGD PSPWVLLSPGNVNMYYLQNFATASLNDEAILGKSFIRSFYGRDPSYSYWNGCSTGGRQ GLTLAQRYPDAYDGIAAGAPVIYWTRVGMTGMWPQLYMNMEKAYPFGCELKAITSAAV LACDGLDGVVDGLISDPDACLASFDPFQLIGTTISNCSQTGGEVQISEGAAKLANATW HGARSSDGHQLWYGLNVGSTFDVIAGTNCTGGVCVADPGRYGWDLFKWFVAKDPDFDP STVTHEIYDAMGHSAFQQYQSAMDFDDPDLTEFRKAGGKLLTYHGLADNLIPAKGTEH YYKLVSHLFPDIDSFYRHFPIPGLAHCSGGAGGQPLGLFGQLRAWVENGTAPEYTTMD FVAPDGTNQTLGLNRLKDPFAALRGG
SAPIO_CDS6147	MSARAHCPLSLPTFPKQLRMCRSRGTGLFSLLRLLAVFNVLGSS ATSKIDRRQREGFEDTAFFYRNIQHARERVVTSTDINTWKSALASPNMTDTDRFQGFD ITKPYSERSPIDGFTTLLRITADYPLPFGSTEESDDTFTTVTSVSITVPDEIAADNGT GLPENVDPSWNICNGYFYLTAKLNESNTNCGGVLSNDCIRDIESSLSEAFQTNDSCQF PDNLPSTCRFGELVQFFDSSLPEQTLDRAFGNGAPFIGFSVGSDFNKRGDTDAYASSG LRTVLIATVWGYNANDSSMASQLPDPPPISLSCD
SAPIO_CDS6148	MANQHMSTIEQTISGSFGGTLNLPKIFDIGVEAGYSYTWSTSDE TSTSSTVICPEGGYTCGMMVKPIVVKITGEVSDARGCLVTDGSVYYPFEIIAPFKEGD NDPPDKLRAAMEFSACVLDCATAESCTKSFEIGIPQCPSERAPGQFRNHFGGTPGYIS VDEANANVDKIRNGGG
SAPIO_CDS6149	MSQEIDLSVAIRASNADAVPGLIEDINALHHGMKDGDEETRHQL VVKTRSLLQSLLTPREQMLQHTWADPGLNAALITGVDVGLWKLMVKNGVDTPQKVNDL AGALGMDALLLGRLMRHVCAMGHLEEVGQDEYKLTNFAKSLSLDVIGDGYVALLGGIG RSPIEFYKFLRDTKWQNPTDAARTAMHASYNTDLPNCFEYLRSIGLGPHTNHHMGGYR QGRLPWMHPSLYPVEKTLFAGADTSPDAPLVVDVAGGLGHDIDEFKKYYPNHPGKLIL QDLPVVIQDVKGISPEIQLMSHDFLTEQPIKGARAYFMHSILHDWPDDVCKKILSRLA DAMKPGYSKLLIFECVIPPTGAYWEATAGDILMMTQLSALERTEDNWRQLIEGSGLNL KIVKFWKCGQSDVENLIECELAE
SAPIO_CDS6150	MTDDKGPMIIAVCWVFTVYWGNGKHFATLDLEQQQNTVKWMMAA YVPGIETLGFPKLAVIALLVRLLAPRRLHIWILWTMGGICCLSLTAMVMALLLQCTPP RALWTFSMPRKCLHVNVLKGLAFWASIYPAVVLWQLQMPKQKKLALSCALGMGVVSGC VGIVKATGVPTLASPDVSYDLCDPLYWTSIEGNLIIIAACIPILQPLVEKIKGGSLWS SKKGTSKNRQYADFSKRSARQPDPIELHDKPKKKVDVYGFTIHAKDDSEENIVDLDKQ SANRSSSRQSVAYHPTDRIIKTDTVTVTFDQGDEGPTSAATRWAAV
SAPIO_CDS6151	MTWGPARQPGIFWDPRERPPPHHPHAEFLSSDPWRQQEWSPQRP RIEPESPWYQVDETIGVSLENAPAPSDGFLPPVSVSVNASITHDTAKVTVTQEFMNST HSLIPKARYTFPLPPGSSVVDFSCRIGRDRLLRGKVKPKAAARETFQEAVRQNQAAGL LDQNSPELFTTTLGNLPADIPIKATLSFIVLLKHSLKRDSSGTHMTFVLPTYIAPRYG TAPSVIDHLLNRSASLATLSFSVDILAASGILALTCPSHTSSDIQIGVARHRRWNDFV ANRVTPDFRYATVRLPDDTTCLDRDFTLDICITSAEVPERPFACLEKHPEFPGQSALM LTIPPKYLLGPSGEYPGGEIVFVADRSGSMRDKIDALKKAMEFFLAALPPGSSFNICS FGTYFTRLWSHSQPYNAANCQAAEAHVMTQFRADMGETKILGALKQVVASRGGFGNTD VIVLTDGQIWDLQETIEFVKQTRKDTAGQVRFFSLGIGNAVSHELVEGIAKAGGGYAE VIQTASRGGWEGRMLAILEAAKEPHAFPRWIGINWVDENDEAHMLDDTSNETFQSPLD ISMLSPFMRNRVLVLLNHHRESAVPRAVWINCSRPGMDEESVEVPVTVAETPDTIIHK FAARALLGDLERGESYIHTRPNAPGRDSAEERELVRREGEKLGCKWSLVSKWTSFVAV EEAVDEDPIDEDSLMDGDPVGGRGAIGEGRRLNLLRPRGDRNVTRRIEGHAEQIESDD ETRDASGDEPDTGSDRNGRHRGDDSNDSDDDQGGGPCHGNAGKRDAGPESRGDHDGSY REKRSHSRSEPEVGSQQGSSQSMGGRNLGGAVAHGASIIGPATTTNFGNPVRHRALGV DDIFFDTKISFLSSAKLRSKPKPSTFEQFSNDQKSFSDKRIPINSLSPVHDPFDTFGM DDVDETGKKTSTDKEFSKRKKLKRFSYDANDARGTGRTETLRESLKRSAVNTELSTAS AITAPRNNLPAASAIHFADPVINTDLIERGKRELIRLLLPLQDLLSGKFYKETPWRRE VTMESVLGKACESFALASMLEYRKIIPGFPSKNPGTQDAISRTVNQLLLNGDTDPGVK ATVPHCNANLWAESIPAAPAHVLNPTTPLRKGTTSQPLPHDGMAAELASAEEDLVAAA AQHFSSNCGASVLGFQFPPDSSLLWVKYSLDGDNMRAQARTQAFAFHQLAREGIGRDK RVRVPEIYQVFESEIAEAGHPHRYVFIVMEYIPGISVRDALYEHGEHHSRLYKVADAL AALVDLPPPPDARPGPVGGGLIRNFTFAKEYEEHESDAPREFLDLKDLEDYVNKANVV VNSGLVADFMSEGLSLCYCDPNLQNFLILPGSAYADDIYVIDFEHTSWLPRCFLSFEL QKQALFDLDERLDLKAERLNDCLVEESSIEILVREKRHRELTRRRRDRQNSLAGVEIA PELTKVKVDIQASRIGSIDAGGRRPTHKEVKSLVFGGKDFGGLLFEQMDITKPL
SAPIO_CDS6153	MSAKITTQFGEAVPPAPRHSVTVHMGSGWDTVEKYGADSASVVP HFKNAYPRMKPHRDIAHLAEAVLERVRIADAGCFLFSSLQSAKECVEYSTSNRRDNGA DKRPVSPEQIAIRAFFAKDLFYAVIFPLNQRSVVAGFWSTPGAGVSSRFAEANLGRLH QLKEVPVTEEVASRPSFDGSFHRVLRERIVSYLQRAPLDPLQQPRPSVEDVYFYPTGM ASIYKPHTYMLKCYPGTSVLFGMAFMNTVTAFEEFGPGYKFFGLGSEEDLNDLEAFLQ EERNQGRKVQAIWAEFPANPLLVTPNITKLRELADKHDVVLGIDDTIGSWANIDITAM ADILVTSMTKSFNGYADVIAGSAILNPASPKYRELKTLFDRYYVPELYIDDAEAIERN SRDYLSRTAKLNTNASTLVQYLRSCAEDPESAVHSVHYPSVNPSGIYYKRFMRPATAE FTSGYGCLFSVELDDMAATQAFYDNLNVHKGVHLGAPFTLAFAYTMCTYKKRLSWAAK YGLRPTQIRIAAGLEDTETLLEDFKIAVEAANKCKRAE
SAPIO_CDS6154	MPTFFQIVKGLSAAKSGVMVLPTGAGLILSVPLAGFLTSYFGYY NPFMILNSILSPLAAGLLTTMNPQTNLWRLLVYQALLGFGVGIGFQGPQVAVQTVLND GDSQIGIAIIQLAQALGPAIFVAAAQTIFTSRLVSRLKGFSGCQGLAGLSDQGLTMPE GKDGDKCEAVLSYSKALAETFYLPVALASTKERNSVHE
SAPIO_CDS6157	MAGQKEARLFRRRSPQTGHSNGTQNCSVNTSSLTFVMPGNPDFP SDHIDFQTHDDDLTQEEKLAKTLTFIGASAVSLLCYESEDDVASVLRNDDRFRLVRAQ DIVSGIWPVVNVAATMQPGGENRAVALLAWSNRLQTLFLGFRGTQSMADVLSNLNVLQ AATPNLGSRFHAGFLARASQYTSLIEQLVKEYRLVVCGHSLGGAMATIATYTVLANDG NFRSIGNVWNANEQRRLSAVTFGSPSAMVVEDSGTTAALRKEWALHFHHVINPDDYVP FALNHSSQLAKAFLPSAVGIVLGVFPQLEPFKKPLTLLLEYWQKNRAQFAHFGCIYLL ENNNPGINCRQITMERHIPSTPELSNVGRFHLMEHYDDCVRRTLRQRHRFHEIDPKTS ISVDQFRWRCLPLPDAVHECNGAIHDNKVIISITVKSRLVQFFLKRVTFKAKGSEVQV SNIHFALKPDNHDQIIVKLQYDIDPNEEPAVALALVYSIQRELRIHDVFGGSTMLSVS EIRSTSLRYASLPTTFESLRLAMIIAFADQIAKVQTLKLNDTESDEANRENAGILTER AASIANLVDSTVSAAVPHLVVSQLQHALGLLKELWPKYSWHEEKTSPLKTRDMTVSGE EAVPGSYPVEHLKDRIPPDCPEELRSLLSEMMETLQKNGLRASCLSIRNWERQGQLKL ANVIPDLTSFAEALRKFSRNLYDRPVDPKERIEHAIKQASEVLTAIRFCHLVILTQLD APHDWYYEAKEDPVRFGVLAAGGGPLLAAGSASRYLAAAVPAMEGAAGLGLVALTSVL GFAASAVAFFLGAGVYYNLLPRKQLLHLNFESKLQMSLEVLGIQCSPLNSIGEAPLAD HLKDDMQNAESPQTLKDWRQKLRKGIKKHPAVKSNWLVEPTVYWSKWLFDVARVGQLR DLMASQIRVGVEGPTEAGKSQLLTTLTGAPEEVFRPGSGANCRTMEIQLYNPLELSAV FMDCPGADDKDERIREMASLFREMFGIIIFVVPSDIKSRSKATDEALQEIANLLRDHK DLRPVRILLSKADQLSFNRKKDEVFPNALLQSKKTVIEELKRLGELGDDFVIHSRRAC GDTFLLATETLEDIVQPYSTYAQMSLDGRAALSDCPAGEERMIEGLCQFQSLYRMAEE GKMWDVESLRQWLRTLSPNSVPNSRGRVYHTK
SAPIO_CDS6159	MTSFTKIPDEERPVISVDATHTIARIEANTYGGFTEHMGRCIYG GIYDPGNPLSDENGFRTDVIKAMKDLNVPVVRYPGGNFVATYHWLDGVGPREKRPKRH DLAWAGVESNQFGTDEFLKWCEIVGTEPYFALNFGTGTLDEALAWVEYCNSDADTFYA NLRRQNGREKPYKVKYWALGNEMWGPWQVGQMTKEAYADKAYQWAKALKLLDPSIVLI LCGETGYSSWDSYVIKECIRYDLHGLGGSTTASLIDMHSIHIYTASDDHLKNVTAPRS AERAIQIAASLIDLARIENKVPHTVPRQKICFDEWNVWDPQRAPGEKGAEEAYTLSDA LAVAVWLNVFVRQAEHIGMANIAQSVNVISPLMTTKEGIVKQPPWWPLVLFSKYMRGQ SLAVNVRCGEYEGQTSPGWIRGTVETPWLDVSAALQDNGVVSLAVVNITENRAIETQL KGIPAGAKVEVHKVTGKSVLERDAGISKEDITWPSSHCFEFPAASLTLLRYKP
SAPIO_CDS6160	MGLPPKWYQFLVGLFASLGSVTFGYDLGVIAQVIASPSFLERFG DNPDEIGAIVSIFTGGAFFGAMFAGPVGDYLGRKMTILIGSLVFLLGGGLQTGAQNIS YMYSGRCLAGVGVGFLTMIIPLYQAELCHPSIRGRVTALQQFMLGVGALVAAWISYGS YIGFAKTDDKQWRVSLGIQNVPAGILGTLILFFPESPRWLIRHGRVEQGLKTLAKLHA RGDASDPWVRAEFDQIQESITLEHEASAKSYAELFTDRSSFRRLVLACGLQASIQMTG VSAIQYYSVAIYKKVGIAEDETLRYQAISSVLALVAQFLCILFIDRTGRRWPLIFGNL GNMVTFIIATILLARFPPGSDKSNGGAAWGFIVITWLYNFCFSATCGPLSWIIPAEIF DLKTRSKGVSIATMTSFAFNTMIGQTTSVALDDKTGIGWRWYILFIVCNFSNAIFFWA VLPETAKRPLEEMKYLFTEAPWFVPSMDSSKFAVTDLDRRVEEQERKREGMVNVEHKV 
SAPIO_CDS6161	MTTSSSRRDSSPTLSKHRSRRSSKPKPKLPKQQLFILAVARFAE PLALLSVFPYLPEMIKGFGVPDNDVAYWAGITSAVFSFAQSLTAVPWGRASDNIGRKP TIIIGLFSTMICFLIWGIVDSLPMAIFVRAIQGGGNGNVGILRTMVAEMVPERELQPV AFSIMPLVWSIGSVFGPAFGGFFANPAKRFPALFGGHSFWEKYPFALPNFLAAIVFLF SMTIATLFLKETLEHRRHHEDWGLKLGKRLSRAIKGRRQRRASFVDGEATAPLVPAHH HKHGPAHVGKFRPGMKEVFTTQSSIGLLCYTFLALHSVAYDQVLPVFLNYPPVEYTPE NTRLPFFFTGGFGIESNTIGTIFTVYGVACGFIQFVIFPPLCKKFGALRCFRACCLLF PVVYIFTPFTVLISNPHLRYTALLTIMCFKAFAVIIGFPCMTILLTNSCSSLSILGTL NGFATTFSAIGRAIGPAFAGAAFSWGVARGYVSASWWLLTVIALVGAIPAWYLVEGDG PSRSSECSDDEEEDEDSSATATEVLGSDEEESAYVADYDAGDVGENDDVLPLLKHEER GGSPYRSTH
SAPIO_CDS6162	MSTLYGEGKVRDFKNAINDLSNYETRDGLDITELMDTKKHGGLT YNDFLLLPGYIGFPASEVVLDSPVTKKISIKTPFVSSPMDTVTEHEMAIHMALQGGLG VIHHNCSAEAQADMVRKVKRYENGFILDPVVIKRTTTVGEAFDLKLKWGFGGFPVTET GSVGSKLLGIVTNRDIQFETDLDQPVENVMVTDLITAQAGITLAEANQILKDSKKGKL PIVDKDFNLVSMISRSDLNKNQDFPLSSKAPDSKQLIAAAAIGTRPEDKVRLQKLVDA GLDIVVLDSSQGNSMYQIEMIKWIKEKFPKLEVIGGNVVTREQAASLIQAGVDGLRIG MGSGSACITQEVMAVGRPQAAAVYSVSSFAAQYGVPCIADGGVQNVGHIVKGLALGAA TVMMGGLLAGTTESPGTSFVSREGKLVKAYRGMGSIDAMQDKKAGNGGKDSQRSNAGT ARYFSEGDSVLVAQGVSGSVAHRGPIGKFVPYLAAGLKHSMQDCGIQSLKELHEGVAA GLVRFELRTSSAQAEGNVNMESYEKKLFA
SAPIO_CDS6163	MEASGGPLGLLSETLVGVNSIAHGLWYWYHCARCSMPWISLALL SAAALLVSFHLATYFSRAKKHPYHLVIPITFILTTSYIIYISVGKRHCHSGLFCGAVD QATFITPSGVKVPLNIFNEGREEEWASTALNPKAVDPQTACHGYTISNVKESKYGLTA DLDLQGCGCNVYGHDVWELSLVVEHQAKDRLRVEILPRYVSAENETWYILPEELIPKP KVDDGYNGKDRDFEFILAEGNEFAFSVKRKSTGETLFSTVDSHLVFEDQFFELKTRTI FAADAGDPIDENIYGSHPVYLDTRYYKEDLRSKELVYVTNATDPKEKYVSYTHGVFLR NSHPLEVLLRDEEVVWRGLGGTLDFYFYSGPTAKDVIRSYQTSTIGLPAMQQYWALGF HQCRWGYTGWKELQYVVDEMAKARIPLETIWADIDYMNLFRDFENDQESWSYEEGADF LDRIHANGQHFVPIVDSAIYSPDPSDPKGVYPTYDRGIEADAFMLNPDGSLYIGQVWP GYTVFPDWVGAALNGTGTFEWWTGELTRYHEHIKFDGIWIDMSEVASFCEGSCGSNRT IGIDSPPDFLAGTVSHQMGAEEQLTLRVHPRHRSVNRPPYKINNVHGPISIKTVAPEA THHGGILEYDVHNLFGHQILNATYHALLSIQPEKRPFIIGRSTFAGSGKYAGHWGGDN YSLWAYLYFSISQALSFSIFGFPMFGIDACGFGLDADAEQCARWMELAAFFPFYRNHN AVGRPSQEPYVWEVVAEASRRAMKIRYSLLPYFYTLLQRAHERGDTVLRAMAWEFPDE PWLADADRQFLVGPAILVTPVLVKGATSVDGVFPGTGSGTVWYDWYTHEKVQTSRGEN ITLAAPVEHIPLHIRGGHVVAIQEPGLTTKESRQGAWELLVALNEDGTARGEVYIDDG ESLVQDKTLVVDFSAKKSTLTVMPKGVYKDANALKQATILGVKSVKSVSFNGKTLTKG WEFNKTIGVLKVDLHPYTSDGAWSAEWTLSWGQILGPEPLQLLVT
SAPIO_CDS6164	MLASLLSLSLLPLLTSALPNPNPNLDIPLRARQAPATAIWVSVD ASGTPVTTVTPHETTIEGTPTLADAAPNEVTGTVFTLTENVQITTSTGSRVPAPTGTD GKNPSGAFARCFKGDDEEFAPFCDPAKDSQLYVDRLYYVTWNPDFFNDTLKTSTNTTA KWRISIVANRISSTNDSDTIKAFETTDTLPAAWGVYPWKVTSSVLESKQPTNLTLSIV ASREGQAETVEHKGPTVYVTYAPAFKTQGPRLPKGAELYIALPSVAAFILIMLFGTCW WNKKVRHVGIGNIMSRSRHGYGVGKARAKRLAGNVRKSLRRKEERGIMLEQWDDISRE DLSHGGERYKDVPAPRRDSDVLGSLAGTPVNDRFPSSPAPRDGEGRNVFREEMQRQDR LARDRPNY
SAPIO_CDS6165	MSPPYSNNFKARRNPFDNDRGSALNHVLRSVKRLVSRAVSSAYT FSRGNGAKMAAGFVTRTVWHVRRNLTRRRLLSFPHLIVLLWLLVLLRGERWLFHWKVE QCKWHKWEDWPKDANPHHLIFVADPQLIDPKSYPSRPWPISDLTPLVVDNYIRRSYNE LQGQLRPDSLFFLGDLFDGGREWKTANGDFNEASWARPYPANEKKYAKMWKKKYGHDY WLEEYKRFSNIFFKPYHEEGGQHGAGKKGRKLVASLPGNHDLGFGSMIKVPVRDRFSA FFGEPNRVDVVGNHTIVSVDTVSLSAGTSEQARTENLKHIYGPADEFLKEVQSLKRKA VLDQLRFLRGDNVESQLNNNVLDASKLTDADFADPKEDKNANVADFPTILLTHVPLYR DPGTPCGPMRERWPPSKPVPTDAAGRVVDHRNAISVSAGYQYQNVLSEEDSVKIVSSV GNVKRVFSGDDHDYCELTHSGAKNNAVEITVKSISMAMGIPTPGFVMVSMWNPIDESG KSLRGGEPTMQTHLCLLPNQFRTFVGYGFFVIVSLSLISIRAFLVSFLGLPAFAHSLN PSSGPSPSSSSSSYLPVYKEKRHDEDPPTYSSSSNSSYPNRLSSLSASRTRNPVSSAD LARDPTTTTSSSSTSSTSSSTPSAWKSKRKSSKFASSSSSRWGWGGGGHKQLRIQINN DFYDSGKSRSLWRAASGRRRSVELKVVVREFVAMAWRVVWMAGLIWAYLNWRG
SAPIO_CDS6166	MAQTIGGFQDVSDAEDHDVEMLSDEEPKPIKDLPPFKYILIRQD VDLEINFLERSLKGKTEIMIHALDSSTLQEIAIDARQCKIDTENITVQKMRNGQPLGQ PSPAPATYVDPYNLLEYPQLYRWNARHAGIRKIRVRPLTRTRATDLPAEDRRFAGCTP VDGSLRVKIKNEEPSSSHRIIVRKPSATGPNAFLDGRTDDEMSYVISIPFETRDIRDG LQFVGLDPGDMRYPHAYTRHSYEPGTACCLFPCLDDLGSIGDWKISIKCPRTLGDLLG QALVTKRQANGNNSNHHDNSTQVIGGSEPVLSEEDKLLEVTVVCSGLLTDEIIDPNDD RKKVMTFESEKATSVHKVGFAVGPFEHVDLFSDFRTEEDDEKLGASALKIHGYCLPRK ADWVRNTCVALATAADYLSLTFSGYPYDSYKLCFVEDMVEDTLPLYSFSFISTRLLYP YKIMDTEVDVTRKLVHSLATQWSGICVIPNTRRDRWVTTGIAYYMTDLFMKKLCGNNE YRFRIKLAADKLVEMDVNRPSLYELGRYLHVGDFEREFMDLKAPLVLFILDKRIAKAT SGSHNLTQILSRLFTKAKIEGDNVITTKSFRKLCEKTSKYSLDTFWDQWVYGSGCPRI QVTHRFNKKRLCVEMTIGQVQGPEDIERKEMKPSDFLQAIKEKQYGVVGGPIQPLFTG PITIRIHEADGTPYEHIVEIREDTAQKRTVKFDIPYNTKYKRLKRRREKKQASAGAAA EAAAAAGTDEGFLYCLGDTINTPADMERFAAEEYNEATEKQMDAESYEWLRVDTDFEW LCSMKVGMAHYMFVSQLQQDRDIVAQEDTLLYIQRETPHPVASTVLARTLMDIKYFHG IRTMAAHLLKSQCNEAMGMRGMIQLEMAFRDMFCLPGTNTPRPNDFSDKRQYLVQCAI PEALSQVRDKNGKCPLRIKRFILDLLRLNNNSENEFNDDIYVAKLITSVANTLIPNKV QNTMALSFEDEEEAEEQEREEQKIKEECLEEINRYLRRDEWSHSYQNVWTIAGLDAKQ RLMKAGVIPVDGLDFVKYLQDATYDDVRIKCWAALVDLGFMIEPVIFKLFMCCLSTDR SPYVRDRLMKIFCEGLASIAFGEYAKPKPQPAATAATAAPNGTTGPTIKDEDLDMADG EDDGLVVVNIEATERALEEARKLAQRKKELPLALEALKKEMETTYGDVERELQRAVWK AIDSPVLGRAERITLLELCATMFDEADRWIITLNYPKRWKVTRNIYRHKPSSLLVNFK SYYRTKPQHPEILPVPPPPVQAPPPPAAAPPEPKRPMIVARASSIKVSRPSISAPSTG GGAAAAAAAAPPKIPSTSSERPSISVPVPSHADSIVASPVAITTPRPQIERKNTLSLS TSSSHKPAKRPRDKLQDAAGGPTGTPAPKRPKVEKPHRPSVGVTSGTPSKRSRIVTLK HPSLRGILRKVMGPSSIRIGSSGGNSSRGSSAGGAVPSPPAMGSIQAKPARKPLPSGP AGVSSSSSSHGHGHGHSHDRDRDRGHGHATTNGGARVPLPGGRDKPASAPAPAPARPK IMLKLKTKKDPTT
SAPIO_CDS6167	MANATRSGRPLKNLPPSPHRGSNANNEPHLMATSAARANTGAAG ALPQGKANNLSRGAAGAGGRAKRGLDAIEEEIDGKANVKRSRISVDIPAARSVDTSHS RTANSAGAGSARATQRGTKRSHHQTQQAQQEAQRAAPTTTTTNDNNNSANCHARAPDD EDDETATKHANKKQKVHDSQHQHQHQQSHNHGAKPTKHQEKVRNGIQHELDKLQPAPA DTKPEGRKLRSQETIRFKSELSTYFPEYDEIIGNDPKEHHLLNLETPIIIIETSLATE HGNANNLLAQQKAFLDSPSNEPLFSVRHYGDASFNNLHDSQLIDFGFLEARDKNKALE DPLPDTYFEPAHKKAERLEKSIRNTERGRAQHERDRVIRLLDGLQGHDWLRIMGVSGI TESRKKSFEPARDHFVKGCQSILDKFRRWTLFEKRRKQERERIAQEHAQNERAPAASS SKSQKDSTDTATATHIEDGATVGDDASVVSDPTSDVDASIAKQLQEEVLARARVASET TAPTTTAPPTTNGNGAKATAKRKGDIAKITKKTTKRSHTTTSAAKPASITKSASTKKP VAKAKPTKATSTKTELVKGFTSFFEKKHERDAALSRGRRPSRKVLAWGRPIPEMPERD FELPEELKDPEIIAALARKRRRDRRAGKA
SAPIO_CDS6168	MGESSTPDQLDLCIAVDFGATHTGVAWMSSKLEDEDIHIFRDWP EADPREPKVPTLLAKEQTGKGTDWGFPCKHAAIEKWRGFKIFLDPNELTMGHRSGSYH APKDSSEVDRIVTEYLRHVYRHISVRIPDDATRASLPGEGWKDWKVCFIFSVPTTWHP EQTNHFRQLVRNAGFDQVDQHKVVLGLTEAEAAAVAAGDLDLPIQKGDVLLTVDAGGG TTDFAFAKVAAAKPLTFESLEAEKGVARGSLTIDTEFERLLGRNLPGNAAQKVTESDG FRTVKHNFGQRDHRAEEYSLAVSKDMGDSSFGMFTLKGGHLFFKHEVMQDIFDDILMR IAPKRTDALEMFGGSYPDNVKYVVLSGGLGGSAYVLRNFEEFFAKEGEKRECEEGDPF NGKEYVTDQIQWLIKKGTRLTVGDEFSHTIEKRLGSDDVDQEGWSEKIV
SAPIO_CDS6169	MRKNTKNGSDIHVVHDPGQGSTIDIVAIHGLTGHWKETWTPKGT GASYFWLAEAIPETCPEARVISCEIRSLDQHAIDNLIFNLIDDRETHGRTKVPLVIIA KSFGVNFVNQEFEALGGLELPIACFYETEPISQVDESKDGSDASLKNVMRSLRGLVSK VLDQGLKILSIDGGGVRGLFSALVLRAIMEAVRDIDTPEFPDIPKPCDYFDLMCGTSS GGLLAIMLGRLRMDVVACIREYRALAGEIFKNSPNDPQKFSKSIIRKPWFPGETLESI TKGLVTDYLPTVEKEHLKRQGIKVEDAPLQPHTQTGCPTFVCARPVAEDRGDNKDPRP DRLRTYSSPLSEPSSGCKIWEAARATSAAPFYFPPMKINGIDYVDGGMGSNNPIREAV NEAQQFGSISCIISIGAGRGDKTSPRGGLVSLLRSNLSDVTDTEGEHCWFVEGNDKLR VEYSRLQEETDLGKIDLADWKKLEVIDSLAQKYLQSDEGREQIFEVRKATFEKTWRGE DLGSTLGRGIVNSTASLNSANV
SAPIO_CDS6171	MSATTAAPVGGSKLDAEPKKLSGLNLYSRYAFSGAVCCSVTHGS LTPVDVVKTRIQLDPKTYNRGIFGTFRQIIRAEGAGALLTGVGPTFAGYFLQGAFKFG GYEFFKQQSINVIGYENARQNRIAVYCVSSACAEFFADIALCPLEATRIRLVSEPGFA SGLVSGFGRILRQEGVGAFYSGFGPILFKQVPYTMAKFAVYEKVAELAYTKFFDKSKT SDAMQTAVNLGSGLIAGFAAAIISQPADTMLSKINKTKGEPGESNTSRLIKIGKELGV RGSFSGLGARLVMVGALTAGQFAIYGDLKKALGAVGGVEIAK
SAPIO_CDS6172	MMAAQEQPPVKRRRSRSSSVQRMLDLEKKIRVERLKAAPRDRKP APGLLTDSPSRTNRQSTSLNSTPQHSSVNLPTQTWASNSRITIEDPGTVRAGSQSDLF QNGSYSARARESLGTAAATRMGMTLANAAQSSEVDVDVASPSTQSPREKRKQDKKAKR AAKTPRLQKPPPSASRTPQLFARHSVPVPSTAPGYDVQSHQRSNSYGDPSSIIDSGTR QSRRRSDSMNSITSVSSIASKIKASFELPRGRSTTPRGERSSSFMGGIKLQKEREAEA EWANRQVGPGGESYNIPARYMYRQPGGSSVGRSHSRSSTCSAASISSMAPHIIANPQA LTFPPQHRHRGPPTALRKPAPISRSRSSSRSPIVRPEVSTDADLQPPASREGLGDPYS SQNGSRAALDSLPSASRPWTGEGPNRSRPQTSESLGNQGLSDVAALSAVLNSVDLSDS GPRRNEGAPVPSEKDVVLPSPKRLKNPMLEGNKETRPIKPEKQNPSSSSAPAHPATAT DPERPQHRRRGSSFLGMKRTNLLSPMKPRNQRTEQPEPAPPEPNQDAKPHQAERPRRA SAIRQFRDAAKAAFHIRSPSAVRGRRNSLVSGQVSPAGTAIGSGRTSPFPDIAAITTP PAIPTPEATDTAAPWSRKETVPEIRDTAETRGRPPLTHQNNAVRPSDHSSISSYDTAH SQYLSTVTPDTSRPQSERGLVSTADADDGQKVLPDDDDDGKSGLPSGTRPTLGGVRNV STPPPGPKNARRAFRMSGGTETLVAPVTSQPKTEPNTWPLKVEIDAEWVHVTAPFKMK SGRQLLDVSLIPPPLVLHNQTGSIDSNISNPDTLTRGSGASSPQIRPNRRDKVYNDDG VSLNTDSKTSVVSRETKTPPLASYLDHVRRVGGSATPKGSPRPSGASPLSNPPRGRTL VSEAHVGETLSKVLVQCCSCRYFHDMPTRVYERMKLHGDTRSSTPTIPAYTLKAPEKR GSAAVRCPWCRHEMGIKCCSGFLTSVHLKEKLH
SAPIO_CDS6175	MSAPVPRNFDAENADNLEDIERQFAVKAVQHMQTYWSILERVKG SALRLTRWDDEIYEHLKEAFPEFDPAETIDEDKMKSKEGKERWRNFMMKYDKKVGEFN FGTMLRSNPKFEYGREETIFVPRMQFYAIEIARNRHGLNDWIWEQAQKESSK
SAPIO_CDS6176	MESPHSPTPTYGIASPQIDDIQYSPCSPTDALWRDAICNDNCQA TMDPQSQRRFPTDSSSVASAELVDAIFSFLNPLDLVAVSATCRALRKHAISDALWHPL VQANVPGVTLRTPYPFSSYRELYATHDPKWFLPKYKIWFCDRDLVGKLILVRYDQRRG CIEGHQLLAVSNHRNQQSWSENQHVMIHSFAPRVMLHEDKPVLKFSATINPDSPRAPE LMGAKRGFQAEVPMIIDENPNNMYCNFLLTRPLEPEAIAPMLQQPFPYGYVWPPPAIP SRQTVTGTPAFHDEHHLVAPPELPSTRAESSDQTFRVRQWIEMAGSPPAGLIFGQGLQ SMMQTLTGRVTPPRRPGARSSLVDFPGLTGVHLGEEVITYSTLDPALYTPTDLKPWRG IWVGDYSSHGCEFLLVHQPDDPVATDEELGLVRSEGEPEADWEKRRRDARIYRGRLEA VKLTGDPNIPRGEPTFIAKDLGVGGFVGVAEEHPFYGARVVRSQGHIARSGFQEDEFV ESRLLLISPNRIAQYWLGFNHISFFERIDIDQFLDPERPRIF
SAPIO_CDS6177	MADKYILKVTAGPGYDTADHVSVPVNDASTVKISSELADVELNV RIQNYGGLPRGSDKTSSYFSTEPHKANNDQYSICLRFTPKKPEGSSERGISGEDLQFG NDFDHPIRDHLPPGFQTALNIVKWLIDPGLEGDAYADAPYLFGPALSSFNTIYCGRGS EDAEKGGLVFEEGGDEKGGSKGREEVGAPGTGKERMKWALKAENKKAWVWEFGRTYGL DFFNPYLDFERFALRLPGFRLSILRYWDGQGLRYVLRNKQTKETYLVVMFSLYLKEDV NEDGTLKVSEEEAKSRAAIARPTSPSSSDDEGEEQKPLPELALVDKKLAETRLNDVD
SAPIO_CDS6181	MSPIQYAAYVHPATGANRIAHYDLTNKTIQPLAFRSGTPVSDLY QVIEAGARTVMPTGEPVPVSSVKLLPPISGRDILAVGKNYAEHAKEFNNSGYDSSDKV ALPSHPVIFTKRATSTIADGAPIFPHPEFTSTLDYEGEVGVIIGKSGFRVDEANAWDY VWGYTIINDVTARERQRDHKQFFIGKSADTFCPIGPIAVAKEDLPPVLKLETHVNGKL EQIATTDDLIFSIPVLIKTISEGITLRPGDVIATGTPAGVGIGKNPPVFLQPGDEVSA SISGLGALTNKIGTVGSTNSTQEAVEKQSSFALINASKTLGGGIGLTQLNGKPLHYKK VGSGGENVVFIHGLGGSMEYWTPLIPSLEQTHTLHLFDFEGHGLSPTHPLSRVDIETI AADLHAVVQHAGAPATVVAHSLGCLAALQFSIDNPELVRKLVLVGPPPSPLSQADSDT RHARAALVRARGIAAVVDAIVNDTTSARSKEANPVAATAVRLSLLGQDPESYAKACDA LGRAKGKLEVEKLSMPTLIITGDEDKISPPALCEEYISRIPSAQLVVLKGVGHWPVYE DVAGVIQALKSFL
SAPIO_CDS6183	MSFLAFTNFNIVVSVLGGWIAFFGLFSYLVKERYYLSEAFISTF VGAIFTYGLNLLKPLEYAGSEDGLDAVNLDFSRLVLGVQLFLAGVELPSRYLQKESKS LMLLLGPGMVAMWACSSIVIWALVPGLPLLHALAIAACITPTDPVLSNAIVKGKFADE NVPKDLQNIIIAESGANDGLGYPFLFLALYLIQYTTGDNQDTVGGARTAISLFLWKTC GYIVLFSVVYGGFVGWAAKELLYRAEKKLYVDRESFLVFDIAMALLILGTCGILRSDD VLACFVAGNVFTWDDWFRIQTLDDSLRPTVDMVLNVAMFLWVGATCPWESFWTTEMIS PYRLIALELSVLLLRRLPIIFLLRRHVWQIEDMRQALFVGYFGPIGVSAIFYLHIGLE FLKGATESGVPSEAAEQLGEAMRVIVWFLVICSVVAFTP
SAPIO_CDS6184	MGSVTNGTNGSKTLKREPLKRGTSIDHLEYVDVSPIIGREYPTA KLKDMLNAPNAEEQLRDLAITICECGVVFFRAAQDDLSVEEQKYITDMLGKLTGRPAD HGLHVHPLYNDPNNLPMADGTTDKNIYVINSEAAKKLYATMKNRPGSLNEPRDLGREW HSDSLFENCPSDFSFLRMQDTPPSGGDTLWVSGYELYDRLSPPFRAFLETLTATCAQP VFKSACEAGGYDVMSPRGSPLNVDYEFSPSHPVIRTHPVTGWKSLFAGVGLHVTRING VHTYEDQIIRDYVLRLITRNHDCVARMHWTRGACAIWSNECTLHAATPDTHLVDGVRT GVRASGIGGVPYLDPASTGRREALGMPPY
SAPIO_CDS6185	MVAAVISANPLQLDISSHKKCLLICFIVTLASFQYGLDYGLVGG FMAMPGFLRVYGYYDEQKQKWAIDPTVQQLISSLMTIGTFVGSLLVGPFSSKFGRRMG LWSASILNFVSTAVMLGTTSIGALYAARFLLGISVGWFLTFAQVYVNEVAPAHLRGIA FAVYQTQLSSGSIVGAAVDYGTHLMQGKEAYRIPLAVFFVVPTIQTISLFFFPESPRW LMTQGREDDAEASLRKLRNRSIKESEFQAEFNEIRISTAEQIENSANKKLWIEMWKGT DLRRTLLSIAIICFHCANGSSWLNIYTTYFLDVAGVKEAFAYSTMVTCMGLIGVLSSL FFVRRLDRRLIVMLGVGACGCCQLAFAVAWTVAPESAAAAKAVIAFISLFTFAYVAYA PYAWLLGGEYPNNHLRAHTYGVATALNFLGNWLGVFTAPYFINPASLGWRAKYGYIWF GSNAVLLIFTYFFIPETRDRTLEEIHEMFQAKLPARKFKTYVCTGTETMAKAAIAKEM ELGNVDHIERTSTV
SAPIO_CDS6186	MALRHPSKPRILWIDAICINQDDNDDKNYQVPLMETIYTHATVV DIWLGPEESPAPLAKSVFDVLGKSSLSGDDERPELKTTNGLFGAAILDRIWVIQEATF ARRIHVYCGSRQVQWDLLRNHLRALEEDHKDQFSSIPEENISTDPEEDRLAAGFSDIF RSQFATLLKNGMSRGVEKNRRPLVDLLHDYWRNACGDPRDKVYGLFSLASDVSGGAGG MPLRYGQPLAFVYLDVLHFALVNRHGGVNWATLAALSNRMQRAFGSGPNGDVSRELHT SRHPQSQDANFVANRPFCVSLFKPSPIFKFGPALGEYRKDPGSFELGLILEQRVHPFQ MYDYQVNEVLQSAPWNAMRTLDYHVREQGAEQQQQPPRIVFNYCYHAGQDEDDKEANS RLRGVLHPLGRPSHPVTIPETALNGADPSQSWDKYRLFSVQGVVGLVLGIITDQAEDG DYLAWLDGCYSAVVLRREASGGKFVLVGRAIVCPNHGLDPRLNLVGPSESPVLQCRDV PLDVFQVVTNWYEVGDGTKYNRKRAWQAWNAYFIDVVGIDPNNIWYDLCRNDEDAKSY CQTFLQAYTEDSEYLCPTLGPEEYKWIRGIDSAASILNVWKSLITTVDTTILQEKRKE SPEEQLLRTLKHTDLKTTGDRPVHEVTKLLQPLLRRDYVIRHSIAHKVPLSRLGQEPD AIQRRAPRQLRVQAIRVVLRGTLATTLLD
SAPIO_CDS6188	MSQTTQLQIAVIGAGIAGLATAIALKDHPNVDVQIYEQAGELRE IGASIALGPNGLRTLERLGVHNALDNSIAFRNKSGHPMIYRHWKTNEIVSADSHRGDV EPRHHTARFHRAHLQQALLQHVDPSTIHLKKSFKSVKFDNATEKLVISFTDGTTAAAD LLLGADGIHSLVRTSFLPTSGTAWTGWLAFRSVFPISHLSHLPEIPDEATHYWGPDRT FFVSKLGKDLFTTVASYDSDPHAPDAAGTTSKWDTEGDVNSLKEKYKDWSPQIRSIVD AIPYTRVYPNVAAHGLDTWVLGDGRVTLAGDAAHAHGGAFAAGGSLALDDAWAFGASI RQVFPENATELPTDRIATALKLYERTRKAHTDRLIKIVNDNNKKRLERLGQTETDEEL RTRMKSRADTSWIHEHDVVAAFQQALASEPSQV
SAPIO_CDS6189	MSLPQFEERKTPVATESIVEPGPVTDASGPSCETENHLFCARGH SSAGNVLQGNWLRDETQIKNFVDVEDDAAPSPCTPSASLIAVSPSATTGTGTVDPIGA KREVLQEIIGALDFHRRVEGLIPSLRGVFACFRGANRGDSAPITLHVIFCSPKYPVRE IFFTLRIQFSADGRTVMNTRCLLFDNLPNNHSENEKVVPMNRDGHLDRILSALEIDSH YGTPAWYHPLTATLALVRECKWSCSVRQETLNDYILSTERATNTAAWTNEPQLSPWRS NFMEKTVKLQLYRTNLSIVTDSLDFLLGVWDSVSEWSQGNEGFKIVRLDRGPSCSSES LAGLLWRTLSQEQRLEALDDLSFERYTTSRMKSQLESLNGRYEAQSGLLDSLVSQNES QQSAVLSVIALIFAPASIILSIFSLPFVTYPTEREWSIRLFIEVIVPSSVLPVLLGYL YLIRHDIFGVIDKFARTTFEFIGFADRVLGFRSKGFCCD
SAPIO_CDS6190	MARIFLSLISALFGTLAIASIDCRPNGPVVPRPTDLANSQVVTS ALGDLTNLLQQAVDGDVKGSNLANSSFSVALISFSQQDAAIPIWEFHHLSPANVNGTK NLDRDSQYLIGSVSKVFTDLVLLKSGIDPDTPVREYLPSLEDGRISWRDITLKALGNN LAGTPAIYGFSEYYYIKEVFELLGFPPLNNSEFPECGVIGLNSDCDAQGLLRGLLDSY PVSLPAQSPVYSSLGYSLLAIALKEATGKNYTQLLDELDPSTSLSASHGKSSAPPNHP HVVDVYGKGGGSWGYLSQLNIIDEYGIAFVVLTAGAAEAMYPISDAVLATVVPAVDEA ARQQAEKYTGLFSGEANGVVVNATVELDSDSIVIRSLYRDGHDMLAGIQEIFKYAYGD MLGLRVETPRLFPTGIEEVMEVAAEGCDSAISAIREDWRLTWSDFTLLQEPGLPAANL STQTCLSWSGADWVHYGKQPLDRFVFVRDKKTGDLLGFEAPFLRSGLLQKVN
SAPIO_CDS6191	MSTKKVPYVTLDVFTNKRFKGNQVAVVEVGDVPLPPDHMQMIAR EFNFSETVFLRRNSDGALCINIFTPVNEMDFAGHPVIGTGHVVFRRLLPGLVNSPVVS EIPILTKAGPVVVRHDPARRTVAAEVPHNVHIHSRSTSKDSLIATQPSLNAQTSSLEE AYPAVSIVKGVTYTLVDFTNHPEAFATVAAGPSQVTELDDGWAPSFMGVMYYRIASEA YVEDDRRVQELRVRMIAINLEDPACGSGSCALSAYLALQHGAVSGKYRFYIDQGQEIG RDSNIIVDVVLDGTGKGVLSVSLSGPATLVTEGTLFLPE
SAPIO_CDS6192	MVMAIGQATFQTRFSSGILQGIITAKLHRHARTLESSTDRRPVP IEPNHLLSFYHPIILEKAFVKACQDQNFPLEASSLANQDSLLSPEQAFHSFVNKLAQV CDIKRGGETVTAFTVLRGDGGPHYVLGSNQRCEEALIETKTFVESLLKLASRDPVIVR QLQNLRSRTEFTRDVVSSPSARDKFLSDFEKLIKAIHAIKNTELEKAIIEKAKDGELS RSQRWCELRHYLGRLLSYRQAAEIIIASSKRWPELFESFTITPIPSSHPAPRPVSHKG LTAARIVEDMVQGDDSEPHPLFEEILQKLQNFDLDRLIHNKIRSKSFRPIVHAEVLVH HHLLDEGISHPALYWNQWKYIGSSKATCRLCSHYFNAHQDRVDVRPGHRNLYPSWRLP DVYQDQGDDAASRRLDLLLKLTEDVKNDARWTIEHKILLGKKYDTNTYSANPEYLMYN SGDEDAMSDSELDSGTSQSSGLDECVSVLPPEARHSKSVDVRDEDSCDEDGGVPLWDT KESPSWSLTNPPALLRVHV
SAPIO_CDS6193	MKYSAVIVALAVSFASAAPAFSNEKLHKRGGGPLCLEMCAPFAP EWPIYSGCLVACLAQGTEGEPVEAEEIVNP
SAPIO_CDS6195	MNIIQAKKRLVGTHETTNPNLLPRISRRASPDAPDGYTPAPVPC PNPRPSIRPATLSPNETSWLSTRKDHTLTSLESFLQRVQIENFNATTFLNDVNGMDPS ALPTLGIAVSGGGYRALMNGAGVLSAFDERSSGSTDESGVGGVLQAATYLSGLSGGSW LVGSVYVQNFSTVEGILDGDATPGIWQFDQSIFQGPDGLRRLEYYQAMRDSVSDKQDA GFNTTITDLWGRALSYQLVNPTDGGPAYTYSSIANDGYFASGEAPLPIIVAVERSPGQ LNVTLASTVIEFNPWEMGSHDRNLSAFAPLRYVGSSFTNGSIPSNGSCVRGYDNVGFT MGTSSSLFNAAFLQIEKDDSDGRLTAFIEDRLRTLGEENRDVARWPNPFWKSSLTTEN SEEPFLTLVDGGLAGENIPIHPLLWRERNVDVIIAVDSSADTTENWPNGTSLVATYQR SREVGNYASVPFPEVPGQNTFINKGLNSKPTFFGCPPPYFNGTVQQGTPLVVYLPNAP YSTFSNVSTFNMSYTDSERDDIVENGRNVATLGQGKLDNQWPTCLGCAILAKSLERQG MDLPAECGDCFSRHCWDGQVDDSTPESYEPGLIMSRGVKGQVWAREWMLIVLGFWAIM RVCG
SAPIO_CDS6196	MIDDSKDISASAARVDDGAPSISPKASKIDVSGSVTAAETSSYK ELEACIIPTTGPTAKRSIGARPSCFKNTAQEVSFVAQATMAMATGPLFQGACSVITAS IGHDLGMTQGQITWITASTALTAGAFQLGLGQLADLLGRKALFIIGMGSFSAFCLLVA FARTPFWMDVVCGIIGISAAMVVPPAIGIMGAAYSVPSKRKNLAFSSFSAGNPLGFVF GTITGGVATQIFNWRATYIFLCILWALFTMLAVWMVPRVEAYPPGEPLRTRLRTFVST FDFLGTFLTVTGCGFITAAITLGPTDGWGSAHVVAMLVVGVIILTCLPGFMAFSSSQF WLSFYMQEFLGLSPLGVAVQLLPMAIAGIIWNIVAGNVLHRINNTLLLAVGVASYIGG SVLLSLMTPHSYYWAIVFPALVLYVCGADIQFNVANMYVMQSLPTHQQGLAGGIFNML FRLSTAVALGISTAVYTSVKGTNAGIENPMLPYTKTFYVSIALSGFSVLFLPKMKDKG ERMAVALRSLKRGPSRP
SAPIO_CDS6197	MCELRPELNGKMHWLQGRAGTPARDSVDVFYCGRDCQAGHWPRH KAHCQNMRKRRKLLRAANLLKLALLTYREVAFDVDLNKIEFQDGLLRLHQNQTARPKR RLFPSRITTSTEHKEAALANNQCTTAMALLGRLTRKLLAVGTASVIEFMDLHIGKPIF RTQLTPGLDTSHCPHTVLRVRQLFTGENWIIDTTGCQYGFRDVLIPYEKYLEEKACRI IAEPATYDAVETKDLDYFSTLEFMNKTQAQKKAREVERRARLHFAAFVDTRVNNTILD GSAGHFRDTADRHEAISGRITVTEERISWKSRMSTLASIIGEP
SAPIO_CDS6198	MMFSFSQLLALALTGYVAAAPSHLTRRAGRVVIGYRTVNKIEAN ELNEYRTFVDLGGFQDEKAQTGLGKYLSPSPGEWDVGIDNSYCIAWADADKYDAVSKV WIPEDHWWPSSEKAMKDYIASLGIESRLSLRYSEIFGKPGLLQMMVPLELYEQYDNGI GIEVQCFDTLDQLRQVENGRVDYTRGDIQNRGPAQPAPPNPAPPNPAPPNPVPPAPPA APKPPHV
SAPIO_CDS6199	MGRSIRSVLIDGWWYWGASDTCGIKFSQDGTGELVCGHGMSLFI AAIFDWEPRTDQDDTLLEKVVGNGSENIPTVGLKLTLTKRRMPWYGNMPTDGWELHDK NLTQNAFTPKIYTLQFEQGTFPVIANTTSSLCHWYSLHVKFNPSPYPPLDEWAMKSPA EGHKYWERKDFYREQIEGDVYYDPESGVSRREEMEGLKAELARKRDFRRMA
SAPIO_CDS6200	MLGRLRMTIDECIRAYENVGRAAFTPKRTSWLPAAPEGAFSATA LEAAIKQVVRENCTDPGCVAKRSNAQPTGKSCLHENLRFRDGTCTKTVVLATTKANVD TRPTLFTTYGSSTSYQDCSIWQVARATSAATTFFKSIELGRDKIEFIDAGFGYNNPCE ILIEEAQKQYHGRSEFLVLSIGTGLGNVVDIKDSRRSILRALRKMASTSKAVAARLKD RYGDSDQYHRFNVDRGLEDTTISDWEKTSKISAHTHNYLQENENQVEEFVKKLLRGAE GQRQVIADLVGTHNERVYLIPFLPNAKFTGRKQTLHDLEQRLFVRQDCQKLAIFGLGG MGKTQVALQFAYWVKQHQPQYSVFWVPALSEESFTQAYKEIAKQVGIPIDIDKEDPRL SLQRHLSSKDAGRWLLIMDNADDQDVLFGETGIRKYLPQSEMGLTIFTTRFKEIGLSL AETDLIELQEMGPREAEEFLEASLLPEVLRDEATTTELLIELSYLPLAIAQAAAYLNR NQSSSVRRYLELLRGTENDIVSLLSREFQANTRYPGSSNAVATTWLVSFEQIKNSKDH AAAADLLSFISFIEPKAIPRSIFPQLGSEEEMEFAIDRRFKDAVECFEEVVMWKRAYL LDEDHARLASEHNLASAYLGARRIHDAIGIFEHVVEVHRKMLDEEDDDRLTSEHNLAW AYLGARQIYDAIGIFEHVVEVHRKMLNEEDDDRLTSEHNLACAYLEARQIYDAIGILE YVVEVRRKMLNEEDHARLASEHNLARAYLEARQIHDAIRILEHVVEVRRKTLDEEDYA RLASEHTLTLEGYSSYICSVAFLPDLKPVASGSGDRMLTKVEIISLGSAPTEMDYFAP QELVLVTPRISTSVFNHVWL
SAPIO_CDS6201	MARATETQQLVATQALSKVLTNNGIKHGISGGFAVRLLGRNRRT VDVDILIDMAADTRHDVTQLLVQNDVNIPVLHPAVLILAKMKRCVYYIGSTRPQSISK LHNDISDIRFILEWLVKHSEKVDFVGYQSTTADRLYKDTTDLVNH
SAPIO_CDS6202	MDAGASAVLKSIKTLYQLFSGMKDGPPKVNDIRNVVGRLQCAFE QIGEFPELVEILATTPSLARLIKQCQDDINRFEGRLRKLDVSSGEQVLWKRLKLALSE KDLAYMLTVMAGHVDNLTLEIDLVQARLMHHHNHNIQQILVQENKQTILIEQQGAMLA SIDDRVSSCMTQNNRLHDTVEALFQKAVNIPSLLHSQAADISERLVSLEKEIVKMRLG FGCSTDDINIQELLDKDNRHQDHVSPLRDAIKRLCCLVDRIPGVVESDDADEIVHDLD ILLQDMVAENRRRSREMEASAERTKGQGATDLKRIRGLFLAAPALCINQDDEVADCAD VDYIFEGWLRSPLDLCVSEVNDINEFDCFKQLLAAGADPTVPARERRTRDTDSSLDGA PLDDVFLMTDLHYAKAALDLAGIFFDINYGDDNELGETLLQICCRNAQVGNIRLLVER GADVNARDNEGRTCLHTLAKKARWPNPGLRCLESLVYLVKSGADVHAQDYFGVTVSEV AYSHFPGSSYSGDAWDVALAICGYDVESFRRGHRRQAWYTEQYSREVFEHLWTGIEHL CPYYDDEDWKDSDLSSESGSDDGGLSGDPPSKSETLPLSIESLLPGIGSREPAVETSL NAQCPRCGGQPTLISTGTLDTDCDNSVARRVLPTSPPDPETAWSGMERSSVDRAVFEA ASTGGQADKFGLGEREIEEVFRNPWAVED
SAPIO_CDS6203	MSEPQFTLYSTAVGPNGWKVAMVLSELGLTYKTIFLDFSQNEQK GPEFTKLNPNGRIPAIIDHQNNDFVLWESNAIIEYIALKYDKEHKLSFPDFENQQITR QWLYFQASGQGPYYGQAVWFIKEHGGEPKAVVERYQNETRRVIKVLESVLSKQDWLVG GKMSIADIAFLQWNTSADRIILGRDFIDKEAPHVRKCMDAMLGRPAIDKVVQERIKML SGPGEAFHDQPFSTTPDPNLQNRSQAQRTTTVVDEPEPSDSGSTLAPSEADSVWSKVV SHYHPDVPDGRRVMYVGEQWTLAYVMRWKDPPSGASEDTVSGTSAASPTGIHVSMPIE DSAPSPAQKRVSPLVTELTGQAELPARIQQGLIDSYFTRNHRLYPIVNERDLRSSYQS GTISPVLLHSILYAAALHAPDAIIYRAGFDSRQACLLSLYRRAKTAYMLHDDDGTADQ LSSVQAAFLLHNMWQAPTATMDPWTWLGLAIRTAQNIGMHRSTKQSALKDADKRLWKR IWWCLYARDRQMASGLGKPILIRDQDCDVEPLDEDDFEEEDSSEMRLFVIHQAKLGVF YGSVISSLFTAVPRDSVAKSVDRATIIRQLQQWRQELPAAIQWENRPRCSPEVFPFLA LLLEVLYNSSALFGAMTVHAFRGADSEQQLSLCMIAMRSLSSMYFAAGWIRNMFRTLA EKRASRMTLSSVQNRPGEAEPVQASTKLSNPTVGSSFERTVTTDSCDSGIPEGIQDGP DLLERLEMWPDPTTMDAVGYLSMSIGDFINPSTMDDTSMLNLDPGLMRWKANENRDAV CNSNGYPDEVMHPTDLEILALRKISGHMGMADPFGIIGVIGVAGQIVQATVKFGLDWK EAPAYARSFMAEIQALKTVLSETNTNILLNVDFKDLFHGRHSTLLSQLGETARDTDTH AMVSACKKELGSLLEDLKRRANGHPIGWERLKGAFLAKTTREAVESLHRQCRTLNSLV ALDALSLSVSIHKEVREVRKEQQSWCADGESKAIVDWLSAVDYGPEQSSFIERRQEGT GQWFLNSPQYREWIKAAKQTLFCPGIPGAGKTILTSIVVDDLHTRFQSNEQVGIAYLY CDFRRKNEQKAGDLLASLLKQLTQGRSSLPECMKSLHDSHRDKRTRPLFDEISSNLHT VAALYSKTFIIIDALDECERNDGRRARFLTEVFNLQGTCGANIFATSRHIPEITDRFS TSTMLEIRASDEDFRKYLDGRISQSGLASLNACREEIKNEITKAADGSNKRTCRKIKD ALKTLPTGPKAYDNAYDEAMKRIADSDADSEDLAKQVLSWITCARRRLTSLEIQHALA VVAGDPELDKENLPSVDDIVLVCIGLVTIDEESGIIRLVHYTAQEYFERMRKVWFPNA EADIAKVCVAYLSFRTFDDGFCPTDEGIRDRGLLNPLFEYAARNWVSHVRSALPDADP FISSFLSSGSKVPSSCLLLELRDEQELNAEWLLERHVEVNVRDTEWKTPLHHAVLGSW TRCVQLILERGAEMTVDIDNMTPFHYTAWTANEEIAQIFLDAGVSVNSIVKRRVWLAS YREGKLSYEPINDPHCPQEKINTPQGLTALHYAALTGNSQMARFFLEHGANPNAVSEY GETPLHLALKQDIHGQKWVPGNGDRWNDPDFRIELMLDYIAYDSDGEEEYRIAMDTIH KHRLDVVNTLLDHPEIDMTARDAYGASLLHCIRYGESGSQTILQMLLEKNISVSVRNL KGQTPLHLACLAGDANCLPILIGNGANAMFADEDGLNALHYAARSGDEKTIRLLLDIA TDGDSKALATARDRRGRNTLHHLLSGSEGGHLEAVQCLLTNGVGVNDLDDDGNSSLAV YIAKWPFPRKVREVQLLFQSGADPSFTTAEGGLGLGHLYAKSYELEVELLSVLMSSGV DLRMQDVEGRTILHHSAIEGSLTEDALDFLCNRIGLSRDSPDALGLTPLDYATKMRKI DRHLDTFDRHRWLRTEEILRSQ
SAPIO_CDS6204	MAPSADSANVVPDGQASIAGSSSHGSSSIVLPSRSGNGSLNGTS PLKSTGNTNAPRIDIPKFELPPETPGKCVAERRWTPSLFDLSGKTALVTGANGGIGGG MARGLAEAGADIIIFQIPGDQSTFGEKLAQETNCKVSIYDCDMGNSAMIRETVRKVTD DGHGIDILCNVAGISSGSIPILYETDEHKDAIIQINFNSVWVLSQVVAKHMVERGRGG KIINICSLAAHKAMTTFSVYGPMKAAVGQLTNAFANEFGPYNVQVNAIYPGWIDTALA QRFINDEEANKKILSSIPSRRWGKPDDFKGITVFLASSASDYVNGARIFLDGGAHSM
SAPIO_CDS6205	MAPLLNSDSSPPSLDSHAQDSSDAIALKIPLVASPEAQRLFGWP EQNDAGYRIKEEPMGTKRKLKVVVLGAGVSGINFTKTAQEKLSNVEIVCYEKNDEIGG TWYENVYPGVACDIPSVSYQFTWEPHIWPEYYSSGHEILKYLKGIVDKYQLAQWIKLQ HKIVGAEWLDEEGQWRLSVEDPSGRVFEDRCEVFLNGGGILNNWKWPNIEGISSFKGK LMHTAKWDTSYDCKGKRVVVIGAGSSAAQVVPSLQPDVAEMHCFVRSPTWITPGFASR LAGPDGGNFTYTEEQKKKLRDDPKAYLEYRKMVEAEIGIRFRFLMKNGPEAKTAREFS ETEMRRKLGNRSDIADAIIPKDFAIGCRRPTPGEGFLEALVEPNVTVWTSQMRRITED GFIAHDGSHHQVDAIICATGFDTSWIPRFPVRAHGQNLQDVWTKEGALSYLAVGVPEF PNFFWFAGPYGPLAVGSLLPIIEVFTNYICDVINKMQIEKIKSLTPKKSAALAFKEHH DLYMHRTAWSGPCSSWFKRGTKDGYLTMYPGSRVHFFELLGRPRYEDYEFEYLSGNQW EFLGNGFSLREFDGRDTTFWMGLLNGEDIQPEFNEDVIPKLV
SAPIO_CDS6206	MASHSDKSGNDALDTSKEFKADVVGLEYGLESHDMTPEEERSLV RKMDLHIFPIVILLYILSFLDRTNIGNARLYDLEKDLGLKGDDYQLCVSVLFITYVSF EMPANLLLKMVQPRRFIPATAIGWGVVALCTGFVNNKAQLIGVRLLLGLFEAGYFPAI CFYLTFFYRRKELAVRIFFLFAASAVSGSCGGLLAYAIGHMHGTRGMSAWRWLMILEG IPTVVLGVAAYFILENDPQSAAYLTDREKQLCEVRKHLDGTSLGLEGESDRIDWQQCR EAWKDWKVWTIATAQHGVTVMLYGFSTFLPTIINALGYSGLHTQLLTIPCYAAGALIY LVVAYFSDRTGRRGPFAVAGCLVSCAGYAILLGTPKYGAGAQYAGCIIVACGLYVAVG IPISWMPNNLPSHFKRAAGQGTSMTLGNCAGIYSAFLYRTKDKPEYKLGHGATLGFVF AAAVGYGLTSVLLWRENKKRDRGERDYVLVGKTEEEIARLGDYNPKYRYIY
SAPIO_CDS6207	MGAAGTNGTAAVPRPFPPGVHVPSLTWFEGTRDQEIDWVIQKKH FQFLVESGVHGIVIAGTNGEAVTLSADEKTQLLRTARKIAIENGRPDLPITLGCSGQS TREVIAETKLAAAAGADFVLVLVPSYFHFAMDDDAIVAFFLELADSSPLPIVIYNFPG VVSGLNLNSDMLATLGKHPSIVAVKLTCGGIAKVARVRAVFGPETFSALAGQSDWLVP ALSVGGTGVITGVANLYPRFCIKIYDLYLSGKTQEAEALQIKLAQMEWGFGKGGINGT KWVVAKYLGYPLEGCHCRRPYPEYSDPKKQAWIDDTVRQLEEDEKKLRASKA
SAPIO_CDS6211	MSDMKRGKDDPATVPRDSSGDVDVLKLEMQAAEDVVPKPESIRH MSPQELQAFETKMVRKMDLVIISALAAAKLYGIMEDLNMTTNDFATAISILFVGYLPF QIPSNLVMTKISRPGLYICSAAAVWGSVSACTAAAKNYNSLLALRVLLGITEAVFFPG VIYFLSAWYTKNELGKRLAALFMFQMLGSAFGGFVAAACLTLDGRYGIAGWRWLFIVE GVITVGCGIIFSLIMPEYPHNARLLKPIERDYAVWRIEMEAGDGEAHEDTTTWEGFKL AILDPKIWLMVWCMGMGQAMGSIVNFFPSIVSTLGYNKMISLLLTAPPYVLAAIWFYI ISYISDRLIHCVYYRAVHLEYRSALLRDDAYAFPGPQIMLYKTLSIHMARPFPKRAAG VAMMNAIGGLSNVWTSYLYYAPPNYYAAFGCRYTVYVRRVNKLLGGSEEDQRKAMKTG VTKQQVELGWRYVGY
SAPIO_CDS6212	MAIPATSSDGPVIISLTNEERDSGVMSDKKLYDAIEAFFNDGLV VVENAIDTAIIDKLNERMLQDTAKLLNGGGEVHFNHLNVNAKTKGAAVGGNLSQVPPL EPEWLFPEVYANKHGTRIISNILGPRPEVHFIRSNTLLATQERQMVHADIRFEHPEHP FAIAFNTCLVDVGPENGTTELWLGTQNTNINDHGELGEPMIAVDKVEERRKVRPPVYP RIKRGSIVLRDFRLWHAGMPNPTNQIRIMLAIVYYAAWYKNGIVTPVPESLRPTIESL EEYGQAKIAVEYVPEDGYNYLNIKFSNNFSSTLTPWVWEKIKNVPTVKGY
SAPIO_CDS6213	MAASQHVSFKLEDLFSVKGKVVVVTGGGSGLGKAIAEGFAVNGA KVYITGRRLDVLETAVKQISGDIHAIQGDVQTKEGCRSIADAIGASEAHVDTLVHSAG VNSPWRVIAQDHNDPDQVENLLINGLEEEDFNRSNQINVMGVYFLTAYFIPLLRKAAD PNICVIASLAGIANQRSMGSPTYAVSKAAAVHLAKLLAGRLHPMKIRVNTICPGIFPS EMTGVTAGKHEYNINHQAERAARRCTAGRPGRPEEMVGPVLMLSSAGGGYINHALLTV DGGRLMGLSINDGIRMPEDTYTDEILG
SAPIO_CDS6214	MDPLSITAGILASLQAASAILCFCYDVRAAMRKIPWTLIQVIEE VRDLRNVIEAVQSALDKEDSSGEKTNSLEEIVEPAIAMCLAELRALEVRIRPEHVSAL LESTSKLLLRSTIWRLKANDANAQQKAVVDWLSPLKPRQAHFSAWEKSQAGTSEWFLN NRDFSEWLGGKDNILGVAGPQSVSSTLDQSGDGALYAFAYCDFRDPVTQNIGNILGSL LSQLCTQLHHFPDDLLSAYRSRNAWYQPSYPMIEVVSRAIRTISEKRRVYLFIDAIDE VGDCDSLAQYLLSLAKSVSTLNVLATHRNDMSIRRALEDSRHISLENHVSEIDQDIER FRWAACQMDSLGHCRTIRDIKRALEELPPGLNQTYGRILADIPQSEAPIVRKILTWLS FSAASVSLGQLWEALAIEKGGDRIDDEYRLRSAEDILTLGKSLITLTADGHVTLTHLS VRDYLVSGDIEQSPETARFALKPGAGHLELAQDCLTYLFFSDLRSGPCNSRKEYMSRL RRFPLLTYTAKYWFYHSRMAEDNVDLWNQTLRFFSHEARKIFMSWVQVLNAADAPFKW NVFPRHATSLYYASSLGLDGVVDWLLQSAAPDEINAAGSRFGGTALHAATIRGHLNIA KQLIAQGADPGKPDFNGVTPLHSAASQGSADLVRVLLAHGAPADAKDSMDGKSPAEWA ECSGHKATAGIINHVLQDPDLRQDSMTLSESGCGTPSQKTEIWRPVQGYFPDYYEKRS GLDSPLIISMTVGEICSYFDSEFRPLVPLGGSDRSLPVW
SAPIO_CDS6217	MGPQKTTTEAAELKSAHNNTHTHDYRETHDRAPASDVDSILEAS RAADTAVPEGGYGWVVVAGLTVVAWWNVGTSYSWGVIQVALIREDVSPASTLSFVGSL SAAMVSAMALANARVIRRVGVRWSALLGISILGISEIACSFLVRNIIGLFFTAGLILG IGKSHCTMTQATAPPQYFHRKRGLANGIVVAGGGFGGAVISVALNALIEKVGLDWTYR VLGLSTIVTGLPAAWLVKERYPVRPSGFIEWRLFKDLNFVLILLAGAAATFPLLVPPF FIPLYGQSIGLSSRVGAALLAAFNFSSAVGRILCGQMCDMIGAVNTLFVSLLITALSM LVIWPFSTTFAPLLAFSIVNGLSNGGFFSIMPTVVGNVFGSARVSVAMGMIVTSWGAG YLMVIDVHLDAKFTYVLNTDDSFRAHRSLVTYSMLTADRRTDIGHIGRQFCILGQ
SAPIO_CDS6218	MTRGILTSLLDTIKADPWAMWLISSQYDGFHHMRANKTYNDTFY FGCWHSAIAWTFCRQSASTRAIWITRQGISEHRDWDMDDKRNPIPEFLTSLDSYAPLT HVPYVLAIALALATMLDYDARILRQLQQIEEVEEGTGFTRKHMVSGNLDHAYDVDKLT QWSRLCSGLRINTTNLLRHHDNCMRLFEYIADSEKEGPNLAAGTTYSGEYDRSMADIL EMTRVLKMRADSFSTYASYIRDRAEAQVNVIFALLTHEDSVANLKSAEASKGIAAAAK RDSSAMKTVAVMTMAFLPATFLAALFALPALEWAKPVVVKMDGFVLYWITTIILTVTV FVPY
SAPIO_CDS6219	MLRTFLLDSLLLIGTVIGASPHNQWAKFNNSISGNLLAVQPVGL PCHDPTFDAEACLAVANQTTNSVWRGINPGAVQNVNWEALVAANETCYVGSSRTIACG QGRVPLYSAEVENAQHIQKAVRFAKKHNIRLAIKNTGHCYLGRSSAPYSLRIATHKLK SLDFVTDFAPTVPAGYKAQKRKVQSLGSAVTIGAGVYLMDLYKAVGERNLTVVAGIAH TVGPAGGYIQGGGHSPLGTWKGMASDNALQFTVVNADGDLVVANEYQNQDLFWALRGG GGGTFGVVVDVTVRTFPDVPAHLASVVVSTNNTAGFWETIREFHTNLPQINDAGASAY YFINTAPDGGNGSASISNLTSAMIFANQTDEAAVRSLLMPMVTNMNGHVGNSSVVFEL VSVPQIKFIIQQFLSDGADVTGGIALLASRLISRDFLSTVDGPARLTETLRKIVDLHG GPTSVIGHAIAGGQVARNADIDSALLPAWRRALTHIVVASSWGFNATIEDQRAVENQV THTDVPMLKAFEPDDMGAYLNEADGNEEDWQASFWGENYHRLYELKQKLDPSGLFITR RGVGSEDWDEEGICRIGKERVG
SAPIO_CDS6220	MSVYLDVEARDPQGLSVVDYVVRFSTGEEVKALLRLEAQRAPGL TKHRSDETEASENVDPWIDSKYNGGEWMMLYHAAYLGRDDVVSMSVQGRG
SAPIO_CDS6221	MAAVLQHNETYQLRIYAGNSQVHNQVVSFDDTQAAYVLPSPTPA PRPLYFRPAPPSPCSPNPYTTLVVVQSPSSPPPTNPQLLALQGPAGKAEYRIVALDDP TPQGIGASILYDEWRVVENGGRILLRYGEDPSGLNHWILAKRSWGWILWWYEPTAANM EDLGEYVMVDVEVEARGAYSSEPTTTRPKDAAIVS
SAPIO_CDS6223	MFRGKSLRDTGRYVRGLEEKLRRLENTAAVDKRPTPSAGEMTVL FSDSQPYADFQMGNTVLLDQECSSPRSAYGSEAANASTAADNAEGPIREPRLGSRAAP GQSPTFAEELTTLSLEATAERHLGSTSGLSFAKLTQMILRRLTPDKADFVFISHQDNT ANAAGNALSDINSPSELFNDTFFRSLSESISVHPLLFGDLFFADLAGPNVALDSMTLL SDEAHVQRLVDFYFTHSHTLYPILKRAEVIDTLQKIRHNSENLAAQSPLKLFRIWMVL AIGSTAYSSVTLTEESESISFYNKALQYSEQILGSDEMSALEVIMLQVSYSFFNQLGP NTWFLVGIAARLALGMGLHTSSTYDKLPIDVQERHVNDRVPHLNTTWYDFNYYTHLAM IYRPSPLCPISDFKRIKVLEMAASMSLRQAYSMHQQQRFAYNWLNFLSLFTSTLSLVY AITAQPDDLSTVLRETRAIADLDLAIQLFDTLGLKFLAAKKIRAMIAEISRRYKEVCG FQDVQ
SAPIO_CDS6224	MHNATNIDLTSYATSKAATDHLVKLLAAKFSRFYVRVVGINPGF VPSSMNPIGEEGNLFSALFDQVPAKRAGNEDDIAGTILYLVSRAGAYVDGISLCVDGG RVLLANGQDSDINGRDLRALAAQLRVETLDSQDAEDYLTLLRSLEAVMKRVDDAPDYI APELLPHKTLEAPGGSSSGSAVLVAAHRLASTEDGSWGHTVELAIGSDQAGSVRIPAS YNGIYGLKPTFGLVPYTGAASMSPMIDHLGPLAAKLEDLAVMLEVMAGYDGFDPRMTP ESPLAHQVKPYLSMLLRARQEAQSTPKLSQRLRVGLLKESFSMPGVASDVRDTVCQTA TRYFEAVGASVVEVSIPLHQRGPEIWTAATRPSMSTYLCQGNPSGHLAYLSPHTQIKW PPSQDTYDTLTSNNPAVVNIMLSERFAREFSKAGVEAKAHRMVFELRAAYDSALEHVD ILVTPCAPTVAMPHPDSIIGGKKASILDRLGVAIGLTSNTCPFNVTGHPGLSVPCGSS TSKCQPYAPLPIGMQLVGRRWADEQVIEAAALFERGRELVQNEIDSGK
SAPIO_CDS6228	MDPKARISSDWLGYQWHQLKDQEHAGPVLTAVVVVLLTYVLYSV MFATDIPRIKGMPEIPGAVPVFGHLLKLGEDHASVCEKWWRQYNHSVFQIKLGNTRAV VVNSFEDCKKMLLGNQNAVIDRPKLYTFHGVISSTQGFTIGSSPWDDSCKKKRKAAGT ALGRPALRNYYPMFDLEGYCILRDMKRDSQGQIEIDVRPYIQRYALNTTLTLCYGIRM DNVYDDLLREILHVGSAISLLRSASENLQDYVPVLRYLPNNEKIARSKELRGRRDAYL DLLLNKVREMIRKGTDKPCISAAILKDEETKLTGVEVSSICLSLVSGGFETIPGTLTS CIGSLSTKEGQAWQDRAYEDIKRHYPDIRDAWTACFKEEKIPYINAIVKEAGRYYTVS SMSLPRKTVTEVNWNGAIIPPKTMILINAQAGNHDVDHFGPDAGSFNPERWLKSLNPP TEETNGLGHLSFGTGSRACSGQYIASRLLYAALVRLLSSYKIVASKDHPPNTDYVEYN QFKTALVAIPREFKVKLIPRDAAMLDECLDLAEQRTREHYKE
SAPIO_CDS6229	MPQIPVGEVYKARECIDVSFSNGGWFIDENCDSRRMGLYALIDP FVVGVILLLIFYFGKRPRPKAKLGACETPRPESELDPSRDIVDIIKRTNKNCVVFYGS QTGTAEDYAARLAKEGKSRFGLNTMVADLEEYDYDRLGQLPRDTILIFVLATYGEGEP TDNAVDFHTFITSGELLSADNPSPLRDLAFAAFGLGNSTYENWRGRRWQGGVEEDFLA WKESMWTPLAKMFGLKERPTVYEPTFAISPLDELTPTSNGVFLGEPTIAALRCAPQGP YNAHNPYLAVVSESYELFSSKDRNCLHLEIDIGDSNFSYTTGDHIAIWPNNPSEEVES LLRILNLWETRNRVVDIKAVDSSVKVPFPTPTTFDALFRYYLEICAPVSRQLCATLAS FAPSEEAKERMALLGRDRDHFIQETRSAYFNLASLLARVSRGDAWRDVPHSAVIEGLG KLQPRYYSISSSSLAQPRMVSITAVVESKAVKDQEKPFKGVATNYLLALKYHQNCEVP PESFRLSYQLHGPRNTRKDVRLPIHIRHSHFRLPADIKCPVIMIGPGTGVAPFRAFTQ ERARDAEQGQKVGQMLLFFGCRKSSEDLIYAAEWERYKNVLGDAFEFITAFSREGAEK VYVQHRLREHARAISGLVSQGAHIYICGDANSMARQVNAALVQILVEQNNLSRPDAEK FLSDMKSRQQYQEDVW
SAPIO_CDS6230	MDISPSSEKNSSGDDKDNTKIEQRVESIGIEEELHHPSTKRRLT ARHAIFIAWGGTVGTGLFITTGKTLAIGGPAFLVGSYVFVSILVYFILTGIIEMATFL PVRGGSMSCYGRRFVSNSVGFTMGWLYFYSFAILVPFELTACAIIINFWQPGINSAVW ITILLVLLVILNVLPVRFYGEAEFFFTGVKLATIVGLLILSFVLFWGGGPDHRRLGFH YWKSPGATKTLILEGDAGRLVAAIATVISSILPFTFTPEMVVGTAAEIKSPRTNVPKV ARHFLWRLIVCFVGSVVGISVICPSDAAALMNGSDAASSPWVVGIRQAGIHGLDSVIN AVALIAAWSTGNAFLYLASRCLHSMAMEGSAPSIFKRCTAKGVPIYAVGATALFVLDL LFGYISSLPESL
SAPIO_CDS6231	MLYSKNSVYLKSEISKLAKLGNIQGGIPPVMRGLPVLAIMAGEA VAQATHGRNFIEERMLGADEAILLGAPPKQLPLTTRGDAARPGGVDREPGTEEKP
SAPIO_CDS6234	MTFQAVVLGFEPTLLQRVMLLWVFIAGGLVIAVQVSQWHETQPI RWTRVTPTSKPYWYTLYVTEVFLFVTASYPLLLQMMEGYAFDHEEKILNTLWIGLLGL ETLMVLGVAVQSIVRRLQAPPKVKTI
SAPIO_CDS6236	MTSTANTVEVRNIALSTSDNEVRDFFSFCGKVSDIHVTANAETK SATVTFEKETAMKTALLLNNTQLGANTITVTSPTGATSEGGTPEVHAADRDTDEITQE MKPRARILAEYLAHGYIIGDAALERAIELDNKHQVTNKFCATLHHLDEKYHAADRARA ADESYGITAKGKNFLTEIGSFFEKASNTPTGKKIVKFYTESSRQVQDIHNEALRLAEL KKEAHGGSAYKASGLEKVFGKEHEKTPPAPGPAGEKSAPAPAPTS
SAPIO_CDS6237	MDVGDTLSIAKFAWEVYNYGWTPELRASTNYNSFGSEVRHLAQN LDLLSSVVLRAQKSLHDAGAPSSSRLRWDPNSLFEIVGDYGATLRECRQLLDDNRRFG AATNNPLRNIEWNALVQGRVDKLRVRVQMHSLSVQTVLKPFEIDLLTRVHEDLSRRIG AVHDELRSVRGELRSLIGVLVPDLKTALDEQAARKIHLLTVPADLAGEFEDAFSLHPA CVEPDQQQYPALRDMADAFIYRLDRSTVGFVPGLTVTERIPGVEQYLNLLKCLVAPAV SDIDGDMLQLWIEEATKPLIETVITNVMMEELLNTVLFSTSPNISKRLRLLRHLGSQD KRFRLVISAVERRAKGPPRQELIPVDFDITSSTLNPVYALSSTFEPPFEMILTNNNQI YPLAFCTLDDALEFQQGVTGFKVADGYCQNHTTASFVIEGQGSSLFEEASVQLWLHRR LPGSLVTDTADFPSPVERSMSTISIPSPPSNTTSRSTTLQNPPTVDFRSSFSPTETMA HGLGITSISSSPGQTSTINGNHNSHHNPQQPRSSPLPSSPYFQNTHRSQTMTSFGPLS TSPVQDPPQSPPSSNGRRDSVFSSLFKRNKKPRAPSITTTKTQKSSSSSASNTTEATV TVLSPTGRAQTAVIHTKPLKPLLVLFTSNAQQNTRATVVISIDEKTLPNPQRCWCQQG TSPEGGPCKITAIEQCQGAKPLEAKRLQSRSGEWDLLALAAPRRSSLAAEWRGLNRLS ILFAGPEARLVFGGTFCRCERQTEGEVLECMRRGHLGLLGMARVLYRIQSTQWYKGRY LNSVHISDRSLGEGPGEVGWTGS
SAPIO_CDS6238	MASTDFPPMRPPQRTLFNDIEEEIENPDEIVTAAPAEQFRLGYL DVTCLILNRIIGTGIFNSPNRVVVGTNNTGASLFFWFAGILYGLSGAHVYVEYGLNVP RYVIDGIEQTVPRSGGDLHYLQYVYRKPRYKKDTVLFFACLYGISFICLGNMAGNSIS FAVRALQATHPGTAPEDFSPGAVRGIAIAVATATCFIHAISRRGGILLNNVLAIVKVG ILLLIIATAIAVAAGGLHDETGAVVPNYIGHNTARDSSFRYISDEASGEANGYAQAFL SIVFAFSGFDQPNYVLGEIKRPRRTFPLGMMTGISIISVLYMAVNICYMVVVPKEAQI QGNVAQQFFQRTFGVLGNSETGERVLNAFLAISSLGNIIVMTYTASRMKQEIAKEGFL PFAKFFAQDRDYSLGRLLRWFQARGWFRSILRHKWFSPEAHSEKTPVGALFLHFLSCM VLIGATSRLRPDDSYNTLSSASAYLFPAFFGFLLALGILILRFGTPPATSTVNTPHHP SVPGTQGSGKRTWTEMTRGSVNPTLSVVCAVIYLLGNAYPIITSWVPPSARFGRSTVA WFVVPTISCGVLGFASLWFLGFLARAKRREHRRHQEFVVERYPEFEYAEGDDGGVVGG GEDGEEVRRKAGGLVLVHETVSLLWKGRDTIELGRMMAEANGHGGGIDKESATAFVNR NPFAGTDFEGMGR
SAPIO_CDS6239	MEAVAALSLACNVLQLVEQSYNAATFVKSLKDGHAPEGSLLGYS NSLRKLGDELRQLSDSQGQGSISECDQGLQKQAKAMLDVAGELVTELEKLKGSKRMGA VKYLIWKKGKIRGLEKDLGQIQQDMDTKVLLDLRKRLMQDQEQVASSFGHLRQQLQDF ILKQLRANGTPLSNKLADQLTKIRDDIQAAIETEGNNTRDHFDRRLNEAAAQRQEERR LEHSEQNVQQFIKSLWFEEMHARLNQSAIEHFEGTFEWIFEPTSKESESELDKPRWKS SLFCEWLRNNKGLFWVSGKAGSGKSTLMQFLINHSRTEEIPRETRQDVLIISAFLWKP GTNPLQRSCKGVLCSLLYQILSSPTHQAAAALLVGRLRQAHLKRSPSDWSEGDLKSCL RDTIEWMGNSWVYIFIDGLDEADDASHGILGLVDFVQSLPRVKLCVSSRPEPAFVRKL EAFPHLRLQDLTRDDIEKFVRAKLCDCIEEDEKEAEYLILSIIWKAEGVFLWVRLVVN SVLRGIDESDDWEFRRKRVENLPNDLTKMYNAMWKRLGDDEPDYCEDAALYFGVMLHP DLHSVLYNVYQSVAMMMLVVRPRIRQELLENPQAISRDELDKRCWQIKEQIPARSAGL LEVSFLDEGERSSSGKRDWRLATVAFIHRSASDFLANEGRDILAHAKLTEKDIFEGQI VLSFMFDYEILPAPYQFCPLFYPGDSAMHIVKGLLMLKPHLSCADQIGCINTARRVLE GLPEWRDKKRDCLGLFACYGFTEAVECGFESEIKERLADQGYMDQLLSSFLRFGDTTF LDKSPVISWLLDNGSNLDSGETGTRDTAYPTPWMGYLLWLLSGPPRGLDSDKWYKDQE RILPRFINRGVSMESRCALTAFQFDDGEPGFGHCTDWLENGNGNDVFSLVVEVSAGAL TRIVFSNWKSKESPNFDSLIGTAPMDLKVIGIFKYEGPGIRPLSIQEVLLKTVEMVIH LDQHWSKREGQPDSVNLESLGECFSQARLQKLWEENKNEVMSIKSAAQWLVDSGYLQP EVIELDPYGIFTFNPTMAPVSFRVK
SAPIO_CDS6241	MNRPSRTEDDMVHPNWNQNPPFLAPDLTTCEDLNGIANSRMLKK ASGGSVAAFGLLEKPGLRADSDSEADGRVCLRLEIVPVQERLRRLTRQFIKSKAWSWT WWIFSVLATSFLLSSTGIVAGNGSAPQASPPTAKGTLAPRVLSKRDECENGGVDPKEY NVPLHVGALLIIMFVSSFACGFPMIARRFPALKIPDAFFFVVRHFGTGVLIATAFVHL LPTAFLSLGDPCLSAFWVTDYPAMPGAIALGGVFFVIVIEMVFSPARHFSHCAPPAAV LRPVGMDTASSAGNRSRTSLDDDIIEPDTRSTTSPIIAMRDRRIEGGANNNNNNLPGD NLARRDSADKAVQISSPLDDANAEIGFSARFLTPEQRLKKEVLQCVLLEIGILFHSVF IGMALSVSVGNDFIVLLIAIAFHQTFEGLALGSRIACIDWAGHPHRPWLMALAYGLTT PIGQAIGLATHSLYNPNSAFGLVLVGTMNAISSGLLVYASLVELLAEDFLSDKSWEIL RGRKRVIACIIVFAGAFLMGLVGAWA
SAPIO_CDS6242	MPDINTLPGSSTHSRTASRRASTNPMPPPPIPTSPSVNILPSNQ NAVAGGQIPSPLPSPQMYQPAPAAAVPFASVESLSGPGPLRHPRPLTAAELHQQLERE QEAVVNRLTRELALLRAAQNASVVSNASSTSASATGPENTPAPGTTDTHLLSGAGYSF PTPRHHRTSSNTSARSQTAALLSTSADARRPFHPPPPHDLSRHSSVSHRSRASSPGPQ NVHLGDGSLHYLQQQRVPHTSVTGSSVAATPGSAAGEPPYSPGMIQGTARYEETAFYR SELEVAKRENEVLKRRIRELERMVRERRASDAGSSRQRSESVSTVASVNVSGAVGGGV GIAGPREGGRAVERERGVSITGSVAVGVPEEELKVGESAASAGLR
SAPIO_CDS6244	MGWFWADTSATAAPASTPAATGCPVPHAARSRPAAAQDGQAPPA GCPMHKATIDAFTVSKHKRPTTDDAAPSACPVPHGQPQPAPKEKSLLSQLNPLNYMFP DLSNAPAPNQSIKLPTSREESSIPRADANENWEYPSPQQMYHALLRKGYQDTDITAVE EMVAVHNFLNEGAWAEVVGWEKRFSSGLMKGWEACRRGEENAPEQLMKMREAYETEPT LIRFQGRPKEMTPKAAFLQLMARVYPSKFGMEPPFDRHDWYVARNVNGQTKEVRYVID YYTGPPEPTGEPVFYLDVRPAMTPTGAAERFIRWGSDVWWRASGGSAREAEKQVKTT
SAPIO_CDS6249	MHTERPPGFDPARDNESKQGTVIAIAVVFYDYAIFFAQFVSIAV SALTIVEAHYALGRHLWSVPPEDSMMQIKILYGVILGYNFGLNVVKICFLLFYLRIFG STILRTISKWFLVYVGIWTVTQLILLAVTCMPIAVIAPSMEGRCINTYPLWLFSSIMS MLTDFVIFALPLPWVVKLRMRRKQKIVTVLMFSLGFFTCVISIIRIFTLAASVNAADP TWDNVGTGCWSIVEFNCAILCSNLPTLRPLVVKYYPSFAQSSEGDRSGSYPRYSPYAR ARRTKQTGASAVVGSDGGGSGFNKLEESGGGRSTVTTGGSGGGERSESQEHLQAVATM CYSGVKDRAGIELEDRRGATGRRVGEGKGKGILVTRETNVVSDLAPVRGHGRNTSLGI 
SAPIO_CDS6251	MSTTARASAALKARIRRPTMLNKVCRAEDMLQHFPNGAYIGWSG FTGVGYPKKIPTFLADHVEQNNLQGKLRYSLFVGASSGAETENRWAGLDMIERRSPHQ VGKAISKGINDGSINFFDKHLSMFPVDLVYGFYTRNRPHNNIDVVVVEATDILEDGSI VPGASVGATPELVQMAEKIIIEVNTSIPSFEGLHDITMTDLPPHRKPYLVMDVEDRIG RTSIPIDTEKVVGIVESDYPDATSPNTPPDAKSEAIAGHLIEFFEHEVARGRLPKNLL PLQSGIGNVANAIIGGLNNSNFSNLKVWTEVIQDTFLDLFDSGKLDFATATSIRFSPD AFKRFYDNWEKYHNKLLLRSQSVSNSPEIIRRLGVIGMNTPVEVDIYAHANSTCVMGS RMLNGIGGSADFLRSAKYSIMHTPSTRPSKNDPDGVSCIVPMCTHIDQTEHDLDVIVT EVGLADVRGLSPRERAKVIIDKCAHPVYQPILKAYYEKAEYECIKKGMGHEPHLLFNS FDLHKALQEEGSMRKVKSW
SAPIO_CDS6252	MATPTTSSLPPPVITPANPILENRQYRSVYDEWLDETGGTEGQS PYLRTPKSLAEIPAENLGPMTTTWDESLACTTTWTTGKGSEVKRITGLCHERFNYYSP AICPMGWLPAFPPFPEASETPGVTSTLCCPSMNCVFTRLALTTGTEPPVVTAIIIETP IQVRWHESDLPQLETDPLANHPPTWPKFTFDPRFADSWPSQSMSTPLPMPMPEMEIAR REQPSSSYTTPAPSAVATEYALPPPRDSQVVSLVLCFIPVILALVVSTIGFVCMAVRK QAARKRSKRRGNVHYPPYVPLILTWPSLLLLASVLITSIGLIQIATEVLSRDDRGVPE LVTGIRMRIRARQAANSSHDNTAPLVLNPADPSFEGYRFIKLNPELGIVPYVPDFFWD NNPGNLMVLGCDGRTLLSPLSYTKVGYTNPNPVHDGGYLLGNNNKWFVLTPRGEVIEV DMERRDRTRVGQTDLARPAGVLGVETNPDQKPPDASVGGSIDGDPADGDAGPLEEDPQ HLRPDAAANDGEEKEIIGPGQTILDRERLATREELLKEFREAAGPFLVPPIYSGDHQY FAPVFGLGGGGVRDASSDVGSPQEVIGVGVGVGVGDESDRVAALAGLGSLGGLGTLGT IPGRVAVPGSGAEFGSVKAFGGESFAGSADDDAPTDPRSRVPGSKSPVVPLGVLPPPG DSASDQEIEVSPDRIFDERPGFGRPASGQPASDGLASDGSTSDRPAPHGPAPDGPTTD EQSSGGGTYSNGIPTLFVLTEADYFFASFAPNLLTIIFTIPWRIIHHQIVSMEPFFHM ATSQGITISHASRLRYRLLSGFVPPSSSLWNRDWAIAWAAILEYICAILAPIAAEALG MGVSGECDESDANGCMVVMEVLPQLTRIAQVLLGTMLAATLALGYTMIGRTSGLRNDP RTVIGVTALLRDEETRRILHNVSEEDVVTIKAFKKKFGGEKLRLDDFLPRNGMSEYGI TSMNSSSPSVGGGVSGEEAPARGGGEQARPGGIASSDDDRVEDVTPKPLDVRWSCGVL IAFAAFHIGLTIMILYWHIAEPEDSPFGEFMWSQRFGVRFLLAAVGVATNFGWMVVFD DALIFIPLWNLAKGYCKAEDTILRLYSTDPYSEFLRSLLARRPFTAFLSFVSILSDFL PLLLTNVPYARLLTKKANQASVILSAAILAIMAVTLLALALFLWKYRPRFFIPLELLR KTPLLGIMVLACNSASTTAAGGGLSVMTSGEQRESVRKAGAYYKLIGRTGGRCGAGIE MIEGRAVGDEKRQGRKFLGLVYSREIQVSTPTSLVAEHPLERWYPPFLKTEKDNQESG VEGSTLIVFQHLNTSLSFDSYRPIYNRKKCKSVGMDSQGGQSSPPSEASSNPRSDVVH PSVSGSDITEFSEFIPQPPVDDDTDWIPFFEDDNPEDWVNEAPEPLQRLDTAISVNFS AVRLEEFTRPSLCPAMFHPVRSLDAVEFPAHLFIVSGDMLIEVRAFSIAIRDATGAEF HGPIIRHPEERRPRPQGMIQYPVKAYICGLGASVTVSIRRMYVAYLRSFGGVITWNFD PAIPQPTTADLELFVQEDPIVAHPMVRHVNDLVVYRGPGPSDDIRDLEMWQVFSLLWD RRRRLALTVGININYQPLNAVKLHDIQVHNIDEAQAVRPRHHYLLQKAFEVPGFYFRG EYGELRCNDDDGYTMYFRFIIPKKHWRLWITLLDATNLPGVDPATVRPVDVVAARVAT IFEIANTGVFNTTWSMHTPWFGHWITINLHRDRDSSWAKFITTADIIFVLNLFVKGRV DYLGGDSRWDRSLHGEAAYRCQRAVDRFIYLRREADSLGYYTSSENTLNAIRQLCRAD IRLDLQRSLGRDSPVWEFFSRDEESGWELFVLCWIHNDYLLWWE
SAPIO_CDS6253	MKPFPTTLASVALVGAGAAVAGGKGTVGLCQSMTYADGGIYCRE TNVGSCISDLGDFPAKKTWNLEILWTDDGSIHLIKETNQRSVYTKFKDKLYIDASPSA VRPISFTPDNTTLTGGETSWAWSGAYGLILWSPTGNPSDGLAEGGLVTGQGVEWLDVA GYPGLKQAYWNVTKFEESRRTGVNRGGSFAMCYGDYQSVIVEGDRP
SAPIO_CDS6255	MASTPTNESGPRYHTKRFKGKGLGLVAARDLPSGTRIISESPIL RTDRNQWGKNIDSLNEAIAKKVDEFNKAEQKAFFSLHNNVPHNEKFKYYNICKTNALP LEPGSGNYGVFIKASRMNHSCRPNCHNSWDPNQDKLHIHVMTDVKQGDELTLTYLKGF QPSAERKEILQSKFHFKCTCGLCSLKQTDLEKMDGSLSQLSKLEKTVDSEFDDCKTPS KASDNLWRLCKMVKLCIAEQILGFHFYRLTLRASELVYIHGDAARARAFAKRAHDIAL TCEGWNSVSAAKAMEVMRDPARVWPPSLTSEWETGRYHIPLGLTRTQYEDWLWRAESF LVPSIADRHALVANLRSTEYFPSYQQLPKEGHSDPAFYGSDNAVSPSSKHWCLLAQIE GVQDTAERVNIEVKDRFGNRCLVALFYDHSLYPENLTPGKVLVPGETLAVLYAQIHET KIIYVDSSYRFKRFRTSLTGLYELGDVLLQYYTPREETMKCHGCDKELSREFPKKCAR CHVFWYCDKACQTVGWNKGHKHHCKILEDPEVMKLFLFEWHMFRTWIPFPLQKSLYDP GSGLGL
SAPIO_CDS6256	MTRSTDFLIRGSTHAYSKVPFQVMQQMEMSYEVRSTTRNDAFDQ MTTLTSHPDADANATADPGSHANGAQYRAPAFRWRSLKHQNPHRQARSVSLWVRGSIH SRSGVWSADPSMHACDLWPGS
SAPIO_CDS6257	MSDYRNYGPFYAAAAGLTGAILFTNTGLFLASLCVCRRLGDQAR GFVRWLKVSFPFFGGFLFFHTLACVLGALLWYDVIWNSGAYRVSWNIDSFFYMIAKAA VVLTFSSLIRGILFARNSTPAKLSAPARIAGILFFVLVVILSIVYLGVAIYANVGYYQ RTTGRHAWRIEGAINIIIFVISVFLLALSALVLTKVKQFAHLKKAATILLVASVFFFI ENLFRVVWIGLYGGLTLESEIAISPDYGLILEFIFSTIPFFLTLVTLFHLGRNKNALA SPPTIIAPAGVAAVQPVQYAGQPAVPGQVYAQYPTGQYPVPQNYAYGQPQGQQAWQPG MYYYPQQPIPQQQIPAATYAPQPAQPQTQPTPQAPSAELRSTPAPNTISSMSTTPIQS PVPTPIPQLSELGQSKEKP
SAPIO_CDS6258	MTTKLAPGPFVRAVWRSFMVDSGLEGRLLGEHFRIKEAAAGKVT FELDIKRQHTNRLGTLHGGCIASMVDLGGSLAVASMGRFATGVSTDINVSYISVAGRV GDTVTGTATCEKIGKTLAYTMVHFWNSKGELAARGSHTKYVAGTLTPEKPEYAAPNQF VDKTDK
SAPIO_CDS6259	MATNSLQLGDYLEKLPGTTFRKLYQQPSTAFAIFRRMLPHLAKT IVMALLYMPKPMLYSDLDIWVKPESERAKRHSLSVLRSLHIIMISVPGKGNKKQEIQL TANFKNSFRLALDGGGDHNSFGVLSTLPIPPEVDLPFLERYARKKWDDILHFVVNSVG NRAGAESSSGPKLSVKELLLAGRLVERRTGGHMGGAAGVGITQAGFTFLLQEANAQVW TMLLLWLEAADRSGAGQSVDMLSFLFVLASLELGRAYDTNALTEERRNMLPFLVDIGL IFIPSHKPQQYFPTRLATTLTSSSSSLRTVSEGFAAVTSLTPTTTGTPTTTDTSAVTA PSTTSQKGSIIVETNYRIYAYTSNPLQIAVLALFANLKMRFHEMVSGRLSRESIRRAI SYGITADQIINYLAAHAHEQMRRKALANNKPVLPPTVVDQIRLWQLENERMKTTSGFL FKDFDDMKEYEACASYATEIGVCVWRSDKRAMFFASKHEQIRDFLKLRKRAD
SAPIO_CDS6262	MSKSKTLLLSLLTALSALPPSHAQVVISTVVESFQWQDPFGAHP TPLGFEATCESKKTYRASQHLIADLEEQPPMGLAPWSDGIFYFFGGRPYPGTWDGVDN KGESREIMKMEYADVPRAVRAWIEEQKKGDSESVTKFKFAVFEKPKKEGDRIAGTAKP KKEGEEPVKDEDKVLMFAPGAIYDILPLWVADGSDCEAELLNLENYQAYPEDKKIVAW PVDRTWPDDDAGDPNIEFTVKAQILQETEEARTARLQREKEEEEQRLRQEEEAKRLAE EEEEAKEAAEEAEKVAEEGRPGQREEEAAVESEAQAAEGLTEEKKGETQAVPGKDEEE SSTMEAKTASTEATQEKDEL
SAPIO_CDS6263	MFDIFAKLLSSIASFLFPLFASYKALKTSDPAELTPWLMYWVVL ACALLVESWTDWFLVWVPFYAYIRLIFLLYLVLPQTQGARIIYQTHIHPYLEENESKI EDFIASAHERLKNAGLAYLKQAIALLKTKVLGLPEEEPAPAPAPAQTNNAQSYTQSLL SRFSLPAARWTGAGIKPPGADAAGIPSLDFYSFLTTTLSGAMNAGFPGSGNDADIDAA ASGTGSRIVIPDNLRGIDRVKFLAAQREKLNDAIKALDRESQTLNREDTIRPSDAARA EPRSPRSDVFPPDERPPSGLSSWSGLSKSRSEVDFEKIDVDSATEEEANLRQRPSASG GSWIPWGWGSGGTPPAQEKEKKEETTAHSSGVQKRPQ
SAPIO_CDS6264	MASSLHASRLLATGSPSPLLRRLTRPSRPLSTTSFSSTLLPSAL SAPTPAAYPKSCLRNGTTRVSKFQHPSARLAVSFARTATTIPSKPAPAQPAEETTTSP ASTSSSTLTNNTPEGSTYIDSPEGSPYANDWDVDWSTSFHGIASAPFSPEVAEILRRP IKTKDVEIKPDGILYLPEIKYRNILFDAFGPGGWGMVPRGPVVVGEKIVTREWALVVQ GRFISQAQGENTYFSKETIPRAVEACKSNALMRCCKDLGVASELWDKAYIRTYKSTFA EEVWVEHVTTKRKIKIWIKKGDSVEYPFRKTGAASYKPAAAPAAR
SAPIO_CDS6265	MNQEMAEKQEKVAAQVDVQTADDDDEPDEWEQRIDKTGCAAENA KMTDCYFERKDWRACAAELAAFQQCWKKNHNDERTSMKDVEK
SAPIO_CDS6266	MSASRLNVLVYTGPGTTVESVKHCLYTLRRLLAPNYAVTPFSEN ALLKEPWQPTCALLVFPGGADLGFCSVLNGAGNRRITEYVRRGGRYLGFCAGGYYGSG RCEFEVGDKKLEVVGRRELAFFPGTCRGGAFKGFQYHSEAGARAARVSVARDVLSLES EVNGDISVYYNGGGVFVDAETMKDEGVEVLASYSDELDVDGGKGKAAVVYCKVAEGAS ILTGPHPEFAPANLSPQPSIPGYDELLNEITSHNEQRAKFLRACLTKLGLNVDETLAM IPSLSSLHLSALRPSEVDEMLHDWEEIITRDEKGEEFIKAESDSFHIEKKDSRWDHAH PPVDSESDGTTSGITDYTSIVKKLIPHEESWPDVKETPNFHHSLFYQSLVSYRRTELG AEEWGNQIMYGEVVTSTNTLLEKNTTLLSKLPTGFTLIATTQVAGRGRGSNVWIAPPG CLIMSTVINHPAHLAASRPIVFLQYLAAIATVEGVRSYGPGCQDLPLKIKWPNDVYAQ DPRSPPSSPRYVKICGILANCSYTSGSYQIVLGIGVNTNNGRPTIDLDSLLPADGSVA PFRIEKLIARILTVLEALYAEFKRDGFGERLEKRYYRHWLHSGQKVTLEAEGGVRARV LGITRDWGMLRAEELDGEGRATGRVWALQSDENSFDYWKGLVKRRV
SAPIO_CDS6267	MFAPLNYTNPADLEDYDIPHDTGMPAILAGILIPHIMCTLVILG RVISRLFFLGRWYIDDTLIVTSWAFSTAICIIYSIAAYTPDILLAPNELTLQHNLEVE GRAGSIHPYIMRTYLGLIFYQLCLCLTKLSVLAFYLRMFTENKKERWIAWGTMCFVFL YGIPMLFISIFQCHPQDGQFFGRPMTCFGFAPLIISSAGLHTATDAWIIILAIPCISR LDVPPRQKVALSIVLSLSIFVIAASLLRLQLSLHRHFRPGSVGVTNTLAFFVMTILEC DVALICASAPMLRPVLAKLWPKMMMMDQRRRRVAMTRDDTDDGSVDLAFAGSYHGYPW RNFDGPVPKNEREVAMGHVYEGWRSTTPGSTLSDLERATERGTTPLSLRSFFGSTRRL PQQFHRYNEDDGRAMLRSDVAAESRPVSIGFEDYFLSHQQPLPPTPQQRTNSKQQKKS KEYEVSEARWDQSQESFVLGVDDPRYSRLSPVSGFSGETCAAPGEGEGEEAGLTTATP TTGEERVPQELVEWSMEGKEKL
SAPIO_CDS6268	MLISQGLSALIWCTLALATTSPQDLVSSSSQVQPQLNIADSQVR QGDFMSQQDGGEVGTRSKGLPSWRRRKSRQSRAEQSRMSTRLVARQEGVCSNSLVCPD GSGTFQCCGEGFRCCVEEDGSGACCPIEQGEPEVQEVGGCVGESCSEAEATAKAGAVP TAVLTTTVVRTVTVGSITQTVVVPITVSQTLTFLDPALRTQTNTVTITSEVLARRQVR RQEASIPQTEVRNSTITAEAVQPTTLTSAIASIPGAAATQPAVLASESDVSRDALKTV TVTTTVEVVTTVTGIVTVTSTILNTVFVTLTLAPSATTTVFVTATVRPRPEITGGQLP GGFIPPTGNEGLLPPNPPILPSGSSPSNSPIPPGAGQNPTITAGTPLPPGVTGVLPPG NPQSMMPTAENPSGSPTSPVRPIPGSSLTSDEIAGIALGIIFGLFFLILAGFLVYRLI KKKEALEITRHHQEMASSGSGSIPVGAASILSNSTQNRLIRPAPAAVLASDGTTSTTP GEGEVRIVIRPAPRRRTQSSGLFPPSGQGSRAASGSSSTGAPPVVAEGSRRAQFPRPP GYSGQTYSFFVEESGSTSPMDPNAWSLASDHGSRNEQQNSPTDNKWSPTSPRTYDVVS RDVGKGSGSGGGDFLSVGRALSPWKPV
SAPIO_CDS6269	MAHRLITQVVIVGSRIVGRSFLAAYRQAEASSKYARAQARLNPD AAASGSRGGLGAGMTLEEACKILNVKPPRSGEANVQEIADRYKRLFDANDPKKGGSFY LQSKIVRAKERLDRDLGPIREKMEQDAEVKGGFKPKIYKDRKALAEAAMFSWPNTGRA QMLHGRGWDLGEHAASLHGVWTRLALAERSVGTHV
SAPIO_CDS6270	MSNYYSNQQNYGQPSASNLQFFPSSYTPGVSGTATPSQAYGGYG APASHATAYGGGVTGRMGEQGGLRTGWLAAFSTEGYEGEPPLMEELGVNFGHIQAKTL AVLNPFSRIDQHLMDDSDLAGPILFFLLFGFFLLFSGKVHFGYIYGLALLGSTSLHAI LSLMTPPDSGTTTPQYGGSTYHEGPPSAAPGGGAGGGPHVSSTLTFARSASVLGYCLL PLVATSLVGIVVPMDTPFGIVLTSAAILWCTASSSGMFCAVGRMRGMRALVAYPLALF YVGFGIMGIFSSRGSGTFARLQKH
SAPIO_CDS6271	MSPADTCCRKGEGACVCAQQATCSCGKQSALHCTCDKAAVENTI SGPSCSCGSRPVGQCTCENATVENQKPTGATCGCGARPAGSCTCNNSANETDFTTKK
SAPIO_CDS6272	MKYTVAVASLAALVSAHGNITSPAARLAGPAMAAACGQAAVDAV NADGTIPLENITPETAACNLFLCRGATFDDNVARVQTFTAGQVVDFTAILPIPHEGPC NVSVVDTATNTVIGPPLIEFESYADENLAELPANNTAFSVTIPQLQAGLCTVAGQCVL QWFWFGTGAQQTYESCVDFVLA
SAPIO_CDS6274	MTAPAKKEEPTQIGGADLKRFVVHSALLSLIDPVRGEPTRTSLD ENPDGDILGGQQLKQKFLDSFALICSTSRSGAETASAVCLEQHAPAGAILRVARNRGL TPEDLTGLEGVLQILRVVARKAGDIEKSSTQAECEILRLVVELDRDRILSIAEWVEKR RIQDVLRVAHSRLLAGQVKPETWAKPEFRLWLESCPFTAASLKTWSPATLAKLIDWAS QARWRYSEQLQSLLGLDRTQKPPWLDRLHKIARYHSAIKYMVRLAAKQPEVFACIHIR EVEAPSSRSFSLLNEKAPVLAAVKNLVKEDSGTTMQQLEKHLSTQDVEAKLRKACRLE LTLHAEMQIIVFYEGNPSLVPRMPFIGTSKKACFLCHEYLLRHPLGLQVSACHQKIYP SWMPPPYYPISGRFKSTPFVKLSKHIEQLTKRELKTALTAPRRPKNLDSTAGPSLTIT ATTSIGLGSRQAAKVQFTPEDDSLSRDLE
SAPIO_CDS6276	MRSVFLVAASLGLTAAEDGLAGWLRYAPLDESIASEYSAPTTII LLNATENSPIASAGAELEAGLSGVLGVEAKVAEGACDTASSVIVGTVGSYQEACGDLP EGAAELGEDGFWLSTEGEDDTVQIIGQNERGAIYGTFEYLSMIAQGNFTKLSYATNPA VSVRWINHWDNLNAGGSHGSVERGYAGPSLFFSNNAVVSDTSRIKQYARLLSSIRINA IVINNVNADANILNDRNLDAIAKIADAFRTYGVRLGLSLNFASPQSVGGLSTFDPLDQ SVISWWTRKTEDIYKRIPDFAGYLVKANSEGQPGPITYRRTLADGANMFARALKPFGG IILFRAFVYNQLEYSNRKADRANAAVEFFKELDGKFEENVVVQIKYGPIDFQVREPAS PLFANLPTTSMAIELQITQEYLGQQAHLFYLAPLWKEILDFDMRWGGEELRVSDILTG KRPGGKTVARGGFAGVSNVGMEKSWLGSHLAMSNLYTYGRLAWNPWDDSVDMLHDWTR LTFGLDDEVRDVITQMSMESWPAYENYTGNLGVQTLTDITGNHYGPNPASQDGNGWGQ WTRADRNGIGMDRTVATGTGNAGQYPPEVAARYEDVETTPENLILWFHHLPWTHKLST GKTIIQHFYDAHYAGAETAQTFPLLWQKLEGKIDDERYEAQLYRLEYQAGHSVVWRDF ICRFYNRMTGIADELDRVNNHVWRIEAEKMTLSGYSRANANPSEAASGGTAVTAGGGG GTASAEIPFDSGVYDIAVGYFDVSNGKAKWTLSIGDEVIGEWQGDVESRISKATSNGL DGHSAARKLFRGVTVEKGQTVKVTGSPNGGEGAPLDYVSFQPEGILD
SAPIO_CDS6277	MDSYKTRSSRRAARAKGNGNGNGNQARSRSRRRRSASPRASDSK STSTNGQPPPPPSLPPPPPPPYPPGRSLRRGESDSYRPPSDDRYPNSSHSQHYSGGGG DSYRPDFRPPQSDFTFRVANPPGVLPLPPPSGPAAYTRSRPPRHPRDGGYRGRNPRFP PRFTASERPILQSANDFLPAVVLRDDDSGVKYKDIEELSDDEEHDMEISDSDQSESAE PSSKRTRRSLQATETDANVPKWSNPDPYTALPPPDPSQRKKLDVVQLIRKARVEATED KEAALAELDEFISFGGDTDDEEEQEEKRKQKKKKEKEDRRAVEAADKVKPTQGLRIVP AYDFREARQDSDNPLGSRKRTFDDEIKPPLHSIKKKGPVKMRVGGGIMSEWVAPKGQD PCPWVIVNHSATCLMGAWLHKEVMDFYEHFRPRKFEQELRQRLVTTLETAMKRGSQFN GIELRAFGSFMSGLYLPLSDMDLVVCSASLLKTSRATARCSSKNFLYQFRKFLTVQNF PHVDTTEVIAKARVPLVKYVDRLTGLKVDVSFENISGISAIDTFLVWRKQYPSMPILV TLIKQFLVMRGLNEPVNGGIGGFTVICLVVSMLQLMPQVQSRNMIPEHHLGEILMEFF DLYGNRFNYEEVAIRLSPPGYVPKSKVTNFPYKNWDRLSIIDPNNPSNDISGGSSNFA TIAREFSDAYIALRDRMNDVASMPASDRQNASILGVILGGNYKNFETQRIYMRQLYEK VYGPCTDEPCNFN
SAPIO_CDS6278	MKSGLFALVAALAAEQVAGHALFQQLWCARVPMSNTPVTNVNGP DIRCNAGGARGVGAKCPVKAGGTVTVEMHQQPGDRSCGSEAIGGAHYGPVMVYLSKVD NAQTADGSAEFFKIFQNSWKAAGGVGDGDNWGVKDMNACCGKVDVPIPQDIPDGDYLL RAEVVALHAMPAQLYMTCYQLTIEGGTGTTVPAGVKFPGAYSASDPGLTANIHAALST YKAPGPAVVPSGLEVTAGSGCSAGCQKTCVAGKSPAVQLETSAPPAAAAGGAAGGAAG SCTVSKYQQCGGQGYTGCTNCESGSRCDTTNQYYQQCV
SAPIO_CDS6279	MKVSPIVGALSLAAGAMASKCASRNWSGWENAKHAFIFGDSYTQ TGFEVNGTAPSASNPLGNPPYPGWTSSNGPNWVGFLTTKYNATSSLRTYNLAYGGATV DSALVEPWKPTVLSLRDQVTDLFLANYAQDATDSQVSWDAKSTVFAVWIGINDVGNSY YNGVEATTELYWKIFDVYSSLVEDLYGAGARNFVFLNVPPVQRSPLILAQGEEAAALE TEALERFNALIEGLAGDLKKKHEREVNVWVYDSYKSFGEVLDDVEAYPQTAGYKDTTT FCEAYQNGTPEWDTFDENCTYPVNEYFWLNSLHPTYPIHDVVAEGVAELLSGPPNVGA 
SAPIO_CDS6280	MSDLRDRDRVIYDRSPTDHVYHRSPSPSRHSHIERRSSSRYHGD FTNDGRHSPDFHATPRPTHRYYHDDPQPRSSRRDLSPDYEPRRRPAERDDHHTEAPPR RFRSPSPSPTRAPLPRRPTVLRRQSSLDTYDRPRFYEREEYPPPVRREDIHASYGASP LTSRVKTMRIDETRRSEYRDDDLSDRHSLVSSGAGVGLGLGYHSPSGPSSGRERVRER DVVRVSRRRRSRSRDSLTSRTRSRRSSSSSRSGSSKSSSSSPSRATSKSDEYPKKGKT KIPAKLLSKRALYDLRYPFIDEGKTIVILKALSQEQIDQVLRVSDEYKKAELEVSSAR SSAGDIEDRREETRVPTPAPSTPAPAPAPAPAPTPAPAPAPGPVIIDVHPRPTTPVVI HQPEVEVIKETVVRDHSPSRCSTYTSTTTCPSASESTITLPTVYERRRRHSGPLVIRS RSKSRSRSRLGRDIRSEIRALEAELYARDHHHHRRHHRHRSSSIGGELVRVDRLGDGQ VVMFEEKVERVDEGYRGPRIEKDKKGPPPALVRAMLATIT
SAPIO_CDS6281	MNPYVADPDQIPATDLYADVPLYGRYFPKPDDFKVDPQHINSQS ADSLQYWGSVVDRCDESVRIYPADEGGRDVFALGSVIVKSSHLHKTADGQQTEIDYSY ADANEVQAIALGKSVLKDVRVPAIYFAGKINGRQVLVQQRLPGVTLAVAWPYLSQRQK ESFKQQAREILWLLHTIKPTDGWRTRSYVVEDPNIRTNHRINPLEWDIIFSDANTDPD ISFMHNDFSTSNCIVDDDKIVGLVDWEMAGFFGWRTAGEIHGRIRTPQREHFVSANLS EEMLRDMMWWNDLYDDGMPQSTE
SAPIO_CDS6282	MALYCTVAAIASQSTPTANKRFSTGGTPYSPQESPELSPRLRRA STLKLPIKISTDPSFSSRSSAAARSRTRSLTAISPEDNPIRQQQQQQQQFPTPPPALL ESPLLSGTAPETPFTDNTSSSNRPTAPSSRPAPPTPTQQQQQQLPARQEAASTARAPS RQATSTIPTSTPERKSSASSTRQQAPSRAPSRPVSPEVPRATPSRSRVEETKPASARQ IQDQQQQTQQPQQRVAPAPTMSSPAKNPQLAMDTAQAYTQGNTQAGNRGPIANLPLPN LSGQANGVIGNLLKGPVGADGKLKDAALMVGIKLDLEAEVHLTARVRGDILVGLY
SAPIO_CDS6283	MLTFIAGFAAVFGTAEGVRAAQSKSRKEEHRTRKNNLVAHCPKS SKFSPLLEGRAIVLAGDKVYIDTGTEYNVPFGHPFEGYYLPYPGQRYSGLVSTITHEA PIMNWIFVHKDTYEIKFGTRALSEGNLTGPWDCTRQDKRLTFGGWEGFCAVKEGDFWA LYFDRDNDRLKNKVAPGTPVIELELLRTELRAIKPEEVQLTEEQLKALQEQAKLEAEK MAKEQAEREAQGQALKEAFMKAQAEAEEKERLQAQQNTQAKAQPQAQAQAQQAEEHAQ PASQEPTQQQAQPQTPIQPTPEVKVQSESSGQTSPTSTSDEQSSSSSPPDSSGQPSPT STSDEQSSSPSPPERQRTRKRRKDRPTIKIPSNNPNATASNPNSINNNNRRTPKSGRN GGRRSPERTGTPSERNSTKSPNGRKGNRTPNRNGAQSPSRSNNGGRSTSQASRGRSPP RGNARHNNGRRSPDAMTTSSNGRSNNRRRSPDAMTTSSNGRNNNGRRSPDAMTTSSNG RRTPNGRRSGANGPRTPSARNALNSPRNANTRSPRVANPSSSLRSPSVMKSPGGLMSP GLVYSPRGMKDLNLKSAMHLLVGSLAGWRELSPIIKKYGGMSEGTHGSGYGGDSGPNR LEP
SAPIO_CDS6284	MSQKPWTAGYYCPEFFYFIKARKRNGVNGIGEKVHYTRRSDPEE FWQVRKIREVLQSANPPIETDADRIRSDFLPIFSVLVYIREVSTIEWFLKEEIFGSRL PLSENYQVEKGCMMTEEVLAKFIKEQWLFCPLSLRRDYDIQWSGKPYKRDLQDRQVIP IPGWPDPLPSHDRGKGIYIVDWPEKLDGGCSDSGPTKVVFKVFDIEARSRGEKEATIF HNLQDAKPHPNIVLPYGSFIQRGRYYIALEYANEGNLLDYFNKGPSFPDQRSLENFLE QHFALYSALQRVHRDIKPSNILVFRQPDGGLILKLSDFECASTSPPKGAGHRTYSSDD GNRTYIAPERAAFGSIDKGARQAQSTRWQTFELGAVISESFIWAAFGNRGREQYRADR NREIAERKPEADRAGCGYMFHDGIEVLECVSYWHRSAAGELGRSNHVCHAVRRVLENH VLVPKRDRLKPPMKVNDEFQGFMDRARQGGELPGSYSQVFRPRPSRSQESANLIHSNW GSAIIPPIDHGGSEAVNYHPISPNLLRNASGRSRGETEHTRRQEESDQPLPFRAACDP SVVHWNHQPLHLTCEPKSPRMNSYFQSPQMMTSRRQSESTSEMLYDGFGGPLTPEPVS QSYYTPPQGPRIAGCGSFNGTMPGFDGRLYAHHSQEQPAHIWGTNRFSPARPPNPAPQ LTGQPRSAPSHTQPHVSGQLRGQLPSALLHTQHQRDRHMPPQRNRVTGSPSYRFSTQR QPTQNNIPLDMAVEDIYMGMRKPTIEAPPVPQDVQKDLGNVALSRLAKSTDQDGAEAH LTSNPPEQIEPPWWNLWKRFEIWKKSG
SAPIO_CDS6285	MAEEKKLRDNVPRVKRFNALGTTIFVGLRAADAFLQTYLIRNGW AANFITRLGGQPVLPTTVFTTEGGLQPYYHVISLMAAGSSIKQIATMLYVSEQEMPPR EATAISAFNTIINSLNTLFSLWVLTSQAPAPGPLESLLQSPAVMIGIGLYVAGISTEM ISELQRTFFKRNPSNKGKPYANGLFSLARHINYGGYTIWRSAYAFTSGGWPWGLAVFS FFFYDFASRGVPVLDQADEDCVYPSSRQTATGKRKQLRDLEARIDQLQNQFNSFTAKF HADAEADIDTDIDIDTGSPSTTTQRLAPASEFGGHASQSSSSVANTVDCATFVPELSN IIEQLPDSDPNLQEELLDQSDPSTCDAFMPLVKPPQDWTEFEERRRTWWVGFSADCLV AATTGLPLLNNVKHPSNFLPAPEDNFSSGREVVTTTLDDALKDPATRPSIFGLRVILS HLFCQSVEARSAPCPWDGVNGTIADSYWSRHVEIDNSLGVVSSLLPELSPPYRCQHSV FVNILFHVATICLHNAVIRKARNDGCPVPEEIVRQSLDQVFAASDKIIQLLSVWQGLK IALRNPILVFLVYTTALVFIERSVQEENLDCRNKALFLFNLLNSVVETHPVAKMQAAR LAADLEKAGIDPSAQTELGVS
SAPIO_CDS6286	MSRILTVFGATGTQGGSVIRAVLADAALSAEFKIRGISRNASQP SAQALAEKGVEVKEADMGSKASISEAIKGSHTVFLVTTPSFTTQESLELVHGKNVADV AKEQGVEHLIYSSLLNVTNETNGRLKHVAHFDMKADVEQYIRSKGIPSTFVLPGYFMT NYSAFQMLRKGADGVYNLTYPVSDKAKFPLFDAGADTGKYVVAAIKNRSKVLGKQILA AADYYTPTRILSEFEKTTGNKATFTPVDSEAYTNFFPSPMGKEMLENHLFIEEPGYYA GRSLEESRELLEGVGLKPTTWEEFLVKNKDFFKS
SAPIO_CDS6287	MAFIRPYKPEDFEAVAHICRATLPESLASSPDTIALAPYLWAHQ YTHLSPQTCFVLDDSTGRAVGYVVGTPSIPSFIASYPSYITTILFRDVPPPGPGPRRD WLIPEGEEGAGEVDPECLRQMAYDASWLLEESREDIVGEYGATLHIDLDERWRGGGWG KRLIERFLESVMEIWKTEEGGLSGGKGRGVHVGIAGENAEVMGFYARCGFVLLGERDG TILMGLKV
SAPIO_CDS6289	MIVLSKIKALTALTALSGALASPLSNKIETIKQLLGRDTLEWTA LGDSHSSGVGAGDYISNSYRCLRYNHAYPVLMDGDARLPTGHDFDNVSRRVAKGPAGD GFKLYVTGFPQFFSVETTECDDVTFARTANPKDDGKEHTKLTQDLRKEFNDMSVQLNK AIEDAVSRNKDQGVKWIPTDGEMEGHRYCEPGVNEPDQHNPNLWLFHYPYNEAKDDTV DGPLQKALDKVTAAADINAKFKTYNDFQNALFDAIEVGDPNTEGIQDPLWRGIGNRVK VFHPQNALHEKIRDLVLDAYINDLQGSQGGPAGGDAAGDEISQCFFYLQQYRRCEPSG EEYFATLDMRQPDGLRIDEASRPDITAANQASPLVVTGGALKKDFSAVGKFDTGNQGD EQAMYFTYGPPVEFGE
SAPIO_CDS6290	MSSQPLDKVDVGVDSKHVESASGSMLVIDAETEKKLLRKCDLHL LPPLIVIFFLSFMDRTNIVHFIRSSIESRYQTLAALYMAELNHYFMGVLLGIFEAGIV PGCIYLITGAFSGLLAFAIANMSGVGGYEAWRWIFILEGILTAIVGVVSKWWIPDWPE TAHFLSEEERALLVSRIAQDVGEARMDHLNKHAAKRITKDWKIYLGTMAYFGVVNTGY AGSVGCPLPD
SAPIO_CDS6292	MDHPPSAHKQRQRHVPDRERKRAARACDGCRRQKEKCDGGVPCR RCIRLRRECLFLGRPPRLVNHVRDLPENECLTQTARGAQSISRGSDFAGIEEERFTVL PLGNNAIHYSGEFSHWNFSMRIKKWLQLCASGTDQQSRQDTLGGFKFKEYYRAEELQS SETFSSLSSLPPRHITDFLVQVFFTHARTNYFYVDKAWLLNKIDYVYSNSESISQRDA STVCIIFTVLAIGTQYAYLGRPVEPGSHGRGRGRDQDPAGPFSEDAIGVVFYQQACKL VPDVITLASLESVQACLLIGVYTLPLDASGLSYIYLNLAVRVAIQNGMHRRHGGEGLD PIVRETWNRVWWTAYTMERRVGIFHGRPISIASQDVDAELPLDNPGVPRDPASNHLAA VLETVQLHELLAEISKEISVLRQPTMPGAMNTLSRLIDLKNAVHKWWDDLPGDVFCKE PTRQSQIRRSDMHLHLEYCLVRMFTGRPFILPRFRSRNNTGSGVIRSPSTDSSTADSR SILASDCVAAALAVVDTCKVLKETIGLARASYTEFSACRAALLVIIAQCLQERTDRLR QYLGDGLAMIKVMSAGGESARSEASLIEVFERAISRLYPVNYSVDSESVSDYTRFKQW ETLWRGNSPNEPQPRPNLGPAMAPASTNLREEAAGPTRLEAVQQATSSVAIDWNFPPL PQDLDEFSSMFGQRDNGESNPIGSYFNNMFT
SAPIO_CDS6293	MASLSPHFIKPWLPKSPPPDYLLTNANVVDPLTGDIIPDATIFL SGGVIIAVTTEPFSQENNAIKIDVAGKYVCPGLIDAHVHIGAAPGESDFGKVMTTPEH QAMLRMTYVCRSMLSRGFTTVRDCGGAPYALKQAVEEWLVPGPRLFLSGHALSQTGGH GDFRSPHDHCDCASGFVSGLGRICDGVPACLATARDEIRRGADFLKIMGSGGVVSPTD RLDGNQFSPEEIRAMVLVATNSRTYVTCHAYSPESIRIAVENGVKGIEHGNLLDEPTA KLMAEKGCFLTPTLVTYQTLADPALPQFLTGESQTKNKRVLEMGMKALKIAKEAGVTL CYGSDLLGPLGTYQLREFSIRSEVLSPLDILKSTTVNPARMMGEAESLGQVKTGFRAD ILILNKNPLEDITLFERESEVLAVIKEGRNSSNGEAVVGIAAPSPHDSLNFESIPGGS SREKLWRRSRGKEKKKEKKKKKKRGGYLTGSSSSAWVITCDDCRRLKEKCQGGEPCSR CTRSGRPCSFTGSFRSNRPRYREPGGDDNTFFDVERIRTLERIVSHFTGTKEFDKKQL TRLLTSLTPGSDLQRRSLGQGRTPSRTEISSEDKETSPSTTSKTPALYSGELSHSDFT LRLQQNIESRLNDFLPDDHPERFTVVAESPSATQLHSKDLVVLGAVSNFPPPDVASFL LDAFFEFAQTNYSYVDEPLLRGKLNDFYSSSSPSHLSINDASWVCTVLMIFAIGTQFC HLCSTTSDDPFSPGPVDREMAHTEDDAIALKFYHQASKLIPDVIAHASIESVQAFELL AVYTLPIDAAGLSCTYLGIAIKIATQNGMHLRYHKHLEPREVELRKRIWWTAYTLERD AIVSFKRASGKQKPEIIQSVVNLKQQLRTYWQSLPTETFCRDLTPTKPLFRFNIHLQL TFHLIHIFIGRSFILKGSSLADILENDPTTAVVLKGTIDDLVNDCIYSAIAVIDLCQT LDDEIGLARTSYTEFTSCCAALLAVLAQRISTQSPRLQHTCDKGIKLLKKWSIGIFSN NSEKLTIVALEMAIHRLDVRARREQHLASEVGGTNSQAYSRFRTWAMNRHSEAKEREM SLRTRQTSGTETEIGRAPQMTPDSSLEMFPNSASLELNQQLRVLYEV
SAPIO_CDS6294	MTEKNDIEHVGSQAHSANVGEVKHVELGSEDVAAKFLAELDAAI KDGDITSEEARRVLWKIDLIVLPIIAGTVILSAVDKVVISNAAIMGMRSDLKLVGDQY SWAGSIFYFGFLIFEWPTAILIQRLPVAKLLAASILSWAILMFCSAATQNFAGLAVVR FIFGCAEAGAFPICSILTVMWYSNREQPIRVAIWYNQFSSVFSGIVSYGISQTHTSLA QWRLLFIVLGGFTVLWAATIFAFLPDSPVSAWWLSSRERYVAVRRVQKNNTGIEDKKI KWYQVTELLLDPKTYLLALFACAQNIPNGGLVTFSSIIVSGLGYSVPITTLLGMPTGV IATAWQIVLAVPCAKLKNVRCMVIALANIVPMVCAILMWQLPRDNQMGLLAAYYVFYT YWGPYVMSTSVPMANVSGHTKKVTMNAIYFLSYCTGNIIGPQVFRQNDAPSYTRGYTG LLICLIVSSVSISLYGLLCKLENSRKDKLYGPADMALGGEVIETEGFSDKTDKEKPEF RYMY
SAPIO_CDS6296	MAVPIRNYRIAAIPGDGIGMEVVDAALRVVQAAAEVSGKYRIEI TNFPWGTAYYKKTGAFLPTDFQNTLKQYDAVLFGAVGYPDVPDHLSLWGLLLKMRGPM QLYANVRPIKCLTKPRLADVSPSDLDWLLIRENSEGEYAGHGGRSHMGEGWEVATETS IFTRHGITRIMRFAFQAARERPRKHLTVVTKSNAQRHGLVLWDKIAAEVAADFPDVTW DKELVDAMTMRMVTKPQSIDTIVGTNLHIDILSDLAASLAGSIGIAASANLDPTRKNP SIFEPMHRSAFDIMGKGIANPIGAVWAAADMLSWIGEKDSGAAVMAAVERVCKAGKVT RDMGGSLNTKEGGEAICRELLEKK
SAPIO_CDS6297	MELKLEDPSLLKTECYVAGRWVGARSGKQFSVDNPSTCDVVAKC PEFDSVDTESAIGSAKNAFNSFRKTTPRSRATLLRRWYELMIENVEDLAYIITLENGK PLADSRTEVRYAASFLEWFSEEAPRIYGDTMQATNPSCRIVTLREPVGVCGLIAPWNF PAAMITRKAGPALAAGCTIVVKAPGETPLTALALAELAHRAGFPAGVVNVITTLENTA DVGRILTTHPTIKKVSFTGSTGVGKLLMSQSASTLKKLSFELGGNAPFIVFEDADLDA AVRGLMASKFRISGQTCVCANRILVHRDVYDEFLVKVVEAVRAFVVGDGFKEETTHGP LVHDRAVAKVHEHVTDAVTKGARLLLGGEPLTHLGPNYFGLTVLADMRPGMKICHEET FGPVAAVFAFESEQEAIALANDSNVGLAGYFYSKDVTRCWRIAEALEVGMVGVNVGKY SPCTFIFFEKPH
SAPIO_CDS6298	MARTIQTSVVTEVPGPQSKAATKKLDTFFDSRAVHFVVDYEKSS GNYIVDVDGNKYLDVYSQIASIPVGYNNPALVAAAQSPLMVAALVNRPAIGNFPSRDW HDILKDGLLRVAPKGLDHIFTAQSGSEANELAFKASFMFYRRKERGHGVEWTDEEIKS CLDNAKPGSPDLAVLSFANSFHGRGFGSLSTTRSKAVHKLDIPSFKWPQAPFPALKYP LDKHVEENAAEEQRCLDEVERQITSWHCPVAALIVEPIQSEGGDNHASAVFFQGLRDL TKKHGVVMIVDEVQTGFGATGKFWAHEHWNLTSPPDIVTFSKKAQTAGYFFGDRMLIP DKAYRQFNTWMGDPARAVLSKAVVGEILEKNLVEECARVGDALYAELGKLAQKHPQHI RNLRGKGYGTYISFDTKDSAALVRSMKELGVNVGTCGVQTVRLRPMLIFEESHIPILI TALDKALSLNR
SAPIO_CDS6299	MGAFSVPPKVANPTRFGILSAANLAMTEAGLPHLEAIHKPVRID GASLLGGQIKTRTRGTRGGSHGSVVKKWKARETEKGYTWKEISLDYPGEAYWAPYQWQ LEAFIDRKKGRPGTGK
SAPIO_CDS6300	MEDNKDQQIEFVEGHGSIFAKDATSLAQEPYPSLLAVHRRISRK IDRRLLPLVVLLYALSLIDRTNLAGARISGIDGALGLNLGDRYSVVLSVFYVGYVFFE LPSNIVLKKLGAPLWLSILALLFGVITLSIGFAKNYATLLALRILLGCFEAGLFPGCL YLIAAWYPRYEVQKRIAIFFSASTFLSSFANILSYGLTQIAGEPERTGWRWIFIVQGA ITAAIAIASFLFLPDFPESKGNKFLTTDEKEALKSRLVAERGDSESGKVTWKVVKDVF FTWHTWTVFGFSLVMAFCLSAPPAAFSILYSLALSWVADKVHQRGSFAIANCLIAVAG LALTGFLDAPAGRYVGTFLGMGGATALISSALAWGQNNVRLDAKRSVLTVTQVVCAAI GGIYSSQVFRQENAPDYTPGIIATGALLLFDAFLAALSMYLLRRLNKKADRGEIVIEE SPEFRYTL
SAPIO_CDS6301	MDVSGKVNLGRISYVIYEHPDVSKFLEFSKDFGFEPAGRSDDDA TLFFQGYGPDPFVYVARQAPEGHGKRFCGAGFTARTEEDFERACKLEGAQLRDMPQRP GGGKVVSIPDVNGYEVQVVYGQTDRVVPKKGLSNVFDGRPNVNGAITKVRKGIFNRMG SGPAKIHKLGHFGYMTDNYTETCAWYARNFNLKPTDIVHKRDEPSTELMSFFHLDLGQ EYSDHHCLLVAAQQGSGKGTTVHHSSFEVEDIDTQMMGHQWLKEKGYRAMWGVGRHIM GSQVFDYWYDPTGFVIEHYADGDLVNEDTPTVRSTGTAAAIWGPPLPTTWH
SAPIO_CDS6302	MDSLPARNIPTKALVVEKPGAPFVLQDVVLDEVRPNELLVEIKY SGICHTDLVVQAGHMPLGSYPAVLGHEGAGVVRRLGKGLEGLDIGVGDRVLLSYSSCL NCSACKEGRKGACSSIATVNFVGTRGSEGENSAVNLPNGGRVRGTFFGQSSFSKLAIV DARSVIKYSGSVEELAFLAPLGCGFMTGAATVLNVLKPKPASSLAIFGLGAVGLSVLM AAKAERVQEILAIDIVESRLQTAASLGATKTIDGREHESVEAAIREVLPDGADYIVDT TGLASMIDQGVRALGHGGTLAIVGTPRPQEQLRIEALDMLTGCKTVIGVTGGYCDPQK NSFDPDPSQLTAYARHTPS
SAPIO_CDS6303	MATSTIFFDRFYNAGQIVDNAPRVGDTTYHGIDPSTGTPLWDVP VARENDVDDAVAAANGAFKKWSSLPQQERSRLMKDLAACLEKHKDALTDVLHKESGKP RPFAESEIMMGINTMRRHAEWSVPDQLLKDTEDVKIVVQHVPIGVVAGITPWNYPFQL AILKIAPALATGCTIILKPSPFTPYTALKIGEMAAGILPPGVVQVLGGDDNLGPWITS HPGIAKISFTGSTATGKKIMAAAAETLKRVNLELGGNDAAVVTEDVDVLEAAQLVATA TFAHSGQICMATKRIYVHESIYDEFLAHLVSVVKSYRLAEGFCSPIQNKMQYEKVKSI YNDCEEQGYTFALGGGKTFSHEDRPGYFISPAIVTNPPEKSRIVQEEPFGPIVPVLTW REDEDVVKRVNDTHTGLGATVYCRDEKRAWKISGSIEAGSVWVNGGLKLDPVALFGAH KQSGIGGELGPLGLKYYMNTRTVTYWKTSSKGPQANSDNTSKGGLFT
SAPIO_CDS6304	MKDFCSNVRPCEACLSKQLPCTVSSTSRPPGRPRNRVPVSPGGQ PIRENGGSVTDSGIPPVPQPWTPNSSGLEPPLDGPSLMTSIAGIDIPAVRDAAAAEYP AATGLTPPPVMDEWMTSESASDFSIQGPFPGAANISADQICGDDLGNWLDDLNEFSVT DDTWPADSSAFHVESDPSMDSAVEMMAEHLQRRSHTQSPQSREEQKRSWYSAPPQLYV YDEDVVNILLNLSRAHISSTFALFSDFEANRDTRVELCLAMAAVGGLYCTAPAGIKVA KMLFNDSRRLLLEQYLQDDSMPFDPALSFAKTFILLEIYGLCSGDKRAYEFNEVFHGS KLHAVSSCLSALPRDAPLDQRRQAQLLSEAMRVLDSYRVLLLRRPPSFMGETALDPHT LSNMSRFQSLPTGGLIATSSRGGPLDHHPPTATGMDHLVMVICYSWMASPQNTENSTY TPLWRLEFVELALDRWIRTQTTRTETPQRPLEVSQMLLYHLTKVSLHSNLSHLQRLTP AALQAMVSRKDGDDILDSVRAWRNSRHFTIAHWHAKAILGIRMAQEAVAPSYPDPGPS IQAFSPIVSEDKRLNFNYPPAHMKMSKAYRDIRRVDEKSFDYVFEENVSVVLKDGKGI VRCNVYRPKSQSEKYPVLVTYGPYGKDVHYSVFHASSFAEVPEEHHSAHSSWETPDPG FWTRNGYAVVRADERGTGQSPGLLDTMSRGTSEAFFDVIEWAASQPWSSGKVGLLGVS YYAGSQWRVAARQPKGLACIVPWEGMSDYYRDRCRHGGILSNTFISFWWNRQVVTNQY GRPGRAARKWGYDTVEGDLSEEELLANRRDQNEDNAAHRFLDEEYYASKDYDMADIKV PVLSVGNWGGILLHLRGNVEGYLHAGSKYKYLRLITGRHDLPFYSKENVEMQKSFLDA FLKGHDAEGWSTGKAPKVGLVLRKGNVGYNDAEAEKQYPHRYEEEWPIPRTKYTKYFL TADMQLSTNARPSSTAVEMSLVSFQAPGSLKTPQAVRFTTAAFESETEFTGHIVVHLN VSASSLPGKETIPSEIDLFITLRHLDAQGAEIYYTGTVGDPVPVTKGWLRVSLRKVAE SHPRHRPWRPHREYRSTDVAPVESGVIYPVDVEVWPTNVVVSEGHRLVLEISSGDTQG AGLFEHNSEVDRPVDLLEGMTNIHFSLEHENWIQLPLIPPM
SAPIO_CDS6306	MNGPNGVANGTLPSVLQTDYLIVGTGPAGASLAAFMASYGMKGL IVSQDSSNADTPRAHITNMAAIDCLRDIGLDVECYKAGTKNDTMVHTRWSNTMAGQEY GRIYSWGNDPKRKGDYELASPSEMLDLPQTLMEPLLMRYATLNGFKCRWDTEFVSFEQ DEKGVTTTFLDRISQTTFQVRSKYLFGADGARSKIVRQAQIPLIRRPGQGFAVNVLMH ADMSHLMEHRKGNLHWILQPNLETPEWGWIGCMRMVKPWYEWLCIIFTTPDAPREVRS EEEYVKRIREMIGDDSVEVKVLGVSTWAINETAAEYYTKGNVFCLGDAVHRHPPNHGL GSNTCIQDAHNLAWKINFVEKGLAGRELLDTYSVERQPVGLDVVTQANASLRNHKNIW EVLGNLEPTVEERMKAHAEIYADSPEGHTRRERLSKALRAIDREEHGLGIEMNQRYVS SAVYQADQGAMPVFSRDPLEHYHATTYPGARLPHAWLCNHIPSKYISTIDLAGKGHFT IFTGIGGQHWKATAEQLSAELGVPIRVYSIGYGQDYKDVYLDWEGIRGVGDSGCVLVR PDYFVAWRCPSWGEEAPSKLKHVLKSVLSLH
SAPIO_CDS6307	MHLMSSQSASGLHVVIVGAGIGGLACAIACRRANPPLQVTVLER APEILALGAGIHIPPNACRVLTRFGLLEKLKEAGGYQVQDFTLRRYENGHILAEKPLK DRTKAEYGAEWIGDYQKVLLDEALAAGAHAVTSAEVTGICSAADGPQTVLLKDGRRIS ADVVIGADGLWSLIREIVLECPFPPTETGDLAYRGTFTRDQLKGLKNERMDKLLEQSN VQVWLGPGRHAVFYPLRNHTEYNLVLLVADDLPNGLLTIQSSLNDIISCLKTALKWKL LHFKELNQWTKGVIALLGDASHPTLPYQGQGAAMAVEDGAILGLLLDKFQSTGIPANQ IEKNERLASLLRLYEDLRKNRTEVNVAGAVHTRHFYHLADGPQQADRDRELAGLAREK WQGSCSFNWGDAQYQRSLLGFDALADAEERFDEWSVSLQKPIHSNGHL
SAPIO_CDS6308	MALVHVAMGFLEALRLSQALYALLLFYIISIIVYRVFFHQLAKY PGPLLGKFTDLPKMMAMFRMDRVTWQTKMLKKYGDPVRISTNELIFGSMKSWQDIYGQ SSNPCTKEPSFYDMFTATGATSILNEIDRGQHSRLRRLVSHSFSERALLQDEAIIRQR VDVYINTVIAPAARRGESVDIYNKTMEHYLDIVSYLSFGKSFDSISGCGEMNHDDLDR FQDSVKSFSEKVKADPEFAKGTFLRNLVDAEDAESGSKLSFEELVENTIIFLVAGSDT TAVTTLYTIWECGRRPAVRMKLVEEIRAAFRDPQEMPTYERASKLPYLDAVIQETLRI WGPLSAAFPRVSPGRLISGHYIPKGTIVSTSSHATSRDPEVFPDPEVYNPDRWLNPGK EMKEMSRPFSYGPRNCIGRHLAQIGLTLTLARLYQLYDMENDCCMTEEMMRPKDRGVT SPWAATVLVKPTLVH
SAPIO_CDS6309	MASFYTNAPAPSGLLHKTNDHGAERYSPGLNIVIIGAGIGGLTA AIYLRYQGHAVTVLEQPHSTNDTATVLHLTPNSNGLLRRIGIFAEHLDANSVESVSAD EEEMRTETADKYTATTMQISEYDANNRLLRNTDLTDSNTLWLHPWHLVNRARLHEELN RRATSLEGEGVPVIIRRCSCVADIDATSGTALLENGERVQGEVLIGADGIHSKARPKV PGGDIGTKASGKSAFCFQVPRQVAMDDVLTREYAEKDGQWHFTGMRKEGEEKAYIQAE WIIKADKAKLIDVYKDFDPSLVALMGKAETETFDAWELLDLGVLPTWVHKRLALLGDA AHPFFADQSQGAGCAIEDAVALAVVLQHGITAGEVPERLRLYEKIRKERANRVQEYLR LAGRDLRNKGLELGLYWRMPVSFGPFPGPRQTFEGRPRSSAQSTFTIASIKFKTSRTI LENLFPSSSFRFKSPGTVAYASFSQTTLDKMEWLGGSGYRYFGLYIHGVEYVQNDGQV LEGTFLPILFEDLTDPIISGREELGIPKLYCSIDLWRRNSSYWIQAGWQGASFGKFAL DGLREVSLGDDKGTIGGEDDHGIFSYKYVPAVGQRGKADVEYAIFVPHAEEAKAVESK VQKVYKADRASVSLDPLHWEALPTLYHIVSRLAEIPVYEVVEAKVVEGVGVPNFSSAR RID
SAPIO_CDS6310	MLLANQTVTSFEASPRWMSEMLKLGASPREVADLSVPRTVSSTG MVLSDCVSSGSMTSGSRPRQVGEHAWWNIAGCFALGNPISSLYVRGRQGLFVSVHPAT RTLILHSRADRFLNPSGVRFGGAEIYNVLERQLSDAIVDGICLGQRRPRDPDETLILF LRMKPGHRFNQKLVKRVIKNDLGPRHVPKFVFEEVPEIPVAYFSLVPPAPLSSGRSDQ VQYWVI
SAPIO_CDS6317	MANSDDVVFGSTVSGRKAHVAHVEQMAAPTIATVPVRYKLDKGQ TVNEYLQTARRQTTDMIPHEQMGLSRIAKLSPDCQRACGFHNLLVVQPNNNLDETPDS ILGKWRDEGDNIHKFNAYPLMVELRLGAKEISCKASFDSRTITALDVARLLSRFDWVL QQLCRADVEMTLSDIDLVDTRDLDQIWAWNAVVPKASDLPITELFQRVVHAQPEATAV CAWDGQLTYGELDKLSTVVANYLVELGVVRNQFIPLCFPKSMWATVAMLGVVKSGAAF VLLDGSLPRQRVELIIARVKPTLVLASLDYERLARELRQNTAIVSSGFVAELGTRYEV ACSVCIDMDSSVYAVFTSGTTGTPKGVVISHRSIAAAVHYQVPAFQYTSQSRIYDFSP YSFDAAILDAFLAFGAGGCLCLPSDDDRKNNLTRSIRALQANTVLLTPSVARLLTPSE LPGVVVILGGEAISAKDIEPWWHNVFTVYGPSECTPVSLVNPNPLGTKHAARLGKGCG VNTWVVPPDNHDLLLPPGCVGELLLEGPLVDSGHYLRDLENTAEVFIETPKWLLRGHA RVPGRRGRLYKTGDLVTYNEDGSLSFVGRKGLQVKIHGQRGELSEVENWVQDLVAFLQ ISDPNDQGRFQSQGTHRKRLREFGSSLSSQELAAMKTAGQGPKEQPTTQLERQMQTIW ARVLQIDVDAIGLDDSLFKLGGDSIAAMKVVAEASRIRITLTVADIFQRQSLRAVAGA CAGSSSSTFQGDPEAFSLLGMDVSARERLIQGTSEQYNIEQTSIKDIYPCTPLQEGLL SLTSKQQNGEYILQATLDIAKGICIHRLRNAWEQVYRAMDILRTRIIYQHNYGFLQLV VDDQISWIIPKSSLDDYLASDKREPMGIGQGLTRYALIEEQGEIRWLVWTAHHALYDG WTLPIILGAVSAAYNGASVEQQQSLQFRSFIKYIQSRAEDETVNYWRRTLAHGEHILF PSPLSPGDLTDSSVHQTTKHIFSVSKQPSRSSGITLSTLVRAAWALVLSRITNSNDVV FGVTVSGRNAPVEGIAEMAGPTIATVPVRINTQAQRDTTVFAFLQMIQHESVEMIPYE QTGLRNISKYSADCHQACRFHTLLLVQTQEEFAAGDVSLGTWRDLHRQQNSNTYSLLL EVCPGADGIVTVEANFNPRAIDRSMVRAALERLGWILSQLSQAGPQKLLTDLDICTAE DLNTIWEHSVTVPQAIERCVHVAIEDQARIRPNQIAVSAWDGNITYAKLDELSSAVAR YLTGVYGKTTTVVLFFEKSMWTTVAMLAVMKMGAAFVLVDPTLPQQRIQSILKQLDAT AIVSSAVNRELCASLVDTVITISPEFCESLISPPAGPLDKQHLPVVSPSSLLYIVFTS GSTGVPKGVMVTHRNVSTAIHYQTEKLGYSPKSRVYDFASYSFDVYICNVLTTLSVGG CFCVPNDADRKSRLQESLISFRANLVELTPSVAQVLDPAQLPDLETLVFGGEAVHIRH VLPWWGKVRVFNTYGPSECTSTSTINTSSDSAESATGVGHGVGVVTWISDPTNSDKLV APGCIGELLLEGPLVGNGYVKEPEETAAAFIQDPKWLLQGTPSYPGRRGRIYKTGDLV RWDPRRGLTFIGRKDTQVKLRGQRVELGKVERRVAECLHGTGKDISEVQAVAEIVTPQ EDESRAVLVVFLQQESSIVSSADMDIFAIPGDVESQLAAVLPQYMVPAFFISIPQLPM TQNGKMDRKKLREIGARISTEHIENTRGDIAKHRREPQSETEKALHQIWCRVLALEPS QIGLDDNFFRLGGDSISCMRVAAEAHTHRMQVTVADMFRYPKLSELANHSKGSTVTEV ERLAPFSLVRGRADITSLVKDISLRLGVDESSIEDIYPCTPLQGGLLSLSSQEEGEYA MQFVLELSQEISLERFRDAWGHVVQALPILRTRIVQHDILGLLQVVLEEQIEWIEVAN GHDGDLSGRSSRDSMSIGQRLTGYTLVANPSGIFTRFVWNIHHALYDGWSLGLILDLV TRAYTGQGREIDPPQFQLFIKYCQDKQGNQATADYWRDALSDCGATPFPALLGEDNNT PRTTAIFEDEFEYDHQNTRNPHVTPSTMVRAAWALILGCITSSDDVVFGATVFGRNAP IPDIGKMPAPTFATVPLRVQLDSNKLCCDYLQKVQNQATSMIPFEHMGLQRIAKLSDD CRRACMFQTLIVIQPQDDNTNTQSHTELGRWLDASKEQGPHTYPFTVEIFITAASIKT TAHFDPSAIQPWLVRALLRRVETVVYQLSSAGPSQCLSSINAMAQDDMDRIWGWNKVS PDPIDRCVHEMIEERVRTQPDLPAICAWDGSFTYSELDEKAIYLSKWLVANLDPISNT FIPLCFEKSKWTPVAMLAVMKAGAAFVLLDPSTPRARLQEISQQLGNSLVLCSASCQE LSLLLGQRALTVDHAFFSEDLTNGNIHHAALPSGRPSDIIYLLFTSGSTGRPKGTIIT HRRAATALVHQPAKFGFASESRLYDFSSYVFDAPIFNTLNMLESGGCLCIPSDGDRQT RLSQSFVSLQANTILLTPSVARILRPEKVPGLHTIIFVGEKLTVGDVEPWCTAGVRVI NAYGPSETTPASIMASITNSVLAKQSHDAVILGKAVGQIAWIVDPGDHNRLLPPGCTG ELVLEGPLVGQGYLDEPEKSAEVFISSPPWLLQFAPSDDDQLLREGRMYKTGDLVRYN DEDGNLVFLGRKDSQVKIHGQRVELGEVEARVQQAAAGVTRVAAELVTTDTPRECKLP TTALELVAFLEMGDAVGKDAQVELLGVEIIDQLNEHLPTHMVPSTFISLQFLPITATG KVDRRELRRIGSHLISQELAQSRLLAGKRQPTTELERQLQEIWAQVLNLDPKAVGVDD SFLKLGGDSITAMQVASSFRGVGITIRGADIIRQKTISNLVKATVVSKAAKRTPQNQK HGLATVGHHQPDEKGVLTQLGPIQRLYFAIQPDPTVCFDQCFLLELRRRVCPKTLNQA LADIVKLHEALRWRFFMSETSNSWESYVTDEVLGSFYFSIEGPQSNSAEVDAISACRG RLNIQRGPLLSAVFFDGDDVDESKSQRLFISIHHLVVDLVSWRVILGQLEKLLDGQTT AVPSSVAFSYWTESQAQYAAKNLQQTSSSDYPTLGGDDSATFTSSSYWGIESASNQVG DTLVKEFVLDEVTSSAILGDCNITMSTRPQELIISALIFSFNKTFTDRPPPNIFTEGH GREAWTEEIDISSTVGWFSTLFPVRAQSYDADASLRNIIRETKDGLRTLARNGWSYFT GLCHTEPGAKLYAKNHSPFEVVFNFAGQYQQLERNDALFGMLNLPSGCEPSSSANTRR FSLFDVDSFVNRGHLTARVTYHKAMQHQPRILAWVGEYEATLKEVATTLPRWALDWTL ADFPLAFKRYGDLDLFKAKWLVERGIKLADVEDVYPCSPVQQGILIAQAKDPRSYSTW FEINVRVGRKDSKPGVLDVPRLRTAWNAVVKRHGLLRALLVPQLPGSDGPMHVVLKNI ELDTVLRTSKVTTANGWLQERRSVSQGNDQTAFAPRYCLSIHHPDAASACLRFEMNHV ICDGFSLGLMEADLIRAYEDGGELPPGGSYSTFISYLAQQPRQAGIDFWQLQQLRMRQ CNARTRPQARQQKTTRLLRGVGPDTCHNHTDGVGTGSGHKLASKAESVKEGQLTLEHG DHFDPTEYDVVVHMGDDSAQMKILLSFRPGCLSSADAVKLADRFSEAVFAIVSNPSVT LGGISLLGEEEAAQIWRWNSSALVPVERCVHHIVEDVVRAVPNAPAVHSWDGDLTYSE MSCNAETLSEALVAVGLRQGVMVPLCFEKSMWAPVAMLAVLKTGAAFVLLDSQLPVER LQTICRQVNTIDVILTSEKNVALGQKLARTVVQVSAATIADMGKEKKARNVKLERHLG RTRLAILQSAPSQSQPSSSAMFAVFTSGSTGTPKGAVLTHSNFASCLAYQTEKLGFHQ ESRVFDFASYAFDIAVHNPFAAFTTGGCLCIPSDEDRKSANIGKIMADMKVTIADLTP SVSRLIDPGIVPDLETLILAGEPVSVDDASRWWSTVNVVNAYGPAECNIVTVNADAST PSEATSIGKGLGVNTWIVDRNDTDKLLPPGCVGELLLEGPLVGQGYLNDPEKTAAAFI HDPVWLLKGTNHHAGRTGRLYKTGDLVRYGDLDGNLTFIGRKDGQVKIRGQRVELAEV EFHVKECIPGVTQVVAEVVVPPAKNNSSPGRPILAAFLQMNLDDDNYTDERSEIKVVP MTADLEQNLASRLPSYMIPGILFSCRQLRKTVTGKIDRRRLREIGASFTSEQLADAQT NGRPKRQPETDTQRKLQRAWAQVLGLSLSSIGLDDSFFHTGGDSIAAMKLAAEARDLG LNLAVTDIFMRPRLSEMCQGLSVLDEMIDDKIPRCDASVSVPLSLAQERLWFMQELHP NLNWYNMTSAIQLSGPLCLRALETAFSALRKRHESLRTTFSMEDGVSKQVVHPFVPEP LEVVHLASTADGRVDEEALRQALQQDQVVPFDLRAKAPCRIRIYRRGPLDHVLSLVIH HIIFDGWSANIICRELAIMYSRAKQGLDPLTSPELEALPIQYKDYSIWQIRQTAVEKQ RHQLEYWVNQLASSRPAELLCDKPRPSALSGKAELQNFHIDGPLYGQLQEFCRCHGVT LHVVLLAAFQCTLYRLTGYGDTTIGVANARHRPELKNIIGFFVAVQCIRTKVDEEDSF INLVEQLQETTKASYANQDVPFDRIVSEFSKNRNRDLSRHPLVQIAFTVHSQIGAGTL SLDGIEAKPLPTTNTSRFDMEFHFFTEAEGVRGDLVYSTDLYYASTISNMLSIYRKVL GEVLNTPSETAISQLPLLTTERYSQLDKMGFANTHYSSYPSESSVVDIFRQEASRYPN RVAVKDPSSQLTYAQLDRASDRLSGWLLRQHGLEPGHLITVITSRSCLTVVAFLGILK ANMAYLPFEATVPAGRIEGILGTLEPQRLVVLLGQGVPPLVLNPGAEVMFANISEILR LHVGEGPNRDGSTTEFAIPAGPSPTSLAHTMFTSGSTGKPKGVMIEHRSIVRLVKNSN VADALPSPVTMAHISTISFDAATWEIYAAPLNGGMVVCIDKDTLLDTSLLTQIFHDEG VNASFMTTAYMKECLSHEPCPVGALQVLHVGGERTEPEDLIAARKMVRHALIHVYGPT ENTSFSTLWEVPAHNIQRDDTFTNGAPLGRAVSNSGAFVMDASQRLVPLGVVGELVVT GDGLARGYTDSARNANRLVNVTLGGETVRAYRTGDYARRRPHDGLIEFFGRADGQVKL RGNRIETGEIEHALLSHTRVQYAVAMVHKPEGQDGRIVAFVALHGHESNGRGSEPGID SDDMVSLYEELTDALNRKLPAYMIPSSISVVDRMPMNVNGKADRQALQQGTSLHILPL QRDKKASKRVPSSETERKLAEIWGQVLNISLDIVGLDDSFFRLGGNSITAMKFVSYAR KAGFNFTITEIFRFPKLGLLAESAEKAKIPHVNGRGNGNENRMIIKSLGSLDGPVNQS FAQARLWFLDQLYPGLTWYLMPFPMDIHGPLHTGALHAALMTLERRHETLRTIFSTSE SGLSLQTVLAFQERELKMVDASSVEEVLKDLDQEHSVPFDLRVEPGWRFKLYHLGDDH HVLSIVMHHIISDGWSLNVLSKELETFYSAAVQGEDPLSQVLPIQYRDYSVWQNEQIG QYGFDQQLDYWASKLADSQPATFFCDKPRPATLAGNAQVEKIRISGELYRKLRKFCEQ RDVTPFMVLLAAFRAAHFRLTGAEDVTIGTPNANRDRLKVENLIGFFVNIQCIRITVE AEESFENLVEQVRATVTSALDNQDVPFDHIVSRVLKSAKRDLSRHPLVQVVLAYHSQQ EKQGLCFKDVEVEEISPPPSTRFDLELHFHQESDRLDGTLCYSSDLYSLPTIHNILST FTTVLERVLQAPRVGIGALPLLTDEALAELKTFELTKIREVSYPRDTSVADVFRQQVA CNPERVAVKDSSYQLTYRELDEFSDTIATWLLLRKPEMAAKTLVGVWAARSCQTIAAF LGILKANLAYIPLDPQSSIDVEFVHIQDIGKEEAVLRHKQRCGNIVELLAKRCSPSSS SLATVMFSGGSDPEGILIEHRNITQLVVSEASLARYITPAASIAHMSNIEFDVATTEI FSALLTGRTLVCIDSSVILDPRKLAEKFMQERVRSAVFSASLLKKCLLDDFSTAAICS IDTIYTTGEEVDPRDIALASSLGCRVVNCYRPTEHTPIATTYLIQTQGTFANGVPIGR PVANSNAFIVDGERRLVPLGVVGELAITGDSLAPGYADPVRSRGRFITTASSTGGTPA KAYLTGQYVRYRPIDGQLEFVKRVGKMPINDSGEIDHRALGRLRPTATPTDSMPPADT TSRQPVSQAQRDMQAIWGKVLGIDTFTIGLDDNFFELGGNSIGVMQLVAECRKAGVQI SVADTFRSNSLEELATLAAPTSKSTGVPKDESVPFDAETKRSLIEEAYASGVDAIQPG NIEDILPLTNFQELCVETPDAYRQNRNYLRLFLGPEVDLRKLERACSAAIENIPLLRS CFLPLHGKHRQVVLEQNPTAQISTVDVDLAHFDKFFDEFCLRDIETLSATSLPFQFFI VRHGKAKGVTLITRISHCQYDGISLQSFLTALVQVYQTGSSAFGPNFSIFLSYASQQR SKSIAYWRDVLQGARLTQLSSSVHPETAALREEAKTIKLEAQVDISLLPANITAATLA SSAWAVVLSRVTGSTDVVFRHLVSGRNAPIDGIETMAGPCFNYIPISSTIAHAGQAAQ NSILLFNTKTSMKRLRLELPTPGPA
SAPIO_CDS6319	MRLSLLLAYGATFLTVGISPTAAMPAGNSHSLKTLSQSIEGNLE NSTLGDSLSSLHKRADTFFLRIMPLGASITQGMGSSDETGYRKYLRQQLRFDGWKVNM VGSQRKGDMNDNDHEGWPGYLIDQVHNRAMASVPSLRPNVFTINVGTNDAIYSNNVAT AGDRMMNLLNYLRSQVPDATFIVSTLLPLKSQPSNAVQINTQYRSLVSRLRTQGWRIV LAEMDNGWITVDQLPDGTHPSDDGFKKMARQFYDGINKAYQAGFLAPPSYAGFDDSVS VATATCDKKLGSAFGDKRSGMEILKALSSVIVDDGKYIHKSESGGIILQGTFKGDFSM DFAQLVNFGNGRGGENEEFVYKDSTGMWMQVNKGNGVFEPKVKIDPKHNCPTAGIRWG DVNNDGLDDFICITTWASIEVSINRGGNPPTFENLGEYRKGVNGKGREHVRLGDIDGD GRLDYCIMDGNNALTCYRNGGIGDRPSYWQDFGVIHAGEGRQNAGIMLVDVNGDFRSD WLYMDENGAVTTHINQRPAGKTLKPHWLDSGVTHLGVRMPDRVGIRFGKVYGSGRRDY LHIRRAFDGDTAKYEVHAWKNLGSGGTYQKGDGIFFCDMDGSGNDDYIFVDSKGVLTI FRNPNPPGIVTYGWDEHRNVLNTNANRKAVQFGDWDGDGKCDVIVTDRKNGGADVYFT RWDSAAKKFSFSAKTRVASSGQSIGPNCAEGWGVGFRDLGVRYADIDGDGRVDYICME KDGRSTGWLNKKGTAGVYMGQIKFSEKKDRANHLFADVNGDGRADFLWTDKFTGDTHV WINGGQMQTGGSSFYWAPKGKLYNGATGGRDTIFANIGGVGRADMIAVNPISGRATVH MNSCPGGGGGDDGPIIDPNLGQSQPEACDLEKRTGTWVNRQCTQREVTMPTSYLPKER WDALEAGAAWNDMMHRWKTCDRPSGNSTFSESASQFFHQTEDAQCSLVGRLGNNCIAS KCEVHNTEFDAPESKTGAASYFVWNALVRVNQIIGTVYDTFETVGNQVQATSNEFIEA FSPKKSDLSSLQLLLDLLFIPATVAGTVAYKGVFASLIDDKLFVDVAKDMSQATATAA FSYARRQLSQATLNEINFNSIVDKTITLWKQQSETLLFDIFLGQDNKLLDLLETLTKD GRLTSGSHNGNAIADISAFNNTVTQGRGRRAFYAIAIPTVWMETDTQQDHTYLTEKIG AASQGRTL
SAPIO_CDS6321	MPITSDITLNVSNFSLDSVADDTKKTNAFIEGITIKGPRWHEIG APKYRQMRETGETPLPLPVYLPEAKDSAVPSRDTGRSVPIRVYTPDNGKPSKGIFLHI HGGGFVLATHRHQDRTLQRYANECQLTAISVGYRLAPEDPWPAAIHDCFDAAEYLVDH GVADYGARLLFVSGESAGACLSALTAFHLLRARPNHRLAGLIFPFGQFDLTLNLPKAS LFERPLIINREELQKFGDAYTPGMSIEGRRNPLISPLYDDMETLARVAPENSLPPALF LCGTEDPLLDDTLLMSLKWMISGSEAVVKIYPGVPHAFTVFPGFKPGEEAIAVALQFA KEKLDARTVL
SAPIO_CDS6322	MSSRRILSNTCRKVRIPPGPRQQSMRPASSLASDHANLLGSNLS TNDPEMYNILKREENRQRHFINLIPSENFTSRAVLEALGSVMQNKYSEGYPGARYYGG NEHIDEAERLCQHRALQAFGLSAETWGVNVQTLSGSPANLYTYSALLQCHDRIMGLDL PHGGHLSHGYQIPSKKISAVSKYFETFPYRLDETTGLIDYEKLRENALLYRPKIIVAG TSAYSRLIDYQRMRAIADEVGAYLMADMAHISGLVAAGVVPSPFEYADLVTTTSHKSL RGPRGAMIFFRKGVRSINKNGVEIMYDLEGPINASVFPGHQGGPHNHTIMALGVALRQ AATPEFKEYQEAVLANAKALARRLSDGLGYKLVSGGTDTHLVLVDLKPKQVDGARVER VLELCGVASNKNTVPGDKSALRPGGLRVGTPAMTTRGFTADDFTRVADIVDRGVAITI AVDKQAREESAAKGVKNPSSVKAFQEYLGNGSTVPEITSLRKEVEEWVSGFSEPWI
SAPIO_CDS6324	MPSFSREGFTIDVVGRAVRKTLLNPTLSIPLALAIRWALSRGSI HDVKVLQRLENTRRLVYLLAAFGAFLNANEYLNRQVNNNWTSKSQWDWDNKEIIVVTG GSSGIGANLIGKLLDRNPNTRIVVIDFAPLAWEPPKGARIHYYQCDLSDSASLHAVCE QIRQEVGHPTVLVNNAGICRGYTICDSAPIDVEATIRTNLTAPFLLVKEFLPEMIHNN HGHIVNISSMSAYIPPSKMGDYGATKAGVLSMHEALQLELANIHKAPNVRLSVGIFSF IRTQLIRGETRQFNFLFPVLDVNTVAQALADTIHSGYGRTIYLPGIMSYVAILRGGPE WLWRMIRQETNKFALDFTGRQRVDPETGRISAI
SAPIO_CDS6325	MQEKPGVSASAAFVPPLEEKVDVLICGSGSAGLCAAVWLARTGI NYRILERGSGPLKHGQADGVQCRTVEVMESFGISEPLIQESYHVLEVAFWGEDGKGGI SRGKTTPDTQPGLTHLPHVILSQGRINELMINETKRAGGESRIRYDCEVKDVKVDETR ANDPNAHCVTVTAIQDGVERNFRAKYVLGCDGAHSQVRRSLGFSMVGDSTDAIWAVMD IHPQTNFPDIRKKTIIHSQAGNLMIIPREGDSMVRFYLELPNAKVASDVKLENLVPLI KDIFHPYTMDIAETVWFSAYVIGQRRADYFTQSHRVFLTGDACHTHSPKAGQGMNVSL QDGYNIGWKLAGILRGELRPDILKTYVSERQKTATELIEFDRFFTKLFNTAYRKENNI SEEEFAKQFVKAGLYTAGLATEYLPSVLTVPSDEDRSLASGVEVGKRFPSTIVMRFSD ARPLQLVKALPADSRWNLVIFPGDINNKDSMKRFETATLELIKLVNNFTSPDEDLNSV INPVVVLATERKDLSQSQIPDFYTPSIGRRRVRWLHNVFTDEAGPYSPHGHAYEKYGV DPSRGALIIVRPDHYVSKVLPLESAAQVSTFLEAFMIPIGERVASAIEKL
SAPIO_CDS6327	MLTSGPVLLALSSLISVVTADWQFRSRPDLSAPRLNITVPSKAG EVENGFIFIAPYGGFADSHKGPVQPGAYIYRDDGELVWSGVGYHAGWVANFRPDVWDG KPHLRAFQGQLDHLHGPHEFRIVDGKTALIETPIPRPISLKPWGGDDEQTWILSAGFQ GNDKPHRWIMIVALTYRWLMRSVEVDIETGEVLFEWQSLDHVDPKYSAFPLDSGEGVP GSGRSESDAWNYFHINSVDKDDQGNYLLSARHIAALFKINGTNGDVIWQLGGLHGGSS FSISEEDRFAFQHDARFHGRSNDETIEILSLFDNGAYSSEVKTNSFSRARIIQLNHTA KTATALHTFDAPDGLSARTQGNAQLLPNGNVFVNWGEAGAVTEFDRSGSVLFHSYLDS APEGVLVQSYRGFRANWTGTPSEEPAVVVSNEDNSKDLEVFVSWNGDTETTLWQFHGH TSVNSQPELLGEVPRNGFETHSILVGAADRGVWYVSAVALDGNGAILRRTKAVPISAD SGHPSHFLDQGRLVERQGEL
SAPIO_CDS6328	MTEDALTMSQGAFPGRDFTHGSSIAPAFPTVTAAILHHILTIPD EVAAIDHSARGPRSVTYAELGRRSIQLTRRLQNGGLRSGDRVPLVAKRGIEMIIGIIS ILCCGAQYVPVDGKVVAKETLRRVIEQSTGDLVLCLESTRRRVEDLALSGCKVVSIDG HVGEAPNMDICAFAEESLRLVTPQSGCYVIYTSGTTGTPKGVDVTHENVANLVCSCPG NLGISQGVRIDVLICTPSILSKYLPEQFPNLKTVATAGEPISIQLADRWASHLRYYNC CGPTETTIVNTMQRHIAGQRLSIGKPTPNNRVYILDDQKAQLPIERPGIIWAGGKGIS RGYVGQPELTAEKYLPDMFANDGTMIYNTGDVGMWKPDGTIEILGRCDDQVKVKGFRV ELDGIASCLCAAPQITKAVVILVEGELHAFIQPKGCHVPTIQESVKSSLPYYARPSHY HVLESFPLTPNGKADKKSLRQLIQDGDDSDETTSASNFSSTQSTRDSRTSECATSVSM TTSVTEDKTTLDGPVPDKDLPQPLRGLVYRLLIPYRFLFSTVWIANVVALILVYTYDY SREWIGNMVAINLVIAILIRQDFVINALYTVCCSVPKNWPLWIRASCAKIYHLGGVHA SAASCASLWLLAANINDVVCLSTSKCSDYPRHSPAFIVLSWTLTALFFTMIGFAYPPF RKTHHDFFERWHRFVGWTMLFLFWAQTFVGIHDTAKENAVSFGKALLGTVPFWLLWVA TASIALSWFWLRRVPVVSEVLSDHAVRLHFDYSVPVNGSFTRISSRPLLEWHSFATIP APKPHGPPHDPQQFPAGHSLMVSNAGDWTSYCIRNPPTHLWVRGIPTCGVMRIATLFN RIVVVATGSGIGPCLGHIQEPSCPTQVLWSTRDPERNFGLPLLETLRTKAPGATIWDT AKLGRPDMVRLAYNMAKSFNAEAVVVIANEKITKKIVYGLETRGIPAYGAIWDS
SAPIO_CDS6329	MVFSSSLLAAASIALAGIATAKGHKVNVNRPLAVTSPETVPAGA SQVVPHDFASFSFPAHWFADFCGNSTHPNLFSRDIFNLLYSKTGAHPFIRVGGTSTDR VWYNASQEIALYNWWDPAGSSTTEYGIPDKVFIGPAFFDGFLNFPGSRWSWQVNFGNT YGVEGGLENAIKVAGLVVEHVKALLESFELGNEPNLMPWFNHRHKNYTMAEYVREWNE YADAVSELVLKGNPYGLEETRFFQTLTTAGASHEEWSVQNAFKHDIDSKGHVKSVSYH QYAASNQPWVRLQNSYMNHTANSGNVTQYSEAVEFCRSYDPPLPFVLGETNSNSYNLN MGHIEGVFGSALWLIDHLLMGMATNITRYNLIQGTTFGYTGWVPVPRDGRDPYVRPPL YGQIFAADVVGHHPEVQVYPIEGLPWNMSAYGIYEAGELARYVIINYDEWNSTTPYTR PSQRINLEVPSRVNRVEIRRLTGDGASADEGIQWAGQSWNYTDGRLVKNGREKFEVAR ASDGRVKLAIESTEAVMVSFKSPACRPHRPRN
SAPIO_CDS6330	MADDRPNFLVIVADDLGFSDCGCFGSEISTPNIDALASDGNSLR FTNFHVAAACSPTRSMLMTGTDHHIAGLGQLQEITRASSAHAGQPGHEGYLNERVVAL PELLSDGGYFTCMSGKWHLGLKPEHHPFQRGFKKSFALLPGCANHYGYEPQYDDPRSE PDKFFETATRALHAEDDKILGKLPSGFYSSDAYADKLIEYLENRTEEERRQPFFAYLP FSAPHWPLQAPKEVCDKYRGMYDDGPDALRKRRLEKLKAIGMVDPGVIPHPVVIAGGK PEKWDELPENVRKASSRAMEVYAGMVDRMDWNIGRVLEHLKTTGTYDNTLILFMSDNG AEGASYEATPLVGDNIMAHIEKYYNNSLENIGRADSFVWYGCRWAQAATAPSRLFKMH STEGGCRVPLVVKPPARLVSQTAASNSVITDAFCTVMDIVPTLLDLARLSHPATYKGR KIAPLRGRSWVNFLSLIHSTDSPSGRHGIHGSDYVMGFEIAGSGALRRGDWKITFVPA PKGPQKWELFNIMDDPGEITDLAEERPELLSEMLALWEEYKSDVGVIGVAGEYPQIIQ GKGSVFDEMDDPYAWIKYIGKPDITPERLESVVPKVSSI
SAPIO_CDS6331	MQELSVPWRSELTFSCSVLLSDAIRSRTLEQRAGAPTPGEAWAE YARKKVLLEAHVPTVQHVMTMVLLCEYSIKADQHALAFTLSGSLFRSLRLLGFDTPSR FPVASDTPIDLTRITLAGFNFPLAEAFSNAPVEFRSDLQRRCRLHANEVSGIIEKGLL HGNAAFDEPFCASVAMESAKIQIIYSATAENCPQSAETTRHNLKTNLRLLELLHAGKE GRSPYIRTLLPLCAVFGFQDVAEEWGGLTSSATYSAEVTGDAAINHLSSIAPFRQAQS EVNAKRQRIATNSAASSSPDPTGNTAIPGQMQQPVPTHTSRANLAMPAMDQWMNTSFP RDQGISPPPRSPIATQPPTGGGNSAEGLSMGEEVQASLQSASFQGAQPSMEDYIRTAN GMYDYLTWDVSEISELPPFVTFNLPCHPDG
SAPIO_CDS6332	MAATASQTPRDMPLIQRLGRLNGMLGFLMFYMAMCAFNFGYDVG IFSGVQAMNSFGRKFGEYNEAKGRWQLPGWLSSVMTATPFIGKALGCISCGWIAERWG RRAAILGLCIVSFIGVTLQVAAVDRAMFTVGRIITFGMTGMAIVVVPIYQAETSPEML RGTFASTIQLMIILGQVVATCVTYGTKNIDSDPGWRIPTGLQLIIPAIILALLPLVPE SPRWLLRRGRREEATKNLRKLRPGDGDDEIQLEIEALIYINNNEEKGTWSEVFNRTNR SRTAVAVLAMFGQQITGQAFPSQYGVVFYQSQGFGDKSFLFNIIQNILSLVAIFFTWS FIDGIGRRPILMVGGTLMALWLFILGGMGSSSNINSAGKGLVVASVMLFQFFFNLSWA PSSYVVLSEAAASRLKEKTNLLASVISVLTTFVTSFTIPYLINERYANLGAKVGFIYG AINVIMVVLTFFFIPELKGRTLEEVDQLFASGVPLRKFGSVETKSAQTLYQDELKQKS SYVESEKKAAELVEKV
SAPIO_CDS6334	MFAYGALTLVLVAFLRELHVSQTRIGLFMTLTLVGDVLISFVLA IFADSVGRRAVLRMGAVLMIVSGTTFFLSQNYWFLLMAAIFGILSPNGGETGPFRAIE ESTLAHLTDQRARSDVYAWYNVSGTIGSAFGLVICGWAIHYLHTNLGWQLIDGYRAIF CGYALVGLAKVFLVSGLSDSVELSGPEKAGPQSRGAGNTAESTPLLGQVTPEEELNSV PIKSGKGPMLPTISQESVRVISTLCLLFALDSFATGLAPLAWVTFFFRSRYGLEEGEL GSIFFVTRIIGAIAMILASSLAKRHGNIKTMVFTHLPSALFLALIPVPNDVHFSVLFL ILRSGLQCMSIAPRSAFVAAIVLPQERTAMMGLVNVVRTTAQSIGPFVTGMLADGGLF WTSFVCAGSLRACYDIGLLILFKNIEQERTKEAESVDEERIVD
SAPIO_CDS6335	MEEFRLEVAKQIQHDSSSPNAVFEQEPDEVDQLFGCYDTDESPW MDDSSNQIANFLDMPNWEQLGSLEPYSQIQAKEANTFSLYCSTYFILCHLPATTMPHE DLFIQAVEPLHIGQFMFCRITTNQGVVGYGEAGIWGHIEAAGQCIKRFAEYLIGKRAF DIEHHWNVMHRFSYFQGLAINSAISAIDIALWDIKGKALGVPIYELLGGMCRSKARVY CHVYGSTIEAILDECKRKMALGFTAFGQINPFLQEDSNLEYFKTHVQKIRDAIENVKK IRALVGNDVDLLLEVHRRLTPAEAITFCQGIQDTHPLFVEDPIRPENTDAMARVADRI GPVPIGTGERFCTLYEFQALLARNAVEYVRVDVAVCGGITGAKKIAAMAEAHHVQVVP HNPLSPIGLAACLQIAACIPNFSIQEYTTGLEGRTVLTNRSEHLGSDIVDFVPVPVDG FVDIPSGPGLGVNLLADAESIRPPLVQPIKMRLHKDGFVVDE
SAPIO_CDS6336	MPNGVSNRRSLDRASINDKELYAVHAEVKTNMEGRKGDASALAD RQFVEAYPDKDRRMLLRKMDLHIIPILISLYRLVPGAFLFMTKWYAKFELATRFSIFY VGSALSGAFSGLLAYAFAQMDGVGGLAGWRWIFIMEGLMTVIVGVLIPFILADTPQGV RWLKKDECRYLVARMTLQNGGSGAEEIGHHFSWKLLWAVVSDWQFYLFAFNYYSNNIP TYGLKFTMPQIMKNMGFSGNNAQLMTIPPYIAGAISAYVFGRLSDKFRRRSYFLVIPQ FLVIIGYSILTPLAPKIKQNMGACYFAIVLANIGLYPISPGSSSWISNNLAGTAKRAL GLGYIAMIGNLGGIVSSYIFIQSEAPAYPTGFGTSLAFAVMGIIAATTLDVIYMRINK KRDQMSEAEVREKYTEEELAALGDRSPLFRYTL
SAPIO_CDS6337	MRFSLITTALLACTFDTASAQLDFWAKKAGLKYFGSATDNPGMR ERAGWEKKYPKYNAIFANKKEFSSTTPTNGMKWSILEETEGTLNFTEGDWLAQLAEKQ GKSLRCHTLVYWGSFPRWAYSKPWTKESLTQVITDHITKVVSHYKGKCYAWDVANEAL NDDGTYHDGYFLYKVLGEEWIKLAFRVAHQVDPHAKLYYNDVGIETPGPKADGVLRII RMLQDEGIRIDGIGLQSHIVTGKAASLDEYISAINAYGNLGMEVALTELDVGINPPVT EKALAQQKRDYNTIVGACVQASACIGVTLWDFYDPFSWLDYYSNTTQGTLWFENFKRH PAYDGVIDALKNGTCSGPRGHRWATCKG
SAPIO_CDS6338	MSEVFPGEGWNKKLALERGSAMANEFKEKGVNVALGPAVGALGR VVTGGRNREAFSVDPYLSGALVYETVVGMQNRGVMACTKNFIANEQELHRQPVGDVTA VSSNVDDKTMHELYMWPFQNAVLAGTASVMCSYQQVNNSYGFVVSDWGAQYPGSGVSS ALAGLDIVMPGPNLWGGNLTKAVRNGTAPESRVTDMAARTLAAWYKTGQNKGFPRPAN PVLYQGADEGHVLVKNRNKLPLKTPKMLSVFGYSAKAPDQSAPGVGTGGRNAWALGLL ATDTREILLRMAGLGDAPIPPIAINGTILSGGGSGSTTPSAYLSPLDALNQRAYKDST AIFHDLTSAAPAVDPASDARIMMGNAWAGEGYDQSVLRVEYTDNLIRSVADQCSKAIA SDENLSSKLPYTDAKNESDYGHLLNPDAADGVYKYFPQSNFDEGVYIDYRRFDKENIT PRYEFGFGLSYTTF
SAPIO_CDS6339	MNMLFKTTTQDIEGRSISEHLPGGLLRLEVPHVIWWKHAGLRKL YCMMPILFLASTTTGYDGSLLNGLQTMEPWQEYFDHPDGSRLGLFTAIMNIGAASALL FSSYIADLFGRRVGSAIGLLIIFMGTILQVVPTVNQAMFLAGRYFVGLGANISQGSAP LLIMELAYPQHRGKVTTMYNTLWYVGSIISAWTVFGTIKYEGDAAWRVPVALQALMPL VMFVLIWLLPESPRWLCSKDRLEEALQVLIKYHANGDSNDPFVHAEFAEIQETIRLEK ESSKESWLVLVQTPGNRKRMLLIALTAFFSQCSGNGLVSYYLHDILNSVGITSSYNQS LINGGLQIWSLLVAIGFSVFLVDKFGRKTLFMIAGVGMLVSFSVWTGCSAVYEKTQNK GAGGAVIGMIFLFYGVAGFAWPGLTVAYCAEILPYNIRAKGLAVNLAIVSLAGVFNQY VNPVGLSDLQWKFYFVYIAILVIECLCIWFLFIETKGPTLEEIAELFDGEDAYVAHIK DHHKVEEKTAEHTEKELAT
SAPIO_CDS6340	MSAITIPHLVATETSKQLVVHGKPFLMLPAELQNSSMTCAEHMS TVWQNLVDANVNTVLGSVTWEMIEPVEGLYNFEELDKVIFDARRHNLHLVLLWFGSYK NGVSTYVPPWVKTDVKRFPRAKLRTKENTLRTSEVLSLFSSEAVTADAKAFKKLMSHV REIDEAHSTVLMVQVENEPGLIGDSRDASAAATQRFSEPVPDDLIEYLSSEYDNLHDD LKENLAHFSARTADSGNASWEDVFGKGPKTDEIFMAYHYSRFVEKVAAAGREAYALPL FTNVWLNSSGNDADNDFPNVASGGGDPGNYPSGGATSCALDIWQKFAPTLDFISPDIY LNDYSSICTKYRHRQQPLFIPEQRRDAYGARRIWHALGSHAALGASPFGLDTVAPESN PYTRHFGLLESVSAIVLDAQRRPNSSVGFFFDEIAEDGSDPSSPVVCRLGDYELTIGR CFVFGRPGPGSGMVIHRGGGVFLLIGWGFQVVARSLNPNATYTGILRFEEKFVVDSAA GKLRTGRYLNGDETRSGLFAMMPNEDPDYGGFPVAVTIPAKTMIAELEVYHLTEDT
SAPIO_CDS6342	MVHFTSPMQSNIENQEEYVAAFEYYARIILARYADRIPIWFTFN EPNLGSEGITAHSYNAYTSILLAHGKSTADIDAANRYQDFMVGIMGNPLFRGKQYPDS VLNTPGLNLTALTKAQIAEIKGTCDFWAYDPYTVQFATPASGGIEACARNTEHPQYPK CVDLGPTQANGWITGRSSESYPLLAPQHVRQQVGYVWKPFRPTGIMITEFGFPEFH
SAPIO_CDS6344	MVHEYDFLAHALLGLAAQHLTLTTDADYSSMALDHRVAAMRSLN ETLSKPCSSSSDADARYGAILTLMFQASCMPDALMDFLITMRGCLVIESMAQAFQDSL FKSFNRESYVESFRRLISCHPSLDYDETVLDDVSASLRLVAPLCRSVAELKYLATLER IVQVARNSVSDACLELAGSFSLTNKMTDEEFASFTGPRNYAAQILLAHFFVLDDIIET WALGSNCIVYSSTRATTLSWVEKLAVNLPPSYKSYSVVLKKGLCWVIVMGALWLCICF VLRTLGAHDQQEMIYVIISTLLFLLAPLWINAFAYMVVARLIYFLLPEQKVFNVNARW LAKGFVTADVVSFLVQAAGGSMLADQNDKDKARLGQKVYMAGIAVQLVFVIIFILVSI QFQRRLSNLIKAGKLDRPTAWVQPLVWTIFAVLALIMVRIIFRLVEFSQGASADNPIL QHEAYQLCLDALPMLAALFALNAVHPGMVLKGPGSSFPKRRWCLGGKVKTRNTAWSAG DTDSTQYYPLQRRSEPVSIENGGLHRSEHA
SAPIO_CDS6345	MRFQVVSTLLFAASALASRQCHEVPDTTTTVPTVPTTPTEPTEP TTPTVPTTVPTTPTNPGNGGKDVTVVQAENACGNDLELHCCEDEQSFEGLGLLSSLLV GGAGLFNGCSKLSVAGLIGVGDLLGSHCKQTAVCCHGGETTQNGLINVAIPCIAIGGL L
SAPIO_CDS6347	MGWLTYISENKWLWVAAAVAVFSAGKIRQYYRLRKFGGPFSTGM SEFWHIRALFSWKPHDKYKEVCDKYGGFDRERLSLFNIYFVGSIARIGPNDLVTSSPE LLFHMNSIRSPYTRADYYYLATRFQAGKNHVFSELDEEKHKRRRQQLAAGYSGKDNSR LELSIDTHITELIDLVHSKYLSTESRANPFDFARKIQYFTLDVISNIGFGEPFGDLRA DADVDTFVESGEIGLVVNAVSIALGLTKFIHTPIIFKFLGPSEKDKVGLGKLVANARA VVQDRLKQDTEKRSDMISSFFRHGLAEEDLVSETCLQIVAGSDTTASSLRGIMLYLLT HPRIYNKLRAEIDAAIRDGLAPPSPGVISDAQCRKLPYIHAVIKEANRLHPPVTSQLP KRVPDGGDTVVVDGQPVFLPGGTHISCAIVALNRRKDVFGEDADEFRPERWLLETDDA KLALMNRTHEIIFGYGKNQCLGKAIALMEISKVLFELIRNFDWSLANPAEPWTEHNYA GLYSHKNITENGVRKGKRVQLHKELDAFLDASNTDQASIDAISKYLQSRADEYGTIVE KLLPADEIEERLRIARKIQAEIWDGIDDEKLKDLTRLTVLQLAIIMTIPLEYLRQNVS DSLPFDAIRRLVHLFLRAPRYFASEDYYSPPPGDSGAETIPEREMIKQNRDEGLECRK RDGYGCVLGTDGDEVCHIVPFGLNSSKSSFYMTSRAKAAHILLPGPDSKRRFQSLLLA HLGCSDKVWNMLDIDEYMQHAWARASFALKCVGVVPETEQDSAVQLQFYWMPNSQALP HGAQDLDAGQGEGETKTGDSAWKRTVNLDMGEGKKLVEDWKQGIYLRELEVFETGDIG YAEGHPPVSCLPHSGGIFPIIVTPADAEKMKTMLDIQWACIQIATMSGAAGSAEFLAD DTFNEDARPAELRNQHQVHPAKRRCPSNRGSSGANPTRSLPYQLAEDLPRALPTGNEM EWVLPEK
SAPIO_CDS6348	MRLGQVGTACLWLAAQAGAAATNFSSRVDEILSRPTFADATVGV RVYDLETGDIVYTRNEDSPLIPASNQKLLTSAVALSKLGLDFIFDTAAFATCAVNRNG VLNGDLWLVGSGDPSLTSERLADLARDLVARTGLKRITGNVYGDGSVFDNKFLGEGWS EDDESFYYSAQVAGLNTDLNVVSVTVSPGPAEGSAATVKVNGVPADEELYVDIQSTID TIAAGGQNSGVFERLHGTNTIILGGSISADSGPITFQITIDNPNAFTAYRFALALNRA GVQVPRSPTKPGKAPRKAVRLGTSKSEPLSSLLKLFMKPSDNMYGEALLKTVGRAEYP NQPGSSASGVQVVKAFLEQEDIDSAGVSTVDGSGLSSLNTVTARFITDLLVHNRKTFT GEEWNTYFDALPIGGVDGTLKDRFVGSPVAGHVRAKTVRQRREKLKMT
SAPIO_CDS6349	MKKSHRYLIAACIAWAALLFLFQSGDDDSYHSPPPRQQTQQTRP QLNRPDAAIHDNKLHHKPHNGAPSSFPDFSITDRPLMPPDDPLCAQLPGRNSNITLIV KTGATESFSKIPTQLQTILRCVPDVLIFSDMEQTIAGVRVRDSLDTVLDTVKQTSDFD LYRAQRECLVSQADCTRHRDKGKEGWNMDKYKNIHIAEKAYNLRPGRDWYVVIDADTY LFWTSLVSVLGRLDPSGKLFLGSIAHYKDFPFAHGGSGYVMSRGALDDFVGEHPGIGN AYDQRVTEECCGDWMFSKAMIETSNIGVTQAFPTINGDKVWSIPFGPTHWCQPLATMH HVDSEEMSLFWEFEIRKYLRSRSTSNAEANPVLIRDMYVEYFLPKFTDLREDWNNVSE DFIYLNRPPTDNEKWKTVPMERKAKFERLAHVSLENCKAACEKKRGCFQYSYHPEGKC ALGKSFKIGYPTRHEEDPAMRQTSGWLSDRIKDWVEKQGECDTIKWPGMKLQQNISTG F
SAPIO_CDS6351	MHFHSAFASVVALVLFGANTSAAPVAAEVCSAIDNRFPVVTFQS WITDCGDKGGHTMGMSLVYPSLTDICLPLNSNIRGLDLLEVTEGCRITAYRSPVCDDY PYDGTTRDTVGCLWAGNEEFHSYKLTCDKLPLRQDA
SAPIO_CDS6352	MRYLISTLLLVVAVQAHYKFPRLIVNGRPETADWLSVRRTKVQN SRDNGAVSDVNSPDFRCFGGSPGTATTTVVAGDTLGFVASSGIMHFGPCQFYMARVPE DANINTWEADGDVWFKVGSISAVEGNGPLGGDEKAWPAYRKTEVSFTVPTSLPSGKYL VRVESIALHLAQNPGGAQFYISCGQVEVTGGGDGTPGPLVAFPGAYKANDPGLLWPYS PPRTSYTAPGPPVWEA
SAPIO_CDS6353	MAKEKQEAASINTSTAIDYSPKSTGEKTQNSDTENSEEIRQRIL AEQVLGNPKRPETNIASLWRRQRGNHKPEDIATQPSVFDDPELAKYFQPSEKYENRHR FDPSFRWTWAEEIPLVRRIDWKVTAWSCLAFFALDLDRSNISQANTDNFLDDLGLDTN DYNLGQTVFRISFLLAELPSQLVSKKIGPDRWIPAQMILWSIVSGGQFWLKGRSSFLA TRALIGLLQGGFIPDVILYMSYFFKGTELPFRLALFWMANRLTDVVAPLLAYGLLRLR GYHGYEGWRWLFLLEGILTFVIGVWSVFAMAPSPTQTRALWRPKGWFTEREEKIMVNR ILRDDPSKSDMHNRQAITLKLLWESLCDYDLWPIYIIGLTFSIPAGPPDQYLTLTLRQ LGFDTFESNLLSIPCQVATTANMLLLTFISERINQRALLGIFVEIWFLPCVIALALLP SGINRWAAYAVVTVLLSYPSPHPMQVGWCSRNSNTVRTRTVSAAVYNMLVQVQSIISS NIYRKDDRPEYRRGNRVLIGIAAMNIVVYASVKLYYVWRNKSRDRVWNVMSTEERQTY LDTTTDKGSKRLDFRFAS
SAPIO_CDS6356	MSSTTVVTETAPVKLALAFDAKIHDQYKYTDYLPVYDEETRFPP LQPFEFNDRGLVADKQKPNLFSKSNADLKVDKLTPVIGTEIRGLQLSELDDRQKDELA LLIAERGVVIFRDQNFKDVGVERQKEFARYFGPLHVHPVGAHVKDNLEFHNIYLGPDN EYRARFHDNRLTTTGYHSDVSYEHQPPGITLLTLLSVPPTGGDTAWASHTAAYARLSQ PIKTLLEGLRAEHSGFPQAENARRDGKFVRREPVKTEHPIVRIHPATGQKALFVNPGF TKRIIGLKNEESDALLQLLFKHITYGQDFQVRIRWEEGTVALWDNRVTSHTAISDYNV HNPQEGLRHGVRLTTLADKPTGVNGLQSVWE
SAPIO_CDS6357	MGVSKGRETPAVDNWTCILICLATSMANYQYGYDTVTIGGFQAM VGFLKVYGYKDETSRLGWNIGTVLQQLISSFLNIGTIIEVLLTAMWGKRFGRRPSIWL ASLITCVAAGVQVGTTNLVGLYFGRILIGVSNGFYVTFANAYTTEVRPAHLRGAIVSF FSIWVTLGSLLGAVANNFSKDLTSKLSYQIPLAGLFVIPVFLSTAVFFVPEFSSVASG AE
SAPIO_CDS6358	MASGEDSKLRIQTLEEPLKPAQARVEQAQARADQAEKQLAETMF SDFLHICHTDVFLQIKVQRNSLLATTGGLSHDGEPLPSCGQHSASKNYSPPPPGPPGW LGVPREQILADKKLASEEDLEKFECLAVEGCDLDVIPRFLELVEQGDAASPRINSSGN KNPTIAHVSFNNYPFDVTATDSDEGETGESGSEPESDGAASSQQPEWGL
SAPIO_CDS6359	MAKRSLGWALEKGRLLCIAVFVDVCAAQLNLTCPDYVARHAPLL SLHPEEPYMPSDILTHIQRTTPKLNHEAIPDPLPPLDLDNLEILNRFGEQVALTSIDD PTTYPPWLLGERPDSDGRTRNATPCVVIVVEKGDSVLDAFYFYFYSYNEGPNITQVLE PLNGIIDGGRNFDRSKHFGNHIGDWEHNMIRFRDGEPVGIFYSQHRDGAAFDWDDQSI SKSGGRPIAYSALGSHAMYPTRGDQVHDYAIIDYCDDGPTWDPVLSAYFYHFDPPTFT LTQLTPPSGLHSSPPPPPSNLTSFFYYLGNWGDTQYPDSDPRQATVPYFGLKRFETGP NGPRYKHLVRKGLMRDHPRKLAWIEWAVGVYMAWYPCCLRGWRVWVFLGLVLAAVVGT AIGLWIGIRRWIGGLKARAKARTEEIPLDDFASEEQGLLSSFDEDTERNTRGE
SAPIO_CDS6360	MVGAGEQGRERNKRPVDTRDLGHDGRQKKRLRGGETQRPPQPNL SSTHQAGTSAPHVPPIIIDLTGDDDEDIVPAPEPVAHDSREDLIVCEKSIVSQPSAVS RAHMVHEELTLEISATIKSSAAKGARWFPVKIEPVDGILKISTLDFQPIGSGAAACSP VLESVATRARVVYTAIIIVPENYRQLQYIKADLRVVVYGFMSEKLNVARMLANGGLYL QHPTLTECDKSVPYFNPQYLLRPGGSMPPLEELPISAGFSRSGAEVLTEVEKNRLLEI FESAHDPDATFGVRPSLRLQTILKDHQISALAMMIERECGTYDEPAFPALWTLKADPR GSKRFVWFAYVLSFDADKEFIRVHSVERPEQFKVWIGNPVREKKPYAFPRLRALVKAT CLRRTKDSIVNSLKLPKKEEINKVFELDTGERELYDFFRRRAAVIALSIERAKKSKAR QSRVTSGWGSSTGSSILPLIGHLRRICDHGQSLLPASAVRAWQDRDIEAAQWGDMAMW SQKCDSCGTELEEDGSDVQASIELSCGHAICAKCRGMDGADDIESSGVSCPKCSGRSP LPTPSQSVASGEYQPSVKIVALMDNLRKELATTPSDGGGVSRSVVFSCWTKMLDFIQR ALEQHNVSFRRIDGQTSLTSRADALKAFREDLDCRVMLATIGSVGEGVNLTAANHVHI VEPHWNPMAEAQAVDRVHRIGQTRNVTITRYLIKDSIEFYVRDVQQDKIRLIHQSLSA TELKQSDVDGQRFKKLLASLR
SAPIO_CDS6361	MASPDPMQQIFESAKRDFERSLPKKVKAQDLHAVTNVKQLWDAL DKLQAEQSKSLIRMRFLDRIGPFVTRLQSLAGVIEVFVQVKPEVGALVWGPIKLLLMW TSQWREGFDAIVQVTERIGEVLPQFSVSQGFSDVSHIKNVVALLFRDILDFYSATLEF FSIPQKLRVVEKNIQRHSQLLGQNITFEHIRREEEARDRLFKEFQDAEDHRARQKFEA LRIAISPPEYSERLAWLEGRICPGTPNWLEDDDDFRNWLDQSDQTPRLLWLQGIPGAG KTFLASRVAIKAMTHGDTAYAFISHTHTDSTAISVMHSLLFQLGSGNQDVQTVLTDAS KSDLKHSLTTTKELLTTAITCAGGAYIIIDGLDEIEEFERKQLLTSIVDILDACTDAK IKVCISSRPEHDVVRILQPKATTIRVHTKNAAGVRIYVNARYREWMSNSDFSTRGQKE IQSLLAPLSIKAKGMFLYARIVIDNVVDLISIEDIRRELKALPADLDSVYERVLARIN QLAAPMKERVKRVLGWIGCSPTPLNIHELDQAVLVSGKTYDDAPTVNASLNIVKMCGS IVEIVDETPRLVHFTVKEYIFDSHRDFISMRQSIRDLLDTCITYLCYDAVGPDVDEEQ LRENILQGKYRFQAFASWSWSILARQYVRLTRDKTHLNDLNDLLRTLFTERENVEFGV DAESTESVDDLILNPESTQPTNDSARLRRQPWPEAPEFILQTTAFYSDHPKDLWTVHN TQRWASLDPLTVSASATRVQGLYDDLLCHGEKDKPFKHKDDCMNDHVEKFHKVMREGI YTQPSVMEDEALYPLLYDLVASEGFDELFAIWPSCRQKVNQFTEADLVVMAAGQGSLL MVELLLDWDEEEKKPWNDTVRFGALVHDAIQSGKSDVIRWILDKATSWGGINGRKYRD IIIAVIKSESPEAFDIWHDIITSTTSYNHNINQELFEKIVLTTLHKYPDQEIRMFDTW RVLDKRSLLSPPSLGRALTMVAQTTLSIEQARALLQCRALIDYPRSNRSNRGSGYTAL HWACKKSSLEAALFIKYLLLKGANAFTSFRKPVWEEEGALKIKTWLNVDWSELVKWTR YQRRLSGKTSLRDYDELLRQDSSMQERLAYEADELVREEENRIAYEREIQAIGSRLRP CS
SAPIO_CDS6362	MLPGRLRTKTDLCDKRYRQRVSEDLSPRMPSDSGLEVSDGVISP EICSPLRLLSNPQTSAVNSELEVELVQHYLDKLISVLLLPNVQKGFYADYRSRVVSMM VNCESVNVPPPNAQPFAASFRVDEALVRQNETILRSLTYCNISSAENSPILGIPLPIY RLIATMIEFHNAPASFGPGALTRLKYEMEIWEAVLLTHRALLHAGTSLVGNPHADAMA LFILSASLLLDIISEQYEGQPYESTQTSHHQPDSVSSIRAKARERQAATPRWQVDMAL SMLKMSRVYESWTRCYLGSWSMLILGYSVESSGDRLVVKQVLRDVRR
SAPIO_CDS6363	MLPGEDTFGQGTEAYPGVNAHIHQYLFCLRPDPNIDGVENTVFQ VDARPAADAVGTPGNCYGNGFYADKTMLATAGTSISDSDALKSRTWDVANTNKRNSYS HKSVSYKLVSRGAPPLLPKANRLAWKRADFARPTIHVTKYHDDRTHPADRHVPQSSGV PSEGLPAWIAADPDPQKTTSPYAGGAHVAAPAT
SAPIO_CDS6364	MKLRNSLSPGQLPPSAALSQLQAGPRTMMPHMEGTQDYLFKILK PGFTEDVGWRRGAPNPSVCRIFPTLQKEKHKVREALFLLSGILWDADFTESLRDLILG EDNILDSPRNMICLQPGLHRWWHNGYIAFEPVAELSNGVRVRLRWLGVSGLVPSDRRL LDTDPRGCLTTPLESFDLGEYGGGYMTNSLSLGCDCKHTIHYMDADFASKAGQVAVIA NAICIHEEDNGIFFKHTDFRDGFVTTTSARKLIISQIFTAASYEY
SAPIO_CDS6365	MEYLDLGNGTQPGPCVGETTLPSFQSPAEGTLLEYFYRSGESIV NYDSQVSGSVSTFNTQDDRPGTSTTDVASVLPRTARAREQSESYSEDVWEMYKPRIQQ LYIEDNKKLHEVMQQMKEERDFKPS
SAPIO_CDS6366	MCEVSVHSLLSNIFRGFGDRGYQADNPHKRRSEVPPICMLALVP QTWSRLTTARPAVRSCYPSTIHKHFLRAALGNRQRDLYSPSFHLFFPPFAFRPPLIYS SPPFLEETPHSVRMAHLLQHHQRHDPPFGVIPVPHGPVTGEEHEHYPTEHTAEREHQI FSYLTHPDDSYTPEGTYWADLPLAKRISFVNKVQNEEAIKEVKTVWQMIKEDPLSPFG WYIRNAVLPGAGLGLEGYVLFSIGNLEPLFSATWPQCWGKNPTVCSPNWIAAVTYLEV IGIMVGQVAVGFIGDWIGRRWGLIQDAVIMFVGLLMLTASWGLTLQGWVICYAWSLFF YGFGVGGEYPITATSSMENAVSAGKLSTREDRLHRGRKVTMAFLMQGWGQLINQGLLM ILLLIFHHGSGNPPYSSVAVQWTFRVSFALPALGTLWLVYYRTYKMPHASRQLEIAKR KSNVTGYDVASLKIACREFGGRLLATTGTWFCNDVFFYGNKLFQGQFIKVISPGSNSV MTGWVWNMINVIVSLAGYYCASLLIDNKLYGRKMMQQVGFLMCFIMFVVPAFHYHYYT EPMNIHAFQAMYFLSSFFNQFGPNSVTFLVAGEVFPTPIRATAHGLSACIGKAGALLA SVLYNYIDTQTKFYVVPWFGLAGMVLTFFFLPDTTGLDLKEQERRWTFIRDGRASEYH GVAVHPRHLSWWERFRGVGKAYDPKQDMKDKIEDIRREWEAKEQEKKDQEVNGNSNPL SDLDDDIFSDHVHGYFKATSGNKDDEKEKNATTSGNGLFNGNEKTENPATSGSGNSED ASSNNES
SAPIO_CDS6367	MASLDYTTTTLSIEAKPYAYTFRPSCTALLLIDMQHDFLHPVGF GESCGADLKMVQACIEPARKLLGACRASGLTIFHTREGHRQDMSDCPSSKITQQAEAF GMERKPRIGEKGPMRKTPIKGEYGHDFVDELQPVPGEIVIDKPGKGAFWDTELMHKFK AHGITHLLVAGITTKGSVSTTFREASDRGFHCCVITEATAGYDSSFTAASLDILCSTN GGFGFVAHLQPILSELSHIPRPLPSYTETSQETSPEWDGKLDIVSLQTAYQAGFSPLT VVEDIFTRIEAYENVNPGSWIYRVPKSVVLEATRDLLNRFPDRSKRPPLFCVPFSIKD SIDVAGIPTTTACPPLSHIPSVNAPLHIALIEQGGLFIGKSNLDQLATGLTGQRSPYG APSSAINSSYVPGGSSSGSSVLASTVRDARTVWRILETFDPRDPYTKPEELRKCPHVV HSTGQTETTFRFGIPPHDVLGICSEPYRRLFAETVTQLQNIGGRLQHINWKPFDKAGR LLYDGTFVLERVASIPDLPGSGGIDGPTWFEKHKADLHPVISELFTAVINRKVTAVDV FRDLQAQRRYTALVHNEVFSQGASGVDVVVLPTAPTHWTVDEVKEDPIVKNSALGVFT HCANVLDLCAISCPAGEFAAKELGGQGVLPFGVMFMGRRGGDSEVLDLATRFEDSFKE DVDASRG
SAPIO_CDS6368	MSAASIGFTSENPTLDPNVRKGEHPSKEEMANPNNSLALPRGCK VLSRRTHGKSFWATTGRIDVELEDRTKQSYFIKILSRDSAKDIVHGEFESMKEIYKVV PTFVPKPIAWGTYESAPETSFFLCDFKEMKGDMPDPIEFAALLAKLHRNSRSPTGKFG FDTTTYAGNLPQMVKWEESWERFFANSLRYALDLEISTKGPDKELEALIPLLFGKVIP RLLRPLETGDRMLKPSLVHGDLWYGNSGINIADGQPLVFDACSFYAHNEYELGQWRPA CNKFGPEYIEAYKSCMPISPPEEDFEGRLDLYRLRFDTHVSALFSEDKKLRAQVIGVM RDLVERYGH
SAPIO_CDS6369	MSHQTLGNEHGRHPQVELGESGFLTPPHRRDSEPIPMRQFDYGR TSYDSAAFNEDRPTLKDRPRDTRSKLSASMIWRMWWLEILCIIFCAAIIFGIVVLLQN FKGKPVSSWPLPVSINTALAIISAVFKASLLPPLTEGLSQLKWIWFMRKDTVLSNFEA FDQASRDMTGCLLFLKRWPFFKEKFTMKFLALIGAVTILASVATEVFTQSTVQYESCQ WFIVDAPASIPKAHTFDPVIDTLRSGTKTTDMDTKLAIYKGLINPPQNSSASMAGHIN CLTGNCTFVQDNDRGSFATLGICHSCRDITDQCKMDVEPGKTGTLFYSLESGAVTKVF QSARSMVQLLHMVAVDTPLPTYIDDYPMFTVETIVINPRNCTEAEKSSPRCQVGRGTL WEPAASSCSLYPCVKNYNANVVNGTYTERQVLARNLKNTLAPANSSVPNYWITTDEAF RNGAWTACGETSERTDVNTQEVFASNKTSCLMSDYGSCQDAETLWYPPDCVWTFPRWQ IAPAVADNLKEKIGNHTLLDYKRNVAYEGEPYMQRLYRSGGTHMENVMEFMGGISDSL TERIRTKGPDSPELQTVPGKTFRTDTCISVRFEWLAYLGGLLFLEIVFLVFVIYVGKR EGFSMDWKSSSLAAFFYPIDRDSPEEELLRKESLEKTAKEISVCLSERDGAWKFHKME 
SAPIO_CDS6370	MHSLFKCLRSESLGREGLRHAARWGFPRSARHFLARGVGANAEI DDGPYGPTAFHVAVAYKQFEVVDILLEALLKGPDAEAALPGWLCHAIFSQEMGLVARI LGTGYVDTNACRLDLSERCPDSWVGKFPPDGYRPQYVLPLNCAIAARSTQAVRLLLEA GANVRGHDSINESGNHRLHEEGQARRSSPVPAKRRREGLSDQLPADVLLNLAVANRTP RQFDDLDIEILRLLCPRTPQPGQNQFLAPYSLRDGQTPLHFVAQCGARNARKVIQVLV SLGSNVDALDRFGRTPLLVACLNAASKNASDLPSIVSTVESLLILGANPNIADNEEST PLRVACKANSTNLVLTLVNSTALTEITDTQLDSLVPLARRDYTIAALLTGPGVQPSTA LRLVSRFNQWPALERVIESFASQGQDLWAQPDNEDSLLHAILKHPSSWEREWFPWADI IERIPNVKAKDSQGHTLLEYAAQNGYTSCVSNLLDKGATFSILETWSYWSSLRQWRVS RHGRRSRNGAGAGKQRGIRKDILVRLFQHEQQVLGQEATGADSPTFKTYLTSGLKPFE LLPDQFTPPPRKPTQNVPMSSPSSSTGNNRLKEASPRVSQAPGRRGPRNRWNSCGGDW DEPYVSRVVARARPSSSRLPGGYYGGGQYGGTSGGWSGGGGYAGDGGGSGGPGGDGGG SGGCGGCGSDGGCGGDGAGGDGGGG
SAPIO_CDS6371	MKQLPFLLGAIFWALALGAIIAPPARDLGIAPVRPRDAIKSNAA VYHAIRRAVSTARLTGRQIEQESNSTVLDKSWVDAVLFAYPHETEVGQKATIENSIEI VCTTCYIKGKASSKFTIDGNFDAGQALKNFSSDVINEVGQLGGQVVDYIMDYVPDVAT KMKDGFDLDDFDLPPAKFDFNVDVPEIPEFQLQFKFEDMELYMLIDTVLSSSATYNLN LYTSNTPIGLSVSKDLMIGVVLSIDLILSVNGEIDISTGFHIKLDEGVTIDISLFSDD VSKITLNGGQFEFLPVTVESAGVVFNAILRVGVRTGFEVATPGPPGFGASAGVEVGVH ANVAEFVTNVTASIGDAEEDCRLRVEETYQLALGARAGASVAWREYTWGPVPETKIPI FYTTLSNGCAIQKSSPVTTSVPTVTATKAVEARAESDEELKTTTLTTTVVYTGVACLS QELVACPASLQTTSKFTTTKTHVTVVPSDADPTFPQSVLSTISSVVPFGSGVQKLPAT SGSPVSYVPPPPTETANPKDNDDEDDSSLSDFVNDKTGGVPNRVILGVSIGLGVPALI AIVVGLVFFMKRKKYAPVQTGDALAHAGPLRSEPYDSGSHLSKSNSQNVVGRAE
SAPIO_CDS6373	MTKLPPVEKFPLAVRKNIRDKWDSQKDQFAKDLSELLGTEWAIE VDLPQVFAYAESGTWSYDSFGSSVAEHVRGGIGRLRDFIERYDAETVNEINTICHAHI LTLDVDEESRFDCGGADVLDGKLRILFAPEKLNSNIGDAFKEERLLKAFNDAPPPAGD EKPLSFITRLFKRIKYDAKIEEIRTQIGEALNKPDIKLTPNFEDTYAKLKVESQAKDN RLDQKHWEEHIPDWTFRYFKGFLGSIKWQHFDTDDMLQEGFNEAVDKGEIAFRIVDTT KSGTSEFAIEDGVFYLQVTARNWGSNIDDAARGIVDKL
SAPIO_CDS6374	MRKDYTPACPIPGVANKVSKGNLRNLGIAMGLAYLWIDALCIRQ GEESDKVKELPKMHHYYTNAHFVIQSSGTKSVDEGFLGIPKISRVAERLISTEDVPNA EDSFASSMSLAEENLSNSPNFTCCKVPYVSSNNDSDEILLYDLPGTPRYAAGLEHAAS RAWILQEELLCRRILIFPSVGGVIFRCIGDDRELSDGNVIYDLGKHRPLAFPKKALMN NAEARGLGMALLTDHLQAMLARARTLAASGKNNPLVQVEPTSATLVFDHPIGHPIEVP EAGFVIAPLYSGEVDGGLGFSTRSVSSYAATVISRNPAGPGLRSQRIFTEPPPHVILA DGANQAWLKTVDNYCRRKLTNPQDKWVAIAALAREYSARYGSGLGIYIAGVWKNFLPQ SLLWKVLDDAVKPRPSVKRGPSWSWAAVQGAQFAFDDEEDVHNLDSSSIEIRILGAKI SIETAGMEYESINGGSLRIKGKLLDCSLSKSSLGFKLTTTSTMTQSSELNGAYPDCME YATEEGGLSFMLVQRSNRGIGNPNDRLEGLVLRKLDSGDFVRTAYAVWHCKKEVVEKG LVSEFKDDTITIH
SAPIO_CDS6376	MAEYHKWKRTADRKANAAPKMEEGMSKMDAIQAEASREAHPMQS FDMDAPIHLFSFADHSKLLPSELKQHIESLANSNLKPGLIQALRRGIGVHHAGMNRVY RQVVEMLFRRGFLTVVIATGTLALGINMPCKTVIFLGDSVYLTTLTYQQGAGRAGRRS FDLLGNVVFAGIELERAYEIMSSRLPDLQGHFPLSTTLMLRLLGFWHHTENSQYAIDA VQSMLSQTRIYLGGPESQMAIKHHVRFSIEYLRRQQLLSQDGTPLNFTGLVGHLYFTE NAVFAFHSLLKEVEAEGILCQHNEDTLDIFRTYVETYVHQHLSGVSDDTLPFTKHKFG SQGKHSRQLSSARKCLPPTKLRSPFSALSGFTDKFKSIHELCTTVRGDIFLEESAVPY ILIYLYDTNWVPWNAYIYDFFKHGNMKALVDDNKIRRGDVWFRLKDFSLVLSTITASL SNFFGEEIVDDAAMMDIQDVGSTMEDESDDSDDQHASTAATRDKCTASSSKAKARDTA VPDSWEDDIDSSSAEEDGCLLDGESSGYTSQRGSEGE
SAPIO_CDS6377	MESDLVKRFQKLSRYLNNLSAADLGTVGGEAALYACNILARIQM KQNGKGFNGLYTHALIWKTVTSLEATSLSPTVASQLAPMAKSAASILWSLPRSSFDPT PDPRARFNPDTWQPQVLDAIDENKSLQVIAPTSSGKTFVSFYAMKKIMQPSDEDVLVY VAPTKALVNQIAAEIQAEFTESYKHNTRCVWAIHTRDNRVNNSTNCQILVIVPHTLQI MLMAPTNSKSKDSWSRRVKRIIFDEVHCIGQSVDGVIWEQLLLLAPCPIIALLATIGN PHEFKAWLEGAQKAKGFDLEMVVHSSRYSDLRKFIYFTPRNYMFSGLKPCNLLPVPGL DANADGETRQGYSRFAAIHPVASLTNRSRENLDDVALEPRDCLTLWKCLIQHQTSKYP ISDALNPRKFLKDVARKSDVVKWEAALKDVLKAWMVDPDSPFSSVQHTLQSTVSQASK LPTFDETDDESDFRLPMSADAGSLTSIAFPLLVDLHKKGALPALLFNYDRLY
SAPIO_CDS6380	MNERSLRRSAYDTRHAKEFQRMYKACESCRKKKIRCVLDDSRDP PGPPCIRCSRELRECIFSSERSTRKRGIREKEKASPRNVATQDDGPTVDSATYLGSAE DPVATIVVTQQQQQQQQPTPSLESMSLGLCNNTAVQAVSQPPATINASASAPSPQDDN GLADTVMRTIVSSDNDALRLLFRAAEQRDAESPRYQTSVSHASQVGGDFPTPGRPSIT AVEPVQLSQALPSVLEVWDALRFTRMGWFTAEEAVTYVDLFFKNLAPLSPVCQAFDSS HDHHFWLVTQEPLLCCTILMISSRYHTLPGTGGVSRGTLIHQRLWEHCQHLIMRIIFG QEKRSKARTRTVGAIEALLLMIEWHPKAIHFPPPSDGWDADIMLSASDRRDHPLGNRP ETDHAGERWIGDVVTPTKMSDRMSWMLLGCAQTLAHELGVCDASASGNGNGNGDKSDI QMARRSRIRKLLLTLGEQLSIRLGCSSLVPLSLSRFPAMPSDSDHESAFMGAWMEITR LLRTISDALLSSQSGTRQMLISCKYVNVIKHFQQQLLNWKAAHLQELRLKPNLYQELS IEYNHVRIFMNSLGMQAVVDRVLEESGRGSRIDQTSGRIRASLTATDYQFIWEVIDGS CQILQSAIKLAETGNLRFCPVRVFIRIIGASIFLLKALGLGSHTSSLQASLAILDQSI LALYWSSLDDMHLASRYAALLELHVARFRERLIPSSAPPGIPAPDFNFEWAGCNGLTP GINDSTRLFSDFQSIEDDWLSLPFDPSMAPFGLGESYEMPEIEDNTWDFLWHLPAV
SAPIO_CDS6381	MAPIALISPEESAAPAPTSTDIAAYQGYAYVHWYVGNAKQAASY YVTRMGFERVAYRGLETGSRAVASYVVKNGKVTFVLTSPLRSPGTSRSQLSDEDEQLL SEVHNHQTTHGDAVKDVAFDVDNVDLVFRQAVRNGAEVVQWPHILRDEHGKVKLASIK TYGDTIHTLVERSEYAGVFLPGYRAETVEDPTSTLLPSVKLEVIDHCVGNQDWDQMEE VCKYYEKVLGFHRFWSVDDKDICTEFSALKSIVMASPNDLIKMPVNEPAVGKKKSQIE EYVDFYGGAGVQHIALRTNDIISTIKSLKSRGIEFIRVPDTYYDAMEQRLKRAGMVLD EDFEMLKDLDILIDFDQGGYLLQLFTKHLMDRPTVFIEIIQRHNFDGFGAGNFKSLFE AIEREQKLRGNL
SAPIO_CDS6382	MASATEVNLTLNDAKVLTALFDSGTSNFAHAAISRQAEPLADVS EPEFKRLSKMQSDALRTINHANPSKDTVRTAVDTLSRILEEEPRFAPAYANRAQATRL LIDGDDIIRSANAKTIENITLDLQLAIDLASPSSPNQPVSPTRAKILASSHTHMGSLL LLASRVQDPDEVPSILRPESGRSAEELASHHFRLGGLYGNKMAQEMANVRDNYNIDGY EDRSSLKITLIFTVTSWKSGRKLKRIAAMPTTKFQTEEKYQYLNGFGSYHESEAISGA LPVGSNSPQKCPYGLYAEKVSGTAFTAPRSENLQSWLYRILPSAAHESFAPCGLDSGA HSLETEPLQYVPDQLRWSPFAIDWSVDWVGGLRRIGGAGSPVMKSGMGYYVFTAGKDM DEKTAFYSADGDFLIIPQTGTLDIKTELGQLLVRPNEIAVVPRGLRYRVTLHQGEPAR GYICELYQGHFTLPELGPIGSSCLANARDFQAPRAAFEEDLGSKWSIIAKFNNRLFSG SQSHTPFDVVAWHGTYYPYKYDLGRFNTIGSISYDHPDPSIFTVLTAQSPQVGTAIVD FVIFPPRWLVQEDTFRPPWYHRNVMSEFMGLISGDYDAKEGGGFMPGGASLHNVMSGH GPDSASFEKASKAELKPAKVGSGSMAFMLESCLMMGVTEWALKTCEKVQGGYNLESWM PLKVHFKHPEQKHHVWRQAMTVAQVPELPYASAYFAASLAVRAGRARGEHLSADHRSL NPSGSEPLLACHTTCREGFRIGQSVAALEYLDEAHPDTYPLLPPVSDPEARAAVRTLV GIICTDTQPVTNMRVMKAVRALGTREDRTASLTYWGKLWSGY
SAPIO_CDS6384	MSKSIVNSVPYPFSFTPTVVQKPGNLGVTEDVTATLKNVRGKIP SVQASRLRTMMLEAHADPSKILAHCCSYDGLSSRLVEEAGFPMVFLAGYTVASAYGLP DTGYIAMAEMCDKIQEAVRQVSVPVMADGDTGYGSPLNVRRTVESFAHAGAAGIMIED QTWPKRCGHTKGKSVVSRGEAYARIQAACDARDNGKDIFILARTDSLIHGLDEALTRA KEFKKIGVDAVFIEALPDKEAMRQCVEELGIPTFANIIEGGKTENLSAKDLAELGFCA VAYPWTLVAAKLKSIRETLEGLKRSMTVGAPPMILSYSEVCEGVGFNKYWDLEEKYKF EENGLVNRRSA
SAPIO_CDS6385	MSILEVKRSSSKVEELEEQPVILNVFAAEQQTLGERIRTAFDKR LMPVVCCLYVLSYLDRGNIGNAKTAGAQGDLNLSDSQWAWVLNTFYICYVCFEWTTVL WKILPASKYVSTLCVLWGTAAMCSGAAKKMADLVACRAFLGIFEAAFGAGAPYFLSMF YRRRELGLRVSLLLGMAPLANCFASALAYGITHIRGSLEPWRYLFIIEGAPTVLFAFV TWFYLPDSPGTAKYLSDEEKTHAVERLQVRDHTKKSSVKWSQVFAGMLDYQNYVHATI HFFCNYSFAGLSNFLPTIVREMGYSSVTAQGLTAPVYFAAFLCCIAAAYASDRYGKRG YIVSGFATMGMAILH
SAPIO_CDS6386	MILPWLSLVVGFCPLAAFAAVIYPQHGQPPDATLIVHLRNPHRT EAPIVAYNLAPLNGKSAPIGERTNIEGFALIINAANIDILGEPGRACWLSCDISSDLS TSPGQDDLFQKILDSEPVAIILYTLIGEWCSVDWSRLRSDKVFTTISASDANSALGYI HEGGNNTSGIRLSISGNPSVTTARENGGGTEGGRAKTIVLIVLVAIWGGLITIGLAAA VFGAIRARRYPERYGPRRETEGQASQTKVGGITRAVLDALPIIKLGSQGSTLEAPGFE LQTKQEEGGNGSSGGEAGPEATCNICTEPFTTQDEARLLPCDHMFHPECVDPWILDGP ATCPLCRRDIRPHLTSGTDGAERSG
SAPIO_CDS6387	MIHLSLSSVTLPLSPYALGCLVVSCYWAAWVVYSRWFHPLAKYP GPFLASFSRLWIVLQIKQADAEKTQRRLHEKHGPIVRIAPNEVAISDPDGIRSIYAIN SGFTKAGMVYAFDVIGQLFFSGMFGFMETMRDHRGYIQALDLLLPILCAASVMPTYIR PVFLLGGAVIPGVFRALKSIGDIERAADSCISERQALLRNGQDVETKDILSSLFDIMN KKGEEIDFGLTEVKVEVYVALFAGSDTTAAAVSSIFYHLMKNPAAYKKLTEEIDQATN EGRLSDIIRYREAMKLPYLLACCKEGMRMHPSVGLTIPREVPAGGRDICGQRFPGGVR VGINAAVLHRNKDIFGPDANEFNPDRWFREGAENMDRYMFQFGGGSRTCIGKNISLCE MYKMIPQILRTFHLELAHPNKEWETHNYWFNKPSKVYTRLSRRHTSVTW
SAPIO_CDS6388	MSTPVTLDYAAVGGRGCGLHFAGPKKKVLNWAPPAIPEVARLLA YSHSDPEIADKKRSPDEVIALMDEAGVSHVCLSAWSRPGQMIFSNEEVARYTRPYPNR IFGLAAVDLHNPVRAVKELEYYVKVEGFVGLRIVPWLWNLPPTDPHYWPLFVKCIELD VPFLTQVGHTAPACPSEVGRPIPYIDTIALKFPDLKIIMGHIGYPWAAETVAVAWKHK NVYIDTSAWSPKYYAPELITFANTTGRTKVMFATNFPQLGLKECVDNVNNYLVGNKGG LRETSVKEFMGENAIRVLKLPPVNLDNLRANL
SAPIO_CDS6390	MTANPAYVRGPKDPALWIKTLGTALDEQAARFGDKSALIVPWQS VRLSYRQLADRSRVVAKALLRLGLRHGDSVGIMAGNCHEYIEVFLGGARIGCPVVVLN NTYSPDELRNAACISSCKVIFIASKIGTKDLAAHIAILRGQGHPNPKLLELLQLVCLG NDEFDGKGIGIQSYTQLKVKAAFGITDEEMLRRAEARVDPDNVLNLQFTSGTTGAPKA AMLTHVNLLNNGLFIGDALRLTPDDIVCCPPPLFHCFGLVVGFLSSLSHGCAIVFPSD NFDAKKVVDAVMSEDATIILSVPTMFMAQLEVMAKSGQKPRRLRAGLSAGAALSVSLI AKVREKMGLRKIINAYGMTETSPITFMTVLDDSDDKAETIGRVMPHTAAKVIDSNAYI FVVNRLIHPSRYIVTMPQKFSRQPPGVSEAILITFPAPNLLLVTLNRPQQLNAMPQGS HRAFSSLELWSEKNRAGIPSHGADGDSWLEHGFGGMSNRRGKEPIIAAVSGYCFGGGF EVMLNSDCVIASESAKFGLPRASEMTLLGRTYTAQTLYEWGILSKVLPENSVLDEALR WAAEVAAQSPDAIILNHAGLLGGWDGEDPTLLSNHLPIVTTDPNALEEQARGAMETKS FKYIYRGAGELSTMDANRLAFRQWRIIPRVLKPASPRDLTTELFCVKYDSPLLVAPVG VQAMFHSSGERGLIAACAELGIPYILSTAATQSIETIAEACGPHPRWYQLYWPKDNDI TRSLVLRAKNSGFSALVVTLDTVTVAWRPHDLDIANLPFLEGIGNAVGFSDPVFREKF YARSGKTPEEDQLGASRYWISEVFAAEHHSWEDLELLRELWEGPIVLKGVLSAEDARL ALQHGVDGIVVSNHGGRQIDGGVSSLEMLPEVVEAVGDRMTILFDSGIRTGSDIIKAI ALGAQAVFVGRPALYGSGIAGKEGAYEVLAGLLADMEQCMAIAGFQSIADLKPSIIRK V
SAPIO_CDS6391	MNREKVLVAPDGAHKAVSRYPEKAQVAVDTRPMTDVEILQQSWS KRAMIVAFVGLFATSFICAFVKYSTKVYDAYATSDFSKHSALTTANIVYTITSLTTYP IMAKLSDVFGRPQGFFFAISFMVLSMVLYAACQNIETYIAGGIFESIGDTGFTIMQQI FIADTTSLINRGFWSSLPESVAAIPTLYLGTIVADEVLEKSTWRWGYGMWAIILPVAA APLITTMYFLQRRALKNGFTRKSIWTGEIANMPLHKRIARLVWVDLDILGAVLMVVGL GLALIPLSLTGARNSERWKQGSTIAMLVVGVVVLAVFFLWDAKLAKTPFVPFRMIRER TVIAACLLSLLDFFHYSTFTIFFPSYLQVVGGYSPGHASRIDNALRVSFQIASVLVGL LMRYTKRSQLFVLIGVPIVVLGQGLQIYFVNKNGDGPANEVCFITAKTLVGVGRAFYQ TAAQVSIQAIVQKEDVAVVTSVYYASMSVGGAIGTRHRLTEYLPGNAKDKAQSIYKSI VVAQKFDMGTPVRAAINQAYRETQQKLAIAATAGLAPMLLIMFILKNVDLGKADEGKE PSDGSVHDSEGASKSIDDAKP
SAPIO_CDS6392	MRGNPHLDLLDLHREYGPMMRISPSEISFADVEAFNIIYGRTSK FEKSEYFYRPFEDQAPNLFTIRDLQHHSQDKRLLSHAFSRANIAQHQTAIYDKASHLV ERMKELVVKNEPIPLFPAFRCMTLDTISDFAFGKSTGALDLETFESDIFDAIDKATNS VPFFQRFPILREALRWASYYNLSAIPNGFLKLAHAGETAFAQMSDDDSWTMFGNMIAS ARKSSLELTKDHLVAEAIVMFVAGTDTTAAALAIGLHKLLQHPESYSRLQDEVRTVMP TLNSRPLIEELDALPFLDACIKEGLRVSCPSRVRMPRTVSEEGWKYNGHYLPAGTFVS ASPLYCLLDEKVFPSPKRYDPSRWLVDGDRKREMLSHFNPFSRGTRQCIGQNLSLIEQ KIVLSMIVRSFDCGQVLKKNLKIEEAITVVIEDPVHVRLYLAEN
SAPIO_CDS6393	MSASSLSQKSRLDFPDRPQFSGFMKPCGVEGEVFNLEVRGEIPA DIDGTFYRVMPDPQFPPFIEDDPVSHGTRIYPWIKGRRVSFKQRYVRTEKFNREREAQ RALLGKYRNKFTDAVEFNTRTTANTNVVHFNGKLLALKEDAPPYALDPETLETHGLYT FDGQLPSLTFTAHPKFCPKTGEMLCFGYEAKGDGTPDICYYRVAPDGKFLEVVWLRSP IVAMIHDFAVTENWVIFPIIPLLCDVERMKQGGEHWQWSPETPFYLGVLPRNGAKSAD IKWFTYNNSFPGHISNAYEDKYGNIVVDLGLSKQNVFFWWPDANGNAPEPSSIHSQLT RFTLDPQSSNRELGNAEVLQDNNSEFYRIDDRFATQVYRHCYFDLMDPTLGTDFQAIA PQLGGGYPLYNSLAHLDVVTRKIETYFPGRTHMVQEPVFIPRRGSTEEGDGYVLALAN NYASMSREGPSQAGPIRDYEVLKSLFAFSTHAPPSFYITTGVTYLPKPPISKKDLFDQ MSLPSRSPAPDAQSVKNFLDQVAVLLGEEHVSRDPEHGALKGRLGEDSYGDGFSASDN NQPSGAVRPRSVEEVQHAVKLANIHKIPLWTISRGKNLGYGGSGAAVKGSVILDLHRM NKIIEVNGEYGYAIVEPGVSFFDLYEEIQRKGLALWPSVPAIGWGSVLGNTVDRGFGY TPNGEHSQSQCGIVTKIGIHITPAPEAYATVDVSVPREEDLIPLVGILSDLMRRSIIL NSPSIANIFRIALTSPVPEVQAKLAEYMKPGSYVPYDVLEEIRLQYGWGFWKAYFSLY GSVEMLPALKKTVERAFSSISGVKINWREFPGSPEKTITAAEIGEEEIPHSGIPTLAP LGLVDTRGTKGGAHIDYSPIIPPSGRELYDWYLTAKQRTIDARFDLFADFHVYPRYVV GIELVIYSIEEEERALALYKHLMEDGVKQGYMAYRTHVKFMDDTAAKQGFNDFAFSRF TGLLKDTLDPNGVLSPGKSGIWNSAKQPLSN
SAPIO_CDS6394	MAPKIEAVFTPEAPKPRPQFSQAIKYNGLVYCSGNIGAISNTNG ELVQGTITDRARQALKNISAILEASGSSLRNVLKVNVYITDMANFDAVSEAYDEVFYW EPKPARTWVAVYQLPRGTDVEIECTAALN
SAPIO_CDS6395	MVDCLCNFARGAGHTRTGSIQILDLDNPQTFKRLILRCAQDMTR VGDGVALAKFLAQGANDGIPHKLLTLLPTTRSAEEIGLGSLQQQHGLFCTYVSRESSF ATEVGIAPAEPDDFALAIQHADQIYREVGRSYAYAVQRCLRREFPGPDSKKSFGFENF RKDFFDNAVAPVQANYDAAQLEG
SAPIO_CDS6396	MSSPHKAGPAEEIAPTTSKSPSTSPGARKSTSPAKSKSSSPQAT SPGGLLSGELLPSAEIPGPLHTIEIDNDEVESTYNEGATRNLCGHQSCATSGSTDGGT MHSKMGSIGTSSSIFSASSSWPSVHGGSAANWVIFRAPNDDIQQDAEALQHEMYRRVL GGKLFMSPIPDDAQAVLDLGCGADVADELPSAEVTGIDLSPIQPAFVPPNCRFEIDDM NQEWTFPENKFDLIFMRSAPGCVPDFVEFAKKVKRHLKPGGWFEHAQASGLVESDDGT VKPDSAARQWYSIFATLGEKTGKSFMTSEEAPAAIREAGFDNIEEHNVKLPIGTWPRD PVLKQWGAWNRMFLLQAIEGFSIRGLTTMLDYSFEEAQLYLVQLRKELTDPRIHAYIY FRVVYAQKSLNDEN
SAPIO_CDS6398	MAGSPRNLQPIVHFVINLLFVLGEASAIARIWTRFAILKNFGWD DWAMVIIVVVNAGQHAILYLFISAGAGLPQEEVLAEDPRAMSRMLKYLFAEEIWYLFL HFWIKMSFLLFFLRLSRSKRFRTGVYCVMGANVFVTVGTWALYSLQCIPIEAYWYPER HPDVVCLPFSVSLWLPATALIVVDFAIFILPLSTVLSLQLSVKRRLQVLAVITTGGSS VLVSCLRLIVIHRFTVTDDFLWEVGNICIVSAAEMEVAILASNMPALRALWKKFRDGT LASTGNSKQTRPSGNELTGNQDSRSHQLTNLFTKKSYRGAERLGDDDKVEENSSTREL TRRTSSDAELGMRNGGFGSNKQAILVTREYNVSEEAHGGSQQDQLDWNHRKVDIRVDQ CH
SAPIO_CDS6399	MTTSSKKPILVTGATGFIGAHIVDNLLSRGLKVRGTTRSLAKGQ TMINARPQYKGHLEFIQIPDFEKSADFTSAVDGVGAIIHTASPLNYAAKDNEKELVLP AIRGVRAILQAAATNPEITRVVITSSFASVLDTNRKAPSYFTYTGADWNPITYKESID SSTPAFISYRGSKKFAELEAWSFVKENTKKPTFDIVTLCPPMTFGPIVHPINSVDDLN ESNANLWSIAKGIKPLPVSRVPFWIDVRDLAQAHVEALLRPEVGGRRYTLASPERFSY EKAAQIMVDCCVALKGVEFEKQVIDESYGLDGETAAKELGFAVYSADKWNQPKRFEFK PKPFGDRDIDVKIIACGVCGSDVHTVTGGWGNKNWPIIPGHEIIGEAVRVGPQVTTVK VGDRVGVGAQIYACLDCDTCKEGNETYCKQQLDTYGAVYPDGTLAQGGYSSHIRSHEH FTFPIPEGLQTELAAPMLCAGLTAYSPLVRNEVGPGKKVGIVGIGGIGHFGILFSKAL GAETWAISRTDRKKDDVLAMGADGFLETRTEGWNEPHEMTFDVILSTASGDDGFDLSP YLSLLRVHGKFVAVGLPEGEGWKVRPQSLLGNGCFIGSSHLGSREETLDMLKLAAEKG IKSWVETIPIGEEGCVERVHNNDVKYRFTLTDYDKQFKY
SAPIO_CDS6400	MLRLSERFSGTALIVLITLVNATSMSWFGYDQGVFSGVLISKDF KKWFPETSKANVSGITSSCFALGAFFGAIFAFTLGDKLGRRKTIAVGLTTNFIGALLQ IVSWHLPQMIIGRIINGFGMGVSSSTCPVFQAECSKPRIRGKLVVVGSLCNTAAFCLA NWMNYGLFFHSGPLQWRFPLGFQLLFPIVVASALLCVPESPRWLMLKDRHEAARKVIA RLHGKDTPLDHPEVSAEFLSIQGTIELERKDRVPVTDVLRGRDKTQTFRRLLLSCGTQ FMQQFSGVNALGYYLPTLLQQSVGYDEQTSRLLTGVNGTIYLGAAFCCLLLIDNFGRR KMMLYGASTMGSCYLIASLCLKAAQSDESKEATLGRVVTAMFFLYYFFYGTSFAKVAW VYNSEINSIGWRTRGAAAATATNWMGGFIVTQFTKVGVDNLKWGFYLIVFAVICWAYF PVVYLLYPETTRRTLEDMDQIFIQNPSIFVCGKPDLTQRERPLAFIEAEERRIAEAAE GKQSESSEHVEGRV
SAPIO_CDS6401	MSFNRKRVALACSFCRHRKRRCDAGKPRCRNCLEAEVECHYDEM PSQRIDTSGGTREILHKLRHIESALDLHTRAVDALSSELQLRAAQEDVQGTSPNSHTS SVPGVGNRLNVPLTPWPSQACDRQPDVSALPPLEIPHKHKTSSSYLLGLPAMKALVGD YPNDLFFLLESKNPLPPQLSFESLPSPAPPIRVDRETADCLVDSFFATAHANHPVLDE ESFRRIYLAFLDRGVDSSVESAMCLSVLAVGAASMVSPETRDFSASPPGMEFMQHAMP TLLSLSSCFDARNESAESRESTLRLVWSSFLVECDRLAELELPRSGLQQLIDETPLPS CSNLGGMIHTCFLAETSIRRLLNRIHNSLYPSRKRYDLTLSSTSLMAPEEFSTQEISS MMGVCDELQRQLNLWYASIPEAYRPSLVVGPAANDREAVLRIRYFAARHIIYRPFVLN ISMNPGPHPESIIEKAALSIESCRLYLQHATVVLKKPSPYTWTFSLS
SAPIO_CDS6402	MTVPGPTVEDVKIVDFKVFDLRFPTSLNGVGSDAMHVGTNGSQP YIQLITDRPGLIGEGIAFSNGRGNELICMCLETFAKRVVGKTMHELTRNMGKTWRYMV SDSQYRWVGPEKSVTHLSMAGVMNGVWDLWGKILRKPVWQIVCDMSPEEIVRCIDFRY ITDVITPEEAVEMLKKAQVGKEQRLAEAFGNVAVPAYSTSPGWMALSGDKMREVLDEE IKMGYKVFKFKVGSGVEADRAKLATVRSVIGYDKGFQLMIDANQVWSVPEAIEYMKQL VEFQPVFIEEPTNPDDVLGHAAIRKALKPFGVGVATGEAAQNRVTFKQLLQADAIDVC QIDAVRLGSVNECLAVMLMASKFDVPCVPHNGAMGLTELTSHLSLIDYVAITGRKSML EYADSFRENLRHPSRIIDAHYETPLAPGYSIGYTDEALERYIYPTGSFWKSEAGLNII NQPTGGEL
SAPIO_CDS6405	MKNPSCRIPIIVSSTSRILLTGATGYVSGTILDHLHLIKNEEPS IQGLTFDLLVRSEEAAKKLREAYGDRANPIQWAGLTDIPSSPKRPPTKACVHGLARRI KPGNAVPWLLHIAGCPNLGDRPLTETAYPDREWDDAGGNAVYEFLKAEDTETPLPAEN HRVANMVCTWQALAHTVEHISIENYVNRLLYVVAFCFVKLSILAFYMRVDHRKYTRWA IYFLIFTVVGLTVSTALICIFECWPSALYWDLTDPLREKCMPAAQRQIFFEANGIINI VQDIFIYLLPVPMMRKLQVPRRQKFAVLFLFYIGIVALIAGGIRYYYVLKLKNEDDIW FYFSDSLNWCSIEVYTAIICRSASTF
SAPIO_CDS6406	MAAKSPVGHSKTFDVKSSGKANGSAHGNGTAKSGAAEDSGEDSN DDADGHVVIEATATAVAGGEGGSKKKKKNKKKSKKKKAPTAQTDPPSVPISKLFPNDN YPKGEEVEYVDENRFRTTNEEKRHLDNINSDFLSDYRQAAETHRQVRQWAQKNIKPGQ TLIEIANNIEDSVRRLVGHDGLSEGDALLAGMGFPTGLNLDDIAAHYSPNAGDKTVLR ENNVIKVDIGVHVNGRIVDSAFTMAWDPMYDNLLAAVKDATNTGVREAGIDVRLGELG GYIQETMESYECEINGVTYPIKPIRNIAGHNIMPYSIHGTKSVPSVATNDVTKMEEGD VFAIETFGSTGNGRCYNEGDVSHYAMRTDAPKVDLRLSSAKSLLTAIKKNFGTIPFCR RYLDRIGQEKYLLGLNNLVKSGIVEDYPPLVDKKGSYSAQFEHTILLRPTVKEVISRG EDY
SAPIO_CDS6407	MGDKSPEQASRGKKSPTSPKGAKSPKSPKSSEAGAQDPTSETVG ILPAQHWVQSAQDHGYDDDAESAVGSLNPSSTASISSSILNYRTLHGRTYHGEVGSAE YWGANDEQQSESLDIKKLLTLGLELSTHVRMFPNPSFSDFADEFPEAEVIGTDISPIQ PTWVPPNLKFEIEDCTQPWTFAPDTFDYIHMRWLIGSIPDWSALFTEAFKACRPGGWF ESCEPECGFTSDDGTVRDDTALGQWQKFYEEGQRKTGRVFTVVRDGIQRKCMEEAGFV DIQEFSFKIPIGSWPKDPKLKELGQYGQLVLEADTEGYILFMANTLGWTREEIQVYIA HLRREVRSGKIHAYYRQNIIWGRKPESE
SAPIO_CDS6408	MVALSDIQASNAKLATNLPEGLVAVFVGGTRGIGETSLKQFAKH TRKPRIYLIGRSEANAKRVIDKCKALNNEGQYNFIGADISLIRSVDEVCKDIASREKA INLLFLTPGTFTVHTETPENLHFFMSLNYYARTRFILNLLPLLRVAPDLRRVVTVFAG TKEGQVDTSDFQARKLSLMASRGHVSSMITLSIETLAKKAPEVSFVHVFPGMVTTDLG QDISALPLRLVARFYKFVLPLFAIPNEEAGERHLFFSTSGRYPPREGGAIGLTRDKGV EAVRGTDGNNGSGVYSISWDGTALGEKAEKVLKKLRQEGVHDKVWEHTEDEFQRITEG 
SAPIO_CDS6409	MYNEEMLQWQGQTCPRQGGRMTTLGDWLAGVTARASHAGRVCCK TCVNFDVSNLPGCMTAYSERYSLYDHTISLERLLETASSGCQRCQVLAQAYFYLCPGD DCDIRLKFLPSSVVMSRSLACLEILPAEESALGFMGFPTPKKGRHRHSRIDSREPYEA IVLAINECIRDHADCRPPTTPVLPARLVEVPPENGLPVKVVALPPDSKPEYTALSHCW GKFPLLKLLKRHGTGDVEFQWEDLPQSFKDACTVTRYLNQKYIWIDSLCIVQDDADDW RREAAKMGSIYEGSYVTIAASDAAGSVDGFLHSRFEANNFVVDDNKGRLIRFVARDYN LEMHNSKFFHHGDTPDHEWLMRPVDMDHRFINPILLRGWCFQERLMAKRILHFKRYEY FLECNKGVRCECSGMAKTRQGTIKSYLAIMLKEMLDSFELMKAAKMHESLVEHLGMRR SIEPASANADERLMQVWEALVENYSRTAFTYEEDVLPALGSLARFFQAKRPDWTYLAG LWKEALPRGLMWTMENSRGLDARKARRGKPESSQLQSQSSPSARPPVAPSFSWAALAG RVRYKTAEYARKQEVDVVTAGVDAIGDEIFGDVSSGFIVLRGRAVSFRFPRYSPGGNA IAHNTAQGASIAIYDGWEGGYCESSEQDVEICKDIKSDVWEPTNKVESGMETMGRVVG FDTDHEHSAYFSAQPGVRRNDPSDQSSSILEPLILLRVFSVVEHGMRGVGAACLMLRK CHDRPDSYRRVGLRTAKNRIFEDAKEYEIRIE
SAPIO_CDS6410	MHLNSRVHRGDQISCPFCQRSFVSATGVTHHLESGSCPEAPNLD REKIYRAVRSRDPQGSITKKLLEWNGPSQYTVTDRSWNGYGFECYFCHREFDRLQSLN QHVNSPAHQQNLYHCPNRGCGLDFKTLASTINHLESESCGFMRFEAVQRNMGDLISSN RRLTF
SAPIO_CDS6411	MATYQYSLQAFPRANCPPYAALSYVWGTSAPSARLGLDSGEIPI TPELRAILDRIAGTPSLLEPAWLWIDAICINQADIQEKSVQIPKMGEIYNGALTVTIW LGDADKATTDAIQGIEDFRFLMALTSTAPVPGTIPDANDPMWKAAEELFCRPWFGRAW VAQEVLLARKCRVVFGQRVIPWEVILRYWAYMELGRHDFVVSQRHRIGHDTISPFDMI LAASSAKGGERWSSVINQNNLEWAFRPQYHVVGLLDLIRRRACKEGHDKAYSLLGLLP EEIRSKIPIRPATRQRGEAKEMLRFLESHGAYLGVLLTLAGGVDRNGTR
SAPIO_CDS6415	MASVRQHSRDGSEDTRVDSAAGLRPSEAVGRDEPCDASTSDADG DGDDSEMERRHSVVRDLARRYTTERSAADQQYELQDVMVGQAADSELNPSSGNFSARA WARSIASLTTHQGSGYRRSGFSFKNMNVFGFGSATDYQKDVGNIWNEVPNVARSVLTK SGGKRRIDILRGLDGVVKPGEMLVVLGPPGAGCTTFLKSVAGETNGIYLDGSTSFNYH GIDAKEFHSHHSGEAIYTAEVDVHFPMLTVGETLTFAARARCPRVLPPGVTSEQFSDH FRDVVMAMYGISHTVNTRVGDNYIRGVSGGERKRVTIAEATLSNAPLQCWDNSTRGLD SANAIEFCKTLRLQADLFGQTSAVSIYQAPQSAYDLFDKALVLYEGRQIFFGPAESAR EYFISLGFDCPARQTTPDFLTSMTAPSERVVRSGWENRVPRTPDEFAARWRESSQFQI LQAEIEEYNSSHPIGGPDADAFRAQKQLTQAKGQRVGSPFTLSYAQQIKICLWRGWRR LIGAPGLTIFALIANSASMLIISSLFYDMDNTTGSFFQRGAVLFVAILTNAFSSALEI LTQYSQRPIVEKHHRYGFYHPSAEAFSSVLVDMPYKIANSISTNLILYFMTHLRREPG PFFFYLLVSFIMVLSMSGIFRSIASLSRTLSQAMVPASVLILALVIFTGFVIPVDYML DWCRWINYLDPVAYGFEALMINEFTGRQFECNVFVPSPLAAGYENVSPENRVCTTAGS VAGQSFVDGDVYIKTLYSYERSHKWRNVGIIIAFAVFNHLVYFIATEYISAKKSKGEV LVFRRNHMPSVPTEKGDIEMSSSGPSVVAEKKSGNQSGSEDGFIQASTSVFHWSNVCY DIKIKGEPRRILDNVDGWVKPGTLTALMGVSGAGKTTLLDCLADRISMGVITGEMLVD GKIRDASFQRKTGYVQQQDLHLETSTVREALEFSALLRQPASTPMAEKLAYVDEVIKL LDMQEYSEAVVGVLGEGLNVEQRKRLTIGVELAAKPPLLLFVDEPTSGLDSQTSWAIL DLLEKLAKAGQSILCTIHQPSAMLFQRFDRLLFLAKGGKTVYFGDIGENSHILNSYFE RHGAHACQPGENPAEWMLEVIGAAPGSSTEIDWHQAWRESSEYQAVQAELQRLRDGAS GPTSPEERDLSSYREFAAPLWTQLLVVTRRVFQQMWRTPSYIYSKFTLGISVSLFIGL VFLNAPLSIQGLQNQMFAIFQILSVFGQLVQQQMPHFVTQRSLYEVRERPSKTYSWKV FMLSQIIAEFPWNTLLSVVMFVCLYYPVGFQKNAAVTGAEAERGGLTWLLFWQFLIFT STFAHACISFTDTAEAGGNLANVLFMMCLLFCGVLAGPSTLPGFWIFMYRVSPFTYWI SAVMSTGLANADVTCAPNEIVTVNPPDNQTCGQFLSSYATAFDGQVLNADATSDCKYC PLAHTNTFLAGISSNFENRWRDFGIGMVYIVVNIAAALALYWSVRMPHKKRKS
SAPIO_CDS6417	MLPLSSLVAFVGIFQYLASALPQGEVGTTAGCVPTSTTIYRIDA SITPYTPWRSSMNAKYSTPGVVWSGYSVAYFSTVLIIGTRTSIITQWYDSNAITSEGY NILTEVVPTTSCPITTTTTSTSPTLPPSPTTANCSPHIDHSMAHHHRFRNDYFADATA VADYSELQSTRRSLALRAMAYYYHYHRSGATPVANKFNLYSAQRSFDDPGTTDDCEPE PTNSPCDDCEPVPTPLPTGPGGPNPSDVPQPVEPPPGSGSGSGSGSNPPPADGTDSPS PTDLVTAGAGRTVSQGVSLVLAAGIASLLLSSGVASS
SAPIO_CDS6421	MEHPVVHLLKSLMSIVSTSELEHDIGVFLEQHLQSLGYTVERIP IAPSSTRHNVYAYLGSSRKTRVLLTAHMDTVPPRIPLTVDGGVIRGRGSSDDLGPLAA QIVAAEELRREGKVRDEGDIGLLFVVGEENGGHGMVAANDMGLTWESGIFAEPTESKL AKGHKGQVAFEVIAEGIACHSGYPHLGKSATSTLLAVLNDLSAASWPESDLLGPSTFN IGTLEGGEKHNIVAPSAKALCEVRMVTDLPGIKSKIAEIVSKHPDVELKFVFEYPEAL LEWEIDGFDAAPVAFGTDIPRLKDEYCNKRVLYGPGSILVAHGPDEYIRVSELIDSIS GYKRLVLHFLQ
SAPIO_CDS6422	MPPKKVEAVKDNVVAFGRVQQSAAAENYPFCTIEPNEARCAVPD ARYVTDIAGLIKGASQGEGLGNAFLSHIQAVDGMFHIVRAFDNDEVLHVDDSIDPVRD LNTIQSELCKKDLDILEKTIIAEEALVRKAGGKYKMLPLFTETTTKIRALLEKDQPVR DGTWTPAEITLINEKIQLITTKPTIYLVNLTMKDYLRQKSKYLPLIAKWVIEHGGVPR DIVPFSIEFEQKLHSMKDDPAAQAEFLKESKVKSKLDKITTEGFNKLGLQYYFTAGEK EVRCWTIPRGCLAPQAAGAIHSDFERGFIKAEVVAYQDFHDLCEGSKSMAPIKAAGKY RQEGKNYVVQDGDIIHFQFNVSNRK
SAPIO_CDS6423	MSLPTVEDLGKLVNMRLLGENHHGIVSMAVVSIVVYGIYKLSQV GKRDSRMPPGPPTTAVLGNILDIPTTGPGNMIVICDRKAVYELIDRNGSIYSDRPPNI VPLFITRGNHMTMECRGPSWRAKRPVVTRNLNPKSLDQRHFRVREAEAVLFMNRLLTD PDNFYTYARLYPNSVAAILAWGFRAKDTNRIEDEKCID
SAPIO_CDS6424	MAFPSEGVAFVTGAGGTVGRATALQFARDGVRKIAGLDISAPVL GETKQIITEKFPDVDFLPLIADLNDEAQVTAAFAQVVEKFGRVDYAVNNAGIGHPLKV TPDLPFENFDRVMGVNLKGVWTCARLELAQMEKQEPLPSVSKLGAIVNVSSILGNIAM PMLGIYTMSKHGVIGLTRTDALDFAKKGVRVNCVCPGFIDTPLLSESTRKTLAPSIEK TPMGRLANPQEVADAIIFLSSERSSYMTGSVLTVDGGYTVH
SAPIO_CDS6425	MAYDNPQTVSTLTIRQKFGLIPAIILFFDFKVQLTAVANRNVKP NFVTRDSGLTGFWVGQESAKNIIINFHGGGFVMDGMAQHIDFWAGAQQELLSAGIDAA WFYPTYSLTPHASYPTQLNQAIEALRYVLEDPGREPQDIILAGDSAGGNLCLAILSHL MHPEEGLPKLEVRSPLKGMILISPWLSFKTSWESMTRNVHKDIDSIETLRQWAIDYLG GRASDNYVEAILATREWWSNAPVERTLFVAGADEVFLDPITVWTDKFQTENEHVQFIV ADDECHIAPFIWPLFGRNDETKQGQEIKRWLKEGLQSSSEVDINLHNAGATILSNLCG PYVGQLDRKGVDIKAKEKDCGISSFKHNFARLHDGDPQAYSFVTSSEIATTFAYAGNL KFDPTTGAVPRDDEPKGRPSKRPAARGITFYPGKRASAACQV
SAPIO_CDS6426	MAEIQYSESLVQGLRGKVVVLTGGAQGIGGATVALLNSLGAHVF FGDWDDSKGRKLEQDLNSGASSGGSVYFQKLDVRDYNSQLSLFDAAYNKHGKVDVAVS CAAVGEPDGWFEPEDLNLETVRKEPIPVKNHIDINLTSVITFCRIALAYMKSNKPDAS PNGDFSKSIVLVSSIAGITEAPGLFAYSPAKHGVIGLMRSLRPWAPVKYNIRANAICP WATDTQILGAVKEKWVQEKMPLNTPEDVARFIVQCAADKVLNGAAVFVSGGRGFDTEE GINRTLPQWMGEQNAVEFLRGQEVLGLGDKWTSKE
SAPIO_CDS6427	MTSSQVQKSVIVTGGASGIGLAMTRYFASQGHRVSVFDINDKTG PGIVAEVAAEHPKAALSFKRCDVSSWDEQAAVFKQVYQEHGRIDVVMANAGISEQGQS SIAKVEDDEPVKPATKSIEVNFLGVIYSVKLALHYMHKNKDDGSPSRGLVIATASNAG VYPFPIAPLYAASKAGVINLVRSLGPVLEKSKIQINALAPAVLETNIAPSPDLFKPMI ITPMSTLTKGVAQFVEDPSRTGQVVEIHGESVTLRQPPEFVDEDSRKNLETFWNLGYA 
SAPIO_CDS6428	MPLGILEDHKLEHVPGTSPLNELGRQDLETVDGIDPGLLKHDPT GQIVLVPQPSDSPNDPYNWPRWKKEMFTVAIAYGCGCVGAVGPLLTSAIVPLAEDFNI PLQRFTLGLQGSCIVAIAVGSLLLNTSAVKIGKRPIYIVTSLGLMATCFWAAEAKSFA SLAAARAVQGFCMAPMEALVPASIADIWFVHERGYRNAIFNLGVLGGINLASPIAGAI IQYGSYRICLHAMGGAFALQTILTILFMPESAYRRSGALNIDTGDKAVVVDAMTEKAK LEVDHNEGSPRQELGPSEMEPVKSFTRELLPYDGYWDDVSFWRTLVQPFFMLASPIVL WGTLLFTTCISWLVLISITLSQIFSAPPYNFSVAAVGASNVSSFVATLIATAVAGPLI DGVAKYMSKLNKGTFEPEFRLPVMISFLVFTASGFFAWGQSLHSQDPWPVPVIVCMGL INIGVQLGTTGLVVYVSDCHRLESSQAFSILNFIKNMFAFSLTFYCNDWIAVQGVRDC FFVIGGITVAVSLTTIPMYIYGKRARSFTFRHRVVSRVTARG
SAPIO_CDS6430	MASAETIIGALVILQPSDEDFNHWTAKQEKNDATPNLQGNVDVN TLDVAVSATIAGVNTGNIRGSLQDGVTLDFDLSVAKGNLGLYLKNGNELWVRQHVPIT FDAIDPSGTSSISHGSP
SAPIO_CDS6431	MKSFAPLVLTVFIPSVYGHGYLTIPSSRTRLGAEAGLDSCPECS ILEPVSSWPDLNVAPVGRSGPCGYNARVSIDYNQPTSNWGTTPVAVYAPGQVVDVQWC VDHNGDHGGMFSYRICQDQALVDKLLTPGYLPTNVEKQAAEDCFEAGTLSCTDVSGQA CNYSPDCSQGQACWRNDWFTCNGFQASDRARCKGVDNAAKGSCFTTIAGGYTVTKKIK IPEYVSNHTLLSFKWNSYETGQIYLSCADIAISDGTGGNPPTTTTKSATPTSTDGTCT AASTVAVTFNEVVTTQYGQTIKLVGSIPELGSWNPSNAPALDASKYTTSNNLWTITLN LAAGTSFEYKFVNVGSSGGVTWESDPNRSYTVPKSCSATATVSNNWK
SAPIO_CDS6432	MPRGSRNSSLSGTISTPSSYDFCSEKEVVPGTAPEVVPGVGLEL ARHDGLEVLVPPPSPPPRTILFPTRLGTPRRRIVVIASAVAVLLAIIAGITAGVVVAT RKKNDDSNDDDIPLDMAGSKSISTISWVDGRNETQIRLYYISAENELMEAVGGPNGTW EHTPLGFTAIGGSDVAAAVNRPNERPDLWGYPDMYDVLGVERVMVDGPLNDDPLSIHV YYVDTNSFIHDIIFEDGRWHDGDLARSQYVSCWNCTLSAFTRQCYGGCSEASAMVAYR DEKGAVTVANQTLLGWTRETLDLDISLESRLVLQQRYDNFTTDTIDLYYQHRNVSLVR ASWSPRQPGAQEYTWSLIDWVFDAIPVKVPITVYSTYTYLSFDSGAREWKELAYLYWV TDEGIRATCWARSRRISSEGELRVPTWDTTDLGILPVTKPDLVPPESFWLSSTGGQLF ISERLRDQASHILQLRYTNGTLPEKAAEGWVVTGPLEGIESNWGY
SAPIO_CDS6434	MVSFKILHIVWALCLFWITQSHAAPVNVTEEAAVSISGSGYNNV VYFTNWAIYGRNYQPQDLPASQITHVLYSFLNLRPSGEVYASDTYSDLDKHYPTDSWN DVGHNAYGCVKQLYLLKKANRKMKTLLSIGGWTWSTNFPAAASTAASRSLFAKSAVTI MKDWGFDGLDIDWEYPADATQSQNFILLLKAVRQELDSYAAQHAPGYHFLLTIASPAG PDHYNVMDLKGVSDVIDSFNLMGYDFAGSWSSFSGHLANLYADPGNPNATPFSVDKAV QDYIAAGVPRSKIVLGMPIYGRSFQQTSGIGASYSGVGSGSWENGVWDYKALPKSGAT VMFDSTARGAYSYDSASKELISYDTPAMVREKVDYLKQLGLGGSMFWEASGDKTGQDS LIWNSYDGLGGAGGVDSSSNLLSYPDSQYDNIRAGMP
SAPIO_CDS6435	MAQYQYSTLEPTEKKIRLLLVERGERYRPIKCWLFDSYPDEEKG VSYKALSYPWVTTVIGWTIQTHTIDVSGNQFTVTENLYSALLEIRSAYEDVRLWVDSI CINQNDKQEKGHQVKQMGDIYEGAEEVLIWLGPKSDGTTSLMELITWVDSEAKDTHAL GGEEDWVSLCGRLMERRFQDPNVDTLPTQREALQTLLERPWFNRVWILQEFAKARKAT IMCGSGSCPARTFALMPSLMGLEAPEHTQAVLDIMPQIRKNTWWSSQRTLHSLLVKFR KTQATPARDKIYALLGISEDANDSEKFYPCYEKDDGQVIRDTLSFLLFGEILDSNYAL PAATLSALSHPIYLLAQRVFDWIKKLGWYYDTKSEEGLRDEVYAPNVVIDYQSLTGKD PWTVTGPEWAAQAIGLVESFDACQHKYGHTIIRLPQPGTAPRPDRATALAQCTGTMVK KSVNGAPLLQDGGRAIFDVVRLPELEAKGENPWRITRQEVVLAKLEENKAQGHPPQKR QAKEPEAKDEGGRVSPKRRRHSHHEDIKGAKREPPVTGNIEEIAKRVLEKYGEPPLQD LVDEKWPASQIVMTHILNAMLSSARISHDIAKRALKYLLDAKYNDLEVLHKTTWRQRA DFLSEAGILPGDEESDVARAKLAIELREIKGLGPLGVEICLGYIQGYFPKVAPFLATR CLETAKKIGMGDDVDAIFKAVGSDPESMARMEAALTRVRFEKREGEFRTRAMSL
SAPIO_CDS6436	MVKIFVTGATGYIGGDALHTMAKAHPDYKFSCLVRSAEKGAIVT KEVPSARLVYGDLDDFDLLADEAFNADIVCHWASCEHLASAQAIAAGLSRKSAAKQGF WIHLSGSDIICFPDVDAEDYGVQKDKMFDDWDGLGEILSVKETAAHRDVDLTVLGVDP LKAKTAIVCPPTIYGPGRGPGNKRSIQVPELAAYTMKRGAGLTVQGGRNIWSTVHVQD LSNLFLKLVEEAVKCGGVAHWGDKGFYFVENGELVWGDIAQRIAEEAYKQGFVKTTEL QSLSAGEADEVWPYASFFWGTNSRCKAIRARNVLNWTPQQQPVYETIATVVAQEAKAL GIAK
SAPIO_CDS6438	MELLLQPLDLDAVTESGLLSNGPLSPDWHDPHIQASLRMRVGHE HFPWLIGKFRRLDEIIRIVSSVLPIRNGKVDLPSSETVDFTFLRLAVSFTGKRQLLVE VEKINESISKFLERDLRCAQATLIGPAIMSKGRKTASAETARPFLNLQSQASRLCSIL DPLKWPCSCGNQHRCGIATFWSYDTAWHREGSLNLLLGNTGQERLLKVGFKEASDLGP GEGATAEKIEGRFNISQISQLKEEFRSEPDYKKRIKMGKEHKVAALATPCFNTVLLPF KRSSETKWQPRKANKLTINNTTSAGAVGDAPGHNAQFASSPTRSVTPRSSTPDAQPAP EEITCGFFSDPLPSRERGYIKDGDKIVSLRIDTDKCLGQIQGLGQFLHAIPYLDQRIR LSIKLAYTILSLGTSVWFPQPWSGETVLVSSEHPSVPFVTHNCIREALHRTKPPSRPH AEMAVLTVGIVLLQLIFQQTIEEQPFFDRYRFSGEVTEWTLRQAAMKWQEQVEVMYGS GLADAISRCVAFNFAVDPDLGKAEFVHEVLEAVVEPLEMFSLRF
SAPIO_CDS6439	MICLHDEPTPTKAPPGPLARIGPNELVTSDPVLLRRMLGVRSKY KRSDWYIAMRFDPRRDNVLSQQDNDLHHVLRTKMAAGYSGKEVDALEHKVDRNVAALV NLIAKYASAPGGPKPFDFGRKAQYFTLDVISDIAYSEPFGCLATDSDAFGYIAAVEEN MPAIMFVSAIPRLNWILKSSIVRMFMPSDKDQLGFGRVMGICKQKAAERFGPDRKDQQ DMLGSFVRHGLTQPEAESETLLQILAGSETTATAIRATLLHIITNPRVYSKLAAEIRA STHLPSPIPDAEARKLPYLQAVIKEGLRFWPPLTGVMSKVVPPEGEDYNGTFIPGGTV LGYSAFGLSRNKDVWGEDADVFRPERWLEGTKEELRAKESAVDLVFGHGRWQCLGKNI AYMELNKIFFELLRHFDINVLDPTNVWKSTCAGIFMQHDFWLLATKRDEE
SAPIO_CDS6440	MMYDGGLFLCPAEPDKLQNVLDAGTGTGAWAMDLADEQPQAQIV GVDLSPIQPSFVPPNVRFYVDDLEDQWTFSTKFDLIYARMLTGSLSDWPKFFKQSYDA LQPGGYIELSDIIFPLESDDGTLPKDSALNQWGELCNKAAHVLNRPLDSARLYSKQLA EAGFTNITERHFKWPQNRWPKDPKYKELGLWTYEDIGKNVDGLSVALFTRGLKWSPEE FEAFLVDVRKQMKDPRIHAYFNIWIVYAQKPTTAT
SAPIO_CDS6443	MVRILTSAAALLAFFGAVTGAPAVEEPQGNVTFTYRTLEEAQAL AAKLKRSEPAEAAGLESRQIPAFGAYWADWDFQGASYYHRANIDGKGYYIGNDWNDRI SSIKNFDYDKKCIYWTDWSGSACYGSGIILAGRTEYARLYSPYNDAISCRECSWN
SAPIO_CDS6444	MNQLDDPHANAELEILQQPQDNPNSEYKADYTLYTIKPLRGQCG DLIKSQGTAARLASCAISNSRSPLVPSPSPSGGTSPCPPATLPEVLVSRPAAQPVAPV APATLVDDDHGRSSSSSSPRAQGQTLPLLLGENYSLLHLELLYHLTSEMGPILYADPR EHLTKMVMKEAFRTPYLMDQLLALAAVHLSSTAVGDRKKFYMTEATRLQTRAISHFNA EKPEVSEDNYLAIFMFSAYLGRHALFEAFSTRRDFSTVLDKFIHCLGLHRGVRTVAAN SWPRIQTVLKVERVDLRPASAFMPDDCCNCLLEMIDRSDLSQDGKEACLNAVECLQHM FDYHLPNGLSPERRASAFQEWPVRVSIEYVDLLNQRKPEALVILAYYAVLLHYNRGHW AVGDVGSFFICAITTHLGTYWARWLEWPNEVLRKQDASPPDAATSHLKDYDGVR
SAPIO_CDS6447	MGAELANAAINLDGSQAAAPALVEEDTPAPISDPDTYYPDQHDC PLPCVDYANTHSWIPYISVDRLRRCGDPMLLQFSVTQPLDNPNSNILIRSCTLSSGSV APLRAGQSPVENPKKSDDLIQPSLNTALACTSSGQETSDKLSVMTTTACGADGDQVAG LLEGMKKFFDTKDNCDENFLFAYHKNTVASVYIGARLGKTTATSALMSLAEHMRAQDV ASNHTVAQLCSSGRQPERVFGISIDITGDLAGVQKTALEWSKGKCAGNGDFGLAEDLA GARVVEIARSNTSVPTNGSSVASQFLRRSGRSFNLFGKRATCRYIQVEAGDGCWSLSQ RCGISTTDFEKFNPKPNLCQGLQPGNYVCCSAGDPYEEPKPDPPKPNPDGTCATHLIS NGDTCDSLATQYGITVANIENWNKGKTWAWTKCERMLVGYNMCVSSGLAPMPPPQAGT QCGPLVPGSVRPTNPDISLADINPCPLKACCSNWGFCGVFPAHCEVHAPPDGGPGSKE DGYSSTCVSNCGTDIKQNSGPPDIYSRIGYYEAWNQGRPCLWMKAKNANIEDSYTHIH WAFASIDPITWKPVIVDGQDQWADFKALKNVKRIVSFGGWAYSTEPATYNIIRQAIID NRNTFATNLAQFVEDEGLDGVDIDWEYPGAPDIYVDGQPIGKKTDGQDYLYFLAVLKQ KVGPGKSVSIAAPASFWYLRAFPIAQISAVIDYIVYMTYDLHGQWDYGNPNAFDQCDS GKCIRSHVNLTETRNALSIVTKAGTANNKIFVGEASYGRSFHMAQDGCWEPMCDFTGT RTESDATPGRCTGTGGYLAYAEIMEIIKTRGDIQTFHDGASNSDILLYNGDYVSYMTP TTKETRRNDWQQLNFAGTIDWAVDLQAFLSDDMNAAPDRPEVGEEGCVSGVDLSVNSG DLCEFTCSYGFCPESLCECTTTGPVQDPPVRKPKSSIIAWDEFDVDLNRLCKFACDYD YCPPDVCTTVYVPPPDDAPAEEQSYYEKARLENQKNCFVYKEQGKRERSYDQCYPYCK PALDAAAQEGRTSNYGCIGFYEGQDEIPWMFYPSTMVEVAPGQCVCDNFLINELADTI MEAMPMIAAIGCYILMSSLRLVLEIGAQFIPGVGKALDAGLDMAATAAQMAAYIYPEE EDPEGAFSWWLSPCGGTELVPEEIKTLFDILNMVPSGVSSFKPPKNIRKGSGKKGDDG NPTDRGVVRPVGSRQKCRVPPAISTMRLGPAKNTLRVQSCFGDKTTTRESIITSIEYA PFAKPTQVVATCKEAWTQACFHYSSVISVNNQWATLTCPQEAATTKVRLDAQATATWS SQHRGKGWRNKANRAHAVCDRDEYPPAYLLGPNDPARLNSGQNRQGQLVRYIPDSENR GAGSMWRGACFIPPVKEMSNDDIRSKVTLAPNNKKQVVNFRNLQQTYAAVSVDKHPEF TIGKWEQKKPPSGDDGLRDNPCWPSAIAADDPGFALLTYDAYYDTHDQPYDYQAAYVK GSNGS
SAPIO_CDS6450	MSNMLNSKLRLDIPGGWTQDVKAKDHQLAVGIVGESGKVLDASG KAIAKVIEGSSNLVGSVVSATGDILSPGGNVIGKAKPDPERLRKGEKPEDGDAAESVT GEASAPAARRDAKAEASDAKDKVSPDSKCVKFADSEPETFGKGKEAQVKASGEPTKFE SEPSEDKRAGVYNEFDTTVSPTTETFEKSPTLSETAKEPASALDQKKTMGAEEGPEVA TLTAAEDMPEAKPEPEAKLEPGLEPEADTEADAEAQPEAEPLDLTVLKNGKVNKAGNV VDENGHIIGHVTEGAIKKLFGRTVDENGEIWNDSGKVIGKAEPALPDELQEPAPFEEF PDAVVEPDGNITFDGTVIGRVIEGDVKKLKGKVVDEDGDILDRAGNVIGKAERWEEEE LEEVVDRSILAGKRVNKLGNIVDTSGAIFGRLVDGEAKKLAGRMCDKEGNVMSESGEV IGKAEVIAESEREGLKEGPFAELVGCTVGKDGKIVTPGGEIVGRLIDGDPKALFGRPV DEDGDILDKNGNALGKAEHWEESEKAKNPLEGRKINREGNVIDADGNIIGKLTSGDLG ICFGKEIDSDGDVIDSKGNTIGHALLLADIPPETETAEEKEKREQAEKDKELATKMAA CLTQCLDRIRPICKMITQKIEKAERTPEDERDEEGLVREVRPLIEEGSNILREAHGIV VGLDPDGHIQANAKHKTATREATPEEFHLAEVLKELTGTVTECIDSAKRKIEDMPHAK KELNPLWGLLTEPLFQIIAGVGLLLNGVLELVGRLLGGIGLGGLVNNILGGLGISNVL KGLGVGGVVDALTGKKQSGKK
SAPIO_CDS6451	MSYEDPFHAIVSISPHPSPGPGEDVGVMPTARHPDLAQTRSEAI LVLQHYTPLNRHDDTALLLRTFLNNLPDDGKLALALDVLSVAGEDNKLRQLRNFLVDA ILKPMQIAGGKTPRVYVSAPTNPNAPDEIEEAMTEIESSPRNDQQKLKTDCLRRDGFR CVISGLYDRQSIRDHLVTPPAGNPSGGTECAHILPFALRKFNERNAQQTENAATIWWG LHRYFPSLRNKIDAGSINQPQNAMTLAVQVHDDFGSYDVALSPIQGPDNKYHIQLFEL STTFPPSYAQRPMVTLTSRNTSIPVPDPVYLDTHARIARILEAFRESGTLHLGLVDIQ GQRTAASS
SAPIO_CDS6453	MAARALLAALSLTATLAVAEDLEWCGDNARYFPSEYTCFDDSFL CPVLFGLPNLPCGGGCYADQMYTCDGGSLKLRGEQKEPFILTTASSSEHVDGLVVNAC GGYLAIGTGARECAQCPADAGVDCAEYGEKCVFLPDGEMAVDLPGGQYWYISPDDGAL MYTKEGNEDGLGGQGVSVFDEGFFSPGERPYWLACLRTLPGGNPGTTRSYRIYAPTAE NLARTDCDQIKLVAKSVDRKEGAYRWQ
SAPIO_CDS6456	MQLSLTLLLGLVAAASAGVVNTGRARTVPREAVLMSRQNGNRPA PSGTCCVANTNLKQDACTSASGQAGRCVPGGNNCGGALSCVEQSNLVCDANVVERGNT LCRAKAPGGGLFDGANIIQNLSQATVN
SAPIO_CDS6457	MDRSNLPADENLPEVVPDQSPQALPKEAALQYETQLGEKDPKYP VLVDDAPKLPSEYAPTPAVAAATIPSSMSPNTIPWETRSPETAEQGLAGRSPTDPPVA DEKKILGLKKKVFFIVVVVVLVILAAALGGGLGGGLSKKNKSNAEGAEDGTGNTPTPT TSDPSSTASQTSSAPSPSVTFLNNQTVPVERFAFQAFSGVNFTGKVGEIYRREGFYNF GFDALSYVWLPNNTNCCITFCANTTTGVGYWCEQRYRNVSSDSFPRLAINCGNDVRKV MPCS
SAPIO_CDS6458	MGPCFSPMAPPPLARPARGPSLECSVLVVLSALISGKLADVIGR KYAISAAALWAWIGTIIEISSSSHWVQFAMGRFTTGISIGALSVLVPMYQSESSPAIL RGVIVACYQLFVTLGIWTAEMVDWGTESRSNSASWRIPNGLTFLWALFLASGILFLPE SPRYAYRKGRVEEARYTIAGLAGADPHSITVTKEMQDIQAKLDEENAATKTDWYEIFT GPRMFYRTILGIVLQAGSVNVICTIAGLYIVANVGRRKALMAGAAWMMMCFLVYAFVG HFALDLNNPMNTPGPGGVMIAFSCLAIAAFASTWGPLVWAVVAELYPSQYRGPCMALA TASNWFWNFMISFFTRFITDAIDYLYGLVFAGCCAALVVIVFFFLIESKNRSLEEIDT MYLLHVNPIKSRKWERGDEKESPRTGDMN
SAPIO_CDS6460	MKLSPLLLCIAQAAALALPGDSEAGVALPKRQSANTVTDQLLFS FSLPSFISRRNARNPSNLDWSSDGCSSSPDNPFGFPFVPACYRHDFGYRNYKIQSRFT SDNRLRIDNNFKSDLYYQCSIVSSALQGICRALADVYYAAVRAFGGSGASKRDDEDLV REYEEKFAIYNQLVQEAQARGDLPLLE
SAPIO_CDS6461	MSTPTRFISVNGQAARAERTSEAKWAEHRAEICDLYERMLIESV VATMRTRHNFQATHRQYHYRLRNWGVKKYNTNTAVTTPTGNHTLEPTDATSSGEVDNS HNLPSDRGAEDTSKRRRSAVSFQSMSSAGDNQTRPLAKRGKMDTSPDHIAMGLAPTAE GTEPPRPGTPSSTCRLRVRDEVFSDISDQLPASHQKTLASLSPLDSETALPLPGVELN STPITALPSEIVFLKAESEKFQKFLESAEALNAPAIPVPRIQEEALFLEANLMSPYGL KFMQNLLTVGPVRELDFNLPVDTFSRKDLESIKRAADYLAVLGFGEEAFELYTLLLKR YLSDSTYRDTSYWYLVTQSVRVAKKPAHVEIIHTFLLSQLDGIRSSQPAKLADLYQFM IDMFLAVCNRTRSPSEMKDYLARATACLRENPSIGEQGLPKGDRSLDLPFFRQVLRIA ISCDLKNVKKFSLPTFDASLYPANKPRGQVTLEEIFIRRCPGPFEVGPDDIMHNPCED VARSWATANGLFAALWDHWITSADKTHVWMTQTERRMGISPCELLLLISRCIHQVADH AWVFFGELKLFRDAVTSLSIEPDRLLALRVLKQYVSRHTIVTLPDFLLEAQRGAKSDT FSHLKKALGISFARLGQARSSRIPSIIYSPTDTAVAARDLDAATLLSSQASTTTSFNS FRKTKLAVERRLREPNGTAPDTSAESTAENSSGRFSLAGLSDLSDRFLESLRFSMNSV SKYSSIRESVGEEVDIGEMI
SAPIO_CDS6463	MDPNNVPYECYCHCGATAFKIHHPALKSTTSKPPAPVLSCDCSI CAINGYLLIYVFRDQVEFIRGWDDLKNYEFASKTRDHKFCGVCGTSVAIDYRGLLKAG DVIGVNARVIQGVDIKTLNLEVFDGKAYQAQYNRQHK
SAPIO_CDS6464	MTHSYSFEKPALEVNGEIVQFLEDFYRISDTPGDHDNYVNQFAD DATFIVAGKKNQGREEIMRQRLSMWEHVKARKHTIHRVYPYGNDATEFMIIGLVEYQL KTGEAKDVEWAGRARFVKSASGRWQFSFYRIWL
SAPIO_CDS6465	MPFVTINGKELFVAAGFGAQSPSENGVTFVCIHGLGSSHAFYAT LASKIADSGNSCVLYDTYGSGQSKFKGEEQTLESMAADVEGLIKHFKLNHSRTVLVGH SMGGMVACKVASRLTDLGGIALLGPVHPSPALGDVFTQRIKTVMQNGVEALADAIPNA APGSKATATQRAFIRALILSQEPEGYASLCSVISNAKAPDYSAIQCPVLIVAGADDKT CPLSSSETILNSIGTDKSKKAIQVLDGVGHWHCIEAGDEVGDLLVGFAKSISKQ
SAPIO_CDS6466	MATTTVTQTATETLELQSVPNAGPDRQLETAPSTPKEKMSPGTM IKILSAGLAFFVSGVSDGSIGTIIPYAIRDYGITTTIVSSVFGANFFGWLAAAITNAY LAAYFDLGAMLALGAIMQILGSAFRTWMTPFPFWAFTFFLVSLGQAYQDTHCNTFVAT AKSPHRWLGFIHAMYMLGCLVSPFASNAVASANTPSRWNLFYTVPLGISVANLGLALY AFWDTVGLKKRSEESRQAGEENKSAVGATKMVKKALSIPGVWILSLFYFFYIGAVLTA GGWMGYVPAGWSGGSFLGRVLLPELTHRWGERRMVFLYCVLCLAFQLCFWLIPNIISA SIAITFFGFFSGPLFAAGMALGTKIFPPDYHSTALAFVFVFAQMGGSFFPIITGVIAA SKGVAVLQPMLVGLIAATGIAWLLVPRPKSVGNPALHQE
SAPIO_CDS6467	MRFYRSQSFLALLSWLYVMVNGELTPPTEEQLRNQNRTISFGLI LYPGYQPLDVWGPMDLLFTTSYYYKITLSIIAHSVGPVSLRPPPHRMSEDPTVPLMDM GWVISPAVQATHDFANAPALDIIMVPGGLGLNHLFDTNDTAIQDFINLRYSQAQYILS VCTGSQVLASAGILDGRKATTNKAAWKSVVGSRPEVDWVPTARWVEDGNVWTSSGVAA GIDMMYAFLKHLYGEQQINANMNNMEFAPHTDPHWDPFSVVHNVRATAMVEAAQKSGK FPPAGIQPADLDSQSRKPDLVEFQEVVDLNYLVQCVEVKYCHHDVPEYFPYFSANGRW PFDRTPCPPEAPPEDPEGMEVWKLRFHKAVYTTLLLGALLAGAYHKPFLDAEDDGQGG GPEMAAAESQALFQRRKKLLRKILRFQNDYTVRGFELSARDIEYLRHFPAYDFNGSVE KKEEAFGTVASWLVVSTLTDLRRSSPRLTRCASRSIKGHRRYLSHAQATRGDQPFTFS DHVEGTEAEAENVLWLIMQIIHIFEFLQLCFVNHDGREGFSRGEESAEALSMRAKKRT VIVVLFGIFQVEEITLPDRIEDAVEYSLLARPISPSALPGQDEGRHILRSIPSLDFPL IWETLYLWSGIPNHDGDFPTPPPPLQFFTFLLQKYFNLRFTPEMWDVSDRDFYQAYRE FKARATLFSNGFEMVSHRRWPDCTNGTEYLVTYSPPALRYEELRLNPRTYEEYGFLPE VWRDSLG
SAPIO_CDS6469	MRIMLSIVWYGSQAWLGGLCVSAMISSWSYDFLHMENTLPESAH MVTRDLVGFTIFHAISVPFLLIRPERAQGYLISANIVVFFVMMGITIWSCTKAEGTGP MFEAGARQPSTLSTGWAWLYGIVASLGSIAAGIINQSDFTRFATKQGVQVPGMTLSLL VFGMIVPIFAMLSASASLEIWPDLEAPIWNPIIIVFQWMTDDYNPGARAAAFFCSAGF VFGQIAENVVGNGYAAGMDLAGLSPNWITIKRGALVAAALSWLVHPWEFYNTASVFVS VAASFSVFLGPMTGIMMADYWIVRRQKIQISQLYTGDPEGSYWYTYGFNWRALVSWVV CFAPAMPGMIANVNPNVTVSTGILNYYRGNYLFGFCEAALLYTMLCFLFKPKGAGLQD DADIYGTFDEAIAIKKGMTPWEKRLEVQKIQGSEE
SAPIO_CDS6470	MRLFQPGLLWASFVLTATAQTPGPSFPGCAKTSTTLHPGFEVLY FYVESTSIELDDPTVYFSLRNIADRSISTCILSKTVTDDDIYITENDGNCFTSWTDDE KTAEEAPGVKIKLDWVLGVWSIEQTWQCDEADGIEARQYTGKAETQFALACALLDVRQ IICQIQTATPPNEPLYVGPANVTGHGSSDDTDIAFEPVRDDSCESTAKDFTGWQILRM EYLPPTARNSSLYPTSYISLEIQNLGDGSITDCYFETNKTIEEGEAFVLTDNGRYGCD TGHIELAKNTGVRPPIRRPGQGLRPFQPRAEQWLWSTTANVTFDTKSQELSVEQFWSC DGEKDVYYAAAVQKLDFECVELLDDLTPTECRPMDALYNANGPPIIISAEAQDVREEK AAPVEEEAPVEEEAPVEEEAPVEEEPPVEEERGKCRKAK
SAPIO_CDS6471	MKFFSSVLVCVVLVATYVSAQSPGPDWPRCSKTSTSYHPGWEVL GLDFLPPDGRHQSIAVRFEIQNAADGARTTCDLSRDVTEAETALDSIILDNDDGICLT FWSADDDFEGAAVAETAKVSFDVSSGELTVEQTWSCDDAEGTNRMRYTGKATQGFDYE CAVGREGEQTTCRPQTLFIIGEAPIFIGPAKVTEVSSGSSSTSYPIDKQENCDALPTE FAGWQILSMNYWPPAEQPEGSPYEPVSLRVDLRNLNDGSRTVCYLASDDVSDADDGIT LTNKGVSLGDENYDNGCVTGWFDLASGGTGGDQVVTQEWKWYAPANVAFNTKTHELEI SQTWPCGGVDDAFEAYVLQKLDLDCGSTGNSMAPSVCQPTGALFSATGAPVIVKGVLP DFLTAPGKIRGCSGFVHFMSLMPETNGHWLCVHIFYVTSHFDVITVATALLTISTSSN AAIPQAPATSTIAYSLGGFSQTSPLLYTLRDLEEINGGRISPPVSILTVDLLSLEK
SAPIO_CDS6472	MSESAEGIVPIPEPQGLPFLGNIGSIDPIFPLGSMLSLAQQFGE IYRLRLPGRTLVAVSTNKLINETCDESRFQKTISAALNQVRNGVHDGLFTAHAGNVDW GIAHRILMPAFGPLAIRNMFPEMHDIATQLAMKWARYGPNNSIAVTDDFTRLTLDTIA LCSMDFRFNSYYSPTLHPFIEAMGNFLTECGARAQRLPLPSLLYHAQDQKFNADIEVL RKTAQDVLDARKAEKTDRKDLLTAMLNGVDPKTGQRMSDDLIMDNLITFLIAGHETTS GLLSFSFYQLLKNPETYEKAQQEVDRVVGTGPITVDHLSKLSYLSAILRETLRLNSPI PIFGVEAKEDTLLAGKYPVKKGEPIANLLAKAHLDPVVFGDDANEFKPERMLDENFNR LNEEFPNCWKPFGNGMRACIGRPFAWQEALLVMAMLLQNFNFVLDPHYTLGLKQTLTI KPKDLHFRAILRDGLTPTLLEQRLAGKGEATKGDGHQANGSAAEAKSGGGDGVPITIL YGSNSGTCEALAQRVATDAPSHGFSVAKLDCLDSAKGKLPTGQPVVIVTSSYEGQPPD NAGHFVAWLEGMKNDAALKNVSYAVFGCGHRDWAQTFHRIPKLVDSSLEKSGATRLVN IGLSDVSNAATFTDFESWEDGCLWPALEEKFKTSSSDGAIPSLGMKVEVLNPRTAALR QDVSEAVVVDTKVLTTGKEVKKHIEIKLPAGMTYAAGDYLAILPINPDETVRRAMRRF KLPWDAHLCIKADILTSLPVNASVPAHHIFGSYIELAQPATKRNILGLAEAAGDEKTK NELTRLANDGYEQDITEKRVSVLDLLERFPDIDLDIGTFLSMMPPMRIRQYSISSSPL WNPDHVTLTYSVVNAPSLSGQGSFFGVASSYLSTLSTGDRLAVSVRPSPPAFCLPPNP EETPIICVCAGTGLAPFRAFMQERATMIRSGRKLAPAVLFFGCHAPDQDDLYREELDE WEALGAVEVRRAYSRASGESGGAKHVQDRLWADREDVIPVWVKGAKVFVCGSRGVSDE VREVCVRMREEYVAEVEKEKEFEGEKDARKWFDSLRNVRYVMDVFD
SAPIO_CDS6473	MSAAEAPVALVVGASRGIGRQIAIDLAKHGYRVAVAAKSTSDAS ATVPFPPDPNSSQSTINTVEREIRENGGDALAIQVDTRSYESIQSMLATVAETYGRVD VIVYNSGAIWWASVEKTPMKRFQLMQKVNVEGLYATVQASLPYFEKNDWKGRIIVVSP PIYSRFFRGKTAYAMGKVGMSVLTKGLAMDWKREGKNEMAITSIWPAVAIQSAATPDP EIQNDLRKPTIFSDAILEMLRTPAIEISGRLELDEDFLRSRGVRDFAKYSLIPGTNPR RIMPAQLPDLTVPEEDDEGVRMDSTKRGKAKI
SAPIO_CDS6474	MSVEQYSLPKLPYAYDALEPSISAQIMELHHSKHHQAYVTNLNA ALQTYSTATASADVPARIALQAAIRFNGGGHINHSLFWENLTPQSSPDSKPEAAPRLV AEIAKVWGSLEAFKKEFSAALLGLQGSGWGWLVKDKLGRLAIVTTKDQDPVVGGEVPI FGVDMWEHAYYLQYLNGKAAYVENIWKVINWATSEKRFNGSREDVFQVLKAAL
SAPIO_CDS6475	MCGHEITPKPRGGALAALIRPMQHEGLAVQGDLVFHCPGSPKSM TATHMRLTPSGDIASHDYCQNYIVVIHEMGDLSRDVGECGHFCEFPLQQPSLGTTAPE IDIALSRPVALEVSNEGIIGRRVSLYSTSTLSDRRLVAEGIIGFNI
SAPIO_CDS6476	MGHEVLIGGSCYTVSAAQKLIDRINDKSSPAVVSRISGQWVYFV EFESNVEAVKELVQATSLSAQTSSSHASGGDAQSIKIYITPRTTPSPWSSQATNIAQV CGVKARIERGRFVTIEFEGAAPADIATFRDVLHDRMTESITEALPEPATIFAEGARQP LVVVDIFADERGPLAALQDYNKKMGLGLDQPNMEYLVDQYKSLGRSPNDVELFMFAQV NSEHCRHHVFNATWTIDGASKDKSLFEMIKNTHKSTPDFVISAYSDNAAVMDGDEGNH WAPDYSTGSWKLTKEVVQPLIKVETHNHPTAISPFPGAATGSGGEIRDEGAVGRGSTP KAGLSGFWVSDMLIPGKKQPWERDIGKPAHYASSLEIMVEAPIGAARFNNEFGRPALA GTFRTLLTNDTETEASEFRGYHKPIMIAGGIGSVRPQHAIKDPAYVQDGAHVIVLGGP AMLIGLGGGAASSNTGTEATADLDFDSVQRGNPEMERRAQMVINTCVALGQESPIAFI HDVGAGGLSNALPELVKDAGFGGKFELRQVESADNSMSPLQIWCNEAQERYVLLVNRD GLNRFTSICRRERCGFSVVGNVVSKDEAGKTTLVLTDREPTVQPPIDPINLPMEVLFP PGRRIAKNVQTTKKNLKPFNANASLQEKFGVSTLKDKVSKATELVFQLPSVGSKNFLI TIGDRTVGGLAVRDQLVGPWQTPVADVAVTLNSFSLESNAHSGEAMAMGEKPTLALIS AAASARMAVAESLMNLGASDIKAGSINGDLKRVKLSANWMAAVSHAGEGAELYEAVYA IGMELCPQLGVSIPVGKDSTSMKASWKDRETNETKSVTAPVSLVISAFSLVEDVRKTW TPQLRRVEEVGESVLIFVDLSQGKKAMGGSALAQCLGQVGDEAPDVRDVELMRDFFDA LWQLHQEDIVLAYHDRSDGGLLTTVAEMMFAGRCGVELSLSDLAASESEILDALFNEE LGAVFQVRTADEGRFSKAFSTCGPPAGLVKTIGYVRHAPRQSLTIQYHAETIIELGRA EMQRWWSSTSYEMQKLRDNPACAQAEYDTVLDDEDPGMDYKLTFDPADVGLPTLITLK GLVSRPRVAILREQGVNGYAEMAFAFRAAGFDAVDVHMSDILDGLTLDGFRGLAACGG FSYGDVLGAGIGWAQSVLMHDGARRIFEAFFNRPDTFTLGVCNGCQMIARLKELIPGA ENWPNFVENASAQFEGRVSMVKIEETSSTSVFFSDMTGSSFPIVVSHGEGRAEFANQG DLHSVTEQGLVPMRYVDNYGAVTERYPFNPNGSPAGIAGVRSRDGRVVAMMPHPERTI MGSVGSWIKDELKGNQYGPWFRMFLNARKWVG
SAPIO_CDS6477	MESAASQSERPLFACIICRARKVKCDKAPSGCTRCRSVGVQCPG YRSQSIEREEIDNIYRRAGLERRRAGACQECRAAKAKCSQTKPRCRRCEARDTPCNYP SSTNGQQRHARSPSLRDALEPMDVQRLGVALYQSTLPDKAGLKVLAESYFKHIHCLRN LGFIHKPAFIRSIDQGTTALEFGEAVLYLAMVLYAEYGLRIGDNAFVYMLVGCCTRMS RLLRMDEEDSRFESPDISGEALTRRESKRRLMWSCYILDSFVGAGVDGNLSWTTDLPH IPLPCPERNFLHQTKTPPVYPEVDQRLHSFTSTGLRGNIPRIVYLRTQVLRHIRDHNA ANPARPPPWDENSTFMQLLNQLECWYKELPDFLIFNDINIYIHKEQHTPGSFFFLHLT YHASVFDLTRVALPGYNFPLATALADAPADFVIESRQKAWHHACCVSTLLAAALECGP EALDDHYTSTAAYESTKIHVICLTTVGSGDSSSHSRCVEHIKTNLKVLSATHPYSDMP NVYLSALIPLLKRFGFSDIAAKWEPFQNTTLHPSSQSTEVIGPVETAYLNQIATFRLA RREVAANNEDANNCKVKARTPWTLFPSVPSSPRTDQAAAAGLSDHQPSQFVHDQYQDA NLEQFVATEAAAAPSAAHVLMTGQDPAAGGVVGAVPGTAAAAQLDQQQYLRMAEEIGQ YMTWDVALPSQFDFPMEEYFETPPDFEV
SAPIO_CDS6478	MSKLICVVGATGNQGGSVARRFHKAGFRVRALCRNPESPAALKL KEDAPGIEVVAVDLNDVSTLIPAFKGANVIFSVTQYWEPFMRPDYREKAREQGITCRR IAYDVEYLHGKNIVDAAATTLDTLESFLASTLSHAGKASGGKITELYHFDAKADIFPT YVEEKYPELAAKLSCIHTGYFYTSYNILPSAYFSKLEDGSFQMAFTTDPDKLVPHFTP VDDMGNFTYAVYQMPPGKAYMAAGTTCSWSEWIETWGKVVGVKTSYKQVTPEELIASV EDEDLGIEITHMFNYTSEPGYDGGYDLLTAKDIEEAGIECPMTTWEEWAKKNDWSTVL SK
SAPIO_CDS6479	MAGSPGEAGSSPPKHSSPPHSSPPRSSPPRAGSARDSSPEGAGD APNPLQIDHEAYDAQEDADSSYGSDTESRATASVTSSIFHYQYENGRRYHAFREGQYV LPNDDREQERLNLQHHIWRLLLGGSLHTVPLPDPSSDEELRILDLGTGTGVWAIDMAD EYPSSQVFGVDLSPIQPEWVPINCRFHVDDYESEWTYRENEKFDYIHGRALCGTSSDW PALYGRIKENLKPGGWVEMQEYDAWVFSDDDSFERAPWTREWVEKLDEASLKFGRQIN IAQYHKQWMIDAGFEDVVEEVKRIPIGIWAKDPVLKELGRFEQIHMQMSVESHTPALF TRVWGYTNEQVQVLIEGVKREFRSRDHHLITVYRFVRGRRPQE
SAPIO_CDS6480	MKASTILGFFLAGLVAAVDPIENCIANGTIQANGYTLRNAQFNP QAIGNQCISDLSPVEAPSLAWPTHWNWAASHSESATSFANAQLNFAATPLSSIASIRS NWYFTSQIDPDSVASIAYYLFAGATCNGPPAFEIQIRLASYGEPPIVSSLGDEGSPKP AYVICSRGVSYRLYKGTERGLTVFTFVASGNLDEYSGDLRVFLRILESKGELPETQCL TRIHAGAQVFDGVGALKTLIYSTSIGVSSYGVIN
SAPIO_CDS6481	MSDAGIHVIFDSAQDSQPPRKAEIDVIAVHGLNFLNSANHAQGT WTKGDKLWLRDFLPDQLPKPPRVMLFEYNSSPALGSSAIKVDDHARTLLQWLRVERRS DPQRPLVFICHSLGGIVVKSALVEAKLNTKYASILTSTRLLVFFATPHQGGNFASVGD IVAKIVGKGLRNPSNDLVDALKENSNEATRRYEQARHLFGKCPAINFYEGMSYGVAGI IVDKKSATLNLPGDLETQVAIQADHSDTCKFESASNLTCRLVMGTIVDELESALELED DSSDNLSERNRICLANLWVTDPAHDRARSRAASRALQCHPSRLSIRKEYCRHWREREQ GGLLWVTSGVEQERTALLCDIIDEVEGQSPNRIAYFFCQENDPRGDNALAILRSLVYL LIVQQTSLVSHVQAKFDVAGQNLFADRSAWVAICEIFTNMLMDINSPRVFLVVDALEH CKRGQASLLKLIIRSSSPRVRWIVSSNAGEEIGKQLQLDPLRTVLDLELDVTYEDLER RHRETIQGILSFNSEESQYCLRLLSIVLIAHKPLLLEELGVLAGLSSTESIAAAVRRC GSFLSVTDGTVNFANRFSKSYFSSPSAREVINQGPNPHGIIFRRSLAVMLETLHRNMY DLEDPAFDIDEDEKPDTNRLVSLGYSCIYWVDHLTQWLSTQDSQSVSELEDGGSVDGF LRSKYLYWLEALSFLGHLAAGKTAMEKLETLLRTGSSLHSFVCDAQRFLHRHEFLIRR WPLQLYVSALLFSPNSSVVKNLFDDNTPKGVMTKFTMDDGWVENFDGTYGNFGYAVVF SPNGAFIASVGAYDAIVRVWDAATGVCRHALAAHAKPVKEVIFSPNSKFVISSCGTRT MISEAETGNCHGMFDVESGLVALSPDSALIAFYERRSKQICLWDATTSSQRHLPAPPT RFFSPVSIQFSPDSQKIAAVDQCGKVYIWTLKDPVRIPIVASSFYPYSLAYFSPDGRH LALKIEAYHPRPDSLVLWDFQTDERRSVDLPRNGITSLVYSPDSRYIAAFNGDIIAVV NAQTVRLQYTLHACQSFKAAAFSSDSRLLAIACSDMTLMVDDIETGWTHKLRVGARLS RHQETLQILSFDQTGRYLTTEVGVIDLDLSPRVSGPIKREPYRDPVYMGPGLLSDGGW IVRGSEKIVRLPDNIGGAAKTWAVSGSTFAVSYAPTKVWVFDL
SAPIO_CDS6482	MRPRAVLPALASLAAAGSIPDGSPFNLMALRSASDVHFAPFNAA LSSIFLHLPEQNATCDAESDGTATFYLEDGSLFLYGDDDDARQQLFADRQGKLGYTTG SQPGPRNGERVNFQLDDANDLDFNGAGFIACPNSIDGAWSVWVSAGVSNPGWNEDCLG ISVRAIETDAPNSCVYTQ
SAPIO_CDS6484	MFYSRNPIPNSSSTSKASVDITATFFGHCVLMTFSLKVAARSFA MSTLNRTLSLLSLLSYNPFIVEASIAAQRTFEERPELAPWQTWGPYRPNLYFGVRPLV PETFLMGLMWASGETRDAMLKTLRDTCEQNDGMQGYGWTAYDTRIGGSQTIHDQELHV DLTTDFFKSEGGDAWAVRVTGTPRSGAPSDVKTTIVMHVATEKAAGNNGTDRSLACKH KDQRSKRNGNNTEATCHGEAPAVFTDQVKASDWDGVAVDDEPGVGNMHFVQSTFQGPF TVTFAYHSSEATPLTPDDIVTGLDGFFSGFPAAVERVFPSAAPFESKEYGEFSQALIS NLLGGLGFFHGDSKVDYTRAPEYEEVGPNFWEKAAAARARTTITTTPPTSLLSHTPSR PFFPRGFLWDEGFHLLPVVEWDLDLAVSVLRSWLNLMDEDGWIGREQILGPEARSRVP EEFQAHGHPSEPISSPDAGSNMLRELYPLISRHSAWFRRIRAGNFSSPCPRPEGAIEG EGYRWHGRSPMHTLTSGLDDYPRENPPHPGKLHVDALGWVGASARALQLVAEHLGEDD DAATYEKHVDAVNDNGFKRVCHHGYISLFSLLLGPMDAKHPNLPPLLDLLTNSILGDL SQGVLYNILAYPSLANPDIPDILYAEFGVTFVTATAMILAGTMMERGRLLPSTLFILI LRWTTFAYYLLAWPTGPRTLRVIKLLHQYGADIDAADVNGDPLIVHAITKKDRDVVQL LESLGACVDASSGTQARAKTTPRKRPTSSF
SAPIO_CDS6486	MSGKSSKQPDGTLKFNSTTDADEKSFSDSAAKVSQLPRLLMVHQ LWLWKLDEEPMIHFLVDTVITAFPVRWHQGVEDCLLDTIRQGDIDSYQNPEQLVENII FECVTFSEEFRFAGLGIHVLDVFESSIARRSNQEVGYFKAFRDSLKQQKRSLHKQVND EISLIHEIKDIRDELHLILRIFETQRDVVKQFSGLDYLVQMKKVQSSLEEAESASRLN NYILLLTIFTIFFAPLSFMTSLFAIPFGHFPENDEGELRVRGDWVAYRMIAGEFSSLV AVGILAYCMSAAGWSLWRGFDRLLRQKGRELASSEDAVTTGHPSPHDREDVAVLTSRL GIMIKLKSDRQADLESLL
SAPIO_CDS6487	MASLTEFKSQNSDTYPDDDDASTISKGDAADVTTALLRSASPLG QPEIRKRFWFSRGEVGDSEAVATQRSNIDHVQNGKTSIDSTPRPGGHGLRSAV
SAPIO_CDS6488	MELDFPQSVLEAQAHIRQIRRDKGLGDGSERIGNNAADLESALE MSLSHDLYQTSTHFLLELIQNADDNSYTAETPTLSISYSSRKVRIDCNERGFAKQNVE AICRICKSTKSGRSKSAGFVGEKGIGFKAVFKVASTVWISSGHYSFRFDRDGHLGMIA PIWDSFPEKPREGYTSILLKLDKACDEKLIISKMQSYDEKLLIFLRRLRRLEIKYTPD RFSLRKPFETVLTRHGQPSENPSTMTLMNNGTKRHYFVFRRSAKRLPSDSRRPGITHS EVVVAFPNTGINGDAHVQPVIEPQNVYAFLPIRNYGFPFLLQADFLLSANREDIHVDS AWNKSLAQASAVTFIEAIRHIGSLENKLRYLWIHYLPTTASQSPFFEALRQDILKRLK SSEVLESMDGKKRKPTSLITVPGVWKDTNGRPFMIDKSNENKYAAGKYDGHLDQTMLA LLGVKVMDERGFYDEMMKVLRKDPGSFLKKKSQEWHASLARALSNLPSLSYFQSLPII PLSDGTWVAASSIRHTFIHLPSDDPAVKIPSGIEIPIVDAEAAADLDRNQLFIRLGIL RLEIDHIKSAIIQTHSNPEFKPDALLPTQLISHAEFMFQNRESDETSPLQLWMASDLG SCRRGTAIDAAQNEKLVLVPPKNENEDRRWVSPSQCFWRGASWLTQSVGLERLYPDLE RFFRIQMKVPNAGIEHLIKEAAAIPQLRQQPGRHIERLFLTLVSHVMIHGLTGDQSMR LRAMRIFPITKTLANEPYEYLTSATPNSPWLIADRTYFRTQFELVMPVLAFQPEFIWR INRLLLDLGLQERFLSRIAVSVTEAHGSVQLHEDLTKRYRSRASYLFRLMGENLANGP QLRSSFRGVEVFVADKVTQHWHAPLGLQRIYSRFAEGTSFLECDYAGDLRIYLRADYE KEVYPCELAEQLRDFFNIPSEQKDLITVILTATEERLDPLFEGRGIAPLQVESLEGEE ELEGEEGEYRPILQIRETPTKKSRPELGGGSRFNQLLSHVRFSPFFFRGNNNRLMDLP PTYDTAVARSTLSALGRPVEPRAFSRPHTLSSVTGVLRDLEFIQMPGVVIGTPANPPT IIDRVRGLAQRDSDIGEHIVSGILSIVLGPQYDHKTMWAPKSSRGGDRATFNFTDTQG RFGAFLMRLDGMRGRAQGYTRLIYHLDVKAMDSLHFKITQDELDRARKNSVRNQPHPD EAPPSRDVSILVHISDVRTEPKIRFLADPWDLFEDEQLILENARTYQAKLNLRFRHNT ENPANKDVGFDALQFRASNEPLPEDPSPGYEKGKAEVDANVKVQKA
SAPIO_CDS6489	MASKAQYTGKVAIITGSTSEIGHDLTKFLLSKGFSVAVTGRRVK EGEAIAKELDPEGHTVIFVQSDVSSYASQANLFRDVWNKWSRIDVVIASAGFIDKGSI YNLARKSAPVTELPPEPDLSCTDTDFKGVIYSTTLATHFMRHNPSPGGKIIVTGSMIG VHPCATFPEYCAAKAGVLHWCRVVAPVLLKKENITINCVLPGACDTPAMPGFTTAFLP EHLTLKSCLMSAYDVFLQDGRNTITGQAVETGHDKLYYYDIPEYKSGDVARRNEKVYE PWFAIIHGERSEPEDALQGPPNRNGMVP
SAPIO_CDS6490	MAKTIAVIGATGAQGGGVVNVMKNTPGWKVRAITRNPQSEAAQK LAGDGIEVVQANLDDDSSLKKAFEGVNAVFAVTNWWEHLFSGKSPDEAGALEEEQGMK LARAAASQLSTVEHYIWSTTPSAKRKFNGEYVTPHMDYKANIDARIKSELPELAARTT YLYFGYYPQNMAYLPLLRPFQVPDSGAFAQVTPSNPDAKILLSGDMTVNPGIWVRQAI ATAPKSYGKYANVALEKLTFRQMMEAWSDITGKKGVYLQCTPEQWTELWGPAGTELAL QFKFGELCDPWAEDDEFLSPEELAIDPSEVVGFRGAIEKLKHLF
SAPIO_CDS6491	MSNPRRDYVYSGGIPMDELDSDSQEHQYSSGRRPESRRYSLTDS GPPGGYNYPLLGHPVDDAPGLQHYDTDDGFSFTGERPASFAGDPEENWTARQQQPTFI ASGGGLRRANTRKIKLPKYLDVEGEKEEFLTMRYTAATCDPNDFTLSNGYNLRPRMYN RHTELLIAITYYNENKVLLARTLHGVMQNIRDIVNLKKSKFWNAGGPAWQKIVVCLVF DGIDKVDKNVFDVLATIGIYQDGVVKKDINGKETIAHIFEYTSQLSVTPNQQLIRPTA DEKESRTLPPAQFIFCLKQKNSKKINSHRWLFNAFGRILNPEVTILIDAGTKPSPRSL LALWEAFYNDKNLGGACGEIHAMLGPRGKKLLNPLVAVQNFEYKISNILDKPLESSFG YVTVLPGAFSAYRFRAIMGRPLDQYFHGDHTLSKILGKKGIDGMNIFKKNMFLAEDRI LCFELVAKAGQRWHLGYVKAAKGETDVPEGAAEFLSQRRRWLNGSFAASLYSLMHFGR IYHSSHGIVRLIFLHIQLIYNFCNVFFSWISLASYYLTTTVIMQLVGTPQPELNYHAW PFGDTATLIFNVIVKWVYIIFILVQFILALGNRPKASRYTYVASFAVFGLIQFYILVL SFYLVVRALSTPLGDQISTDSAAAFFKSFFGDGVAGVILLAIITIFGLNYVASFLYLD PWHMFHSFPQYLVLMSTYVNILMVYAFNNWHDVSWGTKGSDKADALPSAKVIKEKGDD SAVLVEEVEREQEDIDSQFKKTVYRALAPFKEEEEEESRAPEDSYRSFRTGLVIFWIL SNLAIIVAITNDDFEPLGVPAASSGRTPVYFRFLLYATALLSLVRFIGFLWFIGKTGI MGCFARR
SAPIO_CDS6495	MRITARGLSPVFLVTNAIVWVSAAIIMGILSYWISQEEQPDSII YMEVVSVLTVAFALAALFLGTYPSYLQLFNLIFSYLWIVVVALATSLFTNAESEQAHA VQAFSFVAFFALLFNVLYSWYPDFGREQ
SAPIO_CDS6496	MKCFRIGRKPPGLWVNRTPPIRGGVFTRCETSTYVETEYTSETE LAVPTDDSSSSQDSDLGSSTPLSSEYSDVDISCVERPDVSDEDKVVVPPPCRAQLRFS ADGYTDWAVRQEIDKDRETYPPVDPVVQQNIIRRYQVLHRRVHDEGFYKCQYLEYGKE MARYTVLFLAFLTALRLEWYMTSAIFLGLFWHQIMFTAHDAGHLAITQIFFVDTLIGM FIADFCCGLSIGWWKSSHNVHHLLTNQPDHDPDIQNIPLFATSPAFFKSLHSTYYDFT FVWNAAAEMLVSYQRYTYYPVMGIARFNLYLLSWLHVLSAKSSALGKTRAWWIRPTEI AFMACYWYIFGYCLLLRTLPTWTIRVAFVLISHIVTMPLHVQITLSHWGMSTSELGES ESFPQRQLRTTMDVDCPAWLDFIHGGLQFQAVHHLFPRVPRHNLRRLQTLKYMAETGE SGLR
SAPIO_CDS6497	MATQSYQRNGRFVRPDSTFRHFITKDPQSQFPAEAGRYALYLSP GCPWAHRTLIVRLLKGLESVIDVYQVHPFMGPDGWYFSGSDGSLPKDPLHGFKTLKEL YHFANPNYSGRYTVPVLWDKKQDTMVNNESSEIIRMFYTEFDDLLPAELREINRPGGG FYPDALRKEIDEVNAWVYDTVNNGVYKTGFATTQESYEDNLFPLFKSLDRLEAMLGHG KRYVLGDHLTEADIRLYTTIVRFDVAYYPVFLCNLKSIRHDYPLLYLWLRRLYWDQGS ETRGAFFKTTAPNIHNYGSGYAKARHKIVFNSAEPLIIPVGPAVLIDPLP
SAPIO_CDS6498	MGQASATHVDPEQGTMLQMAEAVPGLPGLFPFRAEWLFDWHLVH PACWSQTPSLSLNKIPSEFRVNGKSDVVGEIRGPPSSRAMRFYALLVLVAAGLSAIAS AQTMPTCASDCLTTHLENSPCDPTDFECIFVEALCMSTTRGLDLGTSQANPPEAARNA TATICKEPVRDKSLVAPIATAISGGLALGFVLLRVYECMIREEYQWADLSAVLAMVFS IPMDVFEFFMMAHGMGKDIWTLTPESITNVVKYTWVTQVSYIPAINLTKIAIICFFIR VFPNKTFRHICYGTIVHCFLFMVSTTITAILACVPVAYAWSAWSGTGEGVCYDNNAFW WAHSAINIATDLWIIALPIPQLLKLQLSTKKKIYLILMFSVGIIITVVSIIRFSGLIT YSTSSNPTYNNVMVATYSVIECNVSIMCCCMPSTLSYLRRVFPQVFGSTSHTADYKGR SYNFGKSPLPSNAIQKSVTHTVSYMPRAGDSDVVELMDIEENKPAKYNQW
SAPIO_CDS6499	MHLSMGGQPLIILSTHGAAHDLLSRRSARYSDRPRMVMSGELVT KGMHMLLRQYDERYRLHQRMEAPLLNVRAASAYRPLQDIESRQLLFDVLGEWDEFGEK GIDFHHHFERAMASTIYCLNYGYRLKTGYEKELMDGKKVQAEFARTGQVGAYIVDSFP SLNYLPKFLAPWKKEAEGLYELERQLHVGNLDKGLGNVGWNFSKHMQASPEAQGMPKE ELAFDLGILADAGLDTSTVALDWFIVAWITCGSRWVQKAQQLLDEVVGKDRLPTFEDR PKLAYIDAIASETLRWRPVVVNGVPHFTKKEDTYMGYYIPAHSIVLPNAFAITRDESV FGEDVDKFIPERWMAEDVPDEPTIDACGFNVTALKDLPHTGFGFGRRICTGRIIARNQ LFIQMARMLWAFDVEAGVVEATGQRHKVDDMDCTEGFVTLPKPFRAVLRPRGQWARDV ILESGTTHGINHAEILNQASRDREHA
SAPIO_CDS6500	MGDPAQPAIGPAATTSPKSPRSPKSPGSSVETNQPAGETLLVAD DNLEEFDGDGDSAYGVAENERLDVQHDLFLLTFDGRLHVANLDTEPHRVLDAGCGTGI WSIEFADKHPESHVTGIDLSPIQPSFVPPNVTFFVDDLEDDWNFSSNFDFIFARFCTG SIVDWPRFFKQSFSFLNPGGVIELQDIIYPMCSDDGTLTQDTPLKRWSDMLNDAFAGM GRGMDSAKAYPKQLAEAGFVDIEVVREKWPTNHWPKDKKYKQIGIWNTENILNALGAL SLAIFTRPKEESGLGWSVAELEVLLAGVRKDMRDTRIHGYWQISLHLIIWTRDNYTAA AESNCRCASATMRLKKIDFRDVQADLDLGVPVKFNSSFHTADEAPDLPIPSELPSSFR RWLPLILRSRNIEERDLQIVRFTPAMTRLLVDASSASIHTRVLNKALAEDLEEEVGPA FEVPGRAAVHSYEDIVLQLTTSSRARITMTNYLRKRLDEPLELYFLPFDERMKTEMEY RVFCAPETLDICAISQYQWHKPWRFGKKTDREMEIIAERILAGAREIRLQILSDLRGP SDELDDMLRRQGVTFDVWYDDARDKVELVELNTFGVRSACGACLFHWVKDRDVMYGVG DGEVEFRVVI
SAPIO_CDS6503	MKAASHFFFLCAAVSGALIPRHSTIVSRDIDLESEYDFVIAGGG ISGLTVADRLTEDPNVKVLVIEAGPFDLGEDSVLIPGAFNPVPYLWIPLLSVPQEALN NRSFNVPLGRVVGGGSVVNAMVFARAGKGEYHDWEELGATGWDWDDLLPENFTAPDDE YAATANISWVDSVHGNEGPVQCSYPNFFFEGSENWWNAALSAGLTTAGDPNGGNVAGL TWFPTVADFTTRTRSHARLNHYDRVEDVRPNYHILPEHTVSRVIFNGTRAIGVEYLPS KGGDVATVTASREVHLAAGAVHTPQILQLSGIGPRRLLEEFEIEIIADLPGVGQNFHD QPSIIIPYRVTNNIEPNMATLNTDEEYDAEQRALYDASREGPYVLTRGLSTTLALPPL SNVTSSWRDIIEEAQGRDPADFLPDDVDESVLSGYKLQREITLRQLGGQETPVSMMHW DTADSVRMYFLRPLSRGSIRINTTNPLDNPLIDFRTLTDPTDFDLVVASFLKNRDIMS QPTMAILGPEEQAPFGNNITDVDELKEILKSVVEPSSAHECCTAAMMPKERGGVVNPQ MRVYDVEGLRVIDVSYWPMVLTAAPTATTYASGEKIADLIKLEYCLAGACDEED
SAPIO_CDS6505	MFNSGLLAFSGQLWLATLALTQVTSPASSCFPVTSTFRHIDLGI NSDLVASSSFKSSMDAKYNTPATPTPVAIYTASTVIGTRTLRATGYYDYNPLTSEGFE IYTSIIETTSCSALTTPTLPPSPTGSICSPHGDHWHCEPSPTPSQSDTPPDTSAPAET CSPHGDHWHCPPGVPEPTTPPPQETTPGETSAVGTCSPHGDHWHCPPGVPEPTTPPPQ ETTVPPQETCSPHGDHWHCPPGVPEPTTPPPQDTTVPPQETCSPHGDHWHCPPGVPEP TSPPPNPTTLVTSSRPDTTAPAVVVTAAAEKGRGLSIAALFSILAFAAILLV
SAPIO_CDS6506	MAELGLAVVGAITAPDLCIKYGKRLLQACAAFRNAEGELHERIL SVEASWMQTALGLDFVKSVESVMNEDHRTMHYRILDMVFTKLKRVILILEGLLKKPTK MDEAGEDEDARLAVRKGKYVFVKSSLDEAIEELEQWQRKVESSLFLIMRIMDNQVDTE LVRMRRETSIVASIPSAMVIRDGLRDDQRQYGLQVFLKKSELDAMARTTLPFCNAVLG QRAGSSKQLILNQIECSLGTSVEIVKKDVRDLARKLRHRTPQTFGLLTCKGVVKDETK IGPLSEASFTLVFEKPEGLTDPRSLRHLLTAGSAPCSMSEKLDIARELAKSVSYVHTF GFVHKNIRPETVLTFAGAKTVRRSTFLVGFDNFRREDGRTYLRNDGDWTKDLYRHPNR QGPTPRDDYIMQHDIFSLGVCLLEIGLWRSFVRYDSNCGHPIPLEALGLISGGVHIEY PAALKEKLVEMAHNELPACMGSRYAQVVHTCLTCLDPNNVGFGHEVEFQDEDGIRIGV RYMEKGSYSPRFIKDALLTVSWFCSG
SAPIO_CDS6507	MNPASSVAPLTRRSLSPMVRLPDLVRDSKLETRLARDGDTIHIF RDSSRQRGATEEERWRYTFPIGNGGEGIVWQERKVTRRATSAPVATRAVKAIKIHEPR EPKAPNRLSTAEEYMRELEALAKFSQRKYSGCFVQFYGWWEQTKQHRYLFIAMEYCSR GDLESYISSKGPLHADEAQEITAQVLDGLCYMHEEGFAHRDLKPANILIKSSPPDRWW VVICDHGLSKRVEDAVALTTIVKGTPMFISPERRGFHPDIVPKKADPYAADMWCLGET TFRILCGKPTFGSDEKLRQFARGSLNFPTDGLRNANVGDQAIEFVTSLMHPQPSRRLT CFQAREHAWMKVTETQEPPSPELDAHSLENSESNLDPDTLQREQPSDDQIPVSQPVAM STTATQHSRAWSPVTQPLQDIASKDPSNVAGPMGALPDFSLEDSILTKVNTAARGLVD PTATVTRTAQDVRDRNIRWSFGRLKVRKNVDTIGRLLGRTPPGSDGSSTAHRPEEVPA ERPEENPGETPKKKWNTNLKKLLRRRGSDESIFKQPPPFRKGPSMSHSSQASPQGYEV LPGGRIARMSALEGHSEDAPSHHGGSQAAAAGSAAASRKRWYNDASSDEFGGSQESYH RTGILRSPSLRKWPFWAYQPRASGEFELERGDMLRVVGVWDNGWATGVMMNERAEEWE ARCQAQRDGGVSNIGGDESRLSGEIKAFPLVCVCLPEHWRKTIEGGSSTETGSRSSLG S
SAPIO_CDS6508	MEEVFRRIEERENDLNWQLPQADRRAESLRRNDERLHIEAEEDP IVFQARRRDMEIKCRKQNLDRLAKEKGIVDLVSQALQDPSIFLENQLARGCLLGRVPY AYDELEFLYKVIGEEMPKENKAIYGNSLRRSICEAPYKFLKSPEACKLIICDLRLPDD GRIDGIQAMYEEQLRLEADNARLEIAREAVRDVTEKTLRHYGRKFLQEYEAAAARGEE PPNPKAIWETVKPRERAKWVDELLDARRKYGYSVYKSEEATQRAQALERWMGIFNDTD RTDNFAGYQSGIYHEIKGASQLGWLTRIDWVDATLSENDPSSLRRHFKEHRNTLDKFP GILRNTFLVITSDCIAPELDHKDIQPLFISSTRQFGADNLAPRDLAKLLPEDIPPVFI WAYDPDWTPPDPDSRVDEDGYEGRVKVPLPLLYTWFYFARKDDIYDLKELWKKALEHP DEIWRCKGHHLDPTIPDSFV
SAPIO_CDS6509	MFGCISGGTTALSVNIRWQESDLANFETPPLTNGLPTRERHGGW DPAGAMTDVYTFPPHCASITDTWMPFTETACRQLDGDYYSPGICPDGWAAAFTRPVLG SRGPPEEPDETAMMCCPSWGTTLLDLIKVRDPIQIRWVSSDLSLLETHPLTPGMIPTE RLLHPNRFRASLVEEKEATTEDIFSIIGEMDCSVSGSFTVSPVLTENHGTQISTERSL GTYETVCELSSTAVLLPTCTQPPTAPPKDKFLFDPFGQGESGTTSAFPGQHFVASMLA PLLAALFAIPWKILHLHATSLESFHKLSGPKGTTVTKAMIRDYAGPGAVFALVPFITA CLVYSSAILAPLSTESWTIGLLGSCAADENKNCVPEMQAVPQVVRTMEALLALIVLLA IALAAALRKWSTGVLADPRSIVGIASLSQSPELRRVFGSLLIGPSASLSVKDMKKQVG GLQVYLGVSQNASGGMDYGIQLLNPPQTPLSNGFYGKSNQKKPCLSDPLLKATLLLAA FAVLLAAVMSIIIYYRLTGGSSGFERFMSGQNFGPRFLFSIFGVLINFGWVNVFTSVT RLTPFYALSIGQRHPAKTTILLPYATDPYTHLPRSILAGHVLPALVSFVTILSDFLPL LFANVPFHRTRTFTEHLVSCWMTVAILALMMVILVILAVVMIAARPRCTALLGVFTES PIVGTLLVLGRSDYFVGCFRGLAGLTPKERDMAVRGMELKYYLADVGDGVHDDGVAIN VQR
SAPIO_CDS6511	MTSLPEPGLLLTTPKDGKDNPLVSLDRHGYLFGKKIANSLSPYL HSVIYKDLGLNWAQVRLDSDDVDAFLQLIQHPRFYGASVTMPNKLTILSHLDQLTPEC RDVGACNTIFVRVGPDGRRLYCGANTDVIGIRESFFRNVDKEVFVGRPAMVIGGGGAA RSAIYALRTWMQVRDIYIVNRDKLEVDSVLSECQAKGFGRGLVRVDTVGDALKLEAPG AIVACVPDFPPQTDAEKRARDVTETFLRKGKKGVMLEMCYNPTPFTALGALAEALGWR VILGTEALVWQGLEQDRYWTGRQIEDLPVINVQRAINDVVTKKVAVPRL
SAPIO_CDS6512	MSILTLKEDRPTPKAVYNWRVYACAAVASFASCLIGYESAFFGT TLALPSFTEEFKFSTYQANDLAVLKQNIVSVYQAGAFFGSLAAFATSYFLGRRYSLIF FNVIFAIGCIMQMACTGDKGLGLIIGGRVLGGFGVGGCSNMTPIYISELAPPAVRGRL VGLYELGWQIGGLVGFWINYGVNTTLEPSHSQWLIPFGVQLIPSGLLFFGCFFIPESP RWLLSKGRREQAIKILCWMRQLEAEHPYMLEELSYIDEDIERYHREVGTGFWKPFKAL KDRKVQWRFVLGGLLFVFQNGSGINAINYYSPTVFRSIGIQGTNTAFLTTGIFGVVKT ALTFVWLLILIDHAGRRLMLIVGSVGGSLCMWFIGAYIKISNPEGSQQNNKLSSAGIA AIFFFYLWTAFYTPSWNGTPWVVNSEMFNQNTRSLGQANAAANNWFWNFIIARFTEQM FNSWGYGVYFFFASLMILSSIFVYFFVPETKSIPLERMDRLFELKPTWRAHGILMDEL RQVEGIGDENADHKSEEKPVVLELDKKNIPADV
SAPIO_CDS6513	MSTAPHPLPTSAPSPSLGQTETNAPALSVHDRLAQLERLVLHLR PNSINTAHPGATSDSSRADPPDTAKGDRLVDERSECGSMRVSPSELSYVGVDHWAAIL DNIADLRDHFDREEHLRLANTPDKHLDNDNGSGGRPPPRHALLLYGCRQPTSRAEILA ALPPKDAVDRYISRYFNRVDLVASSVVHGPSFLREYAAFWTDSCSVSIVWIGLLFSMM CLALLASDDADAMPGTEAEQQWLQIDLYRERVVQCLIMGEYTNCGPYVLETVINYVYV EFAIRSDADKDVWFLLALEVNLAMRMGYHRDPSHFPSISPFQGEMRRRLWATVLLGDI LISSQMGMPRMISDRDCDTAEPRNLNDADIDEGTTELPPSRPETEFTAALGIIARRRI LMTLGTILDLTSSIKPCTYAEVLRVDAVLQEAAENIPTPLKMKPMAASVTDSAQVIMA RLFISHMIYKGRVMLHDAKPQRGSRGSVTESEIDLDEEKCQFSGGQKGSGNSDPCRAA NESGNDATMGFEDGQENLRERRTPYGGDQFFTPDLVIAQARNPGVSLHHFGVVVRLLQ IQHRKTLHVVVSPNKQDDT
SAPIO_CDS6514	MSRESSSSGKRPRQLEEEPQNEREVRVRGILDWDGPDDPENPQN WPLRKKWSHIIVVAILALVTNMGPTMCAPGIGGLATDFNISSHHVSTLAITIYVLGLA IGPMFIAPISEAYGRLFVYHTASLTFVAFLVGNALSKNISQFMAFRFLAGCAGGTPMA LGGGTIADITTLEKRGIAMALFSLGPLTGPVLGPVIGGFIADEMGWRWTFWILTMLGG TSGATALAIMRETHPNVLLQRKSERLRRNTVDRHILPTAPSKSLEPRQVLVRALIRPT MLLIRSPIVLIMSLYTAFVFGLMYLLFTTFTEVFEGQYSFSTSMSGLMYLGLGVALVS AMLAFGALGHRVQTARMRADRVEQRRPEYRLVLMIWFSPLVGVGLFIYGWTVYYKVHW IVPAIGTVFIGFGAFFVIMPTQLYLVDLFGSDSAASALGANNLLRFLSRKRKSNYDAA GRPVAIPSRFISVAWRKRLRVVNVVWPYTISNWACLYQVLRANFDGWKSKAVPDPPGP RVGDGKTEYWSGRRVISLSYDNEQGIVHVRFVNVASGEEGSINVELAIAADGVHSTVR NYIILTESGNVDPGRRLINWVWYYPMAEDSAEMIAAFTDIHGKLHSNTVPPDLSTPTC GLAKLPAFCHKWQTTSQR
SAPIO_CDS6516	MPSQVDTVAGDPIVDRPWLNGNGIDRGATDLIGASGPPTTCQFA PDASIVLVGIRGSGKSTLGVIACAAMERMMVDLEKVFQQSVGLTSAEFRRLEGPAKCQ TEQANVLKSVLANHSTGCVIICSWLERNILTLLRDLRATSPVILISRDAEAVQEHLKI LDKAKMANLLNASGAVFHTCTNFHFFNVTESPTRLSTPERDQDDSIACRSLAPYLTLK RAERHFLKFLSLLMPLESIPFIETAFPLANMPTEARDFTYAVSMPLSALLSSDIEIEE IETGADAIEIVVDDLWPQGGSNNSPTSETPHARNSGISFDRAREIARVVGNIRRNTVL PIVFHVLAPRNVHADTGWQLLYMSAVGHGLRLAAEFVTVDLRLGDDDISRIISTQHRS KIIANLESTTGEDSWESPIWISRYQRAKSLGCDLVRFVRPAASMQDNFSVDCLRFSVK RLRDLPIPVIAYNSGLLGRHSACFNPILTSVAPKPSSTLHTTARTPDFPCITAIEATK ALYSSFVFDPMKLYVFGVNVDYSLSPAMHNAALESCGIPYNYSPYSADSIQKLAPLIK DPSFAGASVGLPFKVDIIQLTDSLSPHAKALGAVNTLIPVRRLNSDGTVPDVNVLSRE SNRVGPVMALFGENTDWIGIRACVRRGLSPANAVRSTSTAVVVGAGGMARAAVYALLQ LGVRNIVIYNRTPERAHDLVSHFTTLLNEVGLPRLGPGHNSTKKAANFHVLEDKNGPW PGSFNPPTMILSCIPTHNIGDLPSPDFTAPQSWLTSPTGGVVIELGYKTLDTPLLEQT RQHSHRGWVAMDGLDLLPEQGFAQFELFTGRRAPRRLMRRAALRAYPILEGEPLFHEI QSRIRTIADQEP
SAPIO_CDS6518	MVRKTRTKSRAAQRQPTRPLPVTLLSGFLGSGKTTLLQHILQSD HGLRIAVIVNDIGAINVDASLIKQTHRLTKTEEKVIALQNGCICCTLRGDLLEELVRL SELQEYDYIIIESSGISEPEQVAETFDRRLAEQMDAMADGGAELDADMLKVLKQLKRA GGLDKFARLDTTATVIDAFTIFNDFDTDDLLSSRRDDVTPEDERTVSDLMVDQIEFAD VIVLNKVDMVDKKTKARIIELITKLNHRAKILEASFGKIDVKEIVNTGMFDMARAQTG YGWLQDLHAMTLREVNGRNVLTPKPETEEYNVRNFIYARRRPFHPYRLFKLLHDKFIL QLQHPDDEEEGDAEEGEDDDDDVDMSMDDDDQKPQDTSDKSSSSRSPSIQSTTITVPS PSASLRGSKDEVDIEMVDDLVPPANEVILANKRADPLFARLFRSKGEFLLATRPHRAG EWSQAGGMLTLSGGRPWFCTLPQEEYMTGDPEVDALVQYDMRNGGEWGDRRQELVFIG EALNVKGLEKALDECLLTDEEFARWENAMRYDDNSLSDKLDRLADLFDDGFPDWPDEA EEEHDHAPDEQCVVHPVGRR
SAPIO_CDS6521	MRPIILVALAACATACQRDFISSPHTHRKRLAKRNDAWPPVLTE HETILVNCFDNVSIDAWSYYYGRQNKLAGFGREAAQWTADRWAENGVVSELKEYHVYL RYPVSASLHFTSADGETEEVKLREDVLEEDEVTGWDEISQQTWLGYSPSGRAEAEYVY VGRGSIADFQAVVDKGVKIKGKIALIRYGGLFRGLKVKNAQDFGAIAAVIFIDPIDDG EITTANGYAAYPDGPARNPSSVQKGSTLFLSTSPGDPTTPGYPSHEGAPRADSSNVLP QIPSLPLSYEAAEPLLQALNGHGVSGEAVNRTGWIGGLDARYFTGPAPGAKLTLDVKS RDAIAPIHNVIGWINGTNADETIVIGNHRDTWMIGGNADPNSGSAVLVEFTRAINKLR ATGWQPKRNIVLASWDAEEWGLIGSVEWVEEHTNWLTETAVAYLNVDVAVAGPHQGLS ATPELHGVALDTFKKVIHPNFGAYNISLYDSWYDISGGVIGILGSGSDFTGFLHRGVG SLDISSYGGPKDPIWHYHSNYDTYHWMATWGDPGFHVHAAQGQFLALLAYHLASDDIL PIDVQNYAVELRAYYDDLVEFLAEENADVDLSELDTAIELFKRSADTVKALERQAVET GDEELKTVVNHRYRDFQRGFVSQGGLPSREFYRHVVTAPGLDTGYAAVTFPGITEGVQ YAVGGDLSVAREWVKRTAKGIVVAARILAT
SAPIO_CDS6523	MAPEVLLVLWLWIAAAAALNASEWAKQSIYQVLTDRFARTDGST SASCQRAEYCGGTWRGLINNLDYIQGMGFTAIWISPIVAQIDAAGSPDGDSYHGFWAK DFNALNSRFGISSDLRDLSNALHARGMYLMVDIVTNHMAYAGCMTCVNYGTLSPFSSQ SDYHSPCSIDYSSQTSIEQCWQGSNNVALADLRTEDAHIRSYFNQWIANLVSTYGIDG LRIDSAKHQETSFWPAFENSAGVFMIGEVYEGDPNKFLPYLNVFPGLLNYPVWYWVQR AFQSTTATMTELVNGLNTMKSGTSQTNYLGSFLENHDQGRMPSWSSQSSDVALIKNAL AFTMLADGIPVIYQGQEQKLAGGNIPDNREALWLTGFNRQSDLYKWISSLNIFRRTVI AQDQRYTQHQAIPWQIDSHTLGLRKGFDGSQVISVINNIGSSGGAYSVSLSSTSTGFT PNLEIVDVVACSLHTTSSAGALSFTMSSLPRIFYRRVALAGTGICPALTGDVTTTTPT ATSVSSSSSAGCAIPTSVAVTFTVRVTTQFGQTIKITLGDWDTNKAVSLSASQYTSSN PIWVGTVNIPAGASIQYKFINVASDGGVSWESDPNRSFAVPAACGATTAAVEGSWR
SAPIO_CDS6527	MFRLSIREALSAVDIVLATAAAGAIYLASVCIYRLTLHPYAKYP GPFLGKLTSLYGAYHAYFGNIHLDVERCHQKYGKFVRYSPNGLLVNSPNGYQDIYGQL KKVRKANSYQVHGEGNLIGMQDKKAHSKKKKIFQQGFSDVALRKHESKVLEEIGAFCE KLLENESTGVQPDGWTEPKNMSLWCNYLTTDVICKVVFTTSWDLVGSTANRTVTKTMS TVVHLLGVMHQTPLLQFHSLVALLLPHLAWCVGSLKKYTRTVIKSSLKVRDEDPSTDD VFKLYVEAKDPDTGNVALGKFDVMINSANLLIAGSDTTASSMAATFFYLSRNPDAYAK VTREICSTFSSPDEIRLGPELNGCVYLRAAINEAMRMCPVAPQPLWRQSEEPAGCVVD GEYIPAGLNVGATIFSLHHDPSIFDDPYTYDIERWINHSTDNDDEIEKEKEAARIKML MASFAPFSAGPRQCIAKNFALMELTLTLANVFWRMDFKKSEGAVGKVGEGAKGRGWGR EREGELQFKGYFTSHLEGPFIDFKKREF
SAPIO_CDS6528	MPIDTLSLAGKIAIVTGSGRENGIGAGIARALARNGAAVTINYV SDSVTKRAQALADSITADGGRATVVRASVETPEGAKSIVQETLKAFGTDKIDILVNNA GTGFLGGTVDATPEEISKTFDLNVKGTIFVTQATIPHMPPGGRIINISSTDSRLGPDD LAIYGASKAAVDSLTWSKGITVNAVTPGPVVTDIAPPEIAELIRKPQREITRAAYRNG TIEDIGDAVLLLVSEKARWITGQYISVSGGVTGL
SAPIO_CDS6529	MADPISILGTAGAVANIIDVLGKSIRTIAELRNQWQDADLTVVV LESQLAALNAALGKINDWAESSFDSPHHQLAMDLDRCVACCRFLIHKIDVEISRLTMD ANDRLDIGSKFPNSGIDSSPDRLQYKRYLEEPQTRKAFKKMEDDTASLVVHRDVDSLM TSNTAMSISSSKRSIIFEFDSELFISKIYQRWIRGSVKKSLQVQQGDTASNDVATNLR ENTSSWRPLPISTPRIVNSSTEELKQSRLIDRYLKEDMKRLRGEYEILLAGDASRHEV AKQMEIALGKQYSTEELHDCRPMVLKSAFTLAKSTLATMRKFGVSESDDIWQAVKFIE DYTLDPVCPELDPGTSSIVCPFVPYMTNTAYDHSFFDEIDRIASPDYVPNEMDVLQSR ARTTGVNETRLQMGELRISMFDIGEQRSHRNKWLHYVDSVSAMIFVVDLDTYDQVSLE ESSQTRMMESLLLFESAVNSIWFRGAGIILFLNKVDILRQKLDRSPLENYFPDYSGGD DLGEAAMRVLGAGFMSSGQPGLQLTELGNTAF
SAPIO_CDS6531	MRLLTSLMGTKKLSISGNFNYTPEIWQAISAAVRNMPDLEEVFL CHHCPGMAQGPVCEVLNQARSLKYLTITEIIDTSVLDISGVSKRRHPSLNRLLVEDSR CGIQDLKRLISWPCRLEHLLLVPAGEVENSHVPWGLEELGPVLESQKTTLRTLGIWPL DLQGLRGFDLTEFESLEELDLSYFSTGCEPGTEVNLLAPKLRKFTWAFVFEAGWKCQM TCFEEAQESWLRGFATGALARPLPLGCIHLSFVPEGYLPDFSVPRPKISPWDRVEHLR DEFHHLGITLTCSATREDYERLWTPVRRPEEQGPRLQRSRPPSPDFHYFDPREDGSDS GAESVVRPDSGATQSNTILNYFARI
SAPIO_CDS6533	MAPFTIRTCPYIPPCMAPPPDCGFRDGPFEALPGIGSLDRGEET VTDKDISDRTGNWRGITVHDLVIAYKRGAIIEDKLNVNVRAAAAAAAAGVVRIPVCSY ETAWKNWETSPEKRGEMYPCDDL
SAPIO_CDS6535	MRVRELNIPRKSRTLYDTSGRIGDCAAPAFLQHLQGFLESELCL SAEHSGFSKLTAIEEIPLYEVEARPPGGPGSVCDLQDLIEVYFASSCGILDIIDRCQV DGLLQSWKSYSLADTGRTAVLFLILAYGAQSRSGSVADMQYSQYYYHHGRRLALLELT NEPSVETVQAFVLISLYMLASSQRNASFLNLGIAISAAKSLGFHRDDVNAMFPENNTN LRSRIWRSLRYHDLFFCAMMGRTPSTLTTDSCYSDKQVQDQNMSGSDSGQQLALTEAV RAFSILERTVSEIYTKNTASLAHLESLARELQAATSSIPPELRTVTAAESPEQRHVIR NAHVACSYYFSMMILTRPFLISCIQQKCGRAGDKSRADVPPTEGEDSPLSPEITQGAL ASIDSAIHVTQLIHELLTTHMLFNNMVLIIAMVFVASLTICSAHLGRLGYQASNELAI RQAEEILQHFSHHTRQGKRYGDILKKLYKAARVYREAVERRSSGAPTLEMPELFSLSN SGREEAWKTDDIPSERQEIVEQNQGDRDGSDSSTESLVIPGNSTSIEAAFWGPAWQEG LWLTSPEISITDLIDSFNQTGGGSIADSMPFTGIQGYTHATSHQSGHIWGFD
SAPIO_CDS6536	MDLEKPGFQEEGIAQSASPHSSNMAACTDKRVYLSQSSQSTMHI EPSVERKLRLKIDLAIMPTVALLYLFCFIDRANLGNAKIAGMDKDLHMKGYDYNIIIT SFYISYIVFEIPTTMLCKYMGPGWFIPLTTILFGVASLGTAWVNTVPQASGVRFILGI FEAGMMPGMAYYLSRWYRRAELAFRISLYIVMSPLAGAFGGLLASAILKLDRFGGLHT WRMIFAIEGIVTIGLGLMGLLTLTDRPETARWLSEEEKILAVERVKAERVGTTEMLDK IDSAKMFKGIFNPITLGTATIFLLNNVTVQGLAFFLPTIVATIYKDASVVRLQLQTVP PYIVGAFFTLLFPLLSWRFDKRHIFFAICAPITMIGYIMFLASHEPMVRYGATFLVAL SVFPFAPLANGQVSANVISDTARGAAIATTVMFGNIGGLVSGWSFLPWDAPDYKIGNG LNLATSSTVLVLAMAMFVWVRIDNGKRKRRDGARELDGLTQSQIEDLDWKRPDFFWRL 
SAPIO_CDS6537	MAVDKSGVLEISKWPTAQKFVARCAEFLDEVQDLTPGKDLEARL NRDYGPGNPYYDDFCSYIRQGLNEGWVAETELDGRKYRRGRIALPTAQTKYCSITTVF MDSQEEYSGQYHAHPYGEINCVVQIDEGAELKGMQGWQGAGWTSPGPGTHHYPQVRKG ALVALFFLPAGRISYNAKPGEPQPISL
SAPIO_CDS6538	MDSMDRVDLLIVGAGWHGLVMAKTYIETHPEASILILDYGESIG GTWAEERLYPGLKTNNIVGTFEFSDFPLTPKLYGLEPGQHIPGTVVHKYLCDFSKHYD LESRIRLRTKVDTATLLPSGLWQIGYSTSPSSSAGAEKSDMEPTTGRGEVLASKLVLA TGLSSQPFMPPLPGRENFKGHIFHAKDFKSRAEDIASSKTVVVIGGNKSAWDVCYTSA ARFGANAHMIMRRSGGGPSWVWPAKFPGFFTSLAGLSSTRLVSWLDPSPFGPSGKAMR AIMNRSWVGQKLISLFWSHLDSKVASFNEYDGHPRTKNLKPWTSTYWMGNSLSIHNYE TRWFDLAKDGRITAHAAEVVSLSEGTVQLSDGTSIKADAIVCCTGWEAKPNIKFLPED VATKIGFPGELPSDPSLKAQVREEVWNSAPILRREPIRNLPVSLNAETLPSKKEKSES SPYRLYRFVVPYHHDFIRRRNFAIIGAHITIHTAILSQAQALWITSYFDSRIRHLEGS NKEPDYHKIKHDTFYHTEFERIRRPRETGGAGERYPDLVVDSIPYADMLLSDMGLTYH RKQSLYKEIMEAYRVSDYKGLVNEWIELHS
SAPIO_CDS6539	MSHSTLGNGEWLYPGQCLVKQVWDVKGLKMQGDGNLVIYDNGDK PFWSTGTTLRSGGDKVVLTVQNDGNAVLYKGEALWGSNSMKNN
SAPIO_CDS6540	MNSDKELPPTISETGSIIRSCQKALAAANYLNHRLDSASRGPDD RLNHVSREILLLRGTLELVQAKASEFPETLVRNHVYNVVSSCNTVIYEAEDLTHPSRW KDAKDRDVERLQGSIQWSTSALRLALEVLALRSAAHEVPRSINLEFKQASTTSEALSS TVTAEVRALPRAVTVRLGERSKDGTSRDSTTTATVNTIATQTNDNYQELRLQIIVGNR ERRYPPAPIVDHTQKGAREEGKQTHADKRITENPSEDKHPECGRKDVQPDNTDGSPQN VARKWKSVRDVFLFKGHLILDMPCPRAIRKILEIAPDSRSEYSHLRYSAVTCGGEEFA TDPSWKLRSSLFSQPRTTGLFISVAVSKSSDILSALQKIWATFDAMDDRARLRGNSSF KDDWKRRIVHIHCPIYPDEEVQRLFNEIGVRPKTPDRAAFLDVEVDSEVFSETESVGG TGVLWYMYEYTAKLRLTAGHDAGLGKTEYIACLNAKASPVQIIVTNGRRQVDISWGGD ISISPPALFRPTWREVRQALSQKLGAKVILYGDGESVAKHFGMDTVEKAWEKGGEFEG MLGDISGERVGNTNKPGLASRSKRWLFG
SAPIO_CDS6542	MAADSNKSASDRGQQVEHVEPQHQDVIVQEAADDGVHIQLSWRS WVVVLVTCFAVVSQVFVVVAAGSVIAFIIRDMGEAGLAGWIIQAPLLMQAVLSPIFGR LSDVLERKYLAAGPPLIAFVGAVISAKATSMNMLVGGGILIGTTLSTISIVQAIPSEI LPLKYRPIAQGFCGMGGTIGGLIGSLGAGAVTNVDSGGWRWIFWIQAIFHGLTCIGFL LFYWPPKNKEYPKMALKEYIWTCDPIGSVLFIAGSTLTILALNWSSGVYEWDDAHVVA PLTIGLVLLVAFGLYEWKGRDDGLVAHIFFQHNANFFYSTFAFAVEGWIFYSAVNAVV PQIVLNLGFENNSWDISVRQLSFQCTILAFPLILSGYASYFKDLKNPLLITFIIFLAV TIFYANIKPSMDKAQLAINVLAGIGQAGPLTLLPAAIQYTAPHAFLSTATGLAFSARA IGGAMGSAVLDTIIHGRLAGHYAPAVTAAAIGAGLPESSVADLLTAMASGVREGVEGA TDAVWAAASAAGYKEYAHAYRLAWASIIPFVVIAIVAIWFMRGVKELMTGKIEATVEQ VGEGQDEEKAGKKRINFHL
SAPIO_CDS6543	MLKQIDLLLTHPYVLTLQEKIADVSAANVALATLAFGAAVVAID YGHMLYLRSKMPPGPFPLPIVGNTLSLPQNKPWLLFEELSKKYKTPVITFWVGRNPTV WINDAWSAQEILEKKAQIYSSRPHMVVFGELGDGQYSLVTMKTQNQQDRERWRGHRKL MHMAVGIQTVRKYRQDQNNESKIIALDFLREPKEYVKHLERYATSVVSIIGFGRRVAS YNDPIITEVIALMQLAADLNVPGKSFPMLMETFPILAKFPRWMPWMRGLGSRGSGDGD YFYYTLNEEAVEQYAAKSPAAQASRSTPFAQTLFDEKEKYNLTRREISSLTSNLFGAG ADTSSSTLVTFMLACCVFREPMLKAQAELDAVIGPSRSPHWDDSPNLPYVNAFVKEVF RWRSVAIIGGQPHAPTQDDTYKGWLIPAGTWVQGNVWAIHRNEREFPDPDRFMPERFL EGNEYKRPFPNDKGYMTFGWGRRVCSGQALAEQGTWVSVARLLWAFDIRKKRDPRTGK EIDLDIFAFTNGLNIRPQPFECDIIPRTEEIKETIIREGEEALRELSVLNGESQYRLS TYYQTMKAKGGTEPVIDEKGELKMVKVKG
SAPIO_CDS6544	MIASLFSASLLGLAAAIPSPPEPLLFSRAACSGNTANTRSEWCD FSVDTDYTSIAPDTGVTREYWFDITETTASPDGVERYVQAINGSIPGPTIIADWGDTV IVHVTNSIAESTNGTSLHFHGIRQNFTNQNDGVPSITQCPTAPGSSMTYTWKALQYGS GWYHSHFALQAYQGVFGGIVINGPATSNYDEDLGHLFLNDWSHQTVDQLFHAAQTTGP PTLNNGLINGTNVFGEDGEAGQVGSRFAVSLTEGNSYRLRIVNAAVDSHFKFMVDNHT LTVIATDFVPIEPFTTDILSIGMGQRYDVIITANQANVADSFWMRAIPQSACSENESQ DNIRGIVRYGSSTATPSTSRPDSYSEGCEDMDVSNLVPHVAKTASSISSKGVEQATVG FNEKNLFRWYLNSTTMLVEWGDPTLLAIQRGQTTWDTSDAVIELPNADEWVYIVIETA LAIPHPIHLHGHDFLVLAQGSGTFSDSVTLNTNNPARRDTAMLPASGYLALAFETDNP GAWLMHCHIGWHTSEGFSLQFIERYDEIAGLIDSDILNSTCSAWATYEEQFSVEQEDS GL
SAPIO_CDS6545	MKISKVLAALPLVGGVLGKPLESRAVTDFTAYAYGPDGIGGFPV IAIDDIAYITSDRESTANVAKNVTFNSFTNQGNITATIPTQGTSLLFVPSESGAVGFT NTTDDSSQITTGFGFYGHVIFVSINGAMTTEWYALPTNTSTLWQLTWNDESASAIPVA LRNLEPS
SAPIO_CDS6546	MSEVYRSKQRIIIPTDLSVTEATLLNDPEPIPDDKVLFEEAFTG HTATYLGFKRQVKEVAAWIKQHLSLEAGDIVTIISPSSIDYVVAAHAVWWLGGVVSMI NDGQSPKDLAHGLEVVQPKFLIVDRTVIDKINRSLRTASHVVSDNHIVIFGQTVSYPC WSDTMRRRPDVNPPPTHNDENNSGCALPSLLQLEPYSLHNGDNRQVLAAIVLSSGTTG RPKAVMMSHHNLIAANYQLRADNPQNWRSDMREIFFPPLSHVYATYVVMTGAAWLGYY VCLMPRFDLETFCRLMSKRKATVARLVPPVAKMLAESPITKRFTYPSLEYFTCSAAPL SETTAAHLRKVFPHVVLCQTYGCTEAAGACVQSGVRDRDMARNATGKVIANLEMRFLN SKGEDVGSKGPGEIAIRGPNIMMGYLRDPRATESAMLDGGWYKTGDLGYLDSRDYLVV NGRSKDTIKYNGFQVSPVELEEILLRHPAVDEVAVCGVWSDEAETELVRAYIALKAGI ERTAETASSISRFLAGQVSGYKQLRGGVVFLDGLPKSPTGKILRRLLKDVPQGTRSTN PVAKL
SAPIO_CDS6547	MPQLFSQGLTKDLMLMKQQNTGLRDLRRRIRAVQKSRSLGRQLG ESAPNPNTTDYVFRGNSQSLADHATEDASSQTEMRDNDVILNFENMDQDCALRPVTTN STINTSDTLRFSDTFRHPSTNKTTMSGPQTTPETVPAGHTRLTTDERGQLRYFGYSSL MRMVSILPPSSPSNSTASAATAAAASGAGTLDDISQDVAILADSGETHHHLMDLFFRY QHAALPVLDEAAFRESYMRGKRTEYYSTFLLHSLLLRALKFSAHPRADQLKIVYLRRI RRELLFEIENPSIATIPALCMFGSYMAGEGSDRACWLYPGLAFRLLYDFGLHEDCSDL VKSGRLTETDRRIKHSILYHCYVFDNRLYSSFQGRPTAVRLEDISCPLPSADIRDPSH QSLTAFVRLSSILEEILPIINGRRLDTIYQHASVEKLSRASDDLLQWFKALPPELQWN SSAVQLPSPATCALHIHFLSVTILLNRPFAAYMLKSADDKGVVDGRAGNRRLSGQTPE ISQKICTTSGIRISKILCAYKRCHGANKFFSTINPACLSASIALISDIVSAKVGEDKR EEKRWLSAILETLNEITPTYPVAGRSYIVLCAIAKACGLQGVAPPPSSSGPLGTSDSG NDLLSQLFQNAEGQQTGPGEMVSCVNESLGWEFHPMLGDVHDIGLSDFYPRLSPWPPS TPDYGNWLV
SAPIO_CDS6548	MASALTSNIPFADPNWHTDKGNPYYKDSHRKLQRFIREYVDSEI IPNGAEWEAQGYVPDHAFKRHAELGFLAAAVFPLPKSSLGGVKLPAGISPDEWDEFHD AVLTDEIARCGYLGVVWGINGGATTGGAPLSTYGTEEQKQKYLRPLLTGDQKHCLMVT EPDAGSDVAGLTTEAKKTKDGKHFVINGQKKWITQGQLATHALVAARTGGPGHKGLTV FIVDMDTQGIHRKKMYNSGVSSSGSSFIDLDNVVVPVENILGREGQGFEVIMSTFTHE RLWVGITALRLSRVALEDSYRYALRRETFGKKLFENQAIRLKFSKMAGLIEPMQHLME DLVRRSVTTPALAFSPWAALLKMQAAHNLETVCREAQQVFGGMGYSRGGAGGRVEQIS RDVRVLVVSGGSEEILMDMIAKQQKKLAKL
SAPIO_CDS6549	MAERLQQIAGQLRPARESATQQDSKDPSRIDGYVVLVTGGAQGI GRATANLLATKGAKIVIADVSEEKSSEAVRDLRAHGYEAVSIVGDALEDGFAEKTVKY ALDALGKVNCLINNAGFCYDAAIHKMDDEKFDVIMKIHNYVPFRMIRALSAHWMDPAN RDMPKVIVNVSSTSGLHGQMGQINYATAKMGVVGLTKTVASEWARYGVRCNAVAYGWM DTRLTRPPTGEETVATGGKEIVLGISAKAKKFRDLSEIPLGRCGTVDDAAGVMLFLAK SRCDALDEVGDQRLSEIIARKM
SAPIO_CDS6550	MATVHRKTGGNIDPGSGDPNDKAYSEHAELRLEKELGSVPDFDM PPEEERRMVRKCDWRILPIVSALYVMSFLNRVNIGNARIFNLEKYLGLSGDDYQLCVA VLFATYVTFEIPSNILLKKLQPRRFIPGIAIAWGAVSLCTGFVQNKTQMIAVRLLLGI FEAGYFPGAAFYLTFFYRRRELAIRIFYLYASAALAGVIGGLVAYGLGHMDGVRGLSA WRWLMILEGLPTMLLGIIAFFVLANSPSEAPYLTEREKSFVQIRRHLDGSSLGLEGEG KIDWQQSMSAFRDWKVWTLSVGQLGATVAIYGYNTFLPTIINALGYNGLHTQLLTIPC YFAGVLCFLGTAYLSDRTGRRGYFAITGGVTCAVGYVIILASFARGNAPQYAGCIIVG MGVYTATGIPLSWMPSNLPSHYKRAVGQAMAMTLANVSGTFTPFLYRTQDRPLYRLGH AGSLGFMVLTIVMHALTSVLLKRENKRRNRGERNYRLEGKTPEEIAQLGDDHPDYRYM Y
SAPIO_CDS6551	MSNALQVSKHGRSLQSEKENPKAPDRVDTSSTPSLQAQDTEALP QPKLPGNETPDGGVAAWVVALGAWCTSFCSFGWLNSIGVFQEHYQNELLKEYSPSTIS WIPSLQLFFMMAMGPVVGKVYDHHGPRGLLLIGSLLHVFGLMMTSLGTEYYQILLAQG LCSALGVSAIFQPSVASVAQWFNRKRGTAYGIIYTGSSLGGVVFPIMVSHLIQAVSFA WAMRVCGFLVLFLLIIANLTVRNRCPPKPQKVTIAQLIKPLTEVKFVLVTLGFFLFNF GLYVPINYVTVNAVSVGLDLNLAQYLIPILNAASLFGRLGAGVLGDRIGQYNVFIVVC YLSGIWILALWLPDSSQAALIAFSVLFGFFSGAYISLTMPLIVQISLMCELGFRTGIA YFISGIAGLTTNPINGAILDGAGGWTGLKVFAGVFCLVDPLIPDPFHHKRLEIEYMAF PPPIPPRPPGYETGPSQPGPTYPPRPPPHPSLHYFPPPPQQQYPPPLITPQQQYYPPP GPPQQYQSGYVQSPLPPLQQQYAGPPGFPPPSQQPAPPPAPSGWNEHLFYTNGRPTPA FEAITREFFTRLDPQRTGYITPEVLSSFLDASGFKAEDNVCTKNSRKASFMYTAEYMA DGQLKAILEGFNFDHKAVVRNPASKQLPYGGMQLLSLAGFTDFLALEYAADPDEYHPG LNNACLWCLAGEGSGSAIHDACH
SAPIO_CDS6552	MSVDGGEKRADRETEPNALSPPSQLIQGTVEDEFPENGWRAWSQ VVASFALYFNHLGLLNSFGVFQTYYETTLLSDTSPSTISWIGSVQIFCLMAIGVIIGP LYDAGYCRTLIIVGTLLVTAGFMLTSIGTQYWHLLLSQGFCIGLGTCCLSIPSIAIVP MYFKKRRARAMAIATVGSGLGSTLYPLIFQELLSKVGFGWAVRIMGFIAFSMCLISLC LIRPLIDAKKSKMRQAGFSLWWFVDVTAFRDKEFLVYSVGIFFNNLSFFQPTYYIQSY ALSHGMQGTNLAHYLLAILNASSIPGRVMPSFAADKFGVLETFIIICALSSTSVFYWI SVTNVAGNISFAVLYGFFSGGVVALGAVVLTAITTDMSRLGTRLGMVSLLKGTGSLIG PPIAGAILDATDNYLGVQLFSAFGILLTALFTVVLHLMVVARKSLSGDV
SAPIO_CDS6553	MFPFLFALSISTLALAANNQAVIGYYVDGDKTDSKAGSDSGSSS SGDSGGQGRKKSSKAGVIAGSVVGSVVGLGLLVGAFFLYRRLSKRPDPEAAQNVESDP VSGGPAELGGVGKTAEELSKPELDSQEKPPTELLKSELDGQGANGQGKLPAEVSTANL AMAELGPSGPSPVAQVQELSAVASTQPQAAELPNYQQAYPQNGPGVAEMSGIGIPHEM PAGPYSGVGPGPNPEPQPVLPDTNVNQAAAPSSQIQAPEDAGQWQAYGWSGPGHYGS
SAPIO_CDS6554	MAQLNSHTVGNEKQSLPRTAWAAALEQLSQEDREQFALADTAGK DMHLLLTDVLKAANEKKSECMKKRWKITINGREIVLRDVMEKISAWVDKILTVGDVAV QYDPGHAALPWAVIRLIVQATVSDAAVFGHVAMSIESVTNVISTCAILELRYLGRETS ISGTYKQLSEAIVSLYTAVLRYPSAVLHYYGDRTTVRFIKSVATSKADFEEKFGCMES TRKQVWDLMHLAEAEKTEQILESVKSISGELKSQTLAESKHYERLENILKQLQEPISR LGTLSANIQDGLETPTRGQILRSISTIPYPTHHKTAKKGRLAGSGQWLLAKDQYRSWR KESSSSVLWLHGIPGSGKTKLTSLVIDDVSGCENLAYFYCMRNPAEPERGRGEAILAS LVRQLASVGSRGPILPPVLAEYEDAIDGMAEFGDMAWTMEESERVLFDLLGQYPTASL VLDALDEVNRDDRQELLDVLSRLLQESPNILKVFISSRDNLDIALSLKGSPNVYIEAE DNARDISSFIDERLDKARLLHGKLSDALRTNIRQTLLDGARGMFRWVELQIQSLLPLK VAADVEARLGSLPATLEGSYWEVYRQIMESGEHASKLAVFTFQWLMYARETVTIDAFA DISSVALSSPGGEPHASFTGREVIDVCANLVVRRKASFELAHLSVREFLEGLNHRNVD TFLSTSSNAFIACACLRHLTRKIDAAIEAPQDLEEVSNSARSKAKLPQIEANANNSVF RIGCHRKLQFKVDATVLAAFQKLVDRVKRGEHDRKVPEVEMEDDNNGGIQICCACMQD LPCDIDATVAMALNMLREEANKSDMESKGPETTIGDTKVIVTHEVPTNALEVENGGEN QEGETDQETNDIGKKEEGKEGKEGKEDGTTGALSVSQENVEQEDAATSRLRERVARAL IIQDRWDDVYYVARYWVYHADRSEVFRRSDPLLPLLRSFLVSDSNNFSKNFAIWCRLI RIYDRDFKHMGYEVEDLKLRDATRTPYNPMWLACLNNWIDVVEYLYTVGYKDRDKSWP PRPENFWAYSSHVWEAENNPGRPEGGSTPLWYALYSDNLELANCILRCSSEYTPASLP GSIAPQSLRSLLEKAARMNRKGFVSLFLSRDHGGQEAEGRAFVAAASKGLQGLLEVLL EHNADVMSSHGSQALAAAVEKRHAECVAFLLDGARGLSVPGPVGDSTLCSVARTQDAG VMRILLENSIGLGGMSKALIISVSDGDEESAGLLLAHGARREGPAVVNAIRADTPTAA MRLIGAGFDVHGRYLGHYRSALHFAVDKGFAEVAQALLDQGAAVNARDRNRQTPLHLG ACKGREECVRILLEAGADVLAEDREGKIPLDYAETPGREAAESLIREAMVRLLEELQA KERATGVVPEDQHFEESPSELLGIRSPPNVGKSSLINAPSQTNLSVLARTSGRYCTTF GQLAFTENAAAEPAHYYIEWLKKSPLVPDCSEVGDEVHVLSGATVPFILRHRKEKLST VTDEAKGRVFVLVGDAYIHGVMEGEAAEAASYPDD
SAPIO_CDS6556	MSATPLTTLLSIPLSQPLDPESLKPHLSSPPWHQIPGTFNFRSI SHPPSVKENFIYRSGLLSSVSEEGKKEMVEVLGVRAVFDLRFNEERERDLEPELEGVE HFWLGIADVDRVAEGNDKWRTLEEMYFYFLTTHRPIFRDIFLHVLQKPDRPLLIHCTA GKDRTAVAVALLLSLAGVPEEVIAHDYALTRIGLEPVRDLLISKITRGLGDVDWENGP LKTLIGCEAATMLSFLRAMDEKFDGGVEGFVVSELGFNREEVEGIKKNLKGHGE
SAPIO_CDS6557	MTSSNENHRQLSVVRGTTFENVLHKGSRDTVGMSAMMKKNYDAQ QAASDEYFKHWTSKTAESETEETRETRQAGYESLTRQYYNLATDFYEYGFGQSFHFSR AGIGESFQQTLARHEHYLARIINITDGMKVLDVGCGVGGPARQIAKFTGAKVVGLNLN EYQIERARRYTSEHGMDDQVQFVQGDFMNIPFDDDTFDAVYAIEATVHAPSLEAVYSE IFRVLKPGGTFGVYEWVMTERYDDDDPKHRKIRLDIEKGDGIANMVKASEAVRAIEAA GFEMLAHEDLADRPDPSPWYWPLDSGSWRHVQTFGDLFYTFRMTYIGRSLTHLVLSAL EATRLAPPGVVKMSENLCEAADALVLGGKERIFTPMYLMVGRKPVKDAR
SAPIO_CDS6558	MDAIPAPVAGEVLIHVKAFGVNHAEMHMRKGEWDEWNPITGLEC VGIVEACPGAEIPIGSKVMGVMGGMGRTRPGGYAEFVNVPVTNVIAIETALPWERLAA LPEVYSTAYTCLFTILDLHRGEKLLIRGATSTIGQAALNLAVNSGALVTATTRQESRF PWLERLGAVEVKQERRELQDQFDHAPEFDKALNLIGNSVLLESIDLTRSGGRMLQAGW LGGLAPIKDFNPMVQMKSGVHFSLFHSKVLGSPDFPLSEIPMQKIVHMIEKGEWDAKP AHVFEFKDIQSAHRMLDSQGAGGKIVVKH
SAPIO_CDS6559	MGRRKSLLNVFRSERALGRKTSVEGVVDAGKPSDADKQDPSDID SWGTSSCSSPCPPQPLKLSQRVPSHAIGSVPPSENNGLKRTSEVAETLSRQLSHIEPT VLALAEGLEKSQEAVLQHIDFLTGYDGDPSDARQATEGGAACLSESQKRLPQESKTEN QACPRPSASIENPTGQVYDKKVLSNLLSCIQECQKFLDTLQKTAKFEHDLSTDTSASP DRNTDMLQHTQEQLTQGLEESQFGRNPTAELSRLLGEEKERTSALAVKLEEVEARSKS QQQKCMAAHEEEKERMLDIFQKVLDKLEKESESFKATKNREMEELSCLAESLANDLKE EKSRRREDKEKWQKIENEKLALELMLLETRRLLRWKTSVYADTMAQLEAERRKTQLAE TQSLALGDHSLTPRSLLMMFSSQQPGMPVASGSEVSRLVFCPITPGTLDLKLSGEELQ SMFSLLKSRKSAPFLNFLRTEVSIRPCQICGFHKFDPPPSRPNEIAILDEYPRFPQPM QLESNNVCTKCRLPHLVTDFERHVWTDMATDEIFRLVKNQGDFATILRCLGDPEIGNT LRTYEACMDLRRALSWHDSFLPALAIRISCDLHLRMKKAGIMAPFFDSQFFRTEPDDN GSIPPFDPGTIRNVRVYAYEEGTSVDVPVFMKFFNRSAKVVECSVCSEKYRDIRLLEE DWKVVCGREYDHEWLWALLHFPPGATKSGCQHEPDVCRRCYNQFLAAQLEQLGSLGCE QIACPTLGCGNLLQYEDIRRMASNKTFKLYDRYRLLKQLSGEEGFMWCLRPGCQNGQI YDPDDEMVICAECSFEMCFRHRGPWHAGLTCLDYAANQGDPNSSGTREWIEGNSQACP NQGCGVRIEKNLGCDHMTSMNFVGIASASGTGIPIVESSG
SAPIO_CDS6560	MDPGSASESAPAYDQIFASHPVNQAPPQRGFTKAYAPVAQEDDS EIPQQQQPHLHYHNGVDPEAGDAEGEILLGGATPHTHCEACDRIAARRERSDREKHCC SMVAAVFIMAFVCLMVVGIIVGSRVGSRRS
SAPIO_CDS6561	MVEHANVDLNLRWQDQNVVKKDGQWTVDGRPVVDVALSYHQHPS NLPGMSWLGLIVTAPPNAATPPHTHAGAAINATVIRGHVLNQMVHTQVDPVTGETHAQ DSGAKIYGPGESWFEAPGCHHVRSETVGDEESQFIANLIVTDKVFDGLDMSAKGLEAD FAKIGRVFIIDKDIEEREKETKK
SAPIO_CDS6562	MSADIERIALGLKNVHDLWANVIQVALAAWLLEKQTGVAIVLPL VVAAACGYGTLKISIAAGDQQVSWLKHLRNAELQEAAKFRWWDVSATAMGFVPVMINP VLTFAIFIAVTNTGSQPLDASRMFVSLSFLTIMSRPLSRLFQSGPMISAMIACFNRIG EFTETKEWDDPKVDAKEDGENEWEVNISGGLAGRRRSSQFFKMSTPPSLGASSRWLVS PFTHSLPIPTVLSVNLEVS
SAPIO_CDS6563	MSDSTYPPGLPPYIVVFGPSSNCTLDLCPLEYSVYRYRPSLPAN ITFIALYILALLVHAYLGFCWKQIWFMVCMILGCLSEIVGYVGRVLMHGNPFMFAGFM IQIVFITSGPIFYTAAIYITLSKAITHFSPSLSRIPPRLYYWIFIPADVICLFLQAGG GALSTVSSGSNQTGIDLAFAGLILQVIVIFAFCFLFADHMLRFFRHSSSSSFSKGGYV GVSKAVALAPWRMNTFLGGLAASILLILARCVFRCYELREGYSGETLSDEGLFIGLEG VLIVVAVFALCFGHPGLVFDRKDQTVIKGPESENHSGSSYRLIDLRESH
SAPIO_CDS6565	MVWRTRPLITSIANIPNMRDDLAMDAPGTVKLIRNKTIDDGEPS TEQSCLVLIPPPTNSPNDPLNWSRVYKLWFTSILLLWAFLSNASIAWTSPAWAIWTES LNTTYVLLNYGMALLLLTCGFGVMLLQPLAIKYGRRLPYLIGSVLILAGLAFGLNMTS INFFFAYMILSGFGSAPSYSTIVTSLLDVAFLHEKGFYLGLYALVVALGNFLPPLAAG YITDSQGWEWCFRYLLIFFGISSLVVMFAAEESSFARKAYTTSQSIISESARHQGPDG AGVSSQSPDAKINADLKPSNGIPSHALSDTTSRPPPLDSRLTYFQKLTLYRPDADIPV GYWRLVFSMFELIVLPAASWVSLQLGISSFVVSLVLTTQASFFSLPPYNFKPSQLGLM YIPLLIGNLLGSFWGGYFTDWMILRLARRNDGVHEPENRLWAYVPLPFVAAGGTLLYG VGADAGLHWTLPCLGLILIGFYLSASLPVALGYALESYPEIENEIVQLSNFTRNVLGG AVTFCIQPWIDHNGGRDTTIIISVLVLVVNLTSIPFQIWGKTIRVRTTPAYYRLSGKS D
SAPIO_CDS6566	MKPSCLRCQRLGFQCDYSVRYIWKVAKPGSPAADRDITVSRGTK FVQSSTPKAQPCICGATERGEDVLRFFASSGHVLLHWSDAPANPLLDSLLSLSHKYPA IRDGIDALMGTYHGYCSLGVLARFDSVITGMKALVDPAMWASDPLAVLVASVLLTQIS MRAGYPWTGQLAPILPLALAYREANADSVETGFHYLELLGGLEMDAWIAARQTKPLHI WSRYCMGRQGIEASTALPRPLLDLISRVSRHEDVSHDLFGYANGLAKRNDFQALHWRT YALTAILHLHGLIITQYDAKETTEQLLIVVRQLIEILETNRNHNIRILVWPIYVLAQN VLEEEDMKFIAKFIRRISETHGNARLLCEAIGQYSPRMSVLMSGIGRLTSLPADFSSS GVELGLW
SAPIO_CDS6567	MIKTTPVQKKEVRPHTEADFAWSRPHGLVEKPGMYPGEPEAWVY CDKFSYEEGETVSLKVHTTAEYFDIEVIRDGYKAHTVFHQTGLKGQLCDTPGDAYAVG CGWPEALSIHLEEGKWESAFYLIIIRIKEFHGRVYEREGFFIVKSKLRSTSAKDTADF VLIHATSTLLAYNDWGGANHYRGIKDGYQDDEPSPLSSTQRPIARGMLRIPANAPREA NGPMAIEHGSTPRFPALEYAWYFRYSRHYADAGWATYERPFVVWAEKNGYRVHHLTQS DLHVERDCLQGYSTAVAVGHDEYWSWEQRDTLDAFVDAGGKLARFGGNYIWQVRFDEA MQTQYCYRVPQADPITATDATRVTTFWDWTKIGRPGAQSVGLTGIMGCYTRYGMAAPR SSGGFQVYRPEHWALAGSELRYGDQFGADPVNIAAFEVDGVDYYFKKGLPYPTCADGA PTNLEIIAMCPATFGERDVSGGTEPVGGPLREVLGVIEMTYEGMEVPEYLRDREYGSG MVASFTRGKGEVFCAGTCEWVVGLIKRDVFTEIITNNVLMRFSGRQKPTE
SAPIO_CDS6569	MAPPTTNQTILVSESDNEKGIISDTAEATHHESNIHAAAESGFV ATDRYGVSLVQFDKAAERRLTWKIDLMVIPTVALIYLFCFIDRANIGTYDYNQLLSIF YISYILFEIPSNIVCKWMGPGWFLPILALGFGIASIGTAFVNDLPQACGVRFVLGIFE SGMMPGTSYYLSRWYRRSELAFRLSLYVVMVPLAGAFGGFLASAILRLPKFGSLTEWR MIFAIEGIITIGIALIAFITITDRPETARWLTQEEKDLAIARVKSERVAQTALLDKYD NKKAWLGIWNPVVLATSWLFLLCNITVQGLAFFLPTIVSTIYPTHTVVQKQLATVPPY IVGAFFTLLLPALSWITDKRQIWMILSAPMVMSGFLMFLASTDGKVRYAATFLIASST FAMGPLTNAQVSAQVISDTSRSMSLATNMMFGNVGGLISTWSYIKWDAPDYKIGNGLN LGAASGILVCGILTSLWMNWDNRRREKRDVDQELSGLSQAEIENLEWKHPAWRWAP
SAPIO_CDS6570	MPTSQFTVEEKYTYLNGLGSYHETEAVEGALPVGQNSPQKVGLG LYAEKLSGTAFTAPRNENQQTWLYRILPSAAQRAFRPSAAELSGYPANGKPDYIQVPN QLRWRPFEASEDLDFTSGLRLVAGSGDPTTKTGLAIYIYGAGKHMPPKQAFYSADGDF LIVAQQGTLDIQTELGRLLVRPGEIAVIPRGIRYRVDLPEGPSRGYVLELFQGHFQLP ELGPIGSNGLANARDFQAPVAAYEDDQSDWVITVKFGGRLFDAAQDHSPFDVVAWHGN YYPYKYDLGRFNTIGSISYDHPDPSIFTVLTAPSPIVGTAVADFVIFPPRWLVAEGTF RPPWYHRNTMSEFMGLIAGDYDAKAGGQDGFQPGGASLHNVMAAHGPDEGTFEAASNA ELAPQKVGAGSMAFMFESSLMLGVTDWSLVRCQRVQEEKRPEGESRGSPPRPTAPSDA ISTTQVAQEAKSPSVNNDSGFTPPGSSNYFFNLAEELHVSSESTTVAAQTPRRSHPPS FVKILRKVHDWRLDNGTGDLARFIGLQSCLNILKVYGEEVGVQYPFLDLDTIRDDLKA VSQDATLDPSPMIGHREHILNLLLAILAVLEDLSISELTDAFTQKSRQHATCKSQMET VDEDTIQLLMLISIYYFMTDRESLAWRCIGGVLRILQELGYHNSNSLHRFNTAQERTR AEKILWSAYILDRRWSFGTGLPFAISESDIDHDVDTWDDSLCSAYVRAMVAYCRIATE CRSSILGQGSSSTARDFSDFRVVEWRRNLPYFLRFNGNRAEFNPATEPRSQYRLRLLL YLRANQMRIVIRRHSAFQSGPRDVDTSSMQTVIEVACDTIRVLVTMARTSDVYQAQQK TFNHFLESALSSILLFVGHRKDASEPSCSEELHMAMGLVRGLAAKSWMMRKLCDKLEK LKVVQAALNSRTQRSTAFTASDSSSPKESRGNQPAVDRRESASDMDVHNMTAGSRSDE VDPLPTAAFTPVSDSLSTSRHGDSETIQFAGRDDDINLLDIDPEPTYTAVMRTPQSGR AELAPMTNDSGFQRITEASYPSQPPLTMESSPSFGESGVIVRSAAKDQVGNLDTFPYI FFADMQDFLNVQDNIFTF
SAPIO_CDS6571	MITDITDVTAMIAGIGDVPYQVIGVAAIAATFVLIKLLDSTDIP KIKNLPSIPGVPIFGNLLQLGTDHARVAAKWAEQYGPVFQTRLGTKGFTIGTSPWDES CKRRRKAAGTALNRPAVQSYMPILDLESTVSIKELLGDSKNGTCDIDPTPYFARFALN TSLTLNYGFRIDGNIDSALLREITYVEREISTLRSTSNNWQDYVPLLRIWGAQNSTAK EFRARRDKYLSDMLADLKDRIAKGTDKPCITGNILKDPNAKLNEAEMKSICLTMVSAG LDTVPVNLILGLAYLSTPDGQKIQERALREIQEVYPNGEAWEKCLIEEKVPYVTALVK ETLRFWTVIAICLPRTSIKDIEYNGSIIPAGTTFFMNAWAADYDETRFPAPSEFRPER FLDDPETGTPHYAYGAGSRMCAGSHLANRELFTAYVRLITAFRITQAKDPKDRPIIDP FECNSCPTALTIDPKPFKICLKARNEPELRKWIAAAEERTKDL
SAPIO_CDS6573	MTATWVPDISPESPFSIANIPFGIITSQDDPTPRAAVAIGDYVL DLKQLSQSDNFAQLFPMLKDHPYVFQEPTLNSFAALGRPIHGQVRKIIQDLLSSETSN PAALRDNEDLRKRALLHQSVVQNHLPLSIGDYTDFYAGYNHAYMVGCMFRGPANALQP NYTHLPVAYHSRSSSVIVSKTPVYRPIGQILLDPTAEKKQPTTAPSRRLDIELELGAL IATGNELGSRVNVNDAEKHIFGYVLLNDWSARDVQMWEYVPLGPFNAKNFASTISPWV VLADALEPFKTKGIENKTELQGYLKESAANNVVELDLEVELTSRSPVPPHINSKDLGS QKTQRCENAFPLTNSMAAIRTFTVVEDAPSHDGCPCASAREGEELDKAYEHDNLMEGV SNYEAPGRPQYGIQIHDTTQKAADKEHLRRLAKTLHEMTNYPRGLDGGDDYRDRLDVY GLPMSDNIPLRTV
SAPIO_CDS6574	MAESKSIQTGTQAHETASSQDGDLQPDEKYSWFRGVFFQATVVG VAAFTAPGLWNAMNSVGAGGQQTPYLVMAGNALLFSLMTITCLTGSIVANRFGIKNTL IFGTTGYVLYSAALYTNNRYGTEWFIYLGSAACGITAGLFWAAEGAIMLSYPEAHTRG KYLAYWLCYRNGGGILGGIINLAFNVHGKAAGKLDWRTYIVFVVLQCLGPAVTFFLSP PEKVRRRNGTRVQLAPRISDLEELKALGRLMIRKEVALLTPLFIYINWSLPYIGSYVS LYFSVRARALASLITGLAQILATLIMGTFLDWKRPSLNNRAKFAYIFMMALIGGCWIW GTIVQKGYSEHKPSLDWVDEGFGRGWALYILWQLITALGINFGLWGLAVVPAYLVVSR LVRVIKAPGHPDQVNSTSRLASKVGKLKIADAPSPYSPADGVTGRHMTAIEDEQIVNT ALITFLIAVTINFPGVNADWSLSRKAFSVSNEAHSSKEKFFEARVDGVLRTHQGLEVK AIAEVKPYLRRQGRYEIRMQEAAQMAAWICTNPPANLNELRANKKMKTTRLLVSQDRH EVYLNFATLGAAYVDYIRGSQSFNKSDAFLHIQEVGPYSIDKAEHMGPLALLIFGFML QECA
SAPIO_CDS6575	MASKISFEEFNFDGQLSTRALGFGWDSRFPLALQAVSSEPANLP DYINALSEFAKSGKVFDLVREHGGAVLIRGLPINNPHDYSDLAHTFGLRAHEEVGRPP LRTVLAPNVKTANEGPPELPIWPHNEYGWSTINPAWLTFSAIVPAEAGGETPINSSIQ LAAEIKRQAPEFYEKLLKKGVRYVYRYGKQDVVSTTGTSVYGAYGQHVLPTDDEESAR KKVEEEVRRHSNDFEWHNDGSLTVTHVTPIIRIHKETGLPAWFGNLTSAWGRSTHHGA TEPPFRGDDGSYHPPPQYGDGEQIEKKHLDLALSIAENSQVLVKWEKGDLVLLDNYAV MHSRSPWKGQRQVLAALWDEDGRIDDFFEGKKILERRPRRPIIANS
SAPIO_CDS6577	MDSKHPAHTFFVRPRLAPVRKLQDDYVSPEYLGANAIECLGLDF TSGTPLDPRTNKPERHAEPFTQLFPFKDMERAILANKPWVSGGWTYDLDGWDTALDNW WHAKKIVDLLSLYLYNHHEADEDIEACGIIDSTGWRQRGVPPEYRLNRQDDAVKWAVI HIWHRETHKPEPHVVCALADRVPLRDDQISVPELRTILTLSGVRALDEGRGNRKRIPV TVVSAAGRQLRIVVGIVDSKNGTIEIREGPIIDFSEGVKKNWKQWITTLCWISG
SAPIO_CDS6578	MAPFEPASKQGMLAYAAPNFYQPHQARQAIRDAHEKKIPPLLFY YAGLSSVPITRFLAPMGYDGVWIDWEHTPCNVETMTDMVHEAIFMSGGRTIPFVRVPG HDHAAIGWALDAGASVVVPQVETVEQAKHVVSSAKFGVRNSNGSRSAPPFRYIPGLTD TPCYPNKEFWECLNEQAAIMIQVESKLGVENLDAILTECPDIDIVWLGSLDARVSMSL PSNHGMGGAEPEWLEVVELYDATMKKHNKPRGGFSFPNPPFGSAEGLKKASETLSLIT VSADVLHLSFLAQDLADCKRIIGESIAEKNGFTAKNGKADA
SAPIO_CDS6579	MVSITSTDNNPQQPLLASGNVSQGQHYNAADNETSASDRPWQPS EPSTFKRSLGVIDAFGIVISIVIGSGVFTSPGAIDTNVPSPGVALVIWVLGGVLAWTG ASTLAELGTAIPGEGGVQPYLQYIFGDVFGFLAAWTWIVAVMPATLAILSIVFVESIY SAIGTTTQSRGLEHKFFSVLVLAVMTGANSISTRASTRLNGFFVLTKFISILAIAVAG LAVIVLQVSDPQRDIGGRDWFQKPWFGNRDTIDPDGHEIRWSTLSSWEILGHLSAALY GALWAYSGWDKAIYVSEELSSPVRQLPLSINLAIPTVILSFLIANAGYYILLPWDVVS TTDSVAVTSIARLLGPTAGIVAAILICLVVAGSLLGNSFVASRMIVAAGKRNWFPRIF TLVGRVGVASPSDETNPDNARDEDGDESDAPVNALILSAILSTLYILLGDFRALLLFN GLGEYSFFFLTVVGVIVLRYREPNLHRPYKPSILIPTIFALVSGFVVARGSIFAPTQA GVLAILWALGLGLYKGWVAS
SAPIO_CDS6580	MLAMVGVLISFNGKPIAKMETGFVTLNAIIAVLSTSSKAMLLYV IANAVSQWNWILFTAVAIDPFAQQLVQIQRGLTYILDTQGVTSIPMATRYSNGNQYGV FSAVTTNDQGRLESISSRVVYSDAEFALQGATMFGISANSSTITQAVNFNCPSGECSF PFTTTLAVCSRCENVTSQLEKNQNSNGDLYFDLIRDRSFAKIERFSTEYRLPNGLFLN NFNDSGSEAKAMVFMSMLGTTDPRKTVSMGDIDTLIWAQSLIKVDGAEYNKTWLAYNV RAEECSLYYCVKKYSSEVHNATLFETSSVLQDEKRIPESWALDRTRWPNVSEAVVESV AFHPIESAIQHTNLQLGHPGSDAAWNISQQAVDGISAFMQKTFAVCVAGANCTTEILD SWGPVNGYLIARYGAAGGEMAEEYEPSVAKAIWSTNDINQTFSNIALSMTNAIRNGGD NSSSATGSLGIFEAIYIVDWRWIALHCFVVVGTLVFLFATIYTTLNEGGGKIPAWKGS ELAVFSRGHVVGDYLGDATTIDELQEKAKNISVVLVDPHQDRPPQGQDHQGAPFLNEP SKQGVWTMQVEPESSSERI
SAPIO_CDS6582	MASNHSSPKAASPDRPGAQPNILPPEHFAQLVAEGEDGDEVAED QSSSTASLTSSILAYRKIQGRTYHGERGDATYWGSIDEAQNEALDINHHVITLLLDRK LYLAPVPKDIQTAVDIGTGTGIWAIWVPPNLQFQIDDCTQDWTFPDNSLDYIHIRWLV GSIADWASLFRQAYRCLKPGGYLESHEPSSGFESDDGTVTESSALAQWGRFFVGGGEK IGRPFTVFDDDSQMKGMEEAGFVNIEKKDFKVSEPIFPTIFTVTMAHIIDSAKAFTAE LRLLRRVLVASAASSGSAVT
SAPIO_CDS6585	MNIAHLPIEVIQKIAGLCPLGDILSLSSTCRTLRNSCHDTFVFQ ESFLHHISEPTSPQVTTKLALRDLIHNSLAANESSQRVIIWARLAAAASRMPLLVDEL DRLLEPYESVPWESTLPWPQQLVEPVVTIASTINTLAVLGYPTISDFTVTAALAGFLT HILNQRFWGHGEPLDPLQTAQLSFCFAIGAIGNRVLNNMKILSTRTAIFLTLGRDKCF LSSQSTGFLAAACMPWLLGSDPAVHNRGDLPYLPALPLLSANGQGNPGNVGLVPLPDG VQSTSSDNRDIPVQEASNMAPLVSSMYSFTQAPSWQSWLRATVDALVDGIGQGEWIGY YTNAINNDREVDAPLRNIHFSTLPDPDDGSRLLLTANDCIDGVGSFRLKGDLSRETGR VRLMKEYYIGHSWYNQGWLTPLGIAGYWASEPDRARGLIWIYKKEWTKRPAPKNARQV WEGILIPENGDNNA
SAPIO_CDS6586	MAAGVSLFILPSTCRGTIFTGIQDYISQAQAALEALVDFVHETP DEVLLATPALLEEPDLQTVQTVQTLRTAADTDGIQVARDRVAKAVNGLNALDAKIQAN LGYAKIELAWGKLSAADLEKISELFRKLLLTLSGIAAFPTILDHFLETDTTLSGETVR ESMESVAQTSTAERKTAHIVHGLRASLDELSLLVRVGLGYFLSTLEVVQTPWFPPYHS QDQPSERQSVELVDLDEAPISLDPGSPTFIEDFTRSLKDLSRRKQAIKELIEHSEQVD VPVEESLGIQHEYCLALYIFYMEDLVADAVSSIVAFAESKVDDGTMSRSRLIYPTFWE WYNHDSETPEEPSVYSDFSQPRDFEEGAKVADSSHLVPVNTWERWGGGLSKITRFLGS DLSMFGLRVAAASLCIGIVAFLQDTQEFFIRQRGVWAMVVVVIGMSPTSGQTLFGFFS RILATLAAIALSFASWYIVVGHTAGVLVFLYLSNVILFYVYVKMPKYFGTAMIAIITL NVAIGYEVNAEKLGHERSESNGQPYYPIYLFGPYKFVAVVVGCVVSFFWVIFPFPITA KSKVPKLMGQNLFNLGRLYSAMHTTIELWINSHRTESQDGGSSIAVYPNAELEAIASK LYKKQLLSLDALKMHSHFATYEPPVGGKFPSRIYESMIGATQQSLSIVALMAHMGRTL SAETSRESISDAVSAVNGTTDHDERTTTAAVLDGL
SAPIO_CDS6587	MATSVPESYASLNYADISVSNVPESSPTVTKVVTVAFNRPKKHN AVTGNMIEELVSAFELLGQDSRVRAIILTGKGRTFCAGADLEIGFSALLPQKQSEEAF NNYRDGGGRVALAIARCPKPTIVAANGSAAGVGFTLMLPATIRVAWEGAKVAAPFSRR GITLESCSAFFLPRLIGLSKAMHISTTGATYPVTDPLVRDLFSKLLPTPEETVAYATQ LAVDIAENTSEVSTKLNRDLLLYCQESPEQAHLIESKAFLSLVGSGDNLEGVKSFMEK RKPNFTGTIAKGKLPFWPWWDDQTQQKPKEKL
SAPIO_CDS6589	MAIGKEGNVKWVDGLRGLASFLVVVTHIARAFDEQLFSPAISEN GPQRFLQLPYIRVLIQGRIGVAIFSMVTGYVCVLKPIKLIRQGNREAALMTLTKSSLR RIPRLILPTTIATCIIWILTQLGAFQVAKHTGAGWTSYTSPRRFDTIGKNLYWLMKQN IRTWVSMQNDYDPNQWTLLPLLKGAMIIYVFIVATCTMKTRYRMMSAVLMWFYYYVSN DSAFGMQFFYGVFLAELQNLPSANEFLTSRPILSRVISTFCMISGFCIASLPEHNVEW AAWSNNMRLLFDFILPKGADYPRFSTAFGLHLISMGLHCSPLLRDLLSNRWFLWLGKQ SFAVYLLHGTLLRTVLCWMVYGFTVLPDHKDDEGKIVPTHFERPSGLRVLVCLPFWLP LNYAAAVVWTTYVDPWCARVTEKMVNFVKDDKEILGSERLLDPTKEGRT
SAPIO_CDS6591	MSTVDIPLLTIAPTTSHTHTVIFLHGRGDNARNFAGSLAGSPDS HGRTLIDAFPSFRWVFPQAPTRECASSPDVWPQWFDVWNVRDLSENENLQVIGLREVV PAICRIVAVEAALLGGAWERVIIAGISMGGATSAHVLFNLNLPPGKSLGAFLGFSCRL PFAGQSLVQMRELHKSGNAPGHNDVLRYTPVLLEHCIDDPLVRIRDGKVLRDALREFG AKVEWKEYQSGGHWFNSPVGEVQLEAAYVFTIVDQCPSTAPLVKSVNYFQISLIQRFQ IQDMTTNSEIPALLNFTEGIGTDWHGVRL
SAPIO_CDS6592	MAAPEIPTEQWAQVLEKTGGPVVYKKIPVQKPGPDEVLINVKYS GVCHTDLHAVMGDWPLSTKIPLVGGHEGAGVVVARGELVDNIEIGDHAGIKWLNGSCL HCTYCRQAHEPLCTKPLLSGYTVDGSFQQYAIAKAAHVARIPKEVSLEAIAPVLCAGI TVYKGLKKSHARPGQTVAIVGAGGGLGNMALQYAKAMGLHTIAIDGGEAKEKACKELG AQAFVDFTKSKDIVADVKAASPDGLGPHAVILLAVSEGPFQQAADYVRPFGTIICIGL PANAYIKAPVFSTVIKMIKIKGSYVGNRQDTEEAIDFFARGLIKCPYKVVGLSELQSV YDMMADMKIVGRYVVDTSR
SAPIO_CDS6593	MRINIHDNLLDQCKKWHEYPVNLLQPSAPSYILRDYRDSLTKDE ERLFDGSSESLVFFELYDGSERHQCASVTNSNELERHLLSGHADPLCRHVFITANDSR DPLNCSRESLCKILTFHQVDPHFLGYMASFGETERPLDYSMTGFHASDTLHIPDDKVL KISRLGRSGKDIQMSYILRSVERSESYEQDLKDKKWPWKIRQMAVHHTFDVKTGRAFW MTVKANDLLQNRIKESPSLFPPISTEPANSAMQFSSMLETQLIFLMWVDENWRHYINY MDEEIHDIMVKAKTAQIEDAEESEEYPRAIVSQMSQRGTMTKQTKGNGGADSGVTSLL GAIRRLFWASERLVCFNHATKIGRSMGVAGRDQGPGKLENGHLIRPWDAEKPRPYRDQ TANHAKQLRNLSVLATFTFGELQRLFYVSERLEEMRLIVSLDKQALRDIREYYDGLMT REDMCDDIKRKCRYQMARFSRKVGEIEKNLEGQFDGIHQYRGVQVSHIFATSGHLQGQ KMEKIAAKTEQETISMHIITFVTLMFLPGTFVAFQVRAGIPSTFSPFSASFRLLCGAN IGPLAIGYHTPLVSAPKIVMPPGSLAGPQATKISTHTSLVERYRQEDLPAMQVKGRDG YGIEADYIPFPALKEYWSQDKVRDVLRHGDGAAQNVMPRQVTQEFLRVFSILVYIGKP DRISLFTTCGIKDEHLPLLALPPAWSNNWQGPALFPEFEQAQWMFCPLEIDFPSLHRR KLDPRVILPVTYDNKLGSWSEGDPTEIWKVKINGGCSNLSRVTTPDGANGADSTVLPT EDLFAVFKTCSADPDAKEQFDIETNAYASLPDKEYGRYREHILVYYGSFIQNGKHTII LEFAEGGTLLQFFEKVPRPTRLEQFEMFWRRMSKLLLALHIIHEMGVENHGILSGIHQ DIHPANIFVFPEPSGDSYDVRFKLGDFGATHVNRLRGRDGGIEKKRYNDGNMNRMYLA PECTPTHGIQMPPRISPTVDVWALGAVFSDALIWSFLGEDGRETYRKQRFEEIKSGSH ELSAKGYDSCFHNGYDRLEAVSTMHDHALKKRLAEDWVSVEVSKLILHNMLQRDKSHA QTGRLDPRDLFRQISDTITHRTPRAGPNSTTRGILKSTRSSVRGSYSSANGDFVDGTN LRQKNPSPESLAQTEGVGIFLIDDAGSMSHHKSKVEQTARVIAYAVKESDPDGMELYF ASDMGECRKYKKSSKIENAIRDHSFRSNRTTSMAKCLAELLKSIPHRTKPGCDPRPVS IYVLTDAVWEEGDPGVDRCIVTEARRLSKEELPPSATMIQFIRFGDRLNRQASERVKY LDDDLVNKFDLGVYDIVDTRHCDGDVSEMLIGSISAVNDEISS
SAPIO_CDS6594	MRFSVIATSAIAAFATHASAAVCRRWKDGVAKAYTVEASGVDDI PGICGGLWDNLKQFGVCAVPSLTDCSGSDGNLSWTFQASAGCNGGMVEATWYDATENR FGSIDCP
SAPIO_CDS6595	MSALAFATPALSASCRRPDNLGSWNYWIEADGVSDIPGICGGLW DNLKQFAACPVGVIAECSDLGNGKLLWQFTVGKGCNSGMVEATWYDATENRFGSIDCP 
SAPIO_CDS6597	MAPATGPGTGTRHLTDRERYRVRVLFFDGHKTRAEIASITGYTP RQITNAIRHETVAPRTGRPPSLTPAQQERLDSFVSESVENSRMTYQKISQTLFDGQVS ESAIRNTLHRLGYRRNGAFNAGARLVRSHPRQTNASTPSGSGEIPPPTAPTDTNAVDE HWYSPFRHDDTYDRNRHRVDEHSGTPLYSPDKHRGI
SAPIO_CDS6598	MSYLVARANKVFDSQPPPDDAQALSVNGSSWLWAVTAVFLFSFL VILALTLRPRYGERVFHYIFSTTILVGAIAYYTMAADKAWLVIPQANNLDNGAARQMF WAKFVYWVVSFPACVLSLGLVSGVTWASITYGVLFSWVWVIAYLGAAATTTNYKWGYY TIGTVAWLILVLGSFTIGRTSAARLGVSGHYLLLAGWVNLIWLLYPIAFGISDGGNVI GITQSFIFYGILDILLIPVLAFATIGLSYQWDYAKMGLHFTRSGRGYEGPYDEKAAAP APTNGTNGAEV
SAPIO_CDS6599	MSYSSLPTAPSEGTVIPPTPEPEPGPESEHKRSRSTSRDRSGDN AWQGSVADLKVNASQGSTVLKISLWIWDIVLALLPLCFIVLAVLALKLDGKEISSFGQ SVIEATRLGPSIYPIVFAAIAGRFFKNIARWKIERSNGINLAALEQFLGSQSFAGTFE RAIILRTHVLIAVITLAAWALSPLGGQSSVRLLSTETKTSMDNQYGIFFLNTMLLSSS AASEEFVDQKRTVINSLYSSSLMLPPAQRQSLVDIWGRPRIPRWDLRNDTDEKRLVDQ DALLRGDLDYASLLGVSVQGLRTLERDDLVYEFSTTSAYFDLDCKMVTPSVHLEDVNK YLPADRFNASSLEEKSPTSITSPFDIKSPYDIKKFMAQLDIPGNFSQKDFMEWRQKKD PEPMFLIYISRARFEKGTTAEKYNSHVAIFNCSMIPVQVETDMHCGGHPISCNPYRQR RAYSHPPSTRDWPPNWSTDDITDALGIWPLATGPTDFARASPTDNYVAGDDFPYLGQD IRVWDDTDMAKFSSRLTTAFNTFWQASFTPFKATNAGLLDTVERNSTTGLLDNGVVET SGMELRTRTVYRANVAWASILLLTTLVLEGLAIASIIFEQVVIAPDVLGYASTMTKDN IYTPMPERGSMLNGTERARLLKDMRVQIADVRPDDEIGYIAFKTVGAGANWSLLIGES GRYGRHEAEERTKPPEAGLGGSNNGAQAVKFHLPQRSYKGTLPIDFATMTVTLGVVSP VGSSPSIDSRDNIPDDHLDIDPVDETLRSSQPICRPEASETANNKDAASSSQANLSDR QPSLAELREAYRNRPVDSLSEAEVETLKRAADYLTITHDYTGAFELYAMLLKYLPFLL NIFRVASSPPLAWKWFARRLREWSKDLEQLILRRVPGPFEVQGEGSDSKMLNPCIRSC LDWCERQITDTKPKVVIKIVSEGFDSAVYGLFTGLWKFWNASPLQGEVWMTQTEDRMG ISSSELLFVLCKCIRWAWIDQR
SAPIO_CDS6600	MTSPSADPSRKPLDQTRADTSSIEKIPFSEAPSTAKENEKAKEE PRLDISDDEENGSRNIPCNPFAFTPVKLHKLSLARSIDSLNALGGLPGLAAGLRTDLE AGLSADEDVLDGRVTIDEAIAASKEGRPPKTEPLSRESSHPHTNLFNLSSSHPSGFAD RIRIFGRNILPRRKQKSFLRLAWIAFNDKLIMLLSVSAIISLALGIYESVSSDEAGSN IEWVDGATVVVAILVIVFASAATDWQKNHKFEKLNERKEQRDVTVVRSGKPQRISIHD VLVGDLMRLEAGDIVAVDGVLVHAAGIQINESSISGESELVHKHAVSEDNPSADPFIL SGTTVSGGYGTYLVTSVGTSSTYGRILMSLRDDVEETPLQQRLGRLAKQLITLGAIAG AIFFLIIFIRFLVNLRHSTKKSAERGMEFLELVILAVTVVVITVPEGLALAVTIALAF ATSRMLRDNNLVRLIRSCEIMGNATCICSDKTGTLTQNDMSVVVGMIGPDGKFSELPN TEKDLSPPKAPGSAASVSGITMAQASLPGSKALTNSLSPAVKELVKDSVALNSTAFES DGVAASEVFIGSSTETALLKFARNHLGMGPLNEERTSSVVVEMVPFDSSRKWMAAVVK LADGRHRLFVKGAAEMVLRMATTIISSPKEGLQAQELTQDLESRVLETVHGYAMDMLR PITIAYKDLDANDKTLDNLDSDELDKFVQDLTFIGIFGIQDPLRPEVVKSVRQCQEAG VFVRMVTGDSFLTAKSIASKCGIYTAGGIAMDGPTFRRLSPSQLDAIIPRLQVLARAS PEDKLTLVSHLKGMGETVAVTGDGTNDALALKAADVGFAMGIQGTETAKEAASIILLD DNFASIVKALSWGRTVNAAVKKFLQFQFTINITAGTLTVLSTLVGDTVFTIVQLLWIN LIMDIFASLGLATDYPSYDFFKLKPEPRKAPIVTITMWKMVIAQAIYQLAVIFTLHYA GPSLFNPSTPEEVESLQTLVFNVYVFMQLFNQHNCRRVDNRINIYYQGVLRNPWFLGV QCLTIAGQMIIVFKGGEAFDTKPLSGAQWGWTILFGILTIPLGMLVRQVPDEWVYAIS QVLKRIVATLFSPFSKLVPARWRKKKEDEELGIESWVLRTGSALLRPVNYRWGGADED DFQHPRILGGTAVTIPSVARKTRTGGPELTSGGAKKAPVDLRASVMASRASKGPVEAG LEEHPDTKMEEVQLFAEGARDATKGPPSQDPRVLKLLNLSM
SAPIO_CDS6601	MAAINTDEKKEAVSKVPSAPSVEAQKFDNRQADQAALYLNSTEH YGPLTDAEHKRVMRKTDWILLPMLFLVATLGAIDKVALGTAALYGLREDNDLVGQQYS WLGSILSLGALVGMFPSSWLIHRFPSAKYLCSCSLGWSACALLMVACKNFAGLAALRF LMGALEAIIVPGISLMLAGFYLKEEQPPRNALVFAAASSVFNGLLSWAVGHISDDAPL AIWQYLFLLVGSISMLWSIIALIWLPSTPMDAFFLTEREKHHMVNRLAANKTGIVNNV WKWAQVWEAVMDPKTWLLFFFNIALNIPNGGLITFGGLIIQGLGYSGVEASLLTMPTG VMSTLSSFVFSLLSAKWKNRRCLVVILACLVPIVGTAVVFALPRSNLAGQMVGLYLLY TYFGPYVVGISLAQANTAGHTKKTVVFAILYVGYAVGNLIGPQTFRANQAPEYVGGTI SMIVCYCVAIGLISVYWALAAWQNRKRTAGMESGSDQEEDVTEAFLDQTDFEQKKFFY TT
SAPIO_CDS6602	MPRRSHPETVEKRGRNACWKCKERRIRCTLERPICSNCARGKHV CKYGRKLLWREEALARGICFGRQGISGRTPEVPIIVHHMSARAFQGKVYFLNITLDDY IEPGCGTGPAELGQNEQAKCTGEGLGEPTSDSEDRDEEIGAVEEDPPFDTTTNGDPVF HSPYPSQGLSMIPSAQNSTDFHLFDFFVSCLCPNLSNSTTENPYWEFVVPLSFSSVPL FHALLAWAGHEASFANQAEKYRYNVASVKYKLRALRGLRNEITAMQGEWLDRQGNSPP DNWASILATIIILSCTDIAEMCSPEWMDHLRAARVLCNLAWPRRPGLPDKFRKFCVMW FVSHDIMSRTAWIRENIFEPSEWFAGDEDTEIDPMIACSRGLLQQVSAIGTLILNLRA RRVTLSEIEEEEEAASFRRRRDAIEEALQALHQRSSTDSDGSESTGELLQVAESKRLC ALIYLYACADGATPASPVIQGLTRRVIGIMGNLTPKPSVIFPLFVVGTMGDEVDSNGS KSTGYSHECMA
SAPIO_CDS6603	MEDSILIAIDRGGTFTDVWASVEGKPDLVFKLLSVDPDHYKDAP TEGVRRVLEHYLGQEIPKSEPLPKKPIRAIRMGTTVATNALLERKGSRHAFLVTKGHR DVLEIGSQQRPDIFALDIRKAAVLYDAVVEIDERVTIEHYDEEPDRINGARKVLQGEV VKGVGGELIRVLERLNEETTRASLQRLKDQGYTTVAVCLAHSFLFPDHEWRVEAIAKE LGFEHVSLSSAVGANMVKMVSRGGTASADAYLTPQTNKYIAGFAAGFEGGNLDGLRCE FMQSDGGLVNYKSFSGMKGILSGPAGGLVGFARTSYDGQTPIVGFDMGGTSTDVSRFG GTFDHVFETTTAGVTIQSPQLDINTVAAGGGSILFWENGLFRVGPESAGAHPGPAAYR KGGPLTITDANLLLGRLLPEYFPKIFGPSEDQPLDIDIVRQKFGKLAEDIQRDTGRTV TPEEVALGFIEVANETMCRPIRSLTEARGFDIDSHNLAVFGGAGGQHACEIAENLGIS RVVMHKYSSLLSAYGMALAEVVQEAQEPCNEVLTPETLPSISERHEKLRQATEAALLE QGVDEAAIKHEKYLNLRYRGSDTTLMILEPADGDWRKEFIAEHLREFSFVLPEDREVL IDDIRVRTIGISRENNKDSEVLERELRADNFTPIEAAKLATETRPIYFKNGGFHQSSV LLLKDITPRNLVTGPAVIIDNTQTIVVAPECKARILSSHVVIDVRAPQIEKSDILTVD PVQLSVFGHRFMSIAEQMGRALQKTCVSLNIKERLDFACAIFSPQGDLVANAPHVPVF LGSMSYAVKGQIELVGDKMRPGDVFVTNHPMSGGTHLPDLTVVTPVFNDSGKEILFFL ASRGHHTDIGGSEGTSMPPNSTELWQEGVAIRSFTMIRDGRFDEDGIAQLFAEPGKRP GCNSTQRLSDNITDLHSFAAANNKGAKLLRKLIEQRGKETVQFYMAAIQSNAEIAIRN FLKRVRTQYPDGKLNSVGYMDNSSRICLEVRIREDGSATFDFAGTTPELHGNMNAPRA LTYSGIIFCLRAMIGSDIPLNQGCLSPIDVIIPEGCFLNPSADAAVCAGNTHTSQRIC DTILQAFEAAAGSQGCMNCVGFFGGESLDESGRTKGFKYAFGETICGGAGAGPTWHGA SAVHTHMTNTRITDVELVEKRYPVLMREFSIRRGSGGKGTFNGGDGIRRVYEALAPLS FSVITERRTTRPYGLRGGEPGAHGSNTWNRKQADGSVRAVNLGQRNMVRMKPGDQLVI DSPSGGGYGAVTAKINGVNGTKANGTNGAAEADIHSKVVRVNDYANWAPEGF
SAPIO_CDS6604	MPTTADITNSSPDKDRPSIEGDCCSRLNYCGRNGSYCGDGCQSD FGVCGDSNISSDGSCGGSRGLTCRGSAEGNCCSARGFCGQTTDYCGDGCQAEFGDCYK SNSTSSRGSSSTSGTQTPSSSSDSEEPSSTQEEQQGASEEDDGSDDKKALKIGLGVGI PVGVLAIVGLAAFLFLRKRRQSGSQGDDETEDAEKKPVSPSHELDAPQKPVELVGNTQ TAVELPADNYRR
SAPIO_CDS6605	MLPLKILLAIPVLSGVLAAPECKCAPGDDCWPSPRAWKALNSTL NGRLIHARPPASVCYPSEPNYDPAACEYVLAKWHLSTFHSEDPISISYPQWADNPCPP IFPNGTSIGGDPDAGTKGCKLGKYPTYVVNATGAEDIATAVKWAAKRNIRLNIKNTGH NHLGRSTAYGSLSIWTHYIRGIEFHDNFKPKSCPSNDTNPQMAATVAAGEVDKNVYAA AHEHGAVVVGGANPGVGLVGWFTGGGHGFLSATYGMGVDNVLEATIVTVDGKVITANA CNNPELFYAIRGGGGGTYGIVTSVTMKAHPEPRTTNWNLMVTLQDSSKEKEWWDLMAF FHSDLRRLKEGGAQGYYYVFPPQAPRGYMLMTAFMLFDKPNGTSTEETAPSFFEAYHG DPENEPVAQNFAMGSHLLPAEALEDVETVSKTLQELSRSDIAGPNLMIGHMVANSANR HLDTALNPAWRDTISHFVVGTGWPDTLPADAAQQIRNYVTFNATAALRKLAPDSGAYF NEMDPYEPDWQYSAFGANYPRLKAIKDRYDPEGVLYCRHCVGSEAWVENSAGKLCRPD WWERD
SAPIO_CDS6606	MSADLRTQILTNAKFIYGPNKQFEVVTALVFLGTYGNIKASQGV LVEVSEDGAYKTYLVGDPAEHLADALESLHGETRKQIYQKLSETLEENAMIESGETVL EGSLNWS
SAPIO_CDS6608	MLYDDSLPEDLDAACSAALMADIACDPLVPALRHDFYYPPATLT RMCTAGCASAIQSWESSVRSACGNNIVIPAEDDLDASPIVIPASRQYIYSFTCLKEND VFCGPVAALTALFTNPGVSVFNYINELPEGAIKPADCDPCLAARLRLRSGSPYFHGPV VASESIYQTLTSSCGITGKPATTSTIDYFTSQPEPTESVCNGAMYQIQGGDDCYSISM AQSVVNKTCKAIATAANITEPQLLAWNPVINPVCSNLDMMNGTTLCIEPPGPKLPPAQ TTDVPPVTPTTAAPIPSNTAIGSDKPCGRWYEVEAGDYCNLVTLKFAISLDDFMFLNT GINSNCSSGWRADAYLLESTVLHKERLEGVFHAGVGGDKYEDVL
SAPIO_CDS6610	MLPRLAFTILTLVADVSLGTVIPRATGACTAPAVRKEWRELTDA EKAEYLRAAVCLRQLPKAKYANIDAALTRLDDLVYTHLALNTEIHFVANFLPWHRWYV QLHEDLLRSECGFTGTQPYWDWTIDSDANNVVNSPVFDPVTGFGGNGKPTGSSEPGFE RCVVDGPFANTNLTLGMGLPYSPEHFDRLHCFTRGFNGGLGTDENGELIIGDMQAGAY GSGVMNTIYAFDTFASMSNMLEGLPHAQIHSIIFGDMGPATSPNEPLFFLHHGNIDRV WAKWQGRNNTRLADYSGFQDAAKTIPASIADTMPVLELSDAIPIVKDYMDIQAGPLCY TYSSI
SAPIO_CDS6613	MVGLLQTATLLLAAVGSVVAVPSSRSKGKPKSVVTHVGSQGAIL SGGVHTKDLLFVSGTTPSVNGTIPEGIEAQTAAVINNIAAILEEAGTSWENALKTTVY LASMDDFSAMNGVYGSLLPNPKPARTTIQAGKLPGNFSIEIEAVVALPRSSRC
SAPIO_CDS6615	MATVQERHPELFRPISSESPRGKKRTVPMQVLCLGFNRTGTSSM CAALEQLGIRCWHSFHLLSTNFGDNEMWQDAINRKFFNAGTPFGRGEFDQLLHGFGGI SSDTPAIAFSEDLITTYPEAKVVLVERDIESWYKSYMHSIIGNMFNPFVRLVYYFDRS YIYPIGRVQETTVEGWLGIKSKKDAELKAKEKYREHYALVRRITPKERLLEFKLSEGW EPLCEFLSKPVPSTPFPHLNDQKWFDEKVSILMKIGITKLLYKISPIIASVLAAVAGC FERVISSGTIRFFVGPNKREFVAHAAVIAGQSQPLHNLVYGNFSEAKPNHAFLESVDE LAFVLLCEYAYTGDYNLEVAPQDPAPNGTNFEEPQAEAPAVEESKDEEHAIEEPIAVN GDDDWGLPTRKTSKKRKSRKRDRLWRGFTSATYLDSSIVSTGPPYDPTECKNPGDALL AHTKVYLLADYYAVPKLVSLSLDKLHQTLCAYDVNGDTIEGIVDLLRLAFEEETPDLL RSMFSLFAACHVEKLWESENFRQLLSTYGDLSKRLVGSMLGRLD
SAPIO_CDS6616	MLFSQILTAALSFSVISASPVGTSSLQAEHGPEVIARGPLASLC HQFADTAGQVRNNVPPIWGGQQDRLGDLLLQVDRGAETARQACLHIDAGF
SAPIO_CDS6617	MADDTTEKSPPGSKPSSPPRNSKSLPSSKPSSPPAAIDPADLSP TGILPAGHWTQQPVEDDGESLLGESVNTSTASLSSSILSYRTLHGRRYHSEVGNVSYW GSNDEQQNESMDINHYALTLGIGDKLFLAPLDKNKVQVHALDIGTGTGIWAIDFADEF PEAEVVGTDVSPIQPRWVPPNLKFEIEDCTRDWTFSPDSFDYVHLRWLTGSIPDWHTL FAEAFNVTKPGGWVESHEPSSIVRSDHATIRDESALGQWPKIFHEGGKIIGRTFKAVE DDLQRKAMEAAGFVDIQEYTYKNPMGDWPKDPVLKEIGNVTMAALGGDIEGYVLFMAS TLGWTREEIQVYIAHVRRELQSRKYYPYYWQKVVWGRKPE
SAPIO_CDS6618	MSNYEYNAALIGTGTIGLSFAALHLMTISASGGKTRVSIYDPRP QLKEYIDETLPGYLSNVWASTAQKASYATAPTVSSLLESGQLVLAETLAAAVKDADLV QEQGPENVAFKQKIWAEIEANAPISALFWTSTSGITASTQGALMLQPERLLVLHPFNP PHIMPLLELVTSTSGADKASTSGEKTEEDVLVQRTLAYWNSRGRQPVILRKEVTGFVA NRLAMALLREAAYLVEQGVASAADIDRIVEQSLGPRWAVHGPFWSYHAGGGAGQGLGA FFDKIGGTVQACWDDAGKVNLGEGDWQERLVKQVEGAYGVLGESQLKDRDDKMKQILD VTMQ
SAPIO_CDS6619	MHAISLTYLTFFLPVPIAVFYALSLAGCTSTSPALPSIYLASVD TSSGLTVRIGYFGACVLLNSTLSCVTTPYGTSPADAGTALGLPASQPLAQGEETRQQD SPLQIAFDLQRKIFLSLIAEAAALFGFSLLLLLLSLYVAKKSGAATENTFGSRFLHRS PTIFLNISTGLALVVAVSITQTGNALEYQEFKFTQMHLRVSQFQLSRFQ
SAPIO_CDS6620	MEEQKHKLLDNDYQKLRYERDDSLSSLEASSFTLPASDKHQMTR RRSTILILYAISGLIFAAFFYLLGLYSPATVSDPYLSKTFGSGHCGNSSEEALKNGCV FDFIPGAWVHPDCYDEELEREFMEHGDWHWYADPEGNEELSEEVMRRTGGPNPTYVSL EYHDSHCAFTWRKLHRAILLGKPIDSQIIGLRGK
SAPIO_CDS6623	MNPPAQFGSIPQSGLPVPQQIPVTQQGAPAHVPPNGTRQLEISN PDYDPLIDTSSSSQKEEPYPTKRTSCLDVNIGEDNWLFECLSLLLSIACIGAIAAVLG TMDNRPLSQWHMPINPNALISIFATVAKAALLYPVAECISQFKWLYFRRYARKTIDMQ RFDDASRGPWGSFCLLWHSKRRDALAVIGCIITILALAIDPFAQQIVSFPSRTVPSDT ATAWIRRAQAYDSGTIVRTGLAKAQQIEPGMQGAILMGIYGKAGDPHFSCPTGNCTFP DLSTLGVCASCEDVTAESKSKCAPGNGASIISTCNVTTPGGFQLSATYGKGSSATSYW TNLNSTAARGNGSVSLATFALMKGPPDKHGAVNDERTVSECSIRFCERTYRAFRVTDG SPDAPSYNFRDINMTSVLSIENAPTATYVGYGTLPATDKPTNSFVINSADFNAISATL IALFTTSRYSDNAQDSSGGLDERGFDISNTLFQSTNLSHLMDNLADRMSDYIRSVTAT DLVFINDAVDDAAAMINATTVTVEGISFREETYVHVSWPWFILPAGVVFAAAVFLLLT IIVNRASRGQIWKSSILPYIYHPLSGEMVAEQNSLEKLGEMSERASRTRARLFRYEDG HLRLAVS
SAPIO_CDS6625	MAEVTVGQVAGIIAFIFVAIQLIAPLVLGLLLAGYLRDSETAST WTKVNQRLVTTLWPALLRYDVSGGSRNLRFIIKILSACFPIIAILLAVAGIVTPLGLG EELNILSSTPGRFEYLRDISPYGHATSERGKARLTRVCTQGFGFMSGPIGCPYVGDVV TVDRDNGSVTYHFPDEGMSPFIPDILREIFSSGTKGKRTTISNYFDIEWRQLSTTSNK IVNNNKTFDAGLYRQLDSFILDNEYKVIEGLVVDAKDGGIGFRNHSIPVGLSRGATWQ EDILFIEPEAACVNTNLTFDFTIDRGSTSSSGISNLRLVDRGGFVNLNTTYPQYDHDN AQSNPDLVARAYKAAYLNNAQTMLVLNVTNQNDEKKGLKAFAYMNSQLGKEFEMPTST LDDYRALGISSEFGQYLHLEFANLSFSSIPDYPNPYNITKDEFDSITTICSGSGSLDI ANITNIYTGCGLLRGAPSRTDGGSPSLLEHGSKWSSPMHACAATVRAIVKTVTFTYNG TDNNGLASLRVDKIEPKKYDDEKDIPLWGFEESGLNVDGIQPIWGLISDDYASHANVS SIRQPYFHLPGYQGGFAPSLTPVMDRNIPGSDFAFLAMNRVFDISDVEWPFDLVGLAS MAIFTRWQKLSTSQDEAAKILNLMWTDISASAVVGTRGVLGPLNNGDEAEAAKVYVRP IGHRVTYNFVYGIPAFILALFLLTLGAFWLVSLCLGKASLEKLRRRLRQVSPGRIYTT FLYPETSTLIMPSKDWQVSNGGKLVVVSANASGAGRPFAAATGYAAQVPLMQPGIPMS PYGEQKPPL
SAPIO_CDS6626	MPLSHCAPRDNEATIGQAPFKATAADLVRFSKQCDSQWMLVSSN LDPNASEEIQECLQAISSLIKECAPVALELLQRFDKLDQIKNHKASGLGAMKSRLDRM MMVWKRDEITSRIDQLSACQSQLSLRLLQYLTLRFDMANTSLEQRYSKIVEVLTISED RVRTIEEQNQSISRSLEASQLQAEDYHEQTIAALLTREDGEVKFIRPKDPQKAHIYNG PQKLLTLRTEPGSAPPAPTIQDFKPMQERILRYLYHEELSHRYESVNETHAKTYEWIF QKPTTQSPQVRWSPFPQWLEKGSGCYWINGKAGSGKSTLAKFICEDPRTGAALKKWAK SANRELCYASARLEKRHGEVWKEIVDQIGEKSCGVFLWVVLVVRSVLVGLQNWDSLDE ILQRLDELPSDLKDLYAHMLKRMPLSYRQQASELFQIAVHFLDVQPDELMTPLQLHFA TAINDIMDILKWPIEYLCESDQEKAVDSIEGRLRSRCCGLLEVRQMKFHMVQGFSSSI LREPHVHFIHRTAVEFLHLPGIWSDILSLTAETDFNSSVSLFRSCLLLCKAQPSELRI RPADNLVWHYMTCAMEYASLAEDASSPVSSILLDELDRVIRHHWEAASRFEFGDLSCE TKGHWAQGFSMTAYSDLPQSLQLLEARSISMGLALEDSTRPFGFHTLAVLHSLHNFLR ERPPPTDNSHGASPSTSTRLLTDALNYLFYKKTWTGQRSKAIIQRYADICTLLLSQGA DPNATFPHARKGPWGLMLEFGLKGCDDVGAFRGPYRTKGSSFVYSRLLVSFIQAGADP HHLVNSSSTSQFGRQGLAYSIQQIIDILFAQNINGPRHLQMQEEPDTEMKSFHGYLSG LIQQSISTYQPTSEQQSTTKPYRSKRASFLRRNTKSTNDVSMSGSTSSSMKKFGQLLA PWKRNSDSSSQRSLSDIDENGL
SAPIO_CDS6627	MAAPVDSKMLTAEANVLPTVMLNPNAANTRTRPARNVHSMCAVV HGVFAVIQSPSGQHGSDVRDLVIGYWESWNLLSRGCAQRDLSHIRVDSLTHINVAFGF ITPETYEIHPIRGASIAGFQSVTNLKQHAPGLKVWLALGGWTFSDNGTDTQPVFGDLS STPEKRQIFIDRLMTFMLEWGFDGVDLDWEYPGAPDRGGQDRDVQNFVYLMKDIHDRF ALDGRGWGVSFTAPTSFWYMRWFDIGNLHPYVDWINLMTYDIYQKDQWVSYDDEHTIQ KKVEFANDLGLRGLFIWAIDQDTYENSLLDAVLQPDGLGKFRDVNGAVGDGQDWRTVD LDGSCFWSNCDGSCPPGTNIITTVRCPWFPKSSVNKPYQHLCCPMNNSPDPESCRWSA PTLFGGLECEQTNSCRVGEFRIATSQYYKNSEGKDRACSFVGEADYCCEIEEGGTKLC EWDEGNCIDIDMSTRKPAGSDPCGPGKKFATFRKGRKCRSGYEALCCEGDVDMSSCVW RANPFDGCNPDCYGNEVSFGRHEMGGGIECRQKAPAGLSPPNLQADLIFWPQLCCDAD DLRVKIRDLLVPLENLFPDHDEILESDKQTFAIQVDESMGGKRRPSGSDDPDANSFGW HIMSGPEEDISSLDKRDGSHWEVFDCDEEAHEGRQTAKIVCTDESDESNCGVIFKGGV PDTVVEMPDHCGPGKYAMAVSLDLISEDTPVTIPKSLKRRLSKRGVIQPRVYNLTFDY GFHRLQGRRDKRIKLRIDYSDNPGYWSEIVAAAPGSKKSKREIEHEVKTMHSASWERY LDHTWRVERRSTPEHEMDELHKRWFSVAVADWVNRQKQVDLDYDLVRHGVHENVRLIL FEQEKECPGVDVYAKIWADLNINVETAAVVTLIGDLTDLASFEQSHATFRNKGDIEVS LNFQADAELRFSTGTKELFGVAALGASFKIPGIVTIGPQFRLLSQLNGRATLNANARV SFDVAKWDFMQQYPAPSTWTRPFNPDVDDEPVIEDAGSGLAVSGKPQFTYNVHAEGLV EAVVTPVITFGIVFDAALGVPNSAIDVGVDIKGRIYASGGSSTELAWEYCYGAEAEYA VFGQVSAPTLFGVSISRRWDLKSQEFDLVPRTCAAADDE
SAPIO_CDS6628	MVRGFLFALSILLAVRPAVGAVNIANITPPLVGLSSTCIQVLNQ PLNCDPLLLEIDPQVYENDTTLSTVCTSACTAALDQYRRRVTGACGASRYSASDGWSY HVLYAFQLIFEKYEAVCLQDGGGRRCNAVIRDALHIDPDTQKSTANPDPTALCDDCFL SSVRLRLQQPLASDEAVSTFFDSLTSTCGVTTISITTPATTTWAIPGTEVPGPTPTCQ GTTYTIQPGDTCQSISLGEGISTAQLLIANSLPAYCSGFPTEGSICIPDALVCQPYQL TTGAQCRDVASANEISWTQVVSWNREVGTYCENINKLSSRGFVLCVSNPGGSWEHPEP EEEPTMPSPKTTAEPIPNTLPFSTVASLPTPARLETLPNLGYLDPLANGTLDDCEQYA SGPVYNYEVDSFNPEVIYSCEGVAAAYDISLSDFLEWNPDLLETPGVIDECELQDGFR YCVQRVRPKSSGMTGYCVRETYVEPGWSCAELLFWTDISIARFAAWNPAVGPNCGNFK TGLTYCTDVRHFRPPGQISTCIRWAMANTTDPADDPCGQFEDNYGIPLARFVAWNPTV LSNCSGIELHYDYCVATPTWPPPS
SAPIO_CDS6629	MDVYPRADGEGQEAVYESYFEELQQEFDDQSVLCLVRVAVQFAT GQSTLEQYLDDILAHLRKDGPRHAYDVPSPFGEFYLELDLIGGAVKARMFTPGFVPLS EQEWGILRKAAHLAYTTGNPVEFDRKSQDESLELSRLSPESVDILAIICYARRHVKLL PHLLGMFPAVPESTTFDAFDTVVLEPRLNPYLGRWGHSKMGRMEYITIEAQLWTAILN AGWIHDAAIQEIGYRLHRELYPSCRAGEDGIPFGTPVFFDWIQAVANRLRPYVDFVGT LLICCRTLEEARDVVAVFPLDKIYNLDNMRKEREAGSPLVRSGDIPVLIAESNVQDEA LKIDILQLVLDWYQDVDLNGTMDRWEECSHMTYFTALHRAAQRGDEALARFLVEKGAR VDKVERLSGLTASGFARREGHEALAVWLENQPTAHDG
SAPIO_CDS6630	MTNARRFCWLYAINVLLLSLLFGHASAFAISNLGSSPTSELEIG IPLSPAHHILGPRYLWSTSASDEFNKRALAVAPRFAQEGGVARWPDPVANISEIERRH LRPRVNEPPEEWRTLGPAFKMANCIFCTDKGRGAVDMDSAESILNFLKPETFRRYIKG DETALRHTCVFYTKSVSHGDGLSYDATEWACSSGRTRYTIWHLFPNKHDSLSHPGVND FYAVYNKDAWLKAIYLKNLEWEAGGRVGVGPATRYFQQMSTAMAQACSGDIYIMSETP AELRRYDPTHATSLGRPGNIFWSHEAPTLARKRTGTRLIAVDVRTLKMWQITSTRTWT LGEELTDELGPGMGRMGPEWNATVPTVLTEPQLRARDMCQFSGLGSQPLGEDWFG
SAPIO_CDS6631	MKVSCFVLTTAFWAVLARAEWMVDPPTTADPNTPEDCTWWHIAE ASDTCSSISDDYFITEPSFVSYNPSLSSSCDLIQGNSYCVERNWGIPPEEPEPSTTSS AAPSTTTEPGTGVETPSPIQEGMTEDCNDFYFVKAGDSCAMIASSHHISLSDFYDWNP AVKPDCTGLWANVYVCVGTIGGSTPSPTPTSTGPPGNGIETPTPIQEGMTDECEDFYL VQPGDGCAAIASTHGISLSDFYEWNPAVGSDCVGLWANVYVCVGNIGGSPPPTSTVSS TTTTTPGNGITTPTPTQPGMVDDCDTFHFVESGQGCALIAGQYGISLSDFYTWNPTVG ENCGGLWANVYVCVSVIGGSPPEPTPTQPGNGITTPTPVLPGMVDDCDVFHKVEAGDG CWDLATAAGISLDDFYAWNPQAAPNCAGLWVGYYVCLSRL
SAPIO_CDS6632	MDLGLIGRLIGNLAVADNDTLGEEDDTDQSTSDESDCEDFSFLS NGDAPTETITIRPSTTLSDLRDLVAQRTGVRSGDQHHFLHDREITRLRDPTTEHTVSN GDVITLRSGLGDATTSAISRRRGNEFERYQEQQFTLAFETKECKRGHVLMMSWAETDL PAKDIKAEEDSIWQAFGGSGYDIEGFKIPSGQSQLRVQQRVRKFVRKAGPDSLLILVY SGHGGSDHSLGLVFSGYGSSDDYRRRPAFDGREASPSIFTWASIRDVIMEAGGNVLLI FDCCHSGAATSGLDFGAASFECKTGVKHLISACAWESTCISGMIPAMCDVFRWHRDAD AAVPLKTLELVTLTQKLIETRNHQKRLTFWNQGSSGLMSQISCHQLQPLHPAKDIYLP SKFN
SAPIO_CDS6633	MQAPVVVMNTQSGERQTGRKAQLSNITAAKIVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAMALPELERNIHPVMIIQAFKRALTDALEIVDDISLPIDINNDKAMYRLINSSIG TKFVSRWSQLMCDLALKAVRTVTWEVGNGKKEVDIKRYARIEKVPGGEIEDSRVLDGV MLNKDITHPKMRRRIENPRIVLLDCPLEYKKGESQTNIEISKEEDWNRILQIEEEQVK AMCDAILALKPDLVITEKGVSDLAQHYLVKANVTALRRVRKTDNNRIARATGATIVNR VDDLQESDVGTGCGLFEIEKIGDEYFTFLTKCKDPKACTILLRGPSKDVLNEIERNLH DAMGVARNVMFHPSLAPGGGATEMAVSVRLRELSKGIEGVQQWPYRAVAEALEVIPRT LIQNAGKSPVRVLTDLRAKHAEGHHTWGINGDSGTIADMKEYGVWEPEAIKLQSLKTA IEAACLLLRVDDICSAKKVQHAGPTGGGGDE
SAPIO_CDS6635	MAKPRLIIVIRHAQSEGNKNRDIHQTIPDHRVKLTPLGWQQAHE AGRRLRSMLKPTDTLHFFTSPYRRTRETTEGILETLTGEDESEEPSPWKRENIKVYEE PRLREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRISGFNESLWRQ FGEDDFASVCVLVTHGLMSRVFLMKWYHFTVEHFEDLRNINHCEFLIMRKIEETGKYL LENKLRTWSELRRERLEQLESGTQANKDAAKLTRTKTFVSLRRWGGCPHGCDHRREYK RREDLETLRLRDEAGANGANGTNGTNGHSNGTNGNTSGNSSSTLMTISTRRHITKQQR SVQIQDSTTTVASGPQIDITKALEELDSSSPDATPSFISAEDRLRSLRSPHLHIGRDG GGTYSGHASLSGSDDELSADEEGRRPGSSGLGSLAARIHSTSHSSKVRIRHVDAEADD DEADRKKRVYLDESGMGRGAMANRLGDHSHSSSDVGSDVGSPDTRPGDALASPLNEDE LTRLEKEEKSVQGSVY
SAPIO_CDS6636	MSLTVDLETPVTGPYKQPIGLFINNEFVEGVKKQKFEVINPSTE EPICSVCEATAEDVDVAVKAARAAFNGVWSETTPHERGRLLLKLADLLEANQDLLAAV ESLDNGKSITNARGDVGAVVGTIRYYGGWADKVEGKTIDVAPDMLNYTRQEPIGVCGQ IIPWNFPLLMLAWKIGPALATGNTVVMKTAEQTPLSALVFAQFVKEAGFPPGVLNIIS GFGKTAGAAIASHMDINKVAFTGSTAVGRTIMKAAADSNLKKVTLELGGKSPNIIFDD ADIEHAIEWVNFGIYYNHGQCCCAGTRIFVQEGVYDKFLAAFKARAERTTVGNPFDET IFQGPQVSQLQFDRIMGYIKSGKEEGATVETGGERLGDKGYFIKPTIFSNVRPDMKIM REEIFGPVCAIAKFKDAEEVIHLANDTSYGLAAAVHTKNITTAIKVSNALKAGTVWIN CYNILSYQVPFGGFKESGIGRELGEAALANYTETKSITVHLGKPFPH
SAPIO_CDS6637	MTDDVVTTAATGSEGNATQNPSVPGPAPMAPSTGSDEQMPAAHI FDPASADRLLQSLVHASAELQAVPSSNIPVENPTSNMTTQTTQSTTSPAAPATSLSQQ PKMGTDANQSSNIPESILKAITANTQTTKTTTTATPLTNPPAPIPVQPAMNPPNLPIA TSPTKRPASLESEAPAAKRIKIEPIDDTQAILPETLASLANATPVSVPSAATSTQSAP APVSTPTAAPIAAQAPATTSVTPIASIEKSPSVKLEPGKETATPEPVKETTTPTPQTR APAHPRKRRRMLEAPAQALRGMNMQLLGITAVQVLEWLSQEPFEESIDALRDLDSDFY ASYSFKVTQFEQAWRNFSQTDDDLLVWSKLGLDDQKHRKTVRLANLARFGIDLFSEDE TYLPTLHERFFQVFVYGTRKFTFDHSNILLELKTQLALSLLAENTSGKTPADLLHEVF TEGLESVLKERSWNQANFDEELGMLTSAEIRMSLLLQEYEESGNNAQVLVDRYPPDSL LKQLGSYIHNELVAHADHCQTLAVSARAVGKQQTTIPAHVALQDDDDYWKKTNSLIDG VGESIMAEIKGLKPASEEKPTDAVPGAVSTSQGTDNQPSVPSGTPQPQAAAAPASSAE SIPALSEEMQKRIGAILGPLFDYMNQRIASREAELAQAALPATPVPNLAHHDTTAQNL YLLKAAQMPQAQQGVYGQQIPGAAQGATPAGPPLVPMPGHAQGHVPVHPLPHAGLGQV HNHITGPAAGRASGAAAPGELPPNQTCPTSILYDKARQAALSKSTAHTRREGIHSTRR PWTPEEEKALMTGLDMVKGPHWSQILSLFGPNGTISDVLKDRTQVQLKDKARNLKLFF LKTQSEMPYYLSAVTGELKTRAPNQAARKEAEERARLSREEDQAKAQGLLSLSSSLQN SPQLANHGQAGAASLARKQTPGVVTPAQAALAAQITTPAMAQGQAQSAAAQRPIQASP VVSNVTQAAIPASAPTVTPSPRLASAPNPLAHLQASTLQAGGTTPGSAVNRATTTSTS PAPPTPAQVIATPATAQRPPQASHLAPSPSVHAQVGGQRPIALPQARPQVSTQGQPQT YTPATATPASTQPSVPTASTSAIAAASTATAPATSAPTPTPPAATPTTQPKMPTLPLS VTSLPNFPTTSTSAHHSALHSLAASRISTPPVTLSQSFPTSTIPANLFSRVTEAEATN AALLERLRAEVAKEARSG
SAPIO_CDS6638	MAWFGRRAAPKEYSMPSKKKQGRTPQPSRCSWTNNGWTCKYPRA LVLIHETRVQCQFCRFHQCKKNGEGAPCGVPTVGGFPFCQNHTRCTASTSGTRCSNYV KDMSANKFKFCAQLHNCNKEDCNKERVIYNEVDLKYCAEHRCIVANCKQERGLNATYC RKHTCDGANCTAFAEGIGEPGSWTRYCDRHRVCMSDGCSRLCHIREDGIASKRCGLHY CRVAGCDNERRGDQNCEQHSCIELGCLKARHEAESLYCKSHECKASGCFFKRRKGDWC PEHMCVKPNCDQGAEANGYCKRHQLCTVVGCERYRAVIGDKILEKCDDHSAVICTFEG CKQKASPTKLFCTTHLCTIRECTNSVCSPSSAFCMAHKCAMPHCTAAKWSILPHAPIT AAYAAMTVAPSPYCVQHACHYPSCIERAEPETQRCRDHSKCQRDGCTRLAERASNSLY CAEHRVRPGREEPHLMFPRGARLSGRHWA
SAPIO_CDS6640	MDYSRQQFFGGPQPYQFMSMPPLTPSNSHSAGSDDFNTTSPPEV FDPFPSEQQQYQAAFDGAFPTQFNQTTTFPGPPTPPSNNAITTQQVAQPLLNGAAQVK QHTLDGLSIAKVESSGDEQRNAQQAYNQSRRGQSNSDEDDLTPAQSRRKAQNRAAQRA FRERKERHVKDLEQRLANLEESQQIAVAENERLKQDLQKISTENEILRATSGLQNGHS NSSLSPEPTTTGPMHYNPTDFYSNVLSNHANKTPSHRIVTSDDGERLLAVGAAWDLII SHDLYKRGLVDIGDVSERIKNQAKCDGQGPVFSERDIIKAIESSVASGSDELL
SAPIO_CDS6641	MASQRPTSAAGGGKTYFEQQRELLIGEIAMSFEQVLANINKLNR SLESVITVGNEFSSVEALWSQFEGVMGKEEESGGGEDTVKEERGAKREEEETEITGHG DEEEERSDR
SAPIO_CDS6642	MASSSSYNYQSSYYSPQPLNEKADNYRESSGRREQRYRASEGTI STTMSSSTGRESSGTHMTEAPTYSKKIVVVGDGGCGKTCLLISYSQGYFPEKYVPTVF ENYITYPIHPPTGKIVELALWDTAGQEEYDRLRPLSYPETDLIFVCFAIDCPNSLDNV LDKWYPEVLHFCPYTPLILVGLKSDLRYKKTCIDMLKTQGLTPVTTEQGLAVSKKMGA QYMECSSKEMRGVDEIFEKAILTVVANDRRNLEAQMAAASSSGGNTNFVVPVKRKKRS CKIL
SAPIO_CDS6643	MAQNAPSEYITLVSSDGFEFVVLREAAMISTVIKSMIDPRSGFK EAITGVCRFQEISGPVMEKAVEYFHYWYRNKDSSEGVQDMDIPVEFCLELLVAADFLG LDT
SAPIO_CDS6644	MTIQLIDTQGVRVAIEGCGHGQLHAIYASIDESCKARGWDGVDV VLIGGDFQAVRNAADLNSMAVPVKYRELGDFPEYYSGRSKAPYLTLFIGGNHEAASHL WELYYGGWVAPNIFYLGPANVLRVGPLRIAGMTGIWKGFDYKKTHHERLPFSGDDVRS FYHVREVDVRKLLLLRTQVDIGMSHDWPRLIERHGDEKRLFRMKRDFEKESYDGTLGN PAATYVLDRLRPPYWFSAHMHCKFSALKRFPPPSGGQVDDAPSAETPAEPVTPAPALV QAAEPQANPDEIDLDMDDDAALAAPSTEEAAATTTTEATSSGVADHLRAQLPASFAKP EKKEKSTPGQPVPPGITNRETRFLALDKCLPGRHFLQLCEVHPHDPAESAKHPPSSES PRYRLQYDPEWLAITRVFSKDLIIGDAAASYPPDLGEAHYAPLIDAELAWVNENIVAK DKLDVPDNFVITAPPHEEWQPHAVPEQADEYTNPQTAAFCELIEVPNLWDASEVEREQ RRMEGPAQTQWRGGRGGRGGGGGGGGGGRFDRGRGGRGGRRGGGGWGRGRGGGRGGGW GGGGY
SAPIO_CDS6645	MTNPGDIYGTMATSADVDDISTRNDGNCNIPILYLGQHDSIRQQ PLGDLAYGHLLNLGFTFVTAPITNGNYHNRVLDLAKDHLASIEQQGVSVNTTHTPSIP DPVVPPITAEDTGLYPTLSSKSYTAYCSPWIDICSPSPVISSISRQVLNQEVNYANFC GVRTVIIPGPRQDATTPVSSDGLARYSRAIKEALNVAPRLNFVIHIPMYREPGLEENI SSLTATLSRTFKLGTEDSSKAGPIDLFSAWDSWDQIRSFCEYSLRLFVGIRLPKILPE RALQTRWFAEPVHLISISKDSFQLNKSKFPCLSRDHQDLIHEFYTLKNTPWVMLCDVG PDAATLAKASITDGEFDLKVPGEADFPSLSEAHSSRSVKTGGSKPAVSDYVKYMRWIE GTQEPLSDLEYNTLSSFQDWLQSPLQPLSDNLESATYEVFEGDPVKYNQYEAATMEAL RWWKKTNRKTSSASGAVVVAVAGSGRGPLVDRALKASRATGVPVEVWAIEKNPNAYVY LLRRNVLEWSGKVTVVRTDMRSWKGPLLSKLDEAPEKYGQVDILISELLGSFADNELS PECLDGIQHVLAPDAISIPSSYTAHLSPIATPRIYSDLLSRATADKTTFDTPWVVRLF QIDFAAVRGVPGHPLFQEMWEFSHPMPKATWDQMIARGKSGLIGGVGGSMEGSVGANE HNARFSRVKFVCLNRGVIHGLAGYFESTLFECKDGDDKVKVEISTHPERIDQKSKDMI SWFPIFFPLKRPLHYPDDSEIEVSMWRQTDDKSVWYEWMVEAYCWTGQNKRLKLDSSD LCSSRSVACKML
SAPIO_CDS6646	MGDNSDTKGTKRRSAESSEDSRKKTKMGGVSLKTGGEQYNPYLA HMAADGASNGDGDVPANSPFAGFKRRATTSQQAEKVEDMDVNPFTNKPHTTQYFNILQ TRRDLPVHKQRQEFLDKYHSSQILVFVGETGSGKTTQIPQYVVYDELPQLNKKLIACT QPRRVAAMSVASRVADEMDVVLGEEVGYSIRFEDMTSSKTILKYMTDGMLLREAMHDH EMSRYSCIILDEAHERTLATDILMALLKQIAIRRPELKIIIMSATLDAQKFQKYFHDA PLLAVPGRTHPVEIFYTPEPERDYVEAAIRTVLQIHGSEPDGDILLFLTGEEEIEDAC RKISIEADEMIREMGCGPLAVYPLYGSLPPYQQQKIFDKPPPPLRKGGRPGRKCIVAT NIAETSLTIDGIVYVVDPGFSKQKIYNPRSRVESLLVSPISKASAQQRAGRAGRTKPG KCFRLYTEAAFKKELIEQTYPEILRSNLANTVLELKKLGVDDLVHFDLMDPPAPETMM RALEELNYLACLDDEGELTTLGSMASEFPLDPALAVMLISSPEFYCSNEILSITSLLS VPQIFMRPANNRKRADDMKALFAHRDGDHLTLLNAYHAFKGQANNPEADVKKWCHEHF LSYRHLSSADNVRTQLKRIMEIQNLELVSTDFGDPDYYTNIRRCLLTGFFMQVAMRNP AAKVYCTIKDNQVVRLHPSTVLKMDPDWVVYHEFVLTSQQYIRTCTYIKPEWLLEIAP VYYDIDTFEPGEVRSALMRVVARRKRREEIARNPR
SAPIO_CDS6647	MATSLSTGGGFYKYRCKYFYTKSCQNWVYVNGEACMQCLQHHGK RILEEDHEANPFRRLTAVMPMSMKTMT
SAPIO_CDS6648	MTAPRVYSDRAIREIAIPPPPGSAHAVPVAGTERADRTPIYRHW RFRDGPLLTTYDPSIQSTYDLFQDAVRKFPNSRCLGTRPWNAQTKTWENKYVWQTYSD VNKRSKNLGAGLVEIHKKIGITADKFGVGLWSQNRAEWQIADFGIVSQSLYTVSLYET LGPDTTEYIMNHAELTSVLCSLPHIPTLLKLAPRIPTLKIIVCLDSMEAGEQSGHTKL EVLNSIAAQSGIQVYSLAGVEALGAESGRQPVPPRREDIATINYTSGTTGVPKGVVLT HGNAVAAISGARSAGTVGPKDVHISYLPLAHIYGRMVDMTALAEGACVGYFHGDIAGL VDDMKLLGPTGLMSVPRLFNKFNSALRTATVEAEGVKGALSRHVINTKKANMRKPAGS ASNTHLLYDRIWTPKVRAAVGLQRCHSMVSGSAMLDPDVHEFLRAAFGNSFAQGYGLT ESYAVASVQLRQDFTIGNVGPPAPCVEVCLESVPDLEYSVNDKPCPRGELLLRGPCIF REYYKNDEETKKALDADGWFHTGDIAEIDSLGRIKIVDRKKNVLKLSQGEYISPERIE NVYTGSTTLVATAYVHGDPTQSTLVAIFGVDPAIFAPWASNILKKPVDAADVEGLRAA GADPAVKKAFLKILDGIGRRHKFNSFERVRNCLLLVDPFTVDNELLTPTLKLKRAPVA KAFKAEISRMYEEIAADPALTAKAKL
SAPIO_CDS6649	MIITQRRAWRLLALLTVILAAATFIFTFVPRVLAFAQLFGVFGE HSGTKITQVEIATRGADGREPVVPRILHQIFHNWRDPNDDRLPEDWEEAHQTCVLLNP EWEHKLWTSRNSRKFIEEQYPWFLPTYDGYRYPIQRVDALKYFILRHYGGIYIDLDNG CAESLDPLRYYPAFTTDGGMGALSNNILGGQPEHPWFHLLTNNLIPYDWNWLLPYVVV MYCTGQWYVTAIFEKYHSLLAADGTVRGFDGVGWAPLHHILMDGRPGADPWVFFTQSS LPVLLCKRTWEGRDAQLVPPGLLHSHPQSPSTHS
SAPIO_CDS6650	MSNVNYGTAAEGVSGAPPAVHSINGSTAASAGKQPAHDHNDEGF QPQPAMEVVPPRQQDLQRSYATIVDSDANPKGWYGSMINGLGVCIGAIGAVPCCPFPN PYKTVGQGHVGLVTKFGKFYKAVDPGLVKVNPLSERLIQVDVKIQIAEVPQQTCMTKD NVTLHLNSVIYYHIISPHKAAFGISNVRQALIERTQTTLRHVVGARVLQDVIERREEI ANSISEIIDTIATGWGVKVESMLIKDIIFSSELQESLSMAAQSKRIGESKIIAAQAEV EAAKLMRQAADILSSAPAMQIRYLEAMQSMAKSANSKVIFLPAANQTMPNSTVFNKDG EASSVADFAHDFSTTNPGLQQAINARIVEHI
SAPIO_CDS6651	MVEQVPCQVQDGGGLGSPASRRRDATSSDIQLPHREVPFSSRPP QTESWAEGPGQLTPSMSTWRSASPSLPAPALGSEGSPPPKQIEINPRNPRCILVKSPT LNQGDIQLHPPGGPDAMDSSEVMEENSDLLDHDAGNAYEVDEDVDLEGQEYEAGEYEV GDESTQDADEDVDEELEEEDEGLVFDPHPPGLKEISNLGKFTVSTHKQDNGVEELRSD DLTKFWQSDGTQPHNLTVYFVKKVGIREIRFYVDYDQDESYTPTRIIFRSGTSENNMI KFAAMDLANPKGWQKVPLDGVGGGPDGNTLFCWVLQMSITENHQNGKDTHLRGIKIFA LDTETAVANGGPDRGALGEWVVGDVQTPVSSTRRRLRGSLRRLDIDPETPIRRRGHDH EEGSVGPGRRQHREILSVADITLSAEFIREPELR
SAPIO_CDS6652	MSFGFGGSNVITLLERANKLRDRFADAPRQLRAVSSEVSSLASV LANIKDLLPQHDLPEWQKKALVPILDECDNVVLDVGKMVDENACLDPSSSKSSADKAR RAWKRLTWDPKDVQQLRLRMASNVTFLNAFLGSLTRKVAVATKDTVDGLHTRQDVRER REQYAQILDWLASTDYTFQQHDHLRRQQPGTGQWLLNSSMVRTWLGTPGKTLFCPGIP GAGKTILTSIVVGHLLDKYQENPTVGIAYVYCNFRQQDEQRLDDLLSNILKQLSSPLM PDALEALYDKHNPKRTRPFLLAQLYIDSLVGKKSPRAVRVALQKLQRGVEAYDHAYHE AMSRVEGRLGDQEELAKQALSWIVFEKRPLSTRELQHALATELGDSHLREDNLPELED VVSVCAGLVAVDEESGIIRLVHYTTQEYFQRTHEHWFPTAEQYITTICVTYLSFRSFE SGPCQAPYSFEERLQLSHLYEYAASHWGHHASDALSTTQEVDGTNEEKAPNFPERTAK FDEAYRAVMDFLGRKANLEAAIQALFAPKVSRGLPLYRNDYPKQMTAHHLAAYFGLGL VAKGLLASDKVRLDARDSRGRTPLYLAVDKGHEAIVRQLLDTGRVDPNIRSDTGWAPL SRAAFNNHEAIVRHLPSTSEADLDIKDGCGRTVLHQAIVRGNEAIAQLFLAPHLLDTG RVNPDAKSVYGRTPLHAAAYNGREAMVRRLLETGKVDPDVKNLGGATPLHDAATIGDV AIIRHLLDAGKVGLNAEDCRGQTPLDVASKNGHEAVVRQLLATGKAK
SAPIO_CDS6653	MDTSGPESHGQRRRAHKKPRSVADMTHEQRERKRENGAAHAPVP TTSHVRVWAISAQRAVREKTKQRIAHLEGVIRQLKSSDHNKELQAAIQAKEAVEAENA DIKRQLAGITATLQQIISPRHGNEGEHAYASPASHAGLPSHALPPPAPSTCTIASTPP SIMSPIGRTEALTSHLLPPLQQPGNHHTAINQHTQTLIRQREDVQRGLEAGQNRFDLS FLISRPGSVPEFLSISGDKANGYGAFIDAQGSQQSPIHHQVPRPLAMQQPVGQNQRYP GPPMPISNSAAISRPYAPSGDKYRLPEPELPLYATPVKNNETSCLLDDILLKFLRERH DLLAQGQPRAEVVGPKYPSVSSLLNKDNTTGAHPLSSLFTAIIGTFPDLSRLPEQLGV IYMMFLLMRWQVEPSKENYEHLPPWLRPLPIQLTMPHPAWLDHMPWPLMRERVIKNYR NYPLENFFIPYTSTLWCHWPYDDLYALIRNPDTDEITINPVFDQHIRNLDNWSLGEAF KKKFPELTDTYTYRNDPRRA
SAPIO_CDS6654	MPPREIPGFYYDEAKGKYFKIESRATAPVNAPWSSDNVKKLKRK EKAERKRRNAEARARVTSAGKVKPARITRRGGGVFGKQSLAGTVLRVECSGRKGVVEE GDVRAWVYGSGLRGMGEAGLGGARVGWGGDLVGSFAVDWGDGDGLPGIYAGQLVDQGR MMMCGQYIPTDETGLVCWDSSRNGGWLIPPGGIRSLIVPEFASIGYNPTHQVIFMAGL SNPAAFMSFTWLKPISYPGDRFLRGIRRVTGACLARLPQGTPPNHLSSHTASPALPQH PNLFCFAGTNAGVLALHSTGDTSWVHPTRSSGDIFTTDCHPSDPSLLLAAGRRGIVTL ADMRVGPATHAPPPSFSHGSPVTHLRIAPDGNPYRIVVAGLRSKMAIYDRRWMGRYPR TEALPYLPFPAYKNEVRLRIGWDVDPGGDGGGAGVIVAAHDDGQVGVYSAASGRRVGF LPLGAGEREPVRCLRVGRVRGEEMPSVLVGAGGGVLKFSCGAGEGEE
SAPIO_CDS6655	MADVSSASAQPSGYDEKRDVKPINPPHPGADDDEDEDIDALIDE LESQDGHDLEEEGEEDGHGNVRQVPEEMLQTSTVTGLTNEEVLARRRKYGLNAMKEER ENLVLKFLSYFVGPVQFVMEAAAVLAAGLQDWVDFGVICGLLLLNAAVGFVQEFQAGS IVEELKKTLALKAVVLRDGTLKEVEAPEVVPGDILQVEEGTIIPADGRIVTQEAFLQV DQSAITGESLAVDKHNGDSCYASSAVKRGEAFIVVTATGDSTFVGRAAALVSRASAGT GHFTEVLNGIGTVLLILVILTNLMVWVASFYRSVGIVPILEFTLAITIIGVPVGLPAV VTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSLSEPYTVAGV DPDDLMLTACLAASRKKKGIDAIDKAFLKSLRYYPRAKSVLSKYKVLEFHPFDPVSKK VTAVVESPQGERITCVKGAPLFVLSTVEKDHPIPEEVDQAYKNKVAEFATRGFRSLGV ARKRGEGSWEILGIMPCSDPPRHDTARTINEAKRLGLSIKMLTGDAVGIARETSRQLG LGTNVYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFPQHKYNVVEILQQRGYLVAM TGDGVNDAPSLKKADTGIAVEGASDAARSAADIVFLAPGLGAIIDALKTSRQIFHRMY AYVVYRIALSIHLEIFLGLWIVILNRSLNINLVVFIAIFADIATLAIAYDNAPYSSTP VKWNLPKLWGMSVLLGVVLAVGTWIVLTTMYAAGTPNGGIVQNFGNMDAVLFLEISLT ENWLIFITRANGPFWSSLPSWQLTGAILVVDIIATCFTIWGWFEHSDTSIVAVVRIWI YSAGVFCVMGGVYYLLQGSQGFDNLMHGKSIKGSQKQRSLEDFVVSLQRVSTQHEKSA 
SAPIO_CDS6657	MVANSASVAAPVAPAAPGAEPKKLEKKPVKFSNLLLGAGLNMFE VTTLGQPLEVTKTTMAAHRGDGFLTALGRIWGRGGVLGFYQGLIPWAWIEASTKGAVL LFVASEAEYYARSFGASEFGGGIIGGVTGGVAQAYATMGFCTCMKTVEITKHKLAAAG QKPPTTLETFMGIWRKEGIRGINKGVNAVAIRQMTNWGSRFGFSRLVEQGIRKVTGKD EKEKLAAWEKIVASAVGGGISTWNQPIEVIRVEMQSKTDDPNRPKKMTVGNTFKYIYQ NNGIKGLYRGVTPRIGLGVWQTVCMVAMGDMAKSYIETLTGEKVTAKH
SAPIO_CDS6658	MAVVSRLSSSAIRATFTKAPAFNTKLAAFQTARYYSAKSKTLKE RFGELIPEKIEEIKALRKQHGSKVVDQVTLDQVYGGARGIKCLVWEGSVLDPEEGIRF RGKTIPECQEVLPKAPGGKEPLPEGLFWLLLTGEVPTEQQVRELSADWAARAEVPKFV EDLIDNCPNDLHPMAQFSLAVTALEQTSSFAKAYAQGINKKDYWGYTFEDSMDLIAKL PTIAARIYQNVFGGGKVAPVQKDKDYGFNFANQLGFGGNADFVELLRLYLTIHTDHEG GNVSAHTTHLVGSALSSPFLSLAAGLNGLAGPLHGLANQEVLNWLTEMKKVIGDDLSD KAITDYLWSTLNSGRVVPGYGHAVLRKTDPRYTAQRTFAQEKMPEDPMFKLVSQVYKI APGVLTEHGKTKNPYPNVDAHSGVLLQHYGLTQASYYTVLFGVSRAIGVLPQLIIDRA VGAPIERPKSFSTQKWIEITNKL
SAPIO_CDS6659	MSAVAELEAGLTAMLQFKPPGVSTSRIKSLTDLCQKNIKDESLI VQKFYTHFKKAPGTHKLGVLYLIDSVVRKWVEQAKQNNQEISSTAQDGTFAAGVFRVT EIVPALMDNIIQSAPADQKDRIKKLVDIWERAQTFPPGYIAKFRRQLADGGATVSTTP PGSPPPGLPGSAPVPTQRPGAQPTAAPVPAAQPAPSGANIMEVLANIAKQSAVNPPKP TGTPPVAPSTSTPPAQVGAYGLPPTTTPTVVGYPQVQQPLAAAPAVPTTMPGFPAQYL AGLTSAQNLGIPALGAPAAYPAAAIPPAPAPAPPAPAAPAGAALSVDQVMLINTLINQ GLKPEQISAILAAMSGTSTAPAAPAMPAFAQPQNGGWGPSGHGGHDHAPAGDRRGYRS RSRSKSPDRWGGGRDSRGGYDRHNRRSSSRERGGRDRGDYRHRSPMGRRERSPGDRPH EKPQKWVEMDHNLRPGHIKVLSRTLFVGGVTCSQAELHDLFSRVGHVQSVIINKEKRH AFVKMLTREYAIEAKNSMGEYKSGGLALRTRWGVGFGPRDCCDYETGISVIPIARLTE ADRKWLLTAEYGGTGGAPIETGICVEEPDIEIGAGVSSKAISRRVQTDKGGAHGPKST RPRDEEFHGPPGRGDRPRHRDVRRDDDGPSNRDGQYQNPLNPNLIPPGYAYGMQSMPT YPGYPYSQDSKPNGS
SAPIO_CDS6660	MPATVLTYSGSYEFPVDLTADDTPPPPPPPHAPSRNGTLASTPS IPQPEVIKIDPEPPKKKRRLVRDSYIKQEDDIYDAGLGEDLTLPSAQATDEAGRDSPP SHAHGPNLAENPPPPPTPRPLAQNSRRILLSDVSPANYRSRARALEWRSQKQEGARQE GGSASGALLEGATGSRNRWFTLGPQRPYIDAKNRCLIETALRSSKVASLGLETLKEPY TYHVDFSKEEIEYVRQQARELVTLSKPPKNPVREIAKILRRRPLVASLLPEKIHRQGA VCARSELDLYYFLVDVNEGRVAKRPRIYTLRRDIFDTARTTSRSGRTSSLLFKREIEG RSLFGRGGNVSGIKDEVRLVREDELELRQEWTDCAGDIITISWVSDSSFICGTTEHSD SHNQQYNKPGNLLLCSTSAGTLRAFSDHRIPRPVVAKGENSTEAMRRSQDPWLYASVV SSAYDEKLDLAYTSSFDKTVKAWRVDKTGKSMKLMGTWQHDANVNFVVVSKHDSRFVA TASDVQVQAVRVYCVVDPDNLSSSPYRGLGGRVGGPEVQPGESDSWAYHPATIQWGLA EACAHHLLVGYSPRASSADDNDIPEERRNSGVMRMFNVRTGGEVSMGIPMAQNVFEVI WHPTKPIFIVATSVPVNMAGHNVRTQIRVFALSSRTGSVTSPPLRPENNAATISASAE FYGFTHILDCYSLDINELTIRPNSPEFFYVTAASTDGKVYVWDTAQGDRPIHILCHGE PIEEYRGDREKEDVGVKFTAWGSTLDRLYTGGSDGVVKIWNVRHKDPLIRDLLEVTGP VSAGAFSPDFSKLAVGDASGRVYLLSVDKEDDMAGDFVHLPFDNGRATRPVRRPKPYI PHPEPPPPEGWQSDDDPDSGVLRARQYLAREQLVRNPNPILGVFQGPNYSSLNLYRAE AHAENDPTGPLLSSFEVDQQEASSQSIRSTGWRWRRRALIYAQPTQPCYAAAEQHQKN CEKDLDWEMLAPEVKADLEASQVDLWMLERMDYGLDLEAET
SAPIO_CDS6661	MAPVYFLLVMTTMMRAAVGMATSWAGDQSRLHDPQKAVESRSVS VGFSFQPSYVAGTVLFEDDDGNLEWHTEIDRSSNAYQKVMAKLSLPSSRHLAPPYDDM EEMWRDLPRKALRESLKLVGLPASYEVGILGHAAQRLRTKLEKTHNIHIDGAVFTGSH LTALYQDDLEDVAAFLKIHYFTPNWQFRPFVWESASAYAGYGFGLCEHWRDEAICEKE MEEMENLPVMSVHFTRNALTVAMPVIHAAVMAWEPDGRHFENFTLGSDAIVNYPTHDA YWADVREFLLSVTWVHPGARAPRRIIVLGDMVDEHFLRVLRETVVAHWGDDEVGPIHS HLAESASSRGAAEFMRRGPASWASMKGDDVEL
SAPIO_CDS6662	MPSLYIIADTPAGYGLFKAADRKLLKRDDLRQKLANVEALTETL RLKSFEKFTSPTVALEEAAALVNGKVPQTLSELLSNIKDPGDSTIAIPDPRLSSGIRA LPGFEAASLYASSSSDSKTEDIFRAIREHLDSLIPESASTFEKVALGLSHSVHRHKLK FSADKVDVMVIQAVKLIEDMDKELNVYAMRTREWYGWHFPEMGKLISDHVAYARVIIT AGRRQGFTEADLTTVLPEEIAEAVKAAAEISMGTDVSDEDLENVQLLAAQVVQYSEYR SQISNFLENRMRALAPNLTALVGWLVGAKLIAHAGSLRNLSMSPASTIQILGAEKALF RALKTKHNTPKYGILYNSSLVGQASQKMKGKIARMLAAKTALGLRIDAIEPFMKEDEE DEATPAVEQDEEEKSLFGITQRTRLENRLRMLDGKPLLPKGVAVGPDGQIKGPGQFSV KEARAYNDEADGITNGTPKPSKKPLIEEVLDQANGDAESDDEMDVDDEKDKKKEKKKK SKEEKSEKKSKKSKGKSAESAEPDYEKLAASVNLSVKKFKKKLDKGEITINADGTAEV SKKKEKSKSGEKRKRDDDDDVEPEPEEGSKKKKHKKKKGVEA
SAPIO_CDS6663	MEGLFFNVNNGYLEGIIRGYRNSLLTGAMYSNLKQCETVDDLKL QLAPAYGDFLSTLPPNPSPASLAAKTTEKLVYEFRYVRANAAGTMAKFMDYLTYGYMI DNVALLITGTLHERDTSELLERCHPLGWFETLPVLCVATNIEELYNSVLVETPLAPYF KGSLSHHDLDELNIEIVRNTLYKNYLEDFYNFVNTHPEMAGTPTAEVMSELLEFEADR RAINITLNSFGTELTKADRNKLYPSFGRLFPEGTLMLSRADDIEGVRLALSGVEDLQT FFTSAQLGHGPSGPGNAEGQEMDDGNPRSLEDMFYLKEMNISKSAFTRQFSFAIVYAW VKLREQEIRNITWIAECISQNQKSRIGNYISVF
SAPIO_CDS6664	MPPRVPGLTNVGTWTLCLRPAIKPAFTPLLPIIQSANLSKWEKK RLAKRDPYRWAQIQQRKNANLQRQEELQKERDEKWGSPIWGVPTPFTESFDSAGQEPL SRVARDEEGNPVEEPKKLPTSPHILNHLLSRDEFEHAIQHAYTMTKPVLAGEGLDETA KAEAEQKHEKNHARAVEALRRIVSLDNASSKMRKHANIRRCIEEFGRHNTDKILTPKP QSIHKNPVEMPERSGPDTGSSEVQIAILTVKIRKLAQELEKNRGYKDKHNKRNLRVLC HRRQKLLRYMEKRERGSERWTNMLEKLGLSPATWKEQISF
SAPIO_CDS6665	MAPANLPAIFNATSEDIEKLLAAQCHLGSKNLQVHMEPYLYKTR VDGVNVINIGKTWEKIVLAARIITAVDNPADICVISARPYGQRAVLKFAAHTGAVAIA GRFTPGSFTNYITRSFKEPRLIIVTDPRTDHQAIKEASYVNIPVIALCDTDSPTEYVD VAIPTNNKGRHSIGLIWWMLAREVLRLRGTIYNRETPWDVMPDLYFYRDPEAEAEEKV EEEKAAVEEEAAVVDTGFAAAAGDWDAAPAGFAGATAAPGGWDGAGEDWSAAPAAGGE WGGEAAAAPKESQW
SAPIO_CDS6666	MKFGKTLKESIYPPWKDEYIDYAKLKLLLRERRSEEDEDIQWTE EDEKHFCDEMFNVQLEKVTKFQETTFDAIRDRVNAAFETVRDLAPKDGPGSSSGVQKE RLKELEKELDNITKEIRELKAFTSINYTGFQKIVKKHDRKRGDRYKVRPMMQVSLQSR PFTSEPAYSPLLKKLSIMYFIIRQHFEGETTGKEPVQPVDLENEGEVHNGERYTAYKF WVHPDNLLEVKTYILPRLPALIYSTQQATESTPSDDPTITSLYFDNPKFELYNAKIDR KSTASSLRLRWYGKLNSKPTIILEKKTVDDQNGNTELRMSIKEKYILPFLKGEYNMEK TIAKMERQGQPAEAVEAFKKTADQLHDFIQEHGVSPIMRANYVRTAFQKPGDDRVRIS IDSDVAFIREDTLDDSRPCRNRDEWHRTDIDDSGMTYPFKNVREGEVSKFPYSILEIK IKGDGARKKPAWVEELMASHLVHPAPRFSKFLHGVASLFDDYVNSFPIWMSDLNTDIR KDPRKAFEEDVQRRAEKAEDEQVVGSYLGTKVSSYRPAQSSPVTQSYLSERLGSETRT EETLGAGQEAEGEGEPSQPKNYGTLSSILPSFSLKRYSLARRGEEEPLPQGVVEPQVW IKNKGELKIEPKVWLANERTFLKWQHISILLGSLAVALYTASAAGTVARSMGVFYIFV AIFANVWAQKMLRVRRKMIVERSGKDFDNMVGPIVVSVALVVGLILNLSLQWKQALAN MRGLTALADVA
SAPIO_CDS6668	MAPSSLVDEASVVELMRDCSVTRERATHLIQSLPNEQKHSLNRP SSRSRSPTLASHRRKGSLNSGSTRSSAGSFSPLPVFPPRLVIAPVAEKRAVTSDREDL LSRLSLLPSSSGSAVNTDQGSVNGFAIPPIGSGEEAYSASVSSEESDPLESTDWDFIL PDIPHPGHLLPDMSDGQGPDDFDLEKLVGAVLHGEDLQTVSNYLLYYNDRTVREHLQE EVRGFHSIFYVVASNNTDILKLWVSHGASISVTHKPSEIPLLPFAIVNGVNIKGDTIP MVTTLLSLGANPRTIPENLYKDFYNDDGGKLQVFSPDDDNVWYTEAAHKKLVQALNLT HRYLLERSTKLKKASVRHRQVAKLRNAEPILGVPYFLIGQTIAASRLSQKLISHLMIP HRRPLVLIFAGPSGHGKTELARRMGHLLGLPLNIVDCTIVNREMELFGPRHPYTGAGG GTPLNNFLANNARQRCVVFLDEFEKTTKDIHQALLVPFDNGEYQDRRNLSTVDCSNTI WILATNAFDNTITSFCDRNPQIFSDNEKERKVLVRKLSKTLKADSLQHFGAPITGRIS EFIPFLPFSPVEQAVVGHKFLLDLIKKVRAPVRLSRTSATSPHEQLLGNVNLQVKRDV AVCKVLAEEYSPDLGARSMIAAVERVKELLIDLYLDEDDEIVEDGGMDDFLLDIHGGE VVVHKTKGE
SAPIO_CDS6670	MAADRKSREKEKTGFWRSLFRSKGASQPTKSPKKSKNASNGDAV LRRRRADAGVSRDPGRPRRRPRPAEPSKSSLKSKGKGREDLREQAYAPLTEWPPPGFS RQDDLTMRHAEALICRGAPIHIGHLRNIPHAPDHYYALYATTSSDTRDSEEGDAVSLH GIMAELMTMRLTGRSPARLPWETLEQPSCAFSFGKSPGTVTLNQWVSLSSALPHAIPL RDPGVPSLREVDLTRIFKRLKEIEMGVEDDDHSMYRSLYKHFLRDADKLFSLHKTLDR QITDLIQVLSKPEWIDFTNPKNQVVTRFIFDAGYPNYDLYQTFFHQLLLSLELDLRIN SRRHSDWAKERLLSQIPPSILWNVALARRWLKFIRIEGYGPTADHIKLRYRLRKRQVK ILEKFARIMKWPNLDETLSKLRQKNTDSALDFVSSDAMAFFSGLVLPGPTFPFLIMNT LIDMDPDKATDELALLTHLYPSCGFQYRNSYTYWTATCIVGKVLAPTCKLAAGWVGPG RPTADLARTQIARIRSRQPRQHLTPEDVTSMAERSDPLGPPADLIPVSEYKLILPDPD DIVDTVRIERLSFQPIPTRFEDAGPQWYDAMIQFAIDGVSWPLRLAYDVSFVSAWPCI NGPHPLFFDYAYSIVRADQIVNVHDWGGLYGISSPNGADLRAPDGHSYPREIDDEERV LVIEAFGVRDNEVLARAWCAHWGLSAVVADVRKTCVACAIREAYAATLTVVILVDDQQ YLNEDD
SAPIO_CDS6671	MSLFGGGQSAFGQSTASQPGASSIFGQTAASQTPAASSLFGAST ATKPSLFGQSTATQPAASTTQTPAGSLFGASTTTPKASLFGAPATTQTQPSTSLFGGQ AASTQPSAFGATTTTPKPSIFGAQTQAQAQPQVPSLGTAPSSLGLTQNQGQQQQQQNG QQPAGAYFDTLFAMTKKRTDGTTQDMPHLQLGLGDLRQRLKKIGGKTPEKAVDGRAHY VLAASGIDLGAAAKDLGSLSVHPSKVDRHAGETGGPSEIDVETYLENLQKKTTLGMIQ DGLERSAKAFDNFLEDNMAAEWEVQRKRIYEHFGILQRDTGGDSGRETQAGFGRSRRK SQLAGAASRAGRSTILGNSSLQRSVIGAPARIGSHKPEFSDVERPSEPSRQLGGVQSS DDRALREKQSKLAEKVTNLNKARVLQHPYPILSELAEIEQKSPEPHASHVTQAYRAVM EIVGENPEADTSLNNATAKERQFVSMYLDDNKNSPESSAMRKRILSGANRFLENQFVA EMESLIAKHPHEANLGGRPDIVSKVKAYIRLRSARKDLVPDNSELQQVQGEYVWAIVF YLLRSGHVMDAARYVNDNLNSFRGIDRTFSTYLNAYASSEERRLKRLLQERCASEYTQ RSRNAPENSIDPFRMACYKIIGRCEINNRSLEGLKSDIHDWIWLQFNLAREADRTVEF ASEMYDLADLQASMREIGSKHFPKSPSEDNNGNFGMYFYLLVLSGQFEEAVAYLYPFS YVDAVHFALALEYYGLLRPSDPLTADNELRSTDSRSRTQINFGRMLGYYTRDFRAANV EAAVDYLTLICLNADLPGESGRRHANLCHEALREIVLESREFSKLIGDIRPDGHRIKG AIELRGALIGLSDEDDFIHTVTLQAASFAEETGRTTDAVLLYHLAGEYDTVVAIVSRA LSEAIAMDIGESPMVLMPVKPRAAAGAKDAEPGSSLSLAAIDDPVELAKTMMAMYERD AMFHRGIQEQNKVACRILLELSSIKTLVEARQWAQCLDRILPLDILPLDAKGDPSTIR NHAARFPSLSPTVAVNVPNLLLWTIHACVKQRDQLLAGHFTGNEMSRQMLVDQLRQMT LDLTAYTSQLRYRFPPHLHEALARASAE
SAPIO_CDS6672	MGQPTSEDHYIGIDVGTGSARACIVDASGTIKAIASEDIKLWKP QVGYYLRASHQEQSTTNIWNCICACVRRILATSAIDPTTVKGIGFDATCSLAVFTHDT DAPVSVTGPSFTNASGEDRNVILWLDHRAESETETINATGHNLLRYVGGTMNIEMEMP KVLWLKRNMPPELFSRCKFYDLADALTHLATGRETRSFCSAVCKQGYVPVGVDGSVKG WREDFYGEIGLGELVEDEFLRVGGVDRVNGQFLSAGELVGTLNEKASKELGLPVGVAV GSGVIDAYAGWIGTVGVQVDGLGGSGGSDLDQASGRLAAVAGTSTCHLVMSREPIFVN GVWGPYRDVLIPGQWMAEGGQSATGELLRHVVETHPAYITKSGLPEGGLGDKSIYEYL NEHLAKLAEQNNAPSISHLARHIFFYGDLWGNRSPIADPNMKGALIGMSSDTSLDSLA LLYYATMEFIAMQTRHIIDTMNDAGHSISSIFMSGGQCRNKILMDLIATVCDMPVVIP EYIGAAVVHGAAMLGAKAAGADAEGKTEDLWAIMRRMSKAGSVVWPKKDEAERRLFGV KYEIFLEQCRTQREYRKKVDEAIGK
SAPIO_CDS6673	MDQTGNRGGPYADFGRGGRGGRGGRGGRVWSRDTSRERGRDRDD FRERRDPPYRDDRSRERDRDRDRDRERDRDRDRDRERDRDRERDRDRDREWRERDSYR ARRPSPGRPRSPPLRDFRDQPPLGVDAERARHGSRDGGPPSAGSSSSDPPFMSTFSRG GFGRGRGGRGRGDWDHRGRGRGSYHDDRYGHLRSRSQEGRWGRDRDDRDRGDRYGDMD ARRDRDDRDIRDRDIRPKPDRSSLPHEQPPVTKDVSPPPIAPSAPAFGTVPPRNLSSS EPQPASGTGKPPPTGPRALSERPVSAGGSEPQVSPTAQTKPSHPPDASTPIPVGPRAQ PNPPSRPSSKQWINPNIAGRKIPESPKTMRSQSFAQQQRPFPMRQDDTHLDYHREDQR RPRSSHAKPESQLSPTNDPAQPLRRSVPPELGARHERDVLPARAPVDRERKVPHSESK DVEMAGMETQPVKAEERKPERKLDGGPLPTTVSATKDDAHEARESAPKSGTTKRPLLR VPVVRFSLPPKDATPPSESWESDDDEDMGDYFADELTKTESTLRELERMDAPWDVATR FAGLSHDMALKVAVEDDRVTASLGPIPAELEAAAFVPPTAIKAQAEGSTSAKISKPSD SKGPSLDKVLAPAAGESRPETKATSSAPPLPKTEEMDMSVSSPSREVSVKQEVQPGSE DVPMAEAPPVPEAAPSKEESLAAAPTDLTGSAGPLPIPDGAVQPPDGGSPLPTPPSQV EDDGDETESDDPEAMMIDSLRQTMKTPPIDSLPNFHGKAWDKDAKFLRTLDDTDSAVD ELILDHLEKISLERLSEQQELSLVYLQNYRHYLDFTLSDDSVAVKTRDKIFGTSSAND SLAAAQANAEQKPEGRGSGRRFATERDLERVIQASIKEDEERRQREIQIQKEKYRSEK EAIIPPMYWDEQQMKNELFYDTSGFVPVERLAFAWACLPPIANFTEEEVELFEKRYME KPKQWGVIAEGIPKRDFKACIQFYYLKKKELKLKERLKKQPRKRKKSGRGKQKTSALV SELGNGENHETEENNAEATEGGERRRPRRAAAPTFSFEQNNTADSDSVASGSGRRANA GEKVDGRKGRRKAAKDKEPKAAKQSQTLAATQTTGGTGRGRSRSNSRAQTVETPTPTG AAEPPRLPVTYEQPSGIQPPSLDATAQQPPLPPPATPLERPVVAPQASSMADLMAPPS ALAPPQLRPEPPPPPPQPTMSTLSFAQPPVQPQPERRSQTQASSYWSVSEITEFPHLL RAFGTDWSAIAGHMGSKTPVMVKNYFVRQKDKNDGWEAMVAEADEKRRRGEKRPDPPP PLATGSKKRHDHTASTSRPIPVAAPVNPEMPTPSEAVQAAKAGGNLPQLANQPFSSRV PIVPLPQTSPGQQTPLHSPQKQPPPEHSGLLSHQAQAMPTTAQLQQEIPQQVHPSQAH AGVPQAISPSVKAYPYPGERAAEQQAHPMDKAAAMHGTETPPKRALAPMRPSAVPASQ GAAPAMPQADSLQAKQRNAAVLQQVDQQPQQAKRALAPMRPSPAVDSMMQQQQPEAQS QPPPPPAVQSRRPLAPMAMAQPQSEAQQPARILTPMRPSSMVPQAAPPHAEPGRDRTK MEAPRAIDQRGPVHLKQEPDTVPSTGTYDYAAHAPSRPPQGHLDLRPTSPAKRQEWGP TGYGRGPEPTGIYSMSQGPNDGRPRPLDQYGDVAARSQASVPSQPIAAAPTPAPPAPA PARAPEPKKSSLMALLNEVPNDEPPPPAPMPAAPKAVGDVMGSSMGISSPQPQKMQGH PRAPPPANSQLSREQEAAAYGYSHTSVAPTPSGMPPLKPYASPQGQHMNIQRPGSVVP QRHEPPPMGPGHNYYQQTSYAQHHTAAPSTPAQAAHRLTHSQAAPVAYQGQTGYAPYG SQPHSSAASPPPAQYSMHPPTSRPLEHAPLSRDVWSTSSQSHVPQSPAMMSSGLQKSQ PGGWPVNATPKPMQSLSQPPKSWDPVKVAGAPGAGAPLQTSWSPTPPQQQHYSSGLRS ELVPGPGSYGSPPTSMAQQGAPSSAPAPSGIPPHGHMQSQYPPSHAGPPSREPISHYA PSPYGLRPGQGGPHDARDMPGRSYTPVSAYDARGPPPPHAAYGSMAADSRDMQMRDMA MDPRSAKDPRDPRMQGQMRPHDRYDPSGRGHH
SAPIO_CDS6674	MAGSQNQPPTAATDAVLVSSDEMPKDAQKVEELDFNKLKRPITA EDLLDGMRHMGFQASSIAEAVRIINDMHVSCIVTSAGGVEEDFIKCLGDTYMSSFSER GAELRRKGLNRIGNLIVPNANYCAFEDWVVPILDKMLEEQEETKNSEEPIKWTPSKVI HRLGKEINDERSVSSPKALTIDIVQDIRAINTISVRAKRAGMIILGGGVVKHHIANAC LMRNGAEHAVYINTGQEFDGSDAGARPDEAVSWGKIKIGADHVKHDGTDDLSINNTFA RRVLTLLALKSTARFYHYDGPCVPISKNHIVKTGPFVHLMEGATLSFVAANTSIPVPR GITLAEAYASLSDADRESVLAQLRAMLQELRKLPAPAGAGVQSCVGGSLRDCRIPHCR PRFGPFDTIQDFHLWLREGLRPEDHPVRAANDQEWEDIKEMVAKQDGPWPAPVFTHGD LNPFNIIVHEKRLVDIIRKVPVVQNDPNWRCRTWVSAVLSSLQKDGKAVGTSQLDWAK IEALAREYVAKKMAAGRYLKAEEMLLPKPTWDMLEEKEIIS
SAPIO_CDS6676	MPMQPIGQQFSDVDPLMRWTSPDNSSNFVDDGSQGLGSFGLQQP VPPHFPQPIPATPSNMLARRVMNRALIPTGSRASFDANPDPWASLVPADPSTLDTTVN PEMSEQENLQHLEQLALKAKRDAEAKRKQIPPFVQKLSSFLNEGKNEDLIRWSEKGDS FIVVDEEEFAKKLIPELFKHNNYASFVRQLNMYGFHKRVGLSDNSMRASERKNKSPSE YSNPYFRRGHPNLLWLITKPKSSSKAKKSAKSTDPEVDSDEDTGSPNGPSYTAIPGGM SLSETGAGLKKKELALIRDEFKKLREHQQVILQRMQRLEQNQQRIEQQQRAQQQKDQR VDEIYRLFMRHETSLQSMMQILAYHFKKTLEDNKSAQQIKDIMATGFLPGGHGSHGIV ELEDFVQNQPKSPTPMGVPKRARALLEAPPTIPKTGNVRTVPASPASAQGFSAPEMGS VTEILDASPADTTSPPYLPTSLSPNSQEKLLRMFQDANASAATASMSSAQPNPFAQAV PQPSTRINPPQQIPNLPRGSTSTTASTVSLPPNSLPSASIPVSAPTTGLPTIPSVSAP PVMPVSSAMPSNLGNLSGVVPDPSQYSALTSIPPAVENISYNSKEYEHLKKLQAAQDA QIQLLGSKIAPLSPSGQLPGFGGPGDTNEYFSGANFDLNDPTFNEFINSDPFSGEGAP DLTNAAVPTDDFGHDFDFSLIDQDGGVSGANATDAKNIPSPSGTEEILRDDIVDSPDR GSKRRKVQ
SAPIO_CDS6677	MSVTLHTTHGDIKIEVFCESVPKTAENFLALCASGYYDSSPFHR LIPNFMIQTGGPAHPTPENPKAGRSIWGGTFADELRPGLRHSARGVVSMANKGPDTNG SQFFILLDKAPHLDGLNTVFGKVLGDESFATLKRMEEVEVDRKNRPKEEVRIESVTIH ANPLAS
SAPIO_CDS6678	MIHPAAENVAKRHLGSPRRETVDLVGKEMLLYRCSTGKEADIVV AMDLPFVLFIPYGRGGEEANRKIPPASLSLSSRTAETFYELVVTVQQGHTHQHKYAFP ITIQRYDTLSTFGMYNKPEYKTAVSDNIVTLGMSLPRWSYGPSDPLTVYVRLAPNPDW MSKAKKVTVDKLTITIEEEITYNPEGDEPTKKVYKLIKHTQPVKAKLSEAGYTTNLGL VFPSKSIRDSEGIIRREKPGFPLYEVNSFTTTSTLYRIEFFVCIKAHLGSARDITVRQ PIVICPMDHQECKEILEAIEQSAKDAAHVDPSRPPPRPTIVKATDKNALEALGLCMVG GQKKPLIE
SAPIO_CDS6679	MPPIPLPPSPKPKDKRHRCRPKGSRPVAVLPTIPEGDASSSHPP QEAKQVRWAANLCIVREYPLDLAPGTLLKRVPPRDQTAYRIYVGRERAAAERRMATYP VLPVQEEDRGEWNERVPQGARQGTWSARTFEEILQGSEQGQREVGGWEGEKDMLSGGR QHRGGGSGGGGWGRRLLNRLVLPLSCFPFQRGGDAEKRRRRVLTKKPRRSGETDTMSA TPGEGLTGTSAPFPALAATPGISPSDDRYLCEKEKRIDQRRGAGPASNSTIPGSSYAR PIALSDSNSSESESEYEFVDLPRVSTKNSREPPRQGDFEPEPEYGQTVHLDHRARPLP SLHTAKPLHLHLPIGLNPRNIFNPYSLTDNDTHLAALTHSRNLLNQQPRSDDPQHLSP AAAHTTNYNPPPPTTTHHHAPSGVPPCPSHHPIPRVLNHPRTHNFGAVVAPCAGPSLA AWGNSSPGTPSPSLASLSSALWRIRLFGRVRALLGVRIV
SAPIO_CDS6680	MFKLGGSRVLASATRSSKLFASNPAFRRVPGVAQQSRNLSIHEY RSAELLREYGVQVPKGAVAHTANEAKEVAQQIGTDDMVIKAQVLAGGRGKGTFDNGLK GGVRVIYSPHEAEMFAEQMIGHKLITKQTGAGGRLCSAVYICERKFARREFYLAILMD RARQCPVIVSSSQGGVDIEGVAKEHPEAIKTTYIDINEGVTDAIAYDVANSLGFSYQC IEDAKDTVQKLYKIFLEKDATQIEINPLSETTDHKVLCMDAKFGFDDNADFRQKEIFS WRDTTQEDPDEVRAAESNLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGQPANFLDV GGGATPQAIKEAFELITSDPKVTAIFVNIFGGIVRCDLIAHGLINTVQTLNLKVPIIA RLQGTNMAEAHRLISDSGLKIFSIDDLQDAAEKSVQLSKVVKMARDIDVGVEFTLGI
SAPIO_CDS6681	MADDELNRLRQLLAAQQQRIETQQQRTKLPRPLKSQRRRRKDDE DGDFNAPRGTRTVPVPPKAHTPRSKSRGSGSGNQGSEDSSGSRRAPYCSQECLLGLSD SGPLDPHCPNFAAHLDYSMSSNGNGDCDDTNSLVIRHDLSVTQLCDVLREQLAEGRDH DCEALDKFGKFGAIGILSGLTLRGYGYCFVAKGVQRWHVSRLEHELGVYEHVRAQQGV LVPACLGMMELVHEYWSSTGTRISHMLLLSFCGEPAFHPRTRVSADVEIQVRNVWSQL EQLGINHGDERESNAVWKAELGRVMCIDFDWARVDQKHEMESGGSADLKSPKRQRILE SH
SAPIO_CDS6682	MASASSSNTPENSQARLARSLIESGMVAFNTESTEPAEVIRDAR DKFEATLQSAGSWSLVQVLNGLVKPGVVAEWLRTEFLSALIRVPMRPQGVRATMEFIF SVHPSSTAVTSEEEATPQKKGANITPEALAMAAKLLSSTPPGITDQVWIDAIAPQLFH LLDGNDGPELARVAAHVVAFGILGRKRLGAPGAPGWNSFVKPVLENIKPSLNPEWRAQ SENQKDDVIDLSTDKVIVSADDLHLALNRLSLLLQASPSPGLCRRLVDPILLPLWSIS SWTDSSPRTKERYSNVAMPLLKMYLKVASSSSDKTDILLEKLMSVGDVKNGYVAWKYR KIPSGDLEIVVPRTLEQPLQLQDLDPEAIEQKASTLATTLVESCTETEISTVFMHLLK GWLSSRTPALSTTVKVKMEEEEPASPLQGLLKLAVLRHLLEKAEEKVIRKPDQLLELV CNVLEANSREAQENEVISVALSLLNQIISAPQFQKSQLKSDTLNLIEQSLQELSNHKS EEIAQTAMNLSLLLKYRDELEEPSDAVPPTERQIEDRNTYKLAMSYIRDPESPPPVKS EGLNLISGLIKSNSPALDIPAVLVLLSRLLSDGEDFINLRIIKMFVQLAEKHPRTVLQ EILDHYLDAKELSSTDTRLRFGEALVQVVERLGLMFAGEIATQVCETLLSIVSRRGRR PKTAIKQERAARLQERKNKEAEEAWGGEVLDMSEDVPEEERINNEILSRIVEGWESKR GSEDVRMRASALSILSTAVETNISGVGPSLISATVDLCLHVLAFEPEMEKGILRRAAI IFIMGFARALNDAREQGRSLGFGLTEESREDILRTLRYIEVTDNDGLVQQHAKDVIES LENLRLATLLHQHTRRVPDIERVVGLPPRLDVHSGGGSRPKIEEIE
SAPIO_CDS6684	MAANGLPARASAGTHTLSQRYLSTRGEDNDFSFEDVVLKGLASD GGLYIPEEVPKATDWQQWKDLSFNDLAYEVMSLYISPSEIPPADLKDIIARSYSTFRS AETTPLVHLKDNLWLLELFHGPTFAFKDVALQFLGNLFEYFLIRKNEGKTGTDRHHLT VVGATSGDTGSAAIYGLRGKKDVSVFILHPKGRVSPIQEAQMTTVLDSNVHNLAVTGT FDDCQDIVKVLFADPEINKTHNLGAVNSINWARILAQIVYYFYSYFSLAKSNPSFSVG DKVRFVVPTGNFGDVLAGYFAYRMGLPVDKFVVATNENDILDRFFKTGRYEKKPMITA APAATGGEDAGVKETLSPAMDILVSSNFERLLWFLAYEFAETAGMDDEWNKKQAGQEV TQWLKDLKTKGGFGPVYQDVISSARRSFESERVSDAQTVDTIKGFYNNLQYVLDPHSA VGVTASERSIARSDSKLPHISLSTAHPAKFAGAVELALKEEPSFNFTEKVLPAEFVGL DKREKRLTEVENDWQKVRDIVRNLVDEELKA
SAPIO_CDS6686	MKIIVLIARIIQAIFALLTLGFAIAVVNWFNMKSGKASPGAFNV LVAVPLVALLALFYLEVVPRIAPSASHPIPSLVCEAIPIILYLAGCGVAAHFVMRLDT CLGIICTCAKMAPVFAGLSVPLWIFTTILMVKQIFTHGFRRPSASRPAMSQA
SAPIO_CDS6687	MSQPQRFETLQLHAGQEPDPTTLARAVPIYATTSFVFNDSAHGA RLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVATSSGQAAQFIAVAALAHAGD NIVSTSNLYGGTYNQFKVLFPRLGIQTKFANGDKPEDIAALIDDKTKAVYVESIGNPR YNVPDLEAISKVAHEHGVPLIVDNTFGAGGYFIRPIEHGADIVVHSATKWIGGHGTTI AGVIVDSGKFDWGQHAARFPQFVEPAEGYHGLKFWETFGPITFAIRARVEILRDLGAC LNPFGAQQLLLGLETLSLRAERHAQNASTLAQWLEKNPNVAWVSYPGLESHPSHENAK KYLQRGFGGVLSFGVKGGGDAGSKIVDSFKLISNLANVGDSKTLAIHPWSTTHEQLSD EEKISAGATEDLIRISVGTEHIDDIIADFEQAFKAVAEKDAEAPKAEVVVPQTE
SAPIO_CDS6688	MICSLGFLNRTGLDQLPRIFHDYQHWSDWTSETKYNEEKYLTAF NLENYVRIQRLGAARKNSGWGGNLEELEQQLKDEKRRFFREVTTLAREDSNTGKKRMD LRKIGFKYREWLNKLRFADLDVHPNVDYAFHALALDEWRTAFGPTMWSRAPDNNNTQL RQVWFPGNHGNIGGGWPDQKIATIALAWMADQLTSVGVEFSKPEMQRIFYNMNPGVKA RPWAMGKICNPKGLTTYPDRMYGLRPWRLFSQRQKNGRKPGLYTIDGSDDEMLPNTEE YVHPSVRIRYLYDGRGLDDVQHWTCRSLTKHGYGLAHQLEPFLAPRPHRIPEAFTPFH TLVGGLVPFYDGAGSPGDRVHLHVDMKTPLRYVRTEQPNEMDLLEIDNPTSHWAWKME DRILPEEALGTWERMYVKINDSLVVWQTGADKRGNDSLMAQREVGRRASAISRYMRNS WNATANNSRPRWKLFKKSIPEKIKPAAFPGEYGYHDITTWRVDDAAPLATRYPDSATF VSD
SAPIO_CDS6689	MDIGNEDKSVILVDSKAATQKASNNANPDDASEPNDYDLLTKVI QGIDKQVGELEAKKDMIADAQIATGPVLAQVCYAVAVNAAEDWPWTALAPIVLGRRFV DQNTLENKKPKQNLTGYAAVRVKDLIKVHRDYPQQQHAPKKSGPAMGGVAAGAVLGVG VGLIGSVLVTDVTYVVDGRNHDFGGGGD
SAPIO_CDS6691	MVASSWLTVALGAVSLMPGAMAFIPPVNTSTQADYFYPERCTVS SPSTGNWSVYPNLKAIKRCQQTMFYDFSLYDPVADPSTSHRIQTCSSFGPDFDNLPSE AVAANLVQSATSVNVEFELGWWHKAFSLAKGAIWSLVGQIPTISVYIGQDLLNQGLST SALQTFQDNFDKLNITTPGIAIQLCGLGYDRTHVFGVAVTSNTTFTPIQSAIKSWANT TCLSFLRSKSFPGKATFTTPLLNGTETEQCSLPAHYKNESKEKQMVKWV
SAPIO_CDS6696	MSDQTNLNPRPRLISYNFDPEYDRDDGPDANDLRELNRAVCAGD LERVKRAIKPETDLTVQFGSSYLADSLLSDAILHSHMHIVGFLLALGADPATPSCTSQ RQALHDAAELGNVEAVRLFLDLGADVNSVCETDGFSGGHTTALWLAIHRGGEMGSRHW PPGADPQRLYTKKWVETIELLLARGAHFPPRPPSDPYFNPSFFDPPIPSDEATLLRKA LRPRSRALCMSYLNELKASNSIPPNALVIAAQSFFVQGVQDLIQEFGYRDESCSALKA TSWFPTDDKDVEDIKILVNIARLLLNSRDDCNLEDIHLVDTLCEFSRVANLELMALVL DHGVDANACINGLDKETLQH
SAPIO_CDS6697	MLSAALTIDLAMNVLDISQKLVLSKLPIAKDAAFDSHADEHNAQ CHPETRVALRQDIMRWADDPHGKCIFWLSGIAGDLGASFFFKRGEQDRGNAARLFTTI ASRLVYKEPSLATYIRAAIDADPAITEYIPNGVKRIILVIDALDECERDGDIRAIIYL LSQAKTLTSVQLRCFVTSRPELPIRLGFNDIRGKYHDLILHDLPKSIIEHDITAFLNH RLTIIKEDYNALLRADRQLPAVWPGSNAVRDLVQMAVPLFIFAATICRFIEDPAWSDP AGQLQKVLEYRSRTQQSEIDKLDATYRPILDQLLRGTDAAKRSLANEFRIVVGSIVLL AEPLSISSLARLLDINKSVIDRRLDSLHSVLSVPASTDAPIRMFHLSFRDFLIDPDKR DNNPFWVDERATHERIAIRCLELLLGSGYLRKDIIFSQEMSGLSRADIDPAVIELYLP ADVRHQAYSFLKSHFLYWLEALSLLGKISDSITMIKSLQALISVLEGHSSPVDAIAFS PDCSILASASRDRTIRLWDTKTGEEKQILKGHSDWVDTVAFSPDGSILVSASHDRTIR LWDVKTGEEKQVLEGHSDWVDVVAFSPDGLMLVSASHDQTIRLWDIKTGEKRQVLKGH SAWDNIVTFSPDGLMLASASSDRTIRLWNMDLSEEKHILKGHRGEVIAVAFSPDSLML ASASNDRTIWLWDAKTGEKKQVLEGHSDRVNAVAFSPDGLILASASSDKTIRLWDAKT GEEKQYCKAKSVLTSVICDESRQYDAC
SAPIO_CDS6699	MSTSDQPAPPSTAQWGPISFHGMRLVWSLQDPLESAISVMPENL DPEAPREPYFQQSSTGSNWHRISQEVLIESTVASVTVESADLSNWPSGWWLCHMEHAD ADDDDENSGDENSSNGPCPAYSELPPREYDTLVVEASDKPYVTIHDYITTVGEDEPPN PDTKLMPFLDNTQRPQIMSTSDQPAPPSTAQWGPISFHGMRLVWSLQDPLESAISVMP ENLDPEAPREPYFQQSSTGSNWHRISQEVLIESTVASVTVESADLSNWPSGWWLCHME HADADDDDENSGDENSSNGPCPAYSELPPREYDTLVVEASDKPYVTIHDYITTVGEDE PPNPDTKLMVCPGAPHSVRTDTEEHWKREMKNHYDRLNRAETPFDNARPKGQKRKRDN D
SAPIO_CDS6700	MSRSNNDTISQNLFRIYHDVLEQSLSCWIAEETCPYTDKRSMRV TQGRSPRWMAEEQGTTWSNRIYSRVIRFDRYARSVGLIRLTARENQAATKSLHLAIMA FTSQWAQGSRREREQYAPASEGLNADDLVQEFDRNIQISLWEQAKEALKNTSGIECFR VACAELIFGLCQKPWDFEDIEPMDRFETRPRDVRGANNDTTSLAARLDAVIAKDGTPT HMENAARKAHAIKFRWDAQRRGLTTGGQVSEESRQTADSLSTDEQQHEKTVGLVYWLA VMFDTVSSSTHERPVVVADEDSQHCNASASTDTSTDNTSPRSSESGLSIRRWSTPLFI QDDPERPMYMPHWPCSYEAAAEAVTRSCSVKVLLHRHVSWLQNAIRRGDCPESIEGII QGTVLLYRYWNTTYGPFFKDLVRDFPSVPPRIQSWSFCLSSHWHLAAFIFADLLDFVD KNQLGSTEASQTRIQISVAERIRKASANEISDLAKVSVPSEATDSTHCNTTTPQSQSQ PQSMPPPDFHFAVNKCTILTEPWTMLIIRGFTKAGAIHIARAQDLWQARVTDPGYETH RLNSQALIFSGRTPIAVAPYDLLSATTMSTIGNEVVGAACEANLRALQQTFNATCRTG TPSTSNSVEFQSQFARFLKSFSIPRCPSPPTLTLATGVRSMGLIAALATRQVVILISP GLDTPP
SAPIO_CDS6701	MRLRHVLAPAAALLLSCVPVTLCQGLSSALGSLPDCAIDCLKTS IANSPCTIDDTPCLCTNSDLQDAITNCVLSSCTVKGSLHAKNVTQTICNAPVRDTSRA IKDLTTILSTIAGVLVAGRIIFKHFVAKMGLSLDDWFIVLTAIVGAPNRAIVVHGTGA NGVGRDIWTLPFDMITRFGKFFYIMEILYFAELALLKVSILFFYLRIFPDTRIRQVLW VTQTLNVLVGVAFVIAAIFPCTPISYFWTKWDGEGQGKCININALAWANAIISIVLDI WMLAIPLSQLPKIKLHWKRKVGIALMFFVGTFVTIVSILRLHSCVTYANSRNPTWDNA PITKWSVIEINIGIMCACMPTLRLALARVFTIFQESTVRTGYGAGYGAGYQNQASKVT TSHAVATFNGQSPKGTQPPDRAILCKKTFDIQYSDESSLVQMRDLSVRPTTSDASSGI DP
SAPIO_CDS6706	MNFHALFLAAWSCQVALAQDSVTVSMAWEHGLGWIASSLSEMGG GTYYSIGCPETATADVCSTLTPFTLTQNTRRARHTEYDGTVTYVHFCQWSPAATPGCG VSDNLRTTWETFFMTADGYKNTQTVLPLVTDAKFFTPASLTAEPSITATEPPARPTAA PPPAVPDDDTDADDDQDEDDLYDEDSFLGRGFPLGNGGSGEGAARSLQGSIAWALGAL AGAVGFVVA
SAPIO_CDS6707	MERPIKVIQAPARVMWISKTVLRSLSLILGIALLGVVAAIAATS YDDDDYYYYSYGDSFLWLTLATGVALTGLLCAGSFCAIGYDDEGTGSAARRNALVALG VIITIVHFVLFVIACWETSVRNRAIRIIVGRDGVPLKGSENASTFYQAQQVQLGIAAP PNGQQAHLAAAPAVTIFPPVLFHQVPPMQNYSISTPASRPVGSDLQVVSQPGPAHSPS EISNAGPLPIHSSPTHPPANNPPQA
SAPIO_CDS6710	MTSGNSVEIFVETELLENKKHAVVLSPEIAFETLQTPTGSALFF SIGTDGIFYLTREIASSSTGWTRRNLSNGLSAFHNGASVRAKSFDLSQNKKTLAYDLA LVVTVGRDDFLYVSLGNVHTEEAWEDGVQWVPARFNSTAHEPPSPLKIEGVYLMNLPP KTGSTPVQNCFVDILRRVNDPLKLLDRYYIDLKDGSSPQWNRHTLPIDVGAGSVTAAL GRRPTDSAPGIFTFGTVGTAKQLVYLPQYVSRTSLVPPRPSRLVTPQDPTAIASALNK AGNTNLFLAAAGGLYLYTENNQKDQSVPVLVVPSTLGGQNILGKVLSLHASTVGNTTA VWSLNTQGKLVYMTCPSGSEATPSAWSMPLPIASQVESFAFYINKAVGEANIVFCHLA GGKMQQLSQDPTTLAWSSRTILLPPTEVEAVSSFDAFTSQITITKDGLPAADLPVHLI SDKATTFQANDLYTVLKPGEPLPVNTDGSGVLTVIQEAKSLASGVCFRVQVPGDPDIE ALIDPLAPAMHKLSTIKTGSDFDKFEGLVRGDVPAGDKDSAAEVIQKLSHVRNELPAS ITSSATASVLQTATETPANSGGFGLTISPAGPQLHNLTPNAPQHRSTKKVKSGGILSS LMQGLEVIKMDFVRLGNGAWKVLVSIKDTFIELGLLIKDEIGPLLDQALEWVKATWET IKTAFLDIFLPWSDIKRTKDVFKAIFNSTANSMIEGIQDLEDRAHEFFTDLSDNIQPF KDGIGRKSKSTAQLQRERRQAGVERNPKANFFSYHLMNATTSLFGDDDQTAIQNVAVS QVAELLQNLIDLVEEQVDVFKTSAEDIKDIIDNMGTMEPVEVLIALAAIFADVFVDTA GNAINKLIRLFRLLISGVVNIMNAKIKIPVISPMYKEFVGSDLTYIDVVCLVIACPAT VLCKIFTGGDAPFPDEPRTHKIIASKTLSELRTHMWPGKYYYVEEERQRMATQASIVA THDLISVDTRADNISAQQPMEKRGVEQKTRFLVEIPHEELKKRADKHLKDAIDRTDFA LALCASVCGQVFVRFKTIQVISRKGREKMSPNNEARETDPLGRSVDIITTVFYLGVIA PNATSFAKDWKPDSWTYGNGAIAMTSWLKTFLDLVPWDDIRDAPGKIVLKADGTFGMQ PKAYWQGYISPMLDTLISLAWTAVTLRGYIVAKKRPESVHLSFVYNMFGNIAGYFAWC QMDPVPDKIKLVGAIGPSEITETSVTTASMRYGREGEIGAAKNAGHHDFSTPLSLSET QRANARGRFYYHILSHFDEPQHGFDNTTYNRPLLIKLTYEYAVPDESLDTILRAFFQA LSLDLDNDVADAIPDTQEERIRSDVIGFAEYLMDNFFLSCTLNHISS
SAPIO_CDS6711	MASTLVHLALFGVFLLGATEAGNPWRRETNVYPVDIVDQLQDEG LERLAAYMGNNPAPSNCTLETAVKRMEWSDLTVAEREEYVEAVLCLQSSPSKAPEGAA PGAKTRYDDFIAIHMLYIDTLHSPYWNWGRYADDPVSSPLFGGSSASLGSNGAPSEFP DARFPGFAPPLNVLPAAGAGGCVTDGPFKDMVVNLGPVVPTSPDVPPNPQPNGLGHNP RCLRRDINKHAAAVTTAQHSYELITESSTIDAFHNRLMCMGAQKDWGVHIGGHYTISG DPGADPYVSPGDPAFYLHHGMVDRIWWIWQMQEPETRMTLVPGPEPDGVAPMDWATTL FERYVSAYPYRPGEAGFDYEEYLDTDAVDFGWLGEPWTLRELADVVGTTGGNFCYIYV 
SAPIO_CDS6712	MRPPSLLSILLLPCLSYGIKQVLMASDQLSKWEWLEEQLSPEAL EWVSHENNLTTDALDALPNAEALRDEIVALADSDARNPDFWMAGQLFRLQKNATNQNG ILERADRKLDGSVDEWVQVIDIRELGKAEGKEFDFYSYNLNSAVLGPDGSRLLLQLTN AGSELVEFREVDVETGEIVADGFRTDPGRTTAAWLGVDHVLIAHALTGGPTNAIGWPT TAYIWERGTPLEDAAPVHAGLMTDALYVTANFGTGSSHRGLIRRYVDFSTLIHYIVSL DGSVEEVPLPTAISMTPPDIQTGRHLVVSLAQASTVNGTEFPIGTVLAYDLEAAGGPS ESRITIVHVPEENEFNPDLVLDGMRASHSRVYLTTTLNGAERRLVLEYDSPSWRLVRT TPTGDGLHAAVIASDRYTDDVVVSEGGYLQPARFWLENVDVEDEHTLHEQAAVFNGDD FVATRGVAESKDVTLIDYLLLSPVKSSYPAGELPLLMTGYGGFGITVTTGYLNFFVGG VSIVPWFERGGALAVAYIRGGSERGEAWHQAAVRENRQRSYDDFAAVAEKLVADGLTT PARMGVFGSSHGGLLAAVMGTQRPDLFGAVVADVPLTDMLRYHLIGSGAVWAQEYGHP DEPEMEAVLRAYSPLHNVRRGVDYPAFFASISTTDDRVGAGHARKLIARLKEVDARDA FLYEDRSGGHGSDPTEGMPGRNHVRKSRIAPVQQRQAARADNSNLRIKIELDLEMHLN LYATVKGDLTIGLM
SAPIO_CDS6714	MAQEASMFSANDLVAANHSSLAGGDLEVKELPLPLDFDWGAATA AYQIEGGASQDGKGKSIWDTYTHLEPSRTNGQNADVACDHYNLMPQDVELMSSLEMGV YRFSISWSRIIPLGGRDDPVNEQGIAFYSNLIDQLLARNIEPVVTLYHWDVPQALYDR YGALLNTDEFRADFERYARLCFSHFGDRVKKWVTFNEPYIIAIFGHLNGTLAPGHCAE RGNNTKNEPWRVGHTLILSHASVVQIYASEFRPTQKGSISIVLNGHFYEPFDTSNPAD VEAAETRMIFYIGWFGDTIFLGKDYPPEMREYLGARLPQFTEDERELLRRTAPINAFY GMNHYSTKYARALPDPPAEDDWTRNIEEGSVNSKGEEIGPASAMAWLRIAPEGFRKLM NWVWNRYRLPIIITENGCPCPGEDDVERAKDDSFRQRYIGLYLDAISRAIYEDGVKVQ GYYVWSLMDNFEWSAGFGPRFGVVHVDYETLVRTPKNSAYYLRDTFKRRRTVVGSQKQ AT
SAPIO_CDS6715	MASIAGVEFEHYLPANTTGVQETAPRLSWKIVDAPKNFVQTGYE VELREYGLGSDVSLSTATVDSSSSHLVPWPFPNNKLHSRQRISVRIRIKDEHHVFGNW SEASHLEIGLLSRDDWVCQRITAPWAAELPGPNPEDLFRKGFHVSGSVEKARLYVTAQ GVYEAEINGRIVGDQFLAPGWTTYSGRIQYQTYDVTANITLGVNCLGIRLAEGWFCGR LGWEGGHRNIWGPHPAIMAQLEVTYVDGTVQVAGTDESWRTAQGPVRLAEIYDGEKYN ATLEIPAWSTPTLEEKSIDETKWAPVTVMPPIPDSITLVAGYGEPVRRVQVLKPAGPV IVTPSGKRIIDFGQNLVGNVRLKNVRAPKGHKITLSHAEVLENGELGTRPLRDCKAVD EYTARGDPAGETYEPRFTFHGFRYVQVDGWPGDLDTNSIEAVVCHTDMRPAGSFACSD DLLNKLYENVVWGMRGNFFYVPTDCPQRDERLGWTGDIALFVSTAVLIYHCHGMLRNW LIDLEIEQTILNGVPPMVSPNSTLADQKWCRKVPCAIWHDVTVIAPWTLYQETGDVAI LAQQYRSMMTWMNVIPKNKTGATHLWDPRPFQLADWLDPAAPPDQPWKSHTDNKMMAN MFLIQSLDLMAKISALLGKESESRHFTQESEAARDEFHDEYVTKNGRIVSDTQATYAV AICFNILKPEQRARAGERLVELVRKNNLRIATGFAGTPFLCEALAATGHVNVAYAMLL EKECPSWLYPVTMGATTMWERWDSMLPDGSINPGEMTSFNHYAFGAVAKFMYERLAGL QRVEPGWTRCRVAPAIGAEFTSASASHLTPNGTISCSWKTSVASTGVETLELDVSVPY GTTAEVVLPSEDGEHAEVVGAGNWSFRTPIRRDYEWPIPALPPKS
SAPIO_CDS6717	MSSKRPSFDALPLRKDGPPGNAWGLYGDSDECGTLNLLTPELVV RAAGEIKGGVRVSTDLPLDFMKTPSFGRAPFRQTIKNKAPRTVNDDTLCFNTQASTQW DGFRHYGYQDAKLYYNGRTPDDITGTKINGISVWVENGGITGRGVLLDYASWADDKGI SVSPLETVSLTASTLEEVAASQATELKPGDILLVRTGWLRALRQLSDEQRASIASLPN PPAIGLESSEEMLRWLWSRSFSAAAGDQPSFEAWPCQDRKFHLHEWLLAGWGMPLGEL FDLEALSVECRRQQRWSFFFSSVPLKVPGGVASPPNGVAIL
SAPIO_CDS6718	MTSESKETGAKQPPSATFIEDARGDDREAKDVIVDAASQGQAVT GYERLTPWETIKTFKVCFVRQFATESNDDGSPALASPILAGWSSIQSVGQIIGMVGLS FISSRFGRKVAMFSYWSILAASVLAESLARRWEVWLVAKLLAGIGVGCMQTTLPTYIS EVAPVRIRGGLLMLYSFWWTVGTFFAYIAMQTMNRKMPTHYLEPIYTQWAQIGLMLFI YLFLPESPAWCVTRGDTAGARKALLKLNSDVKDYNVDRQIEVLVLNIEHEKAIAIEQK REHWYAIFRGVDGLRTVIALWTNLSQQFLGLALFSTFGTYFFQQAGLSDPFSIKCITS SINIATNIVIVGLADIIGRRRIACYSTTLMWLACILIGILGVAPKVKATNYLLVLFTC FWNMGLTANGAAGWGFIGEISSQRLRPYTAGFGAATTCVAGIAMGQLTPFMVNRNEWN WGLKTGWFYGGVGLIFASGMWFLIPETAGRSAAELDELFHRKIKPWRFHKTETATQRL VRIEKEEAVTKS
SAPIO_CDS6720	MFEPAGPPWDGFYSPMTPTVPVHGGRLEGRRPPSHPLPAKRPLP PCQETSTPASAVRRRKPAKVSRACDLCKARKSKCDGMIPCDKCVAKGHVCLYDAKYSR GRPPTPPPSTTAMIYATPAYPVHREEQRNTPPTRRSKSSDIIPPPHTSEGSFHLQPPP SAVAADTSAVSLPVLASNTTSTTMTSIEHGQAPDQDEQSDETAAPKPRGERNTSSRQS PDLGTEEIQGQVFDPTSTVTFLHRAWKRLSKQKGREKDNADQGPLSPLDQTPGHQPWM FAGDKPLSTSANTTEFQSERNPDLGNIVLPDHSYTRELVQLYFDVCIATYRFLHRGTT EAWLDTLEANLHEGKPIWNNIGKSRAAIVLVVLAISATHLEKLRRPIAATATNTPSHY LSRNNNNTTTNPEAHPDELFGMAMRLVDTETGIPRLELAQAHLILVLYLLTTSRMNRA WYVFGNLTQIVGVLGLHRRGGRNRRVAAAKADYIQSQCAIRLFWAAYIIDGHLSVIFG RPRHYHDDDIDQEFPASINDEYMTTKGPIDARDEFGEGAADSLIEALVYHAKVAQIIG QISKQVYSIKPISEQERIDASLRLSEKLHAWQASLPAHLGTVRLSSLIPSLRRQATVL RLAYSHAIMHANRLFLLGDPTASNRGPVAECINAARVVFEIVDGMAKDGPIFHAFWWT HYITFCALLVTYVWEIQHKRKRLSLGDIADHRRLIELAGRCHRHLANATATNSPSRRY AVILAEFRREAMGTLSRATSPRPAATTVHENGMGSGYGHGGGSTPRSSSIIIDPRLQE DPGIAGHGQGPTYPASTFDGPETGAEIAGPDYMLQAALADTTSSFQPGPTVLDEWQAT DWLEFDSSAFGPIFESCNDTPPGV
SAPIO_CDS6721	MPLPTHFTLNTGAKIPAVGFGTWQAPVDQIEEAVSIALRSGYRH IDCAAIYRNETGVGAGILKSGVPRSDLFITGKLWNTKHRPEDVEPALDQTLRDLGTDY LDLYLMHWPVAFRAGDNPFPLDSDGVFVLEDIDPAVTYTAMEELLSTGKVKAIGVSNF NKRRLEGLLSKTKVVPAVNQIEVHPYLQQTELFDFCRGKGIQVVAYSPLGNNQTGEPR TVDDPLVAELGTRTGLDKGQLLYSWGVQRGHVVLPKSVTPSRIETNLKAQELPPDVYQ ALTGLERHKRYNWQSRWGFDIFEEVGEEEIKKIVRDSAKGNIEKWGK
SAPIO_CDS6722	MVPLPSLVTAPPGTAMSSIRALELALPQVLPATNETSKSKGAQR DDESEIQTVDQLVRSRAHTHADSIVVSYPSSGVEYVDYTMQQLDAFAYRVAVQYATHI PVRSCSQTKPTVVVVMGPSNLDYLVTLLALTKLGHTALLLSTRISQEAVDSLIRTTGA KFFLYDQRYADVAAAAGASMSEFGVLEIAGGAKYNFPVDVYADTALCRHLDPAIEAGH HVFIIHSSGSTGLPKPIYQTHKSALPNYAIHTNMKAFITLPLFHNHGICNMFRALHSV KTIALYNADLPLTQEYLAAILSKYDFDIFYGVPYALKVLSETNEGIALLRRLKVVMYG GSACPDDLGDKLVNEGVNLVGHYGATEVGQLMTSFRPKSDKAWNYVRESEKLSPYLRW IPRGPQLFECCVLPGWPAKVASNQPDGSYATKDLFEPHPTIPRAWRYVARLDDTIVLV NGEKFNPVSLEGSVRSNRNVAEAVVFGAGRPYLGICVIPSPALAGKSEQEIMSEIWPV IEAANSASEAYARIPKDMVVLLPVGIKYPQTDKGSVIRQAFYKAFAKEIDKAYDDGDV GRGDAKVMSQDELRQFLRATLSRFLPQLEQFDDDVDVFTLGLDSLQAIHTRSQILKSV DMGNNKLGQTVVFEHPSVNRLSDFLYSLRTGTGSQEDNDVPVETQMRELIIKYSTRLS GQPRKPNAFVVTGVTGSLGAHIASQLSRDPKVDRVYCLVRAKSPTDALPRIKKNLIHR RIYHTLALTERRKLVALPCDLSRDDLGLDSSTYEEIASHLSAVIHCAWSVNFNLQLST FEKSDIAGVANLIRLCKAGAYATTAAVPASSTPFPRVPSFNFCSSVSAVARSTVLPIP ETAPDLEWPQQIGYARSKYVAEHICERAGRTSGVPVRVLRVGQIIGDTQNGIWSASEA IPMMLQTATTIGALPRLHETPSWLPVDIVAKSVTEIATSEAGSVFCNVTNPRTFSWVD DLIPALRSAGLEFEQVEPQEWVKRLRASDSDPARNPPIKLVDFFASKYDKTDFSPSKA YATGNACSLSPTLCGAIGLERDLIIKIVHHFRSSAWNAAAVPMETTKSIIVVAGPCGS GKSTLATSLAKTFNVPYVEGDSLHSRDAVKKMSQGIPLDDEDRASWLERVIEHSLQVV RDRNYPQVVCEPDLLVERVKRRQNHYMGPEMVDSQVSTYEAPQLDETDIFPIDAGSPA AAVLDEAMWTLQHACGLEVKRSPLLVK
SAPIO_CDS6726	MSAYALKNRIAVITGGGSGINLALTRQLLEAGCSVVVADVSLRP EAETAIDEYPHPASEAGRASAVFCKTDISDWTQITASWETALEKFGRIDIVVNGAGIY EPPSSSFWNPPGISPLAQDPEDAKVGQYKTFAVNTVGSIRLAQIAIDYWQQHPAVQGN LLWVASMGGYMHSMQTPLYFASKAAIVSMVKSLAGLRKALGIRNAAVCPAGVFTPIFE QEYCRDRLRPGDVALSPKDCADLMMRVLQEPQYGDGNIVEIMMVGTKEEQSISVREVG LEALYPTVRPIDQGTRAMAEELKFFEKVSKEGMRTGAGSTSQSESK
SAPIO_CDS6727	MSSPKAPKSPESPPKSSPKSTSPTPGPASPPRDLLGDAGAVIED LEDASSVYAQSTVTDTTSLRSSILDFKWENGRRYHAYQDGAYWGPNDERQQDAEDLMH EMYRIVLDNKLYVTPIGDNIQRVLDVGCGTGAWAIDFADENPASEVIGVDLSPIQPSF IPPNCKFEVDNVTKDWTFPENHFDFIHIRYMTGCIPDWVGFYKKIMRHLKPGGWIEHV ELSSVARSDDNTLRPGGPLVRWAEIFRQFGEHTGKTFSISETGRELIREAEFKNIHER TLKIPIGTWPKDPILKKWGLWNRQFLLQGLEGFSIRGLTDLLGWTFEEAQLYLVDARS EITDPRVHSYIEMMVVGGQKPLE
SAPIO_CDS6728	MGLPDPTIDLDWSGYIGAIHEIFHKNALKNPDAPCVTETASSTT PERRFTYKQIYEASNILANQLHEAGITNGDVVMIFAYRSVELVIAFMGTLAAGATITV LDPAYPPARQQIYLEVSQPKALITIGRARDENGPFAPRVQKYIDEELSLKIRVPELRM SDDGHLTGGEVDGKEVFTDTESKASAPPDVLVGPDSTSILSFTSGTTSTPKGVLSRHY SLAKYFPWMAKTFNWTSDTKFACLSGISHDPIQRDIFSPIFMGGELICPARENIAHER LAEWFRDHKPNAVHLTPAMGQILCGGAKAEFPSLKWVLYVGDILTKKDCAALRKLAPN ADICAAYGTTETNRSVSYYHIKSRAEDPNALDKFGDIVPAGKGIENVQLLVVNREDPT KLCGVGEIGKTKEKFLDNWFVDNQKWVDADNKVADHANEPWRKYYKGPRDRIYRTGDL GYFLDDSGNCAVTGRADDQVKIRGFRIELNEIDSNLRGHHLVRECKTLLRRDRNEEPT LVSYIVPEHQEWLKWLAERSLEETEDQGTEIGPVIVYSKRYRPMQTEVRDHLKSRLPV HAVPTYFIFLKKMPLNPNGKVDGPNLPFPDAALISEEASEEDLKRWETLSITEKEMAE QWATLINGLNAKTLHPESDFFESGGHSLLAQQLLLNIRKNLGTNVSISSLYSNSSLRA LSTQIDRQREGKDDSSAAEYGEAAYAQSLDNLLGSLDAKYQTADPAALSPAGKTTVFV TGATGFLGSFIVKDLLERENVHVIAHVRGTKGVPAALERLQKSLRGYSVWQDSWTTRL SAVVGDLTQPRLGLDDDTWKMLGDTADVVIHNGALVHWVKQYKHLERSNVLSTIDSLR LCNQGKPKLFSFISSTSVLDTDHYINLSQEQTSTGQGAVMEADDMMGSRTGLGTGYGQ SKWVSEQLVREAGRRGLLGSVVRPGYILGDAATGVCNSDDFLIRMLKGCIQLSSRPRI INTINAVPVGHVSTVVVAASLNPIPAETENSHSGSDDVGVHVIHVTAHPRLRMNEYLS ILSYYGYDVPEVDYDHWKAQLETFVSAGSVQKDEEQSALMPLFHMATNNLPATTRAPE LDDRNAVAVLKADADRWTGVDESAGEGISREAVGRFLRYLAETNFLAWPTGRGRELPA IKAGVVEAQAKWGVGGRGGGA
SAPIO_CDS6729	MRSLLIPLPRQHALAAPETESEIRIQSIVILTVSILSILGGGWI ILSFACFKSMRSFRHYLILGLAISDCAMALNFLLSSSMNVSGRLIRHPDQAGFCSFNG FMTQVFVVQTDYWVLTIALCTYFILADFRRLSCYVQNQRWLLMALPWVFSIVWASLGL VLAGYGDIGAWCWFTSDKVRLLVNFVPRWVVIGAMLGMYSHLAFILCRAHGRFQFPPE YSSEDPTSSGSRTLYAAMQPGPRSGVMNNTSSNGAGRQRKHQKTNLKLKMLARLMLMY PIVYMLIWILPTAVRIYQSSKGVPAPFALQTVDKACIVLQGFVDAIVYGVNESSLSNW RNLLFPRPFPVTDGISLTHVRKPIPPRFPSSRVSDCEAVIGDPANSLCTTVPETTTKW SEANESSEGLA
SAPIO_CDS6730	MYFGGTVRECVYTPWKDKYIDYAKFKSALREDRSEDDENRFCDE IFTQIEKLTEIPGATRQWPLSSASIPPLTSSRSLHTPSPLTINATPKKAKSRKLKKYG NIKYIGSLKIVKKHDHKRRNRYEFRPIMQLSLAEHFLLHPADPPDIVRQKFFTPDSRG SVSLAVTTMQCHLILAFTLFALCPLGKQIKANLQWSICDADPQTVLQKLGEDGTREPY KTTPITYYDIDPPSYSWGGLMFRTKTRRGEELSAVKVHFNSKMSDDLEAPIVEANFNR AALDTSERFLCVWDRYGNDTDFTCQIQSLLGGRKELWTDEQIRFAERCQSVTWGDLVG FGPNPNPKWRLNISSHRAVFDDVQVGPFHLMELEVKVLKDKGDEVYEKISRYLREHDI FMCHKQEPRTIRLFQAMGYDGSYHNLVKQSG
SAPIO_CDS6731	MRTRHASADPDIQIEHSKTRQFRWFNVMMIIAMSLGSLGYGCSA SIMGTTMAQPYFISYFELDTRRNGTPLKAATTGLYMAGGFIGCFLITPIADKWGRKMG IALASATVAISSALLCGSVHFGMFMAMRFINGVGAFCLLLVIPVWMAEVAPPSVRGAM GVSHGISLSIGYCISQWLGYGFLHVKSNLAWRIPLLVQCFPLIALLLMIYWIPESPRY LLMKDRPEDAATVLHKLHNEEEATIELEQIRNQIAIDSRLDSSWRRIATKPSYRKRAI IAVILASSTQMVGALVINNYGPSIYGGLHYDKESQFIFHACSTMAGTVMSPFAVWIVD RVPRNKLMAISEANNVHGLRAAVSMMFIFFAAFNGGVDCTLWPYLGEIFPTHLRVKGM ALAVASITLTDVVWLQAAPTALENIGWKFYMCFICPAILMSLWIYFFAPDTWGVPLEE VAAMFGDRDELYYADEHVEKNDEETEKEAVLVEHLHSTV
SAPIO_CDS6732	MAPLELQFPRNREFAGGDLLAQSLKSLGVDVAFGIHGGHLDSFF MGCFDSGIRLVDTRHETVAVQAAEGYSKVKGTVGVAFVTANSGFCNGLPGLASALADR NPILVITSSAPMVDTETNAIQGYIDQVDVARRMTKYAHRVPQPEEIPRFVAHAYRTAL SGAPGPVLLDFPCDVLFKPVHQCRIQWGAIGTPMPYRPGPAKAAIREAVDLWEQAIRP AVIIGSGGRNPEAHEQLSKLIAATGTPVFHGAKYRGFNLVDSRFDAGAARGLGALAAT GKPRPDLIILLACRTGMYLGGRQGSVLPKEGCKYIQVDIDGGEIGRTQPIDCGIVSDL ALALEALNEEIEKRPAFKAPNDWLELAVSVQHRTPPMESDPVEITPGRMNVYQGVKKV LSSLEPGAIICLDGGESALWGADLAHHARPYLVLGALGYLVLLGNGFGYSLGAAIADP SRQVVNIQGDGSAGFHISEMDTYSRHGLNILTVVVNNYVWAMSIHGQELFYGDDHPAR CVSQELFYGDDHPARCVSRLSPEAQYDQVASGWGATAVKVTTLEEIEPAVRSLSAADG PACLNLVVDDKPVHPVTKLYMNSDPDPMTINVPYYSKIPRPFYKV
SAPIO_CDS6733	MSDVDKQRGEAMEAELGEDAVFVAADVTDYDQQASLFETVWAKW KRIDFVAANAGIIDTHSIYTPTSPKAGVAPPKPILETVDVDLKGAIYTVYLALHYFRQ HPVAPGGKITVTASSSALYPLESVPLYSAAKSGVVGLVRAMAPRLKAENITINCLCPG IISTRLTERLMSLIPTEAITPIETVMKCYDRFINGDETGCTAEVSKDQIYLRDQPEYA DEWQRWQAENLPELGRLGREKK
SAPIO_CDS6734	MGYSPQHSPESTQDPLPVIAPASTDFQRVPEARVENSIPDDLFL SPTSVTESLESLLGAGDLFSSADWSEWPWIYDGMLIGVDPVVEGATNLPTGNEPTDLG QITPTSSRDVVLAHTYAMPARSELAVRESQEAEHNSFQRLSERTEPSLQPVSDIEIQH TVIESHIEFATMTDRDPGKPDQRARIQARHDGSRKVENAFGLEGHGSNPGLKSRHILD QFVSLFFEHFHPQCPVFWEQGFDSYAISPTLFLSMVSIGAMYAGEKAARYGRMLHDRL RSHYAKTVVQDSDEGKMTVFLLFGFLTLGAELLLGQDPTFSHAHHINSILVSQCRRLN LFNDRYVPSQHFSNYRPHVNCSDARLMEWIEANMPMKRGPTRAMIGNEGHWKQAMG
SAPIO_CDS6735	MPSYIHKYKAAAVVSEPAWFDLEAGVQKTISLINEAGKAGCKLI GFPETWIPGYPYWLWKVNYMQQLPMIKLYRENSMRVDSDEMRRIRKAARDNHIFVSLG FSEIDYATCYLAQVMIDPNGDVINHRRKIKPSHVEKLVYGDGSGDTFMSVTDTDIGRL GQLHCWENMNPFLKSLNVSCGEQIHVAAWPLAPGDAMRAYPDTATNTAEQWADLITPA YAIETNAWTIAPFQRISLDGIKKNTPPGVEPETAPEHYNGWGRIYAPDGTCVAKADKD FDGLLIADIDLNETHIPKAVHDYGGHYGRPDLIRLLVDTRRKELVTEADPDGNIATYS TRERLGLHLPLDPPNPRNEKAGLVGTSRPRQMPQRGGQPQADKI
SAPIO_CDS6736	MEDRSNRERGLKAAIHNPHISKEAKQHARDALKNEFGEEINTPF GQAQREEGPRTCVKRPRLKGCNPQPTGFRGG
SAPIO_CDS6737	MVFTPPSWVPQLPIDPPDSLTIAEFMQREEFGRRPLSSSRNPFT CGLTGRTYAAPEFFQRAGSLARAFAKRLGWSPNEATPWDKIIGIFSFNTIDYITVAYA THRLSGIVTPANAVYSTQELQHQLKSSGAKALITCVPLLETALQAAEGAGIAHDKIFI MDIPGFQLKDGKFTTVEELIIEGNSLPELDPLRWTRGQGARQTAFLCYSSGTSGLPKA VMISHRNVIANILQHVTYESVGRKSKRVDTQNVLCFLPFSHIYALVVIAHTCTWRGDG IIVLPKFDFTHYLESIQKYRVNQLIVVPPVIISMLQQKDICRKYDLSSVRFVFSGAAP LGEETIDEIKEMYPNWTIAQAYGMTETSVVVTAPSEHDIFPRASGSLLPGIQAKLIDS QGSEITAYETPGELLIQGPAVVLGYLHNEKATAETFVHHHDGRWIRTGDEALVAVSPA GNEQIVIVDRIKELIKVKGHQVAPAELEAHILSHPDVSDCAVIQVPDLKSGEVPKAFV VKAPHATSKSDELLAQIITKYVADHKAHYKWIKGGVEFLGAIPKSPSGKILRRVLRDQ DREARRKKGAKI
SAPIO_CDS6738	MTTELPKTMFAWRKHRGNPEPVWEEVPVPRCPPKGMLCKMLASG VCRSDHSLLTLEKQAGWFQEKYILGHEGCGKIIQIGDQVGETKFKLGDIVALHGVPGC GQEDCPECSRDLHQICERGHHSGIGQDGFYAPYAALDIRGAVLVPEGVTPAQAAVATD AVNTAFHAITRRGEVKKHETVFLFGLGGLGFNALQVVLDIGARIIVCDIRQECLEEAA KLGVPRSDIVPIGKSPVEFVEENDLKIDTVLDFVGTHQTFHDAQDIVRRGGKLLCIGS LNPENTIRMKVGTRKRLSYIFSYGGQVRDLEQVLDLIQRKVIQPQVQEGKLRDFPTIL KSLCEGQFTGRMALTQYE
SAPIO_CDS6739	MSLHLSPDPPSRSLKGKVAIVTGAGCAGDGIGNGRAISILLADD GCDVVCLDMDLTWATKTAEMASSKPGRGRAIPFRGDVTSAKDCEDVVNFALSQFGRLD ILINNVGIAGAPGTAVEVDMDKWAKSLDVNVSSMVLMAKYAVPAMARNEGENKGSIIN MGSVAGLKGGTPHLLYPTAKGAVVNMTRAMAAHHAKDGIRVNCVCPGMLYTPMMYEKG MTEEARAARKARSLLGTEGTGWDCATAVVFLAGPHARWITGAILPVDAGTTAAVGIGM PAGTSVNS
SAPIO_CDS6740	MADFSRYGGVSDEWPAIEASLPQPSQANSLLESRRLVNATRENL AAEGMKTLGPQVRTRDFAIPTRDGETIEGRTYRPVSIDEDKVLPLYIHLHGGGYLFGT LASEDGICSCIAIGAQVIVLNLNYRHTPEYVYPTAWDDAEDALEWVHKNIKELGTDSQ QILIGGISAGAHISASLVLQQKLGKAAVSCPQLRGQILMIPCVVNMYCYEPQLKKLKD PSVSSYEECKDAPILPIDRCKTFTDLLKIENPQVYDLKLNPGNATLDQVKGLPPTVFG IAGRDPLRDEGLLYAKLLTDAGVPTDINVFKGLPHGFRRYGANLSAAARWDKVMEDGI KWVLSNPSGSYEFEVKE
SAPIO_CDS6741	MISSDSHNGHANGVNGHANGASSSLAAAFSAANAGLDYEVLIIG AGLSGIFSMYRMRELGLRAKILEAGSGEGGTWFWNRYPGARFDSESYSYIFSFSQEVL DEWDWEEHFAPQPETLRYIQYLTKKFDLKKHMQFNTKIQSAHWQTDSNSWLLTDQNGQ TYTCRYLITAMGILNEPTLPNIPGVNDYKGEAWHTARWPENSSASLEGKRVGIIGTGA TAIQTIQAIADKVGSLTVFQRTANWTAPLRNSKITKEEMAEIRKRYATIFKQCLESYS CFIHVTDPTSVFDMSEEERLNHWEKLYNTPGFAKVLSISSDIYTDKAANDLYSAFYAD KIRQRVKDPKVAEKLIPKNHGFGTRRVPLESGYYEVFNQENVKLVDIRENPIEKIVAT GVQTRDELYEVDILIYATVTGSFRAVDFQGVNGTKLNDVWSEGIRTFLGLTVASLPNM FMVMGPHQMFGNIPRSVEYAVEWVARYLRYARDHNIQYIEATEAGMDLWTEHVHECGK GLLANDVDSWMTGVNLNLAHKQKRSMTRYNGPAPGYRKRCDDVAARNYSDFVLKQG
SAPIO_CDS6742	MSQSNSIVQLPEGRETIVVKVINPVNFGPAILERFMAPPVPGLE TFKDSPSFSFLLEHPSGRKLVFDLGIRKDYSNYAPSIVEYLPTTNYDIQVTKNVADIL AENGIPAKDIEAVIWRQLTRLGSHWHWDHIGDPSTFPASTDLVVGPGFKDAMLPGAPT NPRSPIKEADYADRNLREITFEGLGTSQIGQFRAFDYFGDGSFYLLDSPGHALGHLCG LARTTKGPGPDTFVLLGGDVCHYAGILRPSKHLPVPDCITPHPCHHPNSGISLCPGGA WEELQRSRGRESTDTLYDITFGHDIPLATKTVGHLQEFDCNENVFVIIAHDSTVRDGV PHFPESINDWKEKGFGKGLKWAFFRDLKNYWTSKGLN
SAPIO_CDS6743	MSDSEKNASLQQAENASEGGVKQVTQVIDTVHQDEALKVLETYM GEKEWTTQEEKKLTRKIDWRLMPVLCLTYGLQYYDKAMLSQAALFGIREDLGLLTGDR YSWTASIFYLGFILGAYPIMVFAQRFPIERVASFTVTVWGLTLILTTVCKNYQGIYAQ RFMLGVLESGISPMFMLIVGSFYKKNEQAMRMGIWYCCTGYVSIFSPLINYGFGLVNG GVSSWRYMYYFAAGLTMAWGLALYFVLPPDPVRAKGFTERERYIMVARLRTNNSGVRN KHWKGAQIKELLLDLKFWVTFSIAFLSMIANGPISTFAPLIIKGMGFSGLKSLLLFMP AGAYAGTMQLILPFLAYKYKNNRAYLVMFAQAGTTLAALLLWLLPMSATGGLLFAIYI LPTVGSGYAVLMGLQIANTAGYTKRSIASSGMYIGYCLGNFVGPLVFKPKDAPRYAPG FIVVVVTSIVAGLLAGLYRLLCVWSNKSRDEAGTSEAFDNAYEDDLTDIKNPQFRYIL 
SAPIO_CDS6744	MTDVVGDWHHFNGRQVKRKRAEVVCVFCHSKKIKCDLQSRNAHG HEKCSNCDNPDRECRLRPSNRGKRRYSSTAYDGRRDRERPRRAISPSSSTCASSGINA VQEAREKNAGLPSPDNTTVLDVIELPPSNQQPQAMPSPNGVAVSGPVHRTAVIQGVPD SSHAHVPHGPCPPVSGTSPSEGQGRSHLGDVDTGFLQVYGPENQLYADQTELEATLEA RRRFSHPHQQELLQSFAETYWEYCYAWCPVLDRDTLPEELARSPLLANALALAASHIQ PPLVRHAGPETYYKRARNIFYNDEEVDGLMTLKALALFYWWAPKPPATVHRHASWYWT SMIIRHAQQMNIHREPGLNHPLRGKLNISLRRRIWWTAFARERLTALCQSKPCIIDPD DCNIEEPQPSDFASDPISQHKGKIFIYWVRLCAIIGKVAKVLSRTSHLATQPFPVHLH QELVDWVHSLPPELQLPIGSGRTASFDRDVHQLHLPYLTTIIILHLKRSANDLPQALP PAILAASCIARILRDILSRGNARFLMAITCWYSGTAFIPLLQACKIEQFAQEAEEGLD VLECAMDQLKSMWASAHIISTGFERLRKLSPDNVMNENGAGIGGGRGIPPTQSGVNFE PAVASHAQGEPEVSTMAAHVGEFDWTLLFPFVTRSTSRIAECLLADKEQGAATRCLLP LPEDYLFHETLLTQYQDLLNFSAEYPLDFSDMNFSL
SAPIO_CDS6745	MTAPVDPPVLEKPTALLNGNDLSRVPATELPELANGFDALRQAA AAAASNGRVTDPFKLNPEFAYTPKKVKVITIGAGFSGLLIAHKFQHRFPEMRDIVEHT IFEARSDVGGTWLANNYPGVQCDVPAHIYAFPFDPNPDWERFYASGADILNYIKHTVK KWSLDRDLQLNTRVVGAWWQDDLGQWKVRVEKDGQQRDEFCHVLISSQGVLVHENWPD IPGLRDFKGHITHSARWDHSYDYSNKRIAVIGNGSSGIQIVPQMVKLPGTEVTNFIRG PSWVYYRAPPSKHLGRDDADPNPRYTDEERKRFHDPDYHLQHRKGIIHRTNKSFYIFV KGQNNEEGMKLAADQMAEKLNHDPVLCEKLIPKWELGCRRITPGPGYLESFLKPNCHL TNSGITKITENAVHTADGKVHEVDVIVCATGFDVSHRPRFPIVGLNNVDLQKAWAEDP ESYISVAVPNMPNYFMMMGPNCLGGHGSLVESLNWTGDYFVKWIKKMATEDIKYVVPK QDKVDDFIRYCDEIHKTLVWTGSCKSWYKRNRVDGRVTALFGGSAHLFNRMLSDIRGE DFEIKYNTANSFRFMGNGFTEYEMDPDSDLAWYVEKAETLELQ
SAPIO_CDS6746	MPLPRYTYTGPIDHTIPPNLEKVRGKSVIITGGANGMGEVCVRE FAAAGAFVTFADLNAARGKAIEAELNASTSEPRCVFVKCDIRDWDQQKVMFETAKTKS PSNSVDIVIANAGISRSSGDSLWNLDDPNGEPTKPNLNIVRVNIDGTFYTWRLAVHYF RKQPDTEERDRCFIITGSMVAYIDSPGNWEYTATKHGLRGFMKTVRRSSWEQGIRINY VAPCWIKSAIRTAEYEKWLIDRGVEFGEQIDVAGCMLRISTDKSINGRSLMITPRSVA KEGFMDVDREDYRDTPEDAYMKKTQESQLVIIEDKWLDDYKVRVYKED
SAPIO_CDS6747	MAEEDRALVTLAQECNRLSNDIREELQSLRPKRRKSKARSSLAA LKTLLVEPKIRDLEQQLQRCRDQLHFHVSTLSSENLKALLATYKEDGAKLTRLELSIT QLRQTLQNMTAMRDNSISNQILNQLKTLLHVGQDTLNEVAQDRILRGIKGGFDDISYR YQSIDKPFKDTFEWILDLSGKSPEATKFTQWLSSGDGIFHICGKLGSGKSTLMKKLCT HERTRIELEKWMNPRQKSLMGLYRTLLYQILTASPGLIQFLLPDQWVKALSQQKVHSA FEILDEEIKCAFERLAKQRNCETLGGCYFSFFIDGLDEYQATTSIDRREMVHTLLDVA NSASSSFKICVSSRMENPFMDLFSEDIRLYLHKLTRADMEEYVQGNLQHVGTQNECRQ LASSITTKAEGVFLWVVLVVQNIRKQSDDGARFSQLLAEIQSLPIELDELFQRILDTL GMRHRRMASHTVSLLLFLDKIQKVKKMQLWLNLSDFYFLEDYESDLRFAESERFPGQG YESVKESEVRARRQLRGVCRGLVEANIDTDLDFIHRSVRDFFQQKKVLVKMHDESFNN LEALSQLKLASIKQYWWDTERQNGNKDVEVMKVEEGILSRHSKLVACLLELRRQQQLD APPFGFLKSLDTIPQLSVSAMMSRALISNKTAFRINLSWEKYLELPTKELRDQFEILP YSHYEICHTSRVQHISIDYCDGMPRECVNDSIYWRKKDDKSDTESSAVPWRPYDEEVD DREDYSTAVISPLFTELCSGRLEYPLWRISRLYEMPIESDALAMLSYYAIATGIGRIW GRESDVNETALKAAGLYFLEHLFKQQILSPNFLTRLAFGGEFGIVRIAAGNQRLSLWQ HFLCWWAAVAAACGDFEEGNPRYYDGQSERSLTLEPSEGGTASDDEQSQTSLELDSAE GDTSSDDYLPRDHFEQYEVGWCWNAS
SAPIO_CDS6748	MAGGRTQASFAEFPNDQSLLIGLQALPAWNEYFDHPRGTLLGLV TAAIMFPGIVAGFPAAWICMHWGHRWCVMIGCILTIIGAIWIALAPNMTHFIVARVIV GTGGAVSKIAAPALLQESMHPRLRAPIGNMYFGFYYVGSILSAAMSIGSLYIKGNWSW RLPCLVMVVPPAVIIAIIYPAPESPRFLVSKRRNGEALDMLARYHANGDSNDALVRWE LREIEFALEEEEHNNKSSYLDFLKTKGNRKRLAVSIAVALGCNWVGNGIVSYYLVPVL RSVGVSEPAKMLSINLGLAVWNLILAEWAGINIDRFGRRPLLLTSTIGMIISYSFVMG FSAGFAESNRKSLGIAAIPFLFFFFGFYDIAWTPLNYSYVVEIMPFHLRTKGLAIYNF VQLIGNSFNQFVNPIALDAIAWRYYAVYIGVDLVYVFLIYFFFPETKKLSIEEVALIF DYDIKDAHKNAAANFETRMCADTTAKNIELDIQDKPDHIESHGKGIGQEVKG
SAPIO_CDS6750	MKRRGVCLIEPYLVVCIILLTGPQPSAKLQTWTAACTPAEPASQ AVSAAWEYPEIADPSVIETLVNVYHNVVHPILLYFHWPTFLASIRSKRYMHDRTFYVL TMAVCATASTRIHNSASIGTPPGVSRAAAPSSKAFYQACLDALPSLIMETADFDLMRT EALLGMLCLEYNDIRGCYAHQHRYLAMCSEMGFHDEKRWPPNLSEIDIQERRRLFWQQ YHFDIYLATIFESPIRHRSETQCYVLYPAEVFDDEDISASEVTIRPPEEYVSFIRGWN FVTDLYRILERVNERTRTRQLKDGHPGGPVSQLFARTSSPNDSPSAKDVLALAQELYD KLPPMFKQANPISGNLREDRYGYQSANIVITMTTVEIVLAGCEEQNVSKLCAMAGELL DQLSTIPTAYIQSTSSAMIHHLAGVGHVLGRVVNAPLSQWRYIQIRSTLLAMADLVSS LETAIRSTVGIAPRLRDHVKRIDCYMADAARTGKDEHILHSALPPDSSSNTSLNHIQG HGQDTACEKGSNLPASSKPPLDPAMPPQSSDRSISTVDVMDWPFDFGQGPVFNFLGFD 
SAPIO_CDS6751	MTSSDSFAPFRFLRTGAIIQSWRVGGLNIVLGFPTEDVYETHNE FYFGATLGRVANRIKGAMLTNLNGAQTYSLPENEGPNILHGGNKSWGKRKWDGPNRVE VRKIEGLFDVKGEQSLQFSLLSEDGDEGFPGSVDARVTYTAGWQTTERGEQVSVLQLE YEAELAGDAEETVINMTNHSYFNLTGASTITGTQVTLCSAQHLPKDAENIPVSGPEPF PAIKANEAFILSDTAPVVDHCFVINEAPETIPLDTRCLPLQNMAQTYHPESGIHVEVW GTDPAFQFYTGENTNVPAVAGMGARGPRSAFCVEPSRYVNAPEVDEWRGMVLMKKGQR YGSRIVYRGWVDKDGRQT
SAPIO_CDS6753	MDRSGYFKQPYYDEEAAVFAEKAKQPFGFTEFFTFPLGNGGNFG PALTITGKQDYIVCDGECEGIFDEPASTFYRNAQPFIPYLHPNASHNFNFHHNATGAY KVITDFLGEHLN
SAPIO_CDS6754	MYPQLVSLLLFLSSFYSASAASVSKAPHATRQTDNNPSVYDYVV VGSGPGGGPLAARLAIAGKKVLLVEAGDDQGESIPYQVPALNLQSTEYEPMRWDYYVN HFSDLSVQKKDSKMTYRKDNGDLYVGLDPPSGATPLGVLYPRAGTLGGSSNMRKYFVR LEKASYLPNGIIGHGFNGWLTTTVTDLGLIIKDIKLLTVILSAASSMGKNLLGAILST VAGLGQVLLRDLNVDTNGRDSAEGLYQVPISVANGVRVGPRDIILSTANAVNPDGSRK YHLDIKLNTLVTKIRFDNSGAKPRAVGVDFLVGQSLYKADPRFQGSSVGQPGSVNVSA EVIVSAGSFNTPQLLKLSGVGPVEELSALGIPIVVDLPGVGTNLQDRYETSVIGKTPT DFQITEDCTFFRSADDPCLKSWQSGANGRGIYASNGVSLGVVKKSSVAEGDPDIFIAG APVGFPGYYPGYSVDGTVDARHWTWIVLKAHTRNRAGNITLRSTDPRDMPQIDFNSFS DEADAAKDVKALVEGMELSRKMLNNVLPLTGGFDEVWPGSKVSGAGLSQFVKNEAWGH HASCTCPIGKDGDPMAVLDSKFRVRGTDGLRVVDASVFPKIPGFYIAVPVYMISEKAA DVILGF
SAPIO_CDS6757	MSQRDPWTLFSLVPANQRATDVVMHVSNRHLMRFDAGTYVLDVG RVRSMSGADMTLATLGRNGDVFVEGSMIAKIQCSFELEGTSSFVMFYDRSHGQTSQVF GDNVAQFVSGRPRRLALPTTMDITIGMGGRQQDYVRFRLRWYVENPAEAMREMVKNGQ AATRQENPRLARTAAEADTVLPSQRQTRIHTHVPEPKLRYQMGEILGAGQYGSVHSAF NWDTGKLMAVKVFKRPVTTTEQWKILTGEIEILSKMRHPRIVDYISSEDRHGPHPKIF MGLKDGTLTQLVKDGCTQFLAEILSRHMLEALAFLAGQGIVHRDVKPDNILYVRPPNQ STHPCFQLGDFGFASHTEFAFGRVGTPLYRAPEITSGSHRQTPKVDVWSLFVTMLWTL NVDGFREKSNRDIVDDDIINLIQQASKVTEVGKIAPMAQVNPENRPSAAQMLPLCLDL 
SAPIO_CDS6758	MCLLRFKLKGGETASTLEYAPVDSHRDYMASVAQGVVQDAFQLA EDARAIRQRNVVCLFLLASDKPDDLAQELTVLVWHGFTKDINSIALNRPIRAYDDFNA GQMVGYDGNKYRLKLDAGLHVAPRRAEAVHAALEESDPLDEHKHNIKKEFQAGAGFRS LPGNHALASGPGGDGVESAMTSFADMALAAPPAPTSASPVPPSLSPLSIPRDCWRATR LGIVGIIGFARSGKTQLLALTARLYMAQPEISKLACSAPTHVATSNFAASLHHLGVEI AKETNTPFPLVVRGYAIKTEIAAFIKIAAGQSRGAEAEEDIIDAYKARSRWSLNLSPC EWLLKVVGAKGFSVAASDPEALRPLQRPIETDRKFEGLRQFVAGKISFAEVGDYTPND VHAETARQMVRRLLEDIVMAADAVCATPFGLSQAPYAQSNREVAKAVVLDEAGSMPQA DALLVWGGGC
SAPIO_CDS6760	MAIKLRGPALYRLMKIVSGLSFCMYGYDAGVLGGMLLHKPFQDA MGNPTGVWIYPMIVASYDLAAFVTSIVIATFTMRIGRRGTIIMGNVVAVFGAILQASS YSVPQMIVGRLLTGFAIGSISSSVPTYLNECGSKIHDRGPANAINAMFLMGGVPLAYW IDYGFVHWGHQASWRLPVILQCCFAIPAGLTMFFLPDTPRWYYARNRVEEGDAALAQL YDEDIDSPAVQETKRNIMAAIEIELEANSSISWHQFLTLGIVDNTKLKIIRRLVICFW LPMLGEWQGISLLAYFAPVILSGIGTSPGTVAVISGVLSTCMFLGTVPLYWTIEKFGR RSTMLYSAIVSSLLYIVFIVLVAVGGRGEQWASVVMLFLIVPVLSYGWQANKFLYSSE ISPLEYRHIGGAFFSSGEWLMVFITVFASPIGLDTCGWPFWFFILAGNILSVIFVWLL CPETAGKTLEQVDYLFIGKGFAGLRRDGDHDIGQPEKKLEHTHVEDREESGE
SAPIO_CDS6761	MATFETQLFINNEFVDAKSGEFLSVYNPADGTLVSDKIHSAGEA DVDAAVDAAQKAFKSSWGRMDPTDRAKAMLKFADLIREKSDELGLLETRAMGSAVAMQ SGGYKQGADLFTYYAGLADKILGEAAYPTSTGRYKIIQKEPIGVCAGIGAWNVSAILF AWKAAPALAAGNTFIFKPSEKAPLGSLALGPLIKECFPPGTINIVNGGGKTGQLLASH MEIRQIGFTGSTATGRKIQEYAAKSNLKRVSLELGGKSPSVIFEDADLELAVARSRDG ILANTGQICAMASRVFVQESIADNFIDLLKTSFETVSKSGAIGDPTDKNTQVGPLADK AQFDRVMEFFEVGKKDGELVTGGVQRGNVGLFVEPTIFKNTPGHSRIVREEVFGPVVT VQTFKTEEDAIKLANDTVFGLSACVYTNNINRALRVSREIEAGTVAINDWYFPAPDTP FGGVKQSGYGREGGLEGLNEYIQTKTIQIR
SAPIO_CDS6762	MLFRNTIPLSSRHIWSCHHQFVKILQPLQQREADPGDERKPSCI VDVTFGPGGPKRTRRHLVQVDPTTDSDDAGFGVENSATPEQSSSFLSTVLEQRALPGS RNATKSISLPDEASPASRESLDLEVLDYLASNPFNLDLLAENPSPKHAVASEDMNTTR VMHGFPPVTPESAAGSSPDLYMAYCHDPAYKELHSSFHDHMVKTARNIALTRQGTPET FPSTLEKSPEQPPGSQASGRDFSHGPSGGRSRLVNFHMTEERYVELWQNYLDEIAPWL DMFDNANNWRTTVAHMAQREDCLQYSLLALSARQQERKNPGKPHTESLNLYQEAIRLI MVKLPTLRTEIIAACVLLCVLEMMCSSPRAWGKHLDGCAMLLEAAGVNGVVGGVREAL FWTFARMDVYKALIADTITTFPTNRWFISADSMSAAVRLFKGKSGSDSYANYAVFLCA GVVNILSNNGSPRALSDRNSYATFVSRWKAMYDLLEGWYNDRPEEMRPLMYVPSSKGE TNSPFSTILYSTPPGISSNQMYHASMILLLQDKPKEIVIPKSRKSMLWHARQICGISL SNSDHGALINALQPIWIAGRLMSHHSEHKAILDTLKYIEETTGWATSWRAKDLKEFWG VCDEEL
SAPIO_CDS6763	MSVDIPRQGWAGVVVNEGPDFTVEVQKLDVPEPKDDELLVRLNV TGLCMSDVHYMLNDWVAPKMSEYGVRCAGHEGAGVVVKVGIKCQDLWKVGDRVGIKPF LDVCHNCEQCWNGRENYCVKPNYTGLMSPGTYQQYLVTPAIYTTRIPDGVPDEVAGPI MCSASTMHRALIDSGLKPGNWVVFPGGGGGVGIQGIQLAKAMGMRPIAIDGGEAKKNL CLSMGAEAFVDFKETTEVVAEVIKIADGIDAIKFVGDRIGAKIMCIALPPAGEVQLSS EPSTWVFKNLHVIGTLVGTMQDTAACLDYAKRGLLKGISEVRGRSQWAESVQQLRRGE VAGRVVIDFNRE
SAPIO_CDS6764	MADQQPTNGQPAPTQDPKASSDYIQFPCLPPGGPLNRWSTKITR GHDYPGAQAMLYGAGVPNREIMKNAPQIGVATVWWEGNPCNTHLLDLGRIVKKALERE NMIAWQFNTVGVSDAITMGGEGMRFSLQTRELIADSIESVTCAQHHDANISIPGCDKN MPGVVMAAARHNRPFIMIYGGTIRKGHSSLLENHINISTCYEASGALAYGRLNAKTNP GANGRESEDVMEDIIRHACPGAGACGGMYTANTMATAIEAMGLSLPGSSSFPAESPEK ARECEQAAEVIRTTMEKDLRPRDIMTRAAFENALTLTMILGGSTNGVLHFLAMANTAD VPLTLDDVQRISDRTPYLADLAPSGKYYMEDLYKVGGTPSIIKMLIAKNVLNGDIMTI TGKTLAENVASWPSLDPGQQIIRPLEDPIKASGHIRILKGNFAPGGAVAKITGKEGLS FTGKARVFNKEHELDEALSKGEIKREDGNLVLIVRYEGPKGGPGMPEQLRASAAIMGA QLTNVALVTDGRYSGASHGFIVGHVTPEAEVGGPIALVQDGDVVTIDAVKNRIDMDVS AEELLRRAQSWKAPERRPKRGVLAKYACLVGDASHGAVTDSW
SAPIO_CDS6766	MTCAGRLRKRPIWLRKVQRLHQRVPGLKKIPLESLAIIGLLILV NAVVWIAVAIVLRFYPTLAPNAVLAWSLGLRHAFDADHISAIDLMTRRLLATGQKAVT VGTFFSLGHSTIVIITSIVVAATAAAISTRFDNFSTVGGIIGTSVSAAFLFVLGLMNA YILYKLVVQLKKVLRLPAGHEDEIWKIEGGGILFRILKKMFKLIDRPWKMYPLGVLFG LGFDTSSEIALLGISSIEAAKGTDIWVILIFPVLFTSGMCLLDTTDGALMLALYVQPA ANFLPPKPDTEPLVGDEEAVEAVNDGPRQNTFRDPIAFLYYSIVLTCLTVLVAIVIGV IQVLSLVLNVASPTPTGKFWEGVETAGEYYDAIGGGIAGCFVVVAVLSVVAYKPWRRW VGERHGKPLPSGNEGGMVLVPAANASEGALQAVEKGGSGPAEVKQNDKQTGVDPFDK
SAPIO_CDS6767	MADEAQATAKGERSPRGQASPVGETAHIEPAPQNEEEDDPTLGE ETLSSTASISSTILEFQTLHGRRYHARFGDIKYWAANDERQLESEELQHLAMTLALKG EFYLAPIDRSKIEKALDIGTGGGLWAVDFADDNPNVEVIGTDISPVQPQWVPPNLRFE IEDFTKEWTFAPESVDYIHLRWLLGSVADWDAFFKEAARTLKSGGIVESHEPQCHIES EDGSVKEDSALAKWGPMFVKAGESWGRPFDIIDKGSDWRLAQECGVEGIGRHSGEAFK RDLEGYALVAAAEGMGWDKEHTQVYAASLRKELADRNIHPVFRHRIVWGRKP
SAPIO_CDS6768	MSVSVEEFREYVESGEVPVDSHDRLLRIAFVDLDARGWDGDGVF DVVEELHTRRWSFGRGGLQFNRTLDVFYLTQIMAALYRASDQMNGDFWPTLENFDTFY DQQHDLLNRDAWKEYYSPAFLKQPTSARFYRLPNLRDLPDASDPLAGPRPQKGYGHFT KIPRWAHSVVRTQRNQPTLPKETITQIALSTLQQTTSRLRKDNHPKGQPYSETQTWFW LRKMGIDSPSRSPKESAGGPDQFGADIGRGDIDMCRWEAYYSPKLWNSMEARTVVLEP DLDGTRPSEFWGCGWPDGGTTLSVWQRGWDPEVGSEEEIEFMAAVAAKETEGVDVDLG NLDYSIRSQVLLAVMRAAFETATERREKEIEDLKQRIVEMGRIKDESKAEQWVREVLK VMAPYAQKRDQDGWPAAVEDRGELLRRILLENGQLFARWRHPRRSKEYHFEPEAAEPW 
SAPIO_CDS6770	MTAPRDYVDDPRFSSTFELPAGNGRSSSFKVKYADYGYRNEADP GKENVFLFFGSMLGSRLVHIGKDVLAKKHKVRIINLDRPGVGGTDPVADPKASMGLWR ALDLLLHHPEIIHPESPFLAIGGPWILPNRTGSTLLSIAKALPRPIISNTDKLARLIN NHLGPFIGNTIGLSAGLVSSLLPRKKAKPPAAPREDEAAEVGSAEFEAQLWSPIIDRI YAEGVAGISSDAVLFLQKGMDGWSDWGDYDTGVARLAEVLRAAGKRLRVDVFYPSSDF FVGGEGDKGPRWFNRCWEAASDVIHFQSSTVKGADHDGIWMLKFGVPQSVFETIESLG EERRVQAAGSESL
SAPIO_CDS6771	MRVATILAALSAATFVAAAPGLAPRQDDSPAVPEVPETPEVPEV PETPEKPAEPEEPEEPEEPKEPVEAPPKTPSPFEGKKLFANPEWSKKLDQTYDAFEAA GDAENAAKVRVIQNIGTFVWVSNVASLKNIDAAVETAREIQKETGEEQIIGLVLYDLP SRDCSAGESSGEFLVEEDGLERYKEEYIKPYAEKLAAADDLTFAVVLEPDSLANAITN TEIPLCEEAVPAYEEGIAFAISQLQFDHVHLYIDAAHGGWLGWDDNLEPAAKEFAKVV KLAGEGARIRGFATNVSNYNPFNATVRENYTEYSNSWDENHYTQSLAPFLEAEGLPSN FIVDQGRVQLPGAREEWGAWCNIPAGFGAIPGSSTGNNTLVDALVWIKPGGESDGQCG LEGAPRAGQWFDEYAQLLVKNAHPDIVVPGADSGEEDGDTEGDADEEAGGDE
SAPIO_CDS6774	MSRPKPPASLLKSVEDTKVEYRRLGQSGLRISVPIFGCMSFGDS KSLPWAIEEEEALPLLKAAYDMGLNTWDTANMYSNGQSEVIIGKALKKYNIPRHKVVI MTKLHWAVGEDPKATQWMHATEFAQSKDYQNQYGQSRTSILNQVEASLTRLQTPYIDL LQIHRFDRSTPIDETMRALHDLVQSGKVRYIGASSMWATEFARMQFVAEKNGWTKFVS MQNHYNLLYREEEREMNRFCNDTGVGLIPWAPLCRGHLARPPKDFGSTDRSRLEKEGA PGSHGTVEPDITIIKRVMEIAEKRGWPMSHVALAWINKRVSSPIIGFSSVERIEEAVA ARGKGLTEEEEAYLEELYQPKPIAGHS
SAPIO_CDS6775	MSSSGTTFSTDPALWIFTSLTAGSSHIVTATSRLETILRANKVP FKAVDIATDEKARMLWGRRAGKDAGGRLRKLPGLVQEGMVLGDLVEIEEWNEYGELKQ NVKIYYDDFTIPPISQAPPPPKPAPAIAKPAPPAPAPPKKTETTTPKSATPASSTTAP TQTVLPIHSIADEAAKKAKEMRLQSLREKVYGKDGAKAKDGEGEGEKKEVAKAAEKDK EDDDDDDDDDEEEEEESKQSKGKDAPKKTETPPPLRSRESSSSTASSNPTGLQSPTTA AWKDSNPENLREMIQSPTSTSWKSSHIDPPVKSLHGSLIEDASEEEIAAIERAETITE EPDEEEALAEEDEREEQRRKSITSIRGS
SAPIO_CDS6777	MITIEAEAPILPSSSNGESSKTPSNNLRILLTEPSESEAGPSEQ RTTYYTQPDFDDWPLPPLPMPPVGALDGKRKAPNKPPSPTRTPPPPPVPGPSGSGEEE SKEEPSEKEPDTKGKGKEKVEEPPEPVEEEDENAWMRYPPPNCLWQAPHVISETILNL VGTSIENVRARATEVEKARQEEEGAQQRRTEEEAAEAAKQKQKESLNGKDGEYYLPII IPPEVPIPKHSFDVERLPGVDSARSSSDMSGSSRDPALGPSSGHRRHKHKLARILNRM GASERAESSAMGAAKIFHRRSGQHDVETQSIKSTKDTRLRQLTAIAALLQKKRPQGSF IVHSVRGPGAGPPSRTVSGKGKGKANESEARDPTEEEAAGGEDETAESPTTIECISCF DDCLPSEMIKGPCHSYCRDCFTRLISTAVQNEQQWPPKCCLNEIPFQTIYQYIQSDLR TTFETRALEWRTPIGDRIYCHDPECAVWIPPDRVDGRTSTARCPAGHETCSFCRGEAH EGTDCPQDADLARTNELAEEEGWKRCAQCHALVEHREACQHMTCRCGYQFCYVCVRVW RTCTCTVQQLNELKAQAASRREARLAREAEEQTELTEALRRIEQLELEEALEAIKQSM ERERQESDRRKVEITALIHQEDARRQEVREKFSNLRDILSDLHDKQRDLLAFEADRDL EALEAERTSVLEKAREDSKAEMADFDALGLARVADLEVTLTREYAARVELERAVEDEY HARLMSFYTSWKEAGISAGDTEAEVEKSMRVLRMRLDAQHGAWKSWRDEELERARFAV EEERAIKEELLGTRRRRKEEEYRKKEKELRDRAKAGPKWFAAVAAERVRLLEQMEADE VEAGERFEGYDALEVAMVREAIEWGGEVGSVGREGEGEGDDPFVDASEG
SAPIO_CDS6779	MNSVDALTASGQARVQVGNITNNYSESATNQCLRDLRLTDPRDD KKRIEQTKGGLFRDSYHWILDNADFRRWRHDPRSRLLWIKGDAGKGKTMLLCGIIDEL ESAADTSQLSYFFCQGTDSQLNNATAVKKYDEAGKRLFEDQNAFYALRDIFNAILRDL GLTGAYFVIDALDECEHDLPLLLEIIKETTTASLPIKWIISSRNRPDIERHFTLHDSR IRLSLELNAQHVSHAIELYIDHKVSQLKPTVSNTGPLTTGLVDFLKDAVRFALRYGSI IEEAPLQTYAAALVFCPTNSKVKSQYREEMPSFVEDVAGIDETWDSCLQTLKGYANSV AFSPDSRTLASSSYDQAICLCDTVTGRVKRRLVHNSFSKSVAFAPDGRTLASVLGDGT VQLWDVATGQEMQALKGYANSAAFSPDSRMLSSASSDRTVQLWDVATGQVTQNLEGHS GQVYSVAFSPDGQTLASASQDGAVHLWDIEACRIRQTLKSCSSFKSLRFLAGDPVNGI AFSPDGRTLASAPGGGTLQLWDVATGQVTQNLEGHSGQAHSVAFSPDGQMLASTSFDY VVRLWDVATCQVIQVLGHSQRVNGIAFSPDGQTLASASFDAVQLWDMGASQVTYTTQN LKGHSRDVGSVAYSPDGRMLASGSYNGAVRLWDLATGQATQTLKSSPLWRKKPLIDGR VQLWNTVTGQEMQTLESNDKASELMSMAFSPDSRMLSVALWDGMIWLRDVATGREMQT LKGYDRGVFGVAFSPDGRTLASGLWNGMIQLWDVATGQVTQTLKDDSQSVKLESMASL LRGPPKALLARNKIVTFSPDGQTLASAGRDRLIKFWDVATGQLTGWKDVDDGWRLESV AFSPDGRMLVSASWDGTVRLWDVAIGRAMRTLSGFDVGPAISYFRFDKAGRLHTNLGT FHIQPTESSTAETVASPDHSGSLLQPIGYGLNRNREWITYDGENVLRLPPEYRVTSSA TSGSRIAIGCSSGRVLVLRFSGDNPISKPG
SAPIO_CDS6781	MARPPPSPHKPRRRPKLDQGKLSKSEALVRAPAFPLASFLWPAR SSVSQWEVLPLILMVVGLFRWAAGFWGYSGFQKPPMFGDYEAQRHWMEITTHLPISQW YFHDLQWWGLDYPPLTAYHSWLCGKIGSLIDPAWFALFTSRGSDDPSLKVFMRATVIV SEYLIYIPAAVIFIRRYSRLNGVSTWSMALALTAFLMQPATILVDHVHFQYNTVMLGF VLASMSSLLAERFLWASVFFVAALGFKQMALYYAFAIFSYLLGSCVTPRINLQRLMGI AAVTLISFALLVLPIVVGTLHDASQGIKARPDGPPEPLPLAPWLTDYLDTESFYYPVV EQLVQMVHRVFPFARGLFEDKVANFWCALNVLIKLRKYPAELLQKASLAATLLSIIPP NVILFLRPQKTLLPLGFAATAWGFFLFSYQVHEKSVLLPLMPMTLLLAGKHGISKDTR AWVGFANLLGCWTMYPLLARVDLRIPYAVLTFLWAYLLGLPPTSFNVYFSDAQHLHVW VKWATGIVHLGFYVAMAAWHVAEAFVPPPVGKPDLWVVVNVGIGTAGFVVCYLWCLGK LVVESGLVAAKKEKTKKQ
SAPIO_CDS6783	MLRYVAATLGLLAAGTIAQSATVSSAPSSTSDAPQTHTVLVGWA GFKFTPNETHAKPGDTVEFKFYPPDHSVIRADYEHGCIPYEYVGANRVGFYSQPQIMS GISEDNPVFHVKVNDTDPIFFYCGAVGSCMSQHMIGVINPNENQTLAGHLKYVEAAEF ELIPGQDWPSEGDKPTISGSSPTPTSTSSPDTSPNDTNQQQSHGLSSGAIAGIAIGGA AVLLGAAGLIYYCGRNGGMERAYRKSMSVQNNGYTGASTVPPSSPFSPGMEHKALTPM SLATSNERWSQVQMGSPPQGHGSPPLAHGQMGSPGYGGFVVPNVTGTTQVSYEAPSRE APVELPATTGEENRTNRFSWQQGNEGGFQARH
SAPIO_CDS6784	MNTTLDCETFKEFSAINDAANHTQLFMECPELCTVAFGTGNPDL GGIGLTREVAVDGYEDDEWGFGQVLAVFAWAPTLTEWTLWLFRVTIRHREDSWRSWDE MKRALRKWQMGDLDGHGHDSRPLGNEDDTELEEIGSGGRADVTSRPHDLEIGIKSINE KIRRVETW
SAPIO_CDS6785	MTSRGPSYGLGAAGPQFGASSPQNDSNPLDAIRQQTSKIEDLLD KISEPIKPYLPAIGRFLIVVTFIEDTIRIFTQWNDQLIYLHDFRHIPNGITHLFLIVN MIAMTVCSTLVIARKYSDYAVAGLMGVVVTQALGYGLIFDLNFFLRNLSVIGGLMMVL SDSWVRKTKAFAGLPQLDEKDRRMYFQLAGRVLLIFLFIGFVFSGQWSLWRVFVSLLG AVACIMVVVGFKAKFSATLLVVILSIFNVLVNNFWTLSELHPHKDFAKYDFFQILSIV GGLLLLVNSGPGQFSIDEKKKVY
SAPIO_CDS6786	MSSRGGKLAPEVNRYNVTPEELFDLFGKFGPIRQVRQGIANNTK GTAFVVYEDVMDAKQACDKLNGFNFQNRYLVVLYHQPEKMLKSREDIEARRESLAQLK KQHGID
SAPIO_CDS6789	MAAEPQSQSQSQPDVGTESAINRLLNRQAQLADQQAEVQAQLAA LLPAKYGSNIKLELLMLRHKLHALRAYAALHDVPSNPPPLSEAEEARFLQYQCECIEA AIVRNGINLWDPYLIESLKRLIPDDTPEAYGNWWLEKNIADHDPVFRRSKIRDSLSPS SRSPTTASYKCLDDSCIHYVYGFHRQDDRDYHVREHSLASRKDGGPVIPSIANTPSLT FPDNASSRSLGGDTPRRSSTYQLPKPPVPAPLRSLSIPSQLSDSDRKDSFPGMSYPTD SLTRQRSFTEADVDPLLPPLRFSRAGQSRLQSIGELKLPSTNGPCLRCTVKNLASYAV TTPPLEQRRSVNQFLEHTYNFPPNFLAFVRNWLDYDDSFWSTDEDVVGRITLSQNLLA GSAVVIAVLVASWSATDTALLFTDLLGCSGRKLGFRHRDSEEATVPVLHRAKVLLREV LYHDLQQTEPVLTLSSAPRHQRTPPPEGVVDHERQFRLVHDALTEFLHAFEHTVLRSG APDARTSLAVLIALCIFSVAKSILVDIASSARPPQQHAPSSTWDRSGALALSAVYKAL VSIYTWSCPRVLDEPSTDLPEADREVIQMINAVVRRDDWGQFGIATTNDFLMRLGASD VEGELGPKYFGFVRPRAPARLGPQMLPPLLKITDDIRKPVSDPAREGTLDNWHPASAP VLEGGVRDYPMKDATDHIMASPQAMESGLPRRHTVGESPGYSRSSVRIGPLIPGGRIR PSYQRPPLRRVFCNKCHEYPEGFRGEHELRRHTESKHASLVKRWICIEPESPNPSSPR PVVPLSKCKACVTQKRYGAYYNAAAHLRRAHFHPNRGGKASGDWPPMTALKDWMREVR QSVDVNDNNDSSSGGEEGEFKSGPPPAEYYQAPPPPQSLSQPQQQQPQQQQQLQAPPP PPSLPPPSQPLAGPEPTRFAPVLAPLPVHGTGPVLPTHLASPATGHHPIPSTTGDVMM STHSSPAAAKTPENRSRCPHPDCGRVFKDLAAHMLTHQEERPEKCPIETCEYHTKGFA RKYDKNRHALTHYRGTMGCPFCPGAGTSAEKAFNRADVFKRHLTSVHNVEQAPPNSRR VVIAGPSDGKAEAKCSICQSTFTTAQEFYEHLDDCVLNEIVPGGSKSSREPEGSKTPM TSSSPGKKKSPAREGSFITKMSPSVGERMEE
SAPIO_CDS6790	MADTNGIPNGLSNGTPNGITNGDRRVRILPLAYDGHNSEESALQ LVYAVRPEWKTPEANVKFVRCTDGITNTLLKVINEVPGASTEDVDREAILLRAYGHGT HVIIDRQREAENHELLMAHGLAPELLARFQNGMLYRYIRGTVASTEDLRDPDIYIPVA RRLAEWHATIPCIPSAPVAQTNGDGDSEAKRRRQSIDAAAPGKPAPNLWTVMQKWIYA LPTDTDQKRERQARLQTELNKLIKDLSQRPGLGENGLVFAHCDLLHGNVIILPRGEND DPLLQETVVSFIDYEYAAPSPAAFDIANHFAEWAGFECKYERLPTKSERLDFIRHYVQ AYLGFSGSSASLVAVEQEAQKLAREVDLYRGIPGFYWGIWALIQAVISEIDFDYATYA ELRLGEYWAWKAEADGTRAAEGNELPSREKRWAEP
SAPIO_CDS6791	MSLPVLPSPSNLLGVALVVNRTRDGPALVFHYPPEISPETNGQS PTDSAEDSFAHDDIILERLLQPERSRQPQGQGGFHHHHHHSGRGGREDHSISGSGSQA STSWGSVAGFPSGDLATLLSPARPYHKRLFQVSLDSLYLVSCPVHVPDNGIWKKTKKQ GRSKSRTKQVDSSINLRDLESLAASRNGGEQPSIASPEEPPQNPSEKQPAEEEEKVSS MTMFNVVFILAPKKHEVKELVNALYSNITKKVNKAYKYCQQRSDFVWKESKQIIRLKE KARESKTPIQPLWKEILEVSSLASSIREIYQSLSQNRIVSFQLDTAAGPLSLSTQIPM PFYIPDVGPDTETAQHGLWLTSANTYIPEVALEEPEFLEKNFALLLMDGEKKIISQLQ VDLDPTTIAMVEFVRLCKPTVSLYQIGQSNILGHSQVRKYAHHFISWRRAIAIPPLHA RDVYIMSPNCKLSVLPRASQQWARTFPVAPPLTNFLADLSYAPRPYKHFCPSKAHRPN YLSMLAWLMRGGWVTQLCTFAYVVVWPEIIYEVEHQIEADELRGVGKPSPSSDTQAST SPSDKNDSPSPPQQQQHQPSSSTTTTTAAASPLKPPSPTTTPSPSSTQTTTTTAEQIA EKARLERMATKAHLIAAEKATAHARKTPPTQTPHPSTNDAPHLSRIDPYIVLDAGRAT GRDSLYLEAIGKRFEDAATREAWVKFWKYFDGRSALERVALQEDMKRKEVWNLLTGMS EYLLCVRHW
SAPIO_CDS6793	MASSSFRDSINSLGWSRRDPDIPVNTSQQSGFLSSLKSLNPFRD GGYVQLPTTESSGAPLPAPNRREEEEGWFALSRWDRLMIFAMCILASVACFVIAFTFL PIMATRPRKFIILFTLGSLFFMASFAAMMGPMAYLQHLVSGPRLPFTAAYAGSIFLTF YFSLGLHSTFLTLISAVIQIIALVWYLVSYFPMGSTGLRFAASYGTRQATSWMTG
SAPIO_CDS6794	MALGTTRSEQWRLADTSNAAHVHWKNARNRGSNEDADPPPYSAP AQRPFRTQHVSVWEEYDRIRRRADFLEYYRVASLPRPINGQHGFILCNYSQMEPAPTL ELSSARCRGIRTHAYRRMLRAMKNLRAELEQRTGSGKFNKVMLYRRGIVYGNDLRGQP ISACETDSDRLPPSAPV
SAPIO_CDS6795	MPTATVPFSYAQAAKGQKATSTSTPPTPLTPAIPGSPSTGPQDP PKNASANDAALPATLSSEPRSDSFQSTTQDTELNNIPAAKAPSVSDASCTTQNDSTPS LGTSSGESRRDDDTSSEFSAQRSVKGGKPQSGPHRRSHQSRPASSGAENKRNRRERKP KASTNGADNTDKGSDAAESVKEAPPKPELFEAPIPTVNPWHQRRDAQLAKAQQSSADQ TAKPTAATPSTTAPQSNVRKGNGAQVTTSNSSKFSNDSSTVNAGHQKRSGESDSSRKN GTHHNKTEARRADPAPSDDAASWPTPDTATKEEKRKSTDKIVVEKVEKPVVEDQGTLK GKQVGKKDWVKMDFVPTVNFQTPLPQHKSTRPRGGARGGREGTTRGGSHAANPVKDSN EKGTSPSSTSSTKANNGESRDAGRDGPALPRGSSVPPMSTKRGSIDTPNAREQRKHSV PGANKSKDSSPNEHGRDKQETRGERTRGGPRGRGNYHGSASQINSQHGSFNAGYHASN GAPRQNHYSPPIRQNQFTQSFGPGSSRGGGRGGRGGSGSSRATSNNNGPNRHSQGAVM NYGDHSFHGGSVMGYPQTPTPGYFLDPFLVSALSTQLSWYFSIQNLCRDIYLRKNMDS QGFVPLALVAGFNRVSSLLQGIPEPMQYVRQACIVSRDVELVVSADDGTERLRTVNDP AHWVLPMAERNEAAQNDGPTNWFYPGQQYPVHPNVAPGAGFHPNGPANAGPNQYGSYN DSQADGRFANNVNGGERSHGYVNGYAQGQGVGAVRDTVLKPTVPEFSPRTATGDSTFA DAEKAASDKSEEEADATKPKDVANVVNGEVTAQESS
SAPIO_CDS6798	MSTLADELLQDFEDFGSDEEVADDGAIEGEAPTNGKPTIGDMSE GEEEEEDEEMTDVVDEAKILGDDAEEAKVKVEKMKLGVVKDVRSVATLMDTLGPVLEK IEKCRSQEQTAVIGNVEDHPEYHLLTQSNSLSTHIDSEIVLVHKFIRDHYSVRFPELE TLISNPLEYAKVVAILGNGPMDAEGIKKLQNSTDNPLKISLRSVLDGPSLMIVTVEAT TTKGREMSQDELNRVRSACQMIVSLDKAKTTLTEYVQSRMNIFAPNLTALIGSLTAAQ LLNAAGGLTGLSKTPACNIAAWGSKKQATPGLATNVGIRQQGFIYHSPLIRGIPNDLK RQAMRIVSAKLVMAARVDRIHESPNGAIGEQLKSACLERLDKLTEPAANKGQRALPAP DDKPSRKRGGRRARKAKEATAMTDLRKAQNRMAFGKEEKEVGYGTGEGTAGLGMIGQS NDGRIRALQIDQRTRAKLSAKHKGWGGATPMNGLSSSLRGFNQASSNIDLRGRGLRTS GVGSTIGGNMSTAGTASSLTFTPVQGLELVDPKVREELSRKRKADEDRWFKGGTFTQV GGSSSNGGFKVPDLPPSKRVDTGAGKMLPPPLPVKK
SAPIO_CDS6800	MAGSSSSSSSFATSSQSRVPSNSQPYVSPLDSTWTPTSGASGGS AVSALSSQGSQPNSLGPLDLGPPGYEATITLYENTSREKTVYLGPWEVIGSHNRRVVW QCTYRNERLEHFVPMEDVYPHTLHARHRPYTDAADMERFLTFRVPHRIRYTGEDGTVT FDGVMQVKYEFTSVESSVRFQGDVRGKVLLDYYDVDVVWSDINSRTDRFGSVRGMGLV QRLKLWRDNYSNLHSITVFANRSSRQYHEYELYWFDAEPRSKDDRTRQMRLGVRGRRG STSDLSRRFSFNRIRPRQRSVPGAGQGESSHAAAASPGLEIKYLGLQFSHRDDYRRFQ EAWAYAHSCDSEFQGIPFPQRHIELESPQILPMPAELDGRGLQPVIEPDSEDEEDDT
SAPIO_CDS6801	MYPHSYNLFSTNVILASIAFVYWPNGGEWHGLIINLCTLVGSVI GQFLFGFLADFYGRTRLYGIELVLVIISTIGVATSSYGYQDMSFLALFSWWRFVMGIG IGGEYPLSAVITSEWSSTRSRGRMLSSVFMMQPIGQALAQLVGLWVLLGREKMYGLQA MQCGLNTKYEEECRKIVDGIWRIVIGSGAAPALLAIIFRFFLYDCGLYSLEVKNKAGT ALRNTQRVYGAPPESINGFAMQANGDMFGSHQNALSQPMPVQFSKADLYKYFIKEGNW YYLLGTSATWFLLDVSFYGLSLDNRGTLSDMWAETGALELNESLPCWNSSLPGGNSTL PYWREHGLPVWQTDRTQPCNTLYDVLVEQTMQYLLTVSVASIAGSIAFIICVNRIPRR LWLTVSFFVLAILFVITGCVYYGVNRRPGAPATVAFVAFCHFMFNFGANTLTFIIPAE IFPTCYRATCHGISAAAGKVGSIIAVLIIAGIKEWYSSPTKQGLIFLLFGSFVALGAV FSWAYLPDPQRWVVDDDGKRYLESKTLEDLGEGRQKAKLAGEVITIEGKWEEFLRKRR EKANASRTSDDSQP
SAPIO_CDS6802	MSSSTATPPENDVVEIPPPSQRRPRSPNADGAVREPTDNSSVPK PKRLACMICRRRKLKCDGVRPSCSTCSRLGHSCAYDEVRRKSGPKRGYVKALEERLKQ VETLLKTQEPNASLANPSKSIPIPLENGVGRSQPPGAPTNFAVPNPSIPMASDQDRWQ FNGESPQTAAVEEFNFNGNVPMNMNNMNGTFTWEMIGLGLEEPLPTQDTIDELHQLYF EKIHPSVPMIHKYRYLAAMNLAPNQRPPVALRYAIWTLACSITDKYSDLKDLFYQRAR KYVEADYIKGYGEHMISVAHCQTHVLLACYELKMMYFPRAWMNTGAAVRLCQMVGLHR LDGAGLDVKQCLPPPRDWTEREERRRTFWMAFCEDRYSSIGTGWPMSVDERDIMTNLP ASEEAFEMSRPEQTQSLQDAMSPSGATKLSSFGSIVLMASLFGRNLIHLHRPDPDDRD HDLNGEFWKRHRQMDHILLNTSLCLPPQLKLPAGMSNPNIVFTNMSIHTSTICLHQAA IFKADKHKLPGSVSSESKVRCITAANEIASIMRMISHTDLSSINPFVCFCLYVAARVF VQYLKSRPDDSQTADSLRFLLSAMNALKRKNPLTESFLVQLDVDLEALAMRIPKLKTA FPRSTDSPAPKFSAPSSDAVRNCENPDGVGGIMAYRNECHFMRAEEDDADPRNAPNIV DPELERQNSASTGLTPEGQNFGTQSWMAEQQMGPAREAHGMPMQGMSVMQPGSAGMVG FVQSEGSGDSNDISVSPGAQSSGPTPNSSHASDPSKSRSAGGQVGGSGSNSFNASPAP THQTIPSQPGGVDGAPANFYGANAFGISNPGMAPNQRYAVPQPAMADFGMAGGWSDMP GQQGIEPVAEGVLRSLMNMGPMDAMDLSSWESGN
SAPIO_CDS6803	MAPVLRPRQSLSKDKFSAYFGNLKSTTYHDPSSTRGGGVMSHSL RSISWNPLGTLVATGAADKTLRVWNPEKPNARFSTELKGHSAPIEKVAFNPVKDAELC SVSNDGIVKFWDVRTKNCVNEVRGLGEAFTLAWAPDGGSLIVGNKADNLFILSPTSNN PISSHQQSCQTNQISFCWSGQKVFVTTGEGKTRILSYPDLEPVLHLNYKGQPRTEFML HGHTSSCLTTELQPTGRYLATGGSDSIIALWDTTDWICQRTITNMVGPVRSISFTFDG SYIVGGSDEGSGLEICHTETGDLVHSYKTAGPCPIVSWAPTRYCLAYSDLGVLRIVGV DSDRR
SAPIO_CDS6804	MASAPASSSVYCALQGLPSSVFDGVCEYLTAAGKLGKRGLFAGS LASKQLAVATSGFRLHTIYLELDGEQRRSVRETDHDDDPYNTAVWADNDGNDFWALPM KAVDFWPFGRVPTEEENARRKEAWSPLVDSIPNFTYLRDAVYGCGAQIPASLLLAQKS HPQLVRVHVHTFSLRSLYPARSQLHDIDADEYLLATSPCPTSVRAVDSSSEYNSDGYP SFNREALLHSQRRAGGSSLQRQQDRVLFDDNGLAQLCGLRRATLAPHLFTRSPSICTP GSRRDFQLRRLQISPVSYHVTYVAESHEQGQCDAAAAEFLASLPRPRVEALFQACPGL EDLELLVPRSQGNEDEARIYSAIGELHQLDRVSLRLDCSKPYIDDREDGEDEETVRRL GYPSKYIRETFINAAVDSHLAEDIFSRISAHGRPRAIKLRPVFVGEFRTSRGLDGEFE LIADCLKSGLGGVLRDYYRILGHGKYDDFYVSQPAALHVG
SAPIO_CDS6805	MYWPIGTPKAFATCNNIAPASIYVSHDGTPNPSSPNLASPNLLA PPNERLQGPHADEGDDLAPKTPLTPITPAVRSIEHEDFGGAAEAPYSGHADVPRAIAT KEPILALRVSRSGHIFAVITSTTMTLWQTKPTVVLAVVVRSETSLATYGKNIGLLLRP DGAIIVIHTSLGYLITYSMAADPDARVYRPHFPNYHNVQRRRQTHAGSSGGFAPDQFL WGPGEGAGVKDTSVRFRMVIKVDAGIESALALDDELVVATRKPAAIQCIRWSPDSTGK QTRTEILSRMNWLDKKTSVIQMTYDRPMNLMTWVTGDGQVYAVQRLQSSGAASASNHS AESSEAKRLFKGHCFHEPQDSGSRALQAVINARFSLIAVGCKDGVVRVYSAKDYSGNI PPSHTHSVPVSNSRTGKLTTLAYSTDGYCLFAGFERGWATWSVYGKAGSHTFDVDQAV PTGTGDENEWLEGVDAASWIGGSSELLLTNDRNDAIWVLEMARSAVSSCYTSSNIFRT VLQTSTSVSVYRGYDLPDLTTISAEPFLWHTAKIPSLYLLNQWPIRCTVISPDGRYVA VAGRRGLAHYSVNSGRWKTFTDPAMENEFQVKGGMCWYQHILVAAVEANKSYELRLYS REAPLDNSQALHIERIPAPIVLVTSCEDDSVLVYTHDNLLHHFILTPVSGSVRLIQVG QIAFHGIVRSPARVRGLSWILPERHLAEGDPSQDVTVASVLFLVDGKLVLLRPSVSEE GNLKYDMRVIAQNVEFHANMRDEPFSVLGLPQQQVDDGQQQLSAAVSGGVNLRSSLWV YDGNGLKVWAEVQDVLDAVSAEHSQALPDTVYIPMDFYPLSMLLDKAIVLGVESELVQ RRDISFSFFRFNIRTHLFLSDMLRYYLVRNMKTEALYLAQQYENLEYFPHALEVLLHN VLDDEVDASPRPEEAILPRVLSLLSSFKEYLDIVLQCTRKTEVRQWKTLFSYLPPVQE LFEESLQRGSSKTAGGYLLILHTLEEAESISEQSVRLFSRAIREQDWELCKELARFLA ALDETGNVLRGAMESVKVSLADEGRSDDLALRLGMGARLHLNGTVLPGRSGEAFYGAH GIQFKITPPTIPLIDLRLLCPWAARADDEGPSVWMTLLKPSAGRSSAVAKLIQDLPLI AIPFSQFLSSRGNEYFCEIDEEYILDRFNLTGLNTEVQYYQYALELVTDSFDLDCEDS MRDAIEKSARHLYGLLDKYKKAEFGKCPRVMCNSHPLLPMGLSDVPNLKPVKLYCAKC EDIYNPKSSRHASIDGAYFGTSFHNILFQVYSTLVPTKSADRYVPRVYGFKVHASAAL IRWQDHQREEMRRRLRKMEVDSGFRDDFDEEEEVEEDEDVEFEGVGERALVAPNNLNN AG
SAPIO_CDS6806	MANPRKPSSKKGAILVTTSYVFDWVVIIALGIAGYIMGDHTPNK RPFYLSDPNISFPFTTKETVPTMMLVILNSSIPIGVILIVCLIFVPGSTVPKGTPKSL IWRRKLWELHVGWLGLALSVGSAWFFTNGMKNLFGKPRPDMLSRCKPDLDNIQKYIVG GGLLPGDLNALVSADICTNTDKALLDDGFRSFPSGHSSSAAAGLIYLSLFLASKFAVT LPFLPPTSSAALNESSLSAFPSRLSRQAAEITEYGALDQKDDGTYSIRDGTVQTTVMA ARRQAAAPPIYLLVLALLPFFASIFIASSRWFDFRHHGFDIMFGYLIGTVVSIYSFRY YHLPISSGAGWAWAPRSPDRAFWAGVGCYSYATYNNHPSPSRRPLDEEEAIEMEGTRR RRTGLSPDGQSRLGTPPDM
SAPIO_CDS6807	MLGPRLATRLYGRGITTIRLSPRHFSLSNAHQYSTGTDATSSPA TTTGAATPSPKESVVLSKFMPAVNTERNAKDTVILRTYKPRTPGLRHLKRPINDHLWK GRPFLPLTIPKKGHGKGGRNSSGKITVRHRGGGAKRRIRTVDFDRFRPGPHIVDRIEY DPGRSAHIALITEQATGRKTYIVAADGMRAGDVVQSYRSGIPQDLLDSMGGIIDPGIL AAKTAFRGNCLPVHLIPVGTVVYNLGSRSKGPAVFCRSAGTHATIISKEEETREDGTK VMTGQYVNVQLQSGEIRRVHKDACATIGVASNPHHQYRQLGKAGRSRWLNIRPTVRGV AMNRVDHPHGGGRGKSKSNRHPTSPWGTPAKGGYKTRRKHNHNKWVVVPRVRNVEKRQ EKNAKKS
SAPIO_CDS6808	MPPGRTLQTRPVSNENDENSSSTRMTRAKAAALGVDELALPSKA LQTKKTVTTSQVQGLQRKRAALGDVSNVTKGEAVEGKKPAVRAGLVSKAAQPTGIRKS TRSSGRAAMVPKASRANVDVRRAGSTKASVTGVPKRKVPSISTSSGTTETVADREPLR KKAYTGDAERRFREEKEEDVVPKCEPEPVRVKPEPTIVFPPGVEDPDPEDLDDPLMVA EYAHEIFEYLRDLEVNTIPNPNYMDHQDELEWKMRGILIDWLVEVHTRFHLLPETLFL AVNIIDRFLSEKVVQLDRLQLVGITAMFIASKYEEVLSPHVANFSHVADDGFSEAEIL SAERCILGTLNYDLSYPNPMNFLRRISKADNYDIQSRTIGKYLMEISLLDHRFMAYRP SHVAAGAMYLSRLILDRGEWDDTIAFYAGYTEDEIEPVVQLMVDYLARPPVHDAFLKK YASKKFLKASIIARNWAKLNAHHFDIVDVRLTLDQIS
SAPIO_CDS6810	MRSSEDLLGQLKEFSSSEDGGFTLQVLSSAEFSYEAALERLARL GSANRRYGQETSTSEPDCNAYESNSTTKNIKVTALGSMVDLECRLSISSSIRADELAA VGALVSRTIDFEQSAARGSPTIASGIDQVATALNQELPKWAHGRFQNCVFFPQLGFLT VVEMSGVSRTDILQNDGLQDKNWEERFRDNDRVYYKNRYMKQLDERFGDVYGMIASEA CGDLDSMMALAAGAGKYGWTSPVVTPDSNIIHIEGGRHPLLELVTSQYIANDCFLGGS GDNRRELWDGRDSPGEPDSQNTIMLITGPNDSGKSIYLKQVALTVYLAHIGSCVPAKR AVIGITDKILTRITTRESACRGESAFSIDLRQVALSVQAATRRSLVLIDEFGKGTLPE DGAGLMGAIINSFLARGEEAPRVLAATHYHELVDGNHLTPGRNISLARMEVRVNLEVG SFGEPSVVYLYALKQGQGISSFGTSCAAVNGIDRSILGRAEVIADLLSRNADLQVLCS KLGVAEKRKLESAEAVARHLLAMDLQDESGSPHSVGATGSRPQAAAHPPGHSGDASFL DLPPSPSAYWNQSYSSRRREADFYDGDEGVQPESPIDPSALQFALPPSIDTPSTPLAP YRTVSTDAFDAQGNYYDDPNAVDNESDMVPLTSGAQPIAGALNPRAGQDSTPRYSFQT VSDIDNGPSRSRQTRIGFDVELDPAANQQQGYGNTLAPGDFRHSRTPSTSGALSRAGS IVRAMSQRVVNISGEAEIVDRRPSHRRPSSQTGVEQRRTQHLSIGPDLDTSYPSQVFP APNLEKKASTDFAFAEVRYPESLPVDMMNPLKGKSLGIFSPENAIRKGLCELLIHAWA EPVILVLIVLQTILLAVEAAPNVFEPGNGRPGKWGETRIDWAMLGLFIIFTLEIVARI IVSGFILNAAEYSTIDRKRGVRAAIADQYRLIFQPQRQKSVRGARHTRLEPTALPRSF TFAQRQTKTIEDQRRFQLARRAFLRHGFNRLDFVAVVAFWISFILGIFGLEKQHHLYV FKMLSCLRIIRLLALTNGTAQGNPYNGTVNFDNIFHSLELVFVIMSANTFSDLMYYTI DSDYPPAALFFGAGIMIMMLWLTNLLIAVITSSFQVIREESKASAFTAEEEDSPALSH SEDVLKRPSVLQKMYDKTGLVWVFVISFALIAQSCRSATMSETRKSFIDNTELIATIL LDIEIAIRFLANWRHFHRSWRNLFDLFLAVTTSIILIPPIRHSGRPYAWLTVFQILRA YRVVMAVPITRKLILLVLGNAMGIGNLMLFVFLITFIMALFAVQLFRGEIPISDEGGE LYRITFSTIFNSFLGMYQILSSENWTAILYAVTAAGNGFDTAWIGAVFLIGWFILSFF ILVNMFIAVIQENFDVSEDEKRLEQVKAFLQRKELGKSSGNLALSTIFSFGRNKKKVR DPLDYGPAMMEMLLKDAVVREFLDDPMDPLQESPGPSLQHPQRQFTTMAGDVKPGVLS TLWGKVVAMFSSREPNPFYSNIRFEGPNDNLDPRQMARQAVSATSARRRAQREYLARH PNYNVSLFIFSPKNPIRRLCQRLVGPGRGSERFDGVEPNKIAWYTFSAFIYAVIVAMV ILACVTTPLYQKQYREEHQFGLQNWYVWTDLAFAGVFTAEAVIKVIADGFFWTPNAYF RSSWGVIDGVVLITLWIYVATLLANDGAVSRAVGAFKALRALRLLNLSDSARDTFHNV IIWGLWKIIAPAFVSISLLIPFAIYGLNLFSGLLVSCNDESDDIVTLRDCFGEFSSAP YSEDWPVLAPRVASNPHLNFDDFGSALFSLFQIVSQEGWVDVSFSTQSITGLGLQPRP LVAQGNAVFFVIFNLLATVFVLTLFISVFMRNYTEQTGVAFLTAEQRSWLELRKLLRQ ISPSKSSYDDSEKAWKKWCHKRAIEKRGVWYTSITFVLVCHLFLLVSEYSNEPERWTK IRDTLLFVFILFYMANVAVRIIGLGWSRFRRSSWDLYSLVVVTGAFGATLALLISKTR QETYVQLHKFFLVGIVLLVIPRNDALDQLFKTAAASLTKISNLLATWLIFFIIFAIAL TQTFSLTRFGEEGGNGLNLRTVPNALILLFRMSCGEGWNEIMEDFADIEPPFCVEGDD FFNSDCGSKPWARFLFVAWNILSMYIFVNLFVSLIYESFSYVYQRSSGLAVVDRDEIR RFKEAWRSVDPAGTGFISKEAFPRLLGELSGVFEMRIYDADDSVRQILEDVRGDTTSA RHQSIGTSVGTGYNTGIDLQKLNERLAHIDVPSVRERRRRFNLFFEEVMVSADPDKGI SFTTVLMIIAHYNIISDSKSLRLEEFLRRRARLQRVEEEVRRRVVLGFFETLYWSRQF QRHMERKRAGRMAGVPQLGIPDILVDDEEVVSGDDRSDNGDGQDKRTSLRAEASGSAQ RWSWAMGPGDRGSYEQGAAQHPLSAPRLSPTSPTQPGSGSGFNFEVQTPTGEEPSGRL SVSGGDVNRPARAVGSSRVEDMLDDSVWVESIRRSATIRRSDRGSYRYGDGT
SAPIO_CDS6811	MDDHQDSRLAAIPGDENHLQHLSEHDGSTPFTGSGVLAEEDLFS ISQHASRYVSPPITHNLSAIQNLHSQHQELPLDSMSPSPYDVSYGGHGGHGSFLTDSS SFHPRPYNTTDISPQIPPQIPPQIHNPGMTSTARSGSERGPKTMAVKTGRIEKNTSKR KRERPGAAKRAQQVEKPLSELLHEFPPFEMPDIAKYVHRAKEERLREVAECKPPGRIK RPMNAFMLYRKAYQNLAKSICTQNNHQIVSQVCGDAWPLEPEHIREQFNEWAKIEKEN HIKAHPNYKFTPSKPAKKVRKVDTGSDEGSHLGEAEWASERPLRGLDAEKAARQTQMG APVSTPISIFETYDGYGSLGVPSNHSLYPYSNTGKLIPTPYDSTGFPGDHYYQQSVYS TPGQSGLVEDVVIRKTPSPASFGQSHHIMGDTAYPPIIDPYATMGMGSEHTMDPSLVA RPSGKAYDGTLDNFGFDVDPRWLPSGMTGIGPLGMHESMEPLDAFLEQDPQLQYLKGE EGSWQVEEVPPRSQSEAWQG
SAPIO_CDS6812	MWQFANLCQWIYLFGKAVKIPEEIDIELLEEECLRPHSAVLRDI GLALLKCLSSQRGLTIDHFDEYARRQFAAKAPNKNPFGTDPDPRKFDDFDITTKAPSY TDATHARKRPKKSRSSLSRPKKRSRSNQSAAPVERACEGGIEDGDGEIDNGLGGMKWE CIAITLDEVTEFLKTLQRTKDENEKVLRDQIQTHLIPILQKDEEARKRKALQRERELL NLAKMANAKRSSRIAGRMEQKKREAQAQEEEQRQQASATAARRDEEIRQKLERERESR MMARERRLKDRETRRSHYESELAQLSRDSETPDLPAHRTSGRQLQQAIQKTKQALQDL GSEEEDWVFDCVCGVYGQVDDGTHSIACERCNIWQHSKCVGISEEEADRPEFHFVCAA CRRKELEASTPSKLTIKLKVKSPNHDGGLAPKRGSFRDSSNGLELNLASNSPTNRSAP PNGEGVINSNSNNSRPHPLLAQDGVTPNILLEHRPQSDEKPGVHSTPRACAQNSLEEH GRQWLEEHTKDDKLQSDSPTNQLSVPSRKSPLLTHYAEYEPTYLPPSSRTSGLFTSVS EGTADGMGSFGSTQINRSAESSILPPVSAGISPVKPSVSLSSSFNECGNHSPSGVSTL VSPPPALSPVVREQISTPPVKPSSPPSLHRRPSLAHSSISIGGDN
SAPIO_CDS6814	MVDSDTNSPTWKFTQCFGDKADIISTVEFDHTGNYLATGDKGGR VVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQNASHYLLST NDKTIKLWKVFEKSLKVVAENNLSQDLTPGHLPGGGANLSRPLGHQQFRNPTDLKLPR LTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNIQDQSFNI VDIKPANMEELTEVITAAEFHPLSCNWFMYASSKGTIKLADMRESALCDQHAKLFEQE EDPASRSFFSEIISSISDVRFSYDGRYILSRDYLTVKIWDINMERQPVKTIPIHEHLR PRLCDTYENDSIFDKFEVVFSGDAKNVMTGSYNNNFMIYPSDPDKEVEVVLQADKSAF KAKKVGVPTPINSSTSPTTANGKKDGSRAGSPGTGPGQRMRKETDADQIDFNKKILHM SWHPFEDSIAIAATNNVYALESIIQVEPASGTLLTFVQFLFIAVTGYVSQFDISRPPF FLQQNKVPLRRWLVNIVLFFTINVLNNHAFSYDISVPVHIILRSGGSITTIIAGYLYG KRYSRVQVTSVVLLTIGVITAAYADAQSKDSNAPSTTEGRPAFSTGLIILLVAQILSA VMGLYTEETYKIYGPRWRENLFYSHLLSLPLFLPFAGSLTTQFGKLAKSPPLQWHSSP ESDPGYYGVEIWLPTQILHKIPSQLAYLTANVLTQYACIRGVNLLAAASSALTVTIVL NIRKLVSLLLSIWLFGNQLAPGTLVGAIIVFGAGAMYSLGSKPKQPAPSKVPSTNKKE RVD
SAPIO_CDS6815	MDRGDADSDSGGDELHHSSSMGADSQDDWNGMLPRDLPKRTTFY DPVAERQMSLTDAKLFYQMSLQGHQNRPGSSTWQASQSLPVDEDSVELASSLELPQPA IAMTESGRHKPGQPTSDTQPVSSPVSKSARFSRASDVGESPRRGPVTVPAGKQALLFA ENNSRDYHAFPASGRDRVHPWSSLQASDEQSPSPGVNIPDADLHMLSELSAISSDIHK LIGLRNKYINLSLQGPLDNPKDSPDWVIYPPPPEPAWVQELDAVTGSASNSLSNSMVL TSDAGYAGQGDRARGAPYKSGKGKSSRKRKPGYDIGEDFDMDDMLPLPEPSSLTFQLD ENGVYQVVFPSKSASPPRPLVRVPTLREFYMDLDEALNISADGPNKSFAFRRLQYLEG QFNMYVLLNEYQETADSKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKMKKCP DEVVLFRDGRHLTLAEVFESINLTAYDLSIDTLDMHAHKDSFHRFDKFNLKYNPIGES RLRTIFLKTDNFINGRYLAEITKEVISDLESSKYQMVEWRISVYGKAIDEWDKLAAWV VDNKLFSHNVRWLIQIPRLFDVYKASGLMETFDQIITNLFQPLFEVTKDPATHPKLHI FLQRVIGFDSVDDESKVERRLFKKYPVPKAWDSGQNPPYSYWIYYLYANMVSLNHWRK QRGFNTFVLRPHCGEAGDSEHLAVAALCCHSISHGLLLRKVPLLQYIFYLEQIGIAMS PLSNNALFLTYERNPFNQYFKRGLNVSLSTDDPLQFAFTKEPLIEEYAVAAQIYKLSS VDMCELAKNSVKQSGYEDSIKQQWLGPHYLKPGKDGNKMDKTNIPDRREEFRHLVLLE EKELLQRYIALGGLKDPLLSSPTPSVSALPGADKLEKSQYGGMSIADEGKWKEVIVDR GNGRHLEGERPSPPPVAQSSVLGSPRSPYPGMDPHWPAEHLSGSEPKIFPGVVSRGQR SNSMRTGEDLTKPPTSSEY
SAPIO_CDS6816	MGFLGVYRALYDYAPQGEGELAISEGDLLYILEKSEEDDWWKAK KKAGPEEDEEPVGLVPSNYVEEAQATGQARSMYEYTRQTDEELSFPEDANLAVFDTSD PDWILVGLDGDFGFAPSNYIELDSSTGDNTREPTPPPPSLPARPTSTVTPGSPDQAPV PELKPDRGTSLIADGPAAALASVIQSRDPGARASSPPPPQLPSRVPTRSESPESIRSP TLPTRPKTEVSTRPREVTTLVTPTFPDIQRPRDDVAHSTSSSRAPGGFHMYNVNEMVS VMGKRKKMPTTLGINIRAKTILIAPEHVKDGPSQEWSGNKMTHYSREGKHVFLELVRP SKSVDFHAGAKDTAEEIVAALGELAAAVRADDLPAALLSPTGQAQKTGQVLYDFMAQG DDEVTVNTGDDVVILDDTKSEEWWQVRSMRTGKEGVVPSSYIEVTGFTSSVSEFHGVA AGMSSVEQNRLEEIRLTKEAVRAQAREQSPQVGPGMPLPERGSSLSANEHYGNSSQQR NKREGGRDGSGQQQKASKSSMSRRAHSVGVGTNADNPIADDLLEPDPSKVRTWTDRSK SFSVEAQFLGIKDGKINLHKVNGVKIAVPVEKMSHEDLEFVERFTGGSLGTRGPNGRR SRSDGEGGRSANSKLGATIEQRKPDFDWFQFFLDCDVNVGLCERYAQAFVKESMDESI LSDVDSTVLRTLGLREGDIIKVMRSLDSKFGRSGKKESGEPDTNGQGGLFSGPGGTLR NNTRKSRPAPAVQTGDVVDPMAFSQTEPATGAEVKSSTPTATASSPGSQTTKPRAEGF DDDAWDVKPARQQAGPSPTPAVAPPEKPNTTQDASRSGAITGSMQELSLLTLPLKPTP APASTAVADAGTSGATVIPAQPQSPPQLPGASPSFFTTLSSVPESQPGPPRQRPQPPQ VAVGQGPLAPPPPTRPLSAPQAAQPSAFNPPPLMPQMTGSVHPALQGQVAPPGQSLSE IDQARLRDQYLRQIQATTQLPPYGVQGMTPGLVPLATGIQGPLTQTIVPGAMPTPGPY GPLQQQQPGNFSTAFPAQQQFPGPRAPSLNTFLPPPLEPQRTGMPITPGPVVTPGPVV TPAPLIPQQTGPAPPVRFGVQGNAPRLAPQPTGRRANLAHATPDNPFGF
SAPIO_CDS6817	MQDPFIIPPIPWLQRATQPVADFLSLPSLPLHIHEVLAASLLYG VIYYPLSPILSRLFLSRHYNQLSRARKLNWDAHVVSLVQSCLINALALWIIVADDDRN AMTWQERIWGYTGASAMVQALATGYFLWDLIVTSRNMDVFGLGTLAHAVSALVVYTLG FRPFINYYACTFILWELSTPFLNIHWFLDKLGMTGSALQLYNGLLLISSFFLCRLVYG TYQSYLVFRDIWSAVGAHPDLSYLDGQEVATHTMRFATSDSTVPLWLAVSYLASNLTL NSLNSYWFYKMIQALYKRFQVPSPEVQGGEKAVGKSTAIKEPLLQARLRQGLPAKVEP ELDVVI
SAPIO_CDS6819	MRASQNNQSVAAVHSPPTEMVPKAKASQGKHFLSGLASGIGSAV LLQPLDLLKTRVQQPNRPSIITSLRGAVRSHPGQLNILALWRGTAPSALRTGFGSALY FMLLNSLRQNALRFSVFRDHTTSAFHSSQLPTLSHTANLFTGATARILAGLVLMPLTV IKVRFESNMYSYRTIRGAAQDIYRAGGIRGFFSGFGPTAIRDGPYAGMYVLLYEGLKK RLSQASTAQQAALGSKALDPGKSSTAINFTSAIVAGGTCSLISNPFDAIKTRIQLEPD RYTNMMQACRKMVAAEGLRSLFDGLALRMARKAMSSALAWTVYEELIRIRWRRE
SAPIO_CDS6820	MSYYSDDDSDIDIRIERRRSPPVHYVDMPTYPQRRPRHYYPADH SPAPVYLEPERTTIIATRSASRDRSRERMSSPPSSHAVPVVINNRIYNEYSSDDDDSN HRLQLVRPRRRSRSRSRSQSGLMTREEFEMERARRELHELKLAQELEDREKRTAKELQ EEADLKRAKEELDAIKRRQAQAEEEARIKKELELKRLKEEEREAEEQKHREKAAEEAV ERYKKQELERIHREKEEHALREREYQHRLQEQLIQSGLDEQQITAILRKERVPEPKPE QPNPKYTRMARKHLSLETLRTFDVDYLIDEEDSNYVIIKRWVPEWEQDAFWKHTKLVR EKRSKLILSVDDKKHHHHRHRSSDFEWVRKKSDRRRSKSPALLMYLAGARPA
SAPIO_CDS6821	MAISNAALEKLIREIESQAAAAEQQISLSRAQVTSKQREIRLLK LTLEELSGVSQKTPTYEGVGKMFVSVPPPKLCEKLRSQVKTLEGDVESLGKRLVYLET TRKNSREHIDKMLKQAPVKGLGAN
SAPIO_CDS6822	MASRWGSFLQQAVAGVEARLDTILAEGDSASNSPRASASDPSSV ENRSRPSTDTNNSAHSSTRLQERLNKAVATKPTSSKNITPVASATVPPQPNRKSNHPE IQPTPIEKSSACEANLEDNDQDELENAPSAHSMSTVRSASDRPAVLTLVEAGPDTAPD HQIGDPQSTSHSCSSCRELRDRIAFLEARAVQLESQNREEQYEQVERADALESKLKYL AGEMTESARKSAAAAPAGSVEQLLSERDEKIGLLMTEGQSLAATERKHRSIIKKLRAQ LAESDRVVSSLKADNEKLVSELDLLTKSEVRNDELQAELEILSLKYDDSRKELARLRV DSADKDETISRLKAEIGKTAMSLGPPNSNPDSQASEEAQLRIRELEGALAALEAEKAR TISNAHKEADDFRRKAEAAQEQCLQANIEVRNLEDKLEAMRIVVEETSNITGGSQAKL LRQIETLQSQHAVASENWQGIEASLLSRVAALEEERNEISRRETELRKKAKDLSSRCR QQSDELQQAGSQIASYRDEVEVVKKQLAHLQKQVELVDTSGNQTSRLTSEEQPEPSDS GCENIGRDAHASTLIPSAEAVYCLSPKTIPQRTLSFVRSHSDGISRALPTISASNGPD FESLRPSFNLSAALSHGTAGSPATPTSPSIYTQQQGVPSNTTAGIINTDDSHGVFEEA VDAISSPRRGTQDMLSVSTMAAGPSIQLVERMSAGIRRLETEKVTTREELLRISNQRD DARAQIVSLMKEIETYKQSSARAQELEKEVAEINERYLTTLELLGEKSELVEELRADI QDVKAMYRELVERTVR
SAPIO_CDS6823	MADASPFALHEAARLGNGIVSSQAFLLLELMYAPRQASAKDADG RLPIHWAVSANSTEIVRLLAELRDFDPDVEDDSGWSPLMIAVNVKDSEEVLSILLAKE ADVNQQNHNGQTALHLVASKGNLDVARILFRQQPPASARVRDKRGQYAIHRAAAAGST PMIALLLSHRSPIDATDSSGYTALHHAIAEGHGDAAVALLKAGADASKRDNDGMLAID LAPDKEVRRFIQRQAEQEGIEI
SAPIO_CDS6824	MEPGPEWHAPWKLMRVISGHLGWVRSLAVEPDNKWFASGAGDRT IKIWDLATGTLRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYH GHLSGVYALSLHPTLDVLVTGGRDGVARVWDMRTRSNIHVLGGHTGTISDLRCQEADP QVITSSLDSTVRLWDLAAGKTMGVLTHHKKGVRALTLHPTEFTFASASTGSIKQWKCP EGTFMQNFEGHNAIINTLCANADNVLFSGGDNGSMSFWDWKSGYRFQSLDTTAQPGSL DAEAGIMSAVFDQSGMRLICGEADKTSRLPNFLLE
SAPIO_CDS6825	MPSSEASVHCSSPSEWEWVYADELLSPDGSQSPRRPPNGDHVSR VIVGARLGRDEFYRGDCVLLRAEGSGPPWVAIIRQFTTDNHGQMAGDFVWFSNEKEIR NTARKRTDFLPVRTTFPPGAVSITDPATSSFQAARSRLHVASVPTSLPCREKEFNEVY LHLQKAISNGSSNCIYISGTPGTGKTATVREVIHRLEHAVEVDELDDFIFVEINGMKI TNPHQSYVMLWEALKGDRVSPSQALDLLEREFSHPNPRRVSCVVLMDELDQLVTKNQS VMYNFFNWPSLRHSRLIVLAVANTMDLPERTLSNKISSRLGLTRIAFPGYTHDQLVQI IQSRLEGVPGKVVDPDAIQFASRKVAAVSGDARRALDICRRAVELVETDTFANGPMSH SKASHENKNTRRATVSISTIKRAINEATSNPTQQQLRSLPLLSRLLLVAILGRIKRSR SAETTIADVMDEMSLLLRASSTHRGNDAVDAVASLICDGQMDSSPQASALTPRLAGFG HAALELETAGIIILEEHTPNRPSKIRLAFSEGEIRMAFRDDREMQQLGIKP
SAPIO_CDS6826	MADNNASPSAAKPADIPSTPAAKPAGNASSQAVKPAAGPTPTQR ELELIYLAFQSIKDASIDYTKFAELGGFTTVASGRASWCAIKRKYFKDAEGGASETTT PVKKTPTKGRKRKAAADTAEENAGEAEGEAATGSAKKATPRGRKRKTSPLEGKSTAAS ARKSAATKKAANQAAEVTATAETGGAFKDAKDDEKAENASKDDGKSVQDDEKSAEGDE KPAEDEADGETAQQDI
SAPIO_CDS6828	MASHEELSRLYEGQLIPPSFNAGFVALSYVVSFIGAMSTLELIN RRTAPRGLFNHVLLVGSAVAMGGVAIWCMARFQHFIGSLAIVLADGQEELQISYTSGI TAVSFFVPIIVLILAFVAIGADSSHSWPRVIGSGILAGCAICGMHYLGNASIANYSCI YRPGYVVGSGVIAVAASTIALFLFFVYRAAWANSWWKTLGCGILLAGGVSGMHWCAVV GTAYRLKKISVGGKSMSRNVTVIVVIILSVGTCLIMAGTAIYTARVRRQYANKAQQVV LAAAVFDQHGRILINRNGLLPSEKITDTFLDKSTREKFDTGSPLFQWMFQASRNWSGI QPLLDGMMNHLAQLPRLGRDRDARTNINLVSEHGEVVKKYDVIFRELFCVAAASLSSR LRESFSSGGILYEEILPTGSGRLSLDESSSLNESLDSTIDPKDAAQVRVRDIEKEAER KKQGRAAGSLMLLVKKVESDRAIEKLGAAGYRFADVNQVASYISASMQIKDPNFEHKL KRLANYSDSRNTLEPGPHVGLFGIRPRHSGPGYDVLVRKEAKNLLPSVPLPVGKLSSS HVEFLKRLDRMTVADIERKLPMWKDLAPNEATFARHLLGAIQDLYAWVGDKCIDDARL TAKTFRVPNFTQRDEGGTQQEHYSVMIALRAVIPAEARVVSANCVFTPLSFFKVRQLT APGSTSNSAFTRAVHRELGPIINAAPRRNPDQEHHQSTMFSGKGLPGKLRRFGRNSPY GHRVDGDGNPIPTVFGQKAAPSVSNQSSSTLKLWGRRSVDQAHGSDPTYDKPPAQALS MLGGIMISQEVTVNVQNASAGNSSGSEDGNNDRASQSSYEGQRHGVGDAIELANLHGG KGMSSNIEAERFNELVTFVDELYALCVDR
SAPIO_CDS6829	MAGSVKGIVAHETSEIKSSDASTAPTPHASSSAVGLVQEAPVQS WKSYIWDTFDKSPEERRFLFKLDAVLMTLASLGYFIKYLDQVNINNAFVSGMKEDLGL YGNELNYMQTAWTVGYVLGEIPRYWIPACEVTWSVLTIILAKCKNAPQLYALRFFIGL AESAFYPGMQYIIGSWYRKDELAKRSCLFHAMGNVGSMVSGYLMAGTHNLDGVHGFHG WQWLFITNTIVSLPIAISGFFFLPDLPEITNAWYLTPAEIDLAKRRMELEGRATRAPY TKSKFRKIFSSWRIYTLVLLYIFFNNGNGGLSQPAFPLWLKQEGYSIRQINIYPTIAE VISIITTLIYAWTSDSLFRGARWPAIVFSGVVKIVAYTGLTVWDIPSAFKWACFLLCG FGGGISGLTFAWAHEICSDDNEERAIVTGSMNEMAYVVQAWLPLLIWQQVEAPRYAKG YPSMVGLSVALIWIAFVIRYLHKREKRNKLSIVESNA
SAPIO_CDS6830	MDPAPIDPSIVDVAEPIKDNLGLPDPARRRLLKAGIDLSAGYPY RPARPLYLQDVYNIRNKERPHNERGAVADKSKSALFSAATKVTDLTTYIGTEIEGLQL KDLTDQQKDELALLIAERSVVFFRDQDLSPQQQKALGEYYGEIEVHPQVPQVPGVPGV TVIWPDLAATERPASFRKPGGASRWHTDLVHEKHPAGITHLHNDTVPPVGGDTLWASG YAAYEKLSPEFRRFIDGRKAVYRSAHKYLDREKPEEGPKFIERIHPIVRVHPATGWKT LWVNRAMTDRIVGLDPAESDLILGYLFDVYERNVDIQVRFRWTPNTSVLWDNRITIHN ASWDYGGNYPRHGTRVTSLAEAPYFDAAAPTRRQALGLISEGEIEELLHDGSLPNQQP FLDNTQQPQIMSASDQPAPPSTAQWGPISSHGKRLVWSLQDPLESAISVMPENLDPEA PREPYFQQSSTGSNWHRISQEVLIESTVASVTVESADLSNWASSWALLHMEHADADTD DDNSGDENSSNGPCPGCGELPPREYDTLVVEASDKPYVTIHDYITAVHLWLMSLRQDI LESRNVWDDEPPNPDTKLIVCPGAPHYVVIDTEENWKCETKNRYDDLNREETPFDNAG PKGKKRKRDED
SAPIO_CDS6831	MWPLTIFTITSLLPLGLGAAVKPGVTPRHRDLDVPPNLLAKRAN LDPEDIEYAALYLRHLADTNNNPLWTMPKELECSEWTLPLFGAGTVLALAKHINPRTI SSVTYYDIARTIDGGEDATEEQRAASLLSACGANGGQLTVTVNASDPTYSSPEYIANG NSRVWRTLILQGRCGIGLGCKY
SAPIO_CDS6833	MAPFWRKDTASTPNRVIDIWPEVVFLEYNCFYMRDICKNSENWF ATPKGMARVPRYRFGYDFNTGKSNSFRSAQRRSASCPSSWKRNHVCPETDQLEVMRHD GQWPHNDLEPNTNVNQIRSRMVNGRLESSQLRYTCDEFPPATWIEGGSGFDSVEARAI AAETRCAAFRCGKGVKSEQNWQATAHLKLQHELKAVVDRRHRQFPFYQAKDSVALFMF RTTNVANGYAAKIYTYKDASQSGQVHDPVTVSQAKRAAAAGNETAEREAFWEWADTVT MEELVAMGPSRVREDRVFANVTICEVESLYDASGAWMNFVGADLSGPMSPRPRHETTK KARDIDVTTDQSVAPLAKTASSSDLARARAIVEEAILKSAELNTARLEHPLRNKYSLH PGTVIGGSIARRHRRDEVETVPPLLKITDEIAAAAALVSEADALKLSGNIIKRRIAPY ADDTKAIKAAINDQKQCSEKCDCSTIKNAIVYFPPGNYRVSSTIPVPFGTQIIGDANS RPTIVATRNFIGLGVLSVNEYTGGGTGPDGLDQQWYVNTANFYRQLRNVVIDITQTRA VQKVAGLHYQVAQATSIQNVEIIAAPGSTQMGIFAENGSGGVISDITFRGGQFGIYGG NQQFTAQRLQFIGCDTGVRIIWDWGWIWKSITMTNVRVGFQLLTEKQSSNTKRQTVPG KASEGNEKPGSGSSSVIIEHVNFQGIGKAVADTSGATLLQASGTIDYWALGPVHGAAG TRDFSRGGKIGSFRRVNGLLDDQGNYFERAKPQYEDRALGDFVQIRDVGAKGDGVTDD TAAFQSALYASQGKILFVDAGSNPKVMVRVGSPGNVGDVEMQDLIFTNRGATAGLILL EWNIRAASPGSAGLWDCHVRIGGATGTELTPDECPALRSGIAPGCNAGSLMMYITLTA SGYFENMWLWVADHDIDDPDLVDANNTMVQKSVYFARGLLVESVEPTWLYGTSSEHAV FYQYNFHRSRNIFAGMIQTESPYYQPTPPPPAPFEDAVGKVVGDPSYVCQADDEFSGC DESWAVIMRECANIFVAGAGLYSWFSTYTQECIDEHACQKALMLLDDNFSGVRFQNLI TIGAKYMAIMDGVGINATDYLNVESHPRWSQISVLDVQSNGAQYDEKIWIDPKIWDME QPAFTCSPPCLVNIPPWTKATRVVNYPKITVSDGDWTTTVTAPPVTITQLLFEVVTLA RGGGNRRRAVQDFTPFWPKPATTPYWPPITFTDRQGNIATTAAAVAFPAPPDSIGPDA PPPEAGQWPKRWIEPWDGLIDDPFVQPCYWTDFGCFPDPFRDYNPAPDPGDDFDENWE DASVTCPAPSSTTTASKTTVTQEPEPSPTETWEKATNGQLSAASNSFCRSLGDEGVVL RVPAGRSSQVISRNYEPPANGQWPINIDVSLEIRNGCEFTVNYNQCMRYLKVPIDSCD CGGINGKQGGWVANRCYTWRIDPQTF
SAPIO_CDS6834	MESPASADSDPQQDLELCCQICGVSFNISRIRHANEPFSASWCG KDEGDWIGERFLAPSYILATPASLESCQGCVSVARMRTVDGDAVDDCGSEYVYESDES SEPYENVTPPESLGESGQPEEPGLGQPQERNLTSPELGEADFDRSSTASSDYWHFISS LGSPDDPILEKPNPSLDDLKRSSLNGVEHVAAVGCRSTEGYNGHNISAEEMRACTTAQ FLVPKLCLHGAPWNSEPDDADFEVKPNLSEVTSILLSGAAYTRHALALGMLV
SAPIO_CDS6835	MTSPGYVRIGVDVGGTNTDAVVLDPSQHADENRGVLAAYKVPTT HNPTHGIEKALRIVLKQSKVPIGNISSVTIGTTAFLNSVIERDTRHLSKVAVIRLSKS FLRDVRPFCDWPQTLADIIQGYVGYVDGGLHIDGSEETSIVEKQVVEVCQEIRRLGLT TIVVAGVYSPIDTKFQQEERVKNIVAREIPGADIVCSRDVANIGFLERENASILNAAI LRFARRTIRSFQNAMKRLGLSSCSLYLTQNDGTLLDATSAARIPIRTFSSGVTNSMRG AAYLSSHTSGEDGKSKSAIVVDIGGTTTDIGVLLPSGLPRQASAYVAVAGVKVNYSLP HLHSIGLGGGSIIRTLSEDKISVGPASVGNDLARSLIFGGETLTATDIAVAAGKANVG SKDAVETLDSSIIEAAQVRIKTLLQNGIDAVKTSPEPMPVLLTGGGSILAPESLPGAS ALIKLPFHDVANAVGAACSKVGATIDIIQSTAQQTLAEATEAAKKTAVERAVEFGALR KSVYIAKLDVMPIPYLENQIRSVVTAIGELDHKSQKQQEIPEDDSDTDTDDAPVAQKE VSSDFEGEEDRFDHDNYRPKVVVNKETDVAEWHLSSVDLEYIADGCYLLGCGGGGNPQ PGKLQLRDMLAAGHKIRVVDATSVKDDARVYWGGRMGSPAAISERLQAHETVLAIKAL MEFLRHDSFDAAMGLEIGGSNGMEPFLWGSSRFFDRPVIDGDFMGRANPMCWQTTLTV HRPGEFTPCAIDSGDGRTMLMTRAPNDESVDKPLRGALQEMGSLVGLAARPTTGEAAK KYGVINTISLSWRIGRAIARADANNTISTVAEAIIDEVGGEKSAKVLFRGKITAIERT LHNGFSVGVLHIAETPAEDEEDQNAESVSAVAQGGTLRIPFINENILAEHVSDDGKTK ILATVPDLIALIDSQSGKPVGVPEYRYGCHVVVLGIACSPRWSETPRGIEVGGPKGYG YELEYKPLGQYVEPRSVIAEFLPYE
SAPIO_CDS6836	MTRAFSKLKQLVQLHQEDTDLPPDRWQNRDLVPLPPSRRQWKDL DFIGLWSTVFLTIYGWQATASVLSFGLNVWQSVICTIIARLIQIAIVLSLGWIGGVWH ISYTVQSRYTFGIWGSLFPILLRVAVTCVWYGIQSFTGGLLISCLLSTIFPTFQRLHN TLPASASMTTKQFVGFILYNVVAIPLLYLPPDKLRIPLRVALVASGATIFGLSIGLMA AARGVGNYISAGATASAGPELGWAFVHGITALIGGNAVGMTSQTDFSRFAKRPGNQVW GQTFGVLFFGIVVPVFGVLGTSAASKMYGDVVELGLWNPPNIVQLWLDTDYSPKMRAA SFFVSLGLLLNILALNSVENGVSGGMDFAGLWPKYINIRRGSYLIAVVSILLNPWQII AKASTFTAALSSFGIILGPMMGVFTADYYLVRKQKVKLSDLYHARPEGIYYFFKGINF RSYIAWILGFAPSIGGMASLDAKNTIPIGLVRTFYLGFIIGYLISFLAHWGLNIVFPP KGLGEIDSHDVFGTFTPDEAAKLGIEASIKDGRDFTAEDAAAAEKNDEIQRDSLE
SAPIO_CDS6837	MPVTELTSLEDYQNTLGQPGTVVVDFYSTQCPPCKVIAPFYEEI ANKPSNNQVRFYKINGLEEPGTTIQKAAEVVWWPTLVIYEDGKETWRAKVPNPPSIQP IRDLEKILDDRSKSP
SAPIO_CDS6838	MDSGEQKQPSWRIKFQLGWSMVTADATFADKSGLHPNVLLLTIC PSLLKRIASPILPYLPETIQSIAKAIWPGLFLPSQVILKKLKPDWDEEFDHEKTTYEA LDTLQGDVLPQLFGEAQFEGTRALILSYVAGVSCDKVTHFEVEDFQQMLEQAFLPLQR LGYVHDDPRLDNYLLVEDRKIVVLDLEHLCEDDMDTVDFTAGTILEMLMLWYKRHKEA VETGNIR
SAPIO_CDS6840	MAEALGLAANIIAVVDLFLKLGVLCSEYCIGFKHAPREIKDLLR EADRWVLTLREVETVLDGPNANTVKASKSIRQGVEDCRMELGKLTAKLQEGTGHRRIV WPFKRTEVAETVGRLERHRTSIATGLLVHQTTILVDIHQQIIDSKLRVVPRAAYDCHS DADSARCYQGTRVEILANIQTWANDVANDSKRIFWLNGMAGTGKSTISRTAAERFAKD GKLGASFFFKRGEGDRGHARLFFPTIAAQLARQLPQLAPHIRQAIETDPSICEKSVKE SFDALIQGPINHLPSCSSSTIKIIVVDALDECDNLNVIQQILSLLRHLRQPQSIRLKF FLTSRPELPIRIGFRNINSHHDLVLHEVPRRVIKHDLSLFFKHELSNILNDYNKSVLP GRSLPLDWPGEENVRKLVSKAVPLFILAATISRFIQDRRLGGPREQILKVLDHEETYF SGLAATYSPVLDQLTVGLDKLAKERVVDKFKDIVGSIVILASPLSAQSLSNLLNLPLD TIENQLDLLHSVLNIPPDPTAPVRLLHLSFRDFLISPDQDGHTFWIGEQRAHYHVAVR CISQMDSGLEKDICRLQLPAASRADLAQETIDTRLSPEVQYACRNWSYHWKESKHRLQ DDDIVHRFLIRHLLHWLEALSILGHVPDGVGMIQDLINLLDIESSTEIRAYLHDIRRF ILSNRGVLHDYPLQIYSSALIFAPERSIVRNTFRNQFPEWVSQLPNVTPNWDLCFESL SVPGEVIMCHVFSHDSTIIASGAGNGKIRLWDVATGLGQNVLTGHADVILALSFSHDS KLLASSSDDKTIGLWDVATGVCKTKFEGGTAQVAFSHDSQLLASVWGPTITIFDVKTE TRYRTMEWNNAKAVVYSHSRLARLLEFLDNSRMLAVSYRHEVKIWDVATGTCINSLNM GFHPQSMFFTDDSKVLVASRNSLVNIWDVTSGTRVKKLELNPAWVVAKNSHCVPKDPS ASLKAPLTWFGSSDCMAFSPNLKKRVLDASGHLVAPWMAASNYGARISGGVSHMSFSH DSKTLAVRTHGFGLEMWGVAEPGLEGAGGRAGESLNDAILKIGISPNLKLLASAGISK LRVQNIFTNTTVLEYPRLCRQVAFSSDSGLMAVCFVDDEGANRIGIWDVVSGGCVHIF DDRFESEVSRLEFSHDRRFLAGYYCNTAGEYPFLLLDLTTWASMRIFEGEDCGSALST SFIFSRDSELLALAHSPQFVGIRHMTTGTHYTITGSEYPDIEDLRGLVGFLSNPNFML NAVEENRVLEMLRILLSST
SAPIO_CDS6841	MRAIVLSIASAAAVAVNPQFQHPHSLERRQGPCLGGSYDSCVSF CCQFGYECPCSATGNCDADCYCGVFCDDNGGGDDPGDDDGPGDE
SAPIO_CDS6842	MKLSNLSIAALFAILASTGMAAPAVDSPDSIVAREPAPVEEAYE APSGLEKRGFGCPGNEKKCHNHCKSVKGYKGGYCDGPYIPFVGRPMAPAYLLYLLHLS LFRRNVLAPIGVDPNRLGKDDHRHASA
SAPIO_CDS6845	MIPGTEVLIDDRDTSDSVVLIPHPSNAPHDPLNWTRLWKILVLF SQGSFVLFSVVTNLSIAPLAPIYMAEWGKSETQVALLTGATVLSLGYANFIIIPCSDV FGRRVVLIVCSLITIGSCIWQATATSYSSFLGGRILTGLGAAANESIMPVVVADVKFL HQRGKYIGVYFYCYFMGLFLGPIISGAVAQRTSWRWFFWACTIAQAINLVCLLVMSPE TRRVGMEPHSSQDAPAFESPSDSGDAEKNEYKEQIESYSAPINITPECLGHGKPVRDQ FGIFHPIDRQALRQILQYFIIPVEIFFFPIIFWAAMSMGAAANALLCVNLLQSQALSA PPYNFSPQNVGFANFALVAGGIAGLSIAGPWSDWVAMRATKKNGGIREPEMRLPSLIP FIAATVVGMVIVGYGWQKGWRWEPVIIVGFSLVGFIVVSIPTLCITYAIDCYKPIAGP IMVISTVCKNTFGFGMSYYINSWATKSGFGPPVYLLMAMTAGFPLVGLIIFSFWGKSF RRWTRNSRLHRM
SAPIO_CDS6846	MASPSLTVGGRSARKRTSEACERCRDKRIKCNGLQPCDQCQKKE AECVFAFAPQGGKAFAYQLVPTEMRREGLVQLNPQTGCFEYYASSLGKRISFMEETPN STPLPKKRRLGQINQPSKPYSDRPRSLGLDELTGFCDYVIAPNTLRYDRSLRDGIASR HLAAFFRTIHLYMPILDQAKFESKYNTLRTLFGDRRLFSPTHDDPNRPQFVCLLYAVL AMGALYEDDREDSPSWASWYFAEAQDMLGRLLEAANLQLVQAALFLGAYAQHAMKPSL AYILTGTATRLAFSIGLNIESEYRFLPFDVEESRRTYWMAFIQEVELSLDSGRPMTFP TSEAIVNYPTDQLLSNESTSRHPPANFIRHLADIAKIMQRVLQLVNTPTDQQKSAGYE EVEELRQELVCWRVSLPPYFRFEEIEGEGDPPCDAVSDSKRQQGSLRIHQERQEDWDL CNVAVEIFEKIELKASKRCAEVVRQFLTRWDCPKPQPSSDNTTSIPSGSLREGILPQS NATPAAQPLTPFPSNAQEPVGSPVFASEGGFSRRTSVRNKSQVPCEPPGSVVSADDAT TASPPVSLSGLQAELYGAFYDNDQDAFGFDAPPPPLLGSNDPTAHALGLCFDVVTDLS WLQSGNLDPCNVRLPRDWQP
SAPIO_CDS6848	MAQSQDRDPGVSHLIEEIPERQVFNFPSSEPLKAYISNRLDEQH ISTTKTLGFLIHPNIPVTSPTLKVADIGTGTGIWLLDVAKSLPATCQFTGFDITSSAL PPPETWPSNVSFKVQDFYLPFPASEIGTYDVVAVRFVSSAVTRTEWARSIENLMTLLK PGGWLQWIDSCNFALYNSVPGTSRAACQEIYDALQPFRSQNDVVIGLMMREPTNMRRE DVFRELGLVDVHEDVFSTDRLQDPELQLRDKGTRNVIVCFLGCLEGLVGVEGSGWSKE RIEKLKGEAMREIDNGVYHTLDQMTPGT
SAPIO_CDS6851	MPSSTVSNVDVLIIGAGPSGLMLSTWMARLGIKTRIIDKRFSAL NSGQADGLQSRTFEIFDSLGIGQDIWREATHMLEIRFWNPDGENKLCRTNTIVDTQPG LSRFQQATIHQAQVETRLQEYARKHSGIEVERGIMPESLQIDTEKVEEDLAYPIEVTL RHLPQEEPGQTVPNGSNGSSIPNGMFRSNLRADGTEDLLSSARESSKSFTETVRAKYV VGCDGAHSWTRRQIGSVMEGEQTDFIWGVLDIIPITNFPDIRYRCAIHSSSGSMMIIP RENKYVRLYIQITQTDENGTPIDRSNIEPDKLITAAKKILAPYDLSYKHSYNLGWKLA YVVKGWADRSLLKTYSTERRQIAKKLIDFDHKISRLFSGRPAKGIEDKEGIDLQTFKK VFEKGTLFASGCSVDYAPSLIVKKSLTIPEEEASPVKSHRDLVGKQSLATKIPIGMRI PSHKVLNQADARPWHLQELLPSSGAWRILVFAGDLQDPAQLSRYEALGKALALPTSFL QKYRMEKKDGSLESLFEVLTIHSSPRDAIELLSLPEVFHPFSEEYGWDYNKVFVDEMS YHEGHGQAYENYGIDPRTGCLVVVRPDQYVSWVGDLEDVADMDRFFSSFLKEQTKMP
SAPIO_CDS6853	MDDKCCELKARKNKSLRRPRRKVRDPELGIALQGAPESTPPTES RGDATLPGVIDIGQEQELDVLSTQSEQIPGSFEMPQGPPCDTSVNDVLIDPELDMRGE ESDRPPARMAQQPAEQECQNKSQEVFYSYYSFLTINRIMEILPEDLDFLDSQGCLDVP KRSLLDEFVRHYFLHVHPLLPIMDEEEFWKAYDETPGMDIEPDQISLLVLRAMMFLSC SFVRHSTIRALGFASCRDARIKFYRCANLLYIFDSESCPIYQAQAALMLSHSTPPANS SWLSRAIHHTTTAEQQYQGKRTKPDICAHSSVSASTSTQRGRKMLKKVRLGCIIRDRT LTLLARCPLQNAEHHFGAEACASTFLDEVFDESKQSRMYTPGIKRKQAGILASIIKLH LIATSAPNTQDSDSLRRGTGTARAAFMAVQDLKASIKSWHDSVVLEFPMSTFQGAGHV GSQNRKDRFLPHESVPLYTSLMYMYYHSARVFLCNLETLHLSKAVIAPEENWSARSAL SMLDGNYKELRSALGEVAECVSTIVQLDFVQWLPLAVVPCLFLPLALDILNVQLPEDC QAKPPKLEAVAGKLSRRTKAFLDAMNTYRERYDSVDKLVDLLEQLLRLSHVDKFDEEG DEEMDGDSPILSPTGSYMLLVFLLHMSFMRARLLDLSEIPTSLQGWFGKWGGESPVRT LIRIHRRSRNRRGTGNNALTGTIDCAVGARTPGESSAGPSPAYSASGSSSSCDTLTLG TSLGRLGWPMNGSVAEHELVGDLALEMDFGGELTQPLETQLLGVPNEWTEWINGGWEE DMTMPNA
SAPIO_CDS6854	MDSASIQLASPPPPCSILEVTFPAPFVMLVTINREKQMNSIPMA GHWEGDALWNWYDGEPQLRVAVLTGKGKKSFCAGADLMEQNMNKNKPKDPASQRMPAG SFLGVSRRLGKKPIIAAVNGYAFGGGFEISLNCDMVIASPTASFSLPEAKRGLYAGAG GLPRIVRTFGLQLGTEMALTGRTYAATELQKYGFCRVASSQETLMDEALALAEEIASL SPDAVIVSRAGVREAWETGSVERAAQKTQEKYAQKLLEGENMRIGLEAFAKKQKPKWV PSKL
SAPIO_CDS6855	MSKAVTKPYGNPAPFAEPAWYNALASPYYNDSHRRLRNYVRSYL EKNVFPYVEEWEEEGSVPKDASRDYARAGLAFQEMPAEYSGGIGLPGGIPFDEWDIFH FVVLHYELAKICCGGVAAGLGAGSAIGVPPIVRYGTEEQKRRWLPGVFSGDTVFCLGA TEPTGGSDLANLRTTAKKTLDGKAYIVNGHKKWITGGLSATHMTTAVRTGGPGAAGVS VLIIPMDLPGITRRKIKNSAFNAGESTWVTLENVQVPAENLLGQENQGFPCLMLNFNR ERLLIAVTMNAQARVCLEDAWAYAMDRHTFGQPLFSHQIIRHKLATLARYIESHWAWI EQITYHIKVTGDVGAELASRIALAKVHGGRLLELANREAQQIFGGAGYQRGGVGSRVE QISRDLRVNIVGGGSEEIITDLAVRQEMAQAKKRGSKL
SAPIO_CDS6856	MAEPQIYKSKSQNVLIPTNESIWQHILSKNLEDTPADKVLVEEY GRKDMSLTYGSAPRIAALGAAGLRDVLGLKAGDKILVIGANTMEFVLIEFAAYWAGYT AALVNPIATPYELVHYISVIDPVAIFADSGATYKNVIRSFELSKVPTEKQPPVIEMGA RVEAKYAYNFHHYVQACAKIKATMLRMVPQTAVALVKDPTVSKHDLSSVHTIVCAGAV LPPEIITELQKMMKGVVLSQGYGMTEAGGTTIPRATASSKAGSVGRLLANTEMRFVDD ELKDVKRGETGEILMRTPSAFMYYINNKEETAEALLPGGWVRTGDVGRIDEDGYVWLT DRKKDLIKFKGNQVSPAELENVLLSHPLVREAGVCAKWDSVQETEVPVGYINLQASVK EADRAKVIKEIMDFVNGRVSKTKRLRGGLYYLQTFPRSSTGKLLRRALPARLEADRAA RL
SAPIO_CDS6857	MSLSPHTPIIVGVGEICNRSTAVENAIEPMELMLQAIQNAFADS SAPDRDALVAAVDSISVVPPWTWPYENLPGLLAAKLGAEPSHLHLGPHGGNQPGELCD EAARRIASGQSRISIIVGGEALASLAACHKAGRKIPKGWTKPDPNTRQIKTGDLSMLG DNVGTLHSVGLPTHVYPMYENAFRSFRRQSCEENLKESATMYAEFDEIAYPLLVNAFN TVNLAAACVLTSVEQAEKLRIPRGKWVYVLGGAGTEDRHNCESTPVRSIMDKWLRMVI NYFQVWERPWYWTSPALEKSIDTAIKVSDLTLDELDVFDFYSCFPIVPKMAARHVGLS PTSPAKPITLLGGLTSFGGAGNNYSMHALVEMTRQLRNGTYRNGLVLANGGMFTHQHA VCLSSRPRKGNTPYPAERPLPLETPGVTIPHFEARPGAGAAIIETYTVEFKRDGAPRI GHIVGRLAKDNSRFIANHGDTATLAKLANPMVDHIGGFGYVTTGDDGRNLFCLDADSK I
SAPIO_CDS6858	MCYGSSRFGPGSTALLGGAVQAYRTTQRKFKVFRHASREVSRLR KHLERQQQFFANECHLLLRSVLSDEDGIHRMLDDQHLPEWQSSSLELSLSAHLMKNQS VCQDILTEISAVIEELQKELSSFDELVLDRLELRLLRQQSNELQKPEGPDLTASKKQL IRECTEIRSIKKASKALHDAFSAACSIIFIRGLQGHPRKIWLYEKKSWAQRLNLSSSP APSCYWPSELLSIDFSDCRVLTYGYDSKVCRFSRGAANQMDILGHATTFLSLLDDVRD AALSRPIIFIGHGVGGLLVKAILRESSRVKHPLYKATAGTIFLGTPHRGSPWYPKLGR VILSAARTMQFDTSQSILRDLDVNASILQVLNESFGDAYCDLNFHLTVKATKIPPSGV YCDDGPNVIVCMVPHFLQHGNYNGSIGPAMAENAFVTCVPYLMLEGRNGDES
SAPIO_CDS6860	MTLVSKQVPGLGRITTHVTYYADTVVQFRGIPYGSVAERFRQAK MIEAWEEGELDASHFGFRLGAFGFMASPDIQEDNARNGDAGVGNYGIWDQVLALQWIQ KNIRSFGGDPARVTVMGESSGAIDVLFLTLLRSVSVDELLDAYQCTGSPMPNWQATVD GNLLHDLPAASQLPHYTYPSTIRRIMVGDCEKEGLIWAKKFINPPLATSPLSCLSGHF PAEVGLQLALDYHLDAKPATPVEGMASLSAFCGDAEFSLPLYEIINSWRNGDAFYFRM RFVNPFSGSFAGTAHHGVDLLFAFQTYNKLLPQALAAAAEEMGKHLIRFCHGLSPWPR YNEETTVMCYGPDTVKALAKKDDKVGRYEIWDRLRGIQDAWTRASRELRNERIYS
SAPIO_CDS6861	MHAKAKLTDPTKAFHFTDLGSNKPTRDDDPYEYMCGFGNHFQSE LIPGTLPIAQNHPQLCRFELYTECLTASAFAAPRATNSSACLYRCRPSCAISREIKLE SQALVESCFLAANPNLSSSASQLEWSPFRLPTPGTAVDFVDGLRTIGGSGDPNLRDGF ALHIFTINRPMDHRAFLNADGECMFIAQHGNIDIKTEFGRIYLQPGEIAVIPRGVKYT LDPANGSADARGYIIELFGTRWELPNLGPIGSQGLANPRDFLVPVAYVDDNLREPWQI VIKLSGKLHSVEQDHSPFDVAAWHGNCVPYKYDMTKFVSISSVSVDHTDPSIYTILTA KSQDPNTPLIDFLWFGPHWDVAMNTFRPPFFHRNAASEFLANIYSKGTTGGRSGGFQA GGGSYEAGWIPHGGFNETYLTEMKKKKNDPRVIFDSYLTFMMESSRSMLFTPWAMGTA KVGATDPTVWNAMPDRFSTNDTVQKLLRHVRSQKESRRLKDEAFFDDNRLLELVQHLP TTLPVDSVVNGAESNNGAVAEAVPAPISI
SAPIO_CDS6863	MANNRQTPLRDNWKVILICGSVALSNCQYGFDTATMASFQAMPG FLRVFGYPDPKLPNGWGIDTVSQQLMTSFLNVGTMIGVLFTALFGRYFGRRYGIWIGT LFCYAGCAIQIAATNVAQLCAGRALLGVSNAYFMTFSNAYISECAPPHLRTILAGAFG LTSAVGSLLGAVVPMFTRDLQNKHSYQIGLACLFFFPTLISIVCFVIPESPRWLLVKG RTIEAEKALARLRGNSLRPDMLEEEFVEMVRGIEEEKALAGSAAFTDLFKGTNLRRTI ICIGAVTSRASAGFWVFLSYGAYFYQQAGIKDPFAMQLYKITGSIVGSALGIFLGHRF FGRRTILLFGTAWAAIAMFAAALGGTIAPGSQASAKNFIAWSVVFGVLYSGFSSMITW PISAEVVNSRLRLYSLSLATGIDYIFAWLTAFTSPYFINPKALNWGVRYCWIWAGSNA ITFVFFWFLLPEMKGRSLEEIDEMFEKRVPIRKFATYECECTKQAHDLVVEKAKIAHT EDIEKEAMENPKSGVSS
SAPIO_CDS6866	MPRTLATAAGSVAATATAGYLLDAMLGLSTDIQYLREKKDFETR FPQFLRRLGDHVDLYHVLELADPYAEALWFEGQSWNYRALKKEVDAIAAGMQQLGVEA NDFIAVFMTNSPEMVFTIYAIAKLAAAPALINSALRDDTLLHCVGLASSKLIISTPDL APFAAQAGGRLAEGSATTVSLNIGSFSKAIPLPSNALEFPFVDPATVGPAMRPQRSIS DVGALIYTSGTTGKPKACTIKNSRICTVCLPSSADQSNPSCFCLGRKFSARNFWKDVT ESRSTRILYVGELCRFLLATPPGEYDRKHGCIVAMGNGLPQDIWMAFKNRFGVPEVRE FYRSTEGLAKWDNRHLGRGPGVGKVGFAGPLRRMLEADQVIVRFDYDSEKPFRDPVTG FCIPARVNEPGEVIARIKSSATYPEYLGNPAATNEKILTDVFVRGDQWQRSGDLLVQE RSGWVRFVDRVGDTFRWKGENVSASEVAAYISALPHVQDVIVAGKQLPGYDGQAGVAA IALEPSTPPGGVESLMRDLYANLRRRGLPLYALPRFVALTNTLLEVSATFKHTKQAVK ALDWAPTEPGPTEKADGTSTMPGVARKYFLDVDAQEYRPLDSANWARIKSGTAKL
SAPIO_CDS6867	MFPTVIVVGAGLGGLAAAVAIQLTGRYSVRVLESAPELKEIGAG IQISPNASRLLVRWGVSPHLGDTPVAPHGAQIHRWKDGTILSRSPMNPLFEKKFGAPH WHLHRADLHKALLERATELEVKITLGAEVVAVEVGSELVKPRAILASGETIECDFIVS ADGIRSKVRENVLPRSPQPRPTGDCAYRFTLSTAAMARDPVLADLARVPLARSWWGPN KHVVGYQLRGGELYNVVVVVPDDMVDHRAEGDARLMKSAFHDWCPELLDQGQPGSLTQ WKLMDVEPLVAWGKDCVVLLGDACHPMLPYLAQGSAQAMEDAAVLAICLQNLPHDLPK ATRLYTKIRFERATQVQQFARAQRLKNHMPDGPAQVERDAAMEEATGLHRAAHRWTWA ASEGRPAMEWTQGLYGYDAEEDAKTFLSREIASSGTGESEPGTLVSGTSNL
SAPIO_CDS6868	MNPKLRQAFHFTDLGSNKPTRDGDPYEYMCGFGGQFQSEIIPGT LPIAQNTPQVCRFNLYTECLTASAFAAPREANLSTWMYRCRPSCAQDGEVLIESRAKI EGCFLATNPRVKMTSAQLEWAPFEIDSKPTDFIDGLRTIGGNGDSNLRDGLALHIYAI NNSMDHRAFLNADGDCLFVAQLGNLDIQTELGKLYLQPGELAVIPRGIKYVLNPAQGT TEARGYIIEVFGSRWELPNLGPIGGHGLANARDFLIPVAFIDEDLHQTWTIVTKINGQ YFANTQDHSPFDVAAWHGNCVPYKYDMTKFVSISSVSVDHTDPSIYTILTAKSRDPNT SLVDFLWFGPHWDVAMNTFRPPYFHRNAASEFLANIWSKDVGGRSDNFKPGGGSYEAG HVAHGSSGGPYLTEMKKEVNQPRVLYEGNVTFILPRCVQNVDKTPQQGP
SAPIO_CDS6869	MSTAQQRLASISSQLAAPPNSKRNLLRKDPDDIAVREKSNLDPA TVEEIVLGNVHHPFPSFPLRAAAIAAGFPPTTATSTVSRWCSSGLLAVQSVAGQILSG AIDIGIAVGAENMSRNGEIKADLDETLTSIPQIHDLTMPMGWTSENVAAEFNVTREEQ DEYAAETWRRAEISQKAGWPVEEIVPITTQWKHPQTSEAKTVTVTKDEGIRYGTTKET LAKLRGAFPKWPPSTTTAGNASQLTDGAAALVLMKRKTAEKLGQPILGRFVTSTVVGL EPRIMGIGPAYAIPKVLSKVGLSKDEIDIFEINEAFASMAAYCVKELGLPREKVNPRG GAIAFGHPLGCTGTRQIVTALAELKRQGKQIAVTSMCVGTGMGMASVIVSEQ
SAPIO_CDS6870	MVELSVGQVSGIIAAAVVFSQFVCPIVLTYLLAGLLRDTETATT WSLANQAIQSSLWPSLLRSDTSNPSHRVRRLIQVLASCLPAAALLAAITGIVTPLGLD DELVSVAGRTGTFEYVRDASPYSAGTSPRGIADFNRQCSGGGPPADGPVACPYTGDVV EQTVRNTSSVRWEFPYGLTSGIPDILREIFSSGTAGQATTVSNFFDIEWRQLSTAKSE YYDNGTEHAVGLYRQLDSFILQDEYKVLEGLVVDAKVGGIGFRNHTLPTGLARGGAWK EDLLFVEPEVSCVNTNITLDFEISTDFSDYEQIQNLVITDRGGFAGINTAYTAFDLAG AQSDAKLQARAYEAAFLNNAYTMMLYNITNPSDNRTGRAAFSYLDSEIGKTFPLNVTN RADYIALSLSYRFGRYLDPIRGLERYPNPYNITNGALGDINSVCAGPGDSALANISNV YVGCGLLQGAPVRVDGGPPSLFDNGSKWSSSLHACAATVRATIKTVSFAFNASSNVQG LGGLTVATIEPKVYASEDDYPLWGFEESGLEFNGVNPIWGLISPEYEARENVSSVRQP SFYIPGVSVGAAALGLPLSLNFANNLPGSDFASRVMNQVFKLDKDWPYDLRGSASMSI FARWQALSSNATEASKVIRLLWTDLAASAVVGTKGALGRLNSGQKDEVVPVYISPFEH RITYNLFYGIPAFILLLFMAVVGFITVVLSWSGKTSVARLRYRIQQLSPGRIYTTYLF PQESSLTMASMEWRERNGSRVVKLGSTGTTDVPLGPESHPFLTGDASCSKGNDIDSSK PSSPH
SAPIO_CDS6873	MAQSRVGQTNEADGDLGKDNPFEDAFRGHEAIRPLEQYYSEFKR QYGYPEEKMDNLACPVHKWRPELYRSITNSSCQGYGFKAVGSLNEHIKRCHKPAELED TPKKRGAAKSDLLRLLDKDVYRKWDEAPPKRIGVKCPEDYNRHAWWDICVALDLVSSK PDPLPEKDHLAFPGPGWSDLRLFLGHLIGRGVVFEVTFPRRHNAAEAPRLELPDNSRD EIPDSAEGWLVPPGYRTAAEELNPRPDDGTKYSPGVGRFDSAYHSYDADGDFMREVYP PTGPVSGQRPALNLGWIEDFTSTMTGVGGVGGAPPALDVAIDPRVLVLDPVPIDEDSL WQP
SAPIO_CDS6875	MALAVGIFEAIDGPSGDLEPQWSVNITGSDTSLPFQPDSILRSF QDSPAVSLQQLSPAEAIVSISGVSNHIRISQPGSGLKPVSPSQFSVQSDWAWNLAQNT KASESDQCRKRERKWKLGVGIGVGVGGAMSIAAAAFFALRPKNAVAAPTKSP
SAPIO_CDS6877	MSGGLVDQFSARGRQFRERDEERLEWMKAFESELQLLVDKHREL ERQLQFRSEYYSGLEGGRKAAVDLRVAVQSHLREKDPALAEFPVVIKAIANGDGLPGA LQKLSLQPSAATEFAKGFSNTFGTSDFVFVSRGKDRVDEKIRVVFNQFITNPTCRHII VGVCHDNNYTRLLDNYRDDGDIVKKVTLLQSYKVGNELLALPFGTTRFPGIFRDGPLS QNNQNSPPPPSTGPAAAPTVVVNGQSPVTWASRAQLMSQATQQLLNVLANVWTSDCLN QVSKQWPPLTK
SAPIO_CDS6880	MRLSSPISPREALAAPTTNRENFIQSICFKSMRSFRHQLILGLA ISDCAMAVNFLASSAMNISGRRIGDPENARFCSFNGFATQVFVVQTDYWVLTIALSTH FILAGYKKISDWLQDKRWLLAALPWVLSVIWASIGLGVAGYGDIGAWCWFTSDEVRLL VNFVPRWIIIAAMLIMYTHLAFVLYRAHKQFRDFQDYSSDDPSSFCSRGVDGLPHSGS RTTAADDDHPAEPRRQQQQQRNGRKFKKASWDLLSYMLLWTLPTAVRIYQATRDVPAP FALQTVDKACIVIQGFVDAVIYGLNESSLSNWRNLIFPRAFPVTDGLNLSYVTKQTPP RFPSSRVSDGDSAPGDSASLDTPTHATRSRKLKAVRFSDSGVPWKVPPIIAVPESVDQ QFRESEHNRMELRILKEVEFSVTPSTLA
SAPIO_CDS6881	MKDEMNTATHEEITNGTTRNLLSEGNSLKGVTMPPDVFEKLYLS PPPRAIKGVSRPKLGNPTPIPAYCFYGGVLMLVSSILEFILGNTFPAVVFASFSAFWL TFGGTLLPQFYAYASYAPVGAASPAEGLEVPSFSSGFGFFTLSIGMLCFVYLICSIRT NIVFVIIFLTLVLGFGFITGAYWALAADYTANAAFAGKLLTASGASFFVTTACGWWIF MASMLESVDFPFSLPVGDLSTRVPGSRVVADMEA
SAPIO_CDS6882	MASTSGSCVCKKVTYTYTGEPTTTAICHCRECQKLTGSAFTYNF FVPRENFEWTSGSPKSNSFIQESGMKVDYRFCPDCGTVLVKEGEADMLKPFYLVQVGT IDGNNIKKKPDTELWTSRKLEWIEPIEGVEQMVQFK
SAPIO_CDS6884	MAAALENMPGPEAIPGTVHLVDLDHSMRARHAGGHADIVLDPAP SNDPNDPLNWSPRRKLLNLICQNLYTWFVGISVSTVYSVIVPLSKASGVSIGTLNEGT GFMFLFLGWGLLFWQPFSQRYGKRLAYIISTVGGIGVSVWSAYVKSNGEWIAKCIIQG FLLAPIEALPEISITDIYFTHERGTYMGLYTLSLLGSNYFAPIICGFIAQYQGWQWVF YWPAIFLGGTLLILLFFMEETNYNRKAPSAASDTETKMAPGGSSADEKATNAEHSETA PRLAETSEEYPPARTFFQKMSLWQPSPGQNVFRRALRSLQFLSWPVIFYAGFSYGSYI IWFNVLNATASIVLSREPYNFSPSMVGLSYVACCVGTVVGSLFSGRFSDWLTIKLARR NSGIMEAEHRLWPFVVCVFVVPGALILWGVGASRGVHWFGLTFAMGCVAFAFAVGVTL SINYLIDSYHDIRADALVTVILVRNTMSFAMGYGRPYIKSEASHQDRVPPMSVEHPLT HPPPAVSNLAFSGRRRHKKCDEKVPECGYCARAGRECMWPSSADLLDRRFASHERSRH QIKKASSKHLATRSVAGGGHPLSVPLELRLLTEAGAAHGVISRDLEMVISRHFIERYY GLLLLPNGHPGFYNGWIIEIQELMTRHKSLYYSLLANAASHIHFIDTSSTMQELALTY YSNSLKDLSRLLETAGHELQNHNGILMSVMLLYLHGCMGRGTYSDIPRHVNAATRILT MRLLEGQIQIKRLFDRLAIESLLYQIFLATTGLWLDPVGLDYEFNAEFWFRAEDLLER STFFPDQPRSVNSPVLGVPVSLFRLTLSLKQQLQCPFRRDQDTIDQLRGEVEMWEAAL LCNRELDVELFPGDAVKNRQQSYYRDALYLFAVIASVMFEQLSLEVDVADGLPMAMPS DCWQVAKAVQILEARRNDDGWASCYMGNWPTYTLGFFMSSAEHIDLIRSELQRRWDLV KFSQVARFRNDLEQTWAARERVKVSAGLHNSLAFRHEKVPVDGRIYTV
SAPIO_CDS6885	MAHTLLSKFMLPLGTLLRFEAKKIEKKPEKKIDKSEDDSNENKP VKCEIKHLDSRYDEEDNQYFCERKVVEVKKSGEKKDWWRLFAFCLVKHYDSDGDLDST QLYVNPQPLRDLLRDVIGDYPGDPIDETDVRIEAPYHSLFHYRKQIESEGAKRFKDDA ESRAQLDMLVDWIKKRFEQEINASERCLSGDLKSMSYDKLWTLFPPGTIAYMKLHGED RAFRVRQTWYDDDDDDNSEQGMNLSMEYVDYDGETLGTRRISGFIRKYHGSQQLSELS VMPLDLTDDAPEARKKLLERGRKFERYVGQHFLQYDGIAFKLGFNGGYVRFSVNGRVM IDCKTHHRLEANGSFNVLKFEDRTDEEDRKLSDEEALLANPRVRGYSFSVKKFLEFSV ELLEPIRWNSDCFDSLVLDPSVKKTMQALVSVHSRERESFDDIVKGKGQGLVCVLHGP PGVGKTLTAECVAEYVKRPLYMVSSGDLGTSSIDLDRQLTDIMDMAATWRAVLLIDEA DVFLERRSLHDLHRNAMVSVFLRVLEYYSGILFLTTNRVTTFDDAFKSRIHIPIRYTD LSVDSRAEIWRNFCKRVPGGVDIDEAGIATLAEHDLNGRQIKNVIKAAESLSAFDNVR IDLAQMQQVTKIQATFEKDLDSVIGVDYTAPGRSRKDVEQRNMFL
SAPIO_CDS6887	MGNSRNAPDERVVYLALENVFKGPNAEPIALSPGVLTMAKHSDS RPRAGKKRIATGEGRGKETEQYRVTKRQRRGKTTARNRGLGAAPAGLNGEQKAKIHEV ISKYGDPPLKGLVDDQWAPGKVVLAHILNALLSSTRISHNIAIHTLECLLDAGYNDID VLRHTSWAARVNLLDSGGYVRYDEKTARYLGELRKLLTHKYDDDASKVLPTGLQGEEA RTTLSTRLQEVKGIGPVGTTIFFGSIQHFFPGIAPFLDPRSLKTAAQIGLGSDVDAIF AALGHSTSEMAKLEVALTKIRLEKREKEFE
SAPIO_CDS6889	MNPSMKLSAPVILRQRRPKTRARGFIRAYAPVLDDVGIDQETFF DFIDTFNEALEPNPWLYSFNLADLAGLAVPEPLMMLLGIGVGIATDAAIETQSRFKSN KFLVSINADFFLPRGLLCLVVTRKPEAAVGELITTVDFEGRAVESQTKATTSFNQKIR DIITKASSSDKELQKSRGQIQDRMKSSSGAYEWPEPAALIFPSPGEAGSAQRRAVEGN KKKKNALDRAESWLDEYMDRYAQAKWLEKNHGHPTASFAPKPEFRSRYADPSHPAASG DPVAFLTGGKWQFGREKIVENRPPGRDRNGKDLKPGSASLEAKSSSSGSAPAGRFINL LQRDVLCLLIVDVTSSRIDGFEGSGDSAVA
SAPIO_CDS6891	MSGRLESGYCPEFERFVLENSQEGVDGNGKAARYVRLSDQKRFW TVRKIQDVLDRARPTINIPPKKVQSELLLIFSTLVYIQEIHALELFLPEEIFSRHLPL KEDASITGMTKPVFKQFSKNQWLFCPLSLRGNNGTYFPGTPHRRPLMDRQILPIRKWP GPLQNTCTPLKRVYLADWDENLDEDGAGHKSTKLAFKVFDVHRDIQPSNILVFGHSDG TITLKLTDFECAAVVDDGDGEDGSYHYEDGSRIYSAPERSGFNAVDGVSRHLQSTIWQ IFELGAVISDCLIWAAFGNKGRQEYREDRKSELQSRQRKADPAGYEYAFHDGFEVLEC VRKWHDDAIQALPRYAHAAECAKLVLEECVLVKSEDRQNLPRKVNELFQKHLEGPTPR TPPSRSQSLPFPSPVSRTSNQRATWGSSYSHQQQPNFERSTHQHLDTTGNTENPFYIS QRASGDPGMVMMETSPGRGRPVALSSASPVRFAEKPNTYQVHSSSVSPRRYDGALARS PELLNSRQQSMTSANRPRDLAPREVSRTHPIQAETAWQEEELQESHQTSRDMRRKYGY HATQPNPPERTREETSHSTSTKTWHPHNSSRSTRSGSTLPSSHTAQPPIPMQPLDKST VQHIHEAKQQGRDISDWDVSREIRKHLGKREVVLLIDDSSHMEQHHKDMVITLGSLLL LTKETDPNGLEAYLTSDPHRRIKPFRPLGMGLKKLDEVVRDKLSSGGGPDRCIMEKAL SVVLDRIMPSKWRFKPTTLLVMTDGDWNDDWHEGQSARCGSEKPIIDAIKRLKDWKKL RFDLSIQFIRFGHHSEGIRRLEHLDNFLRSSEEFESWDIVDHKHFLSSVDLILLGAAS PNADSAPHTPPWVIAEQQQTSALQQ
SAPIO_CDS6892	MPADRIEEEGGTISHMINLDDSPQPSYPSQDQESQQVSPPEINE PEQAYVGRTEVLGNVTFDESLVRGNHEQHDTPSSFPLLDSQVLTMHKALDLPEPTTRR ELVDHFMESCWPWTPVVDAAWLGDTAGRPTSPLLLQAVFLAGSRVATEEATAASGDFF RKAKVLFFHQHEPRPLMSVVAAIVLQWWSPTGPEQVSHSNSGFWVHIAVGLAYQIGLH KEPKKGPSSALRRRIWWSLVCRDILISVGVGRPRTINLEDSDVLPPSIADFPRPDAQA RLFVAYVEICQILGDVVQDRRRGWLSLAKKEIYEALLYRWTRELSSDLRLVLEKDTKV RNVYDVGSRQLHVVYFVVLIILSSSDGSKAPATASMVAASFIAGIHSEFTEHADIRRL GPIFAFYLLTAALSLLPALKYASLAESAMQDFTSIYEVLWRLSKKWGSAKGLLNPLLA AKRSAEAQPRLHSAPAPMTVTMRPLFHELGSSGLCRVWDMCCPASADTSANFPDEQRA AGNMTNEMAPSAPETPHAPRNDFLDELTDEIEGYSALHQWQLDLNQYWNELMDSWTFS GAFI
SAPIO_CDS6893	MAFQAARRASAAALRCTHSQHHRFFHLTSAKFQGLLIDETTRVL YQGFTGRAATNNAKDAIAHGTQVVGGVTPGKGGRSHLGLPAVKALKPDASFVHVPASA AARAIEEAIEAEIPLIVSIAEHIPVHDMLRVQQVLRTQSTSRLVGPNSPGMIAPGKCR IGIIPNEQCMPGSVGIISRSGTMIYEAVGATGRAGLGQSMVIGLGGDTMPGTTMKEAL ETLIQHNESRGIVLIGEVGGLFEIEAADAIKRYRQSSSNPKPIIAIVSGRTVPPGKVM GHAGALLSPGEQGAEAKARALQEAGVLVVPHLGMLESTLHTCFPLDR
SAPIO_CDS6894	MDPKEVPGVCEKIGLPSVIKAQVLAGGRGKGVFDTGLKGGVQIV ESLDEASAISTQMLGHYLRTKQTKDSGLPVHKLYVTEKIPYNKEFYLSICVDRDRRCP AIVASRSGGMDIETAVKTDPTSVVRVPLNYTEGINEAASSEVARLFGLASGVQKDKIH ELLTTLYELFKSHDATLLEINPLVATASGDLICLDAKFNFDNAARFRQPELFALEEQA DRDAREIEAARLGFSYVRLDGNIGNIVNGAGLAMATMDAIDYHGGKCSNFLDAGGKAT KETMTDAFRIVLEDERVKVVFINIYGGIIHGDMVATSIISAARELGPLRVPLVVRLQG TSADKGQAMLAQCGLDIHSVADFTEAVQLAIRLASQ
SAPIO_CDS6896	MAEMKDLTTISSHQEDKVDGFNERQGPECPEILRSLNEEEKKRL ERLLVRKLDARLMAPLILMYIMNYLDRYILMQVPSNLFLNKIGKPSIYLPVCMVIWGS ICAATGAVQNFSGLAATRFLLGFVEAAYFPGCLVCLTVWYTCKELAFRTALLYCGSLV SGAFSGLIAAAITSNLDGALGHAAWRWLFIIEGAITVAIAAVVGFILPDFPTNTKWMT EQERALASWRQTTDIGEEDWVSPESETLFTGFKQCIRDYKTWFFLFLILGVVSSGTIN SYFPTIVQTLGYGRTKTLLLTAPPYLLSCIVALRVSLNADRTGERYLHFTIPTWVSVA GFIISAATTNIAARYFSMMIMLPGVYTAFVIGLAWLANSIPRPPAKRAAALALCQASS NCSSIYGPFLYPESTAPRYLIAMGFRAQMDVENRVERLRRRASAICTDAHEAPRAARL GYGTVALGL
SAPIO_CDS6899	MAEEQHINPAITEADSAEKGKRAIHSDPHDGGSSAADSAKEQDP VRDTLFPGRDTRGLRILHTPEESVIDIIFIHGLTGDSFRTWHHKSGTYWPVDLLRKDM SDARILTFGYDADVTKFLSKDGKVGNGNLRTHAATLVAEVGALRRANDAFRPIILVTH SLGGLVSQKALCISAEAPEEHHKQLDQCTIGLFFLGTPHRGSDLADYAVVISKILALA DRQLNKRTLGVLRPDSEVLSDIRASFGMWLRRNHERCSVVCFFEEHSTPGVGFIVDKV SATIEGYLPIPIPAGHRDMPKFGQSEDAGYQRIVQNLSLMVERMNAMPKDDEPEVFEQ DMGGIKARAREKPGSDGLDQVDRFLELLAFSDMGERQAGIEHPSGQTCKWLLTHPIYE GWHENGRRDVEEFVRQAFAKESAGIDEIIKSLESEIIKGANGSFQWTKLVTSRAVSMY RDGEGGDAIRDMIDSVHDNLSAIYEGIVKALLDRSPSLSYRLFNWLCFAFSPPSIPQL RAALNAKPLRPINDNLVGHSPALICFAEDAQETLSNMTARVGEWSRSLVGLEIRSKSV LELFFEAMEGPVLLDHLFEAKVQSSHDIAYLRRPLNSLASSPALKECVLDPAAYAATR FEDSVLLSTVLSFYPESDLPLARQDEFGQTLLTIAAGNGYLKTVHYILEHYPSTVSVN DPGFHGRSALSSAAGGGHLEIIDALLEHGADLEFRDYGSCTALLLATNQGHLSVVKRL VERGADLTAQDCEGYTALTRACIGGHEEVVRYLIEKGVDVNEPNNNGETPLYLSCLWG HEALGLFLESRGAILGDVSLDILVTQLHQASQKGDISALDFILKIAIPSWRKTRKESG LEEERYAIIKFPSSRNPFHAALIRDDPEVLRRLCDEILADGNSGFNTKVGSGGRLLRM AVTELAPKCISLLVKHFGHDINDKDALGDTFLHRLIRFGSQDREDKVAETAGTLLECG IDTSVINRNGFSALMLAAVLESDLFPLLAMSDKVDPNIQTERGTTLLYAARLDDARYV EMLLEREDILPEIGLPSGFSPLHAAACEGQDEIAELLLADRKRAKRVADCEDRNGVTP LSAAARSGHLTIVKMLVETGLVEVDHPDANGRTPLSNAAECAAWNDKALLDQIKNCDY AGIMEFLCNQEGVDINSRDISGCTPLDWAFESLSRRKQSAGRVSNVLFDRSDFKIKVN EAKMAWPTRMLVALVALLAQDASAQTGSARGSDFLRFGCSQLVVERTDPLVNPGMMPT PHMHQVVGGDSFNITMDPNTIDPPATSRCTSCKMSEDFSNYWTASIYFRSPENGTFKR VPQMANGRLNGTLLEQEGGLTVYYMRPFGGRNKKTTAFQPGFRMLTGDPMLRTKPAGS LTRCHRCLAASERTMGGSGAPCASGDTAEFPRKPCPGGIRATVIFPSCWDGKNLDSPD HMSHVAFQPGSALAGDQCPSTHPVRIPQVMYEIMYDTSGFANPEYYKDGSQPLVYSFG DTTGYGQHGDYLFGWEGDALQRAMDALGTNCWSETCPAIKLQSGEDAINCRKGQQYME DIGDGWLTELPGGPEVL
SAPIO_CDS6900	MSQRVSLQELHVPTATGNGTGGIDLVLVHGVDRDPVRTWENRGG DANSKPVLWPRDLLPSLWPNMRVLSFGYNGDMYRNNSAARIRDNALSLLTFLSVKRRR AGPERPIVFVAHCLGRLIVKQIFFGTPHAGTGKNKDMWDHISLAFSGLDKSSGKRSPL AEALKRDSECLADINAKFRQLAPNYHLVSLYEMLPWPGTHSLIVDLAVARMDVADEQI LPVEADHVTMCHFESAEDGNFEFVHDWIEQATGIKSTATIAKATPSPKNNDTSEDISE NTNDNVVVKASRDTRGLKATQGTLGSRQGDTLLGEVTVTETTTTKQRQLHIKVASPVE GRVTAAKGPERPALMGEEEALPSSLQDNVRQGSTRTLLTRWYAHH
SAPIO_CDS6901	MEEFSTAKGGVDGLHVLYERPEGTQGIIDIVAVHGMGGHCVNSW THQATKCFWLRDLLPVKMPQARIITFQYDTPRLFGQTAYGVPDHAGALLKALRDKRDE ESTDPIVFIGHSLGGIIIKKAIELANTDDVFRDNIAKAAQGIVFFGTPHRGSAVASFL IVKMMTSLPGWSGTKFLPLLEINSNGLSEITEDFLPFAHKYALVTFYEQHNFPWLKYR 
SAPIO_CDS6902	MMGFGRTLGCLLALVTAAAAQAAGCKPIRGDEKWPSEELWSSLN ETVGGRLIATIPVGSICHSGGPHDGHYDAVGCAALQYTWNFAQAHFPFPGEVSWPFAQ GCDPYPPIEKPCELGKYVSYSINVTGADDVVAGIKFAKANNIRLVIKNTGHDYLGKST GKGGLALWTHSLKSTKVIEDYESEYYNGPALKLGAGVQGWEAYTTASDHGYMVVGGTC PTVGIAGGYTMGGGHSTLSSLYGLGADNVLEWEVATAEGEHLIATPTENRDLYWALSG GGGGTYGVVLSMTTRLHKDGPVGGAHLQFDDSEIGQDAYWEAIGAFQSLLPPILAQDT SLLYSVYNDSFSIFSLTAAGKSTTEVIALLQPIFDELDKRGVPFAFQARESPRFLDHF VGDFGPLPYGIFSAGQVTSSRLIPRTVVEDPEANAAVTQALRDGTASGDFFFACQALD VSGNVSVAANAVLPAWREAVSHCIVVGLWDFDAPRAKMDAMADVLTDTISPQLEEATP GSGTYLNEANFRQQDFQEHFYGANYERLLEIKEKYDPESLFYAVTAVGSEMAMSRQTL QGAERRIWELPNPPECSLVMPDGSARLAESAEEIVESLNHFAKQHGYAVVRHHANTPI ADPNNPGKFINTRYTIRCDRGGHQRVSRGQGLRSVASRKTGCLWRGTAKASAKDNYKW SWKLSSEPHERVHNHPPSFDPSAHPSHRRWSSQQKEMVADLTKLNTRPREILKRLQQV YPQSVFTIQDVYNERARIRRGDKGCTTPPQLPVMPASDAATPVPNDKPQASAYNSRSA IEKSSTLHESESAAIRPRKRSISEDDASFSKVSDLSKKKTRPRTDDVSSDYILGTTFA RGGFGMVCEATHRSMDNSEKIYACKRLLLAKINITKINQEVALIRKSRHHHVVSVIDA FADNEWYNIILEPRAECNLADYLQAMEQRFFDFRNWQDLQWEDFETKASRLLRWMYCL ASTVQHIHGLCIRHRDIKPDNILIHRENILFTDFGTSFYCEENTRYATTNTPGTAKYL PVEAADCQRFGRSGDIFSLGCVFFEISEALLNPLLVVKLPCCKGNYYSTLVGRPDFWN AVHQARGHPRNKRRRWKHLEFSECFPTMVLQLSEKMLNVEPTCRPTAKEVVNSLTRVL EEAHSPTPPCCTPLYGVLDA
SAPIO_CDS6904	MSHLKYYAYDGVGQRNRANFYYSQAVRVGDRIECAGQGGWDPAT GEFYKETNAQIDQAFRNVELALKNAGGKGWSQVYRVNSYHIPLNNEALKAMVRNFREY MPDHQPIWTCVGVTRLGEDDMRVEIEVVAHDPEGAAKETA
SAPIO_CDS6908	MDPLTGLGALSGAAQLLDASIKFSHSAYRFLDSLKHATEDIRLL RKALQETEVLMRDIQSYTAELQRSPPSALVDSVSHDSIVQITQQFSSDMQALRNYLPT ETTTPSLSKRFRFAIDRRSIWEIIQRLEQRKSSATVALAVIGRFHDVKLRDAVQRLGA GNSVISCSLEEQRRDILAQSQKLEEIQTWVLECLKGKPADPTPANVLHGQVQPTESTV NHHQEYSEWQFTTGCQDILARIIRAELRQQLKPISDRLADVSGLIDGIAVASATHSTQ SAPIFSQVGKQPSRIEVATYYGAFKTCRYILHETGSEGQRLLEGRLGYDKWRFALLAA YIREPFVLKETLQLFQGYNYFFVRRPFIHSIWSCIYRRRHIVGVDYESIIQRTTLLIE FGIPLLPFQGMEINDPGRSAFLLDLYLRTGGDPNGLDEHGRLGIISILEAVDSRNDPC SCLGKLMSLIRAGADVYHVQSGDDPESARTLTDIAYDLDVEDLWFEALERCGLNVDDV CDESDRRLEEHRRLHGAKRTGVDTLEGFSVS
SAPIO_CDS6910	MAGDKILVFGATGPAGIALLRELLHHKLQALAYCRNPSKIPEDL ASNALLEVVKGDMSQRDVLSRAIAKSRAIISLLGPSGPRQPKNAEFADYYRTIVPLMK QHGVHRILALGTTAMYRPDDQSSVSRALVATLIKVLANGAYHNILAIQDYFENLNDKS IEWTVYRVGNLSGTGDASAWSVDREGGKAFEGPVGAPGFTSGINRSILARWLVDVATA SPAKWVRQMPAVSKSG
SAPIO_CDS6911	MINHHVSGYAVNRLREEIQLRIPVEQRDQQEVEEQVARSVDMDV GIIQDDGHDIGDPMDVDGPPVETANEGRGNDPVRRGEKRQHENAPGGCEESPNKIAAL DERATLK
SAPIO_CDS6912	MSSSAAPQTPPLRDPDLSLPDPPSVTVPVPDAITATAEPADDQP SLAFTPEEEANLLKESLERKAEANQLFSSKRYNDALAKYDEAVSACPHYLDYEIAVLK CNVSACHLKLEEWKDAIDSATASLDALNRVEKKDATEVGESPGGEKDGDKEDDAVEEE IISAGASKAAPAPEAVDPVKEAKKKRAGDIKRIRAKALMRRARARSELAGWQNLAGAE EDYKLLATMDNLGPADRKIVLAQLRTLPARTKAAQEAEMAEMWGKLKQLGNGLLRPFG LSTDNFQFTKDEKSGGYSMNFQPGGPSS
SAPIO_CDS6913	MTLKTDFPPIRACLFDMDGLLLNTEDLYTDCINAVLERYNRPRL PWSIKAKLQGRPGPEAARLFQDWANLPIDEPEYISQLSSHQRRLFPLAEPLPGVSRLL SDLGRTRYFEVKKDEDSRRRVHIALATSSHTTNYKLKTDHLTELFSVFESPRKVLGDD PRIAKGRGKPLPDIFLLALRTINESLPEGEPPVKPEECLVFEDSVPGVEAGRRAGMRV VWVPHDGLLEEYSGREAEVLAGRTGEAGDVDLHQLGELGDGWADMLATLENFPYERYG MTIPDPAVEKEECMTERVNGEVIVERVNGKANGVNGVNGVNGVNGVNGTANGHINGNV NGVNGKVNGNA
SAPIO_CDS6914	MKTALPDRPLDISPTKRLKIIGARRGGTLGSADHSRHRSSSVQS LATIADESASPKEVSGAAPTAKSRRVRTGCLTCRERHLKCDEGVPDLQFQDESRLIAS EYIGGLNRYDTREKRTLTPPQESDVENALNLQRRQQQEHYNHHRRSASTMTPMSMCDP ANVSMRASAPPPASIRSLSTPKTYHADGSQSADVSQMHSRKSSAASYLAGPPLHAGPP LHAAGGFGLGIQQPLGNSRDDVIPRTRSPVYRNGDAGPTSLPINAPLIASASEPPPPP TCGGSTDNMSLDGMVTPPPSEKALGDRTYLNSPEEVLYMQVFVEEVGVWMDSMDKEKH FSRLIPYHSLKSPMLLNAFLACGVKHLTLVNPAYNDDKALFYYDTATTQLLRSLQNPD RNTAECATTAVVLNVYEIMSEKPAARMNHIAGARALIRECGWNAKSTGIGAACFWLNI GMEVLSCLAFNWQTAWDPDQWGVDMDFTPPEPRRGGRGDDDDDPDSSATDDQIGKEEI WVQRIFYIVGKIANFRATIPKFQEASPRDEQVRLGNRLAQWRELKRLCDDWNSACPRT MHPFGYLYPSQTDSKSAFPNIWLIKRAAIVGRLFYHTAQCLLAQTHPLEPGHASEEMR ATQLHHAHQVCGIVAHVKDRGVSSVAIRSLAIASSVLTDPREQDEVLEILQKITKESG WGLGRVMAELKKAWGRDEVGPGAGVGAGGGGGVGAGDGGLAAKFFGPGQSPGQNPIAV VRQSQAGQHVPPNQPQQQPRQHVHQEQHHHHNHYRHHQQPQYEHGPQHRPITHSQQIH VPTSQQQQQHHHHMMNNATQPTHSQSQSQTPIVAPSPMKAAVNPLSFADFSLPNHPYQ NWYEPPSRATAAAGSFSQPFF
SAPIO_CDS6915	MDPSIPPQPKAILRGHKAQVHAAVFIRNNERLVTADADGYLIVW DLSIMRPKAVWRAHQKTVLGIKEWSPERLVTHGRDHELIIWKFSEEDEPKLSKAPPLD NVPDRPKPWIQHVLAVNSMNFCSFAMASCKADQSISESDEVLVAAANPVILEGVDIYH LPSQRRIHTLKPLPGAGMPMALSIFHLYGSLHLITAYENGAAVVQRANLDAGEWITLY KHQAHSQPILSFDVSPCFSYFITSSADAIIAKHPIPQDGAPSSSFPEDTEKDKGKAPE QKAHEPVTAPLKSVNTKHSGQQGLKIRSDGTIFATAGWDSKVRVYSAKSLKEVAVLKW HQSGCYAVAFATINPATSSSSALQITSGPGNENGKASESQRGQQVVSVSQSRSLAGFN IRDRRINQARTAHWIAAGSKDGKVSLWDIY
SAPIO_CDS6916	MDVIYCLVSSWLGRLAYTQLQTKTALFTLLPAASRLTIFLCLFF IQYSLLIFYRLYIYPNYLSPFRHLPGPKDNHFLLGQTLRQFLSGDPTQPYVSWIRTWP NADLIRYTFFGSTPAVLVASPEAFRQILQPCCYEFTKPAWYSRLIFPIVGKGLVFLDG EDHKRHRKVLSGAFALSHLKQLIPLIENKAIELCNHLDSMIEYDRGVINLIPPLSRIT LDVIGSTVLGTDLQSLDSATPFHEIYQTVFDPPITGLILTAINSIVPIRWISIGENRK FNRAISRLHELLRQIICERIADVENEKVQLAGNGRRDLLTRMIQESQAVGENWDEDDL IGHVLTFMAAGHETTSNTLAWAIHYLSLNRPLQARLRKEVIDAAGRTGFDMDYSTIER MQLLDNIFHEVLRVRSSATFATREPIRDTEICGTVIPKGTTIMLMPSAIHQNPRIWGD SVDEFDPDRWDRLEGEAAKSHAFAAFLMGPRGCIGQVFTRLEFKIMLIAVIRRFEFDA IEKGEVPLVNPSVVLRPKGGLRVFAHRLPPAGE
SAPIO_CDS6917	MTETAKPDGIFSRLRTTGLELREKLNHESLHDAKVALIHRKHEI GKFGNLFNANHRHDEEHEKATDEKRTRMSQANRYESYFPERDGNIVKWYVDGRDYFWA VSEALDKAKELIYIADWWLSPEFFLRRPPQANQEWRLDNVLKRKAEQGVKIYVIVYRE VEAALACNSLHTKHALTELCPKGSPGYGNIRVMRHPDHNVFENVADMTFYWAHHEKFI IVDYELAFIGGLDLCFGRWDLHQHPLADLHPDGVANSIWPGQDFNNNRVMDFKNVADW KENELSKAEHGRMPWHDVSMGVIGPCVYDIAEHFILRWNCIKRDKYKHDDRYDWLELR GRQEDEDLVGVQRPKHPVGEYILHPITPLETKNLDKRGTVHAQIVRSSADWSSGILTE RSIQNAYSDIIRKAQHYVYIENQFFITATGDKQAPVHNTIGAAIVDAVLRAHEERRKF RIVILIPAIPGFAGDLRDDAATGTRAIMHYQYRSICRGEESIFAKIRAAGVEPEKYIF FFNLRSYDRLARTAAIVDIEKETGIKYQEVQRGHAEELMGEAIHQREGESDRRREGSP SRRHQRKAAAAAEAEEKKEGGQAVAARDKFEEALAKRRKNETRKISPSVAHHAMSGTG GGETLLVEPWDENAEEGVEVMNWIQEELYIHSKLLIADDRIVICGSSNLNDRSQLGNH DSELSIVIEDTNLIDSTMDGKSYRAGWHAATLRRYLWREHMGLLPPQALDASKDVNAR PPGEAWGNDPWDRDDSYSFVEDPLSDELWKEWTEQATTNTEVFRHLFHADPDDHVKKF DDYDRYMAPRGVKPGHIFDQFLPVQDIKEKLAKIRGHLVWMPLKFLEETEMAEKGLQV NQFTESVYT
SAPIO_CDS6918	MTGTQPIPAGKTLMDVLKRSFTDVPIDAANGNAISTSEFLEAAE ALTYMFDVLGSVAFSPVKNDMLHNVKKIRDRQLAAPGESENIQDLCRNELKAKKHTAT EGLLWLVRGLDFTCRALIFSLKNPNQELADSFRTSYTETLKPFHSFLVKPIFSAAMAA CPYRKDFYSKMGDDPAKVEEDLKAYLDALEKIVEILQAFLASKEAKW
SAPIO_CDS6920	MASFFQPPASGDPALENCDTSGFEIYCNSIVKADRRFKHWYQIL VIAVAAAAFSLPILSWVIERILIYLDNRKRPQPKVVDDVVQRRGDSPGLPLQDHLPKP ITSFCYVQGEDEVRAPINEHDLALIEWTAASRDESAPWRNHTSQDNRSVMPLVRLDAI LAKAPAEKQVASAAQHLATIHLDSGAACLALRIDSSVTPQTVDDLVCDLLRLNVPVVL VAPFELPKLKNIPLSHVLGLIIESALILPNGHRRDYFSAVPLRKIISTCTKERESRPD FFVGFMDLWDERPTAAVVKRAEKLAKHFGAVFTHRPREPMQLGDMNPPVPAVTINGFE HLRRWEITETHKSWCLDDNKPVSVRSTDAHVARLPLAGLKELIPEIDELLRPEPLNPT LAAVACEKPPRILPPNYVDLAPKRTNIWTMSSGMEELSTQGCYPLTSSPSREHYAAVV EEQVHLKTLRLLQPVKGDSIHRIIQQVGALIDRGCRRKHLLEGLVEGLSQSKIGIFKG LDSGFGTPSGDGYFWGVSHVRKGSSAECIDIFVSLKAPSDTPTVLHTWLAHHGVPRLE RYIIELDLERVCENDPSIEMPSSLAVSLERATNAELLFFLEQLRVTQFEHEFRKPMIA LCRHLLIDEAESDNWRGLHSLNTLAGTIDLRELFDTRLRYYARKGAVEVPNVDNLLRL YSQVDILLNDALFYGDRRVLAIIDEALRSVYKLQGTPENTIPADINADMFALLFFIVL RRAALEDIYLEATDRCPYFLSQTDQAAVFAELWVLGSQCEIYFGILPRDLGEIVYRTY HKYLEVNVPPAKPTESKERVITMYWPVTAERELESKGKLPSGSYAAPVSEENKLRHWK KRLQEFGALSIFCFPAILDVILLSFLGRGLFTTAFMEPSHIVASGYAVLISLLLAAGV TGWVGSVGHYYMPHYAYDNLLFFHVQRLSGGFMLSFTVAAIGLIAFAVSYDIYVGLVF AAYLIVVSTYLTLLGIMATMHQRGSPLRSGRLILWRTIPFLFLSPLISSFVNGHDLKI YLPISYAFLVLVLIQYRSLCTEWSGWMSNIPELTERDIADWYSARYSKGDQGSDSDSL ISRQSNSEHAAETEFRKTIEMHMRSKFRLGDRRMDPVAARIAKAMPYINWLMIKEYPN GDIPPAFSAEWFNNLGEAKAKQKQLCRGLKEHNAVLLFRASRYDIGQNVALFLIALMD RWTALVMSGRDPQISLYTDFRSRYGLCLCIIYFCFSVMSLDATLQNYWPDRFKLSEEK MVDFNHTKHILENWEKRRFKVYCTALVELAKKLLFILGFTTLLLWLMVDNHETIIVYW CYIFGYTCVVLFQFNRCFAIFVRAHVTTLYFSALFGLIVGCILHALPWTYTLLYIDIL AMCTAAFTAFLVTSIWVWIGFRPQMSPNIEALSPNAQDGGIWKQPLITALNRRAGGPT ALASWKSLESSRTTLTPSSAATTVITQTLQQVSDYPSETTPSWQMDIVQRARDMWANH NIVVSFVDREAFANSGLRGCCSASRLDSRGRLDINVGVFGASEIRLSSWASVQGRIAT EAILYHVARSWRNIPHKDAVLIEHLLLEEGETMSRRISFEIAMLDPASSRNLHLKTNA ELMRHLCLDLDVDAKWGVIPAPAREAILCRILGETVPMAPEFIEWLEDQKVDMGACDF NVRLCLSISHESQLTLGTLAYLSDDAPRITNHIEPDLMCVPMNEGRAYQNWVTRAGSW IRRFCLGTVRWIAVVCGADSNIERELWYKLRHTYLRTVIITVVLAIWNLCHIARNSWV FAILIYHRPAIANIARLARKGAARAINGNSIVVELSRSAITGFASENDEGMMVLNIFD GILTEPPANKEPTCQALYDSKFRLQRRVKKEAKGTATSTYRYHEPNSDHRWPTQKVET SESRTMTGYYDKHGRICVGTLRLGRTEFSFRYYYKATPKGSSDILRADYEIIGSDTHD GIYAYWGEPTRASSKEYNWVPSERLCRIVRKVDGKTYITTLEYQHRRDPTSVTVIEGD TGTTAIAEPPKVCNEEAQLLQRPKDHVFHSDDLLIYHGMFQLNRMRKAAKSAGPANNT PFLSSLKLTSLFSWHGRIVYRRAPTWRVRTELWSHWLKTGNLDAITACWMDEDILRQE PLLREYWKLRDRGRLTEARAALDRNINQIFAAIEIETAVSEVCLLPIKTSDLYAMGLG NDATQVTTRPEDCYRDTKDRISVIFTDIGCWPEAPGGVSNCRRDLVNGHSTIRNHVLA ESANEYGIPRFQIEKNVQSLKLLPLWGLDGRSAHHGIIDNYLQSQVDEKIENTDDKYD IEQTFVPLLKAFVKGARSTRCSREELINYSNVILSISKYFEYKDYNRTWESPEVERAW VEAWMTHYDEENVNNVSDFFEIERPSLSDLREALGIYKAYFFVFAVKIPDDCPRVFQS THHGIGSLFGMILKYRKGSTFCIWDHAILWRECCLNISPAQCALPIPVQSILLAGIGL ATRLAYMHADVIMPCTSLYNPMWETEIGTDRGRIGSRKRFSRKIEPIVNGISNMDSFQ PVDEIRTKKPTVVMLSHVQFIKGIKSAIQAADVIVNRYGFKDYQLWVYGSKDRQPSYC AEMVRLIADCKLGDNVVLKGFGKPHEVLKDAWLFMNSSISEGLPLAIGEAALAGVPIV ATEVGATALVLTEPEEENTRYGEVVPPNDPTALARAQLRLLSMTGPWTKYTDDGESVE LPEEISAADVEWLSVRMREKMQYRRKLGMLSRGVVMKCFHGERYLREHEQMYWVQWYL SKMRANPSLNFPSGSFKFGGQKELHYIEEKVSSGSDDGSSEDDEREAAEKVLKWQDFD RHALLAAEKKMNRNRLSKSRPSSAGSGATDRDSLWNRISRNRPAPPQPIWNTGDGRVS RAWSETA
SAPIO_CDS6923	MSQLNYNSDSVGKGDQLRLANPTRWRRLAFIIAGVIVVIGLALG LGLGLGLRNGDDDDSGNEDLGPPDTGVNRTLKWTPAVGDSWQIILLHPIDLTKELTPD VAVYDLDLFNNDAENFSELQKKGKKVICYFSAGSYEDWRDDKDKFQAEDLGKELDGWP GEKWLKLSSENVRSIMAARIKIAADKGCDAIDPDNVDGYQNDNGLDLTAKDSVDFMKF LSLEAAKYKMAVGLKNAGDIIEQALPYVDFSVNEQCGQFDECGTFEKFITANKPVFRI EYPKEELPKGTSLADESKRRCASQGAGEFSTVLKNMNLDGWVEYCDGKTFTTSIQDA
SAPIO_CDS6924	MRFFKSAVGALLALQAGVAYACTKTITGTILIIGRDDYDVSNGA AGFKAYGIKTEGFVVPKAGATLPALNSTIERGNYGGIVVMAEVSYEYDGGNWHSALTA DQWNAIYQYQIDFGVRLVRLDSYPTPEFGTTALGGCCDTGVEQKVGFSDVSEFPTANL KTGGWVSTTGLWHSPASISDASIATAFAEFSPAGTFTSNTVAAVINKYTTGRQQMVFF TSWASDWSLASNYLQHSFIHWITRGLFSGKRKTYLNTQVDDVHLATGIYYPTTVGEFR LRPADLTAIKTWQEGLQTRLPAGSHFFMELAHNGNGAIIEAVGKSGNTCNPNEPVDYD EIPDVPYDWIKPLGSGTDMWPPSFTTTYPWNAQCPKSDDLLAWFQTASNRDAFAHLSH TFTHEEMNNATYNDANREMIYNIQWLKDTGFWTAERFSPNGLVPPAITGLNNGDAIRA WMENGIKYVVGDNTRPPLRNSQSQYWPLITNSAVNGHDGLVVVPRWATTIYYNCDTPE CTWQEWHDTSAGTGDFNTLLDDARLTNTRYLLALHPDPYMFHQANLRNIDRPVFTIGP VSEKLSLIQIWIEAITQELTSLTNWPIQSLKHDDIAQLFLDRMTLDQCEPNVEYIYSH DTKKITGANLIASGNSCGVNVPLTVPGDVVTSTSGVFKDQVGSEPIIAWAPLSGSPVS FTLNTPVDA
SAPIO_CDS6925	MGGTWTPESPPCSVPVESKEMRVVLHFHGGAYILGNGRDGDTGF LAQNYIKHGRFTHVFTPQYRLATGPHGRFPAALQDSLSAYLHLVNTLKISPQQIVVGG DSAGGNIVMALLRYIFHHGEELAIPWPAAVLLWSPWTSIATSTDASAMRASPNYATDY IPENFPLWGVHGLTGGGRISPDDPYLSPGDGHGFKSPAPIWVNTGRLEALHSANGDFV ETFKKAGTEIEWDVDENCPHDIGLMGRRFKFATSWKWMRMKAVVGGVDLRDGGIEDDF EACFDVNLKTTISASYSEVSENRSIGSRYMSGYILAGPSWYLASAHLDPQLAVNLISR KYAKHLKLDFEEMRNTTPVASGGEPQVEGSEPTSDVRPPMSKQTHTVRKATLSDAPEI ASLGAEVFRKTFGHSAPEADVQNYIDENYSVEGVTAHLQHSSRETLVAVDAEGRVKGY ATLTKGTSEPCVDDVPDKAELQRLYVDASALGMGIGGLLERAVEDLAREGGFRHLWLG VWEENHVAKRAYEKWGYKRVGEHTFYLGSDAQTDYVMLKAL
SAPIO_CDS6927	MTTASVLEGTVRATQFVGNIALSPALTASALVLLAFAPPHIVSR VTGFKFIQKYVGISGLKIALRVLLGLGLVRALNRLQNIRASNNWRLLGHGGWNWTEEI AVVTGGCNGIGKATVLGLVRKGVRVAILDVADLPADLARLDTVFYWKCDITSPHAVNE AADKIRENLGHPSILINNAGITNKSTILDSAPEKVAKVFGVNILSHWYTVKAFLPTMI LRNKGHIVTVASVASFVALPTEVEYSASKSAALTFHEGLSCEVKNVYKAPGVMTTVVH PILQQKNCSFSRRGQRMGRPPRMRKLPYGSCEIINFDDDSGEDPKQTTNSPASSDLSC PSPSYDTESESLESTDLDPCRPVAYSSGLPYSSLADLFSFIEAIYDLPIALPHNLDRS SSASPHNPVHDILSNPELFYKFHSDFVIGPTFSDPFRQAIMIVLNRSEGVVLDAYSTV TSLWDAGNNKIKAFDEMDLGQGSRCLKKLQTPTIAHPEDAAAVIMLGQILVVYHIAVL GTSSHAIVRRSLLLAKDWYPWLLNESALHPITITPILVDTVESLVKREIPVVRAPPDP DCSIVDRSAGLCVGLLHLQYEVCRIGHLAKTSTVAAESGAVEPTSTNRYVGMYGEELE AVRTQVLNWEPRPPKTFFTRYTQHEVSAMMMQARVYRLATLLIIHRLRYPLGVEDEPA ERLAMAIAAELECFVQWVPDDMKGVSIGFPLLVAMLEIDHISEDAIRYVSPLTAQPTY VEQLRAFVRLTRAARDMGFCGLWFCLVEKGLSIPVLA
SAPIO_CDS6928	MAALLFSPFKVIDLMAQQPLLPASALAFLAYGPPNLVRTVLNHP LLQRYVGEQTLKTSLKVFAAIGLIRLMNRVANRRARNNWRLTRQPGWEWSREIAVVTG SCGGIGKCIALGLARKGVHVVILDVQSLPADLAKIDQISYWKCDLASSSAVKDVADEI RRSIGHPSIVVNNAGIAKPHGILETTEDYLLKIFGVNTFALWFTAKEFLPSMVLRNKG HIVTVASIASFSTAPTIVDYAASKAGALAFHEGLSAEIKHGLRAPGIITTVVHPYWTM TGMTSEHADKIKRAQGPLMQPDDVAKEGFEGIPIGSKTS
SAPIO_CDS6929	MAASGDKQSSWGKHSQEAEASRSPLPGSPAPPTEHFLLPCIEGA IVSVKEPEENGGLWVATQEKPRTPSYYVVGRTALKWSSGPTEATYSSADEQMFVNVTV GGVSTGFIKGNPYRSFPIQVGFSTAEGMVEIFASGNQIWVSGAGMAGLGTAIEPHETR DTSFRTVIPGSVLTADPDLKDLVLTPGSEQWLGPDHHIIGYNIQKEKTYNLLILVIPQ DEDGGIYKSPSTADEVRKVEAGWNPMIQRLLKLLPENIERWRLIDLPPVPNRVYPSHR MVVIGDAAHATLPYPAQGAAMAIEDASGLGFVLSKVRDVSELPKAPDLFYEIRHKRAH TIQRGSWTNRFFIHLRNRPQYDMRDEMFQAGDYSESLNLMANTLFQEYLYSYDVVKRF ETQLGQKQPLSAKL
SAPIO_CDS6931	MKSLLMLVLVTSVTVTAQNQRFPDCTSGNLAGNAVCNTSLPPSE RAAALVAAMTAEEKLQNLVSKANGASRLGLPAYNWWSEALHGVAYAPGTQFRNVPGPF NSSTSFPMPVLMAAAFDDELIEKVGNVIGIEGRAFGNEGWSGIDYWTPNVNPYKDPRW GRGSETPGEDVLRIKRYAKFMIRGLEGPEEQRRIVATCKHFAANDFEDWNGANRHNFN AKITLQDLAEYYMMPFQQCARDSKVGSIMCAYNAVNGVPSCANKYLMDTILRGHWNWT EHNNYITSDCEAVLDVSANHHYARTNAEGTALCFDAGMDISCEYSGSSDIPGAWSQGL LKQATVDRALRRLYEGLVRAGYFDGAKSTHALLGWGDVNNHEAQQVALQAAVEGIVLL KNDGTLPLDLKQSSKVAMVGFWADAPEKLSGGYSGAPYFLHTPVYAARQMGLDVKVAT GPILQEATGGSSPWLASALAAARDSDYILYFGGLDTSSAGETKDRQALDWPTAQLDLL NSLGSLGKPLVLVHMGDMMDDTPVLDMEGVSSILWANWPGQDGGTAVMQLISGQKSPS GRLPVTQYPANYTTIIPMTDMALRPSSKSPGRTYRWYPTPIKPFGFGLHYTTFTATIL PSFATHFTTGNLVADCKNTYLDTCEFPALPVQVTNAGNRTSDYVVLAYLSGKYGPEPY PLKTLSSYSRLRNVTPGETVTISLEWTLGDVARHDENGNTVLYPGVYTVIIDEPSLTT MTFTIEGDAIMLDKWPAPPV
SAPIO_CDS6933	MSLRSRIAQRPAANALVQTPAQPAVDNGLRIFSKLRRKRSLWWD DYVLTASWGALAVSCSLLSACVSLGYGRRNSDILPENFDNVLLLSYAAGFASIVAALW SKISFALTLLRISTGRMKGFLWFIVITVNLVLGANATIHWVQCWPLDRLWHPQSHGKC WPRRTVIYYNIFAAAYSGAVDIVLALLPYEYEYFRRDYVFPEDNHG
SAPIO_CDS6935	MKGLRTALLSAFVAFASTQKVQVLPLGDSITDICCWRSFAWREI SQAGLADQVDFVGSVTGIPARCDAPSGFDANHEGHSGWQAYDIAKNNIDAWMRQSSPD VVHVLLGTNDINIGKRDATTIVGAYTSLLSSMRTANPNVTVIMATIIPMRWDDATAAA VNKQLPAWVQQHNTTQSPIYLADISKTTGFTTNMLQGDGIHPTTQGDQVIASRVAPLI INAVEFVLARRLN
SAPIO_CDS6936	MRLSSLVAVLLPPAWARAYPTDSSSDGALQAFPDINYGAPIIGG GEQITDPAELEILHARHADVLRRLKAGEGYSLENTTLFDSPGLDKRVPILGMAGVTIL GAIPGLSTAMQRLYGFLLGDPLGPWASKNNCRVEFHTKGGGNEETSVLGRNNNPRQEF LNNTGWNNPTSTGPPILFFRTDSIVIYRQEYELVLNTWPLANADAIEESEGDSISSEG AGGNRWGGSCGVLCIDQVVTDIERPEDWTERPRPKGKILIAGDSISHGMQDDWTWRYR LDRWLQSNYFKYEFVGPWVGTYGIPDIEAAQPRAPLFPEETPPSTFRRGDYAFTGDDI PFIDSGHGAFWGRQAKESVREVYHWIRTYEADYLLVLLGFNDLGWFVSGPEGLIMDMG TLVKNAREANPKINILVGNVVHRTHINGRDDLPVKTDRYNQILREHLPTWFDWNSPIR YVDVAGLYNCHPNSCPDGYDGLHPNAFGEWAIAKAFADVLQHDFHFHGEPLNIPSRVD TRPVSAPLGVKIVPRPEGLLTTWDTVKNARGYEIRTRLEGAQEWWSSGEVYPKTTASF NTWVLNGQTWEVQVRTKGDNDDRSEWSLSRTATVAALTAPPPANIIVNPEGGDGVVVT WDSVTGYDVDRYSIYLWDRDTEGSWTGVYPCRGTRWSIGGLVPGHRYSVWVATHATIP HGTLSNVPEAPGGLPGTGRDVYIGGGRPPQPSSFTSESIDATTIRLNWNHAGGISGYA IYSRNIHDGQGLKLDGTTTDASYLLGIQFPGIWNFEYCVAAFNGNLESTRVCTIPAVC CGHSKRDLVTGNVTVPAGFVDTAHVTAQMQHAVLGQVHDMYMKLSAGLGTMMGNFSTL GVPPPY
SAPIO_CDS6937	MASDIRTLPSVHGDASVATRFPSPCMPKNPGGLVGLPGELLLAI VSMALPSNQGTSSFTADDYKQFAVAAQTCRRMNRLVVPFMYSRLSLRVGVSRHPLDES SSLDGTGALRKLGRSFKENPHLREHCFQLAISVEARRRLPSVDPEQNNLGRPFPASTL HENDANDIEATLEIIPLLARVRTLLLYGYLDDYREIWGVLRVAVAQKPSLRTVMCYHF GRKTEPARGPIRELFSSAPNLGYLGLHRIEESEESVGYTGDTPHEKPLTSSQSLSVED RAAELEVVRRLLSWPAQIGYLTLKPNTDDASPQLPFGLGGLMPILTRHKTHLRRLHIG KTSLEGLGGFDLTGLENLEVLNLSRWATGNRAETHAGLLAPRLRIFTWTFTSDDISRE LNAPDIFQEAEEAWIKGFINHATSRGVPLKEVRLAYHPRIETREDLEAHAVWDRIAVL AQDFKDSGVCVTCKPSKEDVVKAFLRSEKVGTKS
SAPIO_CDS6938	MTSRPDKSPDTLNKAPVYRLTDRKDGPAKTLDRLLRENHASHAA LGSSYVMGASSSKLEEIYAADSPNLTSVDGGVLRNAISRQNWRKYLGQKQYTAAYVDY FDSEVEKNGGNWGKVVEDYIYSGSEPLINGFSVGHPFIHLAYGYEFESKEVITEALSL GCTEYDKTHRYLDILPPDNSTYKTTSLAEVLESLRNDHRFESYSQHLGFANIFTLLDQ FEAEVLEHWNALVVEDATKQLTEWLQTAATLAVSAINAEGGLDFYLAHVLTVGHALRI LLPLMPGQHRIPVLKQFGLYTILVYLAQLRPGFGPDTIKSFKTDLTSWDDIFKRALES KWSNDIHWPKVVRALKAVEELRGSQDGFYQKAAVKFIAEFDGWTGFGLGADAIH
SAPIO_CDS6939	MEETDIIISGCGPTGALLSTLLGRYGIANVVLEREPHITSDPRG IALDEDGIRQLQACGIYDKIFTDIGECMGKFRFVGGVHSDLSTRPFTVMDYNTTEGGT GHPGFMCHKQPVLEKHLRARLNGYPTSDLRLNSTVISISEDSDSVCAIYLDASGKQTQ IRGKYLVGADGKTGFTRKQYLEPLGIRMEHVSANSYEETWVALNWKLALPTPESHPDF PLWEMGYTPQQVYDLFFPTDFRFLCNPKRPAVCGRFGLREDRLWRFEFLVLPGEDGHL MSTPDKIRQVVFPYITHPGTRYGLPASTEVQYPRDCIEVLRSRPFKFAAKSCNQWARG RVILCGDAAHVFPPFGGQGITSGFRDAISLAWRLVVMARQERAGARDGADCQLLLNGW FAERKQQLDKSLAATIENGTYLTTASPIRDFFRDWYLWAVQLVPSWRRWLEQGNRRNG MVKYQWEPSRGMVFLPAMAGRKYFPQVYCMRLDGKEKVQFTDDVIFSEDKKALFQVVV LLEGPKDVTAVREAISSIDEASRGVVRSEGATFIIHTTEPPLCQASFGGNAPYRLATA DEFAADESLCIGRPKPPYYDPERLIKESENRRFIVLRPDRFIFAACETPEELMLAAKH VSFLSTDME
SAPIO_CDS6940	MYFGAVTSLSPDECLARLGEDRAVLVERYKHNTERALNKADYLN SDDLKSLQSLTLYIAILRSHDASRRSWALLALAIRLARAFSLHRERPIDTALTPFETE LRRRLWWALIILDIRAAEDRGSDASIPQGSYDTQPPTNIDDADFGPESCEQPASKAGP SDVSFFLGMAMGSGFFGAVKHPPLLSLSVESAGPIPFLAALPPGPTPLSEDSILSQAR HLESLFVPPFESPSPSVPPLPSAVNTNSCSTANPATTTAQVYAIARLTPTVVRIIVLK VWLSLQYPFQRPPPSGPCLQVPRISRPTILLTAISILELTALLKRDPSSPHFRWWFDG YVQWHPLAVALAELCVEVDGDLVERAWRVVNAELPPMGATIADAKKGPLWRPVRKLLR KARTARAEALRTKSGRSGQEPEPEPGLEQHAEPPQPTQSIPMSQAQLIHAEVGTDDQM PSGNGPWTWESLGGLLNYVDLSTLDADFAAAWTTNNGIWDDIMGD
SAPIO_CDS6941	MAISTVHRLTSILCLIVSAVACAKGPIIDTTSGTVRGYYPDAAT RAFLGIPYAQPPLGSLRFKPPQPIKEKAKGVIDATEFGLSCYQQRTAVAGATSLTPTT GESEDCLTLNIWGSAEPSRRLKPVFVYRMNIFGFANSPALPEKNAGIRDLRLAVEWLR DNIAAFGGDPKRMVLGGQSAGSISTSIYAFAYPNDPIVQGFIMQSGQALTMPPIPDDT AEWTNLAEKVGCRSSNSTEELTCMQEIDSFTLKRTLSPEDLNPIGVAATLPTIDNVTV FSQDTYLSLAAAGKFAKIPALRGLTDKEGNALTNFTPEGPANQTINDFLTTYWYNCPT AMEAKANIYLAEVALVFGTINNVRQRDPEPYELQAQDYLQEAWVAFIKNPRRGLKDFG WPSYNPNTTSLVEVFKDNSINASFADPSTYDAVC
SAPIO_CDS6942	MKLNMSMFKNGTRITSVNQSGISKNRNWATVLYTREKKATSPQQ PKSASSSWIRPVSFSSVVKELHPELLLPVCVFYLILRGLDTIEDDTSIPLDTKEPLLR DFKDYLDQDGWTFTGNRPEEKDRQLLVQFDNVITEFRNTKPAYQAIITDITDKMGNGM ADFARKAASGDAGVNTVEEYDLYCWYVAGIVGEGVTRLFVEAGLGEGTLIDHVHLYKS MGLLLQKNNIIRDVREDHDDGRRFWPKEIWSKHVDNFEDLFEPENLELALNCSSEMVL NALGHANDCLSYLVGLREQSVFNFCAIPQSMAMATLELCFRNPAIFQRNIRITKGDAF QLMVESSQDLERVCEVFCRYVHRIQQKNNPNDPNFLKISIACEQIERFAQDLAPQCVK VAKGKTLAADKGQVQHQAGFNEEIRSTTGRVLAVSAAISILVVGAAWLLEARFNVA
SAPIO_CDS6943	MRLKSLLRFVALGLLGLADPIFAQGPLATLSSLPDCALGCLQTA VSNSPCSITNQTCICADADLNEQAQQCILLSCTAKQALTTKNATETLCDRPIRDETQH VYNVAAALGIVTGVLVGGRLCFNYFFTQMGLGMDDWFCLLSTLVGVPCTAIACHGLAP NGLGRDVWTLQFDTITRFGRFFYIMEVFYFAMVTLLKTTFLFFYLRIFRTVGTRRILW ATQIINALFGVAFVFAAAFQCSPVSYFWTKWDGEHEGKCININALAWANAIVSIILDF WMLAIPLSKLPKLQLHWKRKVGVALMFCVGAFITVVSIIRLQSLVTFANSHNQTWDQW SITNWSVIEMNVGIMCSCMPTMRLALTRMFTVFRETTVSRSENGAGYHASRRPTKNQA RIVTNPSVVASRVRALDGRGESEERFDPQYRYLDETSLVPMKDWGVSRENSV
SAPIO_CDS6945	MSGLEALGVVANIFQVIGFAAETVRLCKAIYDGSGIDKHLEEYA TTLKTLSSDVQNHYHTRQSRGPDERKLEDVARKCNVVARALDEEVRFIIGCQGQGKLA ATIRTAAKATWRKNRLKRLEKSLAEYRDMLESHLLVRVCKQSDAIKLQQDAGFANLDQ SLRLFVSQYASGHKSLSELVNTRIDTMMEHTTREARQTTTAVLRHVTTVVSRAEKVLA DRLATEAAKIACDAQRRHDSSVTEDQRQRFLRSLKFATMNARRNHIVDSHDGTFKWIF PSRQIPEGPSRDSPSGTSGATDSLWDNFEEWLQSESNIYWIYGKPGSGKSTLVKFLVS SNDTSTALNSWRRETVILSHFFWRLGTQMQNTLKGLLCTLLHRALSGNGAICNAIIAS PSYGNKEFDADWSTRELRELLLTVVEEYPSPLCIFIDGLDEICENDARELMKLVDDLM ALQNVKVCVASRPDAPFQQHLGHFQHLRLQDFTREDMRKYVEDIFTLENQSDNTLNRK EGPCAQDKLVSWLVDEIVYKAEGVFLWVHLVARSLLQGLKNGDTGEVMKLRLQRLPSE LSELYMDMWTRLNGDSAVYQGKSLLACYESSTDEQVLRLAMGYLACSHDEELATKVLR YLPLSGEPNVLGRDRFIEALISLGADPNARFSNEMVSKQCSDGDSKAQTHISTSALFA TFVATREPQSDYWLVAFRLRTGGSVASAQEMEDSIEAAPSGDGLKGDSIDYWRASASD ADLTTPLGLTDADISYFIPKVSLDIDGIKLYPAAPEDKEWPFITAHGDACSLKYGTMC CSNGCIDIPDTIDTPANITTAHIGSINVVIKHRDIEPAADAKAQDLLSAQALLNDVKV SIVEDATKAAGKMFEFALMVLSHSALKNEAASQEKPFGPLDALSEAVAAVDKVLPDSE ADFTVPISKPKTLTQWVHKIDQLILAAFENHKTTVDVFGVHQPSQLRAMVNRMRWFRS LGDTLAVMDLVSPSHNTELLARMILHDLGADERCMDSHFTQFNNTGSAGSITHGIDAF AGQVQLHARDFRDNNGTTPVLLQGEDQRHPTWVHFKPGTPAPLVETFYQALDLSEGYK LPGFPELGASYFTKLSRRQRAMIGHMKSISFVHEQLLQGSNDRGARNSSSTITKAATD RLWHMSHRDFGNQTTPANRVLYPREITDPGPDNDADVVTQEGAPYHLLRISQTRSPLY QNSYRYHKSAGEGTWVYVFDSGYAHHTPEVREELRIDDNNIQDSFFEVPIESCIDPAL NLPEQKINRAPDIDRFDDWQGHGTPAASLAVGTRFGVAKKAKMFSIKVWCSWIQNGVW GLRTTPEAMLIGANKMLERHREFGHTGKAVMLIPQVPAIIAGSEMAEQHTTAWRTILL ACRHAGIVVVASAGANGAFVVTDEGGPDDCFWETSAGDGLLRFPETLAGEFPELIVVG AAGIDGTYRIQNSRILDDHQDWVFAHGYPIEGMAIDGSVRDLQSDMWAAPLVGGLVAY YLAHPHWGPLIYSAEGNSDVSDVRRIGTRVKEILKGTSWRRYEHNKEEDRWADFIAVY GDDCNNLLDLINQYPGIEMVPDQVLMVSNAVAGIQNACYAAVPPDTNNGGSDLGNIYD GDDNGANGGNNRKRNPAQDPPADPLAGLNETCARAPPAWYTPKPSATAAPLPTNLADW EDIGCIDLPEDNLAGLNTTSVNGDVDLDKCAKECACSHMFAARNGDTCRCIKDPVQLF GKVMDGKMCGVPCSNNGQQTCGGEGNVTRVYLSLVGVDAEDERCAGK
SAPIO_CDS6947	MTDFVALATSYIQRLSQPRENRLVAVTATALAYLAAVHLLRYRR RNQIVRKYGRCGRRTLASMTVEEASEIRKQLANFEFPHAFTTSLFFALFKTYAIPSIS KLLLATGQLASNETACKRAADTAALLTEMTNNAPRSDRAVCSVARMNYLHGRYRKAGR ISNDDMLYTLSLFALEPSRWIKRFEWREFSEVELCAEGVFWRDAGEAMEISYEVLEQY LDGDDGLAWLRAIEIWSDNYARVNAVPDETNEKVAKGTLDILLFGVPGFAKGAAAQAI SSIIDWRTREAMLLSPPTSSQQAMLNGFMALRSFVIKHLFLPRPAWLEFKRVGDDPDP TTGKYNMLLYFSQPWYIKPTFANRWGIGPLFTRLCGGLVPGAAQFRPEGYTIEDVGPV NLEGKGRPEMELEAKKLQARPVVWGGCPVPGQ
SAPIO_CDS6948	MAAETLSLAGKFALVTGSSKEHGIGAAIARSLARNGASVAIHYV SESSKSKAEAIAANITKEFGTKTTVVRGAVEEVGTAKTIVEDTLKGLDTDHIDILVNN AGGGDNKALMEITPEQLEHEFGLNVFGPIYLTQAVVDTGKMPKGGRIINVSSIAAKLG LEGVTVYSAAKAAQDSLTASLAGELGKSRGITVNTVAPGPVPTDTSKPFLEKPDGSPS EMQAGMIAMTRAAARLGTTADIADAVLLLVSEKSRWITAQWISLSGGITGTM
SAPIO_CDS6949	MAAKSPVVLILGAGPNIGQAVARTFASKGYKVGLAARSLREADS TDKQLNIPSDFSKTEDVVNAFAKVKEVFGIPSVVVYNVSAVSFTPKDDPFALSLADLS RDTTINICSAFVAAQQAVSGFAQLPASAARTFIYTGNVLNVSILPGFLSQGIGKSGAA HMIWAAADAYRDRGYKFYYADERKLDGSPKYHIDGDAHADLYWELAHGKTQGPWMQTF VTGVGYKKFGTMLEANVADTAAFPGL
SAPIO_CDS6950	MLQKNALPPFISPLQASWAETGVGPQQQHAEFDKWELLAAFQAL LVYCLLRLQEVPVGNDGLEAALLTTVNLVFNALVLSAGGIRKMNLPDDPGVTWMDWIY NESRRR
SAPIO_CDS6951	MSDRQQPTFNELVAKIVELSLSFTQYLGENKIPLPTFEAGSSTQ YDGISAEGAEVHGKYANSWEYIENEGEGDEKGWRSRNFTTFMAYLKDIFRLEQIVNDC YNWEEAGDIHVVDLGGSGGHDSFVLARKYPKLRITVEDLPKVQSAFEANVPADLESRV TFLAHDFFQLQPVEADLYMIKLILHDWPDKECIQILQALRPSLRPGAKILFIDYVGKQ DTVSKETQTDNTPNRTSSNGISNRSSENTNLQDPPLPRSIQQIGTSTDLRMMALFSTK ERPRTAWKDIFRAADDRFDIARFEANPLSFFVVIEVVWRG
SAPIO_CDS6954	MSIQPPPRFSLGDRWIDFWASDEMWMRQLANVETMALHHADAHG LRVFVYAVLRGHTAVARWLIRNRTPYTSDMNFFAQHGITPEMLAPLPERQFLSSAYLP QQPCPACRRFDFPARLARRQTELAGGVGHSPASETAAGDPANNNNTLVIDEATWGTDL VAAFDNVGEVGSGDGSNDPTLVDTPVKLLVTAGRRSRYLALSHCWGAARDHQTLRNNL AARQEQGFELNGADRLPATIADAVHLTRLLGFRYLWVDSLCIVQDDHDDWQREAARMC DVYRLAYLTITASRAADDAEGFSGIRTGFAGVAVRVRAPSASVPVSTSASAYEAVIHL RPDHPKVESYRDYHSAPLDARGWTLQEACLSRAQLRFYSLETVWTCRTCEWNESDPSV ANVYAIDRRTQWSPIKLPALTAPWVAKDLTYPGHWYDLVREYTGRQLTHESDRLPALA GIARIVAEAGGWGEGGGEERGALGTDRGKDPSSQLYLAGLWRSDLAYGLCWHCKTLET PPPANLPSWSWIAVSAGTAVTHPIAPDHQWPLGGLDTAADAVSITLQGPDPFGAICAG AHIRVTGVLLRPLRLCSSNPNMTYIVSFAFPRGRSAAGIRHDDNDVGLLRSSLVWDIP YKEANRGLEALCLWHDGDKATASSPVNIHGILVRRRRVDRPRTCVFSQLFQCHRRREL KGASVGSTGSGAVVSESVESAHVVYERVGAFECFDTLDSISSFGQSSVVLV
SAPIO_CDS6955	MQSTGSVLSLRRPAPGVPIPSQFYPGPKSSVRDQVLAALRDPSF SFEDETTTAPSDIDAEGRTETSRIAAPHIGRPPQAYGSESEEENERGSLVSSLDILAT VVTTDGDCRHLPSDLKLLSIYSRPDMGGQTLDNRITQYFGRSAHPPDWQVLATQPSGG VFRLEPSACDPLTARFIDQSDVELYFKLFYKIRNPLLGLLDAALYTPEYVYASSFTLF SVICALGCALSTRPRDRILYPTLLSLAEGTVKWSIATSVRSVEAIQSIINLLYWAPAN QKHRDDPYWLHLSHATQLAREIGLHRPRCVADHVNELFPNGPNHVKERYTRNCERTWL YTYIADKSFGIITGRKALISYKEVPPCFTHWWSDPAAVSTDRLVCGIFETRRMLFEQL DKRKRMQKAPASVMSWHADAFAALDRLHSTRHTPDGLPSASLFPVLGFYQDHSILILN AQASRDLIVVQNKTAVRRGSEMSEDVEKAISKLRALPGHLERIARDLPQSSQAHVSAT VCHFFADQLDKGPLAGRNRAGIPDCGGGSQPGRNLALPADSLDPAIWLDMGFLGADQT GFGSYTLDEALANDCGASDFMSY
SAPIO_CDS6957	MGSGSVCAECGKFFKKDNVIKRVTDPLSERQYPLETAAEFQRLV KEVRMSVATCNFCDLVSELVNNHATPEEVVSIRHIIEETLVRSAFKVRLEFVGRDGNE LGSDTVGIWGTSVPREEQPYLEVLYKLRAMEAEPPPPSCRDRIYDMTKCCLRRCQETN SKCNEDVDDSWVPSRLIFVGSADGLFQPKLVLTRDLYSNTPIQYLALSHRWGTSSFLT LDSGNEERFRKHIPLRELRQTFQDAIKIAQELRVPYVWIDSLCIIQDSEQDWRAESKT MHLVYRNAFCTLAAAEAGKLENGFIDIAKPVVKTEFLLLLELQGKVEEFMVIYDSARF LLSRIPKWPLMNRGWVLQESLLSRRTMYFGTPLIWECREGLSSSRQGYHVEYQYPLVP QRKIWPNLLVGQENVHGNSEDDQVRRNTSLHWRQRLALAFIKAQRAVFPAGLRRRNLR RVLTGAGIKGYAAKNGLSLEVVTLEDSSTVFKADVNVPPPTLHFVGPPSASATGPTLL YFHGGGYVNPMRAFGHVPFALACAGACKAREIVFLEYALAPEYQYPAQVVQAVAAFRY LLETRSVKVENIMVAGDSAGGNLVGSLLAHLVSPSPYAPPVDLAGSRLKAAVFVCPWT MMDVNQETFVTNDGKDYLDKTQALQFKHEWNPNEKEVWANLYDAPDANKVWNQVFGRG SSQGVVRKALVTTGKAEVMLDSCTAFARDHVHAETVVASPSTDLSVLDGKDFVLVECE DEVHVQPALDAAIGYKEGIMMRSIVRWLEGV
SAPIO_CDS6958	MEDRSNRERGLKAAIHNPHVSEEAKQHAREALKNEFGEEIDTSP SAPSGKPHPAKPHPAKDPEHVARGLKGATHNPRVSEEAKREAQHRLDEM
SAPIO_CDS6959	MDPFSALAVATAALQVIDFSAKLVTTGTELFKHGSTNRTIDLEW AATELRDYTERLQNALQLGPNTRSLAEAESKLCDRAGEAKQLAEELLQLINESKRRVG KNKVYEGFRSALVTISTKSNIDRIENKLDSLRKDLVLHILVTLKLQHQLASARSDGRF ESLGKSAKAIAEGILDNRNYFTTGIKDLEGAIEEQGRGIQERLEETVAAIATLQQTWM SEESLPRRAVGCNDLSSVFTQDSVADLRKLQADIVDFLWFRDIHARRDRVMDPYEETF SWIFDDKDDATGFPRLLEDWRSEYTMLTATFYFWNAGNTLQKSQAGLLRSILYDALEK HPELIPVVFPMHCRLLSRGRTLDGEPTLDELKTAFSNLMKQEVVPMKICLFIDGVDEF EGDHEDCTTSLRKTLGDMLRGIYSRKALAGP
SAPIO_CDS6960	MSLLRRRKRAFVPSIRQIVDEIEDDQGNDNSQEDENGDEDEDGD EHNKGRGKGNGGRPTVIPRPPPSPGPPTPGPPSPRSSSPPPLPSPPAPPPPPTTPVPE TTESSSTSISTSAVTQTSSTPALQPPLTTNSDPSPSSTLLISFVPLSSQTSQTSQISQ ESLSSSSTLETRFVASSVSATSTSVWGVTPIGTTTTEATQSSEPSPEMVMTMKGLSAA GCAFILGILLFFIRKRRNRNTYIDLEAKDGAHLPSPGQTIAAFLLKMLPCKSSKPSRT HSDVAETAAVLPPTLPRRDITVAWPLPDTPLPSKPPPFEPSRLPDLISPLSMNPLPHR EPSHHQGGYLRRLSRISPFFSKRSSISPSSDVDEGKHVLSGHPATLPEYEKRGRDPPI ETDMSSADSSSPLAAGSFQLQGAVNWDNLTQKTVSFSVGVLSRLAAAGVDPYSLVIGQ AIAQKFPLSRIGRQNVQAALTALPSHGGYGNLLWFGFGIQSFARTLGATDQGCGLLAL CAALAECYHEDFAADVMHNIVLQHNPPDQLMPSLSQWLMIIRACEGVFSTTKFPLLAE GLMKLDLRPLRSKAAELAVSFRECPLPEKLAAAIIGIGKVASGSLQAIRITGCSVVGW IAAVGEWLYGLRVVIYNQQGEMWFPELLPAQPGMEKAVRMKIEDAESKYEENLTVIQG LCNCVMCRDWGKTDTGSFCLVIMLETVLVVAQTLASLSVADGLCPMRSGLEEFYDRQL RRPFERQSRRPRSITFILDFFERGIGHDYVYRLTDALILFTGHLYPAKWPGASLGTTA MSEGGVCVYLDILREFTLNPELTGLVHVVPGRIEKDRKTFRIVQDSLASQHAPRPTAN ALLAKLSQISLRLTETPKSLRVTYVLATGDLGTGCATVPISPGCLVLSALKARGLVNC SRSASRCGDLSKRNILVDCSQYPIIQARVDGKPVMLCDGRVGGDNVRAAAIRTRKPSL PEHSRTILADEECLDCCFAEALELIGSDEVGFDSSSILIISNSVSQLYKTTESYQATD QSGKEQESCSRGAVD
SAPIO_CDS6963	MRVFGPMTLFAYVLGVVATDTPSPDIVEFWQVPSKVDGRWKCVE SRPICGPDDDVDTLHRNADTGEWECCPKGHTLKDSRCVSPVPDPDPSWRCSDRKKFEL AERFHGSLSECCHDKAYAFEDLTHYFTSLLGLVDLFCKDKCGEPTPKPDEEWWRCPKD KSPCKSHSLEVNKGEKSLWATGAGSANVPNPNIAVAGYKYPFDTWLALTSGQNFELFI KGEKVKPAKGSNYMIPAGTLASDVTYKASESAKIQFYAACSKHTPCIGDQVKDWTLDA RTTTQAREVEFDVSSYEGDLIFTVADVSWATEQYDVFADGVKVGRTHGRLSLGEDMWD TANINPKSSWAANGAGALGCIANDGFWGSFRIPQGTKKVTVRLIHEQPGWPSYWFMYR IDKPCKC
SAPIO_CDS6965	MGYESGARFRSLERAADRRQKELELEQMKLELEEVKREYEEGQR YKAESRLRRLADLGEPAGHPYFSFSLPRSSPAASTTGSALTAEEDSTLDGDKAASPLK RTARVITTSYVLESEYTGKGPRGDVQSATVTVMTGAHAHARPLFRWIHFTSPSMDFDA FAEEVSRVPNLTSSEKRSLSTLMNDVKLKYVKPIQISDSKTVRLMEPNIIQSTVPPPA GSTKDLSARPRTVTWVSLPYFSLEKYSGLESDALFPIQTLLQAQFSRSGRGRDMQQAV CKNKLAPPGYCFHIAQIWCVVLDNSFLFTCSRLPESALYGQSIQRTVKSPDEIADTKG KGHILVSHSDAVLWKIPLEHAQTWLEFTAHFRELFPTPLIFHYQKNQVVTAKEWPTVR QRAARGNIILSLTKGPLPKLPPVGVLPPSPYIEDDSGSVEGEPLPPVAAKGKDDPQTQ PSPTPAPSRPAPQKKEAGFSIFSCLEGVWNAKTGTINRPNLTQHLANVGDYLMTGTTF SDRKAYRRCHGSSYANVHRKLAIRGAKLSTELADAASIEQAEYEEHIDVVSAAAVIFD AFFPTHHGIPTTGRFWGAIDRLIDPPDQPFGSRDRPSLRDLRVQLRGLCITLASFTDL FKTITTKDLSRIKAPDELTLAWIHLLMGIIYLPTDRLRSERIFCDAEALLDDGLRIIV MSLSETDLVDKSVVLPMELFSLVCLELIKNSNPGLPNIAETYSHYLSTLEAEISSNPS DRTYERRLAMFKQETTIIQHTLDTQYEIMERLDKLDFVGRLPHLPGPGYTPAYRFEPR PIHSASRHYEPGRPRYAGGGPAADPFFSNAVLSDIGGFRESFRRECISQSLRTNRMIS ETHDQATDLEEFCRNQIDTTKDRQERAIYAFTIVTVIFLPLSSVASIFGMNTVDVRDM PQSQWIYWAVAVPVTVVVIFLGLLWTGELTNIVRWFASLGSDEKGAYYKVKYPRRRRH RTRVRIESPNGYLRA
SAPIO_CDS6966	MPTALPWAWTRGDDDGPWHPPEFLYGCLFHSTYEDFSLGGGRFS RNHIRDIKAHNPNLAVGKYSLAPRLKRLQCGNAYGQQLHGGQYDENGLI
SAPIO_CDS6967	MDPIPLQSKDYRELLDVIDKLRSKGIGRYVDLPEIIVCGDQSAG KSSVLEAISGMSFPTKDNLCTRFPTELVLRRAPKAIVKVSINADAERSAEEKERLSRF CYEDDAASLDLGLVTEKAKEEMGLSQTKVFCTDALHVELCGPTQPHLTLVDLPGLFHA GNTDQSETDAATVGDMVQSYMNRPRSIILAVVSAKNDFNLQAVTKIARKLDPKGVRTM GIITKPDTLDLGSDSEMAYIKLAQNRDVVFRLGWHVLINRDYARRNTSNAERDEFEEQ FFMSGSWQSVDPMSLGVKSLRPRLSNVLKEQILRQLPHLLQDVKSGIDDCQSRLQRLG TERQTFETQRRYLLQVSRKFSTLMTAAVDGFYKDAFFGSAKTESGYQNRLRAVVQNTL TKFKDEMQAKGQMRTIVEALPNQGPLGDGEVLRSQYIEEVKDLVRKSRGCELPGTFNP LIIGELFSEQCQPWEGIAAAAKDSVMEAVYRTVRAILGHVAVAETAGGIVQLIGRGLD DLRTVMDQQIRSLLAPHLHGHPITYNQSLLDNVQEVQDKRRRAGLEKVLVKLFGSKGP LQKFDEIVSPLALLDLLVEHEKVDMESYASELAVDYMQAYYKVALEKFVDDVSVLAIE QCLVSKLPSLFGPEMVDSLEVSDVARMAAESEESASERRRYKDKLAILEDGLRELMLL DRHSPSGPYEVLDADECEETDDVTLRSVSQPSRLEPSPPTVFMEKGEYTPGLVAAADG DSLWAAPSTTKKKKKKKRIIEEPELSTEVL
SAPIO_CDS6968	MYARQLLLGALAAASIASASAIDGEELFSVLLKRQEPGTPAYNC HDNCGNAIRQAREVSDVCDNKAFQSNYANCLQCAGPDNFDIWKYYGNSVSAAGTKCGL STEPLSGKQPDVGPAQNEGDAGGSEPTTSEGTPSPTDTEPGKTAEPTSSNAPEPSSGG DGDAESTAETPSATGSASPTGGSDASATASGTSAAPSSTSSEPVQVNGAGVLQAVGVF EAVAIGALFFI
SAPIO_CDS6969	MRFSAVSTVIALSQIAAATGTAHGEGELGNSMGPVDFMWPSSRP WSAETDNIAPCGSPSGVTKRTNFPISKGTVSLSIADDAWNVAFYLAVDENPISINSFQ SQVVSNIAEIEAGHQCYLLKNVPSTVTAGTNATLQLKYWADYEGENNNERQEFYACAD ITFVELADFDINPPCFNVTSSEFDPPASSPDSSSGSNSDNSSTSSSSSGGLSGGAKAG IAVGTIVASLAIVAGVAFFVFRRRKSTPVDAEAPARKEVREVSPDRHS
SAPIO_CDS6970	MRASELSLVALLLGAQAKQNAFTNLEGDDADSILGPDKDGKYWI YGTGISAGFVPYGASISNFLINDKHGIERDIVTGFDNATYYTLDKQHAHFGGVPGRYA NRIRNSTFEIDGVEYNILPNDNPTDGYPDGVDTLHGGPNGWDWRNFTVVAHTNNSITF SIFDPDGEQGFPGDVVSYITYTLNGMNWDFTMVALPTTKKTPVMLTSHAYWNLDGFAN TETNLALNHTVHAPYSTDRIGVDSILIPTGEILANEEGSVNDFWSAPKQVGADFESEN ILGNCGEGCIGYDNCYTVTRDEGNLLNWRESGYVLRLHSAWSGIRLDVYSDQEAVQLY SCNFQDGTTPLKKTQGLIDEPDFPRTIPKYGCVVVEVQEWIDGINHPEWGREEKQFFE PGGRPYVLQGSYRFSVDEDE
SAPIO_CDS6971	MKSQFLSLYGLAALASLGVGAAARTAEPTVVLSARSFKHEACWT DEDALSGPGFSSPSMTVRWCARFCRGYEYFGLANGDGRGSTRLSLYKAKEGGTCHVRP SSTRAHSSESEIMTEPATSTSASELTSATIESAQTTESITESASATESESPTSTEEAS ESTSESAIESDTAPVTESETSSATLTQSQPETTFDVTTSTSESATETDAASTSESTVE SSSESATELSTESEPEPTTTAELESTTTEDAAPATTSDSESSTTEEPETTVTSDAETT TTKEPETTTVTPDVETTTAEEPVATTTEEPETTSAAETTTTEESETTSASDVDTTTTE EASTTTTEDPETKTIEDTSTSTTETPTSTPTPCIDCQNLPTGNWLLASTSTGSGILEL RYDSAGGYYYVYNECPASHGGYCTVYIAQVISVEAGITYDFAIQYLMSNVRNQANFLQ ISISTLPSRTRIFTEYFSSGSTNGWTDFRTSPFTPTVSGDVLITVNWLNDPNNALVLI RNIVMQPTECRNPLSELTCNTDVEVQVV
SAPIO_CDS6972	MLSSFRRMSTFMSCSFCSRIPGTTGLDFAAPTTNNHSSSSSASD SSSNNNNNDNNNNNNNNNNNKEEEMADSLIELQPSQAQPRPHQPESDPQQQQQQQQPE SDPDHQQESESDPQHHQHQPEPAPPALPTLPAPALPVNAWLPPSGRWLGLLRREALRR ARRRRGRPGPRGEEGPSGEEGPPEGQGPPGPVGEEGGEGGKGEPGAPVDNRWVIVGLW FALIAGQGSGLSRPEKPTTPQSIGHYMMQVVLSLSVAACFDVLVSLAAPASDSGRGGR LAGR
SAPIO_CDS6973	MANKEGSKSPKSLSSPKPASAGASPRAGPGSPQAPEPAPILEAD ELEEEQVLDDADSAIGSFQSSTASIREEMIRQKQEHGRQYQGYMDAKYVLPMDEQEME RLDFQCHLVWLTLGRQHFHAPITNLNRVLDVGCGTGMWTIEFADDHPESEVLGVDLAP VQPHSIPPNLAFEVDDLEQPWTFTRKFNFIHSQLMIGAFQDWPKFFDQSFEFLEDDGY LEVHDIDFVIKCDDDSLPPDSALVKWHDHMHEAAAKINFPLDVISHVPEMMEAAGFTE VRAKQLKWPINTWPKDKHHKEIGTFALENFLWGCESMSLALFTRALGWSADEVRVFMA HLRNDLRNRRFHAYWNFWVVYGKKPAAAAEAE
SAPIO_CDS6974	MDIVEALAARFAVLLAKIEELNLSNATVAAGIFGFLAAVCGVRV TYRWYRLSHVPGPALAGWSKYWIVRESMRGRQPIAIKEVTDKYGYDAMRMDPARDNVF SMRDEVSHTKLRAKMAAGYSGKENLSMEGTIDTQIAKLVQLIETKYLSTAHDYRPMDL GVKGQYFTLDVISDLAFGHAFGFMDKDDDVFDYLKITKSFIPIMLLLADIPALARLLH SRLMRGLLPKESDKLGFGAFIGVTNRVVAERFKPDAPQQHDMLGSFIRHGLNKEEASG EALLQVVAGSDTSATPIRAVMLNLLTNPSAYKRLRDEIDSAIATGKISSPIKNVEARE LPYLQAVIKEGLRILPPAGGAFYKTVPPEGDVIDGKFIPGGTQIGSSPFGIHHSKATF GEDADIFRPERWLEAEGERLALMTNTVDMVFHSGKYQCLGKSVALMEFNKIFVELLRR FDFQLANAQKPAEITNALHEIGCEGEEKFDRLFNTPYPLTSRRASSYETMYDV
SAPIO_CDS6975	MKFSLTAVSALISLAAAAPTTEGQSDMAKRADEQACVSQCIQST PSCGFTCWTACLVSCLHAGEADEVQKREIMDIVARAFDN
SAPIO_CDS6976	MCVGCAFMRILVQFKEAAVKRFSKQHGALRKDRTTRLFDKSFDF RLNDHRYFLSSENSPRQRTRANTTSRDMRFNEWLPFNLLLLVSPVASTYERTLKTGVL GRRGQTRVRADPDLSMPLVIAISQQNVGDAINALLVVSNPYSPTYGKYWAASKTAEVF SASAEATNRVLQWLYQSGVPSQHVTSLGSSALHLNVTVAEAEMILSTNCYSYTGIAGG EVFLGYDTYVLDSSIAAYVDYILPSSIPWPQPERSRDQVGAIRPRSGPLLRRNSNGDC VTRTTPECLRDRYKMPLYNDSHPGNSFGIFQPAWASWRDTDLDDFFQAFHPELDGQRP LMMEINGGYRRLDADVDAFNLEPNLDFQYAMSLSYPLPVTNIQVGSEDAPGNLNTMLA AFDKSYCPSIDPDRDALPDSGSFDCGTVVAPKVISISYVWNEADFPPEYLERQCLEFL KLGLQGVTVIVSSGDSGPAKHPEGTCLGTATDTQSGEDGRGAFSPAWPASCPWVTVVG GTQLSVVNEGAVAWRNQPSTGSPPYLITEVTYSRVVNKAKRSSGGGFSTRFPSPEYQR RTAARYIGLEREHLESFQHQFNSSGRGYPDVSILASAYQVMTGGKQKSVYGTSASAPV FGSMIAQINDARLKAGKSSVGFINPVLYANPGAFNDIVLGSNSGCGIDEAFRAGEDWD AATGLGSPDYQKLLDVFMGLD
SAPIO_CDS6979	MTNDNMKNPSTAIGNYPGEGINHGCNDSGDQNVVEEQLGEDKFG KGKSWRFWAIFPALITAFLPVIGQLADSWGRRWLMIGSVAIFTLGSGICGGADNAAVL IAGRERGKFIGLVNAFFAIGLFVGPFIGGTIIENTSWRWVFWISLPVGGVALVMLFAF LRVNYVQAPFKEKMKRIDYLGSIMVLGSTTSILYALTYAGTDYAWSDARVLTPLIIGV LGLITFHSYEASQFCAYPTIPPHLFGNRTSSIAFLITFIHSLTTLWALYFLPVYFQAV QLATPSQSGVRILPTVLGMLPAAVISAQYLTRTGKYKLLHIVGMVLMAGGMGSFAALE AESHAALWIILQLVASLGNGILATSVLPAVQAGLTDEDNASSTATWAYIRSYGAIWGV AIPAAVFNNIFSKNLWRIADPAVRAALDNGNAYAFAARDFVLSFPEGAQSSVVEVYTI ALRMTWIISAAITGATGIFTLFEVDIPLRASLRSAFGIKEPKREAKSEA
SAPIO_CDS6980	MPAHATSEAAAIHSSFVVTFLSALQAAASVLVTIGVGVAAAQFD LVTPESAKHISQLCVNIFLPSLLIANLGSELHIETAPRYIPILIWATLFCTIAIFVAR AVAVLFNLPRWTVATVVFNNTTSLPLFLIQSLESTGALRSVVGEGKHSMEKLRSYFLV YAVVNNIFTFGTGKRAEQGFSEDGFPYSNLRRLRERALGMCGKGSVNSNDEEAHGEGE GPGENSEQSRRVVDFENGSPSHPNGGDSDGETTPLLPENFIRWERSTKQRVSERASKL IEPLPEPVKKLLRVARSFVTPTAIGALLGAIIGLVPALHRLFFSPLQEGGYFNAWLTI DLRNVGELFVTLQVIVVGVELSLSLQSMKKGENSGDVPWGAAVYVIVTRFLVMPLLSI PIVWALACYTNLLPSDRAVWFAMMILPVGPPAMKLIALADDRFSTAF
SAPIO_CDS6982	MGGFFCETCDREFNSENAANQHMDAKDHWAPTFGCQTCDREFNS ENAANQHMDAKDHWSFACETCDREFNSQDAANQHMNAKDHWAAPTFSCETCDREFDSE HAANQHMDAKDHWAPTFSCETCDREFNSEDAANQHMDAKDHWAPTFSCETCDREFDSE NAANQHMDAKDHWAPTFPCETCGQNFDSEGAVVQHMYKDDENIFDVWPWDLHYEYLLK GRSLCDEFPHPFS
SAPIO_CDS6984	MILLNFLASLLLGLALVPAGLATTGGAEPSSVLTPTPAAETSAA EEPCQFSDLQQLVDHLNIFPTQAGEEFYRDGDDGNTWVYNYSAKNSNPQRDRINFLVQ ECNSICVLVYGTGNPDLSGIGAMVSYVLQGVVTGALGPALVLGTLLKSNQQWPFYEVH ERRSRVPAIVRELAIHSLKVNFINAMAVNFTIAARRTAEAPIFEASFGGALAMYQVWI CLVSLVSWHTYFKVSSSSPLAGRKFFHAIWFIVILVCFLVPFYPKDDGPSWLRDDVAA QCEIQRAFPRPESFKAQEVLVLGILAAIALFIFILYAFFGALEGISYIARSIFDPQRL VALAYAAYLTAALSYAMITLLRSMFRTRAQAKTFSNDAYEDDNWGFGQVMAVVLWLGF LSDAICIIRDAVISIPSKRPQPQKRTSKAAGNGSRSVTPVQQAPGGSTEVISQLHLRG WDRITEEFPQDLGQEIDQAFENVEHTLRKAGGKGWEQVYKVRAYFAPATPEAFEHSIR NLRKYCPNHQPLLTAVEVKWLYNNMRIEIEVAAHLG
SAPIO_CDS6985	MLRHPKQAACLVCRKSKIKCDWSLDRNECKSKLKGSNKALFQIE QAVRRVQSGKQTSEDNETILALRQLLGEVGGTYSARPLNPVAVESNEPSRVDDDANNL AYSDDEDETDASPEHQPFVIAHHREGSLTVDDAENPLQLLARASNLQLSPTASGTGNS PGLPGSLVHPGARNQPHDEDDGDSEIQSFFTGVRVNLDTGEDIDPIDMGLVTEREAEE LFDYFHKNLAHTRWGLDPKLYTVPFTRSRSAFLFTSIMAASALFRLQDGALSKRLSRH AKNLAHRVVVQQHKSVEIVLAFMVNVPWMFPGHHSSDDETPWYTAMATSIAIDLSLHK LLVPADMPATAADVTRGDCVDPRTALAMDGFGQVDPASEFGRRLLRRRERCWIALFVL ERGMSLARGRNFTVPLTRSVRDCDQWHRSDIADSQDSHLVSIAVLRRDLDGVFATVRA MCDGSQKNNASDSSLIAQSIQGIIERFFDQWHADWGMSIGSGPQGELPPYVNILVAHT RLSIYSGVINHPTAPIEVRQFFRTAGMSSALTVLRVAIRGETVLRSMPNNTAIMITFA ACFALTISAYASGSSNLAPSVRTLIEEAAGVLERVGNTTPHRNGLPSLYGRYLRIIVK KAATAADGHSTAPVAAPHPAPAAIITGGNRGEGRSNDNNNNNNNNNSGDNNNHQFNLV PAPGVSLSLPLADADYLNPQTQPQMTFSAMSDEQIIEILNQPHNAFDPAGANFLWEDM NNFEGLSWPNMHGFNF
SAPIO_CDS6986	MNSANSTDGFDPSAAGHDTFVFSLYQYTPSLPAAIIAVSLFGAL TAYHAWLVLRHRSLYFTAFTLGGLFQALGYTGRIWSHFDTTALGGFIIQAILILVVPA LYAASIYMILGRLVHGLDAEALCVIPRAWMTRIFVTGDVISFVMQAGGGGIQSAGSLD MYELGEKIIIVGLFVQIVVFSIFIVISLTFHRNVVVRPSLPSLSRIPCWKRHLYVLYA ASFLILVRSIFRVIEYLQGNGGYLISHEAFLYIFDTLLMVAVMVILAIWYVGDLEHSS DLSRDTKTEGEGLGPYTYVESDTDSYQHLPVEMRRIV
SAPIO_CDS6987	MHTPSSAGPAGAEPPLNLLDLELLHNFTTATYTTLSSDCTIREL WKTDIIRHAARHDYVMRSILAVSALHLSQYRPEKKNDYIAHAYLQHQAATHTAVSLMK QMDGTEKCEALWIFSILTMYFALGCPRTSNTSLLIGESAFPDWIFLLSGVRKLLYRLQ SSSYSGMLSPILALGAKRWEISHDPKFTESKVLDSLGDLMSSTVPDESLRGIYSEAIC ELRGQLNLALSSSFQSLDIMDAFVWQFTVAETFMPLLQVPTQEAVAIFAYFCVVLNKL EGNMWLRGWSSFLMSRAWEILDANHRGWIRWPIEEIGWIAPSGHGN
SAPIO_CDS6988	MFVCWPSLLFWLFAHRASARLFPRSELPDLITPDAEGNTHTLTA TAGSPAILILDYGHSVEGIPEFVVAKSEGDTSTFEITYAESKAALGDYMSDGPLPLAA AMNTNRVKRYTISSSPGPKIVQDRLIQGAFRYQKLNLSSPGVLTLQKVGVRPTVHTTP ITELPGTFSSSDEDLNRIWAAGARTIQMTEIPANTIPDFVEVSSDGTLVNSLAPQTLG SAVAAQLMYYDMTLKVKPLTGGFGFTVLSDTLNSGIYISCDVEARQVTAHAGSTTLND VIQSSALPVNASVALDKWHSVQVKVAMTDISVLIDDVEVFQITQTKRFYGSFGFGASF GHQSLFRDLAVASPTGDLIYAHSLTDRSYLADFFMGSNPHATVVDGSRRDRIAYTGDL DIAGGAALVSTHGLEFILGSLDLLGSYQTTLGFFIPTAKIQQEPLSERINSSVTGLIG YSFNFLTSIASTYMHTGDVDFARKWATPVRDMLDWADSQVLPENGLFNVSDASFGGDW NYYDPQQRGVVTKFNVLYAYSLQECIRMLGDVGINTTVYEGRLNALRQAIDTALWSDD LQAYYLSEDFKDAYAQDANAIAILAGVNLDPNHSTTTILASLRKLLRPAGPLAFSGAA ISAGWQPYISPYASSYHLRAILQSGDAEGALELLHSLWLPMAQEQNANYTGTFWETLD QDGKPGLGLVTRLCHGWAAGPTEGLSRYVLGAQPTMPGWSKFRVAPLTLGLRSAQGSL PTTRGNVDVEWSFDCHGLLKMVVTAPAGTNGEVLLPSPLMVPRNETIIIVNGKEQAGS SFVVQGGNKMVVTQSRG
SAPIO_CDS6989	MANRPPLLMQIWPGSLLSRRACLPKILPVTAFNPPAHPRRSAAS LNGDLIVSVLESANKRDARSYFQKYVGHRNASFETPGPQIDLPRTIRDRPLFIQGANN VEANYNPGPGLHVAIVKICHPQTVDDLTMEGVARTLSQLRTLGLLSIIILDCGQQSTL DLGTEQANRLAILLGKFDKHNAIVLENNLIFDTQSTLLWEILNAGRIPVIHEYLSSYV TGRIEKLESESMVVALVKLLDRLTPSEPPVKCQKIEVGLPSVPLACIESIIVLDPVGG IPMKGETSMPHRFVNLRQEYDTIMLTDERVDLSKLTYLIEDSFNRKLDVDDYLRRVND KIAGLIIAGDYEGVAILTWEKPRDLSQEEAYKQHRLIPYLDKFAVLKSRQGSGGVADI VFNAMVQDYFGHGVCWRSRADNPVNKWYFERSVGGTKRLHGSSDSHDHATGLEDALAV 
SAPIO_CDS6990	MDFKDFGKGLVSLGAQITPFASRTLQYTKEQLGQVDDKTQLPPD YIDLEKRVDALKQAHQKMLAVTSHYSNEAYDYPPNIKETFQDLGRTVSEKVSLLSAAT TPAEAQAALVAPPSAKPQPKTFNHAIARASLSSSQVLHQNHAGAGEDPLATALEKYAL AMERVGEARLTQDAQIQSHFLAGWNTTLNTNLAFATRARKNVEKSRLSLDTTKARVKG TTWKLHTPTSPRTDQLNDYELSSEAQEEIEKAEDEFVTQTEEAVGVMKNVLDTPEPLR NLAELVAAQAEYHKKAFEILSELAPIIDGLQVEQETSYRQSRESNP
SAPIO_CDS6991	MRHASRLLARYLEPGVPTGLTGLWTHATPRSALLSIYKTTLAKL QRFPESSLYRQSIESLTKHRLALVENTVPAGYEEWVTRTQKLITENPQHFRLVENTTG GLGPRTFHLGGQTFVIPQRRVQNDIREEEWNGEVDDGPLLEGQRAAEEKPGLVSGETD GDLPGHVEAHLSSEPQLTVSQIAELEDAIGAGLLEEVIQVAEGELKLLDIMQEAEVWE SLEEKPAEGQWTYFDRKH
SAPIO_CDS6992	MPPTKTNTGVSDSGAFELPRLRVSFGLETDTRYLKAKEDSVAEF FDIKFCPYQPLNQSPVFAAISKKHVIICKLSKERDNPSPCEIINVIRDNDDAALNCCC TWSKDKQTGMPLLCVAGKDCKVKVYDITRGVVASCLVGHGGEINDLATSPDDPSIIAS ASDDTTVRIWSLDRVHSKQPCLYLLGGEGHCAGLLSVSRLTSKEQAFHDSGRYVLSAG HDGVINLWTLPDLPNEPLFRPNELHYSHFSTSEIHSALVDCVAFYGDKILSRACHDEV IVLWGIEGFSSRDPPPPQSTAPTAYDPSRLTRSAFSPSISPAGPVFYTRILEFDTPGC GPQFFMRFRLHCVPDQNQVLAFCNANGRIFFWDFERLRAYHDFTDSIANAQNAGCEGP GRPSWLQLSHPRRAGTGHPPGKPTDSNGPEVGAMSRSTLRTNESEAKAPKALVAVGAY STDTVLSWESKYNIDSPHRPLKAHKVEAFGMSSFVGRQGEESHPMVELIFEAIRVEDV VQPIIDRDVTQTCAMGNDV
SAPIO_CDS6994	MAPESRSPSLKASELLSDQPLKEDAETTAAREELRNTSISEADE VVRRPSTPDRNSVHLQTSPRDQISSPKKKRAHDQLEANEPSGTAEADVGGDNQDYPFE PDKKRHRDEQSPPGNIADAANDGHARGPLAGSKIPRAPENRVDVGNKIRAQANGPSAS SSSAFANSGFSKLSSTTSPFGALGASFQGSSFGATAPKSSFASFGGSKPSLSFSQASN SSPFLTLASTSSNGAGASFKSPFSTGMSGKLTSFAGSGESLKQGGKARPFGAPDSDGD ADDSGRTDDDSASDEDKSTKASPERDEAKAPVDEKRKLKLQRVAVDDGEAGEATVVQV RAKMYYIDNDNKDVGWKERGAGILKINVPATCVDMDEDGNPISGTFDASPLEEEDGGQ PNSDAFKDERRER
SAPIO_CDS6995	MANRKRKHDGGAAGNQRYSPVPSSEDVRLPKEVIAGGPVDCTVE WPGYFEDLEKVHGALNLVFTFCCSRKHVITTFDNIKGAVQTHIGRPLTVEDVATVTAV RPDTINFSYANEAMLHTNIRGCERDTVFKQNPSQRITSQGPPADASVGGVTGLGNFGQ VGVEGDEDVLYFEFTDEDPQPNKRGRSQPRGGRRPGLQNLRLPTFDPGQLAHLIERRN AKFHLSLSAFLAQCIDDNLDPIQTLKERALQYLPVPPKSVKPSLHGLITTAANTVPQK RISIPKIIAELKSSSFYSGQIVPSSHRVFEPQAAVYGDLDFLLSQSLVNALYNSRGIV QFYSHQAVALNYLHSGKNVVVATSTSSGKSLIYQLPILHALEQDRSSRAMFIFPTKAL AQDQKRSLQEILNYMPGCDGTRVGTLDGDTPFLERAFIRENANVIFTNPDMLHATILP NEERWRTYFRNLKYVVDPADPSSGRGNSMMECSRLLCELILRGVRTIVFCKTRGRCEA LVGAVRNDLEQLGRPEVSGRVMAYRGGYTAQDRRKIEADMFDGKLLGIAATTALELGM DIGTLDCVLTWGFPYTISNLRQQSGRAGRRNGDSLSILLGDPLPSDQYYMRNPDDLFS KPNAAPQIDLENILLREAHIQCAAHELPIQLERDAAYFGADLERICRDHLIIGDGGFY HCHGRFLPSPSSFVCIRGSGEQHFAIIDTTGGKNEVLEDLEISRATFTIYDGAIYLHQ GDKYLVRDFQPDRQRALVELVKVNWTTRPRDFTDIDPVETEAIRKLEGSPCHAFYGTV KITQKVFGFFKVDQRGRLLDAIYVDNPPVVRARKLNPAASIHAAEHAILSMVSTVVPT LPGDVRTECKAAKKEFAKKEFAKKETSRKRPGRLTLYDANGGPAGSGISSCAFNPILA AMDPTRRRELRILNAKAWDGDQDVFPTSSSLDSSLKKNTALIKRLRTAISPATLNTFV QDIRGLSLHKYLSEIISACYDGLCKLKSPGEVEAGVEIVSAFHQRFGPHEFTKQIGWL LGKGLATPDRSVLKSLAQDLREREEKDRASRQRILLRVVTELWLVGVLKTLDDTARPD DGIKGTGPKTDLKAKNGVTAKLGAGEPFPLEVLKDILGHDREHASLPLLVTFVKTFSW DILGVQSTGTEGRRVIEEDASSKDLVTPKAPPSESEASSDIRGEGPFSPPELRDAFRS VLLKYFEDVKRHLVRDHKFLQEQARKNAEVYVKSGEVFEDRQAAYEKHAKAQERLLSN AQVIADVIGCEMPNLKDREEGGQTMSGSIGLVKAGEYLRSLGDGAGIWYDEDERRFYE NLVDLKGKVPAILLEDVKKKRPDSDETVIKKADQCEVTGSTKSTDGADEQSTTIGNKT IGAQVDALLGRLPDLTSKDLVDQSAIDFCFLNSKASRNRLVKALTEVPKGRNDLLACW SRLIATLGQYMPDIPKGVVDYLDAEFRSLQRRKEKDFLGQVRLNNIRYLAELTKFGIV PEHVIFHCLKVSLDDFSRMNIEIMCNLLEHCGRYLFLNPDTAPRMASFLETLQRKKSA QHLGQPERMLIENAMYHVNPPVRPAIEQKERTPMELFIRKLVYVDMTRRNYTKVLKQI RRLHWEEPEVVHILEKVFSKPGKIKYGNIHLLAILLSALYRYHPSFVIRVVNLVLESI SFGLEQNDFKFYQRRIADVRFLGELYNYRMLEHPVIFDTMYRILTFGHGGVPITGKVN PYDLPGDFFRIRLITTLLETCGMFFNRGAAGKRLDIFLSMFQYYIYTKDTLPMDIEFM VQDIFALTRPQWRLAANLEDAVATLQSALSDQQKMLATDRITEIEERSSTYDSEDDNA DGDIYSSSSEEEDLEQNPLEGLDSPQESDFQEEAIVVTRQAEEVDPEDAADFEREFSR MMAESLESRKFERKPQFDVPVPIRAKNRDLTKLDEGTAVNSESTSGTMAFSLLTKKGK GQQTRTVELPSNSGFAVAMRNQQQAEREEQQRIKNLVLNYEIRENEDQDTADDKPSTY HQIAARKQGKEKVGQRTRKLQLSDVEW
SAPIO_CDS6996	MSVVGIDFGTLKTVIAVARNRGVDVISNEVSNRSTPSLVAFGPK ARYLGEPAKTQEITNLKNTVACLKRLAGRSAKDPDLEIEQQFITPPIIDINGQAGVEV TYRGEKEKFTATQLIAMFLGKIKQTAASELKLPVSDVVISVPAWFTDIQRRSLIDAAE IAGLKLLRLINDTTAAALGYGITKLDLPAAEEKPRRVAFVDVGDSTYTCSIVEFRKGE LAVKSTAYDRHFGGRDFDKALVDHLAKEFKGKYKLDIFSNPRAVARVLAAAEKTKKIL SANQAAPVNIESLMNDIDVSALITRQEFEAMVDHLLNRVHIPLEQALADAKLKKEDVD FVEMLGGGSRVPALKERIQSFFGKPLSFTLNQDEAVARGCAFNCAILSPAFRVRDFAV QDIVNYPIEFAWEKAPDIPDEDTSLTVFGKGNVLPSTKILTFYRKQPFDLEARYAKPD ELPGKISPWIGRFSVKGVRADEKDDFMICKLKARVNIHGVLNVESGYYVEDQEVEEEV KDEEKKDEEKSPDAMETDTTKDEPPKKTRKVKKQVRKGDLPIVSGTNSLDSTVLEAFV EKEAQMASEDKLVADTEEKKNELETYIYELRNKLDDQYADFASDEEKTKIRAKLDAAE DWLYDEGDDTTKGVYIAKIDEIRALAGPIVQRHFEKLEAERLAEQERIEAKRLAEEEV RKAAEAERAANGKDEEMTDAEAPRPDGEA
SAPIO_CDS6997	MPPKKKGNKKANNDWESQLGESISAPTQPNDQDGVDDDNSGAGG LMALMRKNKEKRKKKGLNDDFVQGEDPPGVEQPQASQVDISDKGAQEASIEDEFALPN KSSKSQSKGKQAQKAPATKSNVDDADNSGRILTKAEKEKLRKEREKQRKKEQAAKKKA GGSVQPTQPSAAVVKPAEPVAPAPAPAAETTAPAASTGKKRKIPAHLALIQKQQEELR RKQEEEARRQAEEKARFEEEERRLAEEQKKKEEQKALKKQKEKERIEQLKKEGKFLTK AQREEKARNERKLQQMIAAGVKVGPLDEGGDGQEKKKKVVYDSRKRGGRKNESKIDEE KALAEAAERARQQAEQAAKEAEEKAAKEREAKERAEAEAAKAIVHSDVEDDWEAAVAS DGDVKESWDAATDEEAEANEGPKGQKAESAGEDEDSSSEEDEVVTQKQLADLQRKKEA SERREKAHQAALAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQ IGATYFPAEAIKLKTAVVNRDGAFELKVPGLLVIDTPGHESFSNLRSRGSSLCNIAIL VVDIMHGLEPQTLESMKLLRDRKTPFVVALNKIDRLYGWKKVDNNGFQESLSLQSRGV QNEFRNRLEETKLAFAEQGFNAELYYENKSMAKYVSLIPTSAHTGEGVPDLLKLIVQL TQERMVGSLMYLSEVQATVLEVKAIEGFGMTIDVILSNGILREGDRIILCGVEGVIKT NIRALLTPAPMRELRVKSAYIHNKEVKAAMGVKISASGLEGAIAGSRLLVVGPDDDES DLEDEVESDLALLFSRVEKNGKGVSVQASTLGSLEALLDFLKSCKIPVANVGIGPVYK RDVMQCGIMLDRAPDYAVMLCFDVKVDKEAQAYAEDNGIKIFTADIIYHLFDAFTKHM DDLLEKKKEESKLLAVFPCVLNPVAVFNKTNPIVVGVDVVEGQLRLNTPIAVVKPNPT TNAKEIIQLGRVTSIERDHKQIPVCKKGQPSVAVKIEMGGHQPTYGRQLEEKDTLYSA ISRASINCLKDFYRKEVSPDEWQLIIKLKPVFDVQ
SAPIO_CDS6998	MAFQTNVLRDGTWITENVNVHAFLSAQGSTKTEPTKRSSPTCGL LTRTIVESPVVQWIFPARLRSAEHNDVAFIGIKELRGDGQLHDVARKTDFGSRILNAK VLGSFYEDSSADGGFRDGTNNADVSCSASPSQAQLQGSEKSPLPLPPQLLVLVLESGG LVFLFLRQHGDGTVEFISSTVDSPRKDLVIHPGFHFAIDPSSRYMVTATSEGLFVVYE LEDFGTLRQQYRIAGSILSPVTAYRPKTVMGVIHKVEFLFPSPGDFETVILVLLVVRN GVSRMITYEWVLGDDLNSVLSEEVAGFRLPPEHRMPLLLIPLTVKTSFLSVSESSIAV FRDTLHGSSNPELVNTTTREKTAYHHGSGKPLWTAWTRPLRRKAFYQSKDNIYLARED GIIFFFEIDSDEILESSLDVGDYSTSISTAFATVADTFSDILILGGSCGDGSIWALPA RERPEQIGVIPNWSPVLDFATTDECSDWDQAVSSSSVPATPGRGLVGQTHTQHDRLFL TSCCGINGSVTELVHGTIAHITLDVEYSSPLRHVWVFPGLHTTEPKVFNVLLGLHNRT VAAELTLGLSDLREPSPSDLWFDLSSRTLAASQGLDQGICQVTEASITLLGPLKRSRH TITHILGSPDARAESACVRDGVVAFSSLAMQSSSGFEVYLVASNDPTKILKRYSMEQE VTCVQLCTVEGRVFLIVAVWQPTRTSLLLYAVDSPDEKPALTLDLHSVSALADNIFFC VGTTIHWEILDVAERKIREHAKIELVSPATAMNISGGRLYALTQTHSLEVVNLDPAEE RRRDNHGVGDSLMEQRTRPTMHMIDVGDAPDASGSWPITLLSDRECGITGVWMPRGEE REGGGIHVVFEAELPASVRRFRRGHTRPTLWRSRLRQKQYGRIPSTPDDADVLGVCLD GSIHHFTLLTIEAWRFLKLVQMAATREMDISKRQSVELLEPTLDPKLQLHVNGDVLYW CWQKRELEALFRGRTASQIFKRCLDELDGGQWTRGFKEQGARDHGVEGHTIMEQGDRH RNKVSPGIGEDKGEDSGDDDSEGSGAIDCGTSDEELKKYLELGYDVLDYYMSPVL
SAPIO_CDS6999	MECPEPSAEHPEPTPSYETDCSHIRKRREVQDACLKQDIERLKF LAASEGGFLTDDLRRGAWPILLGLPADAMVTSSQPDASPVDDAELSWRHLPRHPDEDQ VQLDVNRSFIYYPNGTALVALRLITDSRSTCVSGGTNSRVLIDLTEADLTRRKAELSD LIIEVLRRYPFLCYFQGYHDICQVFLLVLDPAVRAPLVARLSLLRIRDFMLPTLAPTV AQLRLIPDILDAADPSLRRHLAGTEPFYALSGTLTMYAHNIEAYGDISRLFDVLLARE AVFSIYLFAQIVLSRREELFDTPDDDPSMLHLILSKVPKKLDLETLIAQAISLFDKYP PKSLRSWRRVSSSSCLKTAGCVEVCAGQSLAEGRGYFEAQLRELRCTERWNELIKKAR LYRRPAKAIGLAIVVGLAAMYLRKHPAPVNFLCMAFWRLTGRAMS
SAPIO_CDS7000	MWLDRLTSQTSTPGSSSQPARSYSPMPRRTSGSLNPYITSQRPG QTPRGSTLSLISDASTTSLLGCGKKSNSSFPKPSGPSASRSPPEEILQKLLEATVTKD VFDSCALHSITQGDLNSAFDFGDLPLRDIAAGRPQGSDLGSTPRITQEFESDSGALET LRRSIAECDDVLGSVEANFTSFRNDLAAVSADIETLQCRSAALNVRLENRKGIESALG PVIEELSVSPAIVAKVVEGPIDEHWIKALQEVDKRVLAYRRDTSGTRQSKAWSDLGPL LDKLVVKAIERIRDHLVAQIKALRSPNINAQVIQQQNFLRVKDAYGFLYRHHPTLGDE ICLAYMNTIRWYYLSQFSRYHQALDKIKLHVLDKYDVLGHEDASRKPSLLATAKPAGP QHDAFNLARRIDILKMRTQTALPSYLAEESKTTNYLEVPFRNFNLALIDNATTEYTFL SNFFSPPMTLSKIAKHFDYIFHPTFALGQALTRTIAGDTYDALGLLLCIRLNQHFAFE LQRRKVPTMDGYINGTNMLLWPRLQLVMDHHCESVRQLTDSTTSRPVVRSASEQAKLS VAPHVVTQRFGQLLQSILLLCAEGGDDEPLMSSIRRLRAEVEAFLAKQTRLYSDKRKQ ERFLYNNYSLIVTIISDTEGRMAMEQQRYFETLRDSFQEALAS
SAPIO_CDS7001	MADEHEHTFESADAGASATFPMQCSALRKNGHVVIKGRPCKIVD MSTSKTGKHGHAKVHLVALDIFTGKKLEDLCPSTHNMDVPNVKRREYQLLDISDDGFL SLMSEDGDTKDDVRMPDGEIGEKITRLFKVEEKDTNIVILTAMGEEAAIEAKEAPK
SAPIO_CDS7002	MGPVTLFRAARHLEPEVVDGEAPTNIEDGSFDSLVSSPIVDRGL DNETQCMRIIGSSSQMEITPPPQYQTSNKPNATTSFDRLHTECVSFAVDLGGSEQDDQ HSRHYHAWRSVNDLPEIAAPFYELAVQIFVKTLTGKTITLEVESSDTIDNVKSKIQDK EGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKYNC DKMICRKCYARLPPRATNCRKRKCGHTNQLRPKKKLK
SAPIO_CDS7003	MSRASCTDEATIMASSGYDVVVDVDDEGDLGRTDLQDDLEFHTS TFAETSTAGRKGRSSPGLLPPPATASSTGGKRMLWTIGFYQQFFDVDTTAVLSRCWAS LFPRANFLDVLEGNPDLYGPFWIATTVVLILFLGGTIGDYMSTEKGTPFAYNFRLLSG AAGLIYGYTLFVPIGLFLILRYFGSEAANLLECWALYGYANLIWVPVALISWSPIDIL NWTFVAIGFGMSTTFLLRNLYPVLSATDRQISKGLLIVVVALHFGLALTVKFLFFAHK SPLHPDNPTPIGGGGEGDS
SAPIO_CDS7004	MMTRTRATQLAIQCGAQLARSQPWYRPSLRIRPAQLAGNHAVRA TRSFSITNSRWEKNEPTQKADEGRQWDFESIKEISQSKPKNLLLIDVREPNELQSTGT IPTSLNIPITSHPNAFHLPAEQFRHLFGFEKPATDGPDAPELVVFCKAGVRARAAAEL ARSAGYNKVGDYAGSWLDWSAKGGKVEPFEGGDA
SAPIO_CDS7005	MESNSNFTSVLASAKYGGVPVPPQVDYVVDAVVNSGPWTILFTV LAILVAYDQIMYIVRKGSIVGPSWKLPFMGPFLQSVNPKFEEYYAKWLSGPLSCVSVF HKFVVIASTRDMSRKIFNSPAYVKPCVVDVAHKLLGADNWVFLDGRAHVDFRKGLNGL FTRKALESYLPGQEEVYQAYFKKFVQITKDNDGKPVPFMPEFREVMCAVSCRTFVGHY ISDEAVKKIADDYYLITAALELVNFPIIIPYTKTWYGKKAADMVLREFSICAAKSKIR MAAGGEITCIMDAWVKSMLESKKWREAQEEGLSTEGMEKPSPLLRWFSDYEISQTVFT FLFASQDATSSAATWLFQIMAQRPDVLDRVREENLEVRNGDIHAEINMDQLESLKYTR AVVKELLRYRPPVLMVPYMAKKAFPITDTYTVPKGAMIIPTTYMALRDPEVYENPDVF DPDRYYSGDAEAKGAKNYLVFGVGPHYCLGQTYAQLNLALFVGKASVLLDWKHHPTPK SEEIKVFATIFPQDDCPLTFEARE
SAPIO_CDS7006	MPVATQASLKGLTPEQLEETGCRLCLNNTYHLGLKPGQGALAAI GGAHKLQGWEHNILTDSGGFQMVSLLKLAKVTEEGVEFLSPHDGTPMLLTPEHSISLQ NTIGSDIIMQLDDVIVTTSPDKERMREAMERSVRWLDRCIAAHKNPSTQNLFCIIQGG LDLDMRRECCHEMLARNTPGIAIGGLSGGEAKHDYCRVVETCTALLPELKPRYVMGIG YPEDLVVSVALGADMFDCVWPTRTARFGNAITKQGMLKLRQASFATDFSPIEEGCGCI CCRTDGDGLGVTRAFVHHNVGKETVSAHLLTIHNVYYQLNLMREVREAIIADRFPEYV KDFFGRLYPNKTSYPDWAVAALKMVGVDLLDG
SAPIO_CDS7007	MAARPSRGASLLRTSRDWGLKRPLPLRQTTKSSTPVVRVKQVDS IESVTDYASASDHALTVEKFHEMNIAVSTPVLRHEKQKEGKRSVFEDASDQTTPQSTA DGNANSKRWQFAGPWLASMSEEQFNNYIKKRVRSRRAEFREFLRQRRADELSHAASQK ALDQGEAPPPRTSSSDISDSDLTDYIRSLRADRSTLYWLVSQFLDLAPIAPPQSSTNV WANGVNGSLHPVNPYAQEGPPVCHPSAGISYLRTSAFVENHALYGPQKQHSPVLSRIL TPQRGPEGPKLGIGGFVANVPPGSTSFIHRFRDYDARNKVQGLSVFDPSIPGGASVYL IPQAARVLWNGSVVIKVDEATAETQLVKKELSGNAEIYMEPRRAPASHEPSKGRDNRV QRLLEPRQANKRPYFGDRSVLGSSKSYGLRP
SAPIO_CDS7008	MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRICDEIAIISSK RLRNKIAGFTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFTQNSESGQL DVDVETKDLLKSLGFDSIPVNVIPVTQTQAQDRGGRRFGDRRRD
SAPIO_CDS7010	MSTGLFFVKALFEYSSPHEDDLQFNAGQIITVTDQDDDEWYTGE YVDETGGKQEGIFPRNFVERYEPTAPPRPTRRVKRDSVPPPPPPPREDVAPPPSAPPV LTSPITERQLPPPLPFETEQEEKATVPSPATLEPPPPTVPIPQQVRAPEPKPSPPTGT VHRNNPPPIAEKPASSSIRDRIAAFNKSGGGPITPFKPSSLNYVKKPFVPPPPSRDAY VPPPRAELPPRMYIREEDPNIRKEEPSSKELAEPAGTGSVSISQNVDDREEDQPKPTS LQERIALLQKQQAEAAQRHAEALAKKEKPKRPQKKRADISETSEHTPALDHGELDNIE GRVSLDEHHAIPAARRRSTRDALSLNPNDGNEADMSGAGDTTEGAEDLTEREEADDKP RPVSHPNQDDEMDEEDDDQEEDDDIDPEIRRKEELRARMAKMSGGMGMPGMFMPVAAP TLPKKKRRSIVPKDQSYTDNIDESSPVSRVAPPVPTLMALPGMSTRQSVDHPRVDISE EESAPKLPPHPISDDSEEYPDYDQTPSPPPIPGGRPAPPPESAYAGAPLPPHSTVISP STGSESDDELSEHPRRPTKDEEQNSETAPPVAMRSPPPPPPSLPSPTLPTSPRIPSIP IVPPTSSGMENKELTSPTSPTTAAHSKRNSRPPPPIPGSAPTLPASHTRPPPPLPPSL SQSSTADEYIVPTARPPRHSKDDDEEVTEYEGDYDTDIASSVPHKDALKSTARESSFE DERTPVGSPVASAPPTSPPPIPSTAAPRAIPPPIPGQPLPGSRASVDAPRSAPPPPPP PPPPRDLDVNPAFQPHSYTSPKIGGYQYNEESGLAGPSIPSSPPLDRRMPPATALPIR PGPRQSFDVQRSAGRPSADVGRPSLDTGFIAEELDPALQSGWWKQSNQVPPSLQERKD ILFESEESTSTNRGAKTVITRTVRILFIDYSQTTLIVQYDPYNPADVEVDQHHEGPPR TLRQDQLEQSHERFGRAIADMVTSKKDSVVGDGTPQGLVLDLLRPFKEALHPVGMRAY GALVYANMANASTLQNDEIRPGDIISFRNARFQGKHGPMHAKYSAEVGKPDHVAVVAE WDGTKKKVRAWGQGRESKKVKLESFKLDDLRSGEVKIWRVMPRSWVGWSSQH
SAPIO_CDS7012	MVCRAVATTIELVHLEAFQRHVLEVESKILREDPEVVGAYNIVS LTALVAEFTPWRRKLEWLWDAVQFMLKADAVKGCTAAQLIDRLRKELQSGFRDVEETA QSLVKSAETAWLKQASAWILYGRLPSIGQDAFFIRASKGENEVLEYFLEQSQLPSFVS PSTAASMLFIGRCLNHVRVKATLESGLEGRNHVAAQLQELSGLTFPLDRASFSRTITS IRLSLSRNTLQKLLPLEKVVEILTLFRDYFLLAKGEFAMALTQEADEKNRSRWRRADN LAYEKRDGLTNVVVKQGEVTSVLARTWAAMGSMRGLHADEDEGLELARDLLRLQVGKS QSVTPLPLGSTTSQHPSTVVSATPFRNLLFSAPTILTLSEIPSPLDMFLASADLQIYT VINSYLLSIRRAHIRLTDLWKMTSLRRHYPAPPGPPFGSTRAGRERVVLLRKRQTSRS LIMRSAWVACSAAVFFLAETEAYMQSEVLAGMWEDFQRWLSGEVTTTVPAVAPTRSAT VNLSTVTRETESADFEDIWLETSTETRPPSSPDKPRITPSQQYDPESLATAHRTYLRS LCRHLLLTQPSYTEPLYSLLVHIDHLVALIRRLHSIWESMDLETDAGVVDAFADLERD EREVIPAVRDLEVNVRTSISEVITALRALEMDPVFAAELEGEDDAEADQHVGEVDGDT AFVPRRIGGINRLLMKLDFGGWIGGPKVDGFDEAGAEF
SAPIO_CDS7013	MSGAQHDPKLLYAIEGVQAYHIANGKEESLTASGPQTLSLLMVP TSSPFADATQVGAVENDFYLHLHLPPELDLPLPATTQIYHQPPSSYLIPRWDLGPDSG AFTRIQFPRAGSRSGLQEDVDTFETILAQCTAFLERAPPPKPAKGATASSEKAREAAA EELPPYNPADYAPGEAYAQGSRSSHAGGRIVLIDEEDGSVIGELGEAYQIVEDGAVKP GSKDPVELTLPADGGQVISVQAASPEYVEMEMHPSYKKSSLVSSASKASRLIVTTSDM VTKALQTQADSFAKNTKPVAQPVTFTPAAHEHIRRINHISSKAAHLSATTVGRIGKIA QNVGASLTKKKDGGARGYDANGNPIDSYKPGVLNKSLMAFNTVVDGIEQAGRNLLTGT SSSVTTVVSHRWGPEAGEVSRNLTDGFKNVGLVYIDVTGVSRRAVLKSVAKGMVVGKV ANGGGDLVVGGGDGGAVILPPDAKNAQDGTATNAPDTAGGAAESYYEGNGKQPLGTKY A
SAPIO_CDS7014	MSFTVLRLIYPLPLSEPTATQQHFGPPSQGDRADVVTDTGIVEG RPSLSATPRPDPRKLKMARPPLRSSIACLRCRKSKIKCDNDGGTSACETCIKGGHKCQ YPDTITSPAKRSDSVAAPKQERDGGPERKRRRLDDLSSWVSQRATAYAEEVLSYPFLR GELWDQVFNIYRLHFSTELPFLHLATLKEKIDLRQKGNLKSDPDVNLVLLGILTLTAR FHTDLVKYVAAMTPSSSGPRSRQGSTRIDPNSASEFYASSLTTALGSLPDAVTVVSVE RVQAFLMLGLYEWTRNGPQGGVRAWMYVGVAIRMAQALRLGFGDTVAQNNNSTKSNNN PNDRVRLRNKRMNKPDGSTSDWIIEREIRRRTMFSCFILDRLLAVGDDRASMISSSDL HIQLPCSEVAFDLASDVYTGFLNDPEDLSQQGKRMSGDDSVLSRFVKLVDLWGEITRY SFSGGRATEGDRRPWDSGSTFMRLRKRLDAFYGHLPDTFTLSPKNFYRHDNHQATSTY VSLHVLGAICQIMIHREYIPFIPIRCNGPVGPLDPPLILDDSPPGFWEESAEHVFKSA RDIVDLVELSGDKLPQSSLVLFSIWTAAFCGLYAIHFPHMDTHYHMINEGDVQSQHDD IFDPTKRSATGVTFRTLTKLVPFLPLAGTYLRIFKDCDRYFTQVTSDFYKYGGRNSAP ENVGRQTSGDGGRGVQGGGGREWKTHKGKITSNGNIFPSDDRNSAYEESEASHAQSPD RDTSTGVEGYEQNISLAPTPSLSAATLDESNTSRATRTEPSASFTPINSAVQAPRAPE TSQAPPLRPPETPPVETSYRAPQLAQEALEQYYQMDTSPMMRSAFISADGELFPHDTT NPLTYIEAHENTRLSCVFADMPPFSGSGDFVCPFPPLQPVRWDVQCFEE
SAPIO_CDS7015	MPEAVSLSVMPAAAPVLEPVAHGSVSKSLGPASAALGNPIPDII DIRQTLVETNLKDEIFSLFDPASGPRKLPTLLLYNEKGLQLFEEITYLEEYYLTNYEI DVLKQSARDIANKIPAGSMVIELGSGNLRKVCLLLQALEDAGKAVEYYALDLSKQELE RTLAQVPQFKHITCRGLLGTYDDGREWLQQPGIVSRTKCILSLGSSIAMLIMTERALL TALLTVNHRFILNGLSQANAVLGDHIFDEHDWKVIGEYVYDEQGGGHRAFYSPLQDTV VLGKVVRSHERIQVEQSLKYSAEERQKLWALARLTEIGYWAKGKEYGLHLLQKQPSMP FSLVPHVYAQSVLPSLEDWDSLWAAWDDLTQKMIPNEELLEKPIKLRNACIFYLGHIP TFLDIQLTKTTKDPATEPKFFWGIFERGIDPDVDNPELCHSHSEIPDEWPPLEEIMKY QDNVRMRLKSLYRNGHAAIPRHVQRAIWVGFEHEVMHFETLLYMMLQSDKTRPPSNAP APDFEELSEKARLARVPNEWHDVPEQMIAIGMDDPEDATDLDCHFGWDNEKPVRKAKV PAFQAKGRPITNEEYATYLYENKLDKIPASWAKISEQNGHTNGDTGDRPNGVSNGLSN GHSNSASSDLIGSLAVKTVYGRIPLKYALDWPVFASYDELSGCAAWMGGRIPTFEEAR SIYTYTERIATEKAERTLGKTVPAVNGHLINDGVEETPPNKSSTDVDSSAAEELFIDL TGANVGLHHWHPVAVTDKGDHLGGQAAMGGVWEWTSSPLRKYEGFEPISLYPGYTADF FDEKHNIVLGGSWATHPRIAGRKSFVNWYQRNYLYAWAGARLVRDMP
SAPIO_CDS7016	MTLPLRPTPSTSSSFDIVSTYRHLLSTNPAYTQPVAAIQALIEL LNAQPTSTVFETLDLIKSQVAILHASVRNPVPLMAGTDLFERFIVQSLRQREDDESRR SGGFAATRDHLLSNSAVFATRAIQARESVANIGWKLVKDGSTVLTSGASRAVSGLILR AAEQAVIRTGRVTFQVVYVRDERRREESDQVCAELRKASIPVAEIPETAVAHVMGLQR RITMVIVGAEAVPQSGGIISRLGTAQIAKLAYAHEPQIPFYVATEEHKFVRMPTLPTG QKDKDLGFAQSLLDFTTEGPSRLPEDPIDYTPPEWITNLVTEFGIHKPEYPFLQLMDA YGTMVPDRILTKAHADLEEEGMLEEA
SAPIO_CDS7019	MRALARPWLKCRRLTSLPNHATIPQRRHLHLAPPFLLDDYIPRY MTLSSVDSAKKRSRAYAHLSNCNLCPRLCGVNRYEKTGMCLIGDKAKVNVIAPHFGEE PCIQGHNGSGAVFFSGCNLRCVFCQNHDISHQRNGMDLTPEELGEWYIKLQEVGKVHN INLITPEHVVPQVVLSILHAAELGLRLPIIYNTSSFDSAESLQLLDGLVDIYLPDFKV WEPSTSKRLLKADDYAATARESIREMHKQVGDLCFTPDGIAKKGVLVRHLVMPGKESE AEKIMQFLATDISKDMFVNIMEQYHPDAHVGKAKRTRRGGGEGSTAEGVESGTQDDVR YAEINRAATESEISSARRAAEQAGLWRFCDPPGHEGFNI
SAPIO_CDS7020	MSDSDAAETKPATLQGMVTTLVTGGLVAFIMIGIFLIIRKGARR YYAPRTYLGTIPEKERTPALPNGIFNWFGSFWKIPDAHALRTQSLDGYLFLRFLRICT TICFVGLCMTWPTLFPINATGGGNAEQLDILSISNINIQDSANRNRLFGHVLVAWIFY GFVLYMIMRECIFYIYLRQAFLLTPQVARRISSRTVLFTSVPQAYLNVDKIRLMFKET AKHIWITGDTDDLDKLVEERTKVAMKLEAAEVKLLKLANANRVKSKDGSSETSKQQSP PQELESGDLAARWVPKDKRPTHRLGLLGLVGEKVDTIEWSREKLSTLTPEIEEAQAKY LAGESKPIPAVFVEFYTQSDAQSAVQSLTHHDPLQMSPRYIGVSPEEVIWKSLRVPWW QKIVRRYIVLAFLTAMIIFWAIPVAFVASISQVDTLRAKWSWLSFLDKVPEVVMGFIT GLLPAVALSILMSLVPVVIRLCARFAGEPTDSQVELFTQAVYFAFQVVQVFLVTTISG SVFSVLGTFAKEGPGKVFETLSAAIPKSSNFYISFFIVQGITIASSVLTQVAGYVIFR LTYKFLARTPRAMYNKWTTLSAISWGQVLPIYTNIAVISITYSVIAPLMLFWSTIGMA LFYLAYRYNILFVNDSGIDTRGLIYPRAMKQLLVGVYLAEICMVGMCAVSKAFWPMIV MIVFLVFTVFFHITVQSALNPLLYNLPRSLEVEEESLMEHGEADDAIEEDATAGEGST SAGGKNVKASASAPPKGNFLTKFFKPWKYSSYAQLRKLIPRHQYGPGETLEEATEANS YNPPSVNDRPPLLWIPQDVAGLSKHEIANTEGVIPITDEGCTLDDKNKIIWDEIEARP PIWEEKPHY
SAPIO_CDS7024	MAILQRITGFAGKFFLLLQLWALVCLSDTPADFCSSINTASTNA NLSIFQSHGLCGDFCRGDYAYAVLNYQSCWCSDYTPSDSTLVGNDKCNTGCPGYSELC GGEGVYGYLELGKNPKGTKGSSSDGSSVSTVSTPDGIRTVTVIPTAGDGSQGAGNEPV KEKGPSSGKIAGIVIGVVLGIAALVAIGWFIIRRRRANEQGGGTFENRSSHSSAFAGW GSDAREKDPPSASSGIMAGGAAGDRNSRLMPVDPRMDPFTSARTKSRESVNTLHDDQD YSRRVHQPRVLRAMNPDPVEE
SAPIO_CDS7025	MISISTTCNSTLEKLRIEPGTEDLIVGPLTFHDLALIISGGCAI IAIFLSLYLAFMHAINYTKPNEQRHVIRILFMVPVYSVSCFLQVYYYYHAVYFQVISD CYEAFAIASFFALMCSYIGPDLHEQKDYFRDMYPIKRWVWPVNWFAACCGGQRGPWRT PKSGLTWFNINWIGIYHYCVIRVAMTITAVVTQYFDRYCESSNSPAFAHIWVLAINCI AVFIAMYCVIQFYVQMKEPLKDHRPFLKVLAIKLVVFFAFWQVTCISIATSEKYKIFE PNSIIAYPDIKVSIPAMLLCVEMVIFAILHFWAFPYAPYVPGAKTTYYPHPDTRKSGL LPARENAHSQPSGGPLGIWAFCHAANLWDYVKAFGRGMRWLFCGVRHRKKDPSYNTRT MSSLNLENLSVPKSRLRPARSTEHLPIADQFRRSKLGLPPMDGEPTSVNEDEHAGLIS HAQPNPMSARQPTRNMASPMVPPSPYRDGGYSPSPYHDMNPSPLPSPSPYYSPNARLP AGGPQGNVWEPIEPRSQPQPPRGYGSAF
SAPIO_CDS7026	MPPKRKRPERASTDGGRPAPHRPGDTQLAQHDRTNGGDDGHSRP GRGGRSTRRNDRRDSQQGAHSRNQQQPASSPAANRLPGSTSQAPPQPPPPPAPRQQRA PSPVLPPPTPVPSNYCYDTLTDERISQWAASGRQEVIAHGIQSRSDVDITELSCIFQE FIRSVLDGRMSPTDAGACIKEILGPESSEVIKNSFAFEPHTLFLDTLATVVDSDSPDE LPQLRHFLDATGVSHQSMREMLEVPLLNQLGLIRDSFAKLGIRHATNLLYRQANYNLL REETEGYSKLITELFTTSGSGPPTAEMVQSTFEKVKALIGTFDLDVGRVLDVTLDVFA AVLIKQFRFFIKFLRVSSWWPRARRSPGSVFMGGLPAWALPNSSQWQTSEEDEVAMEE PKRERDVLFWDRARQAHIDAYFELGGRQLADDEQQLLDILGDDEISTDMERLWMKTTK TLPPQGNKTAAQLLGFKLRFYASEARDEDDVLPANLLYLAALLIKVGFVSITDLYPHL WPLDQDMEAVREKKMKELEDKERASRPGGGMNALMMAGALPDDTVPAPTSLTRSRDAI TKPDAEPHAANHAGSDEKPKLPEPMEQKISLVLCLLTIGALPEALFMLGRFPWMIEVS PDVLDRIHRILNHSINKVYRDSQPASFLARAECPAKDMANADQTGAPKGTVKLGKLLP RKTLRWPFPDKSDTNDNQNYRFYWDEWSDNIPVCQDVDDIFTLCGTLLNISGVNIGKD ETILPKLATIGAKSLAEDSSKENRDRWLDLLKRLLVPALSMTKANSATVNAVWSLLST YPTHVRYNIYAEWFEGQISRLPAIKSAFARTRLETLSIMKRLSLTNLSDMAKSLAKTS YSSPGVVFKVALDQIESYANLIDAFVECAKYFTDLSYDVLIWSLMNSLGGKNRSRTQE TSVLLTSKWLQALSRFAGRVFRRYSVMNPTPVIQYVDDQLFKGNSTDLIILKELISSM GGIVPTVDFTDGQIMALAGGETLRRQTLINVQDRRFDSVKSSRRLIQALIDSKLAGQL LINIVQYRQAAIYKTAEDEAHIKYLSSMVDDSQQILTQYLDLLRSNLDPAVFDSFVPA IDRLLGEFGIDVSLAFVISRPSLSYRMMPKEEQQAQAQSSQAAAEDGDIPMTDATVSQ QRNRQSTPRDSGEPDNSKMEVDKKDGSQASPSTQGSGSRISDPFLEVLQPVIDTLEQI KEPAFWKAITPEFYTMFWALQLGDLFVPEEWYQKEEDRAYTQAKEIMRDRSDMTRSGI TKKEEKHKALTAIVVKLGKEKARHKKTFTRNKFHMIRRFKSWFPATDQAKPRAVSDAI LEQCLLPRLVLSASDAEYCHRVIRFLHDFACPNFKLMSLYDRLFNANRLRAIIFTCTV REAEHLGRFLKCVLGDLSKWHKDKAAYEKDALLGQAGQKERTLIGFATSIDNDGKPTA FVEHAQFRDMLYGWHKNLNMALKSCLGGMEWMHIRNAITVLKSVLDFFPAVDFMAQQF IRQLKTITDRESAKPAVDNAESGNRVDLSVAAQTALSELQKRKSKWVMVQGFRPNTSG DSQQEAPSASNLRPTAPEFKPDRTTKTLGKGQEDEDGEVKDGEESKTQASRPAQAAVP SNTPKDTLPAKPSPRPALSQPQIPNADRRSPRLTAAQPQQARQSPAVTTNSNNSVGTR HDTSKTSSLPDRVPHGLPSRPDVPLPGYFASDRFGQVRPDARETRDARTTPSRDAREP RHARDSRDPREPRENRERDQRETREARDAARTPDPARLERPRDLPGSDRRVSDHPNSR DARDGRDSRDTPRPPDQDRVGRPDSTRRPEPSDRDSRQPARDRQAAPPNGRGHNSPRP RDSAPATPTPASSGRADQSGPVMNPERAALLQSADRPPRQDSDRTKDKNANARHPDPD RSDILNPARAALIDGGRRNDRPQQPREDGRDRAPRAHSPRRRDRQHEQSTQPDGGRDD RHGRSHPPPPPPPTSQQSTEQRGVSRDNRDTRDNRPEPPSTTGGRGDKRNDRDSNRMP DNRPAPISHNGPPRSVEPDHGGRSAAYQDQNYGRLNPIPSVADIPSGPRGRGRGAARA VSGPVPSVPARSDARFPTSEPARGQTPEKHPPTGPSSSRSRRGQFEPNNPPMNAPSPA TPSTLPHQDRARQGPPGASPASSFSGTPSGPAGIHPDRLSQIAPPPPPPPPGVPPHGA YGRPPMHQGAGRAAPSGGAGSRHASGNFTGPNVPDTNTPPGPSVPDRLRSGGRRQLAG INNTLQQAQATMADSGRSRRGGQRASLAGSDAQVLTGASPVSTPTKEPDQPSRQDASN DRGTANGDGHASRADDRGRRDRERSERSGRSRRSSRDRDRDRDRERDRDRDRDRDRER DRDRDRERERSPERERDKDSRSYRDRRSAPSGTQAPSGGRDDRESSRRSGREPSGVSG SARDVPASGPQQGGGGVGGRDQASSARESRHRGEPAPSGRNEEWNGGGRGGGHRDAGG RDSSSRARDDRREPREERGRKRRSEEGAAPIPNEREKRQRR
SAPIO_CDS7027	MVICHALTGSSDVSDWWGPLLGGPGRAFDASRFFIVCLNALGSP YGTASPVTNKDNDPKEGRYGPEFPLTTIRDDVNLHKLILDDLGVRQVAAVIGGSLGGM FVLEWAYFGKDYIRCIVPIATSSRHSAWCISWGEAQRQSIYADPKYDDGYYSFDDPPS TGLGAARMTALLTYRSRNSFEARFGRNIPDPSRRQTIRELPQPSTLCEAHFQIHNDGH KITRPRHSRPPSNEENKLSDSENHTNGTNGTNNANGTNGTWPPANGHSNGNGEVQLPT CLDPQFHGPSKGSLNGGDAVPTSTYFSAQSYLRYQGQKFVKRFDSNCYIAMTRKLDTH DVSRGRAATVAEALALIEQPTLVLGIESDGLFTFAEQEELAEHIKDARLERIVSPEGH DAFLLQFEQVNSYILEFLKEALPDIMNKEGDHAVSASVGTMTKSSTFGEAEVGDLTAW 
SAPIO_CDS7028	MADDDGNKAASFHPSAAAERTSSRRKSASNPDSYKSSGLSRRES SARADQQFSDASSVEYTSKTSAPSSERSGSIDSDTRRSRTQPSLLPPEPVSRRSTSPA GRIKSIFRSKKSNSSSLERSTSPERTHSAPPPEEESQSKPDPTRVHHELGSSITQEPA KPLRVHPKLDINARPQTPPTSDTYGPTIVNTPPTPTEPSHFPSRFSGKHAHSGTGTGS PPPRSTSSSSPNGMSSHRRLRSGSAGPSKLSNTTIAPLTPTPENSAVPGGPATPSSGF FSSVISAAQTAANTLSTSIQNTTIGPGTGGKSPKNGLPTQDQQQDYEQQGQEDGGVEV KALAREVPEAQTEEKKEPAVKTLGTGDLSLSQLGLSDPSQDATPTAATPGPQVEKIMT EAARARSESTPVEPSPSFSQFHHDTSSTEDHSHTRAQSLYDQPGVPDGSERTPSMYDF PDDKSGAATGAQRSSSVRSAIGRRRKRGSSTATGYTANTDRTGTTIGAAIVAANASFA HPRANSSAPKLTGFAIASKKRNRDFHNLFKSVPDDDYLIEDYSCALQREILAHGRLYV SEGHLCFSSNILGWVTTLVLSFDEIVSVEKRSTALLFKNGLMISTLHNKHVFASFTNR DSTYDLIIKIWQLGHPKLQSTLNGIRLEGPGGDKTERITDDEPGAAADQDDQSLSGSE DESDEDIYDEDDESAEVADTTQFTDVGTADAGDGEKAVTTRKTSGAVVTNGSPTDSPS KEVTTNGTALAEFPGPATHAPTDCGDAATHYDKVVGDEVIPAPLGQVFSMLFGAQSVT WISKFITENQKCTELQMEDKRGLSSDHTERSYSFIKPLAGGIGPKQTRCLITESIDAL DWEKAVNLSVSTNNPDVPSGNVFTVKTKYCLSWAERNQTRVQVNCTIEWTGKSWIKGP IENGARDGQTAYCRDLFAALKASLETRPGAAAAPAPKKKRGKKMKAQQTISPVDVQAP KPSAKRSWGILEPVRGILEPLLDIVKPILTGNIVYGLLVGLLVAAWFGFGFKFNGYNS PGRDVAFDDRLLGPYGHPDRVAAYEEMWRREESELWDWLEERVGLDRLGADNDSPARK RAVEPRTVEEKMREEKMDAREVEEAIRVTEEKLKVLKDMMARKAGV
SAPIO_CDS7029	MDTSPLTKAHDYAIAASKATKASDTTVAIAQHTLAAGEFSNAAQ NTTCIEALRTLKLLEQHHRRLSELLKFPAENPSQPSEADIDADDDEKQAANQKNASAT SDPSRTERSTAKTLPQVPSLLGQRRHSSRDLTSSIASNLASARGIRVKYGGQPLSPSV SNDPASGNLETLPRRRDGQRSKMQNMLDQPPKPVWSPQDQVQKKLEAQPRESGQGQPE QTDDGYSRFYNSFGSLFNKLSAPLAFAGLPLIAEEPSASQPAPAPETSPPKRTRQHLH SSHSATAEPDLSKIYSKAALRVVSRDGHGANDSFYVVPTSGHTMSYANILTFAEKEKR RMEASLHGSTADTIDDEDDFVDARETPAPLSPIAKRRIGKGRTEKDLNNAIEELCMEN KSLKDMLDKLSKRLHAFEASAQNSSLALAESMRLMRPGSPLAAAGGRSSMEETLRKQN AELEERLGVAAKHIEVLEKDNQKMQKTLGKYREKWEQLKESAKARRGQPPANGGGGSG NTTPN
SAPIO_CDS7031	MLQSVVLTSTSSRGGRLKASGRLVPFFHHDHHVLVQVPGPANVP VPEQTYSRAWFACDSDEKLQTTHQDITQRQDQDQHQDQDRQLHFVLQPGDGVGQAMIP VSPHQGQFPGTPGSSSSESFSQRAASTTASGRVASSQNQQTKKRTRAISTIRRVKCGE EKPACFRCTSTGRVCDGYDTEATAPKKQPPPNQLLVQHRSRGLQPAAAVANPAAGPSS STRPGIIAASGPAERTLATTPVVTVAASVASAASISSSSSSPPPVAGLHHPNPATPSI LVPGLPPLQPPGPVSLAAEIDLTDIERKYLNEFTSVAEEGLSQHVSNLDEFWKWTVPR MCMQDRTVRHAIVALGAALRIYRDGDGLLSGAGALGGGAASQQSEVVMLEHYGQAMAL LRHANLDSPGVMTLALVCCLAFVYIESLRNNWRDALRHLRSGLTIINTIPLETLQALA DPLGCADLPGPANPLGREMDCILRAFATLESSACLYTNDFEPIIALKLYHSRNLSEEI RFPEFTDIKEAHRAVAQFCRDVFALSWIWQNGPSGAGDELTAPLPAARRDVLCFRASR LEDRLQQFYQGPNSPKSWGIEYMSMLMDKLHFTCCKALCNSLSKGTSLPPVSPAAEYI GSSFGDPYEDIVKIAESIKQGVSSTATGTQQRRRFMIDLGIICPLHFVACNCRDESVR ERALNVLQDWPRRENLWDGPEVVRLWRTADAESAGGGGRSGAVEELPRSLSTALAIPA LRERFGRLSFNDNDDDDDDDEEEDEEVEEMIVRKKGRRHT
SAPIO_CDS7032	MPPARRLVRRRPLAERIKAMLNPMDFLLWLSEEIETREWDSKAT GTRAGIFMSFAFLLARANTGSGSQDVDDVFGDDTSIGWIPYIAYPTVWILLVASLANA YYTITRVRNYRLFEVNIEKAPQTPSARRVKVQSESASSSPLRFLTDVIANETAESRAH ADKSNDVWEISVWDPLPLCMQIFCLFSPGHVLVYLLFVPIAPLDPRPSVTVFNCILLQ VILSGQLLFMQSRFTQQGKDMSIIHREVLHEYDTKFVHPRLHPVVRDVSTQVDTVTEP SKTDPNLFSQSVSLFSEAGTPTTVIKREFQTHPNPNYTKHINPDSNAYPNVLSPRLFS PAATPLLNKTEAYKSAQRDIRSPAHRQSLPAAMMTPRVASPAPPTTVGSTVGGSSVMG TPVGVSTGTSTGTNFGGNLGVYSHAKSPLKKAISMGDMKASSPRNSREMAALEQRGWN RDSSPLQGGGERGFAGSSLATGGGSNGSTSGNAPRSSFANARLGRGYTTDRYPSRWA
SAPIO_CDS7033	MTSTLVDFKDRQFIAVIGLLLAGIGHVTAGPTQKRNFLVVDAKT ETKKIEDTFEDFTKTRNDIGIVLINQHIANRIRHQIEEHKAAFPTIIEIPSKDHPYDP AKDSIMARVQSLMTAERK
SAPIO_CDS7034	MKFSAVIAVLSATLAPATAFFSSPSKIRGDDTSVPGNSPLEYCD PEHDNDVLTIKSVDLVPNPPLAGEELVIKAVGHASKDIEEGAYVLLTVKYGLIKLIST TADLCEQIKNVDLECPIKEGQLSITKSVDLPAEIPPGKYTVLADVYTKDDEPITCLQA TVVFARGERFLNFEL
SAPIO_CDS7035	MGSIDVVLGAAWGDEGKGKLVDILSGTAQICARAQGGHNAGHSI VANGVSYDFHLLPSGLMNPNCLNLIGSGVVVHVPTFFSELETVEKKGLQNVHSRIFIS DRCHIDFDLHCAVDAAEELELGSESIGTTKRGIGPCYASMATRSGITMSEMFRPDVFE HRLRKLADAYKKRFGDKLVYDVEDEIERFKGYRERLANYVIDAVTFMNDAQQRGARIL IEGSQAIMLDVNYGTYPYVTSSNTGLGGIIVGLGLNPRKLGDVIGTVKAYTTRVGAGP FATEDTGAVGTHLQEVGREWGVSTGRRRRCGWLDLVQIKYSHMLNHYTALNLTKLDVL DDMETIKVAVGYKNPQTGAELPTFPADMDLLGQVEVVYKELPGWKASTSKVQKFEELP KGAQDYIKFIEEYVGVRIRWIGTGPGREDMIDRGSS
SAPIO_CDS7037	MPTLPETLSRTESVAHLTLVGACLAILANTFSGDGEPLAASLAL SGIAFSLCYSMIRWLGPTFVKHGFKGKDLCKANRPEIPECMGAVCAVVYLIVIIVFIP FPFYKDIVAATSGGGNRDVVLKVQHVNEGRFLHRFPHSKLASYLSAIISLQTIALLGL GDDLFDIRWRHKWWIPGLACIPILVVYFVDFGVTSVVIPIPLQPYLGELFDIGNFYYL YMACVAMFCPQSINMLAGINGIEVSQSIVIALLLVFNDCLYLFTPYPHPATDSHLFSL YLLLPWLGVSFALLYHNWYPARVFVGDTYCYFSGMVFAVVGLLGHFSKTLGLLLVPQF FNFLYSAPQVFGLVPCPRHRLPKFNARTGLLEPSVTTWDPENGVQPRSFVAPVLLLLA RLRLLKVTLDKEGRFLETSNFTLLNLWLVIRGPMREDRLATEVTGLQFVLGLLGLFAR HRLALLVFKEDNLGKTHL
SAPIO_CDS7039	MASQVIASASTSVSAASSSSSSKTTRSLNILTIPIETQQAIFSH CSKADLVTLSVVSKRFHELAAAELYRSFEVTLPSENHPRFREPRLPLTGALDTLTTSD YHYARFLRRFVIDTQAIGDKARSACQQFSYSTSGGRLLNTLLHLALRDAHSLETFKWN LRVELSRPVFKTLHQLPALQDLHIRLQGGPSLYEPPRPLPLANVDTYQLAPPPPPPPP HPHPQNPPTTITWAAPIGGVNNMNNPPPPNQGGLFNGFGPFTLPQYVPPPSQFVPAIP PPPLTFTKPKNQRNSSSDPPTLSAFRNLKRLAILDIDSLDIIPEIRAAIENSETTLTH VSFSFSAFLAKKARETSQEPDSDASEMDDEFQAAENSTSFDASGPVRPARAQKEWKIQ ETVLARLFGLTKAHGQRHAGKGLDSIASNGLVDDSSEPTENGGKNEEGVTSGQLNKLV ITLKAASRMVLDAQETQFLAQHHQDILDAIGKAVSDYVESDALKTTPEGGASGSGSAS GSGSGSGSGSASASKEAQNDGQSSVAEPMSGANQGEPDLGPPKASNPEELDDIDIADS GEVESLGGDDDEDDADPATLGEAGEAETAAAETSCLSSPSAKQSDGASLQAEVDTDAT RPPSAAERSEAEKKCLISSYVKSTRGLGLQSLAIHLIPVKPSVISQALDVHVLRRLTL LNVGNQAPIWTLLSKENQVKSLALREVFTDHVSTAFIKCIEQLDELHNLFMLERSSKY RPATFVNKTNVSLGMIRKRVLRRHLPTLKRLMIRNDNKSSWDIDSFTVLLFCQYARRL EELSAGFTMRSLHVLLQHFARLRHLRALHISPFRNDDTCVWAIQETTRFFTDSLAQHP FVALEWLAVENRLTHITRNPKPSFLKKRSDTVDKKGKGKAVSVSDSTTEGGWTSDSST SSSSTYTHSDNDSIYTPLSEAALKLRTRNFMMDCVAGVKIFEKEIRAGEL
SAPIO_CDS7040	MSSLLSNPLASAEQLSNRASNSQFPSDALDAVFVAVQCLTQAAG LLLDIPQSTTAQANVVLARYWVSEPPETLEFSDISAAALFVISKDGPHPRSPRDICNV YTYLLSPVSPLFHTQPSSTPNTPPPPNPETYIASESTYATFHTRLIQAESRILTTLGY DISVSLPHPLAITYLQALDFAGHPRDPGPITARVLAYLNTALLSPQMLYLTHQPGELA TAAIFLASRDLGAKMPPEPWWELFDVDREQLGFLAVSLISVETIVRRQREEFPFLSRG MVTRNMLAEALSAATPS
SAPIO_CDS7041	MNQTTSTPFSASASTTPSPIISQNGIGRLSEQFGHPFFSLSLSA ILRSMTGLEQDVYNGDNEGGQPGPEENRVRLSASNLGKPEGAAPEENREQLSNGYDQE RKQFSDGGVEIISERGYSLNYNRPSDDPYHLSQGYTQSSILSFDGPGDIVDWDLKALE DALPANPEFPDPPEDDEDDDWDGERGGDETIPPPAAGDLIENRPHPPPEDGEEDRWSD EGESSSEEEMDEEEKEPGPSPRQTSSRADRNTIWFIPDLGVFVDGATGNAADNAAVVE EEPASNDVQPSEAQRSAKSLRSGEHLPPWELAAKDRLVFQRSNPLLRHPFIVASERRK RQVESRLVRARRVLMRERLQSLPPDLARFLRDQDGGEQKQALLRALMRRQPQGRSGVR PDGPDDFRGSQSTDGSVPQGPAPHLHPRVPQETLDQGSSSPPAEGSGLSTPTMSLPGG RGSPRSVDSKSVVGKRRRSNLLDVESDDEVEYLAERGPTKKLKLGGKEPSALAGRGIP TDSSDDVSMHPVPVALQPPTQVPHVVWGQSAADDQQEVPMVVEPSAPQMIPQDTQSLP SMDVDAPEQLAEDEDSDMGEPIPDEDRSLSAPDSPMADVVDEVDFATAVNDTLMTEAS EDVDDASPAAEAVKDGAGTDSVDDTPMVEVTGNSEDAGLVPEVVTERDSTDGASVDMV IGDDGPDSVPDAVDCGDITSSTDDDPVSEAIRDDGSDLVPGTADDKDAVDLVPAAPVS EAVRDDGSDQVPSTAGDKGAVDSEFAAPVGEAVDVVEEVITVPDAQTAIEVHEGDTAS SANQLDGKSTPYPTPESSPEPRAIPQIDPAPIPAMTTATTAVRSGEEPKPRSLTTYRP VRIAIPAASLVDPEVRAKLGYPPWKEPKYLPKDVRRIQSVKSGPKEDRFQPRRKPARS WRKPAEMEPGEDNAAKAMNPSEPSGDSPSPATVAEQPDSKAEPPKSSKTSKLVALLRG GGSQDARSAATGDEVDMEEVESLRRVFGGNVWTRSRKVNRKVTPVDTPTPAEEPQYLH AMTKKTTHSWMRGIFGLWGEKVGQALPEAGGGSSSSIGAVLGTAVVVVTVCPFSARAG IDASNHIIDDAILTSAWSTGSPFLYSGTRILRSVAHNGQAPKFCSRTDKRGVQILSSA APSTIRSITPARPR
SAPIO_CDS7042	MPVAEQAPQTLYDKVLQAHIVDEKLDGTILLYIDRHLVHEVTSP QAFEGLKNAGRQVRRPDCTLATTDHNVPTTSRKGMKDIATFIKEDDSRVQCVTLEENV KDFGITYFGLGDKRQGIVHVIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQCLITKRSKNMRVQVDGELAPGVSSKDVVLHVIGKIGTAGGTGAVIEFCGS VIRGLSIEARMSICNMSIEGGARAGMVAPDEITFEYLKGRPLAPKYGSDEWNRAVEYW KKLQSDPGAKYDIDVFIDGKDIIPTVTWGTSPEDVVPITGTVPDPDTFASEAKKAAGH RMLKYMGLTAGTPIEDIEVDKVFIGSCTNSRIEDLRAAAHVVKGRKIAANIKRALVVP GSGLVKSQAEAEGLDKIFVDAGFEWREAGCSMCLGMNPDILAPQERCASTSNRNFEGR QGAGSRTHLMSPVMAAAAAIVGKLADVRKLTSYESSPHVKAAISPTKTVAHVDERIDE SDHEKDVIADQPEDNQPHTNTLVTHSTSNGGVSGGVPKFTILRGIAAPLNKANVDTDA IIPKQFLKTIKRTGLGSALFYELRYNPDGTENPDFVLNQEPYRSSKILVVTGANFGCG SSREHAPWALNDFGIRCIIAPSFADIFFNNSFKNGMLPIPISKKEDLDAVAAVASAGK EVEVDLPNQVIKDSEGKVLCSFDVEEFRKHCLVNGLDDIGLTMQMEDKIAGYESRMSK ETPWLDGRSYLKRGKDGRLLAKAVPVPKTNRGEPLKDTLDW
SAPIO_CDS7043	MGVDEFLDLLGEEVEDPDEETFLLFSQPIPSQNLGFLDPKATAV EVSIAGKEYAIQQSPGILESSRAGGTTGAVLWKITPLFAEWLSSPSNILFQTSVLTPT SAVLELGCGTSGLIALVAAPKISRYTLTDQAYVSKVLTANLSANSSTTAKSKTSSSGT KKSKTTPKEGEKGEGAFFYGADKNITFAPLDWEKDLPTPSLTSHPGTKSFDVVIACDC VYNEALVKPLVTTCAEACKLRLLDGNGDDGGRPPTVCIVAQQLRDPDVFGTWMEAFWG RFRTWRVGGELAREMGEGSGFVVHVGVLRGGP
SAPIO_CDS7044	MGKSQSKLSQEQLAELQKSTHFDKRELQQWYKGFLKDCPSGLLS KEEFQKIYRQFFPFGDPSSFADYVFNVFDSDKSGTIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKISYDEMLKIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDE NGSLDIQEFKDGSKRDETIVSALSLYDGLV
SAPIO_CDS7046	MLVDLLRDLNIIGTRHEPDQDWTMFVRKESRIRLAHWAFINDGW FTLFSNHPPAMTFFDMTGHLPCRDELWNADRAASFESLRSQEDFSSSLPCPKFLISAL LGDEWTEGTVALFQHLDIKHFLVIIFAFQHVMFHYHTLMLIDNGASILFRGLDRWKQL WDNALSRLNSEERKALGLVKYSAELAFLSRRIVEVASAKEGGTQPKYLQRRVTYDTVA LHQFIRQCIG
SAPIO_CDS7047	MYLPRSLISKLYTHLQRTRHPLSPPVLILVALEPDALCACRILT RLLKHDYIQHKIQPIAGYSDLERAGERLVQPMMECRGGSGGVVVCLGVGGMTDLASLL GLEQEDGVFSGVEVWLLDAHRPWNLANVFGGFPIDPTTAEETESRFPSGAEGGRIEKA YKPGKGGIIVFDDGDIEDDLQAEKDAYLTLLDMPDVADDDGDDLGETEEESEAEEEEE EEEQDELVRAGRKRKSWSDREEDEFSDEEDRPAQRRRSNSSSSIPESPRRPQGRGLIS IREQSAVTSSSADVNSEPPTRTKQPSARALRRKFLRLRDEKETIIQKYYRMGTSFSEP ISSMMYSLASELGREDNDLLWFAIVGVTSMELYGRSSAGIAVPVRGNERNRAAGWLGI RGARIRQLLRDEVRRLNPPDVTNGRVSPENSGIIPTTASSPEDTSIRLSPEPKFLLIR HWSLYDSMLHSPYLFSRLKTWSDTGLKRLHKLLAKMGVSLVQCKQSYTHMDMMLKREL RAKLLKYASLYNLDDMVPSVDTDGKDRGGAKDGWGFVRSWGWRATLSAQDVGVVIGAL LEVGKHGGGGGDGGHAIPAGGPSSQIVEESAADALAARSEEWVSRFWEAYDALDDIDS LKAGLPTAQFLHRAIYRTGTSLIQKKQIKHLRAFRLCVVKDGPDVALFNHPAALTKLA LWIGEALLEQEREATGKLSHGGRGTPLVVASLDEKQGLYVVVGTGGGGGPDTVFLNKE AAKRRKKEKEEKAKRREADRKAKEKIREEKKAAKEAAGEDYDEELETESEGEDVSESE EDDSEDEDDVGGGKGRGYGLNRFGTAFNDVISDTGARVRVDSFEHCVVEVKKEDLGGF LESLSMKAVVG
SAPIO_CDS7048	MASPSYTPAQARQLVDQIVKSAGYVRESSLGTMSPEVRREVEEA LLTKDKQIGASVLTLAKNLYTSNARFVFELLQNADDNQYSAALRAGKAPFIAFKVYHD RIVVDCNEDGFNERNLKAICSIGKSTKTGSQAYIGEKGIGFKSVFMAAWKVHVQSGSF SFSFIHRMNDSGMGMVNPLWEEPVATLPRPLTRITLFLHEYQDPTELTRHRELIRRQF RELDAPLLLFLRNIRNISIFFYDENEVEEWAVKFVQKTDSIANREILETSDPLASLGT LPKKRVYHVTRYKATNLAKSENRALTAAEEARKSYATSEIVLAFPLTADSKPIIEPQK VFAFLPMRYVGFNFLIQADFVTQANREDVVADSPRNRGLINNIAKAFIKAVLEFCEHP DLQYTWMRYLPTEGSHHWDRLWSSLVDKIKDLLEDESVLRTRDRGELRPISELRRRLP VHNDQFGAPLLQDSYPELYLSDKYQNSDIDVLEEFGLSTLMRLEIVELAKRDLARSDS RIRNSSMTSDWHGRVSRLLSIPWTLKNKELGNRSRAATRKLHLIPLINGSWAAATKEI MWADINGIPIPRDLGLRLVCPDAKESDERKRLFDYLGVQSASAQVVRGLVFDKYRRNK ATIDIAMSKEHLRFLYLTDIPTAKLSKAEKDVLAVFDSMGAMVIPSLSVVYKEDNQPY GAYQLLGIIGAVLARVSFLNSAYLDDPPEKPSGGTLSWTKWLHDVLGIRRHVRLYDSS SKSLSEEFHLNEDSEVSPCEAHLPLQELRRVCRRFTGGDKAYFLSLPDLADEIDDAEL LVSWGFLAVDLDIGFRDDLDFRLNLITILQDSSDKTPAPEISLRAASLYQYIEAACVA SEDPSSARSRVEQDFQLFDCIWIPCYDGEDIQSKWVDSHKCIWDGPDYMMSYKPLKAL YKKAFQGSAVDIDELGHFFQKTIGVENIRHSHLIKELQLRKKNEHLADPQFIIDLYRF LHELINIEDDFANYVRDTFEKDELICVKRKGSVTWHPPSECIWSTMTDIEGKVAINSQ YEDLQEFFTATLGVKAVDLSMIHNSLLDISNKSPSVERVKELLKAFNSLLGREENPPK PKRLRKANVFPVQAPNGDCTLLSAKSSFFIADREDSWSVFKEKANHLAFSLNDVCRLR PFIIWAGLESRYLSQRVKESSRVVGDVATPIGDRERDLKEKAYGLLRLANKYNSPKYE ADPQALYDVLRNIATMESEGVSSTLTLELDGETFDHELSRSDLHFEETDGQLTVYVPR DAVDQDVCFRTSLPRRLLSWLMDRPAAQVSGIPDEEAALHLLNSILNCRVSSLPCILT REGVPVIDIPEVMQERTAPSTLAVESPPITPTRPSRTPQNIPLTGSTTVSVWTPQTVS SSSNDSGVPTPLTNRGDDSDEFGEDTGFGTPSYFVRSRISSTVRDSGYRDLLTRVVSA ARRATFPDLGPPDGLSTALQNLSVGSDVASTVRYTSDWERRVKTGAAGELFVFELLSN LEPNLPSFGRGNWKSRIRHYVKVHPEYADLSDFTEAETSDIVYGDESGTLTSLMIQKG YLSSTHWTGKSPTYYIEVKTTTGPCATPFYFSGGQHARMQNMTNGPSGDARQDEIYAI FRVFDLGRGSIGLKVFIDPERHRQREVLSFVSDQYTVLPGPRYYTS
SAPIO_CDS7050	MDFTSDYEPSSASSGFTSIETATKYHIYENGRRYQAFVEGRYPL PNDEGELNREEIKHSMAKVVTGSSIGLAPVGDSPQKIIDLGTGSGVWAIEAADRYPSA HVIGIDLSPIQPYFAPPNVEWKIDDLEADWPPAYRNSDYIHARCFITTIRNPTKLISS VYEHLRPGGWVEFEDITPIPVFEPTTSPPSPSSLLLTRFFSLINTDFAHRYGWSPSLP SHLPTALSSAGLVNVGVRRERLPLGPRPRAKSDAKTREVALYMQLLVDGLVAGVLAKH RELDLTGEEAEVLAKGVEAAVRDPGVSSASQTGFLSSPLHSCLGTGISHDFNIIILSI TILIFIVFVITIIAS
SAPIO_CDS7052	MAGEPPQVPAVTVSPPSARASGATASATAASNAANPTSNQQPQA KDQQQLPHSQVDMAAIKLTRGTSCVLCQQRKVRCDKNKPCANCVKARVECRVVPPQPP RRRKKRLQEKDLIERLKKYEGLLSENNIKFESIHPELLSGQGGADGGGGGVNDEVTEL ETDFEGLRTSPKSSTSASLSSGRKSQAERSPNWIPFHKEFRASEELLFDSSEEEVEGS KVHQAFDKMYENQEGFPFMIGGRTTSVAHLHPPAIHIFQLWQVYINRVNPLLRLTHTP TIQGKIIEASARLDETPRPLEALMFAIYLTAVNSMEEQEALDTFNESKTMLMSRFHSA LQQALINAGFMRTNELMVLQAYTLYLIAVRQFMDPRQIFCLIAMAVRMAQRMGLHRDP ALMGYSPFEVEQRRRLWWTLVAYDGRIGEMTGSTVTALSFASDTKLPLNINDADLHVD GKEPPTPHAGATEMLFCLARLEMSLIVRSDSQRDTPIKPNASSDSGPASLPTPASSST PGASSGVAATASTPNSGSGASGPPIPTVRVVPRDNISYTLDGFSAHIENQYLRHCDER IPLHFFTLTMVRQLLCKMRILAFLVHIGYGQDNPLPQDQQQQNQQHPLDQAKRDSLFR EAIQLIEYDNVLQNAPSLRSYKWFTYMHFPFPAYMFLVNELRRRTTGAAVERAWDALT ESYERRGLMNKLHNPMHMAFARLFVKAWDAHEAAQRELGETVAEPRWMAELRAHTEKS RNSNKGVGGGPRGREMDDGASTSSFMGGMMMGGGGGPAGGFPGFPASVASSVSGAGIS TPQRPLGQVNLAPLVSPHVAPEEQERQRRQVSGQGQGQDLADMDWSYIMQEYNSGGLA DFGQGSGGMGGGQMDMYQ
SAPIO_CDS7053	MARSSHWGEDSPTAGRSPAASASEFTISSHHRHDSREIHSSHNY SQHDLDLDYQYHQQPHQDEDYGYHQDRSYHYQQHQPQHQQEQEQQQPQQLQPYYQQPY YPRDYEGYWADSEPYDKRYYLNHKPLPDPPLRPLKNPRRLAKREGSSHSVGPRDSFDV AMENLNAGGSSRSDLDLAAHGALFAKDGLSTPSGSSRREGSISKLPLPSPSDALAETL RGAGVGRLDSPALGGKGLRGPPPPGGGGPPGGPFGDPEKNFKPKSLRFWSIMLSNFMA LFLVALDRTIIATAIPRITDDFQSLGDIGWYGSAYMLTTAASQLLFGRIFKFYHIKWT YLIVIVVFEVGSVICAAAPTSAVFIAGRAIAGWCFWINLPVGGVALIALFFFLDIPQP PRPPASVKQHILRLDPLGTFFFLPAIVALLLALQWGGAKYEWKDARVVALLVVFVVMF IGFATVQVLMPDTATVPLRIIRQRSMLAGAGFMVFLAGSMILAIYYVPLWFQAVLGVD PVKSGIYTIPLVLSIVVSSIISGAATQKLGYYVPSMLLCPTIMAVGEGLLSTFTETTP SSRWIAYQFLTGFGLGFGMQTVNLAVQTVFPPEDVSTGIAISFFSQQLGSAVFVSVGQ TILNNLLASRLRHVPGLDGGGHVIVESGATELLGKVEERYRPLVVEAYNFACTRVFLT GTGLAVATFLCALGMQWKSIKKGPPGGGPGGPGGPKGADGGPDSPPSSPLAEVSPEIR MPPTKEKAGFRLFSRNREV
SAPIO_CDS7054	MDVLYGLHDARDYSEQGKLWLDIVAVHGLGGLVFDTWTNKRTKT CWIKDVLPDKFPHARVMAFGYNAKRINHGAEIEFLDVARQLLAGLSRKRSRPEERDRP ILFIAHSLGGLIVKKAIMLSNERATVLANCARGFVFFATPHCGSKLADMPAILQTFGK FVLDSPTNFIRNLSTKSQELEELHHQFCEFLVSRSIPAVSFYELLKTRKFIKKSIIVT RDSAILNVPDEDALGLNADHSQIVRFKSGGDDNFQVAIHRIQRLVSRIGHLDDLQRSA RKSTFLPFMPTRFFLGRKAELAQLKDWFFPEGEGGGGHSLAAVSDFKVVALFGKTGAG KTQLALKYAVTHKDAYDFVFFLDATSSVVLHNEFAKLRYSLKISDIGGDPVMQMKQWL ISQAPGRWLLIFDNANNLQEVMPVITPVARSGHIIITTQDTRVGSNEFVDNSLEVPML SPEESQQLLFDRSGMESPKLDEVEVAKLLVEELGYLPLAINSAGTYISVRQKSVREYA DLLQHHSREMLDHRPDASSYERSVLAALELNFQAIDTHPGASALFSLLVFLDRSEVTE PFLVRGVTPQHRWGLDGENTLVDPASRYVPAELIALINNGPAFDEAIEDLVSHSIISC EKRDGVGRCITIHPLYHKCGRLRMSREERQKHGSGALCFLAHASPSDEYSLEDSLGTI GRACLPHIYHSLIMYKEPENGLNLAYLDSIAQPDTPLTPRELISAMILDAEIMYGEGD EQKNRALLEWAHDLLASSKNIYLQAREMEVGLAIGYYESGNYLGGADAAKAFLGPVKD ALAKDPGLRNCLTNAQVGVVEVTLAEYLVTEVSVDDNFDESFGLLRGWKPLDPDKPSS KEKNTLGILSQTLGKVHKDHGDWEAAEEELRRYLDLYAVKGQQFEGWAAGDLAHVLME MDRPKAAEVVVQEYLAPRQGLLTPSERARDRRSDTMYLEMQLGEALLLQGRNAEAEEV FQELLARFRTFGPLPPFEKFRFFFSQCALARIRHLEGRYKEAVESWEAALETAVKELD TEHQEGKWGRRTFFPGIVLLSMADCLYRLGEKERESAKDLREEATGILAEAATQRWVL GLGTYWLAWVKGHLPEA
SAPIO_CDS7056	MFPAFIRSRIVPTMDSVIDLSDASKALDLARIRAQLIRLEDTII MTLIERVQFPLNRKIYTPDAIDIPNTNLSFMDWYLREQEHLQSRIRRYDSPDEYPFFP DALEAPILPPISYPPILHRNDVNVNDKIKSFYTEKFLPAVCQNREDRGARAENYGSTA TCDIACLQAISRRIHFGKFVAESKFRHEQEKYTTMIKAGDRDGIGEAIVNKAVEVQVL ARLRTKAENYGKDPVANNDTESLPKINVDAVVEMYEHFVIPLTKEVEVEYLMQRLE
SAPIO_CDS7057	MADSDHEHDFDDSFAEDEASLISTRSLENFGRKVTTTASHLMGP GPSPSAAPSGDGLRIDHYHNAMAAVHKQLQQPSVQRGVFSMARTTPSELVRSKLSRNE IKHRALTSLSDELLANIPYVENSYSLFQGFQASFPDMTDEGKKHRRRVSRGRKLLDDV EHIDGSPENVSRLKREKSSMMHELHLLMIRKNMASCEIRDIDNKIANLTGMRRSVLDR LANLEQDEAVLEQDIVDMEARLEEAQQIAQENAAAGRHTPIDDEDDGLIIEAEEVGFM SQSIYEKIPSNQSTPTRTKNVKSIRRKSMPILHEHYETGSAIREIRAHRDTILAIDFD APFGTMVSSAMDDTVRVWDLNAGRCIGLLEGHTASVGALQVDHNILATGSVDATVRLW DLSKAHYDPYGSGFGDDEEDEDGIAFAHPDDQPVEPPAGTMAECPLFTLQAHLDEVTA LHFRGDTLVSGSSDKTLRQWDLNKGRCVQTLDVMWAAAQASATLGSSDGNWRHTARGS DGTADFVGALQVFDAALACGTADGMVRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLV TGSADRSIRIWDLRTGVIHDAYAYDNPITSMMFDARRIACAAGEDVVKVYDKVEGRQW DCGAGIAAAEEGRKTSIVEHVCLRDGYLVEGRENGIVGVWAC
SAPIO_CDS7058	MGKKRDRDSLSKEDAKRDPDAMDEDSSSDEDFDIVNVDFEWFNF DPTIDFHGTKTLLRQLLDVDSPLFDTSALADLILSNTAVGSTVKVDGKETDAYALITA LPLSSQRAKHTALDALAEYIASKAAASPATAPVAQILEKDAKADVGLVVSERLINMPS EVAGPLYAMLVDELDDAVSESEPYDFSHVIVLSRVYREVLPDPEVREQEEGDERRRKT KKQKGGAAATQAGGEGEVFYFHPEDEVFMRFAEAKGSFAFTKEGEAVADSKRAFQDLG VKTEGFVMLFTRDKFREGVKAVGEFLKGSS
SAPIO_CDS7060	MGVTKTVITEGSGPIPKPGQTVTIEYTGWLKGPNGEKGAKFDSS VGRGPFVTRIGVGQVIRGWEEGVTQMKVGEKALLDISSDFAYGERGFTGHIPPNADLL FEVELKKVA
SAPIO_CDS7061	MSEADSATRMQVDDSATTASDIDESLYSRQLYVLGHEAMKRMGA SNVLLVGLKGLGVEIAKNVALAGVKSLALYDPAPVEIADLSSQFFLRADEVGRPRDEV TTPRVAELNVYTPVSIHKSDSLVSDLSQLDKYQVVVLTNTALEDQITIGEYCHKKGIY FISAETYGLFASIFCDFGEKFTVGDATGESPHSGIVVNIDETGLVSALDETRHGLEDG DYVTFTEIEGMPDLNNCAPRKVTVRGPYNFSIGDVSGLGEYEKGGLWQQVKMPKIVGF KSIAEAQKDPEFVISDFAKFDRPHQLHIAFQALHKFRKINQRLPRPLDDQDAAEFIKL AQEGFKDAGFEGDINEDLLKELSYQATGDLNPMAAFIGGITAQEILKAVSGKFHPLKQ WFYFDSLESLPTGVERTAENCKPIGSRYDGQIAVFGKDFQDKIANTKQFLVGAGAIGC EMLKNWAMIGLGTGPKGGIVITDMDSIERSNLNRQFLFRAHNVGQMKSDSAAQAVQVM NPDLEGHIKSRKDPVGKDTEHIFNEQFWKELDGVTNALDNVASRQYVDQRCVFFHKPL LESGTLGTKGNTQVVIPLVTESYSSSHDPPEKEFPMCLVRSFPYRIEHTIAWAKEHIF EPNFVQGPLTVNNYLTQPNFIQNSLKQGGNQLQVLRTVRDNLTTDRPRTFEDCIAWAR LLFEKLFVNDIQQLLYNFPKDATTSGGTPFWSAPKRAPDPLKFDPSNPTHSGFIEAAA NLHAFNYNIKSPGNNKDLYVRELDNVIVPDFVPDANVKIQANDSEPDPSTESGFDDEG EIQKIISAIPAASELSGFQLVPVEFEKDDDTNYHIDFITACSNLRAENYKIEQADRHK TKFIAGKIIPAIATTTALVTGLVVLELYKIIDGRTKLEDYRNGFINLALPFFGFSEPI QSGKVVYKGPDGEVTLDKIWDRFELSDVTLQELIDHFDKKGLTITMLSSGVSLLYAAF MPQAKIKDKLPMKLSQLVETVSKKKIPDHQKEVIFEVVVDDMDGNDVEVPYVKVTLDK 
SAPIO_CDS7062	MPPLLAPDAPPAADERVSFDLNLQHGHKDLVQAVAFNTYGDRCA TGSVDGKIRVFNRHKDGMWRNCDTWNAHGGEILELQWLPATIYPNLIASLGIGGRFKL WAEDPSAPPGRRFASPTRSKAAFDTRSSKGSPYRSFSMKHLEPTHHTYLALLDAQGRL SVYESDEPETIAECVAVDELSVCDRPPRGEEISFRVRFDPNPEVCYNAVRAGVAADSV ALVVAAMNSVKVYRSRDVVATSAYGVQHAKKEFYLAVEIAEPHRGLVRDVAWAPGNIR GYDMIATACQDGFVRVFRIDTPYSPTDGKSWAVSDLVPSSSSSSSHHGPGQHTNGSST PSALHRDVKPVSASGLSASLAKPSNTATLDRVHSQSHTPSAHHHQQHHADRDQQAPDV THAVRELSRLDSHRTPVWRVGFDDDGLVLGSVGDDGRLVCYRQMPSGLWAKSSELGIV KARMMAP
SAPIO_CDS7063	MDYSSMQDEGASPWGSPGHSPRHIRSAGFGSLDETNQTPFGYES NNEGPSPLRNETSRLEDDDVFRPGIGTTQVSRQDVVDDHHHDFASSTTAAESEEVARH EVEEDQRLPETPQKPQDQTRPVEVGQQGPEPQQRPRKPSAPQPRLQAKITGLERVGKK DPLLRFDVHTNLPRFRTTQYRDVRRLHSEFVKLAEHLISANPEAFVPAVPPALTSAGA GTEEDEGRVKALMQRWLNYVCSNETLMRDDEMVLFVESDFGYSPMVKMKQPATGVRRK ILKQFAPPPDDTPELADARPIVKLFYLGTMDAGHKVDKMVKSRRGLGQAEADFGAKLG SMNVQEPHQGLANAYRKLGKVVQTVGDYHAAQATAQATTIGDPFQYHSQDAFIVKETL TNRQILIREFLQAQETTRSRLNAADRLKASSTVRREKVDEAIAALDEARTNETHLYQK TTTVTQNLVHEKRKWFARTAADLRLSIREYVLREIEAERRTLALLESVRGDIRSIDAS GGLSRLGRESHPPVRRANLAASQGPKGDAWSGVPRRTDLNRALSGSMIASAGLPDDGD VEGGAGQGRGLAGTVTLPGVGEEDDEDRIDARNAASRLATSTF
SAPIO_CDS7064	MAPAAMVEVTETLSTPIPVYGSKLSKSVSASVLYGPRDLRLEER KIEEPGLGELQIAIKSTGICGSDVSYYKKFANGDLCAIHPLSLGHESSGVVVAIGAQV SGFKLGDRVALEVGVPCGSCTICTKGRYNLCKKLRFRSSAKSVPHYQGTLQERINHPA QWCHKLPDHVSFDAAALLEPLSVALHAVNRASPAPGSTALVIGAGTVGLLTAIMARQA GCTRVTITDVDAGRVNYALTKGFATHGFVVPRTSSDASSSMCSSVCCGGDSGTSTPSS GIMTPASIYSQLEHARRLAQDILAVTKNPDGFTFDGDEEDDDGVDITFECTGKEVCMH TSLYATKCGGKVIMVGMGTPIQTLPLSAAHLREVDILGIFRYVNTYKTGIRILCNQGK GVAGFTLPSLDDMVTHRFKGLENSKDAFELASRTSDDDGNLVLKVVIEA
SAPIO_CDS7065	MASPSNPLLSSHQPSDVQVILHPLVLLTISDYITRHTLREQSGP IMGALLGQLNGREITIEHAFECNTVANPDAPMGYSLDHARFISRLEQFKTVHKDRMLD LVGWYTLIAKTGPTPDILPTHTTMLDLNDSTLLLGFHPDELAHHSIGAKLPLTIYESN YEADDEQQDDEDKTMQDTDPPLKLKFRELQYTVEAAPAEMISMDFVARGGGNATAVEQ QQQQEQQQQKERRQATPSEVSENTRESKRRIVAVPDTDVPPDFVLTREEEEMIGALTA KANAIKMLQARIHVIHKYLDQLPESFKQGDHSAPEMTDADPNFAAPSYTILRQIQALV SRLEVLVPSNEQAFRQELLRDKNDVNLVSLLDSLVQSTQAAREVGRKFQIIESNKPRN RMADFAADTHFTFNMTEGHELL
SAPIO_CDS7068	MAPPNTLPTRPLGKDGPLVPRLGLGLMGFSVAYGKARSDEERLE FLTKAWEMGETFWDTANLYGDSEDLIGKWFAANPEKRKDIFLATKFGMTFTPSAGITI DTSPEHCRASIEKSLQRLGLPYVDLYYIHRLDGKTPIEKTMRVMVELKNEGKIKYIGL SEPSAASLRRAYAIHPIACVQNEYSPFCLEVETKTLETARELGVAIVAFSPLGRGILT GAIRGVEDVRGEGDMRHTSGLPWFQEDNLKKNVELADRIGALAKKKGVTVAQLTLAWV LAQGDDFFAIPGTKSAERLRENLGALDVEVEEEEEKEIRKLADEVAGARVMEAHSQAN YADTPLEE
SAPIO_CDS7069	MAHHRHQASLESIINFSPPPLLSANQRNHASRRFYQIINHFDVT GDARPTRGTYNVPRLIRLTYEYALSEESRDLFLQAFFRALQLRLDDEEDVDLGGNREE NIRADMVGFSEYLMDNFYLPLKAATDQTPQPSPVYHSAVLMVQGRNNQEYATTPTRIA ALRGTTLIRDHHRCVISRAFDIDEAENRMDAEGEGNAKDDDGNILSEPYGYLEVAHII PHSLMRPQGNSESRQAALAILNMFDIGVARLIEGVEIDRPFNTLSLRPEYHRRFGAFK LFFTQLPDQGPHTYRIETFRAGLAALGPALPLTRTLLLSPDRNIDPPSSRLLAVHRAV AHILHLSGAGDYIDKFLSDMDDGCVRSDGSTELDRFVRLRLRDTEDGVDSFTDGDVVW DSGMFEQRQISAATG
SAPIO_CDS7070	MSTVTIESLYQLFAGVGASSIPSVHETLPVYPLSRPLDIYRVYL ADVLAKLAGCDVTLAYDAIQLPNTLPHGDLTLPVPRLRLKGKKPDDQCIELASSFPDD HPLFQKPTARGIYLPIFFNPLPLPQLLLPYIFQRQGSYGRNLDVGLCDPASPNLGRKK VIVEFSSPNIAKEFHAGHLRSTIIGAFISNLYESMGWDVVKINYLGDWGKQFGLLAVG WQRFGSEEELAREPLKHLLDVYARINALFKPEQDASREARDKGLDTTEIESKGLYAER NAFFRRMEDGDAEAMALWKRFRDISIERYVSTYAHRNIGFDEYSGESQVQATTVETVE KLLQEKGVYEEDNGSWIINFDKNGAKGLGVAVVRGRTGTTTYLLRDVAAVLEREKKYA FDKMIYAVSTEQDFYFRRVFKTLALLGRPDLEARVQHVNFGRVMGMSSRLGNVKLLSD ILDQTSEAMHEVMRRNTVKYAQVEDPDAVAEAVGISAVMVQDMSGKRINNYPFDITRV TSFEGDTGPYLQYCHARLNSILRKAELDRAELASYLVENPEALDVDVTAKQHCVDLLR LMAQYPDVTATALKNLEPSAILTYLFRLTHQLSSCYDVVKVVGANEGRDVMLARAALY EGARQVLENGMRLLGLSPVDRM
SAPIO_CDS7071	MEDIQTDLQALEGNLTDLMGPVFRVLKATLEYPAKPEVKASKLA DDVTFFTKGDNGDAVLWQVWWVIFDIASVVPPDHAWQDSLVQCLSLLRERDEVITPKI EGAPTFKDLPGLSLAAIEKFDAYDPSFVGIESPNLAHWKNLQSFFARLTHTGIIRQLN FAIWEIRETIEGDEAQTLKGAELECHLWAVSEWLARCTKEIFELMSWKDEVKESEAQS LRTGKLCEGVAPLSLERWEFWRKRLADIAADRGSLELGDSVVERIAEVLRRMDAIDGR RAAESVVQAGSSEKPQGEKVGSDGAEGAEAKNLKASD
SAPIO_CDS7072	MSTPAGGQPPPSGSTSNPPAPPGRTPTCSSYYDFNGVPWNGQDP NSKTWMYARDARQQQAGVPANAQPPYPSYFGNRTNLPLNPPPSHIGRRGQPGWLHHPL IPDQTATWTPGTSPGAVRSVYTAGNTANFDVIHHDPNAGTTSNGTSEFSMATYHPSVP RPQQQPPPTGGNGP
SAPIO_CDS7074	MVQTSVLVTASVATAVTGVLAYAVYFDYKRRSEPEFRRSLRRNE RHRARAEKEDQKAQSQLQRQKIKLAVQAAIEDGFPRDPSERERYFMEQVSKGEVLSAD PNTTFDAALAFYKALKVYPSPGDLIGIYDQTVSKQVLDILAELIAADPTLKIGTNAEA SIPTMPPTVGLD
SAPIO_CDS7075	MSTHRLGEGLRGPQDQGGRHQGQVLNSLRTLVDAQPPLKGNQTS DLVKRRYSTRFNNAPINFDLSTPPMPSSGAFDKYQAEDRDRSRNRDRPRTRDRDDRDR RPPPSRGGGNAPPIDMKALRDPKLNAEQYVAEVLGDVDEDQIRDFEDGLQKLRGRAAA DLQQNVLQNRTQFIKISKEAEKLKGEMRTLRNLMAELKANTTALRTSSAGAGDLALDG ELSSGMSKRDKRSSVADRSALWNSQMQALYKNVEGSQKFLPNSSGRHVIQNAGPWIEL DNATYKSRRAMQIFLLNDHLLIASRKKRKADASGATDNRGPMTKLVADRCWPLLDIEI VDMAGSSDSSTGRNKLADAIMVRGIGQDSFIYRTEKPEDPEKGTLLLNIRKAVEELRR SLRSEMEANNKAKETINYFASRDPGLLQKTELLETLSDIKDMLIEVDGKQQNLRWVES QMDELDIDIALQNLEPAVARVEMLKNLARSLKNNVVAQDFINFKVEERCARLAALITR ELEASHSDQTKTRRNVDWLTRLGFEDSAREAYLAARSDIIQKRSRQCIFQGDLHLYIW EVSYVYFQIIRNTVTCFQACFPPSMMSACVKWAKTEVEAFNVILARQLSGTDRDSAVW KNCMERAHEHARLLSEVGLDFKNLVGKELKQTNGANGASGLAFPLGVRIISIPRPEPE PHSISKLPVMDTTMEDVGRVPTEPLVNLEPATVPTLDGWIESLMSCKQLSELDVQRLC EKAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGPNPDTNYLFMGDYVDRG YYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTD LFDYLPLTALIENQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDR CGWGISPRGAGYTFGQDISEAFNHNNGLTLIARAHQLVMEGYNWSQDRHVVTIFSAPN YCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL
SAPIO_CDS7076	MSLPPLGGGSGAHTQASLPALPAHLQSDTQLTAHLASRFHAGLP TARLSSHALISLNNYSSSAKGFDGGREGSAMAGTEEIADRAWLRLGHRSENQAVVFLG ESGSGKSTLRSHLLTALLNKTSTPLSTKLSLAAYVFDTLTTTKTATTPTASKAGLFYE LQYDTATTTNPILLGGKLLDHRLERSRIADVPTGERNFHVLYYLLAGTTAAEKAHLGF DNASGSGQKRWRYLGHPTQLKVGINDAEGFTLFKNALRKLEFPRSEIAEMCQVLASIL HIGQLEFETTSDTTVTGDDSGGFSHEGGQTITAVKNKDTLNLVAAFLGVGSQDLQTTL GYKTKMIHKERVTVMLDPEGARAHAGELARTLYSLLVAYVVESMNQRLCAPEESIANT ISIIDFPGFSQQASTKSTLDQLLNNAACEALYNLTLQNFFDRKADMLESEEVAVSATS YFDNSDAVRGLLKSGNGLLSILDDQTRRGRTDMQLLESLRKRFEGKNPSIAVSSATAR LPGSNFATENTAATFTIKHFAGEVDYPVKGLIEENSEVISGDLLGMFQRTKSEFVARL FGQEALQTVHHPKERSTVMQATISSKPMRAPSVMSRKTTKGGRPTRFERRQQQEEVEA LSEAGESRKGSKLLSAHGASGQFLSSLENVTKAVADPSTNAYFVFCLKPNDKRITNQF DSKCVRTQVQTFGIAEISQRLRSADFSLFLPFGEFLGLADTDTMLIGTERERVELVVE EKRWPSNEVKIGSTGVFLSERCWMEIAQLGDNYSASGGGFGLSEAGDGITPQDTPYAA SKERLLSTGTPTPMGYGEKGKSGYFGGDIDARSEAGVSAYGGGDMFRNMDTVEQMAER TNEKDMVEVEEYRDSPSRKRWMFLVYLLTWPVPDFLIRWLGKKPRKDVRMAWREKLAI NLLIWFFCLLAAFFIVIFPLLICPKQYVYSPAELASYDGKKGSHGAYVSIRGYVFDLE KYSSRHYPPPPIVSKKSLMNYAGLDISNLFPIQVNSLCLGKDGSIDDAVTLDYKSTNI TGSPLLVNSQDLNAQYHDFRSFRNDTRPDWYYEQMTMLRGGFMKGTIGYSAQYVKTLS TLDNNKRNIAIIGSRVYDLTEYLKGGRKLVGKPGAEDTPTDLSLTEFMEPDLVRLFEL RSGEDLTSLWENLPLNAAAKRRMMSCLNNLFFVGDVDTRRSPRCLFAEYLVLAVSCLL ASIIAFKFFAALQFGTKNIPENIDKFIMCQIPAYTEDEDSLRRAIDSAARMKYDDKRK LLVIVCDGMIVGQGNDRPTPRIVLDILGVSESVDPEPLSFESLGEGLKQHNMGKVYSG LYEVQGHIVPFLVIVKVGKPSEVARPGNRGKRDSQMIVMRFLNRVHYNLAMSPLELEM YHQIRNIIGVNPTFYEFMLQIDADTVVAADSATRMVSAFLHDTRIIAVCGETALTNAK SSFITMIQVYEYYISHNLAKAFESLFGSVTCLPGCFSMYRIRAAETGKPLFVSREVVD SYATIRVDTLHMKNLLHLGEDRYLTTLLLKYHSKYKTKYIFDAHAWTIAPDSWQVFLS QRRRWINSTVHNLIELIPMNQLCGFCCFSMRFVIFVDLLSTVVQPVTVAYLVYLVYLI VDKKTVVPVTAFVLLAAVYGLQAIIFILRRKWEMIGWMLLYVIAMPVFSFGLPLYAFW HMDDFNWGNTRVVAGEKGKKVVITDEGKFDPASIPRKKWEEYQAELWEVQTSREDARS EISGYSYATKANQAYSEYGYPNSRPGSTVGAYPPHMPLPLSRLSYAGSDAMANRQSTF GGSQFFTPEDMVGLPSDDEILAEIREILRTADLMTVTKKGVKQELEKRFGVPLDAKKA YINSATEAILSGQL
SAPIO_CDS7077	MANSRMSMYSLASESPGGPRGAGQQSSQVSTTTLLNAVHNGYLS SQPYTLDAGSSLVVNTWLTAAQAGLDGKVGGTVDRSLAARAWEHARRRAEDGCIILGS LHTSTPSLLSPFLQSLPLSIPATLFTALEAIQPFLRCVTPFNPSTPRQAALGVSLTLN LAGTLTAASVALSQGGIDTAKGLLNIPAEAGYRAFDVFYYLLTSASTPAEREFLGLKA ASSYTLLSRSGTYTPPSYLPTADDAAAADDFRAALKEIGIKGSAHRNLISTLAGLLKL GNTLDYGVDSDELDEIIEDVSGLLGVEPEVLARQCSTEDRVTLVGGLYECLVDWVIAK ANEAISSQMSAIRDGAGSVDGRGPSPAGPSDAGDTVSITVIEVPDPTLGKAIAMRAIF DDSQGINQEMIQDGVEVSGAGSSVLREMQNAVAEAAPDLGIMTGPVGRSRQHELEKRE IILEKVAHAADDDGFLKKLLFPVPGEGINLGRAGRIDLAALLNASRAWFHLCIHPTDD SPSSLAALPSITSAWSAGTVSRQLRAWRLPEWANRRNRHLDFTSDFDLDEFVQRYSVL GCKDGRDGIETWILERGWSNGEVVVGKERVWMRENAWWEAESMLDLKPMGAGMPMPMA MPAAAPTQGFESAYSAAGSGYFPTGLDGSLNGSRDHLVGHNRTFSQGNMSQVTLNQNR APSVAATPLRNVNNGDYGLGSKGDTYKGQVYYNNDGEFIGNMDPELAHGKTIETKQQT FGRRMWVGFVWALTFWIPSPVLRHVGRMRRPDVRMAWREKVVLVFLIFLINAMVVFWI IGFGLVLCPNRDKVWNRNEVSHHLGADDYFVSIHGRVYDISKFWKQQHSDIPIKTTQE RMEPFAGMDLTDYFPIPLAISCRGLGIGERLELLANETQEHPEAVHKSGKHTAYITSK LAEDDWYTAQFLPKITEYYKGDLVWLPQEVKDDGRQNQHMWFTYDGKIYDMTDYFHTL DVMDGNELYSFLHEDVTDIVQQHPGEDITELWDELTAKVRNTDAQTPVFNSMNCIKNH FYQGIPDFRITPRCEVNKYMLLAFTIIICAVILVKFLAALQFGSKRRPSPQEKFVICQ VPAYTEGEDSLRKAIDSLTALQYDNKRKLICVICDGIIVGQGNDRPTPKIVLDILGVD PKVDPPALPFKSIGTGSEQLNYGKVYSGLYEFEGNVVPYIVVVKVGKESEQSSVKPGN RGKRDSQILLMSFLNRVHHRSPMNPLELEMFHQINNVIGVDPELYEYLLMVDADTSVR EDSLNRLVAACANDAKIAGICGETGLQNDEKTWWTMIQVYEYFISHHLTKAFESLFGS VTCLPGCFSMYRLRTIDKGKPLIISDDVIRDYSVCDVDTLHKKNLLSLGEDRYLTTLM TKYFPSMSYKFIPDAYCETAAPESWSVLLSQRRRWINSTIHNLVELLRLKEMCGFCCF SMRFVVFLDLCSTIILPATCAYLGWLIYSVASHKSQFPLFSIVMLAAVYGLQALIFIL KRQWQHIGWMIIYCLAFPIYSFILPIYSFWNQDNFSWGNTRIVVGEKGDKKIVAVDET NFDPRSIPLQRWDDYALANNLPGRRGGPAEREYFGEDQYEMDEVRSVYSSVPQGSLRG GMAGRPPFMPPSPTNLGGAGVPIQRQSSFPYGDNALNRQSMASFGGDIQRRPSPYQDV RASVSNLRGTSNLSPALGVGMNRSSTALGYSGGAATRASFAGPDAAMRSTTSFDFQRG HVGPDDAMVIEAIQSVLREVDLDTVTKKQVRALVEQRLQTELTGERRTFMDRQIDQEL ANM
SAPIO_CDS7078	MKAMSTPIRSLRSRSRLSASTTLSAAPIARRRISTSIWNSFIFG PRSPPTPRPVTDHEVAELARKELHPLSLADLIKHGRPPLSEPALLSSANFTLSLIPVR LASRIQALRNLPYIVVSNPNINKIYKNYVHSLSTLLPWYANHTTHTPAIETLEDEVAF TSALSELVATHQDTIPVLARGFLECRRYIPPQDATRFLDEHLRVRIGTRLIAEQHIAL HHSSQAHGGTRNSVHYGDRPNYIGVIDTALRPATTVELCADWVADICELRYGIRPKVV INGEPDTTFAFVPMHLEYIVTELLKNAFRATLENRMESEPIVVTIAPEPASPSATPRR TTPPPPPPEYSLGEHDDPEPDHHSESHTILPLDENASGVTIRIRDRGGGISPSLLDKI WSYSFTTFSADDELPGSSGNGDGLAALSSAGPGASSLAGLGYGLPLSRAYAEYFGGGI ALQSLYGWGTDVYLRLKGVGKIDS
SAPIO_CDS7079	MPTYIVTCKPDATPAQVQQAKDDAVAQGGKIGHEYNLIKGFSVD FPDNAVSAFQKHEHVEHVELDQPVRIQ
SAPIO_CDS7081	MEAQVHKPHRKAKEKKKHTGDKNPKAFAFANPGRLQRTAARSHD IKEKRLHVPQIDRLPDEPPPRLVAIVGPPGVGKTTLLKSLIRRYAKETLSDPQGPITV VTSKKQRLTFLECPNELEAMVDVAKIADIVLLMIDGNYGFEMETMEFLNVLAATGMPG NVFGILTHLDLFRKPQALKDAKKRLKRRLWTELYQGAHLFYLSGVLNGRYPDREIHNL SRFLSVMKNPRPLVWRNTHPYTVIDSFRDITHPTKVEEDPKCDRSIVLSGYLRGTNFA SSGQRVHVPGLGDFTVAGVEALPDPCPTPAMEQAAAKVTGKTGRRRLDEKEKKLHAPM SDRSGLKIDGDAIWITREKGFTFNKDGENQELGEGEELIIGLQGERKLLGQTEDGVQL FRGGEKIGKDALEAVDEEEDTGRKTHRQPRLAAANDDDSDLDDVPDDEGFVSGEEDGE SDVEDEFNEGKLGKLFRNGSRNEKPGQDDVAFADSDSDLGSISGEDEVDGEDYDDDDE EEYDSDEEAAALRWKDNLASVARSMHGRRQTYRTADLARYIYDDSLTPRDALRRWRGE DDEEEGEENIEDDDEEFFKKAKHEEGEIVEDRQIPVFDYDELATKWSQEDIIESIRER FATASLRRGGGDDDDDGDDFDGFDEGDELEGDEDGDEDEDDDDEGDGAFEDLETGEVH GNMESESPEPVEDLEAEREKNARKKEELKMRFEEEDREGFFNDKANARKEAGTTADEF GEDEWYDAQKAMIQKQLDINKAEFEQLDERQRIAVEGYKAGKYAKIVLEGVPAEFVEN FKSSTPIILGGLTPTEERFGFVQVRIKRHRWHKKILKTNDPLIVSLGWRRFQTLPIYS ISDSRTRNRMLKYTPEHMHCFGTFYGPLIAPNTGFVCFNSLSGNTSGFRIAATGTVLS VDESTEIVKKLKLTGVPYKIYKNTAFIKNMFNSSLEIAKFEGAAIKTVSGIRGQIKRA LSKPEGCFRATFEDKILMSDIVFLRAWYPIKPHRFYNPATNLVGWQAMRLTGEIRRAE NLPTPKDRNSQYRKIERVNRHFNPVRVPKALAAQLPFRSQIVEAKKQKKKTYMQKRAV VLGGEEKKARALVHMLATIQRDKDERRAAKKEEGRKAYRKKVAEMEEMRAGREKRDKQ EFWRREGKRRAGWDQGGGGKKQKV
SAPIO_CDS7082	MSGITDWVKPGDTSGEFKRQVSSFRNFISKEPGAKFPPEKGRYH LFVSYACPWAHRTLITRKLKGLEDIISFSVVHWHLGNQGWRFAKEGEPDIPGDNVIPD PIPGHEAFTHLRDVYFESEKEYTGRFTVPVLYDKKQNTIVSNESSEIIRMFYTEFDDL IDEKYRALKLYPEPLQKKIDVANEWTYDLINNGVYKSGFATTQEAYCRNVVNLFEALD RTEKHLKETESEGPYYFGKEITEADIRLYVTIVRFDPVYVQHFKCNIRDIRSGYPYIH KWLRNLYWNHPAFKDTTQFDHIKWHYTKSHTQINPYSITPVGPLPNILPLGEECNAVK Q
SAPIO_CDS7084	MSDPKEYTVGWICAIPPEYVAARAFLDEKHPGPTSIAPQDNNHY TLGRIADHNVVIATLPTGEYGLVSAAGVARDMLWSFPNVKVGLMVGIGGGAPSRKHDI RLGDIVVSVPGDGEGGVFQYDYGRAIQGHGFEQTGSLNQPPLFLRTSISGLRARYEEE GHKIGDMISSVFEKNGRLRTKYQRPDRASDRLYLSTVVHPQDAKDAKERGCAQACGDD PSMFVPRPERSEEEDDPAIHYGLVASGNWLMKDATIRDKLIATKDVLCFEMEAAGLMN HFPCLVIRGICDYSDSHKNKDWQGYAAMVAAAYAKDLLREIRPSKVEAEKRITDALPV PFERNRNFVGRASILERILKRVDPRASTEYCQRTAIVGLGGVGKTQVAIEAAYRIRDI RPDCSVFWVPAVDATSFENAYREIGQRLNVKGIDKDDADTKKLVNVALSDEGSGKWLL VVDNADDTTLLLDKTSSYLARYLPSSKNGSILFTTRNHEVAVDLADSPKDDIFQLGEM SDAEAHQLLATGLDDAQIHDTEKTTQLLAFLENLPLAIRQASACMAKKQISTAKYLHF CQSSDDDTIELLSRDFEDRGRYRNAKNPIATTWLISFENIGKADPRAADILKFICFVS PKDIPRSLLPSAKSLMTEEAIGTLKAYAFLAERDCGSSYDIHRLVQIAARNWLKLKDE WQIWGQKALLRVNEEFPDPQHENREVWKQYLPHAECILCFCTSLVEGKKSELELLPKV GFGFLLLGNYKEPEAGYRKALEMQEKLLNSVHSYTPENMDRAVGSSRLLDNFGVILTG RGEDGRAEATHRKVFALFKELLGYDHPRTLTSMVNIGGALLLQGKYEEGEAMFRQALE LQEKVLGCHHPDTLLTMSNLGAALNGQGKYEEAEAMVRQTLELQEKVLGCDHPDTLAS VINLVCALKPRDKHEEAEAIHRKAVTLCEMVLGYDHPETLKHIDNLGAILHGQGKSEE AEVMFRNLLKLREKVLGYDHPDTLRSMNNLGVILRSQGRYEEAKAIYRKE
SAPIO_CDS7086	MGEKRRREQSETSREAKKPKKGFRVGPDNLPDGPWKRKVDKVKK ELIYKAKTKKAYAKIRARELGAPLPAKSTPKSKPTTSSAPELADPEEPNLSSDDDEEE EEEQETPLPPPPAPSSPPKPSEQQQEDEAQEGPEPNEHPDRFRNRKKPSYFDKQLKEA QRRRQAAQDREAAFERRRQDRERAMQERRRMRKLSMRARERGPDGRRKLGRESEFLLE KVKKMMGAT
SAPIO_CDS7087	MTSVMDEYLDTPMDNDDIFPCKGCGEILEEGKAFELAGNRWHLD CFRCNTCGTLLDSDANLLLLGDGSLICNNCTYSCSACGNKIEDLAILTGDQAFCATCF RCRNCKRKIENLRYARTSQGIFCMSCHESLMARRRKKSKAAAQAKSREKDASGVIKDK SLPALPPNAIPPGAFAQERVEPESDTPTELSPRPRQAYNRNDSSSRGSSRPAPSPERP ADSSGKDTLGLPSTNYRNNRNSSIFMPSDAIGGDAETFFIPVALDHSPAPTNPTPRSA PDNQDGSKKDNRDYFSSPVKASSPESKVDSAATTPHIAFQEKGRQASSDQESAKVRPP PARRLSKSGRKDSTSTAKSSEDTLPPRISSKAPPVTDEFKLQEAPRGKKLLTSKTNSQ SSGQDATAAKDQLQPDDKIGTPRSSLDSRKRSGEEIRDRSDSLNATPRHEYNNNAARP ITRKEVPQGTTPRNVNGKQYATDDASKQTTATTTTSTSSTYMQPRQAPAPPGQTPSSR GHNGEASSPKLRWSAGGEFTMDEDMARILGTDEDSSSILRRVSNAVRHGRTSDPDPLG TQTRMGHARSASETTRTTSSPRWPRTPVAEDSVNGHAREISSPIGLSSPNDDPAFLKR QLRTSEQRVAELERQFNTQKDLQNLNRKVIEKRKTVLDLDTQTEIMIRQLEVLAGYVQ RAKDTKKPIDARELEDSAIKDFVQKLDKVKQSMAAAIEQLHEERDQLIQEKKQAMADR DRALLEFEQLSSKNAQLADMNNDLTHQIQERFKSQINNNNNNNDPRSANGLGIYPQRG SSTTAMSSDVASINTATTLVPHDADDSIVEAGPTVVTVRKGQVKKFNWKKGSKTIAQN VTKGVNRAVVAFQERDRNPHHGGLTGDNIGLPYNMTVEQMQPTSSLTPTGPQGQVGQP GAITPRQTGERQGFGFFSKRANTMPKGMQSAANMSGSGTPTVAEAPTVLFGSDLSERA DYERRQIPSVVTRCIEEVELRGMDVEGIYRKTGGNSQVKMVQDGFEKSEDYDISDPSI DITAVTSVLKQYFRKLPVPLLTFDVYERVLESNAIANEAERCAHLNRTFASLPQPHRD CLEFLMFHLTRVAQREPENLMSPKNLAVVFAPTIMRDHSLEREMTDMHAKSIAVQFII ENTDTIFDE
SAPIO_CDS7088	MIDSGHIYPRHNDSINSPSRSTGTEATTLIEALTYSSSTMTDKI SDKQVADLATLLLKHDASTDTKVNAVNAVKSGIKQHNVPETCVPQLFDVLRNASVSQH AALVNASFSSLNHLLTRLSRQEPKFISKEAMRTMPVIIEKLGDQKEKMRTLATQAMTT VYGVAPAEVERSVRNTAMSGKNPRAKEASMHWLVTMHKEQGLQFRTYVPLLMDLLEDA DGMVRDVAKATVIELFKTAPAAAKSDLKRQLKNFKVRPAIEQAIVKALAPTGGERSET ATPSLTTSVSSLSAGDRPITPMFEGKADAVEPTYVNTQRELEEIFREMGYHFEGKETE QNWMKREEGMATLRRLLVGNAPSDFPDAYLNGARGLLDGIIKAMNSLRTSLSKEGCAF VQDLANCYGPRIDPMVELLMQTLLKLSASTKKISSQLANTTIDIILSKATFNNRLLQH ISSAYADKNVQPRLYATGWLKTIIIKEEQHKAQIEHSGGLDLIEKSIKRGLADANPGV REKTRATYWTFAKIWPGRAELIMEGLDNTAQKLLQKDPNNPNSPKKATTTTTARPGLG LSKSTMGSSKSSLRETVMAGRKALGATRNVPARPGSAMSSFSPVRTGASSAAPTPASS KPAQHRLRPESTLAVNSGGMSAAPTRPTRRRAELAARPATAGPYSVRSHGGPSAEASS PVDTVKSTRSVASKPPTTSSPRRVAQRPRPGHTSHASESSIPSPTRAAFSKPASPQTT PVKLKKAQSSPWSANSADRAEELTLVVPTIGSLRTSPPRVVPPMPSPIPETPTQVDSE NIPASTTPKDASPPKALQVYEDPFVEEQEETPKPAFTGTVLEDRPINENVVPAAPLPP SDGQVPDEPPPSPEKSRQNSRLLESGITRIKAKSLDVHGFRKLQSLIRDNKAVFTGDK FEALLLGLFEFLQDPLENTPREKVPDVKAQILATIKLLLKKERFNFQPHVSTGLESLL LARSAYDSRTYIVSGLELLADELVTLGDAPELVMTLTAQLDKSTDTTAEGCRCLSMGM HALRQLLEKRASYVPSETELQRLAALESRCLESADSGVRMDAVQLCVAMHTRVGEAVF WEVLKGIKDDPKNLITYYIAKRQREQQEENVGVNGD
SAPIO_CDS7092	MLAVRSFSITARHCARSAGPRKTVSVAIQAQRYYSASKERVAKF EGVKDVNGNYTVSLIEGDGIGPEISAAVKNIFAAAKTPIQWEPIEVTPIIKDGRSAIP DAAIESIERNKVALKGPLATPIGKGHVSLNLTLRRTFNLFANLRPCRSIAGYKTPYDN VDTVLIRENTEGEYSGIEHEVVDGVVQSIKLITRDASERVLRFAFQHAEEIGRKKVRV VHKATIMKLSDGLFLTTAQQIAKEYPHIEFDAELLDNTCLRMTTDPVPYNDKVLVMPN LYGDILSDMCAGLIGGLGLTPSGNMGDEVSIFEAVHGSAPDIAGQNKANPTALLLSSI MMLRHMGLTEHAVRIEKAIFDTLAEGKSLTGDLGGKASTSQYADAIIAKL
SAPIO_CDS7093	MGQGFSLATPSAGSASIDVPELSDLVYEKSIGNARFMKSVRARH HDGVVLVKILVKPYTPMPLEQYKREIIEQRKALAEVPNALPFQRIIETDTNGYLVRQF MYSSLYDRISTRPFLEDIEKKWLAFQLLCALRDCHARDIYHGDIKAQNILVTSWNWLY LTDFSSAFKPMVLPDDNPADFTYYFDTVGKRTCYIAPERFVGAGESTPGGAKLTWAMD IFSAGCVIAQLFLETEFFTLSQLYKYRRGEYDPTATALSVIPDKDVREMISHMIQLDP ERRYSAEQYLEFFRKKVFPDYFYSFLHQYMGLITDPSSGNSPISNSSKNLGEPDERID RVFLDFDKISYFLGYQDDKRTSQNPPIMPKLGLDHFPVRLNIPNNEHYVSAALEPPED DGTLIFVTLVVSSIRNTARAASKIRACDVLLAFAERLTDEAKLDRVLPYLVSLLTDKA DIVVISAIRSITQLLQMVRVVTPINSHVFVEYVLPRLQSALYPPSSSNKPPPSALVRA TYASCIGSLATTASRFLEMAASLKGGGSFTVADPEVEPGAKTEVTFDSLFDNAQRQLF ELFERHTKALVEDTDIYVRRAFLTSVPELCLFFEPADANDILLTHLNTYLNDRDWMLK CAFFETIVGIATLMGTSSLENFILPLMTQALTDTEEFVVQEDLHALAQLASLGLLSRA KIWALVDVIGRFAMHPNLWIREAAAEFLSAATKYLSPADILCLVLPMVKPYLKPGIVP QFHDELNLLDALLSPLSRSVFDQALTWAAKTEKGMFWRPLQQLRNLAFTTLSTRSAKD LTAQSMSKVPRNEEDEQWFSKLRSLGLAPDDEVKLLALREFIWKLSKLKQRSTADGEP VSYNEAISLQSLGITPQTVFFDEKPLLQQVPEIQSPEDSRVPYTIADALLDASMTIDD PVAKRKKAALNAHKSRAGSSTASPHPQDRRTMSPPASLTGRRDSRDSTRRIPLLAGKL LSGSPVVDNDDVSVSDAPYASRRVIRSQSSALSLLDRKDSNKTVAETSTTEANAFGRV EGPFALVPTHRPGPASDTLSSAPSSATRVKANHSYQGNDPSILKMLDNMYIDNYPHDI VEFGPLVQPISRKKSRVNGQVQAPWKPASRLVATFSEHHGAVNRVVASPDHVFFITGS DDGAVKVWDTARLERNSTHRSRQTHRHAAGSRVLALCFIENTHCFISCASDGSVHVVK VDTAPSSNALRYPKLRLLREYQLPEGEYAVWVEHFKVETSSVMVLATNRSRILGIDLR TMTLLYVLENPVHHGTPTCFCVDRRRNWLCLGTSHGVLDLWDLRFKMRLKAWGIPGGG PIYRMCIHPVKGRGRWVCVAGGTGLGEVTVWDLEKTSCREIYRVGGNKEGPKSYEPWE VDDDKREGMLGRFATSIEPTLSSSTTTGSADRGVRAMVVGTSLGEDPRDVRHAFIVTG GSDKKLRFWDLSRIDNSVIYSGLQADEARPTFTASHTTASTTLNMERGATRHSSSGKG KDGAAAAAAAGDGSSGGGSSKGSTKGKSKAARSTVISMQQQQLLRSHLDAILDVALLE SPYTMTVSVDRSGVVFVFQ
SAPIO_CDS7094	MHITARFYVVPGLARRVHLTRSEYRRDIFVPQADFAQSEAFVSS DPNMCSNPGPELVKLIRADFATCSLPDDALAPSRCIQGITNEPNNCGFADSTIGLCAY CATTGLNATDGCCFASNVEERCAGVELPSILPTMTFTVPSASATPGTDDDDDGGLSGG AIAGIVIGAIVGAVLLAGLVWLCIVLARRRRGYGSQSGGSIFNQPSPARSGPPMSETK VQSAAAPQGYEMLPGGRIARMSALEGHSADSPSHTRLSSGAGAAAVGGISGRMSSQRR GDNHSSSDDYGDTPDIEAGAGVLRPPPAAIRRNGSLSSNSVLDEAQSPTSAGGMSSPQ GLASQQSEQLPFFKDYYSQDDIHPGDRVAVLWAYQPRAADEFALERGDMLKVVGIWDD GWATGIMLDDRADEWEARRQAQRDSGVSNTSGRRDTSPPVSGEIKAFPLVCVCLPEHW RKTIEGEGSTETGSSSHQRTISAIS
SAPIO_CDS7096	MAGKDKAFERVEAAIAHTRADIAALTRYFDQCEVLAELYSRPVY LAKSRRGQQRAHFAIFIPHAAYSGLDPNDRSKPCIGTVIQVVGAPMLGYAHEFKRNYD REDADGVETFVHLGSVGPDHIVEPQTTAMSRDTVATGLLERIALQVPAPGVNENFMAP VNDTTNRRCQEWTMEYLRRLVSQGHIEESAVTIAQGERDPPEYGIGLRRVGS
SAPIO_CDS7098	MDITKFVVSGRAQAFGDNAVYRGQLSRRLLKSRKTLGIATRNRG KYQKKDEVTAEQIRENPEYVRLLLLTSERAWAHAMSMRTAHMADAKGIAGRTRSHIIS RIEKAAHTAEHLVSILSDSEDSGAKSTDILEAFAYAGMMRGAANFEKQSWEKCLKNYS VSHAIYSALKTSSADGETYKDLLSEIIDPSIQFAAYQLKTPRTVPVHIIARKAFPTSN SFLVDEINERDPSVLRQEDAESKQEAGVEDVPKTLAWRSREVRIEDAAIALAWKATLA AKEALTSKVASSGSIVPKELAGAYDDILTATQDAVDATKQALDELRGENVPQTDPRMQ SLQITRTAVNFEMISWRVGRNRVLTGPHDGALEDYAELSKRELKKRAKAKDENKPREM KLKQKLNKLKERVALYDGALQNLEEAKALPGVPADEELTQRLEATEKYFTALKALSIA RSHALTGNRRNALALLAHAHTLVQTSTPILTSPTTPNSPLNIQVSPTDLSFLESLLDG QVQRFRALVHIEKKLEENPPKSRVHPSVPLIDRLHEWPAEGVDLENLVEWPPKLPLIP GKPIFLDVAWNYIDYPGRRTEEVEEKKEEEEKGEAAKPAPAPQKRGWFGFGR
SAPIO_CDS7099	MLASRLSRALPRATPITARAAGIARAPLAARFARYESNDAKVQG PVIGIDLGTTNSAVAFMEGSIPKIIANSEGARTTPSVVAFTEEGERLVGGAAKRQAVV NPENTLFATKRLIGRKFTDAEVQRDLKEVPYKIVQHSNGDAWVEARGKKYSPSQIGGF ILQNMKETADAYLTRDVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAA LAYGLEKDADRVVAVYDLGGGTFDISILEIQNGVFEVKSTNGDTHLGGEDFDIHLVRH LVQQFKKESGIDLSNDRMAIQRIREAAERAKIALSSSSQTDINLPFLTADASGPKHFS TKFTRAQLEKLVDPLINKTIEPVRKALKDAGLQAKDIQEVILVGGMTRMPKVSETVKS IFGRDPAKSVNPDEAVAMGAAVQGAVLSGQVNDLLLLDVTPLSLGIETLGGVFTRLIN RNTTIPTKKSQVFSTAADFQTAVEIKVFQGERELVKDNKMLGNFQLVGIPPAHRGVPQ IEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDSEIERMVEESEKFAEQDKER KAAIEAANKADSVLNDTEKALNEYADKLDKAEADTIREKIAGLREFVSKSQAGEGTAT AAELKEKTDELQVASLNLFDKMHKARAEGQQQSSEQSSTEGENKSS
SAPIO_CDS7100	MPSLPPASKFRQQTTFHHQGRESCDKRTVDIPLAAFVPPRHAKG QQSLASAAPAANEFFTVAHIIIVKMGGGDEAPLYPTDYFILKQSKTHYHVNVRSQHWQ LRSLISVERKNVIYFPGGTKNNHILKLDTDTHSLETIRVLSFSPRCLVAKNGWVCCGG ETGEFTAVRLEQRQEPGDMDMQLDLDPDARLPLDLDASTNDASSMLSLVERAANRSKM LIGKSMKLVRDRVNCITLWFPPVDSRPWEGAHNEPIAVLANNDKTVALVSLNDFEDND KIEPLHIITYPDFVNRAVISPDGRLLVAVLDDPYLYVHERVGANSTNNQKQYKWEYRD RYLLKSQRRDDVSQSRGSFAACFSNSGAYLAVGTQYGTISIFDVSAFTDRSKNPLITS FQSSRPETLSGAVRDMAFSPGPYDLLAWTEDKARVGIADIRNGFVYRQYIDIGDKEDG GQEVRILDGNAIDPRLLGRRSDRGDRDERLSGLPFFSDRRRDDRTRHDSPLTPDETLI LEALQDGRRRREQRAAQRGGADPATRHGDGTPSLGNARLSVWAERAERAARRAQLGDN SRTDSPSLGEGGPGLSRAIDLLLGNSLDRADRDQDRGSPSQRPLGGNTTTSGDPPIPR SNRARRGDWGLHRLDDLEALYSLAAYSSEYNRGDNNNDTTRRERTPFVAFLSDREFDN LMRRNVDDREVHHSPPTPDNTSGLAWSEDGRLLFVGAQNGIYEYHVNIQGRKLHPSIT LQ
SAPIO_CDS7104	MATKTKHDWADDEDIDEISTELPPAQTISNKDGTKTIITYHINE NGQKVKTTRRVRYTTHTEKVNPRVAERKGWTKFGASAKDTAGPMPDTTSVGENIIFRP SVNWRKDAKDEKTDPNAQALKDQLKDKKVKCRICNGEHFTARCPYKDTMAPVGETATA DVAAGMGDEPAPVTAAAAAAAKKGSYVPPALRGGGAAAGERMGGKYGERDDLATLRVT NVSEMAEEHELRDMFERFGRVTRVFLARDKDTNLAKGFAFISFADRDDAVKACAKMDG FGFKHLILRVEFAKKAA
SAPIO_CDS7106	MSSSSAQANPEGAASTSGIRQRQTTTNNTNHVDVDVDNDTLESV DSTSDDEDELRKPTKTYGRTPDGTVFTVPTTHDMVSQLLDPRQPKNLADAIVIAILAL HIALAYALPSSFKRPVFALVFLFWRASYNIGIGYLLSVQSKHRRLVTWAKRWRLFEHP STGNQPRPWFYSLLKSEFETKIPEDYEFDRAPIEYNTWLLFRRVVDLILMCDFVSYCL FAIVCSNTPAGESLAIYLTRWALGIGLVGFNLWVKLDAHRVVKDYAWYWGDFFYLIDQ ELTFDGVFEMAPHPMYSIGYAGYYGISMMAASYDVLFISILAHAAQFVFLVFVENPHI EKTYNPPAPRKRTLSTKSESTTSNGVDDALNGSAVAAPADETESLSQVHNLVGLKNLD LFRATDYTVILLCGYVGILALVTPSTPLYQFLFVIHAAFWRLWFTVGLGVILDQQSRN KIWTRHFVKYGESPAEAWNQWKALYHISTTMCYASLIAACWKMYVPPEDWSYGWMPLK HVVGAGLVALHIWTATSIHESLGEFGWFFGDFFFDSRGRLTYTSIYRFLNNPERILGS AGIWGAALITWSRAIFLMALMSQILTWGFLSYVEKPHMQKIYGRNVRHEAGLTKFIKK SLPPPVKTWQESMDKVWDETATFVADFLDTARPKLAGGVKTIVRDTKTLFNSPARLTI TRLAPDLAGYDPKYYSLSIEGTPTAASVHDERATGKESLTARFRQGTKTLSFEYGAPL KVKWTAPANHGKKDWIGLYMVADNHSREVTDTGSLGRWVPTNKGMYDEATTDKAITMT ERRVENPADPTGPELVEGEVTFSGDRLWWTQGVFEFRYHHNGRHNVMSISQPFEVRIS KFTDDEEPAPAPADGDSSNVYERAVESALLPIVRNCLDRDPDIAPSTVDEPFGSHVER NSKYAKRVVYAIHQMFGVEFAAAVVPADGNVKKLAWRICNAKKVLAPYSMSASRGTTT PI
SAPIO_CDS7107	MKSTLLPRGLLALTTLTLQASATFLYAASYSGDVTTLNLTLAEP TPSRARCNGATKPPANGTLVEVSSTNGCAGSPAWLELDYDNALLYCSDEGLTKATGSM SSFRTTDDGELILLNKINTKQGPVSSVLYGKGGLAVAHYAGSMLNLINVTDPESLTPF QNLTFTLDGPGPNKGRQDAPHPHQTVLDPTGKFILVPDLGADLVRVFAISDEDGLTVT AGEPLVAAAGSGPRHISFAEKKDGSFVMYLISELSNTITAYNVVYGEEEGEEGISFEE VFVIPTHGEGEEVPKTAGAAEILVSPDGKFLTISSRMEDAFTIPNPTPSEDDEDSPAE IPSDTLVTFSIDSSSGALTLVQKAPAGGRGPRQFTINKEGTLVAVALQADSRVAVIGR DVKTGELGGVIAAAVVKGGVTAVIFDE
SAPIO_CDS7108	MSPSSTGDSPRSATAAATARFTMPTRDNFTIDIPSGALNSVTNG AVNSIKSPATIKTQRAASFSREGILGAAQKARNLSLPTDTRSENMAAGNQKSQQADDG PSSNPLKRRNPDSTPDYPRRRATIACEVCRSRKSRCDGTKPKCKLCTELGAECIYREP GIKLDAGDKLILERLGRIENLLQMNIVNSQANGGINISHDSPSMSNGTALSGGENLMV GNAANNFVSIIPNGGLGTWTSPTNISTMPKVHTNAALHLLQWPLIRDLVSQPYDPQIL LQLEMARDPLHSLTKTPCVDLSNTQAYIEAYFERVNVWYACVNPFTWRSHYRTALSNG FREGPESCIVLLVLALGQASLRGSISRIVPQEDPPGLQYFTAAWALLPGMMTSNHVLA AQCHLLAAAYLFYLVRPLEAWNLLCTTSTKLQLLLMSPNRVPPQQRELTERIYWNALL FESDLLAELDLPHSGVVQFEENVGLPGGFEGEDNQEAVGRDDLWYFLAEIALRRLLNR VSQLIYSKDSMASTTSLEPVVAELDYQLTQWYESLPMPLQFPFARTMLVDPVQTVLRF RFFACRTIIYRPYILAVLDNENAVLDPSVRESCHKCLEAAIRQLEHIQAHHAGHMPYL WQGALSIVSQTLLVMGATMSPSLMSILLTLVHSRETIDHIINEVVMEIERYAVLAPSL SLAAEIIKEAEVRRRAFLNA
SAPIO_CDS7109	MALALKGKFEGIDASSADYELSEMFVNSGLCVVCKNPRARACPG CELDDHKGPQYYCMPQSFPLDNKGHRHVCEWAYMRLYLARAAYVCKAMVLQGRLGWAH DHTDNVAAEVHHADSILWPPLGRIQYPGPFKMSLLERAESDLHLLYAPFFWQLMACFP IRSIEIIHFDVNDASVLKSERTLDWIPTKHVVYKLVTDTKPSKTLAFDPVGLRATWTT TVQDWDVYEDRKILSIVKREPWVQDIAQFVLKLGPGRDFREQFQEAMDVDYDGSMANL GIMSAERFTKGYRKYMKIFDAYCGAYTLTSPLYHEWLDSHLAGWLDLIVEQICYKPKE GERCAPNCTGCIRCTFPLAHRICRATGIPKAMIHPHNLVPSPCVMQDRNRGSSSGPCT CVMPRTGKGKRKLYHCGHE
SAPIO_CDS7110	MSLSRRPSAPDRKRRDPPILHDHHTSGTDSRRSSRKDYMAASPR YFASTPGSKQSSHTSVSDSDRESAWTPSSQDDDHDPRRSDSRRSPRRATRHHRRTLPS ESRYSRKSKVPLVPEVEENDDDSDDSTEEEDEEDDSEEEETDEEDSDEETEEDEDEEG ESRHVSTHRSRSRVGRRGDWPGEGIRRRSPSKLRSTPPQIRHRFPDPPLSEDPLAAYP TSRSGKWHSSRDCPLDETTNKFLQQAKEQGWQRCYRCRAMVELKEGCDHMTCRCGAQF CMICGEKWNTCDCAFFNNDPIEPYDDFDPVPVPTLARSETFPMTPTYRNGSSRFVPPM TAPPLGRRGASDLDDEVFGHSVHSAHEYRERDLAARFERHSTPRDHRYRERSHSHLRP RDAFEDNHRVMMEDEHDDDDDDDGYDYDDDDDYRGLAGVDEALAISPRRRRRVGGGAG AGGGGGGGGGDDYMRGGTVVPPAPPTVPHGYEAPGVPHGFDRSTRTAADYISDVSKAR GVRGSSMERRLADRFSPQRGVPHPQLQPLHHMPPHIHQQHPPSPLSPLMPMGGPHGHG HGPLPPHVPMMPPPVPAVPTLMRRHTGDEDAFNMGRGARAPERAGPGRSSRHEYPEEA MMHAPMTHRRRHSDDGVTPRSTMAGLTGAGRGMNRVYEWRNYVEPGLPGSAEESMAPA PTPTRQRLHY
SAPIO_CDS7111	MSGHRILYEDSPTTIYWDATRPTAPPPRTATPPSPARSPSAFTV SPLSTRGPVLPSVRRKPLEQRRPNQSTAGYYEDPEREAIELREAAAAGVPFLNIEEAL YTEPVNRTSGNPWEERATQASREWGVLKEEGVRRTDTADTGVGTNDEESGLGVNWKPR YLTRRIVASFAAVFVVLVIIVEALAGVDRESSGLGYPNGGVSVLWTYGPPAILTVIAA LWSRVEFQTRRFLPWIHLAGEKSGPRDASETLLLDYNSTGMLKTLFLSAKRKHFLVTA SIITRILLILQIVLSTGFFAIQESRTEVDGVASVLRRFILHSQLRFGDNQPAVITVTA VEEKRLRVSPGVAHAMAVFFVISALLTSWMVFLVPTQGIAPRNPNSLASMATLLSNSP GILGRLRDVDHDNAGAVAGRFEGLFYTKVERYTTDPPHMARFIIRCVADRRPSSQKRR EAKQADAFAVRDTYSPLVLRPGTRMVTMALTIGLAVALWGTLSHSNKYRGLAEVGLTQ AQVAAWTIFPAAIFMSLTLYIWAVDSESRFTAPFLDIFRGSNFSRGMATTYMDEIAPV TLCKAARRKSWSVLVTTTAMLLVTFAPILAAGLFSPESVEQQIAVQIPPREVISGGVD VEVVNEASVVANSILSHYNTSYPAWIYEHVVISGSEPTSGDLSEEDRIQVSLPAVQVE LTCKRLMASSGELSDIECELLEPRGDELLRANNGTSSITSTIAKRYALIRAQTLSASS SPTALADEGQQERSRRAEVIHTPFSSLRLVQKPVPTYILGTLLTATFLLSVVGLWLEP KGVSLPARCCPGSVAGAAGMLDSWGVFERIPVGGEWMDEEQLEGLFMDG
SAPIO_CDS7112	MATTVRSIRSLIPLLDRVLVQRVKAETKTASGIFLPESSVKELN EAKVLAVGPGLLGKDGKRLPMGVAAGDRVLIPQFGGSPVKVGEEEYQLFRDSEILAKI NE
SAPIO_CDS7113	MSVPYPRTSDDAPRVARAQEPPAGPHDQHDQHAVHFAPTVEEIS PAVVENNLPPAIVSAPIQAPAPGQFDFCRDFPKERRLSHFHFEPVSLPASRTTSVEGS PTKAHHEEMISSVNAVNRPHQAMQSPPLTPAATAGYPDFPDKQLSPNSERVHELREPQ MITPQHSSSVEEPPRQSNERMVTIRPKPQPRQSYGSHPSGDTSEDHKAHRKGIFSLGG DAAGGLASASRDVSPSRASELYSRPVTPSAGEVDGPYAAKNRRPQAQHASKRSVSPRF RFKDRTESRSASSCSHAHHGSEKRQHGPARSSLKAPGDDATRTDTTRSFGKDGKTGSF ATLKRFFKRAVSGESNKRATSPTARSSKKAGRKSPSPLGGETAPFSDDHLLESKYGKI DRVLGSGAGGSVMLMKRDDGRAFAVKEFRQRQARESKRRYTKKITAEFCVASALHHSN IIETMDIVEVRDRWFQVMEFAPYDLFSIVMSGKMSREEVTCCWLQLLSGVTYLHSCGV AHRDLKLDNVVVTNGGIMKIIDFGSAHVFQYPHETAIHYAEGVVGSDPYMAPEVYDPH TKAYDPRLSDIWSLGIIFCCMTLKRFPWRIPAVTQDKSFRLFASAPSAGHDPKHLLLE SKSAVSLSKLKLSSPDSNPASPDSQDRGGREREGGGANGEAKQRSQSQGAEATERREI ISGPWRILRQLPRESRNIIWRMLEVDPKKRAKMEEIIRDPWVADTVICRETPLGQVIQ APGHKHTLIVAAPPSNGQDAAKKNAV
SAPIO_CDS7114	MSKGKGGVDQLVKLIVGAGQASPSPPIGPALGSRGVKSMDFCKE FNARTAHITTGTPMPCRVTVRPDRSFTFDIRTPQTSWLLLNAANVPEINGRRKGASNP GKETVGTISLKHVYEIAKIKQTELRLSGLSTEGMCKSIIWQAKSIGIEVIP
SAPIO_CDS7118	MYPDWPESDADLVPLPLCDGPKLKPFDFQGPQKIEFLDYLGEGL HAHVLKVKILGQIYALKLFRFGYDEDWLGNGPYIDPDNLEAMSAFYEYSEPFSCECRA FGRLQEDGHEELAARCYGYVLLDEEHERVVMDQFSHLEINFNGNIQYSGYEDLRSRFV GKGVDRAPPIRGIVKEFGPTEEDLRTREVRRMLKDVIRLQQLGIIYIDVGHRQLVGGK FCDFSTAITVPHYITTPELNPRLGPEWIPALEFETFQFSINDYWQFDEMVREWNDEQE KRKTKKKKISVYAFPGGRGCRIKYNLRNFEFPPTTRYQAT
SAPIO_CDS7119	MTTLISGAAFGAALASSGVIDPAVIISQFRFEYFDMIQTFLAAT ATTSIVVAALDKLGYAKVPPRCASSLNIISRHDGNIIGGSLLGAGMALSASCPGSAIA AAALGLRPAYYALGGATVGGILYAGVLGPIIARRRQSLAAKTTAEGGPPAPPPTIYER AHVSKFATLLVLEAFYGAVVAAAYFYAPASPYKPLVAPALGGVLIGSTQLLSLLLRKC LVGVSSVYEDIGKYFSWFVKGASGPAPTSYTSLIFAAGLSAGVYALRVAYPSVVPAPD PRVSNLQAALGGFLFALGARIAGGCTSGHGISGISLLSTSSFITIASTFAAGGAVARA VY
SAPIO_CDS7120	MARRNISSHSAFEDQIGYSRAVVTHPWVFVSGTTGYDYATNTLP PSITEQTKNTISTITSTLQSAGASTRDIVRVRYILPDRADFPSIWPLLREWLGEAKPA ATMIQAGLMEEEMKIEIEVTAMIGSGGEAQVGGAVPP
SAPIO_CDS7121	MENTTTHSSTSESISSSEGTTNNTPSPNEAKDLALTGEVSHVPD QLQPVSTLSPKQDSAEPESGLTKNEHIEVETPQGNSVSPKTEDAGTSKDDPNPITEDM YLDLEDEDAKFERATIDDKSYVTNWTVDENSLARMPAAPQPARIKTRLLLHQLQALHW MQGKEHPELLEDDSDVIQFWEPKKGGGYTHIITGAVVDDAPPLFSGGILADDMGLGKT LEMIALILAEPAEQPTLVVAPLGVMSNWESQVARHTSPDHKVQVVRYHGKGCEATIED LESSAIVITSYSILAKETKLRKVAWRRVILDEGHFIRNPGTQTAKAACKLEANSYWVC TGTPITKRMNFVNLRLPPKTEEVVRIEFTPGEYQMYRKLLASARKVAAHFFRTGNYEV WRTLFERILRLRQMCDHLSLCKTKIEKDLKKELLKLVSKKDPSASDLQEALRLSVEAN GTCASCQGALELHRRPVMASCLHVFCELCLQETMKVALRCPSCKKSFDKTRVIRLDVE RASATSEAVMKGHSSKTKALLSILEKRLAQKGSKIVIFSQWTSFLDIVARHLLRNNIQ YSRIDGTMSTGERDKGVQSLKRDKNVKVMLASLRVAGVGIDLTAADTAILADTWWAPA VEEQAIDRVHRLGQKRSVQVFRLVMKNAIEEKILMKQDTKRELAGTALQEDFKRLMSA DDVKRMVKILLEEPKPELGDSNSSPQPNSQPGSSNLSPQAQA
SAPIO_CDS7122	MIQYPSTIIPTDKILTEQTAGLVRTSRRAKGSGSSRFDKLVQDL KDALGPSSGLTSDDVDVEHLTRLFEQYDPADKGWRSYFFGDASRDYTRNLVDEGNGKS NLLVLVWTPGKSSPIHDHGNAHCLVKILKGNLTETRYEFPKESEEGKPMTVISERVHS ENAVAYMSDELGVHRMSNTGSDFAVSLHLYTPPNVAKGGCNIFDLGTSKKRHIKKCGY YSAYGELVCE
SAPIO_CDS7124	MAPTRPATTGYERLAQADRFSDDSDDDDLAQSFSSLQPPRAQYS SSQYARSDRGAGRSGYRNRRGSNAGVDLKAINARLEKWADEIASKFKRTRATTPGEEE RLEIHHSVFRSPEGIRPVTPGELAEYQAAMSKQEFEEIVDSVRTAIEQGVHPRMISQG SSGSYFARNTSGKVVGVFKPKDEEPYAAGNPKWNKWIHRNLFPCCFGRACLIPNLSYV SEAAAYTLDCQLQTNLVPYTDVVYLSSKSFHYPFWDRHSFYRKKKPLPSKPGSFQVFL KGFKDANVFLREHPWPDQYLSGFRTNDSHRKRRRRWADNCRPSRSSPAGDSDSEGESA EALSPGPNHFVWTETLKQSFREELEKLVILDYIMRNTDRGLDNWMVKVDWETQQVSIT SEPIQMNLRDGEEEDSVGPRPYELRKVFQIDPDFKERMFAKQIAVMKGQAWNVVETLK TPDHGPLELTRRAKVCVWDDLVDVPVAVPMRVTSSEFRRGSTHRRDSIDEMDIGAVAS SAPTTVSDGDLLGVAASPPPADMPHPGRFELTPSGDDGRSPDEVSVNAAPNSKRPVPP RKADSESEPATGSRPRMSYQGPSRAALNMYAPPRHIRRYSHAALASKRTNSIAQQLYG DVLDEDDLEGDLGYAAAEGMEGIHRKVIVERLEMVKSKNPVFSCW
SAPIO_CDS7125	MTAEEEAKSAQATPKETTVEEPTDSDASRGRTKAREAPRASPTT TYRTGTGESSTLRGRTRWRSTSPLVSRNTSAQGTAEPKSVTLDGPILEEGKHKETEED QESN
SAPIO_CDS7126	MPSPKLPNGTDNRSTFSIPASSTPDSLMTPRASAWVHSLDLEPL PGSRPTPPSQTPISAPSLAALSDAYRDEPQGRSIFVPPTNHQTNGSASWSPAALLDPR RAGRPQPAPRRQGLGSSHSQPTLSAEPSSLFSTPGTSNVTDSTPANSTPSLTPRSDVP SDMTQQSFVFNFDSPEPSADQATPIATPSSTAPGMGNMIERMNNVQERAFVVPPSKKR RIDDVDASNGKKAVIKSGGGILGEAVRNQTPTHGVPALNSRAQTVDLTEGDDDVEVVQ SPQDEEVCYGMLNAKLVCFKVPSPKPGALSLFGPQWVPLVKIVLKRLSGDKTTRIQAY DHTREIIGNVDPDTSAGLATLLDTTMKIRTDCRIPSRQRKADEIPGAPISRAYPIDLV LYGPLKHAKSVGKHLSRYNLQLHSPKMVQNGIKVFNPHIQEYKPPPQRTYGGPQGSSS FAVPTLRTVEEVRNEVMSVFDSLADTQHLPEAPTPPSVQTPLLKHQRQGLYFMKCRES LETVEGTGGSIPSLWKRRMNEHLQMAYVNVITGQWATHPPETRGGILADMMGLGKTLS ILALIVNSLDESKKWGVKTPVQPKTPKPHGHGHGHGHDNSHNPPAQGQALGLTRLVRN TKTTLLVCPLSTITNWEEQIKQHIKPGAIKYYVYHGANRIKDLRKLSEFDLILTTYGS VSSELSGRKKKKQGPFPLEQLGWFRIVLDEAHMIREQSTLQFKAICRLQADRRWAVTG TPVQNRLDDLAALLAFIRLKPFDDRSKFVHYIVEPFKCCDPEIVPKLRILVDSVTLRR LKDKIDLPPRTDQIVKLNFSKEERYIYDLFAKNAQDRVRVLAGHGIEKALGGHTYIHI LRAILRLRLICAHGKDLLNEDDLAALQGMTAETAIDLDSDEEPDDTVSPLPERKAYEM FELMQETNNDSCISCQRKFGADDSDNIESEGQEDLMGYMNPCFHLICPSCVKSFKEQS TAAMQPPMTRGPCPACGTWVQVYLVELRRSKTNVEHDGGPAIKSKRQTGNGTKKTFEG YSGPSTKVRALVEDLLKSKAESDMHPDEPPIKSVVFSAWTSHLDLIQMGLDAASIVYT RLDGKMSRLARANAMDKFRDDRTVPVILVSIMAGGLGLNLTAGSNVYVMEPQYNPAAE AQAVDRVHRLGQKRPVRTVRYIMKNSFEEKMLELQEKKMKLASLSMDGRGKAIDKGEA ARQKLMDLRSLFK
SAPIO_CDS7127	MSAAARLSTHERRSSAHPDDRPRPRVNAQGSFKSDRSSDPRQAS YHQHQYSTSHKRSASGNPRPLSRTMEDRRTEERRTEIHTERAYVTHREQLATRTRSPE RKERVEKREAARHRASESRPKEQSKPEAPQAPWNPEATLIPHTSAPLACRISIPPLAS QAPQAQQPRPLGELTLEAQEAAIIEDLLFTFMGYEGQYIRFAKTYNPHEERDRLTGPA FRILPGLDPSLQDLTNSMLRLATYYSALEAFVDVQSREEFGAVNHALCAAVRKHLQDY LVLVAQLETQFLTNESFTVHALNVHTLSTRQMMLQLYSLAHELLKKNALLDDESDESS DSGDDFENILESLREGGELAPGNMTGKKICKGGVVLGMISRRLETMSGDPSAKALLTA LLRDASKPYMVMLNEWLHHGGINDPHSEFLIKEQKSIKRERLEQDYTDEYWERRYTIR DNDVPPQLEGVKDKVLLAGKYLNVVRECGGVDVSKEVKDVPTSFDDSRFLENVSNAYA HANESLMQLLLTTHALPDRLRSLKHYFFLDPSDYFSYFLELGASELKKPVKSVNTGKL QSLLDLVLRQPGSAVSLDPFKEDVKVEMNEITLIKSLQRVVNITGIEQGETLAPVTSN QPIENDKNAIGFTSLQLDYAVPFPVSLVISRKTVWRYQALFRYLLSLRYLESQLSTTW QTQIRGVTWAHRSSYAKLETWKRRVWTLRARMLVFVQQLLYFCTAEVIEPNWQKFMSR LKATDGGDAGKMGAAEGLTRTVDELMQDHVDFLDTCLKECMLTNSKLLRIHSKLMQTC TIFAAYTNWLSRELEKTDPDLSAPHLRPPNMTLPEWRRLQAHRASQPPARNASDPSSA SSSFSEANSSNNNPDKRVAELFEIMRKWEGNFSRHLQILLDALNHYAATETVVLLSLC ARLSAANQGTEYAGLRSEVEAA
SAPIO_CDS7128	MFMARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVSTSE GVILGVEKRVTSTLLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVECQSHAFN YNESLRVESCTQAICDLALRFGEGADGEDRVMSRPFGVALLIAGIDEDGPQLFHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHKSLTLTDAETLVLKTLKQVMEEKLDAKNVQL ASVTKEKGFRIYTDEEMAEVVQRLPAN
SAPIO_CDS7129	MDPPELVSESSSTLDGRPPSAGGNEEGNDDPNRKKPAVRKRTKT GCITCRKRRIKCDEGRPTCGNCIKSKRRCDGYNQRVVFKEPMGAFGGTMGYPPDSPDP FPNQLASSPTRSGAPGHNSHQLIAPKPSSSAELRGQAYYGVGVGGSSAARAEEFNFNE PYVHHGITSTPSEFSPSTQLPPGVDQSVAFQWNPRNVGSRTSGSAPAGSNSRGMALAS GDTREGIDRAYDADIRIDDGSEDEDMFESDDQEMEEDSGGEFEMENMDPQEKLRKRST FGALIDGRLVPHNEIHETRVRTFSAYAQGTTLSTYAPSVGHVLPTDPQSIAIFRHFIF VTGPKQLVPQVNQNIWTYTFPMLSLNHPALLQAMLALGALQIANLQRMPPTAAMKHYH LALRKIAKCVRSSTLRAHPATLAATLLLGYFEVWSSDHTKWCRHLYGARILFRDVPFL HMTRTILPTKRARRQKYEEDRTQDPFNPWLDYSDVNAGVAGLDEVDVEFLSAITGREL NDEDYGYGFDLDALPRAALPTDRDIEYYEHLRDLFWWNCKMDVYQSILGATRLFMRLD EWLPCPPRAPVGRLDAIYGTFDHILLLMARLSEFVSNDLPRKRKANKPPGPPGASPPM FPGMVPSRGKVAAPTGFSTHRDPSPQSEGDEDGDLDAATQAALAEWEGIRQAFEVFRS RLGPEFQPLADEYSDRRDTPFGPAIQYRTYSVAGIWMNFNMGLICLYRAHPSMPPAAM MAAGRAARDTAPMARDIGRIAAGLSDEISNITEISTLVGAAFIESSFCLFVAGVQYQD SNQRHWLIHRLHDISRLTGWASARQIAEGCEASWVRAAHMGCGPPYMRSEDLMTAAPR TVWSNPRRIDKRIQELADGGEMVLAKVDRAHLALGLLGLDGDLDRLKIVDDD
SAPIO_CDS7131	MCASLHFWRITLNITNPEVDEQDQLLTLDVFPDMTVATLRDSIH RETHIDPASQHLYHNGNLIGDPSRTLEQLQIRDNDMLALHVRDMRGTTGLPETRRPAR RRAGQPDPEVVRLRILGSPTARQELQRQNPELAAALEDPQRFAQIINDHHAREERERF ERQQLIQGLNDDPFDIEKQRRIEEMIRQERVMENLQNAMEYNPEVFGTVHMLYVNVEI NGHTVKALVDSGAQATVMSPSCAEACGIMRLVDRRFSGIARGVGTANIIGRVHLFQIK IGSLFLPCSFTVMEGKAVDLLLGLDMLKRHQAVLDLAKDKLIIQGHEVPFLGPADIPK DQEEAFVQEPTIPGPAGTRIGQKSGAVKGPDGQEEVAQGTAGPATSTGPSQPAPQPAP QAPPAGPAQAKFSEDDINKLVMLGFSREAAVNALHATDGNVEVAAGLLFGA
SAPIO_CDS7132	MAQQCFEEVLKSLPESKIKIKGLELRFRPLGVSDEPACSVVETA FENPDHRCTPEKVGVISCMDMVPISDTFTYRLTKYSKVSCGLFAVIDPHHSGPLSEID QPTLAKLTCNDDTDAKKLALVAHAVSTIGNSPVVTDADMAYPKDWRNAKTNGTSHTEH GRIRTICLHSLAILPQFQGFGLGPLLMKTYVRYIEESKLADRVALLCEDRLIPFYQKL GFELLGPSAAQFGGGGWYDMVLEYNKEEVTA
SAPIO_CDS7134	MRTSFKDIPPVPTAQEFIDITLSRTQRRLPTQIRPGFKISRIRA FYTRKVKFTQETLSERLGEIIEAFPRLNDVHPFHKDLFNTLYDADHFRIALGQLSTAK HLVETVSRDYVRLIKYAQSLFQCKQLKRAALGRMATIVKKLKEPLLYLDQVRQHLGRL PAIDPNTRTLLICGFPNTGKSSFLKSITRADVDVQPYAFTTKSLFVGHFDHSYLRFCA IDTPGILDHPLEEMNTIEMQSITAIAHLRAAILYFMDLSEQCGYPVSAQIALFKSIRP LFANKIVFIAINKIDVTKPEDLSPELQEEIQGLVKAGEVADILQLSCNTQEGVQEAKN AACERLIAERVSQKLKAGTSSSGAIGGRLAEVMARIHVAQPMGGATRETFIPEAVKGL KKYDKNDPERRVLARDIEAENGGAGVYNVDLKKDYLLENPEWKYDKIPEIFDGKNVAD YIDPDIEAKLAELEAEEERLEAEGYYDDDEELTDEEDRKVLEDAGKIREKIALIRNDA RLKKRLKNRPVMPRSKTKKMLSEMDEALDVLGVDTSRFVPAMQETLPRRGRSMTRSRL GTEDAMDVDTPRSQSQSAKERIRAMSRARSQPRMNRQEDGVTDETARSRAERLAKLNQ KKMNRMARQGEADRHETASLAKHLFSGKRGIGKTNRR
SAPIO_CDS7135	MDEIAKEYDVIVLGTGLTECILSGVLSVKGKKVLHIDRNDHYGG EAASLNLEALYKKYGNYQKGQEPWTKYGRVNDWNIDLVPKFLMSSGELTNILVSTDVT RYLEFKQVAGSFVQQGAGSKATVAKVPSDAAEALRSPLMGIFEKRRMKSFIEWIGTFD IKDPATHKGLDINKCTMKDVYDKFGLEAGTRDFIGHAMALYPTDGEYIDVPGKAPEAI ERIRLYGNSVARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTHVDEILYEGDK AVGIKATMAGADGMSFETKSKMILGDPSYFPGKVKVVGHVLRAICILNHPLAGTSDSD SCQLIIPQHQVGRKNDIYIATVSSSHNVCPKGYWIAIVSTIAETSANHHLELQPGLER LGKIEEQFMGPPIPIYEPLEDGSKDHIYISKSYDASSHFETTTDDVQDIYRRCAGEEL VVEGLREGINVEE
SAPIO_CDS7136	MASLIATKPCIGRLLRPRELQLGATAITQPSVFAATRTFATTAP QCKRTKHSKTRDNNRFRGVSALRRTGLREPVSVSNVPLPKPVEFLPKIEVDPNHGLYA FFAGPDKLMNTPAEDREHGRAWSVEELRRKSWDDLQRLWWVCCRERNRISTADKERKR ANMGFGAAESKNRDDAVKQTMKAIKHVLTERYYLWEDAYEIAKNDPEINLTGDGDVYV PLEDQEFFEEEEARAKSEAPKSAPA
SAPIO_CDS7137	MEGPHYSPDGLTSSTSATAYPSPSTRSSTAQPEQQYHPQVLPPI IDQSKNSHAWKIMYGGNHPQPPHGQATPGAPAPGSNLPSYHQQTTQPSARGPAYAMSG PPSYPSPHAFSMPQTTQALSHPQPIAPAPPAGRGAPVLRPMPGVMNQQGMMGNPMMQQ GPGLQEGDQPTHVVGSQGRRGILPSAPGRVPVGQVNSKGQITPVKDADGKFPCPHCTK TYLHAKHLKRHLLRHTGDRPYRCVLCNDTFSRSDILKRHFQKCSIRRGNPAGVTHLSL PQAHVKKSAPVQKAPAPVGMEGDLNHLNGGLGNLHGDSMSHGYPMVPVPDGLPNLTND QGQLQRSGNMSRLENNGNQDRQGMGGAVMGSAPSRGGYEQHYASNVAGSMASQQMPSY NMPPGQNGMPMYAGGSNSNQQVDWSQVFPTGAQDSYANAFPPNIGQTQIPVKQEHNVE NDRTDGVPGVNPTDSLFFANYMPPTTQNPYVLISNQILAYFQSSGSAYPTHPDLNLAH SFFSPENIRDFLDKYTHFHIHFPLIHVPSIRITELNTGLLAGLCCVGACYSDRVSPDH VRDVMDLVRPAFEQQSKLLAKDWHQQTNYPTTLSKEDVEEIQTTILLSALHVWHGTPL QREWGQRTYALLALIVRSAGLLRITPDSTPYSPLHQPGFSPQNFDVSSFDWSAWVEQE KKVRVVHIIFLADTAMSLYFNMTPKLDPFELNIPLPSDDGAWDARTDVECATALGLYG PEAARERSADGTHRAKQPEANLALQALLHGSYQIQPGRTNLYGKFVLIHAILSIIRKA LVDQSAAAMVKEMGTPPPHDWMVRGSDSSNGRATPVEGAGQQIPLQTRQALSTALDKF KANWDADMVTQFPPQLQVKNPRRYGFSRDGIHFYWLAKYMLKHTRPSDLQLPPDDRFA QVMQLLRSVKTWVMTDGASRGEALGSVGDINKDYGVSDRTLNMAQLFTPLPKVVEDPR IPSVKTEIDTGII
SAPIO_CDS7138	MLFQAYKNLSSTMRIGVGVGIIAWGAIGLYLSDRAEERYGLTPS EADKAALEKVTPKILVVDRPKGE
SAPIO_CDS7139	MSAIYTQFAVEFIEKAEEVLAAYEIEGNDDCDVEIDFVTRSVLG LPHTAKPTLTIVAPWEESKKHSWEAVVSAVKSYIDNRLADSTFDDHPGPIDVCVEMMA PELTRRKFLGPVEDNANLESDWPAIQDKVYSILESFSQTRGFYVSLDYDADEVSWPPV AREIQAYLDGLEHGLVVHMEHNILKGFAFELLEPRNSHRGLLIPDDYKKGVGLGGDIS ASTYLTRDDQMRCNPILGTLGCYIEVKTRSSSEWVRLGLTNYHVIRPCLEGFKLTAAS GTEPFPHGGITAPGGGTIDSSLTASAIADPVRESQLWRADMSGFTPKTVPESLPLESP ARIKHNYTVHDDASIIQEESMMPLSAITSDADQTEKMAEMRATRNGTLRPQLDASLWK MRCGQKVFKVGARTNATVGYYSRYKPKCTLDHDKYMKVKPSSEHVIIGHLFGDGSPQA FADNGDSGAVVFDTFGQMVGLLLTGQAPQGTRGQGYALVTPIEEVFEDIKAMSNGEIT DIRVSNW
SAPIO_CDS7140	MHRVSALLSWDKRKSAQKLPNPLNRLSTPTSTTTTTTTTTKVTK EDFWPGSLDQECEKAARILKSFCTDGCLAPLTDDDSTTASEPKSPLRITKRIPKRIVQ NAAGIAIFTCMRSGLWMTGSGGSGILIARKADGTWSPPSALLLHTPTLSFIMGVDIYD CVLVINNLSALESIVQPQVTLGEDVGLLCGPMVPHGSSEEEIKWKDLGNTVFTYLKSR GQIQPVNLNGCMLVERGNENERFYGGSITMMDILAGNVSKDVEESRPLSEVIKQAEGR SDYDAALIEQVSVHCAPGDAVIETPKPTPPVSPRQPFGIPSPDDPDPFGVLALEMAGL EIREAGTRLRPASSQFEYVPSPTSPLFPRFSKRQSIDTMATRSNRGSCLSVRTENTHT TDACTQTETSNTPHTTPSLAHSDDSRDRASLEKMRGLENVIEEEVDYTKIDFSPIRQF SNQHSLDGMTMIDSSTSTDDDQHTDRDSTRYDETVVTADVPLPIETVEEDDDGDDADD EDDELDDDDDEPVVVFEVASAVQPVRTAVVSSQVVQAKGSIVTIPKRIPPPLPLRNPA RSSQAKSDLGDVSGLMSPARSSFASDERVSVASEPTIATIAQEKPKVETLQVTPAVEF KHLAPRDIPTPVSLDSEDNASFKSLADTQSTNVAESAEEHGSNTQQTTVDMASAATEV APAATVVTVA
SAPIO_CDS7141	MSGSAAKWKEEQILVICPGSKTTMAQLGCNELTPPVHRIPTRMF RDPEDGGWRPYHTFKRKKAGAGKKQQQQAEGEVQQVQQNGEAVEDDEWEWVEDQDSSE GAVYPMQAGRIVNMPAFLAFLDHIHSMLTTTYHNTPIVLMASPQWTRDCIEEITRYIF EKTKTPSLCLIHSALATQYGLRWPNMTVVDIGFEKVDVTCIYDGSVVNHMDIGVPYGK VQGIQSGGEVFTQKLLGLLRDKGFNYDMAEQLKKSGICEVLAYNPDVPPNYMELPKEN ADPAGGAALGAGNDPVKVAAEAARVPLMLDEEDAEERGVDSDGVLDVASIVTSGQTKE FLAKKEKEKGKGGRKGKDKEGADGQNARTGRLPNAKRMRNVFHYEEVIQEEVPKPKPA PLPAAAPTSTTAATTENGVEKPTEATEGGENKPAAPEGAAKPEGEDAMKVDAAPEPAP APKDEGPVETELRAKLVRRDIEVGLERFTFAEREQIDRITSLIWKTVQGIPDMFMRPA CWDHIVIVGNGSRIRGLRENIIQTLTARHLISPSSATIFTSELPSNMATPTGTGSQTP TGSFTGTPHQLPTSGVNPLLQAATTATLNAGLLAGPGAPASEVGGASSGTSHRFHSQT PTSIKLAPLPTYLSEWSKNGYEEAMFLGSQVAGRIAFCLHNLDGPNTEAQKKMSLSRV EYNELGPKGVRKHSMLA
SAPIO_CDS7142	MSAKSSSVDAFPDGTTDYKPLRAAGIKKESGPKKPHISELPMTW SNWYQHVNWLNTTFVVFIPMAGLIASYWVPLQLNTAIFTLIYYFNSGLGITAGYHRLW AHKSYQACLPLKIYLAAVGSAAVEGSIRWWSRDHRAHHRYTDTDKDPYSVRKGLLYSH MGWMIFKQDPKRIGRTDITDLNECPVVVWQHKHFLKCTLVMAVIFPTVFCGLMFNDWL GGFVYGAILRIFIVQQATFCINSLAHWIGDQPFDDRNSPRDNVITALVTLGEGYHNFH HEFPSDYRNAIEWYQYDPTKWHIWVWKQLGLAYDLKQFRANEIEKGRVQQLQKKLDQK RATLDWGVPLEQLPVVDWDDFVADCKERGKKLTAIAGVIHDIGDFINDHPGGKALINS AIGKDATAVFNGGVYDHTNAAHNLLSTMRVGVLRGGCEVEVWKRAQFENKDMSYISDS TGQRIIRAGLQVTRVPQPVAAADAA
SAPIO_CDS7143	MAQTDIPSSTPPPGTTNFLRRLLFGYGNNTTATSSDPKKSEDDA PQRTGLIRRVSRKVVPGLPRMQTFKRQQSEKRTNLAPVQPTPAERRALSVDRRAVLSR TTSRISRTATETLPRSSAPDFLEPPRCSQDFSTSEVVNPHDSGWQSDSAEEFCRLDVE SQTDPNIDLDPNPEFEENDEKSQDPWAHETESITASQIQEELETTWILNLSMHFRDKS NREKFFVTYREQCETEVVWRRVTISLDYRKAPEDSLEMELTKTKFQRDKSAKIYEAIR DSLSAIRFYDTVTNLKLQTTDGRLHVHVAEDLNEIISYPPVREVQHLRCRRIRERDIA FDSHMSGFVYKVHVGGQVLIKKEIPGPDTINEFIYEINALYSLQYSSSVITFFGVVVD NKDEHVKGLLISYAERGSLMDILYDHRPNNEQGLPRLPFTTRATWAKQVVQGLADIHE SGFVQGDFTLSNIVIDSGGNAKIIDINRRGCPVGWEPPEARPLLKSSQGISMYIGVKS DLYQLGMVLWALAMEDDEPEKYGPRLALEPDVDVPSWYRRLVEICLSDEPRMRLQAAS LLCLFPSGLSSGSVRHMARSPSQYGSHLRNGYPSEGARTNGIPEIRAVSPSDRWTYTS FMHPDDASFAGYDAPYGYPPRGRSPPSPLPSNFGQYDMHRAHGGDSRSRSRSANVASY SDDAGWDANAEDAQSIDLARKEDEMSKLSDACAESLVARDMDEYAGSERGGEVEKEER EKEATSPRVVDTGDADDDGSTPQQKFIVLEARNKDDAADATIDVQETTNTDPANAVNR DVEAGPTEHVDDMEGGQILANGLGILSVAGITEPKGQIDSGTKEMTTASESDMHEKSG ESAGLTEPGEPPKVHGREAAAVISADDDESGVRNGVNTDTDTLAAKAPTQHSSHDKEV SQRSIQIQTLPCRSSEEEVLLILEGVGADRHAVRDMSGFPTSLDDRDLVFTPPGMSTT MQGLEEIPVSAVVDVKDG
SAPIO_CDS7144	MLMRSQLSRQCLRQSIVYPQARISRAHATRLLTRANPRSSGFVL NSPHTYAHAQQLAAFSTSSARRKGDKDGDRDFFDKSVEPANEDEGQVKPDESKAAEES TAQETKKSKSSKDDELAASTKNENGPKDGKSSSAGSSGSGSTGDGSGDGGGKKGKKGG ERGLQKPVVPDVYPQVLALPITKRPLFPGFYKAITVKDPHVANAITEMIKRGQPYVGT FLFKDEAKDDDVIRNVDDVYDVGVFAQITSAFPIHGQDGGITAILYPHRRIRLSSLIP PTTTTDAKKADGTAATTVPEPIPQKQAETEEPKGDVVASFEESAIIPKPDPNQPYEPT SFLKKYPVSLVNVENLSEEPYDPKSPFVRAVTNEIVNVFKEVASINNLFRDQISTFSM SQTGNVTADPAKLADFAAAVSSGETAELQEILASLDVEDRMHKALTLLKKELMNAQLQ SKISKDVENKITKRQREYWLMEQMKGIRRELGLETDGKDKLIEKFKERARKLAMPEAV RKVFDEEINKLAHLETAASEFNVTRNYLDWLTQIPWGQRSVENFGIKHARTVLDEDHY GLKDVKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIARALNREYYRFSVG GLTDVAEIKGHRRTYVGALPGRVIQALKKCQTENPLILIDEVDKIGRGYQGDPSSALL ELLDPEQNGSFLDHYMDVPVDLSKVLFVCTANMTDTIPRPLLDRMELITLSGYVADEK MAIAQRYLAPAAKEAAGLKEADVQLTDDAIHELIKSYCRESGVRNLKKQIEKVYRKAA LKIVQDIPESQLEEAQPEGASAAAETSSEDTAASETASETSQSSQESDESASSTSSAP EEEGTAKTAEAPRALKVPDTVHVSIGADGLSEYIGPPIFTSDRLYDISPPGVSMGLAW TQLGGAAMYVESILQTPLRPHTRPDLEITGNLKNVMKESSSIAYSFAKSFMVKEFPDN HFFQKAKVHLHVPEGAVRKDGPSAGITMATSLLSLALNEPIVPTIAMTGELTLTGKVL RIGGLREKTVAARRAGCKMVIFPKDNLSDWLELPENIKEGIEGKPVGWYSEVFDLVFP NIDKELANTCKICEWAREKKKNKKDEAEEED
SAPIO_CDS7146	MDRLTQLQDAVDQLALQFVASIHFAHKRHDLETLGPNDKIRKVK QEEEKEVDPLPEDDFRAGLLELSRDLILKEQQIEVLISTLPGLDSSERDQEQCIKELE EELKVAEEQRQDALREKERVVGQLDRILKSVRRP
SAPIO_CDS7147	MATNHNHTNGDVATSAKPVFFFDIDNCLYSKSEDPLSYDSLLAW LADFSVGRRVHDRMARLIDQFFQTHLNLPEEEAIRLHREYYKNYGLAIEGLVRHHQID PLEYNSKVDDALVLEDVITPDPELRSLLEDIDKSKVTMWLFTNAHINHGKRVVKLLGV DDLFDGLTYCDYSQPRLVCKPSREMYEKGMREAGVSRVEDCYFVDDSYLNCCKAAEFG WSVVHLVEEGTPIPEKPACNCQIQTLQELRDVYPQFFKSKNPPA
SAPIO_CDS7149	MNKLSNMRQWSERMSPNFAIGGRPNPNIPVNPLNPHTKAPPIPQ GPPIASPQGDATIHYSFNVPFASDLAGPNTEDILHATTDAVLRWTHPEDAPDDVPVHD LPIHAQNLANLMRLCRDLSAGPLPVEAHVVTTTPKNAKGQVTTVCLSGSPELVQKSRE TILNDTPISLRCTHIDIDGKLVCDLAAGVLKKPVIEDLDKISAYCGVDIFLLGPKLTP MVDGMAGDGEARVDQRWRIAIYGDVLAAEHAKARVLIQIDRLLGRIVDAVQLELSVHQ IICGRHRKSIKLIESATGTAIYFPPPFSQMYRYCPPNACRRDPNDIFITGENQQAIEM AKQRLHDAALRVRVFVKDVQITPAKIDSILLTRLDKVRKIMEANAAYIMFPPLANQRN MVRVQGLDVLHVERAIREIMALAGQFYSASWLIQHADPRQQPPSPADIRNILGDICAN SDAEISFDKHSFNLTGSDDAVKAALAVMSEIKFIVQSQYQIRVKIELASEHKEFVSGK KNGKINKIMGSSNVQIIFDGFNEYNFNIDVMAVNYEFMKRGLSMVEQEMPASISFHVP DQYHKRIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGMSREDDDIKVDNVICRTPARNA QNLDAVKNEILEMVDRADSEYTSQTVNIDRLYHRQLLARLGDISDLESKYNCKIHFPS TEDASDEVKIDGPQWQVPHCVDELLGMVPDNHELVLARSTDLIKFLESPDFAHELVPK FKTQHEVEVTVHQNPDERREDGLPTITLMWSFTRNNAGGLRDAMDFLQAQFATAGVEV NIVKGSILRPKSDSFEDSLQYFNSRLLQHAPAPIKTDSPVKPAFGEEVARERSTIFDR LRKPGSMTSISSFLDRRKNSSTSAAGFFKGSSNVSKSSLISIESARSFNADRNPWNDS GVNLPEEDHNIWGPPQHIGNGSKLMPHMPQPGDATPRHGTRASGDSGRPSTSHSTNSG YPGPIGPYR
SAPIO_CDS7152	MPPRKKARGGASQSVSTPNTTKSDDAMDIDTPKSETPSKATAAG KGTTTVDIHNDMWTDDQLSSLFKGVIRWKPAGMHKHFRMIAISEHLRNHGFDPDIHQH TRIPRIWERLRAHYNLDIINDRENFDDDAAEDKYLEFSLGRQFHELIEERVLRGSSSE MSSEESVEEEEEEEEEGTEEPESEKEKEKEPPAKPGRRSKRGSERLRSGRSSTIDDSE DVTETESPAPKAARTARGRGRGRGRGGRGGRVSVSKQASEVEESSEEEEEEESGSEEE EESAEEATPARSTRGARGGRGRGGSRGRGRGRGRGRS
SAPIO_CDS7153	MAVDDEAADIFAIPDFWKSSSWLAGDGPTSSFFSLDLREIDSRV KVDPILTDDEGFFRQPAFASESQQDSTLNDEPTTEEESEHCFSEGSDSILDLWLLDEP REKVPEYKSWDTFGAQDAPRLQALYVTEAGPNVYDALLGGEEDPLDLKNGEHAVVNTK TYFSALMAVAVGRESVLFTYDAKVFKPVLPKMRISGYSGDVLRGIQDMSVGCGAMFRR LRKLVDSTYTKHPTPVRVALASALDTVLGVIEATIAVEGRQARSLLQLQLKIRGIFSI LREFDGLVGGLRSSHTDDAILSLVFRRAMAAEYKDVHVRDTMREVLQRVSRPWLDFME EWIGTRPETGIRLTKNDVGLRKCFVKVDSEMYVDDFGQEVEDVDFRLDRESVPEFIPD DILDAIFETGKNLRFIKASHPNHPLARQENVSTNDPPRNSWLYDWDSILRLENRVADY QASLASTLSRLRAENDDQQRQDNLTSLSGQEGFELRVFGADASQIEHLILSSIQELGE PLRENVKEDKLKVIVKKRLSGGQQNEYEDRGLDFSPHWSLLPALSFGPIVMAHARIVN RESLRLLFTAHDLRGHLRVQRQFHLFGNGMFCSRLSHALFDPDLETAERQPGVARQGG VMGLRLSGRDNWPPASSELRLALMGILAESYASEKNAEPHGGGSLEGPQDLPGDLSFS VRDMSPENVDKCMDQDALEALDFLRLSYKPPPALASIFTPVILVQYDRVFKVMLRVLR LVYVINQLFQDINSRTCRWYNPSNAAVRFCFEARHFVHSIASYFVDVGISKTWRAFEF WLDKVEKNVVGDDDDRNDEKGTRHSPERLRLCHSLILDHIMHALLLRKRQQPVLALLE EIFTSVLQFAKRVRTTLDDAEEGPEVGDLYGAFRKKVEVFITVCRGLAEKGDLGLRKE AEEVMDDVQDALGRELRENSNIGYLLMKLDMFNYYWKGTR
SAPIO_CDS7154	MAFRSSSSRPSISRRQSSSAANSTAFSSNESHAADLALSLQKLT LATSPSSAKSPRGSKPVTPFKGPSSTSLASPSPAANHPKLSPRSPSLGRASRSSTPSL VRKASTSSLRSPSVGPRPGQSLSRRASSVNLSASIAKSPLAMSDAPLPEPKPVLTANS IAKEFFVADLQSHEEDKSGQSTDALVIFHDSCYGHRFSRPNSTTNILSSIVERPERLK ACALGVAAAYVKLGERHADGRHALHPRLDPASIPNLPFRIRKVSRRLSLLSAAVVAVH GKEWMEELKSMCQSTRAKLAANELEVKRCNSNLRPGQEPKPQFNQGDLYLGPESLEAM EGALGAVCEAVDAVFTSGHRRCFVSIRPPGHHCSGDYPSGFCWVNNVHVGIAHAMMNH GLTHAAIVDFDLHHGDGSQAIAWSHNHRHTYGKGRGGLSQWKKSAIGYFSLHDINSFP CEMGNAEKVTNASLCIENAHGQNIWNIHLQPWDTEPEFWALYENRYSVLIRKAESFLK AQTAKLRNANKPAKSAIFISAGFDASEWESAGMQRHSVMVPTDFYARITRDVVEMASD PETATDGRIISVMEGGYSDRAICSGVFSHLSGMVATQTGNDAKYLNAVKEEIDEDVAP DCMAPHGLLSQSRKRTFSSSFSFDPAWWSSTALDKLERAVAAPTPFAKKPRHAVPPTY SSPTHASTAKAVDPINLRRSLSGLNGSFSRPPTPPPPEVPWTIATQELYRLLVPEDRP TESCTIEEIGSIVAQAKQDYPVSPPPEVAREIQLPTPPNGPTRAGLRDRRAKPIEAIH EEESVDKPTKGRRKTTAHGYGLPSSRAGTAEPPQPVRRSGRRASTASVLTTTAEDELA VASVANIKSRGLGESSSNANGGIPIARTGSAPFLQVKKPRAAPAPRKETTARAPRVGG RKSPTRGARKSPTTATKAAAGIVTPQGSTPEKPEIKISSSDNIQSSDEPGLDLITTGM NKVRITLVSKSQQDNQEKAKTASSTAAGPVKPTPRIRFIKNGQRSGSSSPETTQSLGG VSTPDTSPPNQKIPSMPVTSPIEERPMSASWESPDPLGVPLPSSPMNGLPGTPDKFVR YQPEGPTPVAVAMSEPVKFLPPNMATPTPMKRADLPSFTATSAIPFARPLNEPSGDDQ 
SAPIO_CDS7155	MAFTMHSHSGQFCPGHAKDALEEVIQQAIALGFKTIGLTEHMPR YSIEDLYPEELEPSPQASLAALAPRHEAYLVEASRLQLKYAPTLHVLIAFEGEFIRPS DAPHVLRLANPSLYPQIDYFIGSLHHVRGIPIDFDRATYLRARTSVLSEQQPRDEGKE DDEVFFEAYYDEQYEMLRTLKPRVVGHFDLVRLLSDHEQQAPEKRAASRDVRTWKGVW ERIVRNLRVVVESGAWLECNTSALRKGLSEPYPCRAIAEEYLSLGGKFTFSDDSHGIA QVATNYIRGLDYLESLGVSELWTFERTPHPGVDGGAKATLTEKSVSIAEFRGSLKV
SAPIO_CDS7157	MKITGLYFYPIKGLRGIPLTSAHLTPQGIKYDRRFMLWSLNEDG SLRTKIQVFSNPECALFEQQVVGLSDESDDDSDDDIPLTNGKAVEGDNKPAPTIIVRY ITPKKPLLPKHPAHDTPLTVPLRPDTTTLDPATIDMYRSSTKAYRMGDAYDAWFSACL GFPAALIYIGDGRRPQLGFLPLAPARKPKRSGAGLLLSFVDLVLGYISACLFYLLTFP LLFGYDEGGGKRRKDRVHENAQPPWITFADMAPFLVVSESSLADLSSRVGDSKPLEMH RFRPNIIIGSSSPSTDNHAISDDDLRPWDEDFWAELSVSGSPTLQLTGNCARCVSVNV DYETGRPTEGRRGAVLRTMAATRRVDKGKKWESIFGRYASLVTVPRRGLLGWWEGGGR EDPGKLRKIAVGDEVRVTRRNQERDVWDWPSLKG
SAPIO_CDS7158	MDPSNPPAAHPSAPSLDDQTPSQGPKSKLSTQPSSAGSLSSSQT APPPSTSASSALQATENQFQTPRQGEGDALLDPAPLAHSSSSQSLARLEPCIPSSMPA SIPESSMPEAEGGLVPSSAAQSAASLDDGDNSETPRLLASSSQDTFNYSQLPDPSMTP RSSVSEVDKRLSMSSLASFASTRGVAPSSAASANGSDAGAVPSRSKLTMKPSSKSSFS DAGAGVAATAASSPSSAHFPLQGGTSGPQRSPRDPSQQQPTTSSSSDRLNRRAPGQKS EPGQPRSATTRSRSRVQRRFSGSHITSSHSPASDRSPNQKEESKPAPYGVIGVCALDI KARSKPSRNILSRLIEQGEFDIVVFGDKVILDEEVENWPICDYLISFYSDGFPLDKAV AYVKARRPFCVNDVPMQRILWDRRLCLQLLDKVGVPTPPRVDVSRDGGPAILAPEVAK HVRDISGISLEPVKPAVIPPPKKVELLENGDVLSVDGVTLRKPFVEKPTSGEDHNIII YFPKSAGGGARKLFRKIGNKSSEFVPDLDVPRAITQPTESYIYEKFMQVDNAEDVKAY TVGPTYCHAETRKSPVVDGIVRRNTHGKEIRYVTALSPTEKDIASKISLAFGQRVCGF DFLRVGGKSYVIDVNGWSFVKDNNDYYDRCANILREMFLKERIRRGAPTPIPSPAASD FPDPSMSRSSMTSRDRDFARPTGSAGTAAGSKKSLDIRGAEPSQTTSSFTVLKAEPVV NHHKLLASTASIILSSHDMAGAGSISAAPSIISAGTSVPAETEHTHEEEQHQAPPPPK HSWKLKGMVSVIRHADRTPKQKSKFTFHTEPFIQLLKGHQEEVLLIGEPALASVKQAV DIAELEKKEDPAKLRALRAILKKKSGLAGTKVQIKPMFRKQSSKDTPTFDEIAPIAEG VESDVQHSQPESEADAKNHVKPRRNPKRQDSLSGVTMSRITAVENSLVLDKLQLIVKW GGEPTHAARYQAQELGENMRNDFKLLNKEVLDEVHVYSSSERRVTTSAQIWASAFLKK TDLGENFITVRKDLLDDSNAAKDEMDKVKKKLKGLLREGNERPPQFAWPDKTPEPSEV QKRVVQLMKFLRKAMQYNYRKLNSNTIQEAPLSRTSSWNSISTDKLESSGLSSSQSSS TSMNNIQPRWCCGEDSVLFQERWEKLFVEFCDAEKVDPSKISELYDTMKFDALHNRQF LEWVFTPTNAMLEEEYGIVKESTNQLKEQEDNSKTSEDSSARKVSTGASSSSSSADRA DKARGERYSNHNHNHQSGQSSERSEKQSMRRLFRRRSFLNGLRNYGDTAQPEQYFRLY KSNPLVVKQKSDARLEPLRELYQLAKILFDFICPQEYGISDREKLEIGLLTSLPLLKE VAQDLEDLQASDDAKSFVYFTKESHIYTLLNCIFEGGIETKISRSTIPELDYLSQICF ELYESETKAPEDAASPDDEPTFTYSIRITISPGCHVPDPLDVQLDSRHCISCAPRRSL TAHADWWEVIKTLRAKFNQVKLPKSFIAVNLSDAFTFEEQDDAEDEPEHVNGVTKKPE DDEPKAGSPEQAIEQQNQSQQTHDNADNGTKQEEASPANAAPQAAQQVGN
SAPIO_CDS7159	MPHSDHGGHLAKRQKRDSQLKAVHPSSSKKKASAIFAPFRTIGL VSPTSVPFTSVPLGKTTFQITTSVGRALQTYDLKRGLNLVFVTRPETPSDITATVAWK QKVLAAWGDSSQSGTQGVWIFQRGKKVDELEIPDDLDEPIRQILVFGSWIVASAVTRI EVWKSTTLEHYTTIRTVPAAEGGNEVTGGVTNMPTFLNKIFVGRKDGWVEIWNVSTGK LIYTIVPPIKNAGSVTCLQPSTALALLAVAYSNGPLVVHDVIHDRPLIELNAGTPTTP VCAITFRSDGMGAGQDGRKDGIMATATSATGDITFWDLNGGGRVSGILRSAHNPPSRA GSVIGGGISKIEFLYGQPVIVSSGLDNSLKTWIFDKTPFSPIPRILHTRSGHAAPVNC LQFLPSDFDGAEAGNKWLLSGGSDRSLWGWSMRRDGQSTELSQGNIRKKAKKYGILST SALAHGSTTTLEDIKAPEITCIASSLNRDGGIGALPGKQAIWTRASRKERARGVKHDA EVSRMTGWESVVTGHKGDSFARTWFWGRKRAGRWMFETGDGSSVTAVAISPCGSFALV GSAGGTMDMFNLQSGIHRLQFPSKLTPAQARQLKMQQLKQVDDVVHLQTKFASSFAPG TGRHTKAITGIIVDSTNKFVVSCSLDGKVKFWDFLTGNLMHEIDWAPMTAITGCRYHA PNDLIAFSCDDNSIRVVDVETRRTIREFLGFEKDVTDFCFSNDGRWIIAASDDCTLRV WDLPTSHLIDAIRFEHPCKAVAMSSSGEYLAACIEGQMGVGIWTNKSLFRHVPTRQIS AKEIAAAVVPTVSGEGNEGLLDAAFEEDAESVDEPAVIVPDVDQLSTEMTTLSLVPKS RWQTLLHLDLIKQRNKPKEAPKLPEKAPFFLPSLSGKGSGAPKQIEDAAKNEGSEESR SRILKVEMAARQEETFTTKLLAGAARGNYDDFIDHLKSLPPSAADLELRSLSADDPEK NELLYFIRALTSRLESRKDYELTQAWMTVFLRLHFDVVTEHESILEALRVWREVQERE SSRLDGLVGYCGGVVGFLRSPRT
SAPIO_CDS7161	MSRSTSVNRNLSATEELEKLEQSITLTLQEIDQNFSKAHRIVTT SILPLVEQYGEHSRAVWDASKFWKQFFEASANVSLSGYEELNNDETTIEESTAQHDST MNTTTTTASDVTATPADRTYNNEDSLLDDAELSGSTPRPPSTKTIKTQFADLRSPYEI MRREMRDEEEATEVLESEQGDDEDGEEDNSTLLFQQRTARLPDMSMTPRSSLPPQRKD IDQGKDPVFHRMLDKTYRLKSTPHKNAPPLSAQKHRAQSAWHDSPMSSPEIAMPKLRS EAFMSPYKGMVARQRLEAAAAGPRTPGVSVQTPTARKTRDVLGTRDSFAEEKRPKYEI DWESDSDDGVGGISPPKTIQFALPPSKLLQTPAREASKRIVDDILLTAGAAEGDTDEY SPTMVKMSRDVLDDTF
SAPIO_CDS7162	MEEVRDAPLESIHGLEFYNLPGPISARSLTQPQLIQIRSPSSRR RIKRHRNEKEIPPKGKKDIKNCAPDGPEGQSSKADSAMCNAGSQSAKSQLIQPDIYFA AGTNSSRIRLAHFTVHCDPPSHLLAQNLLADSLTMARHGKKRGGGGGFGKRRHHRDDP NNHKRYPEIVKSNEKLESHYNAILDMPDEERVEFWNALKRDLPNSFRFCGSKGHALAA RDLLETRYFPEIQKIEYEGKKVDLPQPVPWYPDELAWWMTTPKNVVRKFGPFSAFQKY LVSETSVGHITRQEVVSMIPPLLLDVRPGMTVLDLCAAPGSKSSQLLEMLHVGEEARV KKVLRAHATEDGLDLGPETEEEANADLSVDPSDAGRATGLLIANDADYKRCHMLTHQL KRLSSANLLITNHDATQYPSIKLPPLPDNPKRTVYLKFDRILADVPCSGDGTLRKNSN LWNNWVPGSALGLHLTQVRILVRALQMLKPGGRVVYSTCSMNPIENESVIAAAIDRCG GLEKVDIIDCSDQLPELKRKPGLKSWKVMDKSAQFWDSWAEIEEKMKEDPEFVAPGRL VETMFPRTGGEDLPLERCMRVYAHLQDTGGFFITVLEKKAEFKAKPENQSKPTREVAK ADEAEAAPVPETESHTESAVETPAETPVETPAESSQTNGKRPRVEDDNEQKDTDAKKP RIDGDDVQMGGTTEAKAQETAASTPSSEKPSRPANGERKDTSIKYEAPFVYLPSDHQA ITEIKKNYTLSDRFPLDRFLVRNENGEPAKAIYYSTSLAKDILTMNDGHGVKFINGGV KMFMKQDVPSPDVCRWRIQAEGMPILEGYVGDKRVVHLHKRETLRRLLIEMFPKIADG GWESLGEIGEWVRDMSMGCHVLRIEPDGSEDGFKERIVMPLWKSVHSLNLMLPKEDRA AMLLRLFNDTTPLVNNGLQMQKDKEEQKRKKLEEEAAAAAAAENGEAGEGEAAVAEET VQEQNGEVEEAQVEANGASA
SAPIO_CDS7164	MFTSEYKQPRKVKSRKSRSNLKRMDPDCAICNGAASLRCDCEAK ALETAISQAERRMMQSIYQEIRSWARGHAQDYILEYFNVLSERRKAAHTEHIERISQA AYYHYRSPPHPAQVAEAEAILKRGIDEDWKTSVQRYPEVLEYYFSLVELTLPPEDHPS VKDPPLSALSGSRKNGRRPPPPAATIAGGAFHPQEHLPSRSSPPPPPPPPMGRRTPGP PRTSRNSYRGPPPPPPTFYGPQGW
SAPIO_CDS7165	MAADAPTKANGDSTPAAAVEKTAKPTKPDEEAFKKSLEAAEKEH KAVMAKYNAIKEKIELAQPNKNKDQPTPTQKRRQELIAQLNEIRQKQAGFKNARASKM DQIKRLDEQLRSRIAEQKTARGRVPYKSLEDLDRQIAETERKVDSGTLKIVDEKKALN DVSAMKKMRKSFVQFDDAQKQIDQLKAKIKEIKDSQEDPEQKALSESYNKLQKELDEI KAQADEAYKSLGSLRDERTKLQAEQQEKFQAVRKIKDDYYSQKRAFSQYEKEAREKAR ERQRAERERIIKERKKADAERLLQEASDPAFLEEIRRANSLLRFLDPTTAPAEKAPLL ADSGLAAQAQRKVDDSGLKGMRLVRKEDREDDYLPAVKKGKKGKKGGAHDSASKNFNC PPSVVEDCAYLGVDPPMGAADVPGVIEKVQAKLAEWKANQAAQTQKNIDKAKKEIARL EAEESAQSNGSDAKVENLASTLEATTVKE
SAPIO_CDS7166	MSDDPQLPRASDKEITRMWRVYRTVMEMVSDREYELAEDEVKIS LNQFRNKFVDQSGQIVRQLLQFSARPSDTMIRKFTPPATPENPNPEPDCGTIFVQFIS SDNMGVSQVKDFIQTVVGQHARVGILITRVPLTPAARKFIAVAESYAQLECFIEEDLL VNITHHELVPRHVLLSKEEKVALLKRYRLKETQLPRILQKDPVARYLGLRRGQVVKII RNSETAGRYASYRLCV
SAPIO_CDS7167	MSLYSSPPPLRSADDDKPTLLVCWWITIFCAVMIIIRVLGRFIR TEKLFREDKVAALALIPIFLRMGCVHFILVHGTNNADFSGVDLTDEQIRKKSIASGLV LAARVLYAATLWIFKIAVVEFFKRLTDVTWERSYRIALNYIWGAIILTFVAVVISDLA ECQPFHHYWQVLPDPGPQCRQGFAQLLTFATCNVLTDILLVVFPVPIILRSAMPLKRK IQLVLLFSLNLSVVVTTLYRVPHIIWKDGSQQYRSLLASVELLFAAAAANTLVLGSFV RDRGIKKQKFRYDSEDVESSEYGRRRSSERSSHLRRPTIHRHWGSDEDLVRDLGIGVK PELREIPELSPGNEPDFTAPFSPAPMTRRLSEHLDMDDWHFPPSDARAPSKDERSEDS LIHSDPPASPPPAKLSSNSQRRGSATKKPLAFFDVGGLLDDEPPLGSGANPARDRRDS GSPTAPGRLNSRQGLLPQQSTTTSGVRRGSAALLQDLGGFFSFPSPSSSSRNLTVSSN ARRNRSVGGSEMVAPDETPELQDIGGLAR
SAPIO_CDS7168	MPTKIFITGVTGYIGGDVLYWLHKNHPDFEYSTLVRSQEKADKV LAQYPDIRIVLGNLDDSEKIEKEASWADIVVHTADASDNVNAAKAIAKGLIEGHTPSR PGYWLHTGGTGILTYLDSEVNKTEGDPSLKVFDDWDGVSELVNLPPEAFHRNVDEIVL KTGTEHADSVKTAIVCPPTIYGKGRGPGSTRSRQAYELAKFILSEGYVPRVGRGLARW NNVHVYDVSRLFDALVNAAIDPSRADDEELWGGKGYYLCENGEHIWGELAEFIGKEVL EQGYLKEKPEVKELSVEEVAKSPAGFDAVSWGWNSQGTAHRARKLLGWEPTERSIWDE VPDIVHSEAALLGR
SAPIO_CDS7169	MDAKDDEGDVKLQKESADLIAEFERTLPTFLYKPDGHGGTRLRT RVRAWETFRLDQSILSPFQELPQLLDPHLAKFVHTLSDAYLQSLTSRGRTGAVLIRGE KVIVRFLSSETKCLEHLLSALEEAEQIPSDPENPNGLLQWTWQERYITLLWLSHLLFA PFDLASISSVDLNEVSVPAVPGLNWPPNLPGITLRLLPLAFKYLSSPGRERDAAKALL VRISMRSDMQKLGLLDALVNWALWVLRPTNEPLTESTYHFIGVLSYLAGILRSSMDTS DMDKYLIRIFHAINSVTTGGRDCPNFEAINGSAFARKIMIKVMRSAAVLILRQEPQTL QGTELVEACIGSLLEKLSDNDTPVRFAASKALSVITLRLDPDMASQVVEAVLDSLNRR VVWLKDPLNPEGKLTRDLSSVDPLEWHGLMLTLSHLLYRRSPPPDQLSDIVHALLLGL SFEQRSTSGGSIGSNVRDAACFGIWALARRYTTQELLEIPIESVFAAKSHPAHSTILQ VLATELAVTASRDSAGNIRRGSSAALQELIGRHPDTIEEGIGLVQVVDYHAVALRSRA LHEVAIQATNLSSRYGEALLGSLLGWRGVGDADAAARRAAGTSFGAITFQLASLNPPA ALRRLGDSVDTLLHHLKKLQPRQAEERHGLLLSLASVFDRIPDTLALLSEKNALDLNG LRDLLRKMVTSMLDILGILKSSALRRQELAAEAASRLVISSIPIFQAAILSRQREWKD NAGTNTLYIGESLVGKEINGDLLDVMTIFDSEDLAERESQALVSALGDIIPSWLELVD EESEELVDAIPRAALVSLVLSRPSDRAEKVREWAELVKVHSSKLHDATGYFHAIAMAY PICSATGMQGIDAANLVCDSLLQRWATEKDLEVKSSLLSSLTQSNILRKEPRRFLGLL MEGLNDYTATSRGDIGAYLRGGSLKATRTMWQSIAHATPSDHEWLQRTVSALFLSVLR LAAEKLDRVRNEAHKTLALLLHPDYVDTFKSYTSSSSKYLEFLLRMSETDCLLPLISD TAKADQSAWMCELLAGYVTSADTGNETLVITSRAVLCEFCEESQEKLDMVCRALMRNL RDHQGQDRLLTPTLEIVSFLLNVGLMQRSGEVDARTLASLMQKSAYKSSNVRKIETCT KVYGGIARLEEEDRSQVRMKRVEEGIVESKKRLGAMLYHPWPRVRTYVVDELWSIFAE EEDEEGEDVSLAAELKGMDWGRAPKDVVRRVVEKLGIETT
SAPIO_CDS7170	MNINQTIAAWPVDRHQFYSGLPQNSFLSYDAISSFVIGDILLGP TSGYGLIPNSPVPSDTLQWIRHLGDVARSGYLQVTIVVVSIISVFRDLARLRRNNPHA YYQSTCQASRRIKTPLAYELCSLTTQALAAALLTTSGLRGMTHWTNAAAGIYILVFGL IRVSCRSHWRFIALHQANFVSFFLLLLLVSTHWLPCIQSSVSCNARTPLGAGSLALAL SVVIAFSTPREWPSPFADHDGIAEVKHDMPPSPEETCSWLSYYCSYEWFTPTVWRGCT SSLPKEKLPNLPWYDHPALLLKRVRRARQWGKNTFWTTIKLTQSELLLMTTWACSSFV LEIVPPFTLYMLLDYIDNPQDAVYRPWVWLALIFLGPTARSITWQQYIFTSTRLIIRI KSALTQELYHRLMESMELEEEQNQSAQPSKKKKNKNKKKQIGTATGRLANMISSDIDA IFKARDVILVCIATPLNATIAIIALYGVVGWPAFVAAFILVTSTVVSVYTAQAMMKAQ FKIRDAQDSRISLISEYLSLIRAVKYFAWERFATDKIQAARNVEQIYLWKYVILNTAI NIVNSSFPYAALLAVFCIRILVQKQPLTSSVAFTTVALVKNLRSRLNMASFLSKNITA AIVAFKRLDSHYDRCQPLQKYPSGPLRVQGGTFISSSTAVFKLKNITIDFVENGLNVV TGQSGSGKTSLLLSLLGETIKEAGQVTRPADVGYTPQTAWLQNDTIQNNIVLEEAFEK VRYRKVVECCCLEPDFDQLPAGDQTIAGENGTSLSGGQRARVSLARALYSKASVLLLD DIFSALDAKTAAGIWELCFCSDLLNGRTVVLVTQVPWIAAQSDLEIILENGSVLSIEQ HIGVVRKPVSVEMALATDEGLNAPDSSNLSGETIAPAVGNGKSADVVDAEMEARPAAR MIYRQYIAYWGSPFFVSACIVVIILGAATVPLGNLWIAIWTGAYEKGEVINAGYYLGV YAAIIMGETVLSNAPLIMSKFGGWIAARTIHQKLVQAVLGAPLSWYTDIPVGRVVNRF SRDIASLDNQLPYLANMVLWQGVTLVYRLASIGSVLPVFIVPSAATCLIGIVVGEMYT RTGVILRRLDSSTQSPVFSQFSDTLTGLAVIRARENLPSKFGKILVERLLLWSTAAES LYNANRWVGVRIDLVTSLVSLGAAVLALAKADTTSSGLIGFSLVNATGLSHTILLLVR STNDLEVELQSFDRLQEYLEVKAEDASDDPLPEEGAPESESGPVIPHDWPSKGEIEFR NVTIRYDPEGPDILSDINLKLRSGERVAIVGRTGSGKSTEPVLFGGTVESNLDPSGLV SEETLQRVIDSTKSVASFQYRHSSHDGGDADGPDDDSGQSTPTWSPQGLSLTALVSAK GENFSHGQRQVLSLGRALVRKSKLMLLDEATANMDHETDKVIQDVLSREVEEGDEDRT LVTIAHRLRTILDYDRVVVMGDGRILEIGSPHDLYNAHGHFYNMPTNQPSTPSVGHFA DPFESPFEFYQHGLGMTKLSISPRTAIHAIRGLALGTSCSLILISEERLKRLELARKV VDNGKLIKSQRCYNPGGAVAAMAQLEHTHAELSRVETNMAAVDLGLQGFTTKVGVDEE EEVRTISQPSTQRRRPSRTSQGLGIESSFREMHFPIGEAQAFVVAANYPAKKPTREAV QFQRDHEGWSQLPKIPEEALERARSLLCDFRLQDDLDGAQRVLDTLCHHPSIDNRDLA GTDAKFVLRGLLSPSSVNPSQRRSGRRFRLAVFMFWDQYRKGSPEEKATLLGLLADIF RHEDAGRDIQSFLATSHLQFGRHMTSKILVVNVAKLYRRQGEGGALDQWISRADKAGV PIHESHLGRTLMKEWETEDCAKDLQQGAGVPKQNASISKLKEDLRRPVRMLLQQQDET QYRVFGRMDEAAKRYQWNQVLDAYSNGLNEGMTMSDPCLRLAVEAAVELEGVHSTKAL QLLEQAHGRSLDVAPIVQTLLLARFDAIGDEQVAVREQKAKGHAYRSMQSLLETVRPF YNPPSELVYNRAIRVCLRAWELNETRELCLQLASEYWGGDALYVVYNFASLLTVAART KDYELLQRLLEALPWRPYQGHPICKQVLKEARLSIRRWAEQATTEEDRRRHEDALGYI EIAYQNVLKTRSGVHRQLRAGMKKQAFAVLTPGHVVDKNGNRDKRSQGKGHEVSGGDS RPEQGGARWLFDIYDEVLKTENPK
SAPIO_CDS7172	MLSLVYAFLGLPWFVHLSILLAAVPLALVTAYLALHYIAPKPRA PYPSEKTYQTTRPDGTTVSHQLPCWYDNWLAERKLSESEQPELGKFHIPDAGRIEDPT VLVSVVVPAYNEQDRISAALEEAVEYLDNTFGRPGDKTAAQKASTFSGVKKRLARGGG PLPPVSGYEIIVVSDGSRDRTVDVVLEFSRKHKLDDVLRVVTLEKNRGKGGAVTHGFR HVRGEYVLFADADGASKFSDLGRLIEGCEEVADGSHRGVAVGSRSHLVGSDAVVKRSA LRNFLMRGFHFILMILTPPATSRIKDTQCGFKLFSRASLPHIVPYMHAEGWIFDVEML MLAESAPATPVLGSDGSVIGTTAGIKVAEVPIAWHEVDGSKMSLARDSIAMALGLAVL RASWMMGVYRRRMT
SAPIO_CDS7173	MSIFSLIRKGRQQAKEASKKQGTKQGTEPAKVPYKHIPTHAAID ALAGAPASYKAEDRKRILEENRRRSSLMSSGVPRVSSSLSNVMYPDAHANPMVYTPRS YSYVASSYQGGSSEAILQATINGYSTPPFLGAPSVKGKEIERILGERRPRNGNRSRAP SSSGNSTYSQDGLEMKVVRRPRRDPLSPVVSDTSPPLPSKQGQQRRDPRRRSPSDKPT PSAPPVTTSVQVTTYRTPVADSSSSPSKGPSSTVPPRRTGLRRIRQLFH
SAPIO_CDS7174	MAQHVPHQAKDKRRVKVYELRNNDWFDRGTGFCTAAFTQSDDGQ CRDARVIVESEDEPDRLLLDTSICREDGFQKQRDTLIVWTEPNSGLDMALSFQEPEGC AMIWKFVNSVQQSTQNGLGAADDNLSDDLTMDLATTVSLPPVELGNLSEIETKIRIVS STGAGRDALAKYILKEDYIRKMIPLVETSEDFESLPDLHRLCNIMKTVILLNDPALIE HVVSDECVDAVVGALEYDPDFPNHKANHRAWLNGQGRFKEVVPIEDEHIRHKIHQTYR LQYLKDVVLARILDDPTFSVLNSLIFFNQVEIVQHLQGNAIFLNELFGIFKSPNQDPK QKKDAVLFIQQCCTIAKNLQPPARQALYNNFLAHGLLQIINFGLRHPDVGASMFSYLI EILCFFIRQHYHRSKSFVLQRKISLRILQLLQTEEKYLKLVAIRFFRQLLGLQDVFYV KHLIDTDVFGPILDILIGVMPRDNLLGSACIEFFEFIKKESMKDLVKHLVQNYRPQLV TLSSLETFRDMIIRYDQTQGFTTGSDFLLDAEEDVTRRPPAHARMMEQLQVDPTEEAY WNEDDEEEQQPTVNAQAKAPIANGTSPSTRLVDYLSDGDEEEMEEGDAASGAVSADAA TPSTSIIKDGELALVNDAEAATKKQDASVDGPDKMAVATDDLADVDGVSDAGSVVSEA VSDTSTTSAPPQKRRREEDDDDDELGKLMQNKRRNSKSMSTNSSTQSILKRKQSFTNS TSGSPKISISISSTGAGLRSSSEDS
SAPIO_CDS7175	MSVECRIRPFDRPTKTGKPEDRPDLRKPPVLFVNRASFEALERA YGAPAAVVHVRVERLQDGEVSGGSGVATPNSGDAAVTATTPLVRQATVMPSAQDMKGD VVKMTRVFMEAVGFHTGDLVRITANRSVATEKAAERVVVEDVTGEQCEGVDLGLRGWE RTLWELGIREFLIFELKLVTTARQFRVISVNSRTDNLARIELATTVEISHAAITTGSD AQIAAGSRELVVSNVPGLGRQMKLLNDFFADLQSSLPALTSLDARWRSCAIAIHGGRG TGKTFVLDKIASACEGKFKVIRAQKGTPPSAMSGILVQAELDQPSVVLIDDLDDILET AQRSVPQLVGILTSGLDKFASDAAEAGRASVAVVATCKDYFSLPHELRRLKRFVKGIA LPIPDVPSKVEILKSFNVPLPEDAKEEILLDVAKRTYAFNPEDLERLASTAVDTAVGR LRREIKSGLKDAETPLSCTRKDLESALARTTPSSLHDINLRPPTVHWRDIGGQQKVKN ELQRMIREVLGQSPLCLQPPKGILMYGPPGCAKTYCAQALATESGFNFFSVKGPELLN QYVGETERNIRRLFQRAAASAPAIIFFDELDTMGLTPRRGGGSSKGNGGAGGVGVGVL GALLTELDGFEKLEDVVVLAATNSPESIEPALLRSGRLDRYLFVGPPDVKEREEIFGI YAAKVPVAEDVDLAELAVITDGYTGADIRGLVNEAGHVVFTERGGETEGAVIGMEHLV KAIGLRGPSVTPEVLRRYTEWRPSHNFKS
SAPIO_CDS7176	MSVIGDRVWAINTQLNSISRDHDLFVVTEDEQVSLQEQGVLVAT ALESPRVAELVRIFKALSTPSSSDPLLPTWRIEQLLIQSQLAMHHDTFKFTPGAVDGE LPNPFETEIEWLLLAKAAMQIYGAILQTLIDQNISLEDHLWYWDDISRSYRLGFLLWL QKSPLQLWSFTRDVYYESTHRIRSMYSPELKPEDELDEEHVAVSRKDSIKCMSMSDRW RKFYHIVRTTVQDRSLVNIQDRILSPIALCQAEAREKAYQIRRLKENIACGVGALVHE GLDFNRGEWKDVLERSVSLMETVMVQTANIDGTVSDFEEGIFRFVDNDPELLNQLDEE QDSPPRRLANRLLTILQHRLPRQAADTRAVIKTHGRPSIWVRYWLPATIGLLSSSMIL RFLTNRKADIIQAIADLGLTVRDFWSNWVIDPARKIIKTIRHDENRELTLMSRDSLRA DLESLERMVVDFAVDKPHFATADGSAVALTDAQVADIRSRVVHGDVTPVLKAYEKDLR KPFIGAVKGDLVRSLLIQVQKSKVDMEVALGGIDALLKSQELVFGFVSLTPGILISVG VYQYIRGVMSGRVGLRQRDRTSKTMRAVRTINRMLAKADLTPEKTMTYEQIGNLLCQV HILRNIVPQTMPQDTRKDFLIDLDELVNLRVVPFQKKTMEDIVLTYAKWLL
SAPIO_CDS7177	MSSNTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTI GIDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNI RTWFSNVEQHASEGVNKILIGNKCDWEEKRVVSTEQGQALADELGIPFLEVSAKNNIN IDKAFYSLAADIKKRLVDSAKNEQPNAAVNVAEKGAGGLGGKCC
SAPIO_CDS7178	MPICRLEQWVPRIRVPSAPLRRSTNDTIFPPIPNQWREAQRISS SVVCRFSTSTVRSGNKPRRTPRSTNGTSASSSIFDQLFPSGEVPGVTPRTTRIGLGPG PSANAKSRDYLVSSNAATGKDVSVVEDITSVNEGDHDVNENLAAPAANEPAVLIIRNG SRSTLPSDFYRVAPRSAHLDGRAWSTMQAIQARHPDTKEPLDKYLLHFSSVVEAVAYK HELERLVASEVTPEEKVRMTLAPPDASLMTVELVQGANAVQQIAGSRHSKDVLRHGLD TRNIVFVKLDGHQLTLKELKALIGADGERRNLPWKLSSSWGRGGIAVTLKGEVPRVVP PPPVADAAGAGPAVASASDEAAAQAVEPWKEKHREDHFSRFFLSFHTVHDARRFIRSW HRRRLNVKDDESRVSVMNASLIW
SAPIO_CDS7179	MDLLVDSSTIHLNQTQTTLFGVYDEVSKYNVQLNIAERLWAAWY LWMQNDTLATGILSFVMHEAVYYGRSLPWIIIGMIPYFDKWKIQNLKTPSLKEQAHCA LVVLFSHFTVELPQIWFFHPVATWCGMDYGVPFPPMWKMAYQICIFFVMEDTWHYFAH RSLHYGPLYKAIHKLHHYYSAPFGLTAEYASPIEVMILGLGTIGSPAIWVYFTNDLHL FTMYAWIFLRLIQAVDAHSGYDFPWSLRHFLPFWAGADHHDLHHEKFIGNYASSFRWW DKILDTEATQEARKRRREKKLAEFQAKKKQ
SAPIO_CDS7180	MSLDRALDLPDAALQASLELLRSHATASPTGGDNQSPPEAQAEL SPHAYGDIGAVAGQQGRPGVDVQQQQPQPQPKEEDYIHPDLRGPSSAIMPTANHLAPA AHMIPSSNLPLPPLPQADVAPAPVVDQQMLAPAPAPVAVAPQQAPPIPQPQEEAGSRR GKRELSQSKRAAQNRAAQRAFRRRKEEYIKKLEERVGEVARYEQAYRYLSSQNALYRE YVSMLQAELLESQAKFPSPPPGLNLNPEPTAAPEQQAQLQPQTTAAAPEPLAAIAQAV MTPPAPMGDRPQPQQEVPNDQNDQEDIDRQVSMQVDGLA
SAPIO_CDS7181	MAPSAIEESSFILPDKKRPPERTYPPAKIFPVREARFEKPIPVQ EDGRQKALAQPSGGAVIVIDNGSSHVRAGWSFEDTPRLSIPPIMAKYRDRKLGKTYSF AGSDCYADTTAKGHVRYAFEAGTGIVSSWDVMEHVLDYVFLKLGMNGVDGAIDMPILM TEAVANLPYSRKTMTEILFECYGVPSVTYGIDSLFSYNYNGGQTGLVVSSSYTSTHVI PVYNSKAMLAQATRLNWGGWHAAEYLLKLIRLKYPSFSGKLNHSQAEYMLRDYGYVST DYNQELRGYLDWTGLEYRDIVIQYPYTEEVVIQKSQEELDRIAEKKRENGRRLQEQAA KMRLEKLMQKEKQLDRYKKIQEKLPDLNKKETKRLLDDNDLKDEVALERIIRDLDKAV RKGRMKDVGGDEEEAEVPVFDLLDIPDEELDDAGIKAKKQQRLAKANYDARQRAKAEK EAEKARIADEKRKDEERRENDLESWLQERHQARADTLQKMKEKERLKQDLGNRKSLAS QMRMKNLANLASDNPTKKRRRGGDDDTFGADDEDWGVYRDIADNPSDDEEEDLLGKLT TLEQDLLLYDDDFNYDDTWEAQADWSKSLLHAWSRGPRPFNPSSQAELNQIHLNVERI RVPEVLFQPSIAGVDQAGLVEIAGDILNQRLGGMPNRDDFLRDIFLTGGNTLFKNFDE RLRNELRALLPAGSPLVLRPAKDAILDAWKGAARWAGTSAYRGAAVSRQEYEEKGSEY FKEHNLGNAFV
SAPIO_CDS7183	MSSMWLSDSQKIGVAFCTGGGFFLIGGVMLFFDRAMLAMGNILF LIGLTIIIGPQKTLLFFARKQKLKGTAAFFGGLALILLRWTLIGFLVELYGIMVLFGD FLGTIAGFARNVPVVGPYIGMAVDRAGLGRRNAELPV
SAPIO_CDS7184	MSWQAYVDSSLLGTGHLDKAAILAGDGTSTWAISAGFQISPEEG KTIAKALGGDRDAVFADGFHVGGERYVVTRAEDRSLYGRQGKDGVAIVKTNQAIIVGH YGPQHQAGNAANVVEKLADYLIGLGY
SAPIO_CDS7186	MAPATPELSEAEAQWRESYSTMKAALSKLNLPAPEAPAEEDDLV SSDVEGSSSNEQGQDVWDFISEDEDELGYYSSDELDGEHANGIGASSSAPYGLEWLSA QCSPIASKNGLATDVFQTQILDLLNSGRSEEELQSNLADLIGFDDFDLIIELLAHKSE IITAAAASAPSSRTQTGNRLLSRAEREEALRRQDFEHKTRGLAAAQAREPEYPHVYKT HNVGRSMLSYTGKKYALPPGSERLEFEKYEEYFIPAGSKGTLRPGQKLINISDMDGLC RNTFKGYKTLNRMQSLVYPVAYKTSENMLICAPTGAGKTDAAMLTILQCVGQYVTPNP SEDPLATEFMVDLQDFKIVYVAPMKALAAEVTEKLGKRLAWLGIKCREYTGDMHLTKP EIVQTQIIVTTPEKWDVVTRKGTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVART QRQVESTQSLIRIVGLSATLPNYVDVADFLRVNKHQGLFYFDASFRPVPLEQHFIGVK GKAGSRQSRDNLDNVAFEKVREMLQKGHQVMVFVHSRRDTFVTAKMLQQKAVDDMCLD LLDPTGHPKFESAMRDVKSSKARDIRELVPQGLGVHHAGMARSDRNLMERLFGDGVLK VLCCTATLAWGVNLPAAAVVIKGTQVYSAQDGKFVDLGILDVLQIFGRAGRPQFEDTG IGMICTTQDRLQHYLTAVTEQRPIESRFSSKLIDNLNAEISLGTVTTIAEAAEWIGYS YLFVRMQRSPENYNIEWAERRDDPNLAQRRRSMAIQAARELQQSQMIIFNERTEELRS KDIGRIASQYYILHSTIQIFNTMMRPQSTEADILKIISLSGEFDNIQFRESESNELMN LKSREDIVPCSIDTRTGTDTPQVKTNILLQAYISRVQPDDFALGNDLNYIAQQSGRIC RALFMIALNRRWGHQCLVLLTMAKAIEKRIWPFQHPLHQFDLPKTVLKHLDQKETLTM QDLKDMEPAEVGELVHNKGAGAKIGKLLRNFPTIHIEAEIAPLNRDVLRIKLYVEPGF SWNDQIHGTSESYYIWVENSETSEIYHHEFFILTRKKLHDEHELNFTIPLSDPLPTQI YVRAVSDRWLGAESVTPVSFQHLIRPDTESVYTDLLNLRPLPISALKNPLLEEIYAKR FQFFNPMQTQIFHTLYHTPANVLLGSPTGSGKTVAAELAMWWAFKEKPGSKVVYIAPM KALVRERVKDWGERLAKPLGMKIVELTGDNTPDTRTIQDADVIITTPEKWDGISRSWQ TRGYVRKVSLVIIDEIHLLAGDRGPILEIIVSRMNYIAESTNNPVRLLGMSTACANAT DLGNWLGVKEGLFNFRHSVRPVPLELYIDGFPEVRGFCPLMQSMNRPTFLAIKNHSPD KPVIVFVPSRRQTRLTAKDLVNFCGMEDNPRRFLNMDEDDLQLNLARVKDDALKEAIS FGIGLHHAGLVETDRQLSEELFLNNKIQILVATSTLAWGVNLPAHLVIVKGTQFYDAK IEGYKDMDLTDVLQMLGRAGRPQFDNSGVARIFTQDSKKDFYKHFLHTGFPVESSLHA VLDDHLCAEISAETIVTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNTIEAQKL ANEFMIHMVDSSLGELQKSSCIDVQGNGNVDPTPLGKIMSYYYLSHKTIRYLARHAKP GASFSDVLTWMSRATEYDELPVRHNEDLINAELSRNLPFEGTSFGLPMWDPHVKAFLL LQAYMSRIALPITDYVGDQTSVLDQSIRIVQAAIDVLTEMGYLSSCLAMIQLLQCIKS ARWPADPPASVLPGVPEDTKDKTTLKNIAAGSAKSVRQLATRLSVPDRFYDRFAKAVA RLPDVKVSVEGEPTTSGVRIQLKRVNPLLDREGRVFAPRYPKPQVEGWFVVLADREKD EVLAVKRVGWPSTGASSSSGARRGGGGERVRGGGDRARGGSDGVRGGRGRGGRNDGGG GRAGGGGAGPVAKVTMKLRPEDAGRKIDVLVVSDAYIGLEYKIEGVEIPAAPKPGDGP DKKGH
SAPIO_CDS7187	MPSLEAKIVVLGAQGVGKTSLVMRYCKGEFNPAQTTSTVGASFM TKRVVDADSDTVVRLQIWDTAGQERFRSISRLYYRGANACILCYSITDAQSFAEMGIW LSELRQNLPGDVVLHVVGTKADIVARDPSKREVPFERCIAYVAENLAPGLGSTPPPSA SGLAPFLLPTSDANASRQHSTLTTPGAAAGEPRSPSSKRSSGFWGQEVGWDACHEISA ESGEGVEEVFRVVTRKLVEQNKKMQQALLTATAVPGSPGYEAGMDGGYFESLNPRTSF RVGRDRRSWLFPPSFSPVVSVDQDGQSSEQAQQQQMDGRDMRARKKCC
SAPIO_CDS7188	MTLTGDDVAKHNSAESCWVIVHGKAYDVTEFLPEHPGGMKIILK YAGKDATEEFDPIHPPDTLDKFLDQSKHLGPVDMSTVEVEVKEETDEEKARKQMIELK PSLSQCYNLLDFEAVAKRVMKKSAWAYYSSAADDEITLRENHAAFQRIWFRPKILVDV EKVDFSTTFLGTKVDMPFYVTATALGKLGHPEGEVVLTRASKKHNVIQMIPTLASCAF DEIMDATSGDQVTWLQLYVNKDREITKRIVQHAEQRGCKALFITVDAPQLGRREKDMR VKFTDEGTDVQSGQETNREQGAARAISSFIDPALSWKDIPWFQSITKMPILLKGVQRV EDVIKAIEAGVQGVVLSNHGGRQLEFSRSAVEVLAETMPVLKQLGLEDKIEIYIDGGV RRGTDIIKALCLGAKGVGIGRPFLYAMSSYGFDGVDRAMALLRDELEMNMRLIGCNSV SELSPSLLDVRSLTSHGNGVPTDVLSKDVYDRLVTPAQRVVKAKL
SAPIO_CDS7189	MPQSVMLSVPLKATNEIDWVAPLKNYIQNTYGDDPERYAEECAT LNRLRQDMRGAGKDSTTGRDMLYRYYGQLELLDLRFPIDEKHIKISFTWFDAFTHKAT SQYSLAFEKASIIFNISAVLSCHAAIQNRSEESGLKMAYHSFQASAGMFTYINENFLH APSSDLSRDTVKTLIQIMLAQATEVFLERQVMDQKKVGLLAKLSSQAAYLYNEALEGA QENVNKGIFEKVWLQVVQVKANLMGSMAQFFQAQADDDANNHGIAVGRLQAAERLARE ANRIANTIPTSVPPSSNLPSDCSLILAENTKRQHTAINEKLQELTKDNDFIYHQPVPS ESGLSPVPKLSAAKPIPVTELYAGQDIQRITGPDLFSKIVPMNVTESASLYDEEKAKL VRAETERVDTANGEMAASLDYLRLPGALQVLKGGLDQDVGPDDEFKTWCEDVAGQENP SVILDSLEAQKLALFRALESCKKELDMEESVCEKMRSKYEGEWTQQPSSALTTTLRGD IRSYREALDEASRTDSQLAAKLRQNEVDFDEMRAASERNEVDQLFQRSLNKVRGRSSN NGSPLDEPNLLDADFDDGRPSVMDQISKVEQILKKLDQLKRERNQVLKDLKEKVHNDD ISQILILNKKSIQNYETQLFQSELEKFRPHQNRLLAANHKQSVFMKELTSTFNTLLQD KRVRSEQSKYENVQRQRAAVVNRYKRAYQEFLDLVAGLHSAKNWYSEMKETIDSLDKN VQSFVNNRRSEGAALLTQIEKDRTANQSSQAELERERLRELMDRMSVKPQGGSPQPQP QEARRAPPAPLFAQGSAPRYSDPLYASQYPLPTSPPPHQVNMPSTYGNYAGQRQSVGA YGQPAAYNPRQYGMNPGPTSPPPTQTSFGHTAMRGPASPPPTQTSFGQPLPGYVGYVA QPSQQVPQGQPGRPASQSLPLGFVPPPPPPGPPPLGPQQTIHYGAQDYPVQNQQPGGP GAGAGAGQQPHDPWAGLSAWK
SAPIO_CDS7190	MSKSHVARRPFHATNSLSSSNKDPYKVLGVEKSASASEIKKAYY GLAKKFHPDTNKDPTAKDRFAEIQSAYEVLSDPKKKEQYDQFGAAGFHPGGGPSPGGD PFGGANPFAGFGGHPGFGGGFNFEDIFSAFGGARGNPFGRQSGRNPFHQEILVGDNIE VQAHISFMEAAQGTRKKVTISPYVTCGTCSGNGLKVGTQRSSCKVCGGTGTRVHFAQG GFQMASTCGACGGTGSTIPRGSECRTCSGDGVVRQRQTINVDIPAGVEDGMRLRIDGA GDAPPTGQVADGEVRAKRGDLYVFIRVASDPKFRRAGSDILYTATIPLTTAILGGEVA IPTLTGEVHVKVATGTNTGDRITLSGMGMKKLSGRGGYGDLKVEYKVNMPKYLSGNQR VLAEMLADEMSDKTAKRIMNVSISDDPAAHKNEGWMKSIWHTLTNHPAHQKQPSDSEN KPDSDNKDSSSTPPKEENNQKKESGSGSA
SAPIO_CDS7192	MATVVTGHPTDDVKHVLPVSPASSSIMAPQDRQTTSPPELKPGY DGERGRRRSRSVENESEEQGADGEGNQAHSSNSDGPTRKRRRSRKGLDKKFECPHEGC GKSYSRAEHLYRHQLNHNPKQIYHCDYPDCKRTFVRLDLCNRHKDRHTAKGSSLNRKD SIARSSPAADRGPQYGPAGSASPEVSRPGPGFPTAIRTAPLPYQSPKDHIASPYSPVA HTPPAVFANGVDTYIPQDGGYGAPTSRRSSFHAGNTPRPTVQTNVNSYGVLSPVSTQH GFHSQQTTTPQSVAYVPQQNFPPFKLPPSNFADNAAREQEQQYPPATSAEYTENGQQP AELMMLDSMSATQALPVFGNDSIENKSPHFLPDDILAYLLNHQTEPNPAFNQMGGGYA QSYFENTPNYGSIAYLGPQWASMGYFPAASQQVMAVSSILDQNLPEATISDEKSNEIY EFIRDRFNENGRAPVERQRDSILEGDRMQDDHMLSKRMMQAYIGSYWLHFSDQIPILH KPTFSPDRTPNLLLISMMTIGAACLDKTHGTEVTQAGSKLSNFLAWHIRWEIFMDPNF RPPAKLWVFQSLLLLEVYEKMYSTRELHERAHIHHATTITLMRRGRSLIGKSALDSPP NPVDQRSGGGTNGSRQSSASGVATPNEWWNHWITNEATRRAAFAAFVIDSIHATMFGH STVMVAHEMRLPLPCDEGLWKATSSAEVGRIENNLLSNNIKPISFLEGLKRTLSGEEV RTNSFGRTVLMAGLLSVTWHMNQRDLQVNVLGGGVSQALGGRDKWRGTLNRAFDSWKN DYDKALRLDDNLNDPYRYEIGRRDDSVANENRVVLHHLAHMAMHVDVIDCQIFARAKR LLGRTIGPQDLNSAQRRMKELWAPSAKARDAMFYALKFLCSVLMPEAIGGSPHGPEPY SARDDVLLDRPWVLYFAALVVWCYGFATEGACPTAPQPSSRAEKLRLTQEYLLKFGGI QSPDDLRMAKGINHNTGLLMMLKDTFQGTRWELLHEGANLLNNCINLNAGRSVP
SAPIO_CDS7193	MVSLEELPTAVLLEIFGWLSPSDLGLLLRVCRRFYGIVSTHGSL YRDVFLTFLDAPQRRNVNWMQEIRDIARLKSICSKKYTKKDEDHVLVCSTILKLLKDA TPRPGGQAPRQLPTHLPSYNVDFLSSLFKDQNILRKYMCRSSLYHGTSIGQRSRGSSN DPLIQLSAKLHCHYGKPAEKPIVPAVASLGEPYDFACAKVYNLRHYTAESFWGPFKDD GSGEVDWEKVEASMVVMAVKTSRDKASLWTMPWREPFAGAYAQSYASPHPRKELEPLD PTDPYGISGTWMRLICFLNWGDLQMYNFTRRPETLRSNLKSLFYDQGVSHMVMSLKVT AMEEPGPDDSPDLPVVHFTGFARHLYHPNDPDISSDIQGTCRLTKEGEARWTTVSTFN SNPRWKTECVQIGGVRAAGPVVGNWFSADYDARGPVGPLAFWKLSDRDVWDVEAKDVF PKVLANVFDRAFRPVTG
SAPIO_CDS7194	MRRSLSKFNDAPQKLRSKSLHKIHFQAEPIMTHGSTRALHDCIC TEAQQRQTWQLGSGPRVGFREVIALMGYLNGFPQIFWEPGTAPAEIGVLLPNNIFEMS GEQSPHIDILDDGEAQIIATLTPRDPTADQDNPFANDWTTDLLPHITYLSSSLHPTPT STFLYTVQPSHCNGLRNLHGGCTASLFDLLTTMPIHLVKNPPTWRIPGVSRTLNVTYL RPVPSGTTVRIVCEVVHVGRRMATVKGTMMRESDGAVLAICEHGKASIDPGASSKA
SAPIO_CDS7196	MPYDLVTSYAGETFLSGFNWFNGADPSNGFVDYQSREDATKLNL YGITNTGSVILRADPANPVLPGERGRASIRLESKAAYNHGLFIGDFAHMPAPKCGVWP AFWVYGPDWPNNGEIDIIEGANNDQFNLMTSHTAEGCWSPSSEEVLFTGAQLSRNCAG RGGLGQFSGCSFVSDDPRSYGDGFNAGGGGIYAMEWDEEFIKIWNFPRGEIPEDILAR KPDPSSWVTPVSVFGGSKCDVDTHYRDMSIVLNINFCGDLADQLWLQDGCGTEEFTCA DFVSAFPEEFQKTYWEVNYIDVYELAGKPEPSRGPHPEPQPTRVPEPGPEHPEDGSHP GSPTGANGGEGGGNSGWPRPASSPGPRPTSELDPEGGHPEPSPRPYPRPSTEGSGGNG GSGGNGGNGGDGGTSHHIPISPGEPHCFGDGCSNSPGALLNGTRCEGDGCDLICRIPG GCNAWRGKSHEDGSPCSGPSCGGHGPEGELDGNEGKGGNGGGGGNGGNGGNGGNEGSG WRSGNGGGGGNGGNGGNGGNSGGRWVRPGVLHTDPRPVPETSGGNGGGEGAGGSGGDN GSWGHGGNSTRNNNQDRPAPPPRPPTGPGSLSADPAGLPRGNNTNQRPSGPDGGYVTA AATTLGGGILSTLAATTVLAFLI
SAPIO_CDS7197	MTSMASESAATILINCKQTRFHIESPNYRELDIDGLNITVKSAE KSAGATKAKGKAKVKGEGTEILSDAKLKLQAGQRYALLGRNGSGKSTLLKAIAEKLIP GIPEKTRISILQQTRADDANTDMPPGEGAGAQPDSPSTGRTVLEEVIERATARHEVEQ EIRALSAGVNSSDPFAPVRVARKLKHERLLKKQFLLDKDARLRSGARGLQARKALIAG EKAVAESAALYITPEEQAKSITSKSLTLKTLSLEQPGDEIAADALQAETQEAVDMLAE LQLQVEPSRISDIEAKAKRILTGLGFSEAYMAKPVASLSGGWRMRTALTAALLQETDI LILDEPTNFLDLLGIIWLQRYLQSLQDTADPPTLILVSHDRDFISLCTDLMILKEKQI TYFHGDLPSYEAAQTDRKLWLTKMKEAQDRQRAHMQKSISNNIKAGKANDDDNKLRQA KSRQKRLDDRMGMSVNAKGQKLKVNRDLVGYFTSAREEIEVPQDDRGVTIVLPEPTDL RFPGSLISLDNVSFRYGGASRLKSQQQPLVLEEVTLSVGMGDRIGILGLNGAGKSTLI KLLVNETNPTKGTVNTHPRLKLGYYSQHAVEELQAMGRKDPALTALALLSKEVEGELD EGQVRGLLGSLGLPGRISSDVPVAKLSGGQLVRLQLSRLLWKHPHCLVLDEVTTHLDY ETVTALRVALRYWEGAVVLVSHDRWFMRGAVENVIDEEDGDGSDADGEDEAEQKRRRV VYRLRAGKLTVLENGVTQFEEALEKRVRKLLK
SAPIO_CDS7198	MKVTAAAVLALAATVAADNVRFTRAAPAQLGRLARREDDGAYEP ELLECGEGNTCAEACGAGYEQCESSASENHCFNPAAGETCCTFLGLGSSCNAGYFCAH DVSKSTVCCAEGSSLEECATLNKANGALTSDPPVPSTTETATETESSAEPTEPATTTG SFNSTTTFVPSSTKAPINGTSSAGASTTRTGTTPSTIPSQVPPESGAASLVPATAAAL FLVGAFAALF
SAPIO_CDS7200	MSADLRFDGQVVVVTGAGGGLGKAYATFFASRGASVVVNDLGGS FKGEGNSSKAADVVVNEIKAAGGKAVANYDSVENGERIIETAIKAFGRVDVLINNAGI LRDISFKNIKDEDWDLIIKVHVKGSYKCAKAAWPYFRKQKYGRVINTASAAGLFGSFG QTNYSAAKLGLVGFTETLAKEGIKYNILANAIAPIAASRMTETVMPPDVLQNLKPDWV VPIVACLVHPSNTTETGSIFEAGAGHVAKLRWERSSGLLLRPDETYTPGAILKQWNKV TDFSNPQHPTGPNDFLTLLEDAVKLGPSPAGEKLDFTGRVALITGGGAGIGRAYALAF AKHGASVVVNDLVNPDDVVNEIKKLGGKAAGVKASAEDGEAVVKGAIDAFGRIDIVVN NAGILRDKAFTNMTDDLWDPVINVHLRGTYKVTKAAWPYFLKQKYGRVINTTSTSGIY GNFGQANYAAAKAGILGFSRALALEGAKYNIYVNTIAPNAGTAMTKTILPEELVQAFK PDYIAPLVLALASDKVPNPTGGLYEVGSGWCGQTRWQRTGGHGFPVDVTLTPEEVLKY WKDIVTFDERADHPEKGQDGLKKIMANMENKKNKAGSGDNQYLKAIAEAKQAKAKGTE FTYEERDVMLYNLGIGSKRTDLKYVYEGSEDFQALPTFGVIPPFNAESPYNLDDLVPN FSPMMLLHGEQFLEIKKYPIPTSGTLVSYPRLLEVVDKGAAAIVRTGITTVNKATGED VFYNEMTVFLRGCGGFGGPKKAEDRGASTAANAPPKRSPDAVVEEKTTEEQAAIYRLS GDYNPLHIDPGFAKMGGFKVPILHGLCFFGIAGKAVYERFGQFKNIKVRFAGTVLPGQ TVVTEMWKEGNKVIFQTKVKETGKLAIGGAAVELV
SAPIO_CDS7203	MKDLCSEKLRLIPFDGKSDAHAKRLYHQRIGCGWAEDEIEAWRV KHEKGEKGLFWLVLQDGVPGRDKLMEDHSIKYPEEKELLKDSSISVLGLERTATNQDF DTIGHIAVERRPATDKELGFPADEVAWITSLYISFAMQHLGLGRDVMNIVEKFLTREP LNARTAALDTMDKKMQLSPLMTRLIYEVRGHKIPAVLRSNEEW
SAPIO_CDS7204	MATNGAQESYALPDVLAALATMRGSEHQATKKRAHEFLENFQKS KGAWGTVIGILQSETEPEAKLFAAITLRGKITYDLITQVPEPELPALQQQVLLLLKHY SAGPKPIRVQLCVCLAILAIQMKDWKDVLSTVVSALGDNVESHASILDFLRVLPEEVI EGRKITLTEEELSQRTSELLGGNAEQVIQLLINYAQSSPTAAQNPQLMECITSWLREV PLNAIVNSPLLDVLFRGLDEDAAVEEAAECLCSIFRETAEVDDNLDTIKLLFPRVIAL QPRIKKAADEEDAETFKALTKIFSVAAEAWVIAIVRQPREFRPLVEAVLECAARDTGR EVIEHTFEFWYQLKQYLVIERYIQSRVELVDVYSKLVDVLLKHLEYPSSESANEQDLF DGDREQEEKFREFRHQMGDTLKDACEVMGVTECLAKVLQAIQLWLQKYASQVSGTTVP HWQQLEGPLFAMRALGRMVDKDENIVLPQLMPLLVQIPSHEKLLFATIMVLGRYTEWT AAHPEYFERQFQYITSSFHTESKEIIRAAAVAIKYFCTDCRDLLSGQVLELHAFYNQI LDKLPEVSQEEMTEGVASVVAAQPEGEIYKLLKLYCDPLVQRVVDKAYKATTDDGKLA LADQVQLITVFVQNVKPYVRSGDNPAVKYWQEVFPILANILDNFITFVPICERICRCW RHMVISYRTAMTPLLPAMADKLASGFVASKEGCFLWVTAAILREFSEDREQVDPSITE NIYKFFEAQATTFLREMATLQPKELPDVIEDFFRLLVDALLYYPQKLVPSELLTPIFD AAIYALQLEQRDPLSATLHFLLDLISYAGDNPATGEGLPADVAVQLRGIVRNLLTTRG ESLIKQVMAGMMITFPSDCFVDGSGVLLSMFKLLPAETTTWVERTIQLLPQGTVSPVE SSRLMAKIREKLQVDDPNNIRQVRALLQDFTNSYRRRNVAPRDGLGQLSGGRFQFTG
SAPIO_CDS7205	MATPLVAAKQRIRAMMKQKLSTLSQESVTTQSRVLFEALKSFKP YKEATRISIFLSMPGGEIQTDAIVRDALQSGKQVFVPYLHKTALEAKNSPTRVMDMVQ LKGLADYESLKPDKWGIPSIDPATVNERNRILGGGAEDEKSDLPGLDLILMPGVAFDY DEVTGHIRRLGHGKGFYDYFISRYSKVYGLRDDSGRPSVLLYALALKEQFLMHPEEEG IPVGPLDEPPHGVLLGDGVIKTSKTEFSDR
SAPIO_CDS7206	MSSGRRREQGSSPDQPDSGDSSHFSPSQGDVPLQPLTRGSSSVS VRVPDPQAGSSSDQPHPPSLNNDLFPEDAALAVADPYDSQSSSCTNHELYTPSLSLSC DSPSNVSARPDLPMPLRSPFLRSGQPHPISYGSVVPSPSREISPMGSDAEAANSHALN NVSENGTTLARRPSLSGPPQGSPPLSRALDSAVLVTGMDGRFAVPDAAVSTGILEDAK STYGSSAANTSYAETGSEMEELDGTIENEEEDEDLNPIDNSSHDAVRASVSPIDNTTL SINTPRMWALSILFSFLGSSTNLFFSLRYPSVAITPVIALLLVHPLGHIWDYLLKRDG DPVDEFVDGVRRGSILNSPEDISHRNRSRWERLRLWLAQGRWNEKEHTCVYVSSNVAF GFAFATDVIVEQHKFYGQDVTIMYQLLLIISTQMLGYTFAGITRRFLVRPSGMIWPAT LMSAAMFSTLHKEDNKPANGWTISRWQFFLVVWLSAFLFYFLPGLLFPALSYFNVLTW FAPDNVVVANLFGVVSGLGLFPVTFDWAQVTYIGSPLLVPFWAAVNVVGGLAIVMWII APLAYYSNVYFSSYMPILSASVFDNKGKIYDVTKILTPDFLFDREAYSNYSRVFLPIT YVLSYGVQFAGLAALLTHTFCWYGKDMWMTWKNALKEAKEGDKPVYQPIPGSTDNISG GSGSATPREGLSRTTTGTFEGMLGKEDVHNRLMKRYKDAPLSWYLMTFASMTAIGIFI VEYYPIHLPWYGLLLALGICAIFFIPNGIIMAVTNQHSSIYLICQLVCGSVFPGRPIA NMVFVTYGYISSAQGIKFASDLKLGHYMKIPPRILFMVQMVATLVSSFTQIGVLNWMF ANVHGLCTPEAINGFTCPLARVHFNGSILWGVVGPGEFFGPNALYRSLVWFFLIGAIL PIPLWLYSRNKKHSILRKVNFPVIFGTMSWIPPATGLNFSVWALVCFVFNYLIKRRAN AWWSKYTMTLSAALDSGLAFGIVVVFFGFIYPGWTKGFKWWGTEVYKQGCDWRACPYQ DVPEGGRFGPDTW
SAPIO_CDS7209	MDPYEADPSKIPSTDLYGDIPHFGRYLPRPNDFKPDAQYIQSTT PEAIAYWTSVLGKCTPEERIYENETGGRDVFALGGVIVKSSHLKDEPGRDYTLADANE VAAITLARTVLGSIRTPDIYFAGKINGRSVLVQERIPGVALCVAWRYLSQPQKQSFKE QARDILRKLHTIVPPPSDPGRSYVVPDPDPVSNRGIQELERDIIFAEHADDPDVGFMH NDVSQSNLIVDKDKIVGLVDWEMAGFFGWKTAEAVALHIRGVKREHYAALNLPEERLR DILFWNDLYLEAVSRIYI
SAPIO_CDS7212	MKLDTRAMRHLASEDWRVLTAVEMGSKNHEIVPTPLIERIARLR GGASGVHKSISALAKVGLIARVKEAKYDGYRLTYGGMDYLALHTYSARKEIYSVGNRI GVGKESDIMVVADDKGVQRVLKIHRLGRISFRTVKSNRDYLKNRSSGSWMYLSRLAAM KEFSFMKALQNEGFPVPEPLGQSRHTVLMSLIDAFPLRQISSVPDPASLYADLIALLL RLAKHGLIHGDFNEFNLLIKEVKTTSKNEETNEVEESVELIPILIDFPQMVSMEHRNA EMYFDRDVACVKRFFERRFHFVSTTPGPFFKDAKKLVGKDGATRLDATAEASGFTKKM AKDLEAAIREQIEARGDEGEGSDEGDYEESEDDEEGEEGEEGPVIIGGAEDAQEEPLV EGTAKLKIEEGK
SAPIO_CDS7213	MAQDIDMTTPEDKGKGKATDGPKDDKPVINGKKEEEKPDAGEEL SEEDQQLKSDLEMIVERLTEPDTSLYKTALETMKTTIKTSTSSMTAVPKPLKFLRPHY ETLTKLYDEWPQGEDKTSLADVLSVIGMTFSDEDRQDTLKYRLLAPTSDIGSWGHEYV RHLALEIGEVYGKRFASEEDTDDLVELALLLVPLFLKSNAEADAVDLMSELEIIDRIP KFLDKDTYPRVCLYMVSMVNLLPYPENELFLKTAHDIYTQYNQFTQAMALAIRLNDVE LIKEGFSRCKDPAVEKQLAFMIGRQRIALDIDANTAEEQDVLECSSNIKLSEHFKALG KELNILEPKTTEDIYKSHLESSRVAGMTNLDSVRHNLAAAFVNAFANAGFGKDKMMLV DEDKESWVWKTKGDGQVSTVASMGMLLLWDIENGLDRIDKYTYAPEPEIAAGAMLAIG IMNSGVRIDSEPAFALLGDSEKLNHKDPIMRTACIMGLGLSYAGSNKEDVLELLLPII QDSQSMQISAMAALACGLVFVGSSHPDVTEAIITTLLDDDRKDQLNDKWSRFLALGLG LLFFGRQEEVDVILETLKAVDHPVARPTAVMAEICAWAGTGAVLKIQELLHICNEEMK ESEDRKGEELLQAYAVLGIALVAMGEDVGQEMVLRQFGHLMHYGAPNIRRAVPLAMGL VSPSNPQMKVYDTLSRYSHDNDRDVAVNAIFAMGLLGAGTNNARLAQLLRQLAGFYAR DQEALFMVRIAQGLLHMGKGTLSVNPFHTDRQILSRVATAGLLAVLVAMIDASKFITL DSHYLLYFIVTAMHPRFLVTLDEDLKPLKVNVRVGQAVDVVGQAGRPKTITGWQTQST PVVLGYGERAELEDEEYITLNNTLEGLVILKKNPDWDDGK
SAPIO_CDS7214	MPGISPAASQSFPPLGKRRGISDLASFSLDLTWADKRAESVAAA RSRAYPSPPMSGSPPLPPKSRSEAGDRSQIHGPYPAPPQQPQHPQDVYRGQPPHQSRT EDIRAQLPPALPPPPPPSIRSYPPPETSDRMGYYHPLEGPVPRRLSYPPPGPSQILQQ QPLYATAPPVTGAPPAYNPPTQPPAPVAAAFEPPRPARKAKGHVASACVPCKKAHLRC DAQRPCSRCMMNGKEESCIDVQHKKRGRPRLRDDRDPRFDGSRFTSGQDAGLRRPLGA YPGGPILSGYEATLRRNSSSHRVLKSQPSESTGPRFIEHALPSDANVYPAPPLSIATR PPEPVAYLTTELELVKASTTFAESVGISSLAGRSLFDVVVPADRDRIGSYKRQLQDDR SRQEPRYLPPIFNKQESERVIQTLGFGPEDVSRIPLDRQGHLVFQAMDGQQRPQSFQF GLVKVESIYVVVLRLSVVPRYPYPSPSPHSRDSAVASYPYQAQAPPHHQLQQPYMQHT PVSATFDPNRPRFDQGPPAGRPVTAPPGTQLLSGPSPGVSPGVPAYSPSPSRPEYAVG HSSYQIPRSELASASRTPQATSFQLPPIRAHPQPGTMTMGESASTRDERSGRVDIGGL IDRPDHAQRR
SAPIO_CDS7215	MARFDTTLPLIPLTRGTVLFPGIVQRIPIPAASSHISHLFSSVY ERAASQRPSNPLDAIQLACVPLASPYLSPSGQPLAGRDPDHHDLSFLDIDTSDLTTEN LFGYGVTIRALGIQGTGAGAELRVEGVARCKFKAIANERQYFEATEFEICTDQVSQKD KKTRELFATLKSQSLELVSILRVSSFFNRSDLRAALSPLLAQRLNLFIMKRELKDAGS LADFMANILDTSYEEKLQILAAVNVKTRMAKVIELLQREVSDIKGNMNITTVTAIPTQ ILDRLNNRQIKPAGLPTTGAALNMTPPSGMPPSAQDNGKDPNELELLQKKLDAANLPP DAAKLAEREMKHLKHMMPGGNEYSITMTWLETLAEVPWSTVTEERLGPETLVKARKQL DEDHCGLEKVKKRLIEYLAILRLKQSVNDDIEEQIRKAEAEEAKLNAEREAEAKEETP NPHEIPKVASTKLEALKAQRAVDKSPILLLAGPPGVGKTSLARSIATALGRKFHRISL GGVRDEPEIRGHRRTYVSAMPGVIVQGLRKVGVSNPVFLLDEIDKLGKASNMGDPSAA MLEVLDPEQNHTFTDHYLGTPLDLSKVLFIATANNLENIPAPLLDRMETIYLPGYTTL EKRHIALRHLVPKQMRANGLSKGQVVFNEKVVSKVIDSYTRESGVRNLEREIGSVCRA KAVEYAEAKDGGRLESYRPEVTLEDVEEILGVEMFEDEIAEKTSRPGIVTGLVAYSSG GNGSILFIEVADMPGDGHVQLTGRLGDVLKESVEVALTWVKAHAFELGLTPEPNTNIM DGRSIHVHCPSGSIPKDGPSSGIAQAIALISLFSGNPVPPTMAMTGEISLRGRVTAVG GIKEKLIGALRAGVKTVLLPAQNRKDLKDLPPDVTDGIDILFVNHIYDAIRLVWPNSH WAVDEKKLASVESRL
SAPIO_CDS7216	MASYGGGYKGGYGGGGGGGDDAGGFVYGSQQGSQGGNQKSQRNE TLRPLTIKQMLDAEETYPESAEFRIDGAPVFQMTFVAQVRQVNRLQTNIHFKVDDGTG VIEGKKFIDADKSDEGPEYTIQTDDYVRVYGHIRSFNNNRHIAIQVIRPITDYNEIHY HLLEATAVHLYYTKGQLGQQGGGGAAANPAGGDSMFVDDGGYGGGAGGDANQHAAGAA KLGNVSAAARRLYDFMLNAPGGNEGVHLQELSKGLGMTAREVLAAADELLGQGVIYTT IDDETWAVLEY
SAPIO_CDS7217	MAHYLLGADDMDYLSAEQKWRLHVDIMTYITAAHLLKGIVTTIG PEHDCVSVWLPPGVNADGWWISLRSGLWRMYYLLSAEGRRRYFQELLPLLYNTKLEVM GSRDADCYYLVYLGTKPKARGQGYASKLLRDMARVADAENRPIYLESSSPANTRYYKK FGFEAKKDITLTRGCSPVLLTAMVREPQPRNVTYQSCKFQGAEVKA
SAPIO_CDS7218	MSTTTTTTAQPITTETILRLFPDIDTRSEALTGHDEEQIRLMDE VCIVLDENDSPIGTASKKICHLMTNIDKGLLHRAFSVFLFDDQNRLLLQQRAEEKITF ADMWTNTCCSHPLNIPGETGSTLPESIEGAKRAAQRKLEHELGIKPEQVPIEKFHFLT RIHYKAPSDGKWGEHEIDYILFIKANVDLDVNANEVKATQYVTADELKAQFKDPSLKF TPWFKLICDTMLFEWWSNIDGDLSKYTNNEIVRM
SAPIO_CDS7219	MDRNDDSIADGAAQNSLAAVLSSSFRSRSPIAEEAIARDVAQCH RHHGEEAIDSESEAPVDMLGPTMYRRPSGVAYGPARPVFDDLSVDAPVLTPREMVQSR DAERVLLQDNHILPPTESETKPVSSWWGRWVAKPRKRKEGREPQETTPLLPGHHHEAS YGDEELNQQWNEAVSAGRIRTTWAREARTIGSYAAPLLVAFMLQYSINVVCIFAVGRI GKVELGAVSLASMTSVITCYAPFLGLATSLDTLCAQAYGSGHKHLVGLQFQRMTYLLL LCYIPIAVVWWNGEWILAKVVPEPRSAELAGQYLRVLIVGGPFIGIFEAGKRFVQAQG LFHAVTFVLLAAAPINVFANWLLVWKLELGFIGAPIAIVITEILMPVFLLLYVRFVDG YQCWGGFSKRAFTNWGPMIRLAIPGMIMIEAEYLSFEILALASSQLGTSELAAQTVLS TLISIAYQLPFALSVAASTRVANLIGAGLVDAAKISAQVTVFLGTLLSVFNSSVMTIF RESLVDLFTKDLEVKALVLAVMPVAATITTSDGLSVSAHAILRGIGQQHIGGYANLVG HYLLSMPLAFGAAFGLGWKLKGLWLGLMCGLIFVALVEYIYIYLTDWKRAAKEAEARN TAE
SAPIO_CDS7220	MLPLGLLNAAQGHPILVELKNGENLNGHLVMCDTWMNLTLKEVV QSSPEGDKFVRLPEAYVKGNNIKYLRVPDEIIDIVKESQQSQQAGFRGGRGGQHRGEH GGRGERGGRGGGRGQGRGRGRGRGA
SAPIO_CDS7222	MTLTTPPREEDAVSPTFAQTTAEFTLSSDDGSSASEDDGLGGLG SASEEETRALKGKERGRGRKGRGKDASLEADDEWTPEDDDDDDEDEDDYDDDDDDDEE RGISGIRLHPRSASVSTTASFQLYTHEEEEEVVRTFDRKLVVFVAFLYMLSFIDRSNI GNAKIAGMHEDLQTDPPREDWYEWALSSFYITYIIFEWMSLLWKVFPAHIYISALVLS WGVIASLQAVATSYPMLIGLRGLLGIGEAGFTGVPFYLSFFYKRSELAFRTAMFISAA PLASSFASSLAWLIVKFGEASPIAPWRVLFLVEGFPSIVVALAAWHIVPDSPQTARYL TPRQKKVARLRLRPEKAHLPKSTTTASSSAPSSGLSLRDVLSALIDPVSWLTALIFFL TNMAYASFPVFLPTILKEMGHSPLASQGLAAPPYLVAFLMVLITARASDNARSRSPFI IIHALQSLTGYALLAYAEPLGLSPTIRYLALFPAAVGFFSVVALTVTWSINNQPTESR QGGGFALLQVIGQCGPLLGTRLYPASHAPYYARGMHACAAAMLGVAFLAVALRFYMRW WNAKMDVREAVEGGEVVDGEEEEGLVRSGSRWKSSRTSFRYML
SAPIO_CDS7224	MDEFINWDQVGGAPLTDPFDPSAHNVGGNDPSLDIDLALENVND DDFGFFALQHFSGEQALPGMLASELDPVHFALDAEGGSLGPMSANCFGIPPVPCFQCA GAGYHCKIIREGQHKGYCTSCVALRLECSLAAELTTSFSEPIDQQPSWAARLEQASLD FSLDQNPNTTTTMNPTNEAAFNPSQTEQILSRNLSGAGTQLTPSQLATPALGKIGARL SRESIRILRQWLSTHQRHPYPSDEEKDMLQRQTGLTKTQITNWLANARRRGKFPTPGR STSPQVGFGFGTPVDIPVRRATPALESMNPLQRWVDSPPEHEPASAIAIARAVTASTV SSDRATPSSHVYTDDGSGRSLHGSSASSVGTSHSSGGSFASAYSHASRGSFGSFSSFG RNSRRRRRRRNAPKGVSEKAPLAAPLRTYQCTFCTETFKTKHDWQRHEKSLHLSLERW VCCNKGPKAVKPDIKIMACVFCGEPEPTDEHIETHNYSTCQEKPVEGRTFYRKDHLNQ HLRLVHNVKFVDWSMKDWKVTTPEIRSVCGFCGISMNTWSIRVDHLAEHFKMGYSMED WKGDWGFEPDVLELVENSIPPYLIHNERNSPFPYVANNAPPESPRNAYELIKIELDFF IQRSKESGMPTDDQLQVEACRIIFASEVVSLEGIASTVSWLRDLIMAPAGIREQAQFG PLRSQAENRMAILKINGKDNLFEACPLEFELHDFVRAKKLLGLTAMDDELQEEACRLV GKMEEVSTHPSEVVANWLIRVIKMSTNWLAPFRARAQLPRSEDILDSKRRPADPTKID STIHNYSRLERELGEYVDFQRSLGVEPTDADLQRQARIIIYELEDGWNQTAADNSTWL AAFRRRHNNQGRSPKDDSGQDNSPQSNSTGTRSTSHTLQEGPRNGLSGEATLLTRGSE RIETGKLFLNDANCYRRLAGELGRYVKSAMSAKNPNQHIPSDEELQHQARWILYDDDD PWNQTAADNAEWLQRFKRDVGIIKEGPGLPPAKPWSVKAGGSGFAPPYTYFKATSAPH YNDSVVQVPVGPAFCEFETSTSTANRFIETMGTRYPRPGRVFCARELEEGLRSLVHFE VKNRGQFPDDETLRQRGRDILGTQDTAADDPVLLDKFKTLVREELGGVGTAVSGETSP NMILAPVPIPIPVAAPTPVPASTMGPALGQAVLDFSTNPTPGLMAGMDISMSDDDINN MLVQDMDFDTIFGQQSMAMGDDGSSR
SAPIO_CDS7226	MHIRDMLEEAKRTHQPSFSFEYFPPKTAQGVQNLYDRMERMFML GPKFVDITWGAGGRIAELTNEMVVQAQTLLGMESCMHLTCTDMGLEKVDEALRKAYKA GCTNILALRGDPPRDKEKWEVTEGGFNYAKDLVEHIRNTYGSHFDIGVAGYPEGCDDN KDEDLLLEHLKEKVDKGAGFIVTQMFYDADNFLRWVKRVREKGITIPILPGIMPIATY ASFLRRANHMGCKIPPEWMAAMDPVKNDDLAVREVGKRLVADLCRKLLASGEIYHLHF YTMNLATATTMVLQDLDWLPSAERPLKQALPWKQSLGLGRRQEDVRPVFWRNRNKSYV LRTQEWDEFPNGRWGDSRSPAFGELDTYGIRLPGTSEQNRKKWGEPKTVRDVANIFVD YLENRYDSLPWSESPLTSEGQVIRDELVALNKRGLITINSQPAVNGVKSSHPIHGWGP ANGYVYQKSYLELLVHPALYPDIIDRIKRHPDITYYAVTKDGTLESNAPTEGPNAVTW GVFPGKEIVQPTIVESISFLAWKDEAFLNNDFQQPKTIFEILEGLEVKDMDAPAIPHT HEAPTSAPDAPANGPSAKEPAQANGAN
SAPIO_CDS7227	MASQLFPKEDVPALKAWIVKRLENTSDADADVLAEYVIELLRHD GDVNSIRELCKQEIRDFLNDDDSSLFIDDVFKAIAEKLYLPPEARPPRPSANPTPIYD PNQPLAGFGPNQPLEGFNPTQIQSLQPQYPGQTLLNGSRKRSYQERLDDGEEHGVPGY QPGDTSRVIKQARRGYGAGRDSQRRNHNLPLAPTAISNLPLPPGFAQFDQVPETLPFP PPFIYPGQAKSGAGGSRPRRKQRCRQFDTQGFCPRGLTCPYDHSLPEFTQVQPVPMTM EINDAYDPNEPNFILYATQQSLAPFVPPPNGKGPVKRRRGDKSRKGTRDRLPRTAFAF EGPIKDRNRTAIVVENIPEENFNEESVRGFFSQFGQIQEISMRPYKRLAVLKFDTWDS ANAAYQCPKAIFENRFVKVYWYKEEADVPTKGSGGSSDARAEADGHQGEPEFDMNEFL RKQDEAQKIFEMKKQRRAELLKQKEELDKRQQELLERQLEVKRKLEAKLGNTPGSSEG TDGGNAAGNSTTESLRAQLMKLEEEARILGLDPNSDASPLDESVGGPVRGGYRGRGAG FRGSSRGRGSRGGYRGGFRGGFGDVHEAYAAYSLDNRPRRVAVTGVDFTAPEKDEALR QFLLGVGEFAAVETTPATTHITFKERKTAEVFLLQLHNNSLPGVEGTLKVTWVPNSTP SLTTNTTTTTTTATATGDTARVTDEKKIGGVDGADDDMLEDGEVVEDEGKRDMDYEVA DENEWGA
SAPIO_CDS7228	MVNFTIDEIRALMDKPTNVRNMSVIAHVDHGKSTLTDSLLAKAG IISTAKAGETRATDTRADEQERGITIKSTAISLYGALEDDDDLKDIVGQAADGNGFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVVIVN KVDRALLELQVSKEDLYQSFSRTIESVNVIISTYLDKSLGDVQVYPDKGTVAFGSGLH GWAFTIRQFAVRYAKKFGVDRKKMMERLWGDNYFNPKTKKWTKSGTHDGKQLERAFNQ FILDPIFKIFNAVMNSKKDELAMLLEKLNLKIAPEDRDKEGKPLLKVVMRTFLPAADS IIEMMILHLPSPVTAQKYRVETLYEGPPDDEAAIAIRDCNPKGPLMLYVSKMVPTSDK GRFYAFGRVFAGTVRSGLKVRIQGPNYTPGKKEDLFIKAIQRTVLMMGGKVEPIDDMP AGNIVGLVGIDQFLLKSGTLTTIDTAHNLKVMKFSVSPVVQRSVQVKNAQDLPKLVEG LKRLSKSDPCVLTYTSDSGEHVVAGAGELHLEICLKDLEEDHACVPLIISDPVVQYRE TVQTKSSITALSKSPNKHNRIYMDAEPLSDELCKDIEDGKISPRDDMKARARVLADNY GWDVTDARKIWAFGPDMTGANLIVDQTKAVQYLHEIKDSVASGFQWATREGPLGEEPM RGIRFNIMDVTLHADAIHRGGGQIIPTTRRVLYAATLLADPTLLEPVFLVEIQVPEQA MGGVYGVLTRRRGHVFNEEQRPGTPLFTIKAYLPVMESFGFNGDLRQATSGQAFPQSV FDHWQILPGGSPLDATSKTGQVVQAMRKRKGLKVEVPGVENYYDKL
SAPIO_CDS7229	MSNTNPNALPLDEARAFNPVFVVYFLICTGLSIFFLLYFNRVFA WLAAYGIRTWTWHRYRIYIDFQALQISFLGGRVFFTGLRYHGNNETFHVQNGHITWNY WLRRVRDVDISTKSTSPHEADEKRQNSSLPCRVNVSLNGLEWFVYNRSPVYDGILKNL SDDKPPTDLGTEDSAEEKTGKVGQSGTEGASIPQYGILSSPDNVQACEEPGDCDDSVS SESSDLPFLIQLFPIHVECRTAALVMGNENTKAVLVIKTGEASGDIDAAESGSVDLYR QLFKFNFRHPVVEMRPNEDYTEDQESRATRGKRPVQNIPPIKKRAFLLRTGRRLTKRL RNMVPFWRKSVESLSPIRNEGPLPTRPPGTDGWQGLSRYLNDDMEDKARWASVEYAAN TTIIDSPSATVALFWDVPAKITQAADQSVENINGDDTPPAWGIHLSIQGGTVNYGPWA DRQRAELQRFFFPAACKDAIPAQRLKVGDYRVATKFTLFVELDKEVTIRVPTKEPSKN WRFKSTVLPTQQNQPTQKRKERNREKKLNTNDPSRIRPAGWLDLRVSANATISFAMDM FAGSAGYSTTVDLDLPETELSSSVNHELLWRSGPQRISCDLSSPLKWNTLRTWRFDIS SDNLDLFLLREHVFLLIDLVDDWTTGPPPDYLVFTPFKYNINLDFNNVKVFFNVNDAN VINNPTSLDENTYLILSSKLLSASVSIPIDTFRPPKNAIPFEIKADALEASLHLPLSN TQATFLRSKTIGRAENAVISGEYHYNATTSPANTDTLIANISGQSPTVTAYGFLVRYL LSLKDNYFGDHVHFRTLEEYQKSLRQEPDSAAAQNSHPPHQISNDLDVILNLRVDDPR LLVPANIYDASNNIQIETASLGIDLRFTNYYMDLEFSVSPLNLSLGVGELGDDGSPTE AVSSTQLFIDGIRVYGNRLFGLPPTEPTYLCNWDLSVGSITGECTAEFLTSLARGGKA FLFGFDDEENALVPYSSLIFYDVTFLRVMVESVKVWLHVDEAAFLFSTESISVSFNDW ARTHYSKRGNVNIPNVQLACFDSETAARHKSRHRHDITPEALLHTSVHVALIGRKFEF SQERKLQQELVRREDQRTHRTQFLLLPGLPLDEFNPTRIDPPAQVVPPMPEPISETLP QDDGKSETTTGTLSMPMPSIRRQSSFLSLSSASQKSVVRTDPSRSRSRGKASFVRARP ERSAMHLREFSTSSERHSAFYSASGENIYGDSVRPSVVTFSSQYYPPHFPLENLQPDT SDTAIPSVETEDADSFGTLELDLEDIDPEILSEDHIYSSFIIEFPTGISGFLNPVSAR YVASLLSALQPTSPEDVLDGLQMSSMTEIVDLKNLAKVRGEITDIMIKLPSANIRFIN STDVGFQRTTSPAHDQYDALCRNVALVTRGTTKWDDPFKPETKESRRSLHLRLGSAEI TVSERFPEMNETQPAVMAQIEQAVVSVGSRDVTYIDATIGAIRATTASGKIDYLAGLI HRTNLMVSEMEHLFSDVLSKTEDRLRYFIHHLAVLSKQTGDASFFTRPSAVLRCVESH LRTFDSWKLILRLRQIWSEMSIEEQEALYLACWASHPTPPENAAAETMDAFQKWRSWD LGDLEESVLLKMVFDLPDRKPQMQDSLPLLGACQIDEIELVLDPGPKENKTTILDLTA RLERSNKRHDVSQDLQDVEGQLTVLNIYCDEAAININWELCELANNVLRLYSETLAAE ESPSRSPPPGSEVGSPSPRKPESLHVVVSLGNGALAVDTINLSAKTLAHGLTVSFLSH DVEAGGSSSNLLVNCDGITSRLHGRSELLGMVQLRQPSLFASHQMQEVDSVNSHTVKS TASSRSLTLIVKQDPIALLEVLDLLVRDEAMQLYRLKDQLPSSSPKPKRRSKQIAERL SAYRVNVALFLDEYKISAPLLQSLTYNVSGVVSRAAIAANFGKELIFDFDISENSHEI QIEVNNEPRSISLLSIPPTNGRLTSHIGDSEHALALFASMELMQLDASAVYSLLKALN RPEISNTIHDIQEQVQSITAHMDDLFEPDTVVQATPVSPTKSRTVYTVHLTLAGLEIA GTTPLDSRTQPEAQLLACLNRMHFEMSNQVEARGPILEHPELHVHLGEILFDLRKGRE GEMKSCGNVSFSALISATTRPGTDGEDERAFSFRSDGFEINLSPETISTLVDVLGYLG DKIKDLDTSRELEYLRKLRQSTPKIAINDEEEADGADFIDSFLSSIVYQFEVHNAQMT WLIMDGFETPRPGEEDLVLSVQLLEFGTRKTKSARLAIQNFQLQMVPAGSDPSVRSHH SALLPEVVFNVAYFSTPDARRLAFQAVGKSLDLRLTSGFIIPASYLKNSIGLSVKNVQ QASQNWNTGISSTKPDEPAAARNILGAKRLESILVDADFAGAVVHVSAKKPGESQKPH NRGGRAGAGGKYGQFSDDTGGSMSLRSPGLAWKMEFRDNGKDDPSLYGEVKIEESSNV LHPSVVPLFMDITSSIKDVVSSDEENPATIPVPTSSPKQGEEERLLTADTDEVLGRLR LNLGMRICKQEFTLSCQPIARVSATTHFEDIYLTVNTVRSSEAGNFLAISSTISNLQA SVQHVYSRESTGSFEVESIVLSLMNSKHFSGRSGVSAILKVSPMKVAINAKQLQDFLL FREIWYPADIRRTSVAPVAKLQTETSQGHLVQRYQQVAATAAFPWTATIAISSLEISL DLGQAIGRSVFAINDFWVSSKKTSDWEQNLCLGFDRVGADCTGRLSGFVALQDFRLRT SIQWPMREQALNETPLVQASISFSQFRLKAAFDYQAFLVADITSLEFLMYNVRRSMAG GGDRLVAIFDGDAIQVFGTTTSAAQAVALYQGIQKLIQERKGNFETSLREIERFMRRT PSSLVSTSQQSSQLPKLPGDDTLSKSPISLDTDVVVTLKALNLGVFPSTFSDHQVFKM EALDAQARFAANVVQRRVHSILDLTLGQLRIGLAGVRNIDAPKTLNEISVEDVVERAT GSRGGTILKVPRVHATMETWQSPKGKRIDYIFKSAFEGKVEVGWNYSRISYIRGMWAN HSKTLEQTWGKELAVISIKVTGVPDGQGGEEGEGGEGASGRQKQKKITAEVNVPQSKY EYVALEEPVIETPQLRDMGEATPPLEWIGLHRDRLPNLTHQIVIVSLLELAGEVEDAY ARILGSS
SAPIO_CDS7230	MGGTGHRLASTTIVVAGVAALTATLLSTISIFLQAVPIYAIASW TSMISITASHFLDPIRDIYEAFTIYTFFQLLINYIGGERALIIMMHGRAPVSHLWPLN HVLAKVDISDPHTFLAIKRGILQYTWLKPLLALAAVIMKACGVYEEGYIGLKSGYFWS GIIYNISVTVSLYALGLFWVCMNKDLKPFRPVPKFLCIKLIIFASYWQGFFLSILVWL GAIPDDVDGYTPDNLAAAIQDALICIEMPAFAIAHWYAFSWKDFADNSIFSARMPLGY AFKDAFGPKDLIEDSKQTFKGDSYGYRSFDSGDKIMAHEDSRSRLARIQEGMRYERGG KGKYWIPKPGQSQVSATTPLLGPAYAGSSSRAQTTSDSSGSTFDEELNLDPEEERLYD KARELEYGDWNYPVITASEPLHARYQTPPLRPTPNRRPPSKPAAQNLGPSEGTPVTKK KKRRPPPQESTQSDRGGGRSSLQQVATESRPHALPPVSQGYTTTATRDEPTLDDRGTS PQNEETMEEPTFTPRYEVNENDEFRNVWG
SAPIO_CDS7231	MMRLRISFAHILLGGLATVEAADLAGFVRTNTGSIGGGNTFPGV TRPLGMIKLGPDLYNGRDSYSGYQPDGNFIGFSMLHESGTGGAPKYGVVAQMPVVGEI PNPLADISQPRGADDVTEVGYYRSTTQNGIEIELAAASRAGFYQYTFPEGQGDPAIVV DVSHVLKSYRGMGLEQHYLGGGISVHKEGEQGHLSYRGYGRYDNGWNKAPTWVVYFCG YFDKPATYKTFLGTRPDGEDLDWYEERDKVDFSLNRLGAVFSFKDTEVKSRVGVSFIS TDQACRNVNNEIPDGTSLSKLRDDTRKEWGDKVLSKVTTTETDPELLARLYSALYFMN LLPQNKTGENPLWESEEPYYDDIFTFWDTFRCTTSLFHILQPETYEEFIRSWVDIWRH EGFMSDARSSFWNGAVQGGSNVDNVFADAYVKGVRGKINWEDAYQAMVTDAEVAPPPG TDNRDAGGSAKEGRGALPDWLSRGYITTKFARSVTRAVEYSTNDFALYQVALGLGHKE DAAKYLGRSRNWRNHWNKDMAALGHSGFLGPRDEGGNFIDQDPLSCGGCYWGNHYYQG LPWEYTFNAHHDISTLIDWSGGKDAFIDRLELTFRPGIISGNEQFDHTIFNPGNEPSF GTPYLYNFVGRQDLSSLRSRFIAKSYYSPTPGGLPGNSDAGAMESWLLWNMIGLYPLT GQTTFLIGSPWLKDLTINLGGGAKLEITAQGASSEDAIYVQSLKVNGKDWDKAWVSWN DVFRDGGKMEFILGKSPVNWATGPPPPSPAVGDGLDVPEPPESPERRRSLTTRDYIAG GVIVVALGGSVGLIITLGYKHVSKKKDKTAKSGYRRARVSDSGEEPESDRPFEESDSD LERALDTRSRSRRSALRS
SAPIO_CDS7232	MQHSGQEPVLTPESSSPPRPIHYLSLPVTTATPSEDVADIPSTT SDCGSMQATTAGGSYVDASHWAAILDGIAEIRDHFEKEEEASLAHYRAFEPHSTDLKC PQLLYGCPKLATKEEILAAMPARQVVDRLVSRYFNSFEMSPAVLHSVQFLKEYEEFWE NPTATPIIWLGLLFTIMCLAAQFQMSRSGPGLQTPETMSMEQELQSMIEAFRQKTIQC LVLGNYAQGGPYVLETLMLHIAAELFIRNDAEIGLWILLGTIVQLAMHMGYHRDPRHF KGMSPFTGEMRKRVWATIVELDLGISAQMGLPRLIKQWHTDTSEPSNLQDADFDKTTT EMPPSRPETEFTPMLYRLVKARIMKVIGFIWDFAGDVRPHSHNEVTRMETMLQAARES IPECVRWHSMAHCITDSPRVVLQKLVLEIIYHRARIVLHRKYLQYSPTKTQQHARSRQ TCLGAALKLLDYQHMIHGETLPFGRLYQDRWKVSSLVNHDFLLATSILCFYLQQTRAD DTQAPMAKTIEESLKRSHDIWIQSSSSSKEAQKAAKALNVILKRPNSTLRPDSDIESG ALLESLSFSVYNRPGDYVQDNTHLPVFDVTTPMSWENPARELMGFFPAASPATATSWQ MMDGEGL
SAPIO_CDS7233	MPPPELSPPRLETGETSDSKDSGQYEKGLSEESNIVDWDGPDDP MNPLNWSAAKKHTHVAIVSLFTLSALVFSSSPIAHNNADMHIITCVIRNLAATMFAPG VQELVSEFSITNSTVAAMTVSLYVLGFALGPIILAPLSELYGRLIIYLVCNVVYLAFT LGCAFATNTAMFLVFRFICGCAAAGPMSIGGGTIADVTPQDKRGKAMALFAMGPILGP VVGPIIGGFVSEDIGWRWTFRILSILSGVIAIGTAIFMRETNAAVLLKWKATRLRKTT GNEKLTRKLSKEETPREMLLRAIVRPLKLLAFSPIVLFISLYTGILFGLIFLLFATFP TVFQGVYHFSPSTSGLAYLGLGIGMMVGLAVFSILSDRLLRPKEGAEARPEDRLILMK WIGPISPLGLFMYGWCAKYETHWILPILGTFIIGFGSLFVVIPGQVYLVDAFGAEAAA SALAANLLVRSPFGAFLDLSADPLYERLGLGWGNSVLGFICLAFTPVPWLFYRYGENL RTRFAGEL
SAPIO_CDS7234	MGRKPNQVIASYFHRGPKLADNSNRYPHTCKLCGEDFPKGRIDS LTSHITKPGKCPAISESARIQACLALHSIPNPAALQRKHGARAGENGASPADASMTAN WSALEALAEASRRVNMSEKHDNRDHESSPVTPSPSHYMVGAQFTLDNPPTSYGNPTQA IRAVGGAPPEGEGSSEARQDAAQEPSPTDAAHISLPLPGPPEASIDSTNLSVAAAAAA RLHPSFLDPQLIAEEVTASLAPTTEPSHAITDPLPPQNASSPPWGEITYLATSPTAVA HHHHEALQAPVPLQKGGVRMDTGDGSANGRPRHSRARFDPTRRKEVQEVRKIGACIRC RILRKNCGKGNPCDTCRKVLSPRVWRSGCVRTRLHEQLDLYSAGVQVVLAQRRYNLLK SSLRIANTGTIVEASQFPDTGHHILLPVFQTLVTPPSSPPPESAETETKTRSVMIDCE AEDIPNVIEGYMRQVLPVLIEREPSHFVRVLLETAAQLVEEPGGDLLRRALELWGLVE IIDRERQWKLMEKRPGEDNSEPRYIRETSEKPDLDVYTIMCLQLNAAAERKANATSMT LLNGMQRILQDSKIKIGFPMFITALVFLNCVEKSTWSFKAWEQDEIRVGWPLEREPGS FTSQGETLASLLRLLLIIRRVLPPTERAESGKLILCNEADPVTAAFFHNLDLDFDVVQ SRRDKPEFDPGDSRSLELAFCSHLLLPKPAV
SAPIO_CDS7235	MDERAILEFYRLQTLYPTEWPEENNSDASDSEDEVKKKMQRRKS RYQALERTTTRRSLIGAESTSKGAGGGGGALVQKDEPDPLGTTDSLVRTLRQLGLPVQ ENARLRNRFLLSSTTFTPSLFLSQVHSTADSQRLLDGLNMLTRSIDEKSASLKVLVET NFERFVKAKAAIDNVYKEMKYRGTEPPPTGRPRGHSRRESRNSFRASGVNNPLVSPVN DPRKRNALVKESEYGVLGIKTPLLDVTAKAEDVWGPALGGREKEERLKTIAGNIDRFK DYTELSSAIADSIKGKDYESLVEEYNRARRIADDARALAQRLGSTTPTDSELYQLILA CRMWHDVDEQIQVFKREVWRNLTSVQTIAKADNLPGHPEDRHMELISLLLELGVDDNP IWLWLLSRYDFLKGKIQATADRAKVEIEILRRRLASSDKPSPQVTASYLRSMSRQPVH NRPSSLDSSDVVELWEKMFSFVSTMLSQQGLLGEIVGFWHMVRDFVSGTTQKTLPVGY NGESKQFHRLSAEGTVSLEKAIVELVDIVRDNIFAFFAGLPPEDISLLFSPLPPSPKT PGGLSSMSGSLSPGGPRDPRFNLDPNNLPPPSPKRGEAWEKFAFWPPWSNSISGVHYL SKMLALIGAGAAEFATIKPLGSADGAAVERLKALVGAARERSVTALCAAWNKDAENIK FVEDWHRGDTGDVTRMPASFAAFEGALLAGMQKILYISEAMAKPGAEFIVLPPQAKLL QMVRSQYVTTLYKALSGMVENAERSLKQADDEWTTDVDSAAATAATVSMTTGKGTLDP SDRNVRMLLTLSNLQALRSQVVPALNTQFENAFSVKLTDESKTIRDVLGQIDARLFQT YTRPAVESFRGIIKAGISDPEWNPPPGVKPTAARPYVYEVLLGLVLVHSQVSTTAPSL TSQVLSFLLEQISRELLDTYRTRHKYTLEALMQATLDVEFIAQTLSQYTTDRASELQG QVYQELDSRTDDSTRAKLQGELPEMRSVLKKLREASKMEFACFRKPKRPQQGDRRDTA MSG
SAPIO_CDS7236	MRLTADLIKDSLSYLNPLKERELDLRGHRIPAIENLGAAGNLVL ASNNFAELADIDVLGTFKRLTHLVLKDNPVAKKEHYRYWVIWRCPTVRFLDYQKVKDA ERQHAKKLFGTEEKPTSLATEIMGVKSSGLGAAVTDGVADTSKLSRIKLTDKEKKRLQ EMIRKASSLEEIIRLEKMLNEGRLPPGFHTDPDAMEE
SAPIO_CDS7237	MPYNTTAIPPRKEAEATGQTQLPLSRVKKIIGTDPDIAICSNNA AFVITLAAEMFVQHLASAAHDATKLDSKPRKNIQYKDIANAVAANDNLEFLEDVVPKT HTYKSIRQKAQETRAKLNSELGLEDKPLSNGKKQKLQVNGARVMNVSRATDEQSEDAN AQLEWEASQAAGEDVLMRD
SAPIO_CDS7238	MSSPSTSFSSTLPRLAVRRPSVSSRLSHAVSSAERGQVDHTDTG EQPVEEEIAEIKRYEDFTTIDWVQDAAREQARRRAKRRQVAGLYDHGPPGLKQRLWVT YDAIQGWIVITLIGIAIGLNAAFLSIVTEWLADFRLGYCKSGFYLNESFCCWGENRGC ADWHRWSFEPLNYIFYILFSGGFAFTAATLVKSFAPYAAGSGISEIKVIIAGFVMKGF LGFWTLIIKSITLPLTIGSGISVGKEGPSVHYAVCTGSVISRLFNKYKRNASSYREIL CACAAAGVAVAFGSPIGGVIFSLEEMSGYFPLKTIWRSYFCALVATAILAAVNPFRTG QLVMFQVTYDRSWHFFEIFFFIILGIFGGLYGAFVIKWNLRAQAFRKKYLVKYAILEV SLLAMGTAILCYPNPFVRIDMTESMEILFSECEGEDYHGLCDPNQRFLNVMSLVIATL LRIFLTIVSYGARIPCGIFVPSMAIGALFGRTVGIIVEGMQQANPSSVFFAACKPDEP CITPGAYALLGAAAALGGIMHITVSVVVIMFELTGALTYILPTMIVVGVTKAVSERFG KAGIADQAIWASGMPYLDSKEEHNFGVPVSRAMTETIVSLPATGLTLGDVEQILADGR YQGFPIVQDDHSKTLVGYIGRTELRYAIDKAKRERRLEPSARCVFSTTSPRYAVGPTT PTVMVSTDSTTSSTSISFGRYVDATPVTVHPRLPLETVMELFRKIGPRVILVEYHGKL TGLITVKDCLKYQFKAEAAEHPRDDHELQESHERLWQLLLKAAEWVSDKVSVASRGRI RLGHSTDDRARGGQIMDGDEELVDDDGLELDSRS
SAPIO_CDS7239	MVRRRNPPRPSSPSPAPAKANVQPNKMTQRDAGEQQPLLPRKPE EKAPSPASRWILLAIASGACAAFNGMFAKLRARDSMPFNGVMWSLYTSALAKGNSTTQ VSIMNTSTNFMVTAILGFAVFSEALPPLWWAGAALLVAGNVILGRAENNSSSASDGKG EEPEASGEDSTGGILSGDGDGER
SAPIO_CDS7240	MEALAGLGLAGNVVQFVQFATTLISAGVEISDSLQGATRQIIEL EKIYTRLSVFTSELRKPKSDACSDTYLQRLAASLSSVSQESDLELQTHIRSLEDLADD CKLVCGQLLDVLRALRVDGSSRRPFKSFMAALKTAWSSKRIQALEDTLRRFQSVISLH FFPLLRLRDEGAKLRVDQSTKLDALAERLQALQQELRWRPPTAMKRTPSVPFTRTSTG STALPSDTGNLLDDSVLPLSTPHGPSLTENDIKQLEDGISTLLLTQKDLALMAKEQAF LRSLNFASRTNRFEDIPIAHKDTFQWIIDPSLPSSPLSTSSQVDDVEAQRRHSLCRWL RHENGIFWISGKAGCGKSTLMKMIAGHDTTRQLLEQWASPKKSVIAAHYFWTAGSAMQ NSQQGLIQTLLYDIFRMSPEQIPELMPTRWAKLEQQSTTETADAGGEWTMPELLHTLQ QLSSSRSLSARYCIIIDGIDEFDGDHFEMCEFLKSLSSSPNFKFCVSSRPWNVFEDAF GGNPSSRKVCVHDLTRRDILAFAQSRMAEHPRWKEPYFSAAQMDGIIQNITERARGVF LWVFLVTRSLRDGLVNGDTMLDLQKRLESLPMDLEAFFRHMMDTIDPIYHERMARVLS IAVNAKESLSLQFYYMHEFEEEDVDYALHKPVEWYFVDQIEDSLEQCRRRINARCGGL LEVKDHRVEFLHRTVRDFLLTRDMSEYLRQKSGSDFRVSLSTLRAFIFLFRFWSHSNE ETRLTAEFPRWNWNQAMAYANDAIKESKEASFALLDAVNDHYMSFPFPNDRTALGVET HHAFLSSLLIAGVEEYVCARLDDDVWLFGNLEGSPICEIIDRARWDAHHANIITSLLA SDLDCDINQDYDPYKLYDFQSSDDEIKEEEDPLLLAGGGQVLGDDGGENPDEAASGIG KERVDMLSSYDGIDSEDDDTDAGSLSPWHRLLHRVYHNRDDVSLRTAVENSLFSIFLQ HGARKEARLKESGWLPCTHVVVGMIRNRESYKFGRQCVTVLDQFLLGDASDVRLHHEN RGDPSSSQRSYRD
SAPIO_CDS7241	MATGGQSFYELYRRSSIGMALTDTLDDLISDERINPQLAMKILG NFDQAITEALQKNVKARLSFKGSLDTYRFCDEVWTFLIRNVQFKMDGSSVAVQADKVK IVSCNAKKPGET
SAPIO_CDS7242	MGLSYYGSGVLAFALLVVGAYMLFTGSGESFSPGNFIESVSPLA WASTGVALCMGLSVVGAAWGIFITGSSILGAGVKAPRIRTKNLISIIFCEVVAIYGLI MSIVFTAKINALDGEGMDSPNTAFVAYGIFWGGITVGMCNLVCGVSVGINGSGAALAD AADASLFVKILVVEIFSSVLGLFGLIVGLLVSGKAGDFGQKA
SAPIO_CDS7243	MSSTPLRAAILIVSTTAAKDPSADASESVLRNVFDSEEGKWQVV KTAIVPDVTTQIQRQLTSWSDGPEKVNLIVTTGGTGFAQGDDTPEAVAAVLHRPAPGL VHAMLSSSLAVTPYVSEALALIEEHTPRPDVVVTKVGVGLVGSVLAEDVIARENVPAF RASIVDGYAVVVPSDGNMKGIYPVTAVSHAAPGEEKPLKEGEIARITTGAPLPIGATS VIMVEDTVLKSMTDDGTEEEEVEILAEGVKEGENIREVGSDIKQGAKILSKGEKVSGV GGELGLLAAVGVAEVKTYRRPIVGVLSTGDEIVEHDRPGDLRLGEVRDTNRITLMSAV RQWGFEAIDLGIARDKPGTLEQTLRDGLRRVDMIITTGGVSMGELDLLKPTIERALGG TIHFGRVNMKPGKPTTFATVPVKTNSGERIVKPIFSLPGNPASALVTFHLFVLPSLHH LSGESPAGVPSVPVTLSHDFPLDKGRPEYHRACISVGHDGRLSASSTGGQRSSKVGSL KTANALLCMPKGEGSMKKGNTVQALLIAPIQPS
SAPIO_CDS7244	MESNLSVKYLSRATSTFIVKTSRAHYRMVWAALTFMNRIPIPEG SSCIFRVVRVSGTIRKAEQEAVRRARQFILAAKEDAASGSSGSLVSLLGKPGKDGVDA MADEVMDESLSGSDGEAE
SAPIO_CDS7246	MPFGQLVLGSPGAGKSTYCDGMHQFLGAIGRACSVVNLDPANDH TNYPCAIDIRDLVKLEEAMADDKLGPNGAMLYALEELENNFEWLEEGLKELGEDYVLF DCPGQVELYTHHPSLRKIFFKIQKLGYRAR
SAPIO_CDS7247	MNATTLALILAAIISAVTWVVRYRLLNMYSRLPPEPQRKEPDVD MFPSAHEEGIKYGLGSYLDEFLSAIKIFGYLERPVFHELTRSMQTRKLIAGETLNLEE EQGFCLVVDGLVDIFVKSGPRPLVGEAPSASFYDSSGEDDRYPGPSHQRYQLLTEVRN GAPMSSLFSIMSLFTEDVGLRSPEDDLANTSPSSVDISRTGFRGRSSIPTPDPSGSKD VTPPLPDQESPIEGADGLQYSSEAKTPKVTHVSLDDGRSHAPIRPALNRRAASVSAHP DIIARATVDTTIAIIPASAFRRLIKTYPKATAQIVHLILTRFLRVTLATAYNYLGLTE EVLHTEKSVVRYTMCQLPNPLRGEPLDRLKQKFERERERIGEDEQDKGIALHNATARQ RRTPSSTNLRKEAMLQSMSMHRTSLSGMTISPPRETPPYHSPSPGDLLSNVQLDRHAG RRLSTATDFLSHPTPDLPRKETNKRKEVNGQVPQRSFDPFDGKPRSPFNHGRESVDED NVFRVSVLECMFKALGFGSGTTSRREPESSEGSPRLPSFDHKSRNVVFSSVGGGGSNA FGFMGPLDGSADGETESMASTNVAMGTPPGAHALALDMKHEVEIVFFPKGSVLIEQGE RSPGLYYVIDGFLDICSSHDEGSIDMFPDPHRHTSGGFSGPSAGDEDTIPFPPFPPDN VAQDNKPKKNGRRSRRSVALIKPGGLAGYIGSVSSYRSFIDVVAKTDVYVGFLPRAPL ERIVDKYPIALLTMAKRLTNLLPRLILHIDFALEWVQVNAGEVMFRKNDESEAIYIVL NGRLRLVEDKKEGGVKVRDEFGQGESVGELEVLTESTRPGTLHAIRDAELVKFPRTLF NSLAQEHPNITIKISKIIASRMRTLFDDPSKFILKDGSAAAAASFKGSSIVNLRTVAI LPVTSGVPVVEFGNRLMSALAQVGPPNGATSLNQEAILSHLGRHAFNKMGKLKLSQYL ADLEEKYSLVLYVAAEGSPEIGEYERFMLGMKSTARKTLVLLHAERYSPQGLTRAWLR NRVWINGGHYHVQMPFRLNTVPEHPPVRKLGKAIKERVQILQAEIQKYTSKKIRHSSY YSLEAPYKGDFHRLARKLCGKSVGLVLGGGGARGIAQIGIIRAMEEAGIPIDMIGGTS IGAFIGALYARHADVVPIFGLAKKFAGRMASIWRMALDLTYPSVSYTTGHEFNRGIFK TFGNAQMEDFWLEYYCNTTNISKSRAEFHTSGYAWRYIRASMSLAGLLPPLCDEGSML LDGGYIDNLTVSHMRALGADTIFAVDVGSLDDDTPQKFGDSLSGMWVILNRWNPFSSY PNPPTLAEIQARLAYVSSVDALERAKAMPGCQYMRPPIDPYGTLDFGKFDEIYQTGYK YGKEYLQKLKEQGVLPLVEETEAKKALRRTMAPRRASI
SAPIO_CDS7248	MDSNQFREAAKASIEDVASYYDSLPDLKVVSSVQPGYLRPLLPS SPPLQGESYDAIRADIQSKILPGITHWQSPNFMAFFPCSASFPAMLADMYSNAFNGAH FNWICSPAVTELETVMMDWLAQALALPDCFLSTGPTRGGGVIQGTASEAILTAMVAAR DKFLRSRTAHLAEDSEEKEDETWRLRSKLVALGSDMTHSSTKKAAKILGVRFGTVPVS RATGYAMTAESLANKLRDLRAKGLEPFYLTATLGTTDTCAIDDLEGIVALLKDESLNP NDALWVHLDAAYAGAALICPEHQTFNAHLAHFHTVDFNPHKWLLTNFDCSALWVRNRA WLTDALSVNPAYLRNDLSDAGLVTDYRDWQIPLGRRFRSLKLWFLMRTYGIEGLRAHV RGGIARGDLFANLVAGRTDLFEVIAGPSFALVVLRVAGGTDEEESNLLTKRVYDAISA EGEFFLTSSVLKGLYAIRVCVSGANVGEDEVRRVFDALVRCTEEVTGRKKQEAA
SAPIO_CDS7249	MRNLASTALGALVSLSYIQASNAFYIPDSLGFSIKSYNDGEQIP VLVNKVYSDNTQLQYGYYDLPFVCPPTGEQHSGLLSGKNVPLNLGEVLRGDRIKTSDI DLRMGEDKECAMLCNLELNRAKVERARDLVEDGYVVEWIVDNLPGATSFVTTDKSKKY YAAGFKLGSIEVGPTGEEKVLLNNHHTIVIRYRRAPGRAGDQGGKVVVGFEVYPKSIG VENPMNDIGCPFDMQHITHNLELRLPPRSEDETDEDAERSLMSVRYTYSVYFREDESI EWNRRWDLYFVNQEDGNRIHWLAIVNSLIICGLLTTVVAIILAKTIRADIKSYKDIKA EEGRSKLKLKPLPSPGAGEKTGGGLLSQGPTEEDDDHLDSDDEAFEDASGWKLLHSDV FRTPAYGYLLAALVGSGMQLLLMALGLVLLSAFGVLNPSFRGGFISVGMGLFIFNGLF SGYFSARVFKTLDGQSWRRNALVTALFVPGLLFVVVFMLNLFVWAQASSTAIPFSTLI ALIVLWFCIQVPLVYVGSWYGFNNAAAWEHPTKATSIARQVPPQSWYLQPFQTILFAG LIPFAVIFIELLFVFRSVWQDKSGYYYVFGFLAVVSFILMVTVAEVTIVSVFLQLCAE NHNWWWRSFLVGGSSSVWVFAYCVWYYFFKLNITGFVSTMLFFGYSFMACCVYGLLTG TVGFLSAYAFIRRIYGAIKVD
SAPIO_CDS7251	MISNRSRWALFVLAVLALGASANVTSIENLSLETLDEKLQTCSI VQDLNAHKAARAQEHSTFISRLFAVLFPGSPAVNALLATLYISGPPNFLLALCPTNID PSSLTIMVAFAVGGLMGDTLFHLLPEIFLGEEQHGKVKFVLVEPNRNLLLGLAILVGF MTFVAMDKTLRILTGGAGHDHSHGHGHSHENEVATSASSSSVDTGKKGAKSRKKGSVE AEKDHDHDHASEGKEISPSMKMGGVLNLIADFTHNITDGLAMSASFYASPTVGASTTL AVFLHEIPHEVGDFALLVQSGFSKRAAMGAQFVTAIGAFLGTLIGIFIQEFGGSNAED DMSMTGGIWGTSLTWGDMLLPFTAGTFLYVGTVAVIPELLETGPNKALELRKTLGQFA AVALGAGIMLFISWHD
SAPIO_CDS7252	MHSVANSLALAAVVSTAAAAIQGFNYGNTFTTGQVKSQSDFEAE FKAAQGLDGTNGAFNSARLYTMIQGNTANDPIEAIPAAISTKTSLLLGLWASAGEAIF NNELAALQKAIQKYGDSLSGVVAGISVGSEDLYRISPTGIANKEFAGANPDTLVGYIK SVRDLVKGTALSGVKIGHVDTWTAFVNGSNKAVIDACDWLGFDGYPYFEDTHANSISN GAALFNDALGATRAAAGGKEVWITETGWPVSGKNFGDAVPSIENARKYWKDVGCPLFG NTNVWWYTLLDAAPTTPNPSFGIIKDLNSKPLYDLSCDGSSNGGNNGGNNNGGNNNGG SNDGGNSGGNDSGNNSGNNNGGNNDGNNGGSNGGNNGTSPSSGSGNTTPTPTGGSGSG STGNGTNTPVPTDSGNSGNNGGSDSGSGSGSGTNPGSNPGSNSGSGSGSGSGSGSGSD SGSGSGSSSGSGSGSGSGSGSGSGSGSDSGSGSGTGSGSGSNDQQAAPSAGSSNNLNT MLASAIALIAAATVF
SAPIO_CDS7253	MVSPGTLKSLSRAINSHIANLSVPLPEDLIEAIDSYLHKHEKHD DSSSERLQEELLSVYQRYVKDRPDLLAGFVAILSRLVPMIQTPARIGQWWDLLNDKVE ENFSTEKGLMTECASAVHDLLKLEDIGSGVEGSHVDFNPFAERLLRTWIENYQASPAK KNAEPIERSARESLRYYGRGKPKDFLATLDKFFVRAEYRIKIATLLCDFIGQQPPHLY QVLQTPLFNNILKCLQLDTSTTVVSTTLTALTMLLPQMPSSLVPYLPTLFNIYARLLF WHRERSNSPHYPGEQSSRKPLIDPSGWDICQYTPDLDGNEIPLLRSYFTILYGLYPIN FMDYIRKPHRYLRHANAPNADAIEVQPSEIRHHSEIFRRGHLLHPNFYHLTIESEKTD HGRWHSSEPAEVVAECAALCVMADGPTHEAALGQPSAVRTSSLFSREDGVLPDTPNRG LLGDQNLGETVESSSSSHKALTAQRHSSLSSQQSQKDSVDARHRSLSGADSPTLPPHL AIPSSRPQVQKPAIGQTLTNDSVPSLSLSHQDSNSEIAPVAPLSLGGPKQGGQGGFLV LAGDPNAQISQLHRQVLSLQNDLAFERYLKQQHVAHMAELRRRQMQEAASEAELQNLL IANRNLKRGFEEARKAEMQVKKESEKSRSLAKKWEADLSAKLKTLREESKKTKARIGE LERDLEAARKECDKLKTLVCEFEVRELNWKQSSQSHELDKTEIDRLKAEVARLTVVER DFQGKEAEMERAVEAASNAESQVEILDQKLAAQEHALEQVKKLYESQIVVLKSKLVET QENMNNGKRASPAANAAVESALAASRAKQAELQKQYSLLMRKYTILQSSLLDMKCDPA MNPAKETGMWSDGDGEGSPPMSSSPTQTRSRTQRQFSNPDAFPGGGASFNATPPLDPR GAGQSAVGSPPGVSTLAEGGVALPVMSQSPELRFHGRGGVQNRIRKEGKDKKPQEGDK KDRKASGLRNIKNLI
SAPIO_CDS7254	MAAHKTSAVVDENEPLEVLFALHPKFDLLDWAGPWEVFHSALHD VNDPESKAFDCTIAADEKTVLSDQDVSIVSQIPYKQAYEDLKNYDVLVVVGGNTDEII EKDAEPLGIISAFAELQKADPVRERTLLSVCTGSHFLAQKGILAGLSATTHPDYLTKF EILCSRAATRDLDERTDVQENVRYVVNNLRFDLGNEDENPYIRRKSDARRPSNARKGS VSLRGSSTRENIARRAAMRLGGLRVITASGVSAGTDAALYVVGALVDDESANAVARRL NWTWQKGLVVDGVDV
SAPIO_CDS7255	MDYASMTSLVSPLRELGRTIQAGIEEYIAELEKATSGSSSAPKG RQSPIPPHSVFEAQKFLINATGRLNSVLLSPKEQLVLLSAQHLEARALHIIAAADVAG IIERQGAGRGMSIRDLARETGLEEGKLGRLLRLLCDLGIFKEVRGDVFANNDTSVLLA RDENFKAWVMTFGLAMFSGANELPTHLLSPDGRGHSYDSSTTALGQCHRFKGGVFQWI GQKVRVEDLKQGRNGVGVTHDWWSSTHPLNGSLNGAGNEKDAGIMKDVNHDMASLESF RSGYPGVYGTLLRDAIKGKADDELVERPEMRVFKMAMVGAGEPGLMAEYDDYPWSSLG EATVVDVGSGVGGFSLRLSKLVPQLNIVLQDLAPLLKEAETVVWPKQHPDAISQRKVR FVPHDFFKPNPVKGADIYYVRNVLLDWVDADVVCILANLKASMGTNSRALIS
SAPIO_CDS7256	MELALLYFAAPILFFSVLQQLLSWRRKRLAPTPKFPGPKQFPIV GRVHDVPRFSIWLKFKEWADIHGPIYQTRALNQTFIIVSDEQIAHELLVKRGNIYSGR PQIRSLIGHKEGPAYSALMDRNDTWARQRKWVHAAMTEAYRHHFYQHIERETKRYLVT LLVDPERFYSNTREYCGRIMSRLTWDRAFEGKLNGESAEQTMNAMSVSGPITNTITPL WHIPFPINPWKKFEVKREATQRAWWLSNFHIARERFLRGDLPADTWAYRYFEEVRKEG NWDVEQDDDQAVFASCMIGFLNLVGVITNSGPLKFFLMAMALHPEWQRKAQEEIDRVC GGRMPTIEDFPDLPTVRACLKETVRWRPNVPLGVAHQAERDDEYQGVKIKKGTNIIAC EWALSRSPSKYPDPERYRPERWLEPGWPTYQEPLTRYPNFREGLGLHIFGWGRRKCLG NTLIDDELFVCGAALCWGFNMAPKTCPRTGKEVPIDTLASNSHALLEPDPFQMSFKPR SEARASQILEGYKEVVGELKV
SAPIO_CDS7257	MTSTPMVPNRLQDLPLHVLDELCGRLTRDKAGRRGLLALSLTST RLAGLTTRFRLQTVHLVVKGPQKLRSDIATLTDVLAARDNVPYVRRLEIRGSMSRAAS GERQSGGDHGEQPSLQGANGPVHDYWPPDDDGDFWGPAREPVDFDPTGPGSAGQDRVR DQAAWSCLASFIGELIQLADVVYACEDQVPPASSLRDIDLDEYILASSPCLSSIRARG TASGFDSRGRISFNEEAILRMVAGRLCALRTVSIWVKGPDETIEHHRALSAPRPTWAG FFRNDAHGFPDDGLGALENLVLVGGECLTPQIVTAWSNHTDFNMLQSLRLLFASTSLA ALQILTEEVRTYRALSQLPHLDCLSLLLDCSNLHAMEEAEPELTDVEKQLPESDVDIA SKYLRDAFINVAVDDTVAADIFNSLSFQRTPRIISVRPTGAGDFGSAYVDRPFYTIAR CLGRHWVRIRNRRAGCDEYYTAEIKSEETKEAEKKLSNDIDPFYEAILNSIWPDAKEH RKQAWKGLRLWREPDRLDEYPCPPETDYGRS
SAPIO_CDS7258	MSRYFSTTARALLKFIWKGTEPVDKYETLIKNKIAKNPKLSAAD TVEIAGQPHASEADPKLRVSGQIFRNNSRLTSVHAYDDGTVEFSKQPSLKNTQSFLDS SLQCEPSCQVGRCGGGVCSADPFLLRRAAEGGPDEMAYSQQKVFGDQPFKIMSGGLHG CTVDDNDTTDDSDYQAFRQRAIDFMEGNDVLNPIPNGYKAYIPPIGPPIDWNLFNYDD DDGDDDKAQIYIMMPVRDGHSTSEKKFQYGNRVQTVIDAVSHVIPGRSKRRIYPYKRL RYQIPAEFAQVNTNQCGMALF
SAPIO_CDS7259	MVKLEDPEILERDVRDEYDHPLPQKVLDERTIYLSRNNYGRLLR PTGIVQITDFGLSVRGDVPHSGCIQAELYRAPEVVLDAGYTYSADIWSLGVMLWDLLQ GKKLFDLVDPTTGEYDDQRHLAQITSLLGKAPDDLLRQGQRTSMFYESDGKLKDPSLV SPGFSFEGTIDVIDGEEKRMFIEFVKRMLRWSPKERQTAKELLNDPWLHDGFSQ
SAPIO_CDS7260	MASAVARKAPAFKLGQKQVFLPNHVITLIRKDNQPPDWATFQVP LRFTKFDLRDYLWNLYNVEVTAVRSFVRRQVAPIKNDAGRYVRPPAEKYMTVEMTKPF VWPEVPKDLSPWDAALYKQREKMLADQDRLRKERYKGNIPLASDGPLTQEEKKYRALA RELLEGKKKWENGVALDVKWDSVAPKAKESATSETQGKEEEKQEEAAKTKE
SAPIO_CDS7262	MSVTQSTQGQNYETSLSMTKKPSTISTPPPGDDTVEFRKKTRTV GYLWRSGVAGGMAGCAAKTIVAPLDRVKILFQSSNPTYAKYSGSWAGVAATLRDIYHH DGPTGLFRGHSATLLRIFPYAAIKFLVYEQIRSVVIQHKGQETPFRRFISGSLAGATS VVCTYPLELIRVRMAFETKRVGGTSFASMSKQIYNEPPGATSASSAVSAVTRGGFLNF YRGFAPTMLGMVPYAGMSFLTHDTVGDLFRHHSIAKWTTLPRRKNAPKGKAVPLRSWA ELTAGGLAGMISQTVSYPMEIIRRRMQVGAVVGDGHRMRVAETAGIIFREKGFRGFFV GLTIGYVKVIPMVAVSFYVYERAKGWFGI
SAPIO_CDS7263	MDKNGGSLRRKRGRPASTGNSTTNGSAAKKRKVEDDSVATPAAN GASGGRGGVRKKSFLETLSAAISLTRAGKEVTGEDNVAKAATVPARRSRPPRATILGE QGKSVYDFPGSDGEGTVTTPSKKGRNSLAAPQNGTGTPKKREGRRNSAATEAMDVDEN EPEDTTSNGDAYGLMQLDEPPTTPTAKKKRGPGRPPGSKNKKKTLDPPDLVITPRRGL QSKSRVESPTKVGRTPLKGILTPSRKAGDDTPRRRKSVAFGLDNGVKDVEIFFEDLPA KKAEMPQKPVGKAAAEESERPLETGEREEEEEDEEVCEICLKPDSKPPNEIIFCDNCD LGFHQKCHNVPVIPEGDWICKNCSQDDISKTPQKSTSAVVPVPSDAPDIPNLNKHLPA LQRILVDRCTGQRRLKLTGLDEAYSKVSQLVEQTISVGEGNSMLLIGGRGLGKTTLIE NVITNMSEKHEGNFHVVRLNGFIHTDDKLAVKDIWRQLGKEMEVDDDIVHRTNYADTM ASLLALLSHPSEITGEDEGVISQAVIFVLDEFDLFAYHPRQTLLYNLFDIAQARKAPI AVLGCTTRVDIVEMLEKRVKSRFSHRYVFIAPPRNIAAYWEVCRQGLVVDKDEAYDEG MDTKLEGFDEFMEYWGKKIDRLYKQDSFQLLLKGHFYTTKSVMGFLNTCILPLSTLSP TNLALQIPRAPTVVPLAPPPCKLHLLPALSDLDLALLIAAARLDIVAHADTVNFAMAY DEYSSLMGRQRVQSASAGMLAVGGGVRVWGRGVAVIAWERLIDLGLLVPSGIGGAGRG KAIGGVEGKMWVVDVSLEEISVGAKLGGVLARWCKEI
SAPIO_CDS7265	MHQTSSRLLRMTDDDRPFTKDFKDLFSTLVVSLLPLSAHRVRLT KVEHTFLSEDAINNLGSLKFSQSNRMPDPKDPTRIVTTTTTTTFSMARDMARAICQRF LDARFIESADGRLQQVYSPKGSVWQLTPKGITILDRFCARNGIQQKHVQELVGNSATQ LVILERNTSTDKLVTDRGTIEVIFRRFVGTQGFNIKPNISSADSDSLNEYRDGVTGVK MASERKVNGKIFKDTFTGKAACDWLMDCCTTVDRREPIEVATLFVAYDLIETIVQDRA YMAQNPSATQFQPTKHAIYQLSTKGKEVINGSGSRGRSSDSEAVPQTRNVIARDSNTQ RLDKILSDPALRLLFRENLRETHCEENLSFYVDVEEFVEACRYAVRAAQKNPSSSAMD GVKEIMAQAYGIYNAFLAPGSPCELNIDHQLRNNLAMRMTKAVGQDSAMIDTLKEVTT LFEEAQNAVFKLMASDSVPKFLRSPKYESTLRHYDFDSILPGRAPERSLSRSNRS
SAPIO_CDS7266	MATIDQDPLLLLRQSIAANKPAIPTSSTDPSSPAVPLSQATHLR FPHANDTVIPIAASTRFVTSGENGVNVRSIYFAWLNREVAIPEYNASAAKLNAELEAS GSEGSVHNLAFVERLDLITWLEGASEESEYIKPLEGDKAGGAATKGAAAAAAGLTRSG RGTLDPRMAAIYSHERRMGDRNTVLRGIKPTDFSHVRKIATVFVQRKPQHPGTSSIAD PALSSQRAPGRRPDPIILLSPSASSLLRMANIRSFLEDGRFSPPDGPGTGTNMLHVTR MMRDIDPSRPIRFILVEGPEQFKPEYWNRVVAVFTTGQAWQFKSYKWSTPSELFKHVL GIYVGWKGDKPPDTVASWGHRVMPCAVDRWRDAPDAARFRDKEVVEAIWKAIEGSMRS KGWKRDAAPARI
SAPIO_CDS7267	MAAEASQAENATPPLPQITVIGSLNMDLTSYVSRVPRAGETIMG DHFSTGTGGKGANQAVACSKLAHVSPGHAPLERGPLAKVKMVGAVGGDFFGQELISAL ESQSVDCSNVKRIEGESTGVAMIMVESSGENRIIVTLNANKKLAPADIGDTIPGDKPD LVILQLELDLKTVLHTISVAKKQGVPILLNPSPVRKLPEDVYQGLDHLIVNEMECRML LGHANPARELTQEDMRKAGFSFVKKGVKNVVITLGPRGAFYFNHQHEFGFVPAQFHGD VVDTTGAGDTFTGAYALGVVRNKENFNIAFALEDGAHAAAWTVTARGALSSIPHLERL TLPNLRFDHSRRDELLAAIRAAKGDSIGISKEDAAMSVDKDSGDSPSNWALSEDDLDP VPEKRPSENGDGDERPAKRHCEDGA
SAPIO_CDS7268	MPKAATLTTAHKRRHNPLEDDLLATGPLRAKAPKRRAKDTKEDD GDHFVDSKASRNILKISQALAREAEDERRQPQAPAPQNNLFAFDERIDANTAADNKFY DDDEVWGDEDEEVEEIEVDPDDLETFRKFLPEDDDPLLKHGWDRRPPEDAVEENEEPT NLADLILEKIYAHEAAEARAEAGIDEDFDIPEKVVDVYTKVGLILSRHRSGPIPKPMK ILPTVPHWEEILQITKPEAWSANAVDAVTRVFVASKPAVVQRFLEMVLLDRVKDDIYE YKKLNPHLFKALERALFKPSAWFKGFLFPLVGGGTCTLREATIISGVLRRHHVPVLHS AAAIKGLCDIAAQEASQGTEGGGATNILIHTLLQKGYALPYQVIDALVFHFLRFRSVD PASVQESDLASLVGEEAPPRRKLPVIWHQCFLEFAQKYRNDITEDQREALLDLLLTHG HSAIGPEIRKELLAGRGRGVPIPQDPAPFDGDDTMAID
SAPIO_CDS7269	MASKELQELVDFIAHPNPQVRLGAIEGAVPYSLSNPEIFKADDL RHIRNLMVLVRDHPRIAKSALTILVNLTGDRDVLESVATNEQFLSLILTLSYPFFKDA SETNADLMAMLLANLSKWESIKDTLLKKQQKAPEALNSDDLVINQLLDLFVKGFDGSY NKDANFDYLAYFFADLAKHTDVQEHFIARQDYDKEIPLTKIKVFTEHKSHIRRKGVAS TIKNAAFEVSSHPSFLSEDDVNILPYLLLPIAGNEEYDEDDMMDMLPDLQLLPPDKER DSDPEIILTHIETLTILTTTRPGRDLMRQVKVYPLIRETHLHVEHEGVKEACERLVNV LMRDEAPTVEEIEEDEENVIMEV
SAPIO_CDS7270	MKTGLAKATLGNTTLAETASWHEVEGNVYFPPESIKKDLFEPTD LKTICSWKGEASYYTVKDGDTVLNNAAWYYPNPKDAAKEIKDHVAFYKNKVTITTE
SAPIO_CDS7271	MSTTLSQASSLVASSLTSQITSSVTEAASSIISSTATAAQTTSA QTSEAAEGSMGSAQGFQGISLKSFLGALVVALIIFAVQIAIFLLLRNKLARIFKPKTY LVPERERTEPPPASALGMVKALMKFNDREVIEKCGLDAYFFLRYLKTLLIIFIPIATV VLPILIPLNYVGGQGQNVIADTDDDAKNPNAITGLDTLAWGNITAENTDRYWAHLVLA LCVIGWVCTVFFFELRVYIKVRQDYLTSAEHRLRASATTVLVNSIPSKWLSEEALRGL FDVFPGGIRNIWLNRDLQALLDKVHERDDIHKQLEAAETELIKAAKKKQLKRREKEEK QKRREMHTKQPTKQERERRQKEEDAEAMRLAAAGGGMSSGQSEDVPQDISDVISEDQS LSYSQERRPGSSKGLKLVGTGLAKVGQGLIGGVGKAGKGFKTFGQDVEGTLETTNGFA PITSTDGADSSKPPTRGSDHRRVQIADDGSHDSPRATPPNQGHYRGASQDSMLSKSRP SLSPRQPPVFENTVRKANNLDDMYLKDEVKFWQFWKPPAGGYASPVPQGAEDDDEFPF SKTNMSRWQKIKMALPFMHADIQGEQHEYPEYFNEHADKEGSDEERRPEEWEKWVKRK NRPTHRLARFSWTPGWLPGLPLINRKVDTIDWCRKELARLNMEIEEDQKHPERYPLMN SAFIQFNHQVSAHMACQSLIHHIPKQMAPREIEISPKDVIWDNMAINWWQEWARAAIV TLIVVGMLLLWAIPVAFTATLGQLDGLVRRFEWLSFLEEDPKVKQVAQVVVGVLPAIF LSLLLVLVPIILGFLAEFKGVKTGSQKTEFVQLYFFAFLFIQVFLIVSITSFFVASVD QLFSNLASLSDVNEVLNLLAENLPKAANYFFSYMILQALSTSSGTLLQIGALLTWYIL AKILDSTARNKWSRNTKLSDIKWGSFFPVYTNFACIALIYSVVAPLISIFAIITFSLL WIAQRYSMLYVTRFEHDTGGVLYPRAINQTFTGLYVMELALAGLFFIVRDANGDASCT TQGIIMLIALGFTILYQVLLNMSFGPLFRYLPITFEDEACIRDEVFSRDQARRLGLEE DDDDLDTDNREEPGPGLGRFSTQEDDIELTKLQNRRLANQSSALSTVKKIGTWAHKGD AKARRALTFSTKQERRSSKSAAEYRRLLKAKDLEKQRAIGEALFGGYHDEIEDLTPDE RDALVRYAFQHSALRARRPTVWIPRDDLGVSDDEIRRTRELSDYIWISNEGTALDSKI RVVYGRAPPDFSEVDLINL
SAPIO_CDS7272	MSSPFDLRIAVIGAGMGGLGTALSLAKKGFKNISVYETASNLGF VGAGIQMAPNMVRILDRLGCWQDILKDSTIVRGSSIRQGSTNEELSRVPMPNIEELYG YPHCAGHRSSLAGGIYEACKKESSIKFHLATPLIEVTSFTPEPTFRVQPRGAEPYTVR ADVLLACDGIKSLTRTAVLAAANAVGEEAETEQAAYRIMLKREDMEGDPDLKALIDSD YVVRWIGEKRHIIAYPVADKTIYNLSTTQPDKNFASAPSATYTTRGDKSVMLDVFGDF CPLVQKMLNLVPEGEVCEWRLRMHKQLPTWTHGSVALLGDACHPTLPHLSQGAAMAIE DGAVVAEALSLIPDTKPETIARCLKVFELSRKDWCTQLVDLAYFSGRTLHLGEGKAKE ERDRQFAEAKANGGEAAVPDKWASPEVQRMIYSNDCVANLRKEFDELFAKTGESGDGA VQNGTNGEPKGKGGAVPGSAPVVSLKKAKTDNTGQNGTVEVA
SAPIO_CDS7273	MSKHDDPPAYGNPQPPQAAYGGYQDPNAANSYYQSAPPMGYPQQ QGYGQQGYYQQQPGPYGYGQDPYAQGGYPPQGGYPPGPYQQYPPQGVQDASGPVLEFC HPNHIQLLHEPKGTFASREESHQQLLRLTECFMTRKTENGNGYCMRTALWEGGNTRCF 
SAPIO_CDS7275	MGWGATLTFLPFGPQWRLHRRLLQTNLSPSRVRQWQKLQTQESR RAAMSILVDESTWRPALKRLSVAVVLMVSYGIQVDSDDDEYLKIANDAIHVTGNGGLP AGSIVDIFPFARHLPNWLVRDWPLKFAREWGWAIRRLHDVPFAAVQAEVDIGIIRDSF AHDLLAESRSNEKHGLNLSFTLDDIKGASGAIFIAGADTTWATLVVFMLCMVLYPEVQ EKAHAQLDSVLGTDRLPDFADRPLLPYIDLILQEMGTSRAVRVSELLNGTLGRDNNNP VISSTVFANAHAMSRDERIYKDPESFNPDRYDPSSHGAPGEPRPVGHFGFGRRSCVGQ FLADNTCWIAIATILSAFKIDKRCDEHGVTVEPTIKFTNGGTWLRS
SAPIO_CDS7276	MNSSPDPVPSEELPRPLSIVKTGSMREPSGNSYDSGVVDSAMAD SPPLTMTKRVAQPNRPSRSSGGYDTDTLTSLDTEKCAPHVLVPRVHVTPEVDVVSDKE TSIWVAVEVAAQLCRSDGGPPAVPPWNGWGGQLGFVRPVLSPISYGCLYNLVVDVLPT NGTTVLNIIQDESFPVALNLNTATLLIIHVRLSPSLPLGRPRPRASAPPPPSSDLLFK DLEAQLGTSPQEYLRLRISYSHSAFAPSCSQTDLALSTRLETIVTAWIQRMDTASVWA RAGSLKDYGDCERRPSPVKGAAGVSLLVGIIMRHWDLDKARRAVEMVIQSRDEPTPPL QDREKEKGHEREREWTCRDGQHPAVYAAAKGGSVRTSKRPLSRTAEGGGGENVRMGNA MPFSVPTRQASLRKKSGTVHPEDSVAAAGDGTTTKGKGNGGVASQIKRDLARWSWAWW 
SAPIO_CDS7277	MVWGPYINAVLRFHISFPDMYPRLPPLVTFSTDIFHPLITPLSN YTYTTDIQDNGTVSASDAERLPPGGFSLRHGFANWFGRRNRTASGSTGRAQTPTKPRP GTASVETTPGNRSTPSGDVPAYVQTGTEHVATYDVLRYILSTFDDEAVLDSVPLEAAG NPGAWHAWRTRRKAQGKIFDDEFNRSERADVGTHNMSPSVRQPGDWNWDGVWEDRVKK NIAACLSEAVLYGGASGVDDVIGFLPMEEGEIEAVKGNLMRTLGVAK
SAPIO_CDS7278	MSGPRKGDTSKRAAGLAKKAEVAAQKAAAQAAREEALEEEKWKT GAKGRAKKDAELEKKAEQARKKAERDALLAAEEQSLPSRSTPKKSKSAVKKTRGPDLS QFDDLGTGKALPALHASGIDNALDALSITTASHADTKVERHPERRYPAAYAKYEERRL AEMDSDGTGAGLRLNQKKERIRKEFEKSPENPFNQVTARYDATKDELARIKDQEKTKI EQRLGTKH
SAPIO_CDS7279	MRKGYGTQGSKKDLEDTSSERELPPFPKKQMLILALCRICEPIA FMSIFPYIYFMIEDFHITDDPNKISVYAGMVTSAFTLAEFSTGVMWGRLSDKVGRKPI LLMGLTGTAISALIFGFAPSLSVALFARALGGLLNGNIGVLQTTVAELITVKEHQPRA YTIMPLVWCLGSIVGPMIGGALARPCISYPDLFPRGTIWEKYPYLLPNLFSAVAVCCG VVVGILFLEETHAGKKSRRDPGIELGKRLTQWVSAKTCRATSRKAEKQPLLDDFDELP GYQTSESSPELTSSPGSGSPEPLDLEASGELAPPAKPIQTIFTKPVILNIISYGILAF HTMTFDQLFPVFLSTTPPEPAPEVELPFKFVSGFGLDTKEIGVILAVQGFYSMVSTVF LFPFVVRKLGALTLFRLIAISYFLLYLTTPYLVLLPENLRMVGIYVMVIWKCTFSTMA YPSNAILLTNSAPTLLSLGTINGVAASTASLCRAFGPTISGFLYSLGLQSGYSGLAWW CSGITTIAGAVLSLRLTEPRGRLDEPDETPKVSPADPSPELSSSEERRE
SAPIO_CDS7280	MPNSQHDPPSPNPKPPKRQRRSSVDHSPPSPGNDQSSPSDVHAH THQAHPRGAGHSSNFRNKLPSCNSCAKAGVACVGYDPITKKQIPRSYVYYLETRVEQL ENILLENKISFPPADDLELCSRTGSGPKGGLSVAETGVVGSSPASKKQSTSGSDSVQV AGRDPKASGMPNIVSSTKPRSLADTSGVSFARVVLAAVQYSVSDQASNTDKAITAPTP GGTIQKPPSRITGGASLRESFFGLHTKPTIRPAPFPDKEISAKLVNLYFQLANPQIPI LHRIEFTEIYDRVYATDPADRSPRDLYMLNMVCAIGCGVIVDDGTKPDPRPPAGGSME VDQSRTAQAEPEEYHASAIVHLESCLSNSGNSLDVLQAILLLANFALLRPVPPGLWYI IGVAMRLAVDLGLHSEDGSDGDSGNQTSQVKQESEENPRLADMQPNDKGKRLYQRDMR RRLWWCTYAFDRLISVSVGRPFGISDQVITTELPSQVDDKYITKAGIFTPDNQEPSYK TVGLHYLRLRFLQSEILQVLMYKQTQLVRASRQNANIPDMHIRLPSPFLVNFASFRSW RIDIDRRLYEWRMTAPSKEKTGVAFSTEFLELNYWQAIILLYRNSLSVPPMFEGEYNT SKEVDSPTGYPAELDEDEDRIYLKVAEAGQKILRLYRQLHLSGLVSYTYLSTHHLFMA GIAYLYAIWHSPIVRSRLTMDEVDFTILAAKSVFTDMIDKCPPAEACRDAFDRTAKAT VKMANHNGGFGGRASRKRRSTATAREGPVMDWQSSSGSPSTSTTMSGPTSRNLAFDMD NLSSPALSAASELPISPSFPRNKTYEDAYSVMQAQAQTGPSPSDGSGPSGGQEVSPID PALLATPSLGRRMARQQGSSAYLRQQQQQQQQQQQQQGPFQGNPMDYPDIQSMEFLQA LQGGAPPSGDLGSLDPLGFGLSWDAGINQDLDGQQVNPFDGFFFGGPPGQQQGGAGGA QG
SAPIO_CDS7281	MAPAIQYELSPPPADAVSALSFAPNSSRLLAASWDKHVHLYDVK GEDGQGTLLRKFEHRAPVLDVCFGGSDDEAFSAGMDWQVLRIDLSTGEQTVLSKHSAP VRRVVYCHNQGILVSASWDSTLHFHDLKSPTSQPLIVSLPGKPHALAASPTKLVVTMT SRLVSIYDLSAASSALDSGSPTLQAWQQRESSLKFLTRAVSCMPNDAGYATSSIEGRV AVEWFEDSAESQARKYAFKCHRQPAPEGDGDVVYPVNALVFHPGYGTFATGGGDGHVA LWDAEAKRRMKQYQKFPESVAALAFSSDGKYLAIGVCPGFETGQEDYSGEGRTKIFVR ELGEAEAKPKGAK
SAPIO_CDS7283	MSSAAPATAPTQEKGKEKEKKGVGKLLSRMKTVLKKGESSTRRF SIIGSKTHKKGESSEQKTETKPEPEGVTKIPRSQLYEQRAKQLGERFGLQLYPGDWYS TEGDALRVEKPIRMRVRRNCHLCNATFGVAKECPNCQHVRCKQCSRYPPKRTEAEKQA SRERRAAKAKELEENPPIAVDYRHDDGKTPELRIPPKCGTQDLIYKKPRQRVRRNCHE CGTLFTTGTKLCANCGHIRCTDCPRDPAKKKKYPFGYPGDEFGPNAIPHHECHECKTI FPGGVPNGTACQSCQHAKCEKCPRLKPRRVEPQPDADVLKQVEEKLAKLKVGG
SAPIO_CDS7284	MAGQVEYHVLEIRRSDGCDTGPGYWPIVEPAKAPKKTTKDGLEK VTRAKPQMVRLEADDPRFVEWRVKLGILLKQEISPEPHEGLPWYVEFPRGYWLYERSK VLWVSGYPNKSKLFRTPQEFGLHLLWLLGNSDDRKDCCCIHCNILPVAKGATGADDEL VVVKPKTTAQPRGAPTATTATKAAPAPAPATTAVSSVPAQPTPLSQVDGAVSRAPSVT ASLAAAPAHGTPQQGVANTAPGRPPPSTAPAQPAVTQATVTPVASLAVQGAAQQAKET ISLLFRTGELVWFTNGQNWRLGLIVQSNGGTHQVVPIAHGMIIQPPVSRTDKDLRPFY AFTVPPPMREDLKGRSYDEIPWGEFIKGLAAGPNPKGELSVLVLDASKLAALKIDQSY SLFTKLSQNDMPAYWGVFLGAERIEIGDTLRVAAPQNYAHEPGVYLGLEAIVLQPGQG VLYGGTLYQLKEGPTGQGGVGESIHEQGLPRALREEAVWRKSVAPDVPVDYRYLQPNA WFREQDVKGRFYPTHRLAPIMNPQLFTDAVQSRNLAQAYPALNGRLDAAAGAAKISTR KANRVETAGPSIPHGIRFAFEPYVQEEVSAGVGGVAADARVGGSQ
SAPIO_CDS7286	MLEYFAYKKYKKHKAEKDEKKEDKGKAKEEIIHSPVLEPDDESF LESLASDEGPAPPLPPRIKTPEITWDSDDFSRRSGEISDSSVGSAAVADEGDKDKGKE KEKDKDKKKRFSVIPFFGQQKKKQGPTLAPPADASKAEVDREERDISRILRSLHLTTD SSDRVVSLTPDVANLAKRFTQVLKDLANGVPTAYSDLVSLIEDRDGLLDRSFEKLPSS LQKLVMQMPDKITASLAPDVLKAAAAAQGKEVIVEGGGLKDAAMSLLTVKGLQEMVTK PGAIAGMLRSIVNALKLRFPAFIGTNLLWSVAVFLLLFVLWYCYKRGREERLKKEAAE GSKKGGDVDRLSVEEVREGEGESSRAGGSGSHTLNPVPVVTEPDDEPATVPSQGPARI GN
SAPIO_CDS7287	MDLEREITPKREQTPTNPPLSSIPQKRPHDEAHAPSVPSPLNPE VKPSKVPTPATDEMAPRDKPARTKKESAKKRESKGIDGGSSRATPDPKLAQTVVVDPN TCSPLRYKLAPPRPSDFEPPKGPVFTPHHELVKQDRKKVQFYETSDHVYNKKNFHYTH CIADPAFPSSFYYRQTEPEPLGPHISFEDAATHMYFDDTGHHITTDKGFRMARANVAV REGRWYWECKITNGIVKTKKGEPNTSNGHVRIGFARREASLDAPVGFDAYSYGIRDKQ GHKVHMSRPKSFFPPDEEICEGDVIGLEIKLPSEQLQRKVLAGDYNPVVDDPDEEPDP FAPAPNIVRDRIPIRFKSHLYFEKIDYHTTKDLEDLMNPSPAVSGPSIPPNPNHPAPA LRTLPGSYIKVYKNGVLVGTPFEDLLAFLPPASKPQSQPGAREGLDDGMLGYYPAVSV FRMGAAEVNFGPKFWYPPPGYQGAGDDEDEVDMVGVENDDGKATNGREVRPRLNRLRP VSQRYAEQVVEDVIYDIVDEVDFWLQDGGPRNVPKGGEGKVGSVAVVPEQEEIKELVQ DD
SAPIO_CDS7288	MAASSAWRSRGPFLLDFSRRLVSWATWIPVAICFNAFVAEVTFI KGGSMYPFLNGDKDSTLRSDVCLNWKLYPHLGLSRGMIVTFKSPLDPDKIAVKRIVGL EGDLVWSRHAQHYDAIRVPKGHIWVEGDAGNDRESLDSNAYGPISVRLPKRTTLPAVF RGQPAMSFASQVPNSTSTTTTTTTTTTQLVVDPNLSPIPVSQSVAGSQATAALLSVVD DHAARTRALQDISFGGHSIGHNMTGGQDVESEGRPPYTHAMLAGGIGGCTGDLLMHSL DTVKTRQQGDPHIPPKYTSLGSSYYKIWQQEGLRRGLYGGWIPAALGSFPGTVMFFGT YEWSKRFLIDHGVQQHISYLAAGFLGDLAASIVYVPSEVLKTRLQLQGRYNNPYFHSG YNYRGTMDAARTIVRNEGFSALFYGYKATLCRDLPFSALQFMFWEQFHAWARQYKQSR DIGAPLELLTGATAGGLAGVITCPLDVVKTRLQTQLNPNIPEQPPIPMQGVHAPTNTQ KRSISTSSPSTHTPRPGAINLQTSSVFAGLRVIYQTEGIGGWFRGVGPRGVWTFIQSG CMLFLYQRLLRQLQVWMPDEETAL
SAPIO_CDS7289	MSLTNCRFYEEKYPEIDSFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSTEDVIKCEERY NKGKMVHSIMRHVAEKTETPIEDLYKAIGWPLNKKYGHAIDAFKISITNPDVWNDITF PSNAVKEELLSYIGKRLTPQPTKVRADVEVTCFGYDGIDAIKTALSQAEARDTPETQV KVRLVSPPLYVMTSTCLDKNEGIKRLEEAIVDVRTSIEAAGGKLEVKMEPKAVTESDD AELQALMEKRERENAEVSGDESVSESDDNAPDAI
SAPIO_CDS7290	MQQVFHQSSTQTTTSSRSTSATSPSSPNHGFQANHTPDSASQLH SPNPRSPSVTSDAASGVSGEAWLGGIDIENFNERLRRLNVVDRSPGGQRRALGQRVSE HENALVPVVATPRRRVGFKVIKRVGDAPPDGPKITDFPNEVLTHILSYLHPDSYAAMA LVSKQFYTLVKTPHAWKIAFQRLYSNKSSVLAADDDFDPVWEDQGNDVTPSNLRYFTR LTGNATWQSEYILRSQLLRGLVNGRPSGSVDSSGRLVKRFNAVLTYDSRIPCVVTNIY ADFTSQKGPQKVIHGGADLGVGSMSNPMTGKIDKWGLADVHTLAQVEELFPTLPLYGV AEGPAGLPNVMDVSPTYGFIVGEGFPGGYPYFRPSVGHRARYVDHGIQPASAFAEVPA PSADEAISSVWIAKYSNVPMLTHTMVGMMTGSTTGIMTSYSLGRETARRRFQDGDMAC RWALSPGVPIIALKVDENYTFARRAAGRVWAVALNALGEVFYLKDIPEPVGRSVDEEN MVRNAWLSGRSVEWHLLESTRRKPRSQYDQNGSPIAEPTLRGPRSSSNFMNLSTEDWE SEALGIGQWSSRRPMHFRDTYQGWDMRRRLEVDFAADDGNDAGEAIFVIDCGYGKDQP ARVQRYARAFSQGGPRTTTKYSAKSRVSGADTWSMTAASLRCDSLIRITSSALDTSNC ALSTLSEDPLVALNSKASASSSTTADHAEIPGDRARLLAVGTDKGTVMIWNGRDPSSS SLSLVKLIHTDSPEVSSLALTALYLVHGGSDGLVQAWDPLSANKAAIRTINTRSGNRV PRHLAHINPALRNHQYSAATSICLHPDPTKLCGVVAFGAFIRSWSYGSAAHPSGRKRS KGGLDRAGLDDLDQESERNQWLKAKFGPGELGDLTEEESLLYALMMSEESFVQDEIRR ATSDTGSFTGDGFESASSSFSTVAGNTGEVDIDALTAEASAASDDFSADFYESGDPFV DDYFGGVPASTSSSPGEFGFPITYKKRGKKSKKPATGNRGGSPP
SAPIO_CDS7292	MRPFCLAALVLSALTAIYARPEPRSLVGEGWHGIDGNWSTTQLW VGNVDVSEATMNLIISTNEQLCPKYRGGTLDIWESKTWYSLGDWQLSFPYANVQANGN YGLDTLVIRDSQTKTLVALDRALIAAFNTTEFYSGFFGLGIVSGEFGHQVSDSPFSQM VAKHGWFPSYTYGYTAGAYYKGSGMPSSLVLGGYDQKRFVPHDVEFTLTRETALPQAL VRGIEVSIPEKSKPDWWDSNRRVLSDMNSSFEAIIDSSTPFLWLPESVCSNFAEAFNL TYRTEFGAYLVNDTFDLLRADDSFSFTFSLSSYDNNDDFGQPLEVPGVVNITLSAHAF AQVLKYPFNNMAIEYKAKSVPYFPIKVAPNDTFILGRTFLQEAYLLTKYDSTVFSVHQ ALFPDSLDTEIKAVEQPPNSPYPPPLDTGDKHGLSKNQMIGIVAGVVVATLIALFIFC FCCRRRRKRTRTPKTLLEEDTKDTSSSILTETPKTPVSRIFSKIIRRKRSRKTESVEA SGTETNPAEVGADATHELYELPAPLPPVELDADTHSIMSETELGTEGSENLSAYEIAR RKMERRLQGPVPAYSPPVEGTAASGAEASTGDKTMQDVSPVETYRPSDRVSPASSPTY ANTDSLPRSLPSPMSPRGDWTENGDMPSPMTIPPSFPSPIYAGATGRSGTVSSHDHAR DASSLSRSSSANDVSPISPSSTSSSLGKIQRTPIDPTKIICLGPLPENVQLPIPSPSR SIIPRTPGPESQSPGLPVMSNQDARVSTDTLGSNFTDVEERLAQEAPNQRSSGRNNGP PVSPLQPTHHEPSSHNLESPRSLERIDAGAELVHVPQLAERRYSWEEDR
SAPIO_CDS7293	MSAGIPNESESPPNRTILILYGSETGNSQEIAEDLDKCVQRLHF ESRLAEMDSVQLSTLLQYSLVVFVIGTTGQGDVPRNATLLWKKLLRRKLAHGCLAAVK FTTFGLGDSSYPKFNWAARKLVRRLEQLGALEVFPSGEADERHAEGTDGLYLRWVAKL QEWLLSAYPISGGLKPLPEEVPLPPRFVLRWDSTETSHDDRSRERSLPVAGGRLLTLV SNERITAPEHFQDVRLLKFDLPDEKDGSKLELNPGDTVTIFPKNTPEDAQKVIDFMEW GSIADTPIDWSKCEKPTTMYVDDTFTLRDLLTHNLDITAVPRRSFLRNISYFASNPDH KERLLEFTSPQFLDEYYDYTTRPRRTILEVLHEFDSVKVPPERALDTFPLIRGREFSI ANAGDKLRHPTDPSLQRIELIVALVRYKTILRKERRGLCSRYLDTLAPGTIIPTLHKK SLTTLVGPAVDSKPLVAVATGTGVAPIRSLLYHRNSQTPQAEDAEESENPSAPPAAHL FFGFRNQKADFHFADDWPTFPFLTIHPAESRPAPPETEAPPPSSPGTMVIRRRGTYVQ DLVRKEACTVADMVRRDAVFLICGGSHKMAEAVKEAVLFAIETEMGVRDSQGRDEVFG RLNWVQEIW
SAPIO_CDS7294	MSATNVEKASDASVNDVTNALANTSISKADEKTDAVLASAAEGR RLYIGNLAYATKEGELKEFFKGYLVESVSIPKNPRTDKPVGYAFVDLSTPSEAERAIS ELSGKEILERKVSVQLARKPESNADKNEAGEGSGGEGSRRRPSGRGRGRGRGRGGRAA RGGRAAEGVAAEGAGAAAGSTDANAAPAEVEPLADITNKENADQDGKAQNNRPQRERR ERGPPADGIPSKTKVMVANLPYELTEEKLKELFAPYEPLSAKIALRPIPRFMIKKLQA RGEQRKGRGFGFVTLASEELQQKAVAEMNGKEIEGREIAVKVAIDSPDKTDEALAKAA EGETATNGGAEEAAPAATASA
SAPIO_CDS7295	MATSKAMWEVDPETRSKLLAIGKEGKNNICCDCGAPSPQWASPK FGVFICLSCAGVHRGLGVHISFVRSVSMDAFKPTEIERMRLGGNECWRKFFEEHEDTV MMGLSWDEATIAERYSGSVGEEWKERLTCKVEGREYVASAAAGGEKKKTTATGTAKPA AQARSGTPLSGRRETATPPISAAAQGQAGGKIKVDDKYFARLGADNANRSEHLPPSQG GKYAGFGNTPGPAAGRGNGNAGAPSLDELQKDPLAALTKGFGWFASTVTKTAKDVNEG YIQPTAQKIAESDIAKQAQLTAAQVARQAQQGARTANEGFMRFVEGDGPGGQAHSGRY RAAPLDESRKDFWDDFASLADQRQGSSSIGTAAMGMGGGARGGGPAKKKKEDDWDDW
SAPIO_CDS7296	MLPRLALRIAKPAQGAGFVAAKPAHSLLQARYVSQKAFAGGSRG RDMPKQKYRTPSSPDAVKHSTATLTIHDGPVFHGKPFGANNNISGEAVFTTSLVGYPE SMTDPSYRGQILVFTQPLIGNYGVPSNERDDYNLLKYFESPHIQCAGVVVADVAEQYS HWTAVESLSEWCAREGVPAISGVDTRAIVTYLREKGSSLARITVGEEYDANEDESFLD PGQVNLVKRVSTKAPFVVESPGAEFHIALIDCGVKENILRSLVSRGASVTVFPYNYPI HKVASNFDGVFISNGPGDPIHCQETSYNLARLMDTSEVPIMGICLGHQLLALAVGART IKLKYGNRAHNIPALDLTTGQCHITSQNHGYAVDAKTLPSDFQEYFVNLNDGSNEGMI HKTRPIFSTQFHPEAKGGPMDSSYLFDKYLQNVRAFKASQAVYKDNRPTQLMLDILSK ERVGVEPTPLASAL
SAPIO_CDS7297	MSALRILVPVKRVIDFAVKPRVNKTFTGVETAGVKHSINPFDDI AVEEAVRIRERKLAPGGVEDICIFSAGPPKAQEVIRTAMAMGADRAVHVELAEGEDLE PLGVAKVLQKVVEEQKSNLVILGKQSIDDDAGQTGQMLAGLLGWGQATQASKVEFGEG DSVKVVKEVDGGVETIQAKLPLIITTDLRLNEPRFVKLPNIMKAKKKPLEKKKPEDFG VDLAKRLKVVKVAEPAPRQGGGKVEDVGGLVSKLKELGAL
SAPIO_CDS7299	MSSVWSDNASTLAGPSWGAMQRQVTNMYEVIKEQRGNKELAIIA VVPEEYSYELELKCERRPWEIWKGRSLVLVTTSDWLQETIEEVHDLQKHSSMIILAST DVSTISFSVGSRINTLTILRCRRGGTRGGQLLITRSSEFHGARIDQWQNRHRRGRRLL IGKGIGIWIDSADNDRWSTSNLSAKDREKVRRKLDRMRNTEMEGSWWEKWRGYVAGIL GVVVTGSKLTAGLKASASGMFVNFQVAGLGAFQFGKAKAAMTMLGTAAGPAVLLGVGV AAAVYFIPWESVLTSLKGILWSIWDWFTSLWDKFTNWVKSTVGGRASRNGVPMAR
SAPIO_CDS7300	MDPSRYPTFPNFQHQPEYTNAGDMSGPSGAWPGYYPAPGAPPMA WDFDPQGQLGPMQREPISKEKWDQIKSVIYEIYIIESQPLKRLEEVMKTRFLFQASDK MYRSQFKRWKPAFDKNETKNNGGAIPNEPRRAPRKRQKVTRGGTVSDEERMAYIVKIL VYQVFLDGSPAWCETISAFGRAGMQTRFNYALQQVFNDFGRAAVDKDPGFLVYFWRIA FTLRDIRLRGAQRKKKNEFPFLGMFLRYLSLAFQRRSRDHPFLEFAAWLDASFATSPR DFKDVMRWVYSHVIVCFKEMMGNNHPIILSMVSHQAGIWQRKIDYRPSSIRTQYETLR SRLVQAYEHTGEEAYSRSGDHRVSILLDYLLAMMVGNLKENRQQIKELAIELRDCTVT HCKLFAMLDLKFDIQTRAFTVSTDWLANHMMEDGKRELAFNHLNEAIDILWKGDVECR MWAGVFSRRLGLWLKLRRNLKSDNENQKSQRGLIVEGDKGKRTHREESQVEKERKTIR DKAYREEKLRYREIKLKIPEGPLIASEPKGPRRGKERIKRIRERTLEEQKALIDCVSK NTVGVIGAAVQSRQLLGDTSEI
SAPIO_CDS7301	MAHAPEALASPVDTPHQPKIGPRTKTPSTASSSRQSSTRKRDRV LAGRIQKQRRSISSPLINESLEDYDYDEGSSRLDEVERELEADRYEEMMQEKALFPGS GEWAPDEEELFRILFMRQYSPLLPPHWSFDFRGIPVPDILFSTSEVDEPVVYSWSGQD FRATKAVIRLIDLTTVVRALVQTGQKAKIPASIQKEVDQYLKWAAKDGGYDNIDILPN IFVEVVDVNLGGTGISNYMQSQLRDLARSHRRFWIKRKKEAGGGDEEDETMADAQEPN FTTQPPVLYGLFIVNTTLLVLTIDPTKGEDAQVSYQVEVNFNKKNQGVWNAMTVAIVG CQARNDTIWRKQYYAGRLKG
SAPIO_CDS7302	MLTPRAIGEIAAPLIKRHLDGDGDEAPVPFPEFPKWLWALFGVD FLIFLPIALFIGYTVGNVYPVLASVEDENAPPAYQPLSLDDPAPGANADVEASAAPNN GTKSESEFPVTSSLRRTYAFLKSTGGWRASFRGFFCYIVYVFAGSFVSEIFSGILGKS LKPLGFLLSQLALVQLNTAWIHIVLTPSSPLRWWKRLPPFGTTFRAVASPTLLHTAAA LISIFVPALIGFLAGIPYPISPFSPATPTDGKGAALCVVLVLLTFILQLVLVLPTLVI LVRIQASLLPPEQDTIIPFDRSFGGRIEPAVIGGKPFASVRDAWATLSRATIKRVAIL FVKIFGVNIAFWLAVAAFVVPQLFLGWHFSKTPSNNGL
SAPIO_CDS7303	MSGANSIKVVARFRPQNKIELEYGGKPVVSFQGDDTCILDGTFT FDRVFGMTSQQSDIFDFSIRSTVDDILNGYNGTVFAYGQTGAGKSYTMMGTSIDDEAN RGVIPRIVDQIFASIMTSPSTIEYTVRVSYMEIYMEKIRDLLAPQNDNLPVHEEKSRG VYVKGLLEIYVSSVQEVMEVMRRGGNARAVAATNMNQESSRSHSIFVITITQKHVETG SSKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKSSYVPY RDSKLTRILQESLGGNSRTTLIINCSPSSYNDVETLSTLRFGMRAKAIKNKAKVNAEL SPAELKALLRKAQTQVTTFQSYISNLEGEVQLWRAGESVPKDRWVPSLAADGTGATKA PPRDARPSTPSRLAAEPRAETPTLERSSTPSIPLEKDEREEFLRRENELQDQLAEKET QATNAEKQLRETKEELTILKEHDSKIGKENERLTADVNEFKMQLERLTFEGKEAQISM DALREQNAELTGELDEVKQQLLDVKMSVKESSAVLDEKEKRKAEKMAKMMAGFDLGSD VFSDKEKSIADAIKQLDNLLEHSTVGDPIPPEEFKDLRQRLVETQGIVRQAELSTSFN SSSSAAETRRRQELEQRLQVLQTEYEELLERNLGEADAEEIKARLETAYSNKQELQTK LVDDLKAEVTQKTAEIERMSTLIDDLQKRVASGGAAAMANGKTVQQQMAEFDAMKKNL MRDLQNRCERVVELEISLDETREQYNNVLRSSNSRAQQKKMAFLERNLEQLTQVQRQL VEQNSALKKEVAIAERKLIARNERIQSLEGLLQDSQEKMAAANQRFEVQLAAVKERLE VVKAGSTRGINSPTGIGGFSFANAGSRIAKPLRGGGGGDGPTNPAISSLQNEGSTNKR SSWFFQKS
SAPIO_CDS7304	MSTAARRRLMRDFKRMQTDPPTGVSASPVPDNVMTWNAVIIGPA DTPFEDGTFRLVMQFEEQYPNKPPAVKFISQMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYRENQKEYEKRVRETVEKSWEE
SAPIO_CDS7305	MVALPLRQSASMTRRIAQLNVRRGFSSRAPLCQEIRDAYILSAS RTPTAKFNGSFVTVPAPQLGAVAIKSAIEKSKVPVSKITDVYMGNVLQGSVGQSPARQ AAIFAGLPTSVEAVTVNKVCASGLKAVVLAAQNIQLGLAEAQVAGGFENMSRVPYYLP RASGLPAFGNIKLEDGLIKDGLTDVYEQIHMGNCAENTAKKFGITREMQDEYAIQSYK RAQAAWDAKAFQDEIVPVTVQQRKGEVVIDTDEGFRDVKLEKVPTLKPAFVRDGTGTV TAANASTFNDGASALVLGSKEIAKEFGADSRVLAKIVGHADAAVDPIDFPIAPSKAVP IALERAGITKDQVSVWEFNEAFAAVIQANGKLLGLENANVNPLGGAISLGHALGSSGS RILTTLLHQLQPGQYGVAAICNGGGAATAIVVQRIKSVDLVNEFLTQLRSFVRAQDGD NLRAWLQVSPNASPQYYKLAGELKERYGGGDAALEAAVEGGLPEEDDVSEGQGSPWPG SCSTAFANPTYGGMLLKTSMSLSEVLAQLTMMLNKRPDLTSRLRGGDEEGRKSVAESS AEIIQKIFTTCLTDRSSARYGKPEGKKVGVYMFANLVLKLLFACRKTHLAKQIFTNIS TNSPPLSLYPAAQRVTFLYYLGRFNLANNHFSRASACLSSAYLQTPPSFTSHRTLILT YLIPANLLLGVLPSRELLSRPEAQPLAPIFHPIAEAVRRGDFFLFQETLAAHESWLFE KGILLTLSNRLRPYLWRSLSRRVFLLTYTPPTDPNSRKAPLLDLTHLLAAATYLQNRL EGSPPTTTATFPSPASYKRKKLRPNEGLIWGNAPVTPQDIELTVAALVQQGLLHGYLA HDMAKFAVLGAKTKGAVAAGWPVVARAIRERRYEEDVDWDDVPGWVKA
SAPIO_CDS7306	MRLSGVSAALVLLSVDVVYGHGHRHIHRRQAHVHRPSPRANDPA PAIEKRTTCSLPNDGDLHYVPGGMNNGFAMSPDQPCTAGMYCPIACKPGKVMAQWEPG SSYNYPSSMNGGLYCDSNGQAQKPFKDSPYCVDGTGSINAVNEAGSKVSFCQTVLPGN EAMLIPTVVDSSAVLAVPDPSYWASTAAHYYINPPGVGDEGCIWGDSSKPIGNWAPYV AGANTDKSGQTFVKLGFNPIFEFEGAGLEKNPLTFGVKIECPGGGCNGLPCELNPAKK GLGDVVSNQSAVGAGGSSFCVVTVPSGSKANIVVFNTDGSSGGNNNKDKEKEKPQPQP QPETTKVKEEPSPSSTADAPSSSAEAESESTTEGPSSSTRPTVKPGIFLETDAQTSNS PSTQSSDDSAPTSADSTPTESQSNENEAPGLQQGSAAVAGLVVALAAAAVLY
SAPIO_CDS7307	MRLTSTAAALVLAFTSSVLAAPGSFHERRQDNNQAPPADALSVT QKLLLAPLAVDRFKILTKPEDFKFNLTPDDIPEGQGGSVKLANRASFPALVGTGASLA VGRIAPCGINTFHVHPRSAELQLVIEGSLVTEMVPENGAEPIRNTVNKFEVTPFYQGS IHHQWNPTCSDAFFVAALPNEDPGTGQLVNNIFKLDQNALLDTLDNRFDSAISGDLIE KIKQNLPQSVVQGVRACNAKCKPQAPENTEPSK
SAPIO_CDS7308	MTITASAWLMQGIIRQNPRLRLTSRLFGRGFSCSLVSRQVHIPA TQISPGSHVKDIRNIGIIAHVDAGKTTTTERMLYCSGFSARQGRVDEGSTVTDFLELE REKGITIQSAAVTFHWPPAADCPPGIHPRIINLIDTPGHQDFRFEVDRCLPVLDGAVC IIDGVEGVEAHTERVWSSAQEHSIPRIVFVNKLDRDGASFRRSVVDIGTRLNCMPIVC QIPWYNKEEDFTGVVDVLSRTVFQWADRKLTVSPFTPEMDPNLAKELDLARENLIAVL ADHDEVIMDLFLEAPETITNQHIKDAIRRVVSTGDGAVVPVFAGASLRSIGVEPLLDA VVDYLPSPDERPEVVVRSGTKNLPLSEVLKKTVDRGGHQRLAAVASVFKVFNHPKEGL LSFVRIYAGHLPKNAAPWNTHMLQVERPMGLVQIDAAKTHNIDQLGTGQIGALRGLKF ARTGDTLITTVGHKAVPDEAKHIQIRPPEIPPPVAFLAIDPFGLVAANELQTALQNTS REDPSLRWSRDEKTDQFIIQGMGKLHLDVIVHGLKQKYKIDAAFGDIEVDYKEYLSSP TRSHEAVFDRVLANKAGTVVCSAVLEPIEEHHRGSLLESTVEREGNIFHIQIPLPESG DLPFNPEESRQQMLNGAIAALARGPRRGSPVHGCHITLTLDIEATKTPSGGHFSGAAR RAVQDALKDAYTKGQIGILEPIMKVIITCPESAAGVVQHDISAAAGGHVLEVKDLSGE IVTEGSVDVSSIYAPPDPYDAITSLKKKSTMRRVQITAKVPFKEMIDYDSHLRSKTGG RHSMTMSFDSLAKVTGYRDKNV
SAPIO_CDS7309	MELSDIFRIINLAVAGCMLSGGISFFFPFSWHGTITAVYIILFA AIVGLLEFQIPPQATRYGSFLFSFIGRGCFYIFIGSLILGDSVWSKITGSIVGLTGLG YVGLEFVPSIEPPANMREADAGWGAEGV
SAPIO_CDS7310	MPLRGLKSSFSTSSVRAESPLLFPPPPPPPPPPLLLRLGSRIVQ RMAMSEEYNPSSSRATNQAPPPRTALPSRPISAKQRQPVTQSPPQRHTSTNPGQVAAM NPSGYTSRSSRSPVDSPTKPETGQRALPQRAQTSGQTGQVCSNCGTTRTPLWRRSPQG ATICNACGLYQKARNTARPTKLNRTGVALTSEPRQSPTKLPTSLPTAAKTGKSIPGAT YVAADQAPAGTCPGGGRCNGTGGADGCNGCPAYNNRIAKRAQLNVKGQGASCRSAEQP TEPHESQPVDIAALKSQQAQNTPMVIACQNCATTITPLWRRDESGHTICNACGLYYKL HGVHRPVTMKKATIKRRKRVIAAQDDAESVEYEGSTRSTEGTPERGTMNADGSVNLGL RRRPDQPLAIEPRPGFSVPGGQGSPGSGPAAYRSTHGLPQHDVPMYLNEENRLASLAT VASSEERQPSLSPASFLSSRKRSFSATEPDPPTSPEGNHETTKRISSIISILNPTDDG AIETSGYEDRRDFYHSNARSPHGYATNTGSVPASGSSHYSPNVPTYYRDVSSERDPSK EERREALRREAERMRAMLAQKERELAELGHE
SAPIO_CDS7311	MSVPPLPNLLQSRGPGDGGRGFRRGRRGGPASSSGPGSQDEVIQ GTDTDAAVSRLSAVNLGYLDDPYAQYFVQSAHGPGSRRLPIINRGTYTRTTAVDTLIS TFLSSGDSSAGVSRQIVSLGAGTDTRPLKLFAKPGQNGLVYHEIDFPAACAKKLRTVQ AVPVLRNILPNPSESENGSWSAQLPSGGEYWCHGVDLRSLIQGQEEGGGKSSLPGLRT DVPTLLVSECCLCYLESPDAERIIRWFTDKIASIAILIYEPVKPDDAFGKMMVSNLAA RRIRMPTLEVYKEPNDQAKRLKEAGFSTVKVLTVHDIFEKWVLPEEKVRLDRLEGLDE IEEWVLLASHYIVAWGWAGTGFTMADERGCVSTT
SAPIO_CDS7312	MTHSSDPVPAGQLGESVETSPTSLDSVLSMDINTLTTTTASPLS QLVEQIVGPALFPTCEPAVSPQTGFDPGSSESSPVPPDTLDELVDFTTIETAETPLQL TKGVSGSSERVLRLDEISPTPPMPQHGKESQHRFRARLMWLIEQMMYVSGETAEPSVE TTGIIEDIVRQQVIELLRNCTELAARRGSRSIGINDLIFQIRHDQAKVSRLRTFLSWK DVRKNVKDSDDKGGDADLGAAEDPVGGVVPGGPVDDTAKKIKTSKTTLPWEPSSFYNQ EVPERDDEEDEEEEAMNHITLQRLQKADERTKVMTKEEYVTWSEYRQASFTFRKSKRF REWAGFGIVTDSKPSDDIVDILGFLTFEMVQTLTEVALSVKEQEDVLHRAQNGGDKAA GGNKKRKLNQGLFDPPSEGRSPIEPRHVTEAFRRLQQRPKKSRAMLNGTRLSHRTSLN IF
SAPIO_CDS7313	MTLSKTIKSWLEDLRDHFKANNRWRRLLKYNLAMTVAVIISILP SVIRAYGVDTFFIPLQVVFSHPAQRVGSMIETQILVLSGVVVGTAWGLLGLYLSGLVV DSNINAAFAIRAIFALCSAMTHGFLRSSTPKLFSFVWFLLMTSFIILAARPLHFTVSL LSLIMYPVLTGQAIVTFFGLVLYPDSSSSFLGEATIHALSETFDTLSKATSWFIASEA ELEHVLTRPLTKLTTVDTSVTLATLERKQTTALSRMRSVLHNPLAHMRSRKPEEKKKK KKDKEPQEPQEDESPLLSLTNAKSDLRHSLNRCKDVQREVNFELSYSALPLDILRPIT TEGMASLVQETIRIVGACENKLVMIEEEDEPSDNDNSSVVTEKSAPPTTRHSQEMVDA VPEATSSGIFFKRPPGPARTHSTPKEEFLEKLKLVKPTREIEACDADLLEAIVGRIRA PTKEFQVALKEAVSILITSLAYCYDVPELPSGAKTPRGVLLEEVDMFIDSFERAISTF DEDSMDEFKQFTGNKDDLEEEDILPQFETFLVSSFLLGLRQTAIHILQMLRYARHLVE ARKRRNDKPRVYWPHFTDWAEWLSLGGEDDAMVLPKKARKRARSGAAENGNSEHPTYD ADTISIRTDRSVKKWRTRDTEAGRSDAGTTTTRESKKPKKKSVRFEEKHRSKKPGKES WIMKIRGKAADAIEWVRTDDDLEYAIKLCVAVALVSWPGFLPSWHIWYTDLRGVWAPL QLILVFEVAIGTSLFVFFMRLLGVIVGCTLGYAAYAIGSGNHAVAVIILMLGIIPSTY MQVETNGVAVVNFYARLVSFLIGGVVAIFVEICLYPVRARDRLIESLSSALRELVYMQ GAVSFGIDDPELKQHSTRVYSAFESSRNKTQAALAAAETFLPFCVSEPRLKGDFKALV PVYAEIIFVLHQILDRMDNVVSLRKNYGFSILEDFNPVIYTYRRNVAGGVTLSIFAVN EALTTRLPLPQYLPSNRTAQLRLIHRVREVVASRRQRHASATTESPHSDCESSVSSLD TTAQIAARHKILSWNAATSGHMEIIEYVEELVELAKLIVGVNAFRGGMLAQPDFGTYI RRGSTRFTELPGAPHHQGRRVSAEGGFSDGAGLRRAATYSGPVPRWVQRRKRALVARG KAAASKAKEAAEGEEVTATAAVGEHQVVVPGSLRRVGTRFRRDATVSRRMGTLAEKGK GVERS
SAPIO_CDS7314	MPSVHRLVAPRDQKYQSNFVEFRNNKIVYRRYAGLFFCACVDTN DNELAYLEAIHFFVEVLDAFFGNVCELDLVFNFYKVYAILDEVFLAGEIEETSKQVVL TRLEHLDKLE
SAPIO_CDS7316	MSARAKRKASFSTEARPQKQHRSLNGKVSAGDNTPDGHLQNNHH AYAHESDSEMEVNASQLSAMLKLSHDTLEWQETIGKVVPTVVSIRFCQPCSFDTEFAD TSEATGFVVDAEKGYILTNRHVVGAGPFWGYCVFDNHEEVDAYPVYRDPVHDFGILRF DPKAIKYMPVTALQIRPDLAKVGIEIRVVGNDAGEKLCILSGVISRLDRNAPEYQEGY SDFNTCYYQANAAATGGSSGSPVVNIDGHAIAIQAGGRTDGASTDYFLPLDRAARALR CIQEGKPVDRGTIQCQFLLKPFDECRRLGLSPDWETSVREKFPGVNSMLVAEIVLPEG PSDNKIEEGDVLIKVNGELLTQFIRLDEILDLSVGKKIQLLLQRGGEDVEVEVEVGDL HKITPDRFLTVAGGQFHDLSYQQARMYAVACRGVYVCDATGSFRFSGADNGWIIESVD HKKTPNLDAFIEVMKSIPDRSRVVVTYKHLRDLHTLNTSVLYIDRHWSSKMKLAVRND VTGLWDFEEIGKPLPQIPPVTRSASFIQLEHTSHPAVGDLVRSFVHVNCSMPLKLDGF PKNRKWGMGLVIDAEKGLVIISRAIVPYDLCDITITIADSIIVDGRVVFMHPLQNYAV IQYDPKLVDAPVLSAKLGTTELVQGSATHFIGYNRIGRIVHAATTVTEITAVAIPANS GAPRYRAVNVDAITVDTNLAAQCGSGVLVGSDGKVQALWLTYLGEHSSCLGRDEEYHL GLATPTLLPVISQIQQGIVPKLRMLSVEFRAIHMAQARVMGVSEEWIKKVAEVNKSHH QLFMVSKRTFERNPVQGSVLLEGDILLTLNGKIITKVSELDVMYSHEKLDAVIVRNCE EIQMKSGTVLADDVETDHAIWFCGAILHRPHHAVRQQISKLPSEVYVSARTRGSPAYQ YGLAPTNFITHVNGKPTKDLDTFLATVREIPDNTYFRLKAVTFDCVPWVVTMKKNDHY FPTVDWIKDASDPCGWRRVTYECGKVIQGDATDGVDPDPMITEVEPVEIEPVGVEPVS AETTST
SAPIO_CDS7317	MSSQSWTETERANLCMQVLDQWRPTKGHVDWDKVEIKGRTKKAC QQAWHKFWSDMRAKQGEDDGGSPTPKVSPRKRAARKLKVKDEEQGSSSEDNSPSKKRV RIKVEKIEDTDDEVPKTPVKKRRGRPKGSANKKRVKTEIVDDEIDVPGSIEEVNAAAA SSIGDNA
SAPIO_CDS7318	MALAYEPERDFYKLLGLSGPSGITESEVRQAYLKCARKAHPDRD RSNHSATENFQDIQQAYETLRDDTKRAKYNRLRTEGIVREEARKREEARKRDNEEQGR NRRRTPTASQQFGPEKYFAHGQRAPKPNTSQRQPPPGVYSGWAEMGRGNTGKWGGTTG SRTQKPAPEAQPRPHPTSRTPRKAAAQRPGSFANYREQMSSEESPPKNGYRPNSSTIG DEPRAKSNSYASTPRTEIPRPSMSGGSDTDSEHIESILKGRTSQPYQRTHGERTKIFE GQAQTASSGEESRGRKPTKDGRTSSRFDKANGHNDESQAKAKSSSGFYPAGQPNGTSP PKPPQPLFTQHATEDMGSWFVRDSDQNNAFRFTAGGKDAPETPLRRSRSSGFIGRKAS PAKRPRQAADSSHQSPAVDETPETPNVKFDPKDWSDIGPQAFEPRPNERTQVSPTRNL RSTLKKSTTSRPTSTPHRATVSDEAEEPTANLNTKSTKSRNSGAARVDSPLAMDIDSP PPPASSTPTQPPRARAMPVEPSKPEWRAGKASEAKPKTSTSTPTMRSTVKPTSGGSED TDEFRATFSELKNVPPFVPQGTGLASIGDLKSSLPYTSQPSESIPIKQDKPKPRTMDM PTPPIAPVFPTALGSNGIRPTPDIISTYRRSFNTYLSLWNVYHSIMVNHFAERQKQMA NAQAITVKEIPAEKQRIRERKEWLRQDREVRKRWAAACEEHERRLDEHLNVLDKMGVV 
SAPIO_CDS7319	MSDQQVSLPFLIILLLTIGVVTRYLFFSSSTSGPRARNSRNNSG RDANAARLREVAAQRIQQMFPQVDRRTILWDLQRNGGSIQATTERILAGRMETPPITF QPQPPPGSNPSANTSAQQAKQAGRPKQPDLITRYNLQNKLSSESEEGTPSEEKKKEGW SSNKDERQALLKKRRDEMILAARRKMEAKIAAEKAAAGDAGS
SAPIO_CDS7320	METAESAEALRKNGVNEFPSLSNSAQVPASSQGGMWSAGAGIGG ARGVTGQVPRQGSAPLPQQTQQEDMFPGASGRLPANQNVFRFGNQSTINASTSSQVQP SSVDDFPPLNRIGGDPSQERGASLMSALGFGSQNNSSAASGQSARAENGLLSALSANR GGSDGRNASSGSRSQDSREGASGSDARQKAGSFREGSLAASEGSPKAAETRNRLGAIG NAPPPSKAKEVEEPSVPEVQDPLAGMAPEDKWGLKGLRTLMNNYPDYNAMVLGVDPNS LGLDLASSELISTQIYSLFDDQPPRPAIPHFRLPDCYSVNNVQPIETKISSFNEDTLF FIFYANAGDVTQHLAAQELHQRSWRWHKKLQIWLTKDEHMVPQQVSPTAERGFYIIWD PARFQRDRREFTLHYGDLDTTLGGGAQ
SAPIO_CDS7321	MDYEDDAPPDLVDVAGDHELEQQQEEGEEEVPFKVPITIVTGYL GAGKTTLLNYILTAQHGKKIAVIMNEFGDSMDIEKSLTVNKGGEQVEEWLEVGNGCIC CSVKDSGVNAIESLMEKKGAFDYILLETTGLADPGNLAPLFWVDDGLGSTIYLDGIVT LVDAKNIIRSLEDPHGEVQNEAHEDTHGPLMTTAHVQLSHADVIVINKADLVSEEELE RVKERIQSINGLAKLHITERGAVPQLEGFLLDLHSYDRVSDQDLRAKGHSHLDPTIST LTILVPVLSSEQLDKVDVWLRSVLWDSELPGFPSAAPFETHRLKGRLVLKNGAVKMIQ GVREIFEILDQERNGEDQVPQEGKIVVIGRHLVPEKFERSFRNAID
SAPIO_CDS7322	MSMPPSSPRLPSPPPTAEIQIGPASPSGNGAANRQASQIEQAIL ETSSKRRIHRGTKAADMAAGPPLMPLSELDSAFQLQEHLAALHYHHSKGRTQPITRST AVQLATPPPSTDRTLWLYELCRFLISQCNSLIIGFLFDTPPCSASTCPEMRASEWQFL CAVHEQPKSCCAIDYCCHTLDWAANVVTDQKIFPSRFVALSDTHNKNIAMKNLVNVFR RLHRIFAHAWFQHRSVFWSVERETGLYVFFKTVCDMYDLLPGETYKLPPEAEGLDPEK ASQLSAVSDKQAQATPVSGLPTGGVFPGGQAFLKAEDAPRAEDDHGVGRTNTRRHIRS SPSTGSAVTTVIEADEDEGAELAARARALHIASAASAEPVLEEEAEPEAEEVPVIVED SVMQESGESVDSSSVEAETPTVATAESTVAPVKSDEDKEEAAPLGDIEPEAPSEEETS APAASQEQRQSTEVDEEAPSAVTDGKETSESKEIPSTDTAEDGEPSADATTLVEEAPS EPVEEKSIPAPSEPDAPASTEEPSAAGELKDTIEKPTEEKENTPDDIDTNPTEEKKE
SAPIO_CDS7323	MGDFHHHQFLTSMVGGQPNPNIMAQEVHQAHMPGVVPLPSPPVA APFSGIGYFTAFHDPLMFSVPKTQRSRRKSTSGLDTVKHRRTRSGCFMCRSRRVKCDE TRPICERCKKGNRECIYPDHPSSKAPGSQASAKESPPPAPSQQVTSPESSIGGDEADT EVNVKLEPIPDEEEEEEAEDSEQPNWRYTSSQGPSGAGSPNLTKSSTRHSSETPSLEG SKSSPSGSPATSSTVTLNAYQSDPALHVTNTLASWSHLPADFQYYLNSFCENITHYHY CLPNDPDGFFPSLLVNVALQNEALLNAVVGFSAYHETLKKPDAKVEDFLKYYNKSVTL LLNSLQRKEPQTVATIVTVLQLATIEEYLGDWINIMGHQKAALQILMNLFTPETVMLS PVSRMVLAWYQRFDVFVAVMGGFKTALPREWFTAFVDFCRERIATHPQEVEWRLEEGT AFLRLVSMEMSMLYAKGYRGEISPEGFRAEHDRISRVLEDWKANIDPALTNPAFSVKS FPNQYPLDPERDIVNPYVPGTLYEQPLVSTTLLTSEWHSISVMHKCQSPTTQREQLYA ELREHSYAICQIFEAIERWPKSPKGVLIMIEACIAISALFLPQDRRHHNWIRRKFALM EILGYIHPLTLRSKMSELFREPSCVRWWLPNDEGFTPILQSIRTFADERNAVAVSAQV ENLREVRHVFAKMQLSDDTGGDEAAAAAAAAGGSGGGPPRLPGMGR
SAPIO_CDS7324	MQLLSSLFQELPTSSSSSTTIRTTHWRPSSPASRTSDQEILDNI DGRMHGPMGGFIKRYFTNFQYVHQDAALEIHAAGKVVGRCVVPTAAPSPGNFLQWFSS YVSRELGGARGSWHISGDRGAPKHGGPDNGARLLLTVPASPPSNVQMRWDDVQVIGQF YPRRHVHYQDGLLRLCRSAHEVFASQPTRLFLHGFYIRGPLIELWVFDRSGLYCSEVL DIEKDFIQFLSIILSYQRMTDQDLGKTNIIETDEGGSYLIPDTAVIPSLGKLYLESQA ITSREGLVGTGTVCYRARLPGSNRWDYVLKFKWRWARERPEDELLKLAKKKCVWGAVS VDYYKEVESTANLRRGMRWGTHRKFAKMDSPEKPGRVEELRQNGSGDAGGLADYTQET DSYFQNRILACIVTSPLGRPLHTFKSLLELLQVFRDAIKCHRSLYDDAKILHQDISSG NMIILDNEDERKPKGILIDLDSAIELDEGLETEHGIVGTRPFMAIGVLKGGCHTYRHD LESFLYVFLWTIITNHTEKLPEKSKLRQWSDGDWDELATRKSLDMDQSGFQGILEEFP PEFHPLKPLAESLRQILFPVRDGIIWTGTDDSLEAADRLYDGMIQMFEEAIASQSRR
SAPIO_CDS7326	MFDFTLEEAVAVFDVQTTLVFLLTLCSFVVPVVILFPPVPIRIS EALSQTHTKLGLEPGASNLPKPEEKNTQPATGSSPTTKTRIQSLFIYPIKSCKGIELS KAKVFASGLQYDRLYTFARLKDVPAGEEPVWDFITQRQFPRMANVAIDVWCPDAVKTR GKLTERGSDETFMIVRFPWSRPGLLGVLDHIAAKLGKGWRGVPEKEILLPVNLPSEAE IAGRGYRYEKVRVWRDVVTALNMEAEVPRELTTYLGVSERLGAFRMGPDTLREVYRNA PKEEEAGYQPSVRFQDSYPIHLINLASVEDMEEKIADPTLKNFTVRRFRPNVVVSGPP AYDEDGWKSLRVTQGTASVSSDCTYHVSCRTSRCKLPNVDPTNGIPHPVEPDRYLRKH RNIDDGCPKNGCLGMMLTPLFSEESRRVALETWLEVGMEIEVQERGEHFFLRT
SAPIO_CDS7327	MRVIEVIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKKKSPIGFEEYATIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKALKTMAKKEMKLQEITELLRDEIEPKLEKLRTEKRAFL DFQQTQNDLERLDRLVVAYDYVSNVDKLTRSAADLEKKVQRQKTLEESAARLKSEISH LEEDVKRVRAQRDKELKKGGKAQALEDAVKKHSNEIVRLATVMELKKSSLTEEEEKKT AVERTVAELETSLKDKVKAFEKIKAKYDAAKEDLEKQSKEAESKEELLQTLQTGVASK DGQENGYQGQLQDARNRATAAITEQEQAKIKISHLEKRVKEEEPRAKKAKEANAGLLK ELEGLKVEAQKLEKELGKLGFEPGQEEEMYKQESALQEKIRELRHESDTLRRKVANID FTYADPAPNFDRSKVKGLVAQLFTLDKEHTHAGTALEICAGGRLYNVVVDTEVTGTQL LKNGKLRKRVTIIPLNKIAAFKASAQAIATAQKIAPGKVNLALSLVGYDEEVSAAMEY VFGNTLICADSETAKQVTFDPSVRMRSITLEGDAYDPSGTLSGGSAPNSSGVLVTLQK LNLLTRQLNEAEATLKQLQVKISKEKSKLDKAKHIKQALDLKAHEIKLADEQIGSNSA SSIIQEVEAMKESISQLKKNIADAQKRHAEATADVKRIEKDMKDFDNNKDAKLIELQK ALDKLTATIAKNSGSVKALQKEVQSAQLDSEQVGADLSGTREQLHEVELAIRAQQDEI KALVKEQAAVKETHDVAQAELEDERSKLNIFDDELRALEEATRSKNKRITEEGLELQK LGHQIEKFDKEQQHAAEEVKKLEARHDWIVDERDKFGRQGTPYDFNSQNIRECRSTHR NLTERFQGMKKKINPKVMTMIDSVEKKEVSLKHMMKTVIRDKRKIEETIVSLDDYKKK ALQETWEKVNGDFGQIFAELLPGSFAKLDPPEGKTISDGLEVKVCLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFRTRFSEGTSMVQALTPADLK
SAPIO_CDS7328	MATIRGSRLPIGSAPWISEERAAALQIVEDEAEEFSYSVRNDFE WLNEHMAGIFDENEINVAEMFKTPGKLRAKTPRAIRKPENTEARVPLSDIFSGTPRGT ANPFLQQLNRLNSPSPMAPTELKKPSTTTPAYSPAKRPLPSPNRQIPAPIPHADSGYH GSQSQEIDDLGSPSQTRVPLNAKSPVKAASPIGSPTLHRQQSPTRTRVLQSPTATFLT AKEEQTARVIPDATQQIISPTTTRSRNIPPQSNAPSSHASAPRSPSPIRSSPVKSSPM KMSPVKASPLKTQVGSPIIRKPVPSQAEPKQEADDEQDDEHSHSDNSSPIRPALRKSS LNFATLPAREPLTTGKSMGGRMSRTSHLDLNRTSYYGRPTGGKSLGNTIIPPPSTDDD EMDVDEDEETVSHKPTEAITDHNKTYTQRLQDRIGMLGQSQAKASRPSKSIANLAPIN TASTPAPARHDEKRPFSPIRKPTTPGAFPGDDDDDDWIDPPVTVAKQPSPRPAVPPKD NAYGPMPGKASSETESADFVLPKQRQPTSRPNSPYKPPMIPERTTSTLTHMKSASVSA LPNAKLQEDDSAGSPKKGISVSNPTLATVSESDRPGTPMKSPLRTARDSPLKQVKNKL SSILKSSKGLLASSAAISAEGKSSILSPSTTRLECLPGPSSESIAQPNLGESMYPDLS KVLPDAQSLFSRPASPSKPIGRRTRASAERERKEKMMEKEARRLADQMEKLEKEREKE REKARVFSKEQERIAAMEKQVAAQKEQERKRPVEKEPPKPVRSSPRRAKPQVQEQDVE MQDASAAMPPPPPPSATRAGGPGPAIRSRELKRPTRPTKDSATKSKAAPTVIKVNMGA HHSQYHPSNNALSANLHESLAPSAPQTQAATKPSQTKLKSKSSVQSLKSSVSSTGRPK ALELAAKRKEQEEREAQRKREARAEIERKRAAMQEEERRLEAERQREKERELEAKKAA QRQAAIEKAKQTRAPPPAVRSQPNGPPDYAAAQEQQATSQPPRPPSRLGSSVFRSQED LNRPVNALLSNANKAQKRPLGQDNDAGNARAQQARNGPTYQGKDAKRRRTSQEPDEDM ESLPNIKGPPVRPSAGYKKPEVQNKSMFLGGYTAVPPASAPRDLFKTAVTSQHNGALK AAHPLDMAQVSKGAIPFASNPGQTGTAFKTPARPGAVATVKSTAKSAPRTSPRFPNGD SIELPEIDTDDEDEDSDNDQGVVASWADSPDLRRALLRQETMDPSQIFGPPAPLNMEE VFSKSKDRWHKFRARTSSANWSGADRLTEDDIRKDMAARDRLRREGAWTYELSKDMA
SAPIO_CDS7329	MLSLLPYTVQSGLERIRNVHRGVRQPSTSLGPQIDSTPRFQELD SSADESGEATPPLPTRPGSQSPWNGKATGNRRTLRTTGLRQRAGYGASTGPTGTGYTT AHCSGESTPSSLTTEEVEAEYSIEEREMASLMEDVRDALLVLDDADRRPRGNSAGTVE SETFPARPRKDSGIKWDSVRRGLTKISIARDEKSQPLSSRNPSFERTEYIGGLRHLLT SLPPDLTPAEISALRSSLPRPLHPSSPHMHPSSAGGPPPPSVLHRLVKTVVAAYLVII GMLLPHILAAFHAAARAERKYHVGGALVGGAVDMARSAARQVATMSVGGDRTVGEAVA SGIAWTVSGVAGGVADGLGEGLVIIQERRRF
SAPIO_CDS7330	MLRQSLLRRCTPIRRVCGASVSAPAVSKFQSRAVGLIPTPRVTV RPAFYNAFHHSRLLHQGTATAAETEATDAEPAKDQTMRFEDAIDAGIHPNLIRAVRQD MGYEYMTEVQDKTVRAALRGLDLVAQAKTGTGKTIAFLLPMLQRMIDEDSSLATRHMT KRAKPDDIRGIVISPTRELAEQIGKEAMELTRHTGLVVQVAVGGQHKGSMLRDIQRRG CHLLIATPGRLKDILEDPSSRVEAPNLAALVLDEADRMLDVGFADALEDIQRLLPDVK EKPRQTMLFSATIPRNVIGLARSMVRQDQFDFVQTIRHDEAPTHEKIPQKIVILPSQV NLFPALYELLDREVAKSKETGQPFKAIIYYSTTTMVELAHKVHATMRRSRPNDIKYPF TYFIHGQLSQRNRTHAADSFRRAQSAILISSDVTARGMDFPNVTHVIQVGRPVSREQY IHRLGRTGRQGKDGEGWLLIPAHEAEDTRRSLGDLDLVRDTSLESSQTLIESEEAGMP QIFEDVRSAFEHVDEQTLTDAYTSKFGHMPRCDAQDFVDDTNKWIKLIWGWPEPPRVS QQWVIKRGLRNVQGFNVGPQNHSPGNMRRPPVNSRRTFENRFERVMHENRHTDSTGHS GHQHRRRPRW
SAPIO_CDS7331	MAAMLEFRTQGYNPYAVKYSPYYDNKIAVATSANFGIVGNGRVF VLNLTNQGTVVVEKAFDTNDAQYDVAWSEINERQVVVACGDGSIKLYDIGVEPGFPVM NFHEHKRETFSVCWNPVTKDSFASSSWDGTVKIHSLLPVEPCPSLGRLERLTSPDIRS PYSGNCEVPFDSGNPGPRTTSGFPRATSASSGARRGVDP
SAPIO_CDS7332	MDAFITRKRKKTDELEDALESTKDEPTDVKLAILSSLHPSIEQE ILLDILLAHDGSVAEASAILKSQRPLKKLNSALAYQPSLRHFALPKSDDGQALPARKR LISKKGATMHLYDPVDVAEHTPCTIIHNFLPAEEANALLEELLAESESFEKITFKLFE NVVSSPHTSGFFVESYDEMREQKTAYLYNGARLTDVRRLTPHLLKVKPIVQEAVNQEI ETRIRTRYPNGQKLKYQSAKPWVPNAAFVNCYNGPKESVGWHSDQLTYLGPRAVIGSI SLGVSREFRVRRILPRDSDKDAADNPDAEGQISIHLPHNSLLVMHAEMQEEWKHSVAP ALSVEPHPIAGNKRINITYRYYRDTMHPNFTPRCKCNIPCVLRVVQKKKENYGKYFWM CHGGNVPGKESCTFFEWARFDDDGNPTRAKPVSSSTSKTATATAIG
SAPIO_CDS7333	MSSETQAPTKTYRGNCHCGAFVYEAEVPEIKSAMQCGCSICFKK GYLLIFTPASTVKIVKGNLDDLTTYEFGSKKISHMFCPKCGVSAIGKGDTPNGTLFIV SKGWIPMLWKRPPGGEKIGQEHVVPEYSGPEPTAEIEGSRMYYGSCHCGAVTFALRSK PIDKNYDGFGPVAPIAECTCSICERYGVVWIYPNKDQLVIQGWDSITDYTFNSGMVLK SFCKKCGVLIANKVRDLTQDEMDKLDEATRAFAKANNLYPVNIRALDNFTLDELDIKV VPGHKHGTPYVNP
SAPIO_CDS7335	MDHPVKDIKSLMKKFAFGVPDDQRDAFNTYFLPTSSFVHPLIRV PSFDDIHIPYLPLPPINSRHITRLLYRLRCMFVDISSFNLESAVYDQRTATLTAHISE NVHPKFIPFHSPTIKSTLIFRLVQRTLDAQGQFLPPCDPEDPSAPGGRTRLYIVEHEQ LIQPSEILKVALPIVGSGIWLMPEKVVKNGDTIAVLKTE
SAPIO_CDS7336	MVHNMSSIPSPPSPKSPLGRYRLLSPSASVRVSPFCLSGMNFGR GWDGEGSSQDEAETVLDFYYDHVCCLCNFIDTANNYQGGESERIIGGWMARRHIRDQL VIATKYSTNFRAEHGEGEIMINSTGNSAKSLTTSVHTSLENLQTDYIDILYVHWWDQA TDFPELMQSLNHLVAAGKVLYLGASNMPAWVVSRANEYARGHGLRPFSIYQGRWSAAS RDIERDIAPMALSEGMAIVASGAFSGPAFSTAKHRATSKGRKPTLTDNQLKLSRALEA IAGEKRTTLGCIALAYVMQKQPYVFPLISNPTIGLLERTLEAIITSLSKTDMSRIEAA VPFNPGFPLDLLF
SAPIO_CDS7337	MKPPRPILPFPIPLHIGTDICRVSRILSILTNPKRGRRFVDRVL SPEERGLRRVRSALGEGYWGKEAWRGGDVGVAEFVAGRFAAKEAAFKAHPFRRLTFHD IVIRPTSDGEESGPIVAVIRGEEVDQMAMVSISHDGDYATAVCLGFDPTRKQPSDR
SAPIO_CDS7338	MVDYNAWNPFARRETHTPQSITAYKVLTLLTWLLAVLTSVYYTV EEPRDGFTIRRRIWDLNDLYPTGFTLNPIIASVYWILLFLLQVGYISQLFSSDAARVT AAANVGSHFILNNLLHFGFVMLFVRSHFIWAEVVQVINFFNLLNLYFLHRTNPILIHI ASASGPLAWAFVALYWNGALMVRHPDHLVDRVFANIFIWSIPVFGHFCLLIFKDYTIG FSLSILSAALGVGQFFHRVVALQWIFAFVSMATLFLSSSAVASRVWLRREAPPPADQE RAPLLNDEN
SAPIO_CDS7339	MPRQNVTDGNRKKKNQPRVPDEKWDKNRWTFAGLYDRMSLQEVV EVARRELDFPATERQFVNQFNKHGVYKNRAAGDSRNNKRLLSAADFHQAVSDGRHAFP IYWTQLSQCTIPRLFLDLLVNCARTCEADEAVQIREYINRLIMELKGRGSEDGILFCH LLYILRGYIARRVGYQPQDMYHTQNTQDTQDIARMVRAGVEGLIAQATRNAQPPRTYP KIIDISSSVAQTTRNPQPSKTGSKVIDIPGSITQATRNPQPLETYPKIIDMPRFMLIN FGLDEYSKLMQTGEQALGEAEIYGKDWREQMMQTLLCNQQLPKGQKSQFLRILPDCLA WCIKKLNGPFERLPPSSILTAGDRNDPTWKATVYVFCFLWGCCFYDLPGNYCPWARLV LKGFGITTSEFLLTMTSMILTTVSRSHAGDAAVSTNGHILEEATRSSSPTALLTLARD AAVALGEPKIDLLDPFLDEYAYLTFPSPVPVTSGERRYMDEIFQYTREFISRFSFPDD LGYDQENPHVSLMEEGTTGYDMMN
SAPIO_CDS7340	MEVSPSPASPAPNGVSSPSFPAIDPNQLVDHLVAVIGSTLGATK EELESPGSLLHKSRIGDTIQRCSRFAADTQQSLYVQKDIAPSSPTEATPDESRAPFHT YTLTTEMSSLPTTVSSLVLLKRAQPLDPALPLTAQILITNLPGPATLNATVGEQGPST SPYEILQSLIHNALVPYFDANTKTQLTNGTRGRADVDSKTGIPITKKRWNDLELSLLH LQENVEIPQVSLTFHPVVQSALEAAQLDRMRPSLDLIPERILQDSSILNNLQANVNSW IKSIQAITKMTRDSSSGPGQDLKFTASQEINFWLSMESALEAIEAQLESDGVLLTLGI LKHAKRFQATVSFTADTGLKEAMEKVQKYNQLMRDFPLDELLSATSLPKVQDALIQIF AHLNKKLKICPYPIRRALPLVEAISGDLDEVLHRLLPGTELVELEYPEFQSVMKACVS IFQTWEESIKEFTNVAREVTRRRNDKFIPIKVNKKHSELEGRLKYVSTFRDNHEQLQR TIVNVLGPKASVDGVVEPGDPTGAIVIEEMGDVDAVEEVKQAWEALRNVDLLDVSPQG TERWARAENVYNERTTRVENSIITRLRDRLGTAKNANEMFRVFSKFNALFVRPKIKGA IAEYQHQLLDHVKQAINGLHERYKQQYAHSEAHAMAQLRDLPPVSGAIIWARQIEFQL DGYMKKVEHVLGEEWKVHSEGQKLLHESNLFRSKLDTRPIFEAWAREVKRRKISISGF LFTVNRVRSSNTLELTVNFDQQVITLFKETRNLAWQNYSVPHTISSVSKDAKRVYPYA VSLMEGVRTFSQTMRQISEMGEEAVLLNGYRNDGFALIKKGVPLKWESFVTAYDVFFN ANRANHSLAELGIGKAGESKHGMFIREFVAAVSLLQSKTITLASIHATVEKALAELAI CPYATDEFQSRLETIQAAVDQLNLEQYVNLNFWVEGMNQKLKDVLLARLQHAIHAWIG AFEDEYLEEGGRKQVIDPVEAKNGPVMPRLVHELAMRNQVIYLDPPLEHARAGWFLHL HDWLGVVCNLPKLKATRYQMALAAKNDDARFTDLPMECADALSRVYVAMEAKLRDISA YVDKWLQFQSLWDLQSEQVYEAIGEKLSSWLQLLQDIRKTRQTFDTQEVSQSFGHITI DYEQVQTRVNTKYDQWQHDILLKFASRLGARMRDVYADMDKMRRDLEPQTLESASTDK AVKFITAVQACRRNVKIWAPEIDMFRQGQSTLVRQRYQFPQDWVDIAQIESEWDAINE MLEKKSKIVNDQTDALRAKIIAEDKLVNERIAEAASQWNEEKPVSGTIPPDVASATLT QFESRITALQEHSQMVAKAKEALDLPPSPDSSLDAIMEEVQDFQSVWANLATIWASLN DTRETLWTAVQPRKIRGKIDDLIKNTKEMPSRMRQYAAFEHVQKILRGLLKVNPILSD LKSDAIRDRHWTKIFKQLRPGKRYSPVSMTLGDVWDLNLVATEAIVKDIIAQAQGEMA LEEFIRQVRETWTNYALDLVNYHNKCRLIRGWDDLFAKCSENLNSLQAMKHSPYYKEF EEDASAWEDKLNRLHVLFDIWIDVQRQWIYLEGVFTGNADIKHLLPIESGRFQNINSE FLAVMKKVYKQPYVLEVLTIPNVQKSLERLAELLNKIQKALGEYLEKERLSFPRFYFV GDEDLLEMIGNSNDTLRIAKHFKKMFAGISGLTMDDDNSIITGFTSKEGEVVKLKKEI SLAKTPRINDWLTLLEDGMKRTLDHLLAEAVEAYTPIFEAETLDGAAFDKYMEEFPSQ IVVLATQVVWTTAVEKSLAAGGKTLQTLYNREVGILRYLADTVLRDLGVIERKKCEQL ITECVHQRDVIEKLIKANATHEKHYLWLLQMRYVYTPEGDYLSRLCIKMANAKLDYGF EYLGVPDRLVRTPLTDRCFGTLTQALCQRLGGSPYGPAGTGKTESVKALGVQLGRFTL VFCCDDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQLGLKQGV EDDNAQIELVGRQLHVSQNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIA EVMLYSQGFNQAKQLSKQTVPFFDQCSKQLSKQAHYDFGLRALKSVLVSSGGLKRARL SNGEVNAVDDAAFEPEIIVQSIRETIAPKLIRDDVDIMAGIEEVCFPGIKYVPANLEK LENAIRTLASERQMVVTDSWMTKVLQLYQIQKIHHGVMMVGNSGSGKSGAWRLLLDAL RVVEDIEGISHVIDSKVMSKEALYGNLDSTTREWTDGLFTSILRKIVDNLRGEDTKRH WIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVENLKYATLATVS RCGMVWFNEDIVSPNMMVANYLERLRTVVFEDLDEDAVGSGQNPAKMLAVQAQVADLL QTFLTKDDFILAALKEAEGYTHIMDFTIARVLNTLFSLLNKAVRDIIEYNAQHTDFPL DHEQMEGYISKKLLLALVWSLTGDCPLGERKLFGDKLCGIAHFGSPPVDGSSSLIDFD VSLPQAEWTSWQAQVPTVEVNTHSITQTDVVIPTLDTIRHEDVLYSWLAEHKPLLLCG PPGSGKTMTLFSALRKLPNMEVVGLNFSSATTPDLLIKTFEQHCEYKKTLNGVILSPR QIGRWLVIFCDEINLPAPDKYGTQRAISFLRQLVEHNGFWRTSDKSWVTLDRIQFVGA CNPPTDAGRTPLGARFLRHAPLIMVDYPGELSLLQIYGTFNSAVLKIIPTLRGYADAL TQAMVKFYLQSQQRFTPKIQPHYVYSPRELTRWVRGVYEAIRPLETLTLEGLVRIWAH EALRLFQDRLVAEEERQWTDEAVRRIALDLFPTIDEEKALGGPILFSNWLSRHYVPVD REQLRDFVKARLKTFCEEEVDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSGSGK TTLSRFVAWMNGLKVFQIKVHGKYTAEDFDDDLRDVLRRAGCKGEKICFIMDESNVLD SGFLERMNTLLANAEVPGLFEGDDYAALMTACKEGAQRQNLHLDSPEELHKWFTQQIV KNLHVVFTMNPPEDGLSSKAATSPALFNRCVLNWFGDWSDQALFQVGHELTQSVDLDR HNYAAPDTIPVAYRALSLPPSHREAIVNSMVYIHYSLQRFNSKLLKQQGRVTYLTPRH FLDFVAQYVKLYNEKREDLEEQQRHLNAGLEKLQDTVDKVSDLRVSLAEKSAQLDRKG AEADEKLKRMLADQQEAEQRKAASLELQKVLDVQQREVTSRKQIVLDDLAKAEPAVEE AKASVSNIKRQHLTEVRSMSSPPQGVRLALEAVCALLGNKITDWKSIQAIVRKDDFIA SIINFNNEEKLTKSLRLKMRNDYMGSPDFTFEKVNRASKACGPLVQWVSAQVNYAEIL DRVGPLREEVAQLEDQALQTQAEAKAVEQTIDSLESRIATYKAEYATLIAETEAIKAE MLRVQSKVDRSVKLLDSLSSERIRWEEGSKSFETQISTLVGDVLIAAAFLAYSGLYDQ TFRKSMMDDWLHQLHLSGVLFKAHNPVTEYLSTADERLNWQQNTLPVDDLCTENAIIL KRFNRYPLIIDPSGRVTEFLQKECKSRRLTVTSFLDDSFTKQLESALRFGNPILIQDA EHLDPILNHVLNKEYQKTGGRVLIQLGKQQIDFSPAFKLYLATRDPSATFAPDICSRT TFVNFTVTQSSLQTQSLNQVLKSERPDVDERRSNLIKLQGEFKIHLRQLEKRLLQALN ESRGNILDDDNVIETLETLKTEAAEISAKMRNTEGVMTEVEEITQQYSIIAKSCSAVF AVLEQLHYLNHFYQFSLQYFLDIFEAVLHNNKHLAGVTNYDARRDIIVKDLFVNTFQR TALGLLQKDRVTLAMLLVHASPIQMDKTLLELIISDRVEGQDISSNPSLKADAMNKAR RIPALKDKLDMAPEADWDRFFTEEIAENFVPRIWDNTADPAEKTLQSLLLVKLFRLDR FIPAAERFVAGVFGPAIFDIIEDLGETVAEVPATRPISLVSSPGFDASYKVDNLVERL NVRCTNIAMGSNEGLSGADKAIANAAQTGSWVLVKNVHLAPTWLQSLEKRMENLNPHA QFRLFLSMESSPKIPVNLLRASRVLMYEQPAGVRANMKDSMSSLSTRATKSPVERTRL YLLLSFLHAVVQERLRYAPKLGWKAYWEFNDSDYECSAFIIDTWIEAVAQNRTNIAPQ NIPWEMIRHLVVETYGGKIDNEGDYRLLTDLVRNLLTPSAYDTGHKLVDDADTNLTVP EGTSLPDYLEWIHKLPEREPPTYLGLPANAEKLLLVGLGQTMIQNVKKVTDRLDDQEK LMA
SAPIO_CDS7341	MSALGQSGSGAHPGLTFPQVGLRRSAAFQPSHAPPQNKASPVPH AASPYQQQAYAPQQPPQATYSHHLFNQAAVNGNVGNAQTRGPQSHVPGAVVQARQRAA PPRQQTPSMIVIPHIRPISLIRLTSLSSTTLKHIRVTLPTRLSRLIKRTKRSSTRRLL TKPIRRHTKDTWRIKLLLIKFIRRPTTPIKHIKPTRQFSRQRQRSKQQRQPIKYIRPT KPTKLIKPIRSTRRAKPVAKSQPPESPAQPQEQHVPEAMETETHAENGEDENAADAKL GDNSPFVPRQPMGEMMSPPPEGGSYPTLEAVHKSVLQYCTSVGYAIVIGRSKKTVPGL KKVLFVCDRAGKPPSRVSPEARKRKTSSRKCDCPFGFFAIEQRTQWTIRYRPDPQHLR HNHGPSDSPLQHPAARKLDSKMVAAVKSLKENGVGVSQTLEILQTENPHVPLLPRDIY NARAAINRNPQKVATGLAENRPAIYSKPHPTAEERIRADLRRELAKTREELEKLKEEK DKEVEALKATIAEKDKVIEKFEMFIDICNQRVMVQRERLAEDGGARGAGAK
SAPIO_CDS7342	MLRHLYSCEELESGEYWCYECGKVENFTDAKCKRCLGHPTKRRK MLSMAKTFFTSLGHKSKKDAMLNSPPEDDLNPPPSYDSLAIELCTTSEIHEIDSIEIP TLNPAPRSQPQRISSQGQPPRPRPGPEPSPFLSMISPRPTPPCIVPAELENRMMMNPA GPDGVVMSWINDPTAYIPSDFTNPDRRSIVSLSSHTGDERRVKTRSKTLAPSSSLRSN ASNSSTNSTNSTSSTSTTDSAQTANTEFSISPSEWGDVWPLPSMDADLDSPMSNFLYQ AKYESKDLHQASPFGSPMDDDLVLADVISELPADYPPFSSLPNATGDLLDPTALLTFD TCDLDSGMTPTLTDLVSSNGGGDSSITSPSSASGPSTFDFPRLPHTDPPLLVMSIWET LQAHVSSSKIKLQPLDTNSLALRFSAMDPSEVVSIGHTTLNRLLNNEAPESPLSLLCF VHMAYSYFLVVHEEDAQTYSKDLFLQALSYARSFTTSSANEYLEVTRTLWQPDNFETF EYGDLLSLGIQLPITPTRFFSSTSAKGKERMGEFGSQPQKVDSLVGVAQHLLDEFEYS VLPGNAPQILETLASDLWTAHLEESSLPCRIGNPMFSVSVSTIVDIMRRKFDSIPDLT AALSTVRNRVTKGQISTVRRAELELLQAANGSIPSMAFMMDYAPFVRRQCDALYGNLS LSATPRSTYYSHATNLIESIITSISPRQKPQDLNDLFNSLTDQFIFDGGDPSLQYGLQ QSEIESPLIDSGAASVKAISSGLPSPEQQLPEHVTRDEPAQNYAQVKKSAEPEIRPEP QKIEADACCEICGYRPKGDPQWFKGSMAKHKKLQHSKAPPRIYKCPYPGCTSQYKNRP DNLRQHQLDKGHFVDGENASRRPTKRKKLE
SAPIO_CDS7343	MESHRHVQVRELDLSACHPSSIVDKINSVPALKTSTAFFTFLRA NLALNHSALSSQTNTPLLEPTITYVPSSPSPLGANTPLVPLDDIPPLSLEILTNEDEK TDALQLVAESVVEQRKEAVIGLGTHPLCLSGLVTSMGLAFHYSYGARGRDLAVPFLMC ALLMATYLAAVYYLTAGYIRVAQDVIPSWVASGDCRRDIVLAAHEGNKIIGALVLRLE PSFTSLSRRKGRHPSLRGGRCVIKAWTTAKPHRGEGVGMSLLRRAVKLTREKCGKDAA VGFAKEHANSTMILPEMFNGSFRKRERRATQALDDVLADLDSSRKKR
SAPIO_CDS7344	MPPTFQRGGPSRGKGTAAPIVSGRMGGKGKGLIAPRRHQYVSLE LNGGPSTDIPSSRVSKDALKGITRPAIRRLARRGGVKRISATIYDEVRVTLDNRLRAI IKDCVQVLEYRSRKTVTVSDVLFSLRRLGQPIYGFDPETHAPPSRKDPNRAMGNSQGA 
SAPIO_CDS7345	MFNWAKQQLANVAGIQEPIYGPTAIKSVAEEAATVPYTELKRDD LKWRAMDSTSVETQTFYFMSTSGQLGCAQVIYSNVVGLHVTCQFTAKVFSRDASIPHI WSSTQLSNVDFSDDKTCFYADNCAVELSEDGTTYTIKSLADEGCIVNLTVTRTTPAIH AGTTGTTLFGTDFTNPWGLMRHAFWPRCTSEGTITTPDGPIDFSGRAFYVYALQGMKP HHAASRWNFVNFQGPTYSAILMEYTTPPSYGSTTVCVGMIAKDGEIVRAGCDSTATHL KSAGDPVNDWPAPSEAKFTWSGKTKDGKELTAVLEGALGERLDRIDVMAEVPGFVKKI VQTAAGTKPYVYQYSPQVKLTLKLKLGEEEISEEGELLTEATFISE
SAPIO_CDS7346	MATPANHNRETSQPTCHNCSTSTTPLWRRDEFGAVLCNACGLFL KLHNRPRPISLKTDVIKSRNRVKNAGMRPDLSKKKQVQPQPFPNPTDPNGLDVQSTAA AATAQAARRKSANGSGSPISRTGTPSLYTATGIPGFPSIQDPSLATSLAAYGSAGRAP SPLNGDRRDSTPPSTEQLLATNSSLHTRVGELELINKLYSDRVQQLELSDANAKHEQE LSRHEVAQLRADLEAITKAEAQLREQLDDSHRRENSLKRRLDELEVELEAKTAAIAAA SVAATAAAATAIVTDGTPPKSPEPELEPQPEPEPEPEVRDSPPAKKAKLSDEPTTEEP ES
SAPIO_CDS7347	MRFVLLPISTKRTLLYCIRNSEATKPRNSLVDKVTARAANVWAG WERKESGWQRKVVDYGNHALRRIPFQEWGLKSVPPLSSRRQKELLSSGEKVELSFPPS VIPPDKAEKVLHTLGTEREALHRMRLMWCFIGMPITAPIALIPVVPNIPFFYLVYRSW SHWRAIKGGQHVQFLLKNKLLTVAPSSILDEVYTAPRLPGTSSKETQQSKSEATEKDT DTQSAEPAGKVLLCHDTVTKLSKALEHPEIEAELERAIWQVEQSNKSDKPSSTDSNKG SKES
SAPIO_CDS7348	MISIGQPPGSSGQSEMRWCQGLCQTSSAAWIVSYEADDEDEDER RLLNPPPQLRPSHHNSNPSDVERRISRDGFVSWADPRLESAPHRKTKVPTLFKHGRAE GRKWDHLRTAEPVIVSRYVPRTQQRTEWSDFFRSSRRPPSPNEVSTVVDIETLNKLQP NFNERIDVPSHTHDRQARSRRERAMTLYRRLWKMIIRHSLVPLASRLAVMLTSIIALA IAGTMLRLLRNDPDRSTESGQAIAAAVVDSVAIPYIAFMIKDEYTGKPLGLRPASAKI GLILLDLFFIVFKSASTALAFEVLIHHNIRDADATMRQLSKALTAFMVLGLVAWISTF IINIFRAVERLGGGENETSRV
SAPIO_CDS7349	MPPSIQMPPQPNKEDLAATWNYLQAGISRVMHAQDIDMQIYVGI YTAVHNFCTSQKVMSTSTGVGHPNAAHKGAHLLGEDLYNKLIEYLKGHLEELVQQSKG HTDEALLSFYIKEWDRYTVAAKYIHHLFRYLNRHWVKREMDEGKKGIYDVYTLHLVKW RQVLFEQVHTKVMDAVLKLVEKQRNGETIEHSQIKAVVDSFVSLGLDETDPSKSTLDV YRFHFEKPFLKATAEFYQAESKQFVNENSIVEYMKKAETRLDEEDERVRTYLHQDIAV PLKNTCHQALIAEHSALLRDEFQVLLDNDREEDMARMYKLLSRIPEGLDPLRQKFESH VRKAGLSAVQKVASEAEKLEPKVYVDALLETHTKYQALVKKAFCDEPEFTRALDNACR EFVNRNEVCKSGSNKSPELVAKYTDVLLRKGGNSVEESELEATLTQIMTVFKYIEDKD VFQKFYSRMLARRLVHGNSSSDDAETSMISKLKEACGFEYTNKLQRMFQDMQISKDLN TNFRNQEAEKGGGSTLDSQYSILGTGFWPLQPPTTKFNPPAEIAADFDRFYKFYKENH EGRKLTWLWNLCKGEVRAHYCKGTKTPYAFQVSLYQMAILLLFNERDTYSYDDIAATT QLNPDVLEQAMATILKAKVLLISPEGSKVKSGASFKLNTDFRSKKIRIKLDQGGVKET KQEEAETNKTIEEDRKILIQSAMVRIMKARKRMKHAQLVSETITQIKSRFNPKIPDIK KCIEILLDKEYLERLDDEELGYLA
SAPIO_CDS7350	MAEPNPWASPTEQRFNDEHTYTAPATADSPTSDQSQPQPLPQPI DTSSLSVPPTPSIPEPLTPSRVGFIPLVTVVDFHHARGPEVERWFGAPEDTDPAVDYG WSLLPFMALSDGAHASEEDFSYFTLLRPETPAGPATSLFGISCTRQLDASQLINRPAE VTRSTVQKAVVIIADSPQAFGMLRERLSIVTKAWFAQREFTDVEILKGFQESLADEKR RGLMKQEEESNDHNLGMSLRELVHEFKWQSLVLLKACLLQRKMLFFGSRCDRLCMLQF SLISLIPNLIRNLQDCADPDLNEYEKNLTQPTSLRTSDRTSLLQYMGLPLQIFGKGSL FGPYTPLQQLDILADFGTKSYIVGSTNSLLLQQKDRYSDILINLDENTINITSPSLRS ALALTASDRRWIDYLTQEVNETWDEANPNRPTTLRYVGSEEFIRLQFEEYILALIASV KYHNYIKKNPDDGRVLLPHIEGDPAADFGLEWVETWTKTENYRIWNKNTDSHLFDIVD PKHPCAGGLTIDDVQRRIAEQVKELHLDERFAQGREALERNLAFGREKASTMFNKIYA DMEAFREAQRKKAEEAKAQQGSSGSSNGGRGAGAGQQAAFTGVDLSKASQTMQSVGSK AGAYVSSWAAWAGEKRKAAGWGKISSPIGTGGWGLGGGGKAKASGEDNGLLGSPVVEE KGGYQMLGSRNGAGSRLSDSSTASTGTYYADRDDPLSGGGIGQNGSNGAAVPQDGFVA VEKPGSPVKQGTSEAGPKN
SAPIO_CDS7351	MAEFVRAQIFGTTFEITSRYSDLQPVGMGAFGLVCSARDQLTNQ NVAIKKIMKPFSTPVLAKRTYRELKLLKHLKHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQIMRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARLQDPQMTGYVSTRYYRAPEIMLTWQKYNVEVDIWSAGCIFAEMIEGKPLFPGKD HVNQFSIITELLGTPPDDVINTIASENTLRFVKSLPKRERQPLEAKFRNAEPAAVDLL ERMLVFDPTKRITAADALAHEYLAPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVEPAAPPMGETFAQQ
SAPIO_CDS7352	MTNDPLPTRQRRPSVGVPLVDIQGSVGPAGISRPKHKRTFTGFT PQEIVSVEASIPEPQREAWNRNKFKPFTNKDEFEREVVRHVETDLARSVFNCDESAAY AATSLAIRDRLIVDWNKTQQRQTFNDNKRVYYLSLEFLMGRALDNAILNVGQKDIAKQ GLSDLGFRIEDVIEQEHDAALGNGGLGRLAACFLDSLASLNYPAWGYGLRYRYGIFKQ EIIDGYQVEVPDYWLDFNPWEFPRHDVTVDIQFYGSVHKSTGAGGLPVSHWEGGETVT AVAYDVPIPGYDTPTTNNLRLWSSKAASGEFDFQKFNSGDYESSVADQQRAETISAVL YPNDNLERGKELRLKQQYFWVAASLYDIVRRFKKSKRAWSEFPDQVAIQLNDTHPTLA VVELQRILVDLEGLPWDDAWNIVTSTFGYTNHTVLPEALEKWPVGLLQHLLPRHLQII YDINLFFLQSVEKVFPNDRDLLRRVSIIEESNPKMVCMAHLAIVGSHKVNGVAELHSD LIRTTIFRDFVTIFGVDKFTNVTNGITPRRWLHQANPRLSELIASKCGGSSEFLKDLT QINKLELYLEDKAFRKEWAEIKYANKVRLAKLIKSANGITVNPAALFDVQVKRIHEYK RQQLNIFGVIHRYLRLKAMSPEERKKQQPRVSIFGGKAAPGYWMAKQIIHLINNVGSV INKDEEIGDLLKVVFIEDYNVSKAEIIIPASDLSEHISTAGTEASGTSNMKFVLNGGL IIGTCDGANIEITREIGENNIFLFGNLAEDVEDLRHAHTYGTHTLDADLIKVFDEIEK GTFGEPRDFSALIAAVRDHGDYYLVSDDFNSYNTTQALVDEAYKNQEGWITKTITSVA RMGFFSSDRCINEYAEEIWNIEPLVVKD
SAPIO_CDS7353	MGACMSSNNEEAIRKKHSQAIDKQLEEDSKRLRRECKILLLGSG ESGKSTIVKQMKIIHLKGYSDDELYNYRPTVFKNLVECAKAVIQAMHQFEIPFANDEN SMHAKFLMEYSAESGPQANIDPAVGTAVKAIWNDPAKDILMERQTEFYLMDSAPYMFD VGGQRSERKKWIHCFENVTSIIFCVALSEYDQVLLEESSQNRMMESLLLFDSVVNSRW FIRTSIILFLNKVDIFREKLSRSPLGNYFPDYSGGNDVNKAAKYLLWRFNQVNRAHLN LYPHLTQATDTSNIRLVFAAVKETILNNALRDSGIL
SAPIO_CDS7354	MDLVNDLVLTPFKEIVEKGKTARENAADDHPAMHKASQALVREG ERALKKIEPLCKKHVEEYGSNFLDALKENDEIAQYRADLNDLLWEFDDFIEIDEFDPE KFSELQAMSRKAAPRIYDIIMRMRLEPVPKDENTVQTPISEKEDARATIPTPPPSAIG FAPPPPPIPVNTALKRGRSAASAPSAPTTPLPTPPPRRSLPTPITSDRVQIPVPPLPP NLNPWDVKTKPPMNHIAQAIKNGVQVPERRRPIVTNSSEIVLPLMTDNISKRESQRSS QALSPTDSQYSFQPPNESPTLGTALPAIPLHGRARVMGFPPSVNRVVTQSIPEHSPVN GNMHHTPQTQAWDKPSHLSLSSNADLPRQQSVDSFRSSYGAASSSGGDSRASALSAND GSSIGSPILGQAGAPVTPATPRDSAVESLPVITQDDDGLIPVETEGPNSKPALPGIAR RDTSVTLASSFYQLKGFCEGAKEVLRGELGVKKVKKPSFSGSQLTAKCTHCFYELDWK EIEMDINHSADANFAIGKVGFRIRFLQKSHLATRRADEPLYACVFCIQEGRTPHDGDA TVFFSQKELCEHISRHPRPLPNVPGVTVVEEATIPPAIRSSYDLHLKGEVEESIVDEK LAEIYKLPVATAKEMVKKMYGMRLLHDRTPAFELAPGARIVGVSFPDKYHGEWCMGYH DGRYASFPFEVAKLEAPPPHELRMGGTSNVKGVAKWKFNTKDKGKAEWLKFEKGDVIS NISWSEWDHWCWSGTNAKGKWGIFPKSHIDLTSVREGDDGSDRSSIISGGTTKTRVLG RFSSRRSDTRSDTRPDTPSAKSFGPALAGFHA
SAPIO_CDS7355	MASPKGANAREDDEQLSNSNFNVDYIIHYKVPKNERIEAEAGYV QLIEALTTVGLASEVRPGDDSSILVFVKMASETLLRQQVFRARLQDWLQGVRTAGPGA DLSGALMEEPVSEAERLRLIYLAITKPKNEGGAGITPKSGQWKYVDSVFPLHDRHFNR EWMKRLSTKYLLNQDDLDEIRNKFGESVALYFAFVQSYFRFLVFPAAFGFGAWLLLGR FSFLYALASCLWSVVFFEFWKKKEVDLAVQWGVRGVSKIQHPRPQFKFDHETEDVVTG EPIKVYSPFKRLRTQLLQVPFTIACIVVLGGFIVMCNSLEIFINEVYSGPFKTYLPYL PTILLVTVLPAFSSVLTNFAKKLTEMENYANIDTHHAALVQKEFVLNFITSYMPLAFT AFVYLPFGHLLTPYLHIWGKLAQGLTFGQKELSVQEFQINPARITGQMFYCTVTAQIV NFATEAIVPYVKHKVMTQAKEQGILGKAAKVGASDAKEEAAFLRRVRRETELEIYDVT TDYREMVIQFGYLSLYSVAWPLAGCSFLINNWVELRSDAFKIASGSRRPIPWRADSIG PWLNALGFLSWLGSLTSSAIVFLCRDGADGSRGAASNVQAWGLLLTILLAEHFYLVVQ IAVRFLMDKIDSPGLQRERKERFNMKKRILEENLGENAALAASVAPGIEMSEKLTQSA LREEARRAEQGSVEDMFWQRQQGMAGTIEAGRTLISQVRGTPPKDT
SAPIO_CDS7356	MAAPRSPMNPTMPAYHGAGSILADAQHGPNPALSGGQQYPLHVP MAPGDATIGGVMGQMNAMSLASPVGAGYPGPIGPAYVFSDGQYILAPVANGQQPVGMM DPSFGGPAYIAAHHPGQFPHTVVTYPPVVPFTPARPTGSNARSDRAHGEGPPPLDSGR RGSYSTNESTPATPYYPGMANRDHGRVSIVGLDRSTYTTPSPQQGALLSLNADHSVIA KQIPTAIDQNIDELLKQSPAIPKAVPAVFTPASQIKTLEQSLENRIPGNRNVYIRGLH PTTDDELLLRYTQRFGDVETSKAIIDTGSGACKGFGFAKFYDVRDSEKCIRGFHRLGY EVGFARESFNSRLKAEGDDTSTNLYISNLPKHMTEVELAAIFAGYTIMSSKILRDSMG NSRGVGFARFESREICDQIIKSFNGLPIVTEGNNSPMVMNIRYADTPAQKELKRVTAE RRQFRTNEYNIGAYGTAHVGMPVQAYGIPTSYRRPYPATIPGTPRAYVGVNNGVTYRS ERGSGQAGHQSERKAPIADCPSPKTSDEVKEADDDQSISEASTSPSPSVKKEDI
SAPIO_CDS7357	MAELDTLDVIVLSALFVGTVAYFTKGKYWAVAKDPYANAFSNTA KAGKTRNILEKMEEMGKNCIILYGSQTGTAEDYASRLAKEGKSRFGLETMIADLEDYD FDNLDTIPSDKVVMFVLATYGEGEPTDNAVDFHEFLTADDVQFSEDRSPALDNLNYVA FGLGNNTYEHYNYMVRNVDKILQKLGANRIGEAGEGDDGSGTMEEDFLAWKEPMWAAL AKKMGLEEREAVYEPTFEIVDRDSLTVESPEVYVGEPNKIHLEGNVKGPFNAHNPYIA PIATSHELFNAKDRNCLHIDVDISGSTLTYQTGDHIAIWPSNAGAEVDRFLDILGLTE KRHDVINVRALEPTAKVPFPTPTTYDAIVRYHMEIGAPVSRQFLATLAAFAPNNEIKA EMTKLGGDKDYFHEKTSDQFYNISRLLHNVSGGLKWTNVPFSAFIEGLTKLQPRYYSI SSSSLVQPKTISITAVVESQQVASRPNDPFRGVSTNYLFALKQKQNSDSNPSPFGLTY EIEGPRNKYNNIRVPVHVRHSNFKLPSDPSKPIILIGPGTGVAPFRGFVQERAKQAED GTEVGHTILFFGCRKQTEDFLYKEEWEERKKVLGDKFELVTAFSRDGPKKVYVQHRLK ERSKEINDLLLKKAYIYVCGDAANMAREVHAGLIQIIAEQRGISEAKAEEIVKNMRSA NQYQEDVWS
SAPIO_CDS7358	MEAPSQTLPDHSPDPVKFSPRSVSRLDEEPEDDWHRTLRPNALE PHAPRPIAHSRESSAEKISPSAPPVTLTSPRLQTPQSRTGLGGVLERPIDPKSASYGH HRQTSIVHGIQHSRNGSLASSSSSPLSPQMIAAAGAAMLSDRHEFHGMLRIDDDVPLS RPGTSLSNAPTLTSIPQVPEKPASIPESTSYSATTRRVERMQSVKSRHDHAHHPSHSS RHHRDEQKTVGEYALHVLFTSFIAQAEEKLNDCITVPFDPEPQIDHICGPGVDPSFDQ SIVALGHIASQKPKPLIDLMMLWRKSKSDLANEARTQAQQSKAHLPLSPLQRRNTEPL HPHQPSSSVDAGLPPFLNSPLSRQEYVAQAERRSTVSIYILCRVLIEIISQSSLACIT SEMEEKLEGIIFGQLKIADTEQLMMSPLKLANWNLFAQLLGTMSEISFTTVTKRFLSD IEHSLQELVIKGPNSAAGRDIEGKMELVLGGMKQLRIKVTPVEAWEQSCDFLVALGRL FNKSHGQRVKTTFCQVLDMLLIPVAAKASPSEFSHPKWAEVRSTIGPRLAQMFVKPRH WSFAFPLTATLLCVSTPDTFNSQWLQLILPLQPKFKDRYAKSLGLQVISRLLWTYLYR AGDSTPSALRKLDEVIKLVLPQTKRSLVASDISVAEPLIQIIRIIGYKHPEYCFKNII FPLINAELFRSNKELRVEQLDPDRVVIGIRAFLAIIADLEKGEQGKPPFPQYSVPSSS SLPERTPTSPGIMSPRSAPLSIPMPPPKEDGVSRPVLIHALSDSVKEYYLKFCEILGT ITIICDNTFGGQAALDEKFNSPGPKTPIAETFNFSRRDDHQGPSDHKQAFYELLHVAV QALPRCLSVEIPFNSLINLLCTGTAHVQSNIAESSAQSLKAIARQSHSQQVTMGFSRF IFNFDDRYSTMSDGGMLGLGHIESTLRLYVELLQIWIEEIRQKSKDASNTATDASSSN TDIRGMKLDLSSVWAEVDYVEAHGLFFLCSQSRRVRHFAITVLRLITEFDTALGKTQG KEKDTPRLIDILENDADQVINLKHEQLSVAERSRLQRGILSNNNKGALVELCTSDVSY DTTLWFKILPNLMRVAFDKCPFTVTIGRDLICNRIMQMYKSMTILSEPTRGPYFGSDP GSGRLIGRAPATQPEVLIEQWKLYLIFACTTLADPGSAPLTTPTAHQHGRKGSSKSTS ADKIVSARTLFKFLIPMLSASSASVREAVVVAMGSINIHIYRTLLEELQTQVSQCNNE ARARIHQRSNSNPRRNRKMDLLRTEITHVYKLTSHFLKEPEVYQDEWILNNLVNYTKD LKLFLMDGEVQTDWEFQKLRRHYCGLMEELFEGINRTKDPSRWMTFESRKSAFSLMED WCGFSPNQTQIRAREDTMRQSLIDQQALGERGTVTAAMEIEKRNLRTAALSSMAALCG GPLLITTESGVILQFDPRRLLTWIEAIFNSGSDRMNVTGRRALHNLIIHNKEYPYLLE HCIARCYLAEVPKVLESYFSVVSQVLKEHADYPTAFWKVLSLCLFTLGNDQSEIRSKS AHVLRTLDERQQMGRSSKIQDYDISISDKTKAVYKLAQFEISKRLSKQHTDLAFHVFS EFTLYFKDLQPGAQQNMVAVILPWIQSIELMVDPNGGPTAPSYVLLANLVEITIKASG TLHNEVQALWQALATGPHPGNVRVVLDFIISLCLERREQNFVEYAKQIVVFLSSTPGM KVIDFLMMQITPKAMVPNEKREIVPAPPDVSNLPYCADLAEALPIGTKQAGFSLGQLS LILLVDLMVSPVHLTSDDLPVLLQVVTVLWDHYTPLVQEQAREMLVHLIHELVISRLA EQTPATAKRSIEDLIDSIRRHDRTVVWSYEDSNGKVDDRDNNVPPSMEHLTAEVVKTF ELTFPGIKERWGRLSLTWATSCPVRHLACRSFQIFRCILTSLDQSMLGGMLVRLSNTI ADEDPEIRTFSMEILTTLKTLIVKLDADKLATFPQLFWTTCACLESINELEFLEAVEM LNEYLAKLDFGADAVRRMLLDGQPARWDGPFEGLQPLLHKGLRSSLCMEPTLRTMDKL VPLPSDNLIGDDSRVFFAILAHLPGFLHALDLGQQDEEIIRSAQVLLSVTESEGYSSI ALVLDKFISRKYRSSKELLTQMFGALKEYFLPHLDFQMVTFCMGQLTNAISWVKIKTM QILCVVIPEMDMRKSELAGHGSDLISPLLRLLQTEFCMEALEVLDNVMTMSGSSMDKH HLRMSMTRATSKAIRKEYERTQSLFGIPEESGWAIPVPAKKTDSTRANIHAAFYMCQS PEGAVDEPTPTPEVEFHTDDFAYGYFPLADRTDTMMSDDLRADSTMGDLVSKLDSLDD FFDDLSQSAPSEGRSSRTITEYDPDNSQSSVQLYDDQILPILHQASSNTSFQNGFAER PPAMSREASSNTMNPGAFTASSSMHRQNVPSRSEMSPSTPSQYPAQIGELASDDELNE DVFSDADDERPGAGSAEGSFFLENMIRPLTQSSRAGVRRLTGSRTRDLDRFRLDRAGP PHMPKAPSGTNLFPPKSQQPPPADML
SAPIO_CDS7359	MGSVFLPHLRSGWHVDQAILSEEERLVVILFGRDSDPDCMRMGE VLYKISELVRNFAVIYVCDIDQVPDFNEMYELYDPCTILFFWRNKHMLCDFGTGNNNK LNWVLEDKQELIDIIETIYRGAKKGRGLVVSPKDYSTRHRY
SAPIO_CDS7360	MSMPMPGPGGPAGAGGPGGPGGPGIPIPMGPFGPGQMPTPEQIQ IMQRQLAMEAQKAGMTVPEFVEHLKKQAREQQMRMQQAAQQQQRAGGGPGPQHQHGPQ GNARPQGQPQPITPGPPNPRALALAKFLKGQDLKPRTCILNGERKDMFRVKRALRALQ SPAYEKARKKNPLLPEITDRASLENTFKLLPMSMLALRVTKIDPHEGHNHGKKPKRVK GLWTVKIEPQQDAHDDMYYAWLWEGAQIKRKVYAVLALILIFLIVLYPLWPVKLRQGV YYLSWGFLGLLGLFFLMAIFRVILFCVTYFVVPPGLWLYPNLWEDVSFMDSFRPVWAW HETEPQKKKKKKTKSHGLSNMEAQSHISGTTGNAPPATDTQINTEPRQRSYVAPKVEE LADDE
SAPIO_CDS7362	MDLFRKAGARIESLLSGDDERHSHTHLGHECTHIHPDHHRDNRY TSFAPQTVGNAKWYVDGCSYFWAASEAIEAAQKSIYILDWWLSPELYLRRPPSANERY RLDKLLHAAADRGVKVHIIVYKEVQAALALNSAVFRHPDHTPTGYSLNKTFSGLNLGG SGIAKTSVDALKALYGTSDGMVLFWAHHEKLCLVDEKIVFMGGLDMCHPIADAHPGNL EAVVFPGQDYNNARIYDFHDVDKWEQNKLDRGQSSRMGWTDVTISLNGPIVGSLIHHF KDRWNYLYDQKYGHKNAGKYAKLEATVPGNPSPQGLDRGLGGDWSQSGQRLLGDMHSR FNRGLSHLTGHDDRTHQRPPSRHSGAGSSIQLVRSATQWSSGLPTEHSIANAYIDAIT NAKHFIYIENQFFITATSDAQKPVCNKLGTAIVNRILKAYHANEEFLIIVIMPAVPGF PGDLKADSALGTRAIMEFQYFSISRGGHSILETLRNNGIQDVSRYIAFYNLRNYDRIN GPSRGPDSTWDTVASCYMAGGADIRSVPWYGPPEAEIDSFVSEQLYIHSKLLIADDKL VICGSANINDRSQLGFHDSEIAVVIEDPTPVDSYMNGRPYQASVFAASLRRFIFRKHL GLIPDEKWDKPNVNWSGVDKGPNWYDWNSHADQLVRDPLHPDFRNLWNTTARVNTEVF SKVFHNVPNNHVRTWKAYDEFFSKHFVIPGAAEKPEKGGNNNQQQQQQQQQQAQQAQN AAGKIGYGHVVKSEFPGGVGEVKEWLSRVRGTLVEMPLDFLVDEPDIAKEGLELNTLT AELYT
SAPIO_CDS7363	MKLASMLTLLGATALVQGKGKKDPEPTTFNGVEVPPLLELTPDS YDKELKSSTFVMVKHHSPYCQFCLEFVPTYQTAYEFYYTSQPEGTDKSFSEFYDFKFA SINCVAYYDFCADHNVTSWPTTVLFKNGEVDGKIRGAKNMTVVTNVIEKALEKAKPGS RPKKRIIPKPGVDHVDSAETPKSDKTEDVDEQPATTGSSAKVKADKVPAEEVEKKTAE EDDTEEIEEKRAEEDVVEVAKETKAANDVPVFPTPNIPFPTRAKSTATPNKEGISTPL TAESFHDKVTMTQDPWFIKFYAPWCHHCKALAPTWDQVSKDMKGRLNIGEVNCDKEAR LCKDVGVRGYPTIILFRGAERVEYDGLRGLGDLLHFAEGAVDLTNGVPEVDAESFKAL EQKEEVIFVYFYDHGATSEDFMALERIPIHLAGRAKLVRTRDPEMFKRFKITTWPRLM VSREGRPTYYTPIMPKDMRDVNQMLQWMKFVWLPIVPELTAANAREIMDGKIVVLGIL NRNNEESFRDAQREMKSAANEWMDKQIQLFQLERQELRDAKQLRLEEAKDRNDERGIR QAKAIRINMSMSDKKQVTFAWVDSVFWQRWIRTTYGIDVKDGDRVIINDEDNRRYWDT TITGNLITPSRTSIMETISKVTASPPKIKAKLTISSFEKVFFDFRMAFVEHPWLSLGC TFSIALAALSWFRGRLRRTRPNFRLEDAMGIKELKEGLLGGGSSNSNGKVD
SAPIO_CDS7364	MEPPPKRARYDSGVKSHEDTVEAPTSLTRSISPPARKRTTRNGP VVMSSPIKLTRIDDLPDHYNQGTVTLKTLLGDPLIKECWNFNYMHDIAYILDAFDEDV RNLVKLHIVHGNWKREDPGKIRLEAEAAKYANVSLHVAPMPEMFGTHHSKMMILLRHD DTAQVIIHTANSIVQDWTVLTNGAWLSPLLPLLKDPKKTGSKQAHPLGSGERFKEDLL AYLRAYDARRPTCKPLVEELSKYDFSAVRAVLIASVPGRHPVRSSQPWGWVALKKALA EVPVQHGASDVAVQISSIATLGPKDTWLKGTFFQALSASKSASAGRPNFKVMFPTVDE IRRSVAGYRSGGSIHMRIQSKQQAKQLEYMKPMFYHWANDAPKGKGEAEIHNSGRNRA APHIKTYIRHGEDSIDWALITSANLSKQAWGEAPNAAHEVRIASWEIGVLVWPELLVK DSIMIGAFQNDTPSKEVTENGGKTAVVGLRMPYSLPLQKYGKDEIPWVATMSYPEPDC LGEFWTD
SAPIO_CDS7365	MVSKEDFLKAAEDSKKLTSKPSNEELLELYALYKVGNGEDITKA PAPGMFDLKGKAKKNAWQKLVDEGVTTEQAQERYVALVEQLKEKYGYDADKVPEAVGS 
SAPIO_CDS7366	MSKTVVILGASFAGLAVSHRLLKYTRQTEKNVRVVLVSPNTHFY WNVATIRAVIPGLVKDDQIFHAIEPGFSQYPKENFQFVLGSATAVNTDAKTARVKAAD GSGETTLNYDYLVLATGAHSANDNVPWKGLSNTEATIKQLHDTAEKVRAAKHIVIAGA GPTGCETSSEIKYQYGADKSVVLLSADAALMGGDSIGSNMEYEMKKLGVDVRKNSRVE GSKVLPSGKTEVTLEDGTTITTDLYLPTMGLRPNTGFLDAKHLNERKYAEVDEFYQVK NAENVWACGDIVSKPRAGFMIADKQAAGVAKNIELAIQGKPQIPVKLLPFDAIMCAAG RDRGVGRIGSVKVFSIMAWALKGRTLGLPWAPKYVDGTQW
SAPIO_CDS7367	MPPKIEQQEIETYWNIFATRTGGQKYLTGEQAAPVLKNSGLRDD QLERVWDLADVDNDGNLDFEEFCVAMRLIFDILNGEYADVPSTLPDWMVPESKSHLVQ ATRAITGKQVQFERVEDEPDSPGLKDGFDWYMKPADKSKYEQIYQENRDMRGEIAFNS LEDLYESLDVPDTDIRSAWNLVNPAAHSTINKDACLAFLHMLNYRHEGYRIPRNIPAS LRSSFERNNIDYQVDNQRTAAASRWATKADDNTSTGRKAKFGEQYLTRLGHSGFKTAG TDFSTEKTEDWEEVRLRRQLQDLEEKIQKVEADVERKKGGKRDSKPALVKRELEQLLD YKRKQLRDLEEGNNKGAGGGSNLKSISDDLQTIREQVDGLESHLRSRQSVLDQLRREI EDEKASR
SAPIO_CDS7370	MRPEVEQELAHTLLIELLAYQFASPVRWIETQDVFLAEKTAERI VEIGPAETLGVMAKRTLASKYEAYDAAKSVQRQILCYNKDAKEIYYDVDPVEEEPEPA ASSSTPAAAAPAAATAAPIAVAAAPAPSAGPAAQVPDAPVQALDIVRALIAQKLKKPL LEVPLNKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGAAMQATFDGQL GKQSQGLIARLISSKMPGGFNITASRKYLETRWGLGSGRQDGALLLALTMEPASRLGS EADAKAFLDDVTQKYAANAGISLSTAAAAGPAAGAGQGMMMDPAAIDALTKDQRALFK QQLELFARYLKMDLRAGEKAHLNSQQSEKVLQAQLDLWTAEHGDFYAAGIEPVFSSLK ARVYDSAWNWARQDALNMYFDIIFGRLKVVDREIVSQCIRIMNRSNPKLVEFMQYHMD NCPTDRGETYKLAKELGQQLIENCKEVLEAAPAYKDVSVPTGPRTTVDAKGNLNYEEV PRTSCRKLEHYVQQMSEGGKISEYGNRTKVQNDLQRMYKLIKQQHKSTLTSSQLEIRN LYRDVCRSLAMNESQILPKENGSPGAKKPSVKGPTPGKGKVETIPFLHLKRKNLHGWD YSKRLTTLYLSCLEKGARDGISFQGKYALMTGAGAGSIGAEVLTGLISGGAKVVVTTS RFSREVTEYYQSLYTKFGSRGSQLVVVPFNQGSKQDVEALVDYVYDPKNGLGWDLDYV IPFAAISENGRQIDNIDSRSELAHRIMLTNLLRLLGCVKAQKAERGFETRPAQVVLPL SPNHGTFGNDGLYSESKLALETLFNRWYSESWAHYLTICGAVIGWTRGTGLMSGNNVV AEGVEAFGVRTFSQQEMAFNLLGLMTPTVVDLCQSEPVFADLNGGLQFIPNLNETMTK LRKDIMETSEIRKAVSKETAIENTIVNGPDSEALYKKKMIEPRANIKFEFPTALDWKK DIAPLNDKLKGMVDLEKVVVVTGFAEVGPWGNSRTRWEMEAYGEFSLEGCVEMAWIMG LIKHHNGPINRRPYSGWVDSKTNTPVDEKDVKAKYEKFILEHSGIRLIEPELFEGYDP NKKQLLHEVVIEQDLDPFEASKETAEEFKREHGDKVEIFEIPESGEYTVRLKKGAALW IPKALRFDRLVAGQIPTGWDAKRYGVPDDIISQVDPVALFVLVSTAEALLSSGVTDPY EFYKYVHVSEVGNCVGSGMGGAAALRGMHRDRYLDKPLQNDILQESFINTMSAWVNML LLSSSGPIKTPVGACATAVESVDIGYETIMEGKARVCIVGGFDDFGEEGSYEFANMKA TSNAVDEFAHGRTPQEMSRPTTTTRNGFMESQGCGVQIIMTAKLALEMGVPIYSILAL TTTASDKIGRSVPAPGQGVLTTAREHAGKFPSPLLDINYRRRQIERRRKQIKQWKESE LEFLYDEIDAMKSQGEKFDEKEYLQDRTAHVEKEAARQEKEMLRSLGNNFWKSDPSIA PLRGALATWGLTIDDLGVASFHGTSTKANDKNESSVICQQLRHLGRSKGNAIMGVFQK YLTGHPKGAAGAWMMNGCLQVLNTGLVPGNRNADNVDSVMEQYDLIVYPSRSIQTDGI KAFSVTSFGFGQKGAQAIGVHPKYLFATLDEQTYNAYYAKVEARQKKAYRYFHNGLIH NSLFVAKSHAPYTDEQLSNVLLNPDARVAEDKKSSELVYPSNFMALSEKPAPSSAVKA TQTMMEALAKKVVAKNSKIGVDVEDISAINIENETFISRNFTKAEIDYCRQAPSPQSS FAGRWSAKEAVFKSLGVPSQGAGAALKDIEIVRNDDGVPSVKLHGDAATAAKKAGIKD VSVSISHSDSQAMAVAVASL
SAPIO_CDS7371	MYGAGAGPQTGVSTPRSSSSLRPLTIAHGKLETSFLVPTGLHFH ASQLKERFTATLPAPTDELAQDDEPSSVPELLARYMGFIANEIETGEDDAQGSYEEVL KLVLNEFERAFLQGNEVHPLASTLPGIDSKKLEVIRCYYAGRSAANRPVKPHQSALFR AADDNSAQIYTIFGGQGNIEEYFEELREIHKVYSTFVGELITASAELLQSLSKHPEAE KLYPKGLDIVAWLHNPDATPDVDYLISAPVSFPLIGLLQLAHYEVTCKVLGVHPGVLR DRIQGTTGHSQGVVVAAATAAASSWDSWREVVTKALTILFWIGARSQQTFPRTSITPS MLQDSIDNGEGTPSPMLSIRDLSQAEVQKHVDATNHYLPADRHIAISLINSPRNMVVT GPPMSLYGLNSRLRKVKAPTGLDQNRIPYTERKVRFSNRFLPITAPFHSKYLAAATDL IDNDLRDVDIDSSSLDIALYDTHTGKDVRDGVKGNIVPALIRLITRDPVHWEKATAFP EATHVLDFGPGGISGIGILTSRNKDGTGVRVILAGAVQGTVPEVGYKSELFDRDEENA VKYAIDWVKEFGPKLVKTASGRTYVDTRMSRLLGLPPVMVAGMTPATVPWDFVAATMN AGYHIELAGGGYFDPRMMTTAIRKIEGAIPPGRGIGVNLIYVNPMAMAWQIPMIGRLR AEGVPIQGLAIGAGVPSIEVANEYIQTLGLKHISFKPGSVDAIQAVVNIANANPTFPV MLQWTGGRGGGHHSFEDFHQPMLQMYPRIRRAENIILIAGSGFGGAEDTYPYITGEWS KKFGYPPMPFDGCMFGSRMMVAKEAHTSPDAKKAIIAAPGVDDSEWEKTYKGPAGGVL TVRSEMGEPIHKLATRGVRFWAEMDQKIFSLPKEKRVAELKKNRDYIIQKLNDDFQKV WFGRNKAGKAVDVDEMTYAEIVRRMVELLYVKHESRWIDPTYIRLTADWIQRVEERFT QTTGNPSVLQNMADLKEPFETVERVLAAYPEAETQIVNAHDVEHFLLLCLRPIQKPVP FVPVFDDNFEFYFKKDSLWQSEDVQAVQGQDVGRTCILQGPVAVKYSTVMDEPIKQIL DGIHNGHIAGLTRDIYGGDASRIPTVEYFGGRVTEAEIPVDLDSLTVSYDTHKNTYRL SSSATAAMPPLESWLALLAGPKRSWRHALLLSDVIVQGQKYETNPIKRIFSPTKGLFV EIQYPNEPQKTVIIVREQPRHNHYVDVIEVKLDGPNEIVVNMIKDTTALGKPVPLPLK FTYHPDAGYAPIREVMEGRNDRIKEFYWKAWFGDEALDLDALVTAKFDGGQATITGEA INDFIYSVGNKGEAFVDRPGKIMYAPMDFAIVVGWKAITKPIFPRKIDGDLIKLVHLS NEFRMKPGAEPLKKGDVVSTTAQINAVINQESGKMVEVCGTIVRDGEAVMEVTSQFLY RGAYTDYENTFQRKLETPVKVHLATTKDVAVLRSKQWFMADEMPQNIELLGQTLTFRL QSLVRFKNKDVYSSVETRGPVLLELPTKEIIQVASVDYEAGLSHGNPVIDYLSRNGVP LDQPVHFENPIPLSGKTPLQLRAPASNENYARVSGDYNPIHVSRVFSNYANLPGTITH GMYSSAAVRSLVETWAADNNIGRVRSFHASLVGMVLPNDDIQVKLQHVGMVAGRKIIK VEASNKDTEEKVLLGEAEVEQPVTAYVFTGQGSQEQGMGMDLYASSPVAKDIWDRADR YLLDNYGFSITNIVRNNPKELTIHFGGPRGKAIRQNYMAMTFESVSSDGTVKSERIFK EIDETTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMKSKGLVPRDSTFAGHSLGE YSALTALADVMPIESLVSVVFYRGLTMQVAVERDAAGRSNYSMCAVNPGRISKTFNEQ ALQYVVDNIAEETGWLVEIVNYNIANMQYVCAGDLRALDTLTGVTNFLKQQQINVEEM KGNIPEAKDALRKIIRGCADATLRKPQPVELQRGYATIPLRGIDVPFHSTFLRSGVKP FRSFLLKKINKTTIDPAKLIGKYIPNVTARPFELTKEYFEDVYKLTNSPRIGHVLANW DKYTQDDADSDDSNSGSETRALNGVEVEQAGAGAAA
SAPIO_CDS7372	MTVSKNQLFLGTFIHSKTRDQLEYLIDTAVCVDKEGTIVAVEKD CDRDAAERILYPRLGWIAESVDVRVCKETEFFFPGFIDTHLHAPQYPNVGIFGKSTLL DWLNTYTFPLEAKLGDEKLARRVYTAVIRKTLSHGTTTASYYATRHVGSTNVLADLCL ELGQRALVGRVCMDQESTCPANYRDESPSAALEATKACITHVKQIDPSYALVKPVITP RFAPSCTSETMRLLGDLQKETDLPVQTHISENVSEIALVKTMFPESGSYTDVYDSHGL LTNKTILAHAVHLSEEEASLISERQAKVSHCPTSNSCITSGAARVRWLWDRGIDVGLG TDMSGGYSPSVLENARQAHLVSRHVAMGLGECEEKERVKLSVEEVLYLATRGGAEVVG MADKIGGFEVGKEFDAVQVGLGTVDEGGIMQGEGNVDIFGWETWEEKIAKWLFNGDDR NSPPLPNAHPLTATTTNPGTSSLLLRRNWTYAGPPSARSPAHAQTPCRWGNSRIVPRP RLETTVDKDAQVAEDGAKSREVDLGWTREAFQAFLDDKGPAAALARFEELAARQSDTR GRAGTLVEAFKEMRALGIRPSPAFYGLALAALAVHPDYLLRATILDAMRKDWVEFTPD HRVNTVLGLLRDGQYEIAFDELEDIVTEGISVPYWLYEVFVFAFGKLGFLDEAMLALE YRSQQKDAPAISLDLLYYLLDACSTAYHYRGTKHFWQVATKENGIVPSDGMVLNIMNT AARHADPELALEALRVTADRRVNLSFQHFEPIIEAYMGVQNLEGALRILCVMDSAGVR PGRDATRSLFDVLKHSPEKVDECTHILRNLGESYRVPIAAFNVLVEALCFSDNLPAAE ALYETLPSLTTDPPTVTTFHPFLVSPDPPISICTEAIQLFPNLTLPPPNLAHLTLHLA RPSLDSALAYLRRIDAVYKSPNSRPDRSHARRRTDAWVLYADAVRAVALEMLRHRDSR TWEFLSEMSRRNPELAAEVRELGRGVGEAVAGEVEGA
SAPIO_CDS7373	MADIFSILGQSLKQTANGSSLSGSSIDDLQAHLRKALETKVSAA KAEHISFTYELHSATRFTIPSSQNENEPFSALDGGVTPMSIPDGSNWPSRIISANETI MNQPQDDPVLQKLVARHIATAVGACDGGSWVVREVSRKPHGWTFTYICKHSTQAWLRQ NAKSVQNIIVCDYSAKELDPISASRPAFDCRGALIISFSRSSRNITIKYNHTPFHKTV AELLVLFAPPPRPTAPAVVPTPKSAKPSRKRDAPNGSEDGSARKRPRTKKSNGTAASG EQGLPDADGSTLEITMLDASTLSTPGGEAAGEGSARPRPKKKRAPRPSKKKVNEQSGD TASAANDQTPNETVPGAATTMMTQINVDPEEAERRHQRAIELLQGAGIDPNTLSSDQF NIFANQSPALQQESLTMLIQYGAERLRIVHPNKDSSAATQNSPAAEPAAQASEADESA LGDTSLPQETQSTPGAKTAGKQRLTRGSCSNCKGKNKCGKEKPACRNCIDAGDECVYP LQKARPAKPKSAATMIEDADVEVEADIDAEVEAETSHVPGEDVMTPHDLDNDPQAIIT DTPQPHFIQPSNSLGVGSTTQQDGQNVPVHPYPEFVSQLSQPPEPTSTPAPVVAPSMA QEPTEAKKKKRRRTLPSAPINKRKNDHIPLALPESPATSAWGTATVNPSTLMNPSGGT NQTTTTTTPTSTSQSYLNTQHVAAATHAILQEENRRSSTHAAQKSQTGRSPYQVTAPA PRAKSRQSNRAQSRTPVPERAPSRASNTSALQYQQQTQPRAQQQAVTGATAIMGVGVD SSARQNSGSSRTAQTTTRSPDVATYNAAPSMSGLGNFNYTEFPSQTQNESDQTSHRIA YEPYSNHPTAASANNQSYTYDNSNRSSTSNATGLSSSATQSRTTGYSASTSTSASHWG QQQMTNTNSTVPSTPSTSSYSQPSLTAPVSSYSQPSLTTSASSQNYFNLRDSSSTTTT TTTHGPSNPYTQKAPQQSRQQYPTYNPQPAKQAQMAKQSVQQQRRQNTHQQQHQQLQT QTQTQNHHQQRTQQNWYGFDSSATTSSAQNNYRSARDSHSGYVGAGSYQHHHNSLNLP NHGGSYGGADNDPLIDLLGASVHHRH
SAPIO_CDS7374	MSEREPWQRGDDDEIDEEVDESNYKTQKDAVLIAIEVSESMLTP PPASTKKKADRDSPLTAALKCAYHLMQKRIISNPKDMIGVLLYGTEKSKYQDEDGDSN APVYPHCYLFTDLDIPAAEDVKALQSLVEDDESDEGILVPSKEPAAMANVLFCANQIF TTKAANFGSRRLFIITDNDDPHATDKQAKSAAAVRAKDLYDLGVVIELFPIIRGDSEF DMAKFYDDIIYRDAAAEANMSEVLKSKSGGGLSLLNSLIANINFKQTAKRALFSGVAF EVAPGLTISVKGYNVISRQKEERSCYIWLDGEVPQIAVGETTKVSEDTARTVEKAEMK KAYKFGGEYVYFTPEEQKSLKDFGPTTIRIIGFKPRSSLPFWASVHKSSFIFPSEEHY VGSTRVFTALWKKLLKDDKMAVAWTITRSNAKPALVAIIPSRDRADEESGTPFLPAGL WLYPLPFVDDIRNPPDMPDPVQASDALVDKMQIIAENLWLPNRMYNPSKYPNPQLQWH YKILQALALQEEVPETPEDLTIPKYSAIAKRVGGHIKDWQALVNEEVAHVKKLSAIKR SADDDEVEKPRKRTKAESKPSSSGMSDAQVKAASEAGKLSKMTMAELKDVLACRGLPI LGRKAELVERLEEWADGNA
SAPIO_CDS7375	MAFRQPLSKLPESIARNLSSTRLPQASASLTLTKRTPARSRSLT THAHPVTQDATGSKGPTAMVFLNMGGPSTTDEVESFLSRLFADGDLIPLGRLQNYLGP LISKRRTPKIQQQYAAIGGGSPIRKWSEHQNAEMCKILDEISPETAPHKPYVAFRYAD PLTEEMYQKLLDDGFGNGRGGRAVAFTQYPQYSCSTTGSSLNELWKWRQRLEGKPARE AGLDTSGTIQWSVIDRWPAHSGLVEAFAQNIEAKLAEYPEERRKHVVLLFSAHSLPMS VVNRGDPYPAEVAATVYHVMQRLNFSNPYRLCWQSQVGPQPWLGPQTATTVEEYVKRG KKDLVLVPIAFTSDHIETLYELDYEVIGDSGHPETVKRVESLNGSPVFIRALADLAKE HLHGGHVCSPQMVLHCPGCKSESPASLGMKRARQNEAPSTRPAAPRTVGGFMMDADSD DEIEDPQPLPKKRMVEPPRKTRDAISFSLPTDTLEFQSPFARDSQQQDAQLESLDFPT QRETDRLQFSQISQFRSNLMSATRTYRLKTCGGQTAAIGLRKQSAPVSYESMVSARSK TKEGRAKRAYYGIEIHDLVRLASLEIKNQQEKGPTPTLPHPCATSVITTAQPTGKKSK KTLLWTEKYRARKFTDLCGDDGTNRLVLRWIKRWDPIVFPGSAKPKPKKPLFASHKPG DQEPEHEKPHKKILLLTGPPGLGKTTLAHVCARQAGYEVVEINASDDRSKNVVNNRIR TSLGTENVKTVTNSKRPGDKPSRPARPVCVIVDEVDGVTTGSGASGEGGFIKALVDLA LLDQKNSSTTEKLETTSRRRKKGDDFRQRRPLILICNDVYHPSLRPLRQSGLAEIIHA GKPSIDAVVGRLTLVFEKEGIPCEKDAARKLCEAAWGMSSGLDTRRGAESTVQGDLRG VMVVGEWVAGRFRNMPDDGPKLTRHWVEKHVLQDLTNGGAGARGMGRGGVKDIVTRVF QEGAGFPRQALKTTQDAHLRHEQPKEQLGFSELHKRSGMAMLRQMVETSGDIDRVMSD IISEYPNHEFNDDCYLTKPNEAYDWLHFLDSCSTRLYSGQEWELAPYLSQPVLACHNL FASPIRAYGSTYDHKWGADDKEEPQSRFSGPRASYNAHESEKHNRSMLQAMQGEFTPT LARLFRSPEDMATEFIPYLVRMVSPAVNPVVVGGGGIKGTSTASVRKDSEKKMVRRAA EVMAEVGIALQKGRLEGEAMSSRGPQFVYRMEPDLDELADFETLSRTSLTSHVPTRYA VRQVLDQELLKVSVARAEAARQARFQDASQPGQQNATTPAPLITASSNKENIDSGEST VPEAKVKRDFFGRIIKESAPLKERDVNRGSSGSSQSKVKVWVKYNEGLNNAVRRPITL NDFMKIL
SAPIO_CDS7376	MAAIPGLGLMEEAAPAVSSTREIELPPFGQFCFEVGFGSPGLTV KLISGTAEKDGTELNLNHPYHFSSIKTNILTLQGCKLEVDGTCDKEWVIKDVPPAENV PNMYVNIHFGLHHERLKAAATGRTGPRVLIAGTPNSGKTTCVKTLASYATKRGDQPLV VNLNPDEGMLTLPGTLSAAVFASMMDIESRQGWGAAPASGPGSIPPKLPIVHFYGHQN IEDDAGLYREITTTMAESVSARLSEDPNVKRSGLIIDTAGIQPDSMEGLDLLSHIISE FSVNIVITIDSQGLEEQLKEMLKDEMAHSEEQIHIVNIFKSKSIPERDELWLLQEREA DIKEYFFGDSRMVLSPSTQLVDFDSLTIFKLPENGEYTPDAKALERVKPTADMVHWTL AIMQASVKDTAETIRTSLVLGFVYVAHVDVDKSKIKLLAPLPGRLGDRPLILGKWPEP HVNLLG
SAPIO_CDS7377	MCTPDIFLGILAILFPPLPVWVKRGICSTDSLINILLLCLGYIP GLLHAWYIIAKYPDPIFEYDTIDQEDGSRVTYVVIQSPATSPPPRQPHISYGTVAPAP QSAPHSAPQQSPNVHANAEGSSSNAPCPPSYAEVVAGDNKIQAP
SAPIO_CDS7378	MQLTFPDDRVKKSHLPDGGDIGLCSGSGSNNVDPTPQSTNEPVE SHAPTTSSTTVGNNPSIETITEPITSTDTGGSLAVGTSEALETNEVDDDRQARVRAKR ARYRARAKARKASESEDTANGGGEIVGGAEVDAIDRSPVEGTKKKKKRAKRRKASSGT DGMDEINVEELAINDGTTDDEGDMGKMDTQSTSTIASDVREKNEPGLYSPDLPFPQRL EICIMRFREQAKLDQKKSKFFNEYLFLGGVNAHVPLSYGSDSKAMDEGDVVQAHLVAS EGKLYGSPDDLQYYDPNDPGDWSVDWYRVVAGYISEAVPRMIWQTETDPKDQMLIAID VVADFLKYVLKHKVCDEYSDDIKKALGLCDEGKTDMATIFDCTRKLPSFFNDVLLGLF AGDGNDNTPFQISDATKCNERELLLMAAVGVHALPHVYANMRSTSVIQITNVQEFDLQ ILGVHRSNHQQTMLFNSHLKIHGIDSDLNLGYVTVKHVVLPSLVFDGEPARVPRKGVD RIIMEDSILQHLKRGFFIRVRLAELNIGLMFMVECPTILPCFQTLLPQVLMRRYKRGP PRKAARTVKDFFDEDLYKN
SAPIO_CDS7379	MSNKEIPHRDDLVDYGHAVAGHAGVLSDADGELFIKPCTQKEID FYQSASEKFIEFTEIMPLFMGTLSLSDPAEVSSAEEDVPTGDVAAALLQVAETTSAVA VPPVVTCSAAQEATVPSTSQEGAAKDNVTWKPNKDKRIKTTQAIVLENCTHGYKKPNV LDAKLGVRLWADDAPLQKRQRFDAIAAETTHANYGCRIAGMRVYQGSHDKTELNEDDY RVYDRDYGRTQVNNDTFVDAVRKFVFNNAAGIDDALGKAVCGGFAQDLKRIEEILEKH ESRMYSASILFVYEGHGETLEAAIEKNNTLVERIETFEFKTSRIDSGIVMTEYSEEEE EITITFPKVYTVKLIDFAHAKWVPGEGPDENTLTGVRSLRRIFEELAA
SAPIO_CDS7380	MDRFRSYTEPWDPIRYGIWAIYAQSKEAFFSGRYPDLFNLKYLL DSGFYLWFKVLNEHFCRFEQNQTTIPVLVPASTGVVLELGPGMGNQLHRFDRTKVTRV VGVESNSHFTRDIETQVKKNGLEDIYELVTCRVEDSDVLETHGVVAESVDTVLSIQVL CSVDNPEAVIKELYRLLKPGGKFIFWEHHRNSDRTTAAIQFHRLPIDVVGRSKMDDLL QLEQFALASKVQAEINNHTGVSDKTLAEFIIAQRLECEDLAAFKQRLKSIGADFPTSL VESIDRLCRSLHPKLKKKQGAANKIEEEEHPTRSNEEKAKIFSGLALPDKEVPPESNG DAIDDTLAQLESLGGRPRKPKESRKRSRSPEDGDRDSRRRNHRERDRSRDRSRDRDSR RKRYRSRSRSPPRYQGELDPAPIIDKIYDGKVTGVKDFGAFVSLEGIKGRCTGLIHIS RLAEGRRIGHPSEVVSMGEPVKVKVVSIEGNRIGLSLKDVDQHTGEDLAAPAPAFGSG ANMEALGSRHGFVDQPTSFRNPQPPRHKKQLTDSDRWEIRQLIRSGVAKASDFPELEE ETKAVHKEDGGMELEEDVDIEVREEEPPFLVGQTKQSLELSPIRVVKAPDGSMNRAAV TGSALVRDRKEARQQEADAEAHAAPKDDLSAQWHDPMADPDKRTFASELRKARINAKS DVVPEWKKTVQNRDQSFGKRTNLSIKEQREGLPIFAFRSQLISAVKENQILIVVGETG SGKTTQLTQYLAEAGFANSGMIGCTQPRRVAAMSVAKRVSEEVGCKLGEEVGYTVRFD DTTTPSTRIKYMTDGMLQREILMDGDLKRYSVIMLDEAHERTIATDVLFALLKKTVKR RPDLKIIVTSATLDADKFSSYFNECPIFTIPGRTYPVEILYSREPESDYLEAALLTVM QIHLTEPKGDILLFLTGQEEIDTSCEILYERMKALGPDVPELLILPVYSALPSEMQSR IFEPAPPGTRKVVIATNIAETSITIDDIYFVVDPGFVKQNAYDPKLGMDSLVVTPISQ AQANQRAGRAGRTGPGKCFRLYTEAAYQTEMLPTTIPEIQRQNLSLTILMLKAMGIND LINFDFMDPPPVNTMLTALEELYALSALDDEGLLTRLGRKMADFPMEPSLAKVLIASV DLGCSDEMLSIVAMLGMNVFYRPKEKQTQADQKKAKFHDPHGDHLTLLNVYNSWKRSG YQNAWCFENYIQHRSMKRSKDVRDQLVKIMERYRHPIVSCGRDTHKVRQALCSGFFRH SARKDPQEGYKTLIEGTPVYLHPSSALFGKQAEWVIYHELVLTTKEYMHWTTVIEPKW LVEAAPTFFKVAPTDRLSKRKQAERIQPLFNRFEGPDDWRLSAQRRVGRSGGGGGTWG 
SAPIO_CDS7382	MGSMPNNSEPISAILDAIGHVTSSLAAYERVTRKYGGSEEVAHK GEIAVARNAMTKDAIQLLQEIRGPIDTVYGFFEMGSQASAIRCLIAMGAFDKIPTEGS ITVAKLASELGVDQNLLTRLMRVVSVTGPFQQVREDEYAHTAFSKAYLIPEVRGMYTL IFDEMYPPWVKMHEFLASRGWKSDGEERDNAYTYAHQTGGKSFWEHLKQYPKRVEALN LGMGAQTATTMWSVDIVDFRALLASKKTNKDDVLVVDVGGGKGHCLQRINKAISDIEG RLVLQDRPEVISDTYDLDSSRIEKYEYNFFEPQPIQGAHIYYIRRVLHDWPNHLCVDI LKNIANAMERGKSRLVIAEIVVPPTGGDSETGWMDLVLMTVTGVERSAKDWQELLSAA GLKLEKIYTSPGTNHGAVQAVLA
SAPIO_CDS7383	MSGERKDVEFKTLDGLTLRGWLFQGPKGGPAVVVNGAFNSPKEI FVASVAEWFGKNGVTALVYDARTLGQSDGLPRNDLDPQKMAEDNHDAVTFLQDGGWVD PDRIAIWGFFYSSGIALEAAAFDKRVKAVIAQGLMPDWCLNPPDEAAIVASAVADRAS QLRGNPPQYIPLLNDKGEHLMHFKYLAEMTPEQKHHLPAWVHGAKKNAPSFNDHMTIQ SFYRHAKWKPMNLFAAVNPTPVMILTPEDDEIVPPAFQRRIFDSLQSPQKRYEIVKGR GHMNFLKDVNFDELLGGQLAFLKEVMKF
SAPIO_CDS7384	MAAAPAAAAATIPAPKSRPEGIDLYARFALAGALGCSVTHSAVT PIDVVKTRIQLDPVTYNSGMIGSFRQVIRNEGVGALATGVGPTFAGYFLQGAFKFGGY EFFQQKLTDTFDAETVKQNRTAVYVVSSGSAEFFASIVLCPLEATRIRLVSTPDFANG LVGGFSKILKNEGIAAFYSGFGPILFKQTKKKTNPAYMVPYTITKFVVFEKVSEAILS QFDKSQRSAGASTAVNLGSGLMSGFAAAIVSQPADTMLSKINKTKGLPGESTASRLIK IAGELGLRGSFAGLPTRLFMVGGLTAGQFAIYGDIKKFLGATK
SAPIO_CDS7386	MALSSREDIEFKTLSGVTLRGWLYPADKRGPGIILSAGFNMPKD VVLPEIAEWYRKRGFTALIFDTYGIGASDGEPRCDTDMHRRVEDFIDSVTWLSQNPLV DPEKIVLWGLCFDGNIMLATAASDRRVAGVVAVAPMIDVTGDPERREAVLELALADRA GQLAGEEPMYLPLVDEDGVMPLGQVSGLGFFSAMEDMNLPVENRVTVQSYMRALGWSI LHLLPKISPTPVIMVTPELDQVFPAERQKAAFDMLGEPKEHCVIAGRNHFDWMFGDMD GVFNKQLDFLQKYLKA
SAPIO_CDS7387	MKAHTAMKALVGGESGNYRLADDIDIPAPKPGTMLCRVHSVALS PYDAKIVDYSNTPGAVGGCDFSGVVVAVGEGVVRFKAGDRVLAVTFGLSALDKTAGAF AEYALAIEDLSCHVPDSLSLTQACSMGLGIATAGLALFQAPGLQLRMPRSNHADQETG AFVLISGGATGTGTMATQLLKSAGYIPIVTCSPANNSLCESYGAAACFDYNSATCGAD IREYTANSLTSVFDCVTDATTMRMCYAAIGSSGGQYVALEPISPIIKYTRRDVRADWL MAPTVLGTPVELPGSYGRPRTPEHRRFASELFLLAEKLLQEGEIRNHPLEIRDGGLAS IPRYVNDLRVGKVRAKRQVVPLLAG
SAPIO_CDS7388	MGSNANPNWLAKEPIAIVGSSCRFPGGASSPSKLWVLLKDPRDI VQEIPPSRFDTKAFYHQDSQHHGSTNAKYAYLLQDDPRAFDRDFFNISPKEAEAMDPQ QRCLLETVYEGIESAGYSIPQLRGSSTGVFVGAMSFDYQLVAMRGLDSLPQYHATGGS MAILANRVSYFYDWKGASVAFDTACSSSLVALHQAVLALRSGEVDMAVAAGANLILGP EPFIAYSNLNMLSPNGRSYMWDSSADGYTRGEGFAAIILKTLSQAVADGDHIECIIRE TGVNSDGRTPGITMPNSEAQARLIRDTYTKCGLDPTNPRDRPQYFEAHGTGTPVGDPI EARAIHDAFFPGHPDQNHGQLYVGSIKTVIGHTEGSAGLAGVLKASLAVQHGQIPGNL HLRELNPKIRPFFNHLRIPTELTPWPALPEGQPRRASVNSFGFGGTNAHAIIESWDGG YERYHVSESRPAGLFVLSANSAQALAAKAAELANYLRDHPDTDLGRLSRTLFQRSEFP FRASFSATSATQLADKLETGTEALSKTSRIATIPNSLPPRILGVFTGQGAQWATMGWE LYQASAVFRTSLDRQQRSLDTLPDGDRPDWSLIDELSAPKETSRVGIAAISQPLCTAL QVALVDVLRTAGVEFSAVVGHSSGEIGAAYAAGYLDARDAIRVAYYRGFHSHLAQGPG GKRGKMMAVGMSLDEALAFCNEFSSALKVAANNSPTSCTLAGDADAIDEAKALLDQSN TFARVLAVDTAYHSHHMRPCASPYLESLRSCGISVLKGRKKCVWYSSVWGANGRSRSF DDHHGLELLGGQYWVDNLTSTVQFSQAVSRAVSEESYVLDLALEIGPHPALRGPASEV IKSLTGVAVPYSGVLKRGEGAVEAFAAGLGLIWSLFPSMRPIITFDGLHRAFPQASPK YPVILKDLPPYPWDHDSLIWRESRASCIFRTQSQPRELLGFPVTLGEHGRREVHWRQV FKLSELQWVKGHAIQGEVLFPATGYLTMAYEAAVRLVNDHQALRLVELHDIEMVRAMS LQEDSPGLEVLFTIRVTSQSDSCIAAEVACYSGDINVTKLDGPLTGLTAHFSGSVRIW LGLPLKNALPPRTEPLLPMNTLDLEQFYWYLSKVGYNYSDAFRASSVDRRLNQAVVTV PAPPEPTTIRSSMHPTVLDTAIQGLLAGFSYPEDGRLRTVYLPTAIDCIRINMVAPPA SPGVLMADSFLTLADAKSLEGDVDVFDSKDATIHVQLRGVHWTALNQEEDRWLYAAET WVRDAAYGIEPRLKTKLSPENSLLRTLLVRTAYFYLRSLRDQIKPSELLLMSKHRRHM MKWVREHLFPQIEAGKHPDIQAEWSNDTLEDVEQWSASYLASGNNDMQLLHAVGGKLP AIARGTIPPLQVLMKDGMLDRLYVEGVGFADGNLDLESLVKQLAHRYPRMKVLEVGAG TGGTTRAVLSALDGKYTSYTYTDISAGFFEPAKAKFSQHLSQLSFKMLNIEKDPVEQG FEEGSFDMVVASNCLHATRSLKDTVRHCRRLLRPGGYLVLLEITRDHLPIQLIMGTLP GWFLGADEGRVWAPTIGLDEWDALLKVTGFSGVDTSSTPSFCSVILSQATDETIQMLR QPLSTNSQSPMPPLDQQVLVVGGSELASRSQTLLASAGAVIRRNLEGIEIPSGAVVLC LCDLDSPVFNSMSQSRFEAVQAVFRNASTVLWVSSGATSGKNPLANVTVGLGRTLLAE RSDLRLQFLDVDAPATLEPSLLATLLLRLTGMNSDYNSDEILWTPEPQLTLRDGAIYI PRVLALDAINLRSTARHRQVTQLTSFELPDIVIEVTKRHGALELLYRHHHEHVHNVKP GEVRVKVTASSLYSLVCCEGSSKMSASASSRPAHLWVGHDMVSGDKLVGLSHASSSIV TVAEDCVLYRQRSAANTAAEESVDAAQQLHHFTAQALVKHLLRDLEGPVWIHGAPNHL SKAISRVAAEQCLTVFETTSDMERAGDWGFIHPYISKRNLQSLRMRMRDVKTFIDLKP WKSNSISALLRASSPRSRLVTIDIEDLNDLIIGLSREDLCRLARQHFEDHDNIRRGPD NLDQILPIENVTTEVVKGLGPATVIDWSATDKVTTVVHPVEHNGLFAANKTYLLFGMT GDVGISIALWMVDHGARSVVLASRKPSVPASVVEHMSQKGADLRVMAVDITNRDALRT FYADIKSSMPPVGGVINGAMVLRDRLFVDMSWPDFEAVLAPKVVGTQNIDELFGDGEE DETLDFFIVLSSATSLVGIIGQSAYSAANHFAASLVRQRRSRGLAGSVIVIGFLTGLG YIFHRSDKEHLATIEKSLLPRLDRQSETDLCEMLAEAIVCGRPNSDQPPELITGIRTS FQEAWHQDPRLSCYLVQEGVRESGGGPEEVNGNVKVEVQLAAVEDPKDGLTVLEKCFS QALGNMLQLDPAQISGDVPAVNLGVDSLVSVRIREWFLKELGVDVPILKIMSTNHTVS RLCEDALTGWRRLQAGSDMAAATSSSGTARQDPEMDWTKELTGLIDGIPALIPQGAES VKDAPRRSARRIVLTGCTGFLGTHMLRNLVADDNVAELHCLCIRSRRVRVRDPRIREY KGDLMKPLLGLSNDDFIRLSQTADLIIHLGAEVNHLKSYEAVRTANVVSTQVLLAMAT PRGVPVHFVSSSSVAMLQKGTHELPEIPPSAISPPTDAESLMKNAIGYAASKWMGEML LEHAAPPAVVHRFPNIMGPDAPDEIPLVALDRFCTRMRAVPALDPQQWVGQLDIIEVS DVVPEFLANAYGHDPQKGFAVHNYCSSNEYWLSDLAGMYEKKLGGHIKVLPTAEWMRR ATAMGMPKGVVATFTGHDEVFVSPVLRKGSGSR
SAPIO_CDS7389	MSPSTASHDLKSKKDKLDVEFNEQSTATSSTVEVETEKKRDTGT DEEVAVAESVPQDSGDYPSGLKLTFIVVALVLSVFLFSLDQTIVATAIPRITDEFKSI DDISWYGSSFFMTLGGFQSMWGKVYKYFPLKISFLMAIFIFELGSLICGVAPNSPALI VGRAIAGVGAAGVGSGAYTIIAFAAEPKKRATLTGVIGASYGLAAVTGPLIGGAFTNT VSWRWCFYINLPIGGLAASIILLSFKAPPAAKPAEATLREKFLQMDPLGTALIMGAVI SFLVGLHYAGLGKPWNSSTVIGLLVGCGLMAIAFGFLESVQGERAMLTPRLMRMRNVW VNGIYGAFFAGSYFVPLYYLPIYFQSIDNATPIGSGVRNLPLIIAFTIATIGSGGSIS KTGIATPILPVGSAIATIAAGLLYTLDIGTGAGKWIGYQILAGFGYGLSFQVPIIVSQ GTADPSDLASVTAIILFFQTIGGAALIGAAQSGFVNQLLNKLPSTAPGVNPALVVAAG ATELREVFSADDLDGILVAYMAGIKVAFAITIGAVGFSFPISLLSKWKRISTAA
SAPIO_CDS7390	MGIELKQPDSAHLPHTNGSSGTLPERSSPTGIAVLIVGGGVAGL LAGLECWRQGHERWPDMAKENDRISYEMWISWHKINGDLISGPAPFRPNAQKKDGPEG PNTLQTAKIYRHSRPTMHKMLADQVERVGLTVEYGKHVTQYQEDPDSSKAYVILENNE KIEADLVVAADGIGSKSYSITLGRQVPARPTGFSIYRALLPIDQALVDPVIDEKFPLL ENGMSSTQLWMGIESWSNKVGPEVVLQTTATIDGWPDFADRLIKATAKDQIHDFKLMW REPQPCWVSPGGRVVQIGDAAHSFLPSSGNGATQGMEDAVSLAACLRLAGKDNVPWAT RVHNKLRFERVSCLQMLGVLNHEMRNRSANADASQTKPIGILGSWIWKHDPEHYAIEN YEKALRHLTSGSEFLNTNVPPGHVYQPWTIDEILKAKETGREIVLDGDWD
SAPIO_CDS7392	MAASLAIPPGGSSSSSHDIFPRNTDGTDVPRWLDDNDVFFLKLT SLTCSSVSVVFALVAFYWFTRMRRSFRHDLIMLLIQSDMFKSLWFMIFAVVDFVHGPV QSSSAFCQASGFFLTVGVEASDVAVLLIAIHTALYIFRPKRANGEYGLYPYRPYAYAA FFCFPVLMASLAFIHKPYGYVNLGENCFLPIEPSWPRTVLSWVPRYVIFLAILFIYAC IYLYVSFLMRRFNRINLKRNQANYAFTQLREPESPAPTPPATTYQISSLPSSRRGSAF DHTCRDRQNSVSTFSSVKFDLARVQYDAPAPTQRHQFPTKWKWPNSNATDPPTRPASR PADNLSDIESVSPTTIVDFEGGGINSPRTPDTLLSRDGDGLPLSIFAADNTSTAALNQ QPARIMSVGNISTTTRDGAAAGRASYSSTTSSSALFAETDADVSGMAKTREKIRHQLR LLFIYPLVYMVVWLVPFISHILTWEDDDDLVPFGVLVLSLMSLCIQGAVNALLFSIRE KPWRHVNKTKLTGSGTSSWKFGAAPRRRQPTVGRTREEMLVDGRIARRRLDVEIAERR LKMPLGKRRSRQWWDVVDVEPPKDMGSYDEEALVGR
SAPIO_CDS7393	MAPIRLAILEADMPQPQTAARYSHYTGLFTSLLTSATSPTPVAA RFTITRHHIVNDASAYPSLSDIDAILITGSKHSAYLDDEWIRDLVDYTRKALETNRVR VVGVCFGHQILGRALGVGVGQSEKGWEVAVTDVELSEEGKKLFGLGKMRIQQMHRDEV KAFPADAIQLASTAFCPVQAMYVPKRYISVQGHPEFNEEIVREICERRHDAGAFSDEL YGDAMKRVGNEHDGVAIAKAFVRFLEEE
SAPIO_CDS7394	MSPKPSLRAFLSLARAKLYASPTQRELPLTLVAGNESADLDSLC SAVIFAYLHTINAQTRKIYIPISNLPRDDLPLRSEMTAVLARAGLEPRDLLTLDDLPQ GGQNAASSDDLSLYLVDHNSPTGIIKERYGTRIVGCVDHHADENFVSKDVQPRVIETS GSCVSLIVEHCREAWDSLAREAKVSQDAAEADKSMALMALGVIFSDTVNLRAKDRVTP WDVRAVEYLEEKLGGTGYDREKYCDEIHRVRRDLDGLSLRDIFRKDYKEWVEGGMKLG TSAVVQNFDYLLGRAGGSADVLMGELGKWAEEKGLDLVSVMTLATHDGRYEREVLLWG RNEKAVEASRDFEKAEQAELDLHSFRDGLLDLDAHGQWGKAWKQGNLKHSRKQVAPMI RDAMRRA
SAPIO_CDS7396	MLLRHGPRPSLNRIRNLRYVSPTTARRSSAIYHTLGPARTALPA RQDGQPWSGSNNGARTLATAVDGSSLFAQGPFEPISSSHSPLSSFDTPASSPYYELRP FNVSQPLKIDDPAPSIQRLRMNRQGISGDVEELIAVFNACLQVHKLDRAQLVLKRLGQ FDEFPQEQLIMLHNLYLRTSLDQMRMSPDKTALEELHKWYELQIRRSGLPQTAETIAC MLKASILSQRGARLSRSVARYMGMAPGDAGLEVLSMADILSDQDLAVITDICPTYNVV VEEDATAVEADAAIHQHQSSNDIAASEMPDVMPTPQKGLSLKLVQQTLSLFDEIPQGC DISSLSVKERKEIQSRLERDCVDAAVQRWREENESLQKMGLNSIIGRESLGSRMYDWQ CALEDRLKEELVKVEIAETKTEKKREEDFDRCLYGPFLRQSTPSRLAAVTILGTLNAV SIMGVEKGAKLAATINGIAKLVEEDIREQTARKDNTSSSQKRRLRHVQAVLRTAESTE ATDAIEPPEAIVSPTPTNVESPSAKPWPTSIRAKVGAALLSALIDTAKIKVYRKHPET KETISQYQRAFHHTKKLSKGKKVGMLFVHDDLVELLKKEPKGNFLAKHLPMVVEPEPW SKFLKGAFLETSANLVRLKLGEKDQRMYTEAAIARGDLDQMLKGLDVLGKTAWRINNP VLNVMLQAWNQGDEIASIPALNPDVSVPAEPETDDRQARTAWHRAVVAAENKKSGFHS VRCFTNLQLEVARAYRNQTFYFPHNIDFRGRAYPLPTYLNHMGADHVRGLLRFGKGKE LGEHGLRWLKIHLANVYGFSKASLNEREAFTEQHLRNVFDSATNPLNGSRWWLEGEDP WQCLAACFELKAALESPDPKKFVSTLPVHQDGTCNGLQHYAALGGDTWGAQQVNLEPG ERPADVYSAVANLVIDLIEKDCQQDNPFAKAVRGKITRKVVKQTVMTNVYGVTFAGAK KQVCKQLDAAYPTLEADSGFPAILLASYIAHHIFKAMSTMFKGAHEIQTWLGEVGGRV CNALMPEQLERIAANEDSSKATKARSRGRKTPRSALDELSDQFKNTIVWTTPLRMPVA QPYRKSVTRVIPTCIQDLSLTVPDLADPVNRRKQLQAFPPNFIHSLDASHMMLSALQC HDDGLSFAAVHDSFWTHAADVDSMNSILRDCFIRIHSEDVIGRLGAEFEARYKGSIYL AKIRQDSKLGKRLLKWRKEERRSARDELVLEHKRQQLLNSSDPAEVEKGKAMVTAGSI FEEYSAAESDALVPPEGAEEVGLGKISDTEVEDVLADGNEGTAEKMEALEASEESEAD EDTTSDWVDRVLHSMKKPHFQAVTEEAPKKRKAAPKTIQVWLPLTFPPVPEKGDFDVQ KLKSSQYFFS
SAPIO_CDS7398	MSAPTSPSTAKSIFSSSPSPNTPPSSPPSLDSSSPPKMESRLVT AEANALAEYEKDYAKRRAAARAKNKRKRRPLLTPEEKKQKALELDSLLRQTAAFSDII TGKTRALGRMGTSMSGASLGEHNLELAKQPKCMVGGTMRDYQLEGLTWMREIAVQGLS GILADEMGLGKTIQMISLVASLRETDEFYGPFLIVAPLSTLSNWLDEFHKWTPSIPVV VYHGTPGERENIWQNKILRHYKDSRATNKFPVVLTSPQIVLRDRTTLARIGWEFIIID EGHCMKNSDARLYQELKVFTSATRFLITGTPLQNEMKELWSLLHFLLPSVFKDWEAFD SYFDFTGLEDEEGTESFITDEEKQELMRKIHIVLQPLMLRRVKADVASHLPPKREYIL YAPLTKEQTDLYKAISDRGVDTRAYLSDMVARDISERSESLSPPDLLTPLSSRQGSVS PSVTSGASRKGASDKLSKLPTRESPRKKKSTMEPEAAKPSATDAFALMMGKRGRGRPK KISEAPAVKEEVMKDKTESSRKRKGPATLPVPEPKSYRSSRESTPGSSRRTRAHPGVK IDAFANVDEDKLDDDEFEARLIREYESQELSNLEEAQTAKDFALASSFELAKKEVARK KLGNPIMQLRLVCNSPHNFYNPWTYSDDPVDETVVTKSGKMLLLDRLLPALFKGGHKV LIFSQFKTQLDILEDYCNLREYNFCRLDGSVSHEARRDMISQFNTDEDTKVFLLSTRA GGQGINLMAADTVILFDSDWNPQQDLQAQDRCHRIGQTRPVIVYRFATKGTVEEDLLF SAQAKRRLEKLVIRKGTLKTMGRKLTDAMSDLDRETLRGLLLKDGKVYKYSGGEEILS DKDLAALLDRSDEAYAKAAKGDGNAVGYKVVETAAEGIREAASSN
SAPIO_CDS7400	MAPTRNVLHKSGPTEADTGSLLSPINYDDDARSLHSRSDQDTDS EDDELQMNARNSRELRAHDRIMLMEEEEVEHLVTKSRKEKESGLGIGNPFAIFGRKTQ DHDESPNTSTENFAERRRRRRARRREKKRRLKEQAAHGEDGELMYEMEEGGMKDGSST GESSEDSDVMDQKEKHMNTIAHAKAERKRSCCRWILINIIVVIALAVLVFVAWRLTID RKRVSIASRVFSNGTALFGPTTLIISLDGFRPDFLQRGLTPRLNAFIKEGVSPKYMLP SFPSVTFPNHYTIATGLYPESHGIVGNTFYDPALGTDFHYTDPERSLDPKWWKGEPFW VTAEKQGVRTAIHMWPGSEAHILGIEPSFLDQFNGKEALPKKVARVFEFLDLPGKERR DVDIKDTRPQLIAMYVPNVDSDGHKYGPNSTEVNRTIKDVDNMLEDIFRGLEQRNLTQ IVNVIVVSDHGMATTDVTRLTQLDDLVDLDRVSHIDGWPLVGLRPKDPNDLRSLYEQA LNNTKDNPNIEVYLRDENMPKRYHFSDNERIAPLWLVPKTGWGIVEKLEINVADAVAK GEVYHPRGLHGYDNLHPLMRAIFVARGPAFPHPPNSQIDVFQNINVYNMLCDSLGLRP VRNNGTLHLPLHTVGLHADEDFSEPLSDPEITPSPSKSIGVDPVSVSTSPTAEVGAPT KEKPPQPPPSEEGDEDPTEEEDESQIEKAESAIKDLWDWLTEKIGGIWDSVTGSS
SAPIO_CDS7402	MGNASSSSIEATPPPRKTPHKLSKPQVGNHASTTAGLLSSHGRL APSIARPLNSSHDDGEQRRSRRSSFLFSPRLSSRPFSVSGPASEPAQRHHIEPVVTPS ALDYDRVEQPHETPLKNSFGRRSFLRSKTSSRLSGEQWLASTGFTVPRKDDGLPRVQS MIHDPPPNQNYSRPLTGSWHMPESSTYDSYDLETYQSSRLSHLTSTVNMPDYESAVSE SKHSLLENTWKNSHPATGPPSVGLSRTNSDVSLYAPVRRRSVIRTPGVATRAETAQEV SRRTSLRSRQEPAASARQSRRNSIEPTPPRILSVPVKLADLSVERAVTPSETEYKQLG AMKFGSLRITNGAPSPSSEKRRRKRGSGSHVFVLTNDSDSSLESNQKPKKNPEPKIVE PQPTLSNLSPITATFLKLESRVETQPTNITKTETETFAGFLPGMNFGSFSLMDSRPAS PELQTTSKHTAVEDDLFEDDAQQPAPSETKKETQPKRRGNRPRADVTSKTLQILSRQD RDSGIVSSPTSDYSQKPFSKTDSGYSSNVSIRSLRISNGSAADKDLPPCPGETQSPRP ALTETLSPPASFLGDFRLPSPRLISLANEIPAPPDREAPPPPPPPKDFPASRANSTAG TRAPEVPVRHIADLVAARPRRTRRVPVSLLTSKSRASGPRSPDSIQLSPPLSDSSNAA ALADRARKPGPLKRLLSVTSRRSSRDSGGLRRSFRNSGSSTASSSRDSASTPDLRARA SREARPSNLANVESTQPEENDQIPATRTETPEEITVRRRRSLQAISNSVVNAAVAVFP SRKSLRRSISPNTSNDDEQRVQDQESQQDQEQRPAAPYNERWSGSSSAESDTTSTSDY TRDALSRNGYDPSFVAMTSARDAYFSPAPSRAPSRAGSVASRSERELDIRLAALKARS NAGSPASFDTGSPHQSYVGDHPYINGPSHGLTRTRSVIQLRVPPPLRPQSNSANLHRA RSQSSLTRQLSSENLYSFPPTTASSQSSQDGTMTPPETRMHQQQYLQRTMSDSGKRSW SSSNDWESHPWQPRILNKPHSRAESTSSQGSSGAPYPRSASANPYSEQQRQIPRRPSS ANPYSDMQQHISQQLRHRSSYESQNNTKPRSSHGYFRQSPDLWTSTHYQQLQMQYGGT AFDPRGGWYPPYVPRSGHARNRSSSSNGYPGYYPPHAPFRVLHSYNSPAYRHAPIWG
SAPIO_CDS7403	MALDKNRRSDFRLGVGGPNHQCLADSAASVGSHGSAFFGYSVGD FLAVLKLANQIRKQFVQAPQQFQAISIELRSLTIVLQDAEVRSDTLSQEQAKRLCVVL GNCKALLSELETTLNKYTDLEKPIKGRALKRFWKRLQWEPDDIRDLRCRITAQISILN TFEVQVIANSVAKLSIRQESDTNSAMLEWISPVDYISQHNDYEARGEPRSRKWLFESS EYTQWVNKKGGILLCPGTPGSGKTITTAMITKDIQTMIGNDKDRRVVNVYCTYCISVA IRRPTNFFAASSVPLWNVAPVLPWKSRKRSRQGKRTTTIEIRASDQDVRLYLSRNLSQ FPSSGMFLLAELHLKCLRSKTTRKALRVSLQSLATGSTAYDKAYEKAINMIESQSHDW KRLAMQAVLIIACARRPLAVEELTYALSLDDDSDTIDVDNVPDINDVVTACSGLITVD VERRVVRLMHKSTREYIDARKASLFPDADTIMAITCSRYLNLVAASTDSPGATDAPLP FTHAKTAVPKLSSLATASPSDIMPSTASSIEAASNLGLIQMVRGLPDIKSKLIEACRH GNCGMVDLILRVHNYDLNSAPNYLGAPSTDKGPMPANDWKRSYRVDLKLYDNVEDVVL LTIATASGDIPMIELLLASGAELLKQLTNNGLDVDYGGPHNYTPLMAAIAKGDIDATK VLLSRADITKQHGPTGYYPIHFAVTLPSPALIPVLLAVDGIEPNVLDEKGRSAFRLAA KLGHSEVMEELGKDPRVQLHLPCAEGRTAYDMIIRPPIGDEGVFRAMLSLDPVHVHAD VTSPELSLLHRACSVLPIMNLEHGNVGAWDFEELRSEEADVARLVTAMLDLPTIDVNL RDREGNTPLTLVIRSCQVDTGIPFVNGLVPF
SAPIO_CDS7404	MSVKNVLKKVGGWFAPPPGKAEDGRDQWPSRAAFLLASMGGCAG MGNLLRYPSQVYNNHGLQWYIPYLMCVFLIAIPVLVLEIAIGQAYRGGSVVAFNNINR RLKGVGLSLLYVGFVVGPYFVVILAWIMNYFRNSFISPLPWTGRGEEFYMKDVVANIP PITGNLTEDGTKVLNYTKYPGVGLVGETVGWTAFTWFLVWLCIFRGVGLTGRVVYFTM GLPVIMTIVLIGRAVSLDNAKEGIKLYFATWNGDKLANGQIWQTACGQVFFSTGVGFG YFTSYASYNSKHSNAVVDSILIVTSNVLFENIAAFAVFGVVGFLRLFPQDGTRLGSFT VGFLTLPLAITEMPGANFWAFALFFTLMVLGYSSAFAMLDAVVTLVMDTGIKYPRPLV VTTLVVISFLLSLPYCTQFGYYLLDGIDRWINDIALVFVVFSECVSSTTVYRWKDVVG QVGRPAFIVYNCGYFGGMVLGVGIAHAVRPPVGAGVGFGLYVAGSAISTFIAKTPDAE TPKLFNGNAIFRRFYWLAFYSGNQLRRDLNVIVGRGNNWEIPAFWSPLLRYVAGPILA IVFGFSYPAFYKLRIDPLHILGFAIGHIALLIIAFGFIVPRWYDVIISPSRRGEGRVP YAPGVAFEAVVSSGNSDALESGEVEGKVVVDPDTQNK
SAPIO_CDS7405	MPLTGKVALITGGTKNLGAEIARQLAGQGAHLALHYNSQGSKID GDKLKAELQKSSPKSKVALYQGDLTTKAAVDGLFAAVLKDFGGLDIVVNTVGKVLKKP ITEISEAEYDEMFAVNSKAAFFILQAGAKNVSDGGKLITIVTSLLAAFTGFYTSYAGS KAPVEHFTRGVAKELQSRKISVNAIAPGPMDTPFFYPQESEEAVAYHKSQGMGGRLTE VEDIAPIVKFLCTEGQWITGQTIFANGGYTTRSTGLSTPTRFSHHPKTKSQTRLNIKT IEDRRMTLKRKASISTTDVSASPLPPSKRVRAEGQDMESGHNSRRQEDAESSNSFGQP YSVVFSAVIKGGGVETRPDGSSASKDGEKEEEEEREEEDEDEEEEEEEEEEEEEEEEE EEEEDINPWADEFPEGQGVWEQKQKEREEEEAAARKEEEESEEEEEEEEEEEEDSDDD DGEERPTIAPWIQRVLDSTPSPESEWAQEGPSEPESESESEAESEAESDVELESEDNR LPSDSDSNFTSDSGDDKPNTGFYGELVDFGFLLGVARKRKLIVCERLKSGGYPAKQGW QIVDWLQQRGNIRKLHYGIKFVSPPRSPPGRNKQEREIRDEGQWAPAVLDRIANATNR NRFYHTLKTRLAQSNSVTWAWIINIMSREAVTLEVYGPPKQDFIRDVEYHYFDKVDPE DGWGMMTTRPARLRRYLHEEIMEMKNFLELQISVFSAITLVPGSDRFQLLDVAPLEGL HRLYVNTWDNDSHLFEPIEAGDGVIGYAGQVLTPIGSPPPTDIRWPPKFLDLDLASED ESDLSYATGSESDDTEGTSTGPTPDSPDAGNTDDSLMRSARPDESDSDMSG
SAPIO_CDS7406	MKIVVGGASGFVGTEVLRQSLARPEITSVVALSRRPITVPEGSD ASKFKNLVLKDFGNYSEEDKKELAGASGCIWTIGLVPNKLLGHSKADARRICLDYTVS GIKAIHEAGPAKPFRFVYVSGAPISRDPNKWQPFMGDYFRLRGEVERAVIKYAADSNG EVEATVVKPSYIGPTHASVIKSIMNVFGTLHLAKTVGVDEIAATMLSQIIDGIEKDPL ENEDLVRIGRKTLGEWKK
SAPIO_CDS7407	MAPLQPLLHVAISFLLLSSTAAKKATEISSDTRRTYTGPLTTTF TPPSSCMDIRTSASSGRYPSLEVGCQGPAGDECCPKGWASNRYFSPGVCPSGYQACTL PTTRQREETTNLNFDCPTVVDYAECSSLLNTPVETEYAYKTETFSATIRSVIATPIQI RFKATDSSVVPIPTDSFNLPPVRKGLSTGAKVGIGVGVGVGAVAILIAVVWFIRVKGK QGQASEGGQETPQQEAMLLGSLPPQQGEERPPPYSKG
SAPIO_CDS7408	MLGQRKIRINGAYCGMEAILLGIISSVGGFLFGYDTGQISGILL FSDFIHRFGQHQPDGTKEFKPIIESLVVSLMSIGTLVGSLTSSYTADWFGRRKSLSFG VIIFLIGSVIQITAMESWVHIMMGRFVTGLGIGNLSVGVPMFQSECAPRQIRGAVVAS YQLMITLGILVSNCVNYGMREIQDSSASWRVVIGLGMVFSLPLGIGILAVPESPRWLA ARSDWDAARMSLARLRGLKYDPHNELVEADFIEMRDILEKEKAVGVGGWKECVIPRAN GIPKQVYRTFLGVSLHFLQQWTGVNYFFYYGATIFQSAGIDDPIRTQLILGAINVGMT FFGLYVVEKFGRRWPLFIGAIWQAVWLTIFASMGTALNPENNSASGIVMIVSASMFIA SFASTWGPICWVVIGETFPLRTRAKQASLATSFNWLGNFMISFLTPLATDGISYAYGY VFVGMNLVGALVVWFFLYESVSLSLENVDLMHSQPGVKPWKSRSWVPPGYVTRLLKED ESETGGARSGSENGILEAQDKTRSSDVPGVEYKA
SAPIO_CDS7409	MQTEPYYLESIIERITGQHTVPFGDAILSTLDTAIGCETCEELF TPSNPSTYMGLNGCEIILNSSASHAELRKLRTRLDLIANSTRKLGGIYVYANATGVDG EARMMFDGSSMILVNGRVLEQGSQFSLNPVEVTVATIDIEQVRSFRSSISRNVQGAAQ PDYPRVEFPIRLSRPADEVYFSDVLKMSKDKELRILDPMEEIWLSTSVYLWQCLDSST VALFVYGMARLVMHSIAAGETTTLSDLRRVTGIKDFSPKKPEEIVNLLLTTCYMGTVN SSEDTKSRAKKLSEKLGGHHLDISIDNAVGAHNDLIKTALNFTPRYSVHGGSRAENLA LQNIQARNRMVVQYSLAQLATTARNLPRAGTPLLVLSSGNVDENLRGYYTKYDASSGD LAPLGSISKTDARRFQSWARDEWDLPIMTDFLEATPTAELLPLEAGVQDDESENEMGM TYEAINRHKATIITPSIHLSGYNPDDNRHDLRPFLYVVDFPWQFNKIKAHVEKLEARL QKRA
SAPIO_CDS7411	MAGKVALVLSSLLASVVSETVHGVVVYSRHGDRTTKHYKNQQLT SLGAQQNFAQGNQFRARYLDADSPQRILDISEDKYVSSQVYASSPDQAILLNTATAFL QGLYPPLEGLDPELATSQLNNGSESVSPLNGYQYVLLHGQSADAPDAIWIKGDDSCPT ADKAQKSFESSTEFRDRSDQTKSFYEKFQSTLADVYDYTSPANFSYKNAYDIFDLINV ARIHNKSSPALDVSDEDLFQLRTLSDSSEFGYNYNVSQPSGSIHAKTLNAGLLSQLQK IVTTKAKLKFSLFAGSYDTMLAFFGVNGLIQLSDNFRGLPDYASTLSFELFTEEDMTS FPDNTDSLRVRFFLKNGTTSEFTSYPLFGSGEDSLSWSKFQSEMSDRSLSDVGTWCSA CQSKLPFCAAYIDDASADTNSKKDGGLSPLAKGGIAMIVIGSVFLIAGLALFLLSRRK RAQAPAAAPMATADQKSINSDSTPSQV
SAPIO_CDS7413	MSYSTLPEVVPDPAPQALSQAEAYDRAGADIRDQKFTVVEDPRP GHYAPNGTPVPYYAVSPLTPAPPYRPSTAGDPSYPNYAASTGVAGWENVSRKESTVQR DWTSEPPKKDDRRICGMKKVFLIILALALIMIVAGVGGGVGAIAARQKASEKAEAADQ SSTPTPTADAPSSAGTPSPTDETTPSVSSTASETSSPSPTSAPTSSTRSSSASPRPTT TRQEIIDVGFRFKAYSGDKFSGKSTEVQGKPGFVDLPFSSTSYKWDPKGSGCCVTFCQ GTSKSVGWRCTEFEREESSSSFDRLFIGCGDDGKQENSRCS
SAPIO_CDS7414	MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FTLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQRNLNTSSSSQAANANAAAQGGGAAKPNPTSSRRRP QDPEGEHGVDRLAD
SAPIO_CDS7415	MEVPTPDTQEWDLQFPETDELQKLLHRAGSSTPLTLMDDAGGHA DTGLELLQNMCLPPVNEAQESGSPGQDKPLHAMEEDGLLSCPDSSPERGPNSILHLAV QSRRPASVTLLLRYGFDIDARNTQGRTPLLIAIENDDHETVKTLLAHGADPNTASQRP NFEEESRRKANKTTHKISKIKMKFTLGILFLALGYAHYVFDSLVLHGQPTTQWGYVRI TENHYSNAPVVDVTSPEIRCYQATDDGSSTEIANVTAGDTVGFTSSPAAYHPGPLSFY MAKAPDGVSAAEFNGTGNVWFKISQDKPAITASSITWPNTGEE
SAPIO_CDS7416	MQDMILHDGEMIPAGTHIVCPSAEVMRDPEFYSNPDTFDRYRYF NLRSRSEERNLHHFVSVSIDNMNWGYGPHACPGRFFANTQLRVIVTHVLQQYNLKMPE GKGRLGTVIMPSGLGPEPIAAKPR
SAPIO_CDS7417	MDPLSVLASVTGLVMFVSSTYKYGSGVKGACKAQDDLRNELTAL FTVLTGMTATIANDDASSPEHPRIFTQLQLSLEACQRDIQELQEKLEKMSSAGKIKTA VNRLKWPLAEDDTTKIVQKLSRYRGLFHNALAVDASTVLSSTHSKVVTMEENQENTRR ELERQKTLQWLASFADEPEKKLDLLLRRTIPSTGEWLLESPEFVEFTNGSEGARSLWC HGLPGAGKTYLSSTVINHLASKAANGTAAVAYWYFDYAREKELDQQMFCATLLKQLAG SLPQLPLEVKDLHQRHSANIAKTRPHLSDGLSETLFSLLIQVEMPFIIIDALDECRGQ YLMPILDIITNLQDHGARVFVTSRPSIDCPSSTASVWHKIEIHAHADDLRRLVAHKIK GRKNSTTMQRIMTPELREEIVEAVVAQAQGMFLWATLQLDEILKGWKKAQIIRALKSM PKSIYGVFDQTLHRIVDTDLAMPTLLWLAYAKEPLDFRAISHAVTMEVYYTEEEDEDD TFGADDIPHIEELVEACCGLVIMDGDKNLRLAHFSIQEYFSQNPDKFAPLTEEHVARA CLAYLSLPVFRDGWLDSERKMQQRLQRYPLYSYAARKWHTHLPPSPASPEIERAAWKV YSHPGLFSSYSQVFYSQDYRVYTNRRLGGHDMFSFQEGFTPLHHAVELNLPGVVRRLL EHDLDPSSETHQKTTPLHLAVRDERKDLVEMLVSAGANRSACGLIPFPRLREVSTDDW EKFWHNQYGAFPPSSDRYYYPVEFAFIMGLGDITDILLNDAKLDLEVAGAILRTASTL PNHEIVRRVLTDYPELDYWCPAFVQAVKAICKNTGRSCVELEDNAIRIILQSRPPIGD QEGVLTAMLAAAVSAAPEFVANLLDKGANPNGDTDASPLQIAMLGGRDAAAMLLIEKG ADFSNPQILASAVRSGMTHLVEFLLDHGSDPNGRDENSRDGFPVAIAAGRGHADTLAL LLSRGGEVDGVNEYGLTALGDIAFDGDDNFEIAKILLDYGADPNADGFNGTPLMRAAI HAQQKFIALLIDRGANVNLVSEGRDGTILSVAATYGHEDLCRLLLRSGADPNLGKDVL PLMEALSYKFSIEIFELLLQNEADPLRRTSDGRYALEIAVGRGLQDAVRVLLEHGADI HMRGGRYGSIMHAAAASNDDAIIKMMLDLGAEVVEDDKYDGLLHRTERHHQLLINLGA DVNALGHFGTPLQDVIQKFHDKNETLLQVFELLINSGADVNKSGGYFGCPLQAAARFG LEAIVNKLLELGARPETAGGRYSTALQAAARFGHETIVDKLLEHGASPGGDGGRYGSA LQAAAYHGNEAVVSTLLEHGAEVNKTGGIYGTALQAAAFRGHQVIVRQLVESGADLTL RGGRYHNPLKAAREEKSIRNRVTAQILLEYGAVDDFVPPEHPAEKHWRGSRSGDESEC DDDY
SAPIO_CDS7418	MMIIKGALLLWFLMAARVARAGYGTGSANIFSTGTSWAETSTCA GNLDAPKFTPRAANEATYDWYMTTTSAGGGRRLPLANIGFENVLGGHSESLPLRPILH HNEWMFANGSVYCLEAVATGGATIVVDENGIYANWEGTGFSYTETRLDKPGAEYLVTI GNADIDVHDTIHLKSRAPTHIPCSLNKPGQTLQMMPNVFWANALPDADVTTDLTIHGS PLTTTDGVGYYEETWGDAPLLVSKLVPTNARQSAGSLIPTMASLSPILVVGGCGFVGH HIIREVFEQDSSASISVLDLRTDANRDPRVTYYSGDITQKSQVEMVFAKVKPKTVFHT VSPHPLQVNHDLLDKVNITGTQNLVECAQRAGTVAFVYTSSTSIIHDHYVPLRDANEE APVIYRPQQPEHYAHTKAVAETLVLNANRVGGLLTASIRPTTIHGEGDLLVTANLCRN AYTRKARFQFGDGKNLMDITYVGNVAYAEVLAAKALVAASSSPPLPESQRVEGEAFFV RNDERYPFWEINRMAARMAGYPVAEEDIWAIPLWLVMAGAFLVQWLVWGLTLGKKEPL LTTRVVRLITIERTFSIDKIKERLGYRPRVSTEEGLQRAVNWYMQEVYAKKDKTK
SAPIO_CDS7419	MVEDLLTLLHNYLVPVSFIVIALVVGKLWRLSSRFQLDPQEPPL VRSKIPYIGHLIGLMQQPHRYLFELHKKTHHPVSTLRMFNGKVYVLNSPYLVQAAFKS KNLSFAPLVAEYVGRMDELSLRARHIYAKEGMHERMMLLFQQQMAGTPLKQMNTIAME EISSIFRQPTQPQGKTLIEVDSLWVWMRNIMTTVTTSTLLGPGCNPWKKDPSLIQSYW DWEAGDISRRTPLAAIKDRTSHQGRVAIEKALTAYFAQEDFSRSGMHKISRMTQETAA MQKQYDFSASDMAAAYTQVIHASVMNVVPTTFWALVQIFSRPDLLASLREEVIGAIKE ENVDSNTGILKVIVEVSRLDSLCPRLVSAFREAHRLATTATLHRRVVEDTYLSDGGDV NGRTYLLKKGVTCMIPTTVSLRSPEAWGGEAAEEFDPERFLSQTSIDPVSNLRKRAYF PFGGGKDLCPGRNFAIAEVMGIMVVLLSGFDILAPDGSTFPLPKVAKPRLTTGTMRPD KTADLRARISRRPGWENVVWSAAVPDV
SAPIO_CDS7420	MPRPEPHPLALFSLKPCNPRAEEVLAHQCNKHLISWLNGELVLD IGHVQSKSGNTTLATIGRGGDADIFVEGSSISKIQCSFEIEWVTGIVMFYDRSHSQTS QVFGQIVFPFEYGRPRKVVVHDRANTAIGFGGVNRNLVQFELKWHNSSSKVTEMVKNR KSIALSYEENPRLAQTIDEADTVLPSQRETRVHTAGRRQPQLRYAKIDDLGSGQFGDV FKAVDVDAGKLLAVKILKRPTGTTEQDWKALLHDSLRREVEILSRISHPHVVDYIGSR GWEAGNVEIFMGLMEGTLQSLVAGGCSTHINDLGGTVLHHMLQAIDCLAVEGIIHRDV KPENILYISRMGEYHFQLGDFGLSNRQGIASTFAGSPLFMAPEMFQGGKQTHKADVWS LYVTMLWTLDAEGFREASKRFKTHEEVRQAVLLLASKADSVSVIREMARIDPQDRASA AQMLVKCFSGKGLTTPQNQVPPLTSPRVHDKSPAPALASPAPANTAQPKPRHVRRRPN PVLATGQFRVDKARHPQAQVRQPFGPTKRGLDQALDRVYRLTPGGFLDDNADAFGEIY RQLNHP
SAPIO_CDS7423	MTTTTEHIDRKSLYTSLEARIDYLHRFLDFNDSDVAALAFGSKF VKDIIPAVVHIVYRKLLQFDITARAFEIRDTRSEEPLQTILEDGSPELQRRKTFLNSY LHKICSDQSQLAFWQWLDQVGAMHVGLRRKNPLHVEYIHISATLCLIQSVLVEGILSH HGFPMSKRIAMVKAINKVIWIQNDLFAKWYVRDGEEFSEEAAESAATTVEKLNSSSQP NLKQHAPSTAAPACPFTGMAKAMKELSVGEGAH
SAPIO_CDS7424	MDLHSTLLGLILLSAPTLAVALTSSRCPADHGQALSGTAVRRST CPLPVDDYTASRTGEWSPWTEEPQCVYPEVEDWDEDVKFCVYTFGTYNLGDGISLLTT PEAAANIAGALWNPEPAWRARKHRRRNTNSEASGEIKYRLEEIPGKGIGAVATQKILR KEVFITDLPSLLIDARLETLSERPIEEFEEERKEIYEKAVANLLGKDRVLRLASSRGD STVDDIFKTNSFLVAMDEGGNHNGLFPEIARINHDCDPNASARFSNEKFTMTAMALRD IEPGEEISFNYIPWGLASDHRDYMTTHFFGFKCRCSLCTAPPEDIKLSDTRRRRIQEL EVSLHSGNEPYESAVDKTQELLNLANEEGLHGKMQEFYLDLMGVFYDYGDYQNALVFA EMALNLAKDFEEPGGSVISGIRANIGVLRGLVETS
SAPIO_CDS7426	MGSIGALGPASREAPRRISELPSPLRRQFYPPVVFLDVLERAGS SNQRSIRLDSDVSLERSLDPEQIFRGFVNRLALICQNEPSGNTVTAAAILQEPDKVLS YLSCLGEHLKDCIQALRNSEKGGKSTEGDRLLIPLLENLTVLASTALERAKANLDSEV SKNNYLSAIKAVIESIDGIYSSSQVFYRIRLWLVNEERLHNSGCWYEVCHVSERLSAY RKAAETFLLARELWPSLFDQFDVQFLDSIPKGPCPLLGAAKTAQQFIRVLTSDEAALA RSRDEVDRLNTVGDFDGQLEEKWNSNIKPIVHAEIQLHHWLENNGGTAPHRFFNMYTY IGSSKPTCKLCAYYFDEHPTDVKVRTSHGNVYSAWAYPPVYMDQGERGQKTRLRVMHS MKKRIVADIFRLLTERVGHRRAHDSTDQWQESVRLPPRVNTDIMSDYLDFMSDTMDNL SLAGEPDIDTTHSTPRRQERGSNNTDTPSQTRLSIPQETVGPPPLSEADTIARYLEFI SDTMDNLSLSGEPAVETDDVAGSWDRRGSDTDTPSTSQLPASQLASSTSADGEDILAT ETEAESDSGRRNGIPLSLAGLRSTSFSTRGS
SAPIO_CDS7427	MSAHLAHPTEDEELPYSHTIPFSWLDSPKRLAMPFHKRTRSSSL AASVSEIEELPSPSAGFGHDALGHCRSPSSTSSCAESLTYSASSIASTPSTPGTFPGS PLTFLSEQEAGLYKEFDLPQPLDSVYPEAVPHHRRPFSPQIHVIMEESDGAEESSRPI SPQPNEFQTLSLPIRLRRSRKRGIRPRGGSDPSHLSTSPTSSAPLTFAQFREIRAEAR AKVHNRTKSLPTFPSHRSLILFPRRSSLSDTQEAADKSLHRRLRGSRSPPHEEISSEQ ESCVRPRQECLREPWCAPPEVNSYDPAVVERIGHSILREAYGVGSSNAQVPLKILDAV IRCLEDVDVVARQLGWTSNNVYYQASPGDNSSWDNSGTTSMSSSEQRGAGGSNSRKRT SQQAGDGGDDFTSDQPGGNGGDDLLSPDDMSRKRAKTATQQSAFSCPYRKRNPLRFNI RDYENCCRKPIIGIPELKRHIQTWHRRGGAVMHQCRRCKKGFPSEEALDRHSELPKDQ MCEPEGRNKPTQDPEDGITDEMSKTLVDRKANGKIQTWEQICRLIFPTDPDVPQPEFE PVIEHHEVKDELKKATGILCDGLQRELSHVPDLDPGRRFQILRALETVVDVYVAFALR NCKGRFGSSSLSVARRPQNIPTRRSSSARPPSLEPTAQTGSSSRTNTAPGAPIPLRRL APQRNRVSSQTRVQPSPSLPPMSESGDTSSLADAQPESYIPSPEAGFRDSGISLHCDA DHNCTADAGGCICQLDASSGNKAHEDISNYYLPDFSQQAYPGWEFWPLPNADLGAGFP SQMTAGGPQLGEYNAFDREGQS
SAPIO_CDS7428	MRLSLGVLVASFTAALAAPAPEAEPNTIERRAPSCNIVSDACYI SCAGGSAYLNCYGSYCVATGTAGNYGYCKCKCRYG
SAPIO_CDS7429	MFRRTKAPHPQETPSLAQPAPATADKIASVSVPVSATAGESRSS SASTMTPSTAVDVFFLTFNCAKNFIDVPVFATHLHTALKQRAELPDLVVFSLQEVAPI AYSFIGSYFLNPYLTRYEEALNLAADVLNNPEALEDAPGGDGAISPLTRATLVAPPVY TLVRSKNVGMTAILLFARDPKTVADVQEAEVGFGAAEMGNKGAVGLRIVYEGVGSDKS SEMTFVATHLAAMEWNLARRNANWAAIMRSMTFDNPEKILQPRKPSGSSSGGASSSAD AQEAGNGRASVEEAVRLLHNQVNEESILMQQRLHDISVFKPTSHLFVGGDLNYRISAT SPPPDADFPSLDPESEHYYPQFLPLDQLTRERLSGRTLHGLSEHRIEFPPTYKYDILP AESSTLRDPLNVRWKFAPHRYPSWTDRILYLDIAPWTKARLSKATPEMKVHSYDCMPV VSSSDHRPVFLRVEVPVIDKVDLIPPKELEDGVSAATVAEWNLDPRMKLPVTIDPEAW ERRAAARKKEVMAGWSMFLWSTQEGALILGTFMVAIVLGWWLYQGW
SAPIO_CDS7430	MPSDVAAAAPVLAALAQPFGAGAVSDAHQNGVGSASRSSDGQPK VSLKDFRLIRTLGTGTFARVCLVKPADAPEDDHDSVYALKILRKSEVIRLKQLDHVRN ERAVLSDVSGHPFITRLIASFSDRDSVYMLLDYIPGGEVFTYLRRMRRFDEPTARFYI AELVLVLEYLHETQGGVAYRDLKPENLLLDKDGHIKLVDFGFAKRLGREGDRPGETYT LCGTPEYLAPEVIQNKGHTTAVDWWALGILLYEFLTGYPPFWHQNPIEIYKQIVDKAV VFPSEPALSAEAKDLIRQFCTVDRSKRLGNISGGAARIKEHPFFRDVNWDDVYHRRTN GPIIPPIKAPDDTQCFDRYPEDDGRRVVYTDEMAAKYDHYFRDF
SAPIO_CDS7431	MLNNFTNFVQKAQQLIDSTQGLNLSDPDRNPSKAVLFQSQFRLP SSQTPLHEINAELTIPASNVTHGDKAQDAGWHYAGKLHLSESFMCFSTTPSSFVHSAS TTTSSLFTAQTYGGGPSGNGFTFPLCAIRRVERLNSQNFQFALAITTWNGFMHDPPKA KDGTKDTSNVREQRITIHLASTRQACERFCDGLKRGLRANVVNVAKMKRVSTQCYSEY LLRTEDRKNADPPDAGLGMIFRYPGDPKKMRDRAKMRLWGEYLRDNGRNLTLIRQPTF HKLIRVGLPNRLRGETWELTSGSIYLRLENPTLYTDTLTKFSGKESLAIDEIEKDLNR SLPEYPGFQSEEGIGRLRRVLTAYSWVNSDVGYCQAMNIVVAALLIYMSEAQAFFLLS ALCDRLVPGYYSTTMYGTLLDQKVFESLVEKTMPILWEHLVKSDVQLSVVSLPWFLSL YINSMPLYFATRVLDVFFIEGPKVLFQVGLAILRINGEELLDATDDGAFISVLKSYFS RLHESAHPKSENPKLRAVTRFQELLVVAFKEFSGITHSTITDLRLKNKDAVLNNIENF AKRTAIRNLGPDSKLLSTEELGALYDRFYGVLYERQQRIRIVQEEQRRKAKSNRGRVT DLFPGAHTQDHSIEKGRVGLGPSTSLMDYDAFREFLAGISKWAIADTPPTPRRDTFAD RDKNSYYQRSRRPSEALLGPWGSGPEPADHEFLQLLFRKWDVDNNSALTLQNVVTGLA KIKSKRDIMATINYFFDLYDDDGDGKVDREGILRMSEALLFLSRRGLEGSLSVSAVSP NGEAGGNPSTSQLSLPGISNNEKFLGSVSAFIRRCFEYADPDHPKNLEATDEVPETPE IDNTFSIGDDDDEDDDDDLLVSSRPASPTGAPQPAKKPPALSITTDAEPHIERRASKA HSEAANAALDPANPLHITLPTFRMVVLADELLEQFFESSFPTSFHIIEGLPSQASSSG GLTTFASLGFGARAPGTSAPALGGAGRGLRGVLDNIVTDGMRVATEVRRRMEEAQREL EKNAQPGQRPYADDDEEDEEDGGAGAGAGAGAGANARRGTVGSTLDFERRSVRSSDRD LLSGADAEAASEGEGSKAAGVLSLLDTDPGLSGSGSAGKGLVEFDGRN
SAPIO_CDS7432	MSFRGDDQRRYGHVPPVQYPVANQSNDHASYPTRRPSFNQGDDA ALFGSAQSSSQVFQNAPLLPPPPPARGEEELFLTSPTEPSSSISNFAPSYQNQYQPSS PYQLSSPSSYNPQHFARSQSTSLPYHPAQQPQYSNLIQPSSSLTQQALRQSTTNYTPQ AYNPAAYASTAVPQRNATYHGYNNYSSHYAPSQAAYGSPSTAYSPAFPSSSQATTPAT PQYENPLPSPPLHNVSSSSQVSSYDPSAYSPSYNSTPYGTYSPNTNSPALTTPYSAAS DSAPYPHVSQMPAGPGYSVNDPTSFYNRTSRSNSRTSQLPSPPPQSSSGIQRHPTNAP LPSRPIDAHQDGLLWNSNGAARDDDLTDELLAQDTIIQDIEAELGGSVRRDRPTPING AQLSDEDIEHLRQYDPNTPTSDPTRASTSVQVQSSASNVRYPYRLEEESDPEGTAGVL AMQQAEMEDRRFSGAPYPHADLIPVPSDHGGRRQDDEESSDSDFGGMDLGLFSGGYAG NLTYGNDVGLTDDRPLPALPSYGLFGGYEGQGGPAQALPQADMDYPGAGGLQPASSYR PPAEDGEEQASLHSRQSGSESPYKDDYPDIFYHPGLSNRPLPALPMLDTLSLQPAATT SQGQYQQDQSWGLDSRGQGQEGLEVYNALSGPAHTQVERSISLTSHTTTPPVQTPSRS RTDAAEERRRQYRQAQHGKQNSASFDYETGSAVTYDMITLPTGRKRKFLPSKLTSQDL KRCAEPWALSSIANWMREMTEGEPDLKKKTIEEGLIKLFTSKVPTMNVADAETLSARV VTMMLESGILVPEEEWVKFAPGSISGVLWQLSASGCYAPKLHECESPGRCYSYHCTRT LKKANLDDLLAEDSVKQEDWATFFKLTKESIEGKHKKEIERQNVLHEIVTSEEGYMNQ LEVLRVLYRDRLRTLQPPIIAPAKIDKFLKTVFGKVDGVQETNKDHLLAQLKYRQQEQ GPWIVGFSDLFREWIRKARDVYIEYASSYPYATFLVRREADRNILFRQFLEQVRNHKR SERLDWTHFLKTPITRLQRYSFLLTTVEKNMLQDSEEKTNLTKAIQEIHAVTLECDQR VAEMQKKVEMMELSSMLVLRPGFQAMLHLDHLGRELLFQGDLQRMGSKGVRWVETHAL LFDHYLILAKTVSLKDGRGEKKYDVSKEPIPMPLLCLESTNDDAVAKQNRIAAPLGRT TTSVSDTKLNKIATNGSDRPGLEPTSSATTVPRLGQPLATDPEGRIMYPFRIKHLGHD MYTLYAPTPQVRQDWCNKIIEAKTRHAKALFSQNAEPFRLRVLADSAFAYDGIAAIGK QPGVPIEGTPLDRAIRDLESVYGPGRGPPPVCRAQVNCATGFSAYGKSIIAIGTDYGV FISDATDPRGWQRTVPAQRVTQIAVLEEFSVCLIIADRSLISYPLDVVAPVSNFPAPL HDNPRRAPQRLAKDVSFFAAARMKERMLVFYKRKEGVHNTFFKVLEPVFHRTTEKKSR WLGSSRKSGSTESFRDYDEFFFPTECYSLNLFQTYIAVATSKGFELLTLDKKQPMSIP DVKQPSIANIASRIRDQKPLGMFRLNDQEFLLAYEDCAVYVDKHGDVSRTLIMEYSGK QKKAKGATLCGQYLVLFNDDYVEVRNAENGRLRQIIAGRDVRCLDYGARGPTGTTSAL GGIPSSSSGQIDSKTTVKIGMTHPEIPGMQIVLEMLLNDGHLEK
SAPIO_CDS7433	MVKAVCVVRGDSNIKGTIVFEQESESAPTKISWDISGHDPNAKR GMHIHTFGDNTNGCTSAGPHFNPHSKNHGAPQDSDRHVGDLGNIETDAQGNSKGSVTD SLVKLIGPESVVGRTIVVHAGTDDLGKGGNEESLKTGNAGPRPACGVIGISA
SAPIO_CDS7435	MSSALEQLKATGTVVVSDTGDFASIGKYKPQDATTNPSLILAAS KKPEYVALIDKAVAYAKAKGGSANDQVELALDRLLVEFGTEILKIIPGKVSTEVDASL SFDTQASVAKALKIIKLYEEEGISKDRILIKVASTWEGIKAAEILQRDHGVNCNLTLM FSLVQAIAAAEAGAFLISPFVGRILDWYKAATKKEYTKEEDPGVASVKSIYNYYKKFG YKTIVMGASFRNTGEITELAGCDYLTISPALLETLSTSQEAVPKKLDAAAASALNIEK KTYINNEAVFRFDFNEEQMAVEKLREGISKFAADAVVLKDIIKAKVAA
SAPIO_CDS7437	MPSSCKELRAALAQCLQESECVMVQRNSAADCLRPPLVDTLPPK CQQLKKGFGECRRGLVDMRKRFRGNVPVSYQTIQKADEEGKGYQLYAGKSAFGGGVKI TSGDEQEQDWREVANEKYRAEAAAAAKSDAPTKSSFDSSSGGGGFFLRAFTV
SAPIO_CDS7440	MKQRFSSLDVKAIAHELSQSLVTLRLANVYDLSSKIMLFKFAKP DSKKQLVVDAGFRCHLTDFSRTTAAAPSGFVARLRKFLKTRRVTEVRQVGTDRVIEFV FSDGSYRLFLEFFAGGNVILTDHNLKILALLRIVHEGEGQEPQKIGLTYAIEQRQNYN EVPPLTLERLRDALHTMAEKAGKSGDAGGKKKKSKPGEALRKGLATTITEIPPVLVEH VLRASEFNADASPTEILASKQQTDLLFNALQDARRLVDESPSTATCKGYIIARHKPGY TPSTEPDAPPAKRENLIYEDFHPFVPHKFIGNLSYTILTYDSFNKTVDEFYSSIEGQK LESRLHEREATAKKKLDAARKDQAKRLEGLQEAQTLSNRKAAAIEANIERVQEAMDAV SGLLDSGMDWVDVGKLVAREQKHGNPVAEIIKLPLKLSENTITLLLGEEEEDAESDAG YSTDSSASDSEGEGKANAAASGAEKLAIDINLGLSPWANAREYYDQKRTAADKAQKTA LQADRAMKSAEQKIKQDLKKGLKQEKPVLQLLRQQSWFEKFIWFISSDGYLVLAGKDA SQSEILYKRHLRKGDIYCHADVANASHVVIKNNPSTPEAPIPPSTLSQAGILSVSMSN AWDSKALMAAWWVKAEQVSKTLSGGHVLPPGNFTVSGEKNYLPPAPLLLGFGVLFRVG EESKAKHTKHRIYEGSGNAVSEGVSAPDLLPSREKETGADVADATVQENREEIEDESD AEDQDQRPPTNPLQSSGHGEEEEGGETEETEIAKNTQALTLEESSEIGNAGEQNEDER TLEDTTPDPEAESTAAPSTYAASTVTSEKKQASKRGQRGKAKKIAAKYKYQDEEDRQA IATLLGSAAGRQRAEAEAKAKAQREAELEAQRERRRAQHQKQQQDAAEHEARRKRLLE EGLDVVGAGEPEDLAAVEDFVGTPLPGDEILEAVAVCAPWAAMGKMKYKIKLQPGSLK KGRAVKDMLERWRHDSERKGSLDPRAEDMEKMWPREVELIKALRAEEVVNVVPVGKVS IMAPGGGGAAGGKGGKGGQQAKGGRGGKKK
SAPIO_CDS7441	MEDSPILSLARKITADAEILDSYLKENDLAIPGLGSDSPSDFPD LPPEIQESRQRIQRNSSKLQWLARGPVETIRWATWGFLDSMSVQIINHFGIASLVPLD GTITLEELGTKTTLDPINLARVLRHAMTNGFFREPTPGVIAHTPTSRLLAQDTNLTAW LGFQLEDIFPAGAHVLEALKKHPEATSLTRTGFNFANGTVDKEPMFVTFAKDPPRAKR MALAMASLSGGKGYEVSHLVNSYDFSDVDSRGGTLVDLGGSHGFACVEIGQRWRNMKF VVQDLQRTIDTAPKPICDDEQVAERITLQAHDFFTDQVVKDADVYFLRWIFHNYSDPY AIKILKSLIPALKPGAKIIINDHCFREPGTETPFDEKVMRSMDLVMLAILNAHERTEK QFEALFRAASDGVLRERKGVG
SAPIO_CDS7442	MADTKTLDLFTQRWPLLAAAAATFLGASLFQYLYKWNIISSIPL VGEEIGDAEKRRVAYIMGAKRLYEEGYKKFKDGVFRVTTTKERATIVVSPKFLGELQK LPDDVISMRAAIQELMETKHTKLRVDDNLRIAKIVRLDLTPALVRFNPTIAEEVGESF KLELDIGDDWTEINIYAKLLRIVAMVSGRIFIGPELCHSEEYLDAAINYTIEVMTAVQ DIGRLKWFRDQRAPYLPSVKRLDARVEQALNFLQPVISARMEAAKHDLEYEKPDDLLQ WLIESGVKAGKADANGIARTQLGLSFAAIHTTSMTATNAFYNLAALPEIVPELRQEIR DVLAETGGIFTSQALQKMKKLDSFLRETSRVDPPSFTAFHRRVLKTVTLSSGQVLPAG VMIEVPSIGVNHDNDVYPDSDKFDAFRYSRVREESAKEKGPRSVEAAAANQFVSVHPH HLSFGYGRHACPGRFFAANEIKMILANAILRYDVRNVDSTAGRIPNLEFGVMSTPDSS KSLLFRNVQV
SAPIO_CDS7443	MTALQPPFEASVSNTSSETADKIANLVHEHFNSLPRKRKPAIRD TGVHEWIPLSSIVAERNGELRCLSLATGMKCLPAAKLKETNGNGLHDWHAEVLAIRVF NHYLLSEARRLSQTGGEDNESFILRRSMADPGMSQPFTVHDDVRLYMYSSEAPCGDAS MELTMSAQEDPTPWVIPPAPASSSPGTTPSASLLHGRQYFSNLGIIRRKPSRPDAPPT LSKSCSDKLTLSQATSLLSSLTSLLVHPSNAYLTALIIPSASYVPTGFQRCFFDRTEP LRNSTWEGGYHFHPLELLTTPIEFDFSKRQATARSKGKIAPCNVSAVWGAHGLDEGLV GGVVQGRRAFEERGASALSRRRMWCFALEVAELLGRDGEEIRETLGVERYGDVKEGAR LEERREVKKFVWEEALMGWVRNVGDEAFTLK
SAPIO_CDS7444	MDSEVQERLKKLGASARIGYVIARPSPPSVTRCGYPSPNHREID RGPCMMRKVKRAPARSGTDDKKLQATLKKLNVQPIQAIEEVNMFKEDGNVIHFSAPRV HAAVQANTFAIYGNGEDKELTELVPGILNQLGPDSLASLRKLAESYQLQKKDEDDEDI PDLVEGENFESKVE
SAPIO_CDS7445	MSKKPFNWWLWTKVLLGGAAISVGGPYLTMKLIPTQEELFKRYN PDLQKRSLENKDKREQEFDDFVSMIKQASKSDKHIWISLKEMEDERKKKERAQMRQDA ADARARRQQMRLEAGLEATE
SAPIO_CDS7446	MAYEGAYQTPQRAYTGPAPPRQNPAPAPMQGPGPYPQQGYDNYQ GGQGYEYYDDGSGGYGPEYGQQYQDPGYGQAPPQDQRFPPPRQDYYGQPPPMAGGRGG PGPLPRAQTMGNMRNGPYPPRGGGQPPGPGRGFPNGPPRGGGPPGAYGRGPPPPMDLS QGQGGPPMNGMNGGMRSPVNGRFGGNQYPPNPNQPRRNDYDEAQLSNQMAGMDISQRP GMPMSRNSDGSQRSMVRPPDGGLPPPGPPLGFEEGRRGSEPAPNPYQGPPGGPGPVDP NQGYADPGYGPGPRGPPGNEYGPPSRSMTLPVNDPMQPRQNQMGPPQRMGPMPYGPGP NRGMPPPPRPATSQGQRPPPQRIYPNQGPPPQAGYGAGPGYGNGPQHPARQGSRASYD DIFDSYGDYGPPPPNSSNDMPNFDAIPRHGPQSSMDLHMRPGGLPNGPGPGPQQPGLN LPRTKSQPNLRNGQDTIFEMPGDAPPVPALPPGQFGGAPGQPMPMRRPSASGLPPGPA SMRGGPPAGLPPNPSPPQQANPDALPSHPTPVRPGLMADSVVSVTNRPPPVRTYGGAS PAPMMSNSGPPSSAPPSELPPDPVTTEELERLRVIVKADPNDQETALRFAKRLVEASE VLIPNVADPKTRARSRDRYLADAHKVLKKLASAQNRDAMFFLADCLGRSLFGNEADNK EAFTLYQSAAKLGHAAAAYRTAVCCEIGHEDGGGTRKDPLKAIQWYKRAATLGDTPAM YKMGMIQLKGLLGQPKNPREAIGWLKRAADQATVENPHALHELGLLYESAQPNDVIIR DEKYAFSLFKKAAELGYKFSQFRLGCAYEYGLMGCPIDPRLSIMWYSKAAMQEEHQSE LALSGWYLTGSDGVLAQSDTEAYLWARKAAMAGLAKAEYAMGYFTEDGIGVPANMEDA KRWYWRAAAQDFPKARERLEDLKKAGKSGPRQRERISRSKMGKQQEGECAVM
SAPIO_CDS7447	MASTRANTGTGDGVWFQAISTTSWKVTAPSTTSPPKPCAVNLGQ LPRARSHSSLPIPTPKCKGLGTKFQQLYPPNPLVPPNSFFYGIDPRLSTTHLYRASGH PSSSKERDLRSTSFSSKSAAMPESFGSLSPSNSPSYPDPSYDEMMRANGQERNSLDMD ESQLVRSASIGKRGRPHLVMNKGVTAGAAPKRPGPNPIQDPFADGTGYVEASSSENTA TASKPDTPPNAIVANAVGAAQARRTLSPSPPHAPYNRLSAIRRPPRLDMEAVERANSR GSMTSLPDLIKRATRLAAMIDRGKRPASRFEELDFPDEKAAGRDSDRYSLADDRHQSG FSDMLAAFPPPAQATSRTSRVGSWFKNTASWPLPPSRGQMNQQTSVTDAPRAKKSSSS SSQQNKRRKFCGLPLWGFIVIIIVVLGIIAAAILVPLQFFVFRNLGGPFGDSALAQCR EQLTCENGGTNVVARNVCSCICTNGFTGSNCTIAGSAGCTTTSLATLNQSPGIDNVTL GQAIPRLISDAQGNFSVPLSGTEILARFNSGSLSCTAQNSLVTFDGRSARNGGAQASV LGAAVDGDADDAAVVGAAAAVAVFVAVEVITIIPNPVNAASGSSIAGFQTIPVVTTIS RTLINTLTNRIPGTTTTTVTTTLPPTATSMPMSTSFVVSEDVLDFARVAVLFILQEDG ISDASRAQSVLQRFFTTSNSANGRGTTLTTDAASNVDLGNGNSIDLVNFRVNAGSGLT GGRTFKRALEPEDAVARPPIVP
SAPIO_CDS7449	MLVSLTVGKVDAGVTVLLTPDKRLIEFPSILLPPNISSGSIVDI AVSRNTASERAADLAFQSLQDHILSSFGAAEPSPPQLRCLNATQTSVVLEWDPIELST ADLISLALYRNGQKAGNIPRPRDMHSTKISGLAVDTEYTFHLVLRTTAGTYSSDRVTV RTHKMTDLSGITITTGILPAAVRENLVEAVERIGAKMTDTVRIDTTHFVTTEGRGIAW EKAVETNIPVVRPEWVTACEQNGRILGVTKFYLDAMRPGPIETTTSVQSPRTAHKDLP PVPHPSEQKEAEAKNAAARGKNATVESESDNSSEEDTAEEPKAISHAAEQKMRVEEKD TQKVALRPAVSSPSAEGDSSEGDEDGETNGNGSAQTKPAVSPDGSSFQEVEL
SAPIO_CDS7451	MAAVIDPTKPTKYPVVLSDALLGGTAKDVFTNVRYNHKPDLSSE TSPEQARLKPAIAGSTSSYELSFQDEGGKYAYAGTRTVGENQYILYFDPSRKVFVLDR IDSTFNMNLTRTPDNSDPDSLRQQFEQLDTSITADTSIVAKSAKKPAATKSATTKAAP KTTKKEAAPRRKAPPKKKKEEPKSIELSLPEPAPEPKKEPEKPQKKKKRPDPESEEDE DDDDDGGLLVEYPGAEPNRQNDFSPAFPDNTFPLRRFSEFAEKLRESGNEDFDEESNS DNEDIALSGFKLPSPVGKKNNHNSAYGQDDEDDEDDDAIMEDVNVPRNLEADLEADLQ AGLENELEAHLKAGLQSNLEDDLAAELENELMQANSGGDADSESEVSEED
SAPIO_CDS7453	MHSFKRKIFSPKLTQLSNQPKFELHLKIYDLNNVPLVSGYSFIK WHITSSIHAEHRGRTQKCPIANHRVDYNYAKVVPSIRIGIDRNASLGECFIEFEVLQE FPVGGGARDERILLGVVRLNLSEFVEESDAITTRKRSASLSVASFATAMGSPGSMAPP PLPRSSGADGVAEEGIMRRYLMQESKINSTLKIGILMVQIDGERNYVAPPLKTAAVFG GIAGIMAGDQAEQDEPGQIANLSKSRDAAEVQDLYRHALTASWCAQPDEHPADAVIEN LFSGGDGWNLSSARLSTTKPLPSPFPTTQGHTDDDLESSGSLSGDEGQGGTIRPSDFR NAQRRFAHRNHHALSLTSQRSSLTINSIRTIRPERRGGVPVVSRTSAKDDDVRRSRSD SLTSLAPTLGSSDRGMDPGVKRAMEISEAEARDDLVAWRLGGITTMRA
SAPIO_CDS7454	MSESAVTLGVEPDARTVIGISLGNSNSSIAVTIDDKAEVIANED GDRQIPTVLSYVDGDEYYGGQAKNFLVRNAPNTIAYFKDFLGQDFKSIDPTHCHASAH PKDEDGVSFTVKDKDSEEPSTISVSEVTTRYLKRLVTAASEYLGKTVTSAVITVPTNF NEKAREVLIKAAGDAGLEVLQLISEPVASILAYDARPEATVQDKIIVVADLGGTRSDV TVVASRSGMYTVLAAVHDYEFAGVHLDQALMDHFAKEFIKKHDTDPRENARSLAKLRL ESEATKKALSKSNNASFSVESLADGYDFAATINRLRYEMIARKVFEGFNRLIEGAVKK AGLDVLDIDEVILAGGTGHTPRIANNLASIFPESTTILAPATSVSALNPSELAVRGAA LQASLIQEFEFDDIEQSTHPAVSTVKHITNSIGVITIGADGEEVFTPVIATETAVPAR RTITIPVPAAGGDVLIKVVEGNTHIKVTQPEPKAKPAKEADDEDDDSDEDSDEEEEEQ REKIWKIGSTLAEAALRGTKKGGKVEVTINVLADLSATVTIREVGEKGGVRGNIKASA 
SAPIO_CDS7455	MAGRPVFVLPGDEIDASLIPTHPKQPLRIGPGLRLVPPSDLVPT IAGPLLTDKRKNSIWVERSSGRYLPAVGDLVIGTVTRSTADFYYVSLSDYAANATLPQ LSFEMATKKTKPNLNAGALVYARVTLANKHMDPELECVSQSTGKSDGLGPLIGGMVFN VSLGFARRLLMPKAVEEGKVVVLDELGGAGLAFETAVGRNGKVWVNSESIPTIIAVGN ALIETDRKNLTVDQQKKLVRKLINTK
SAPIO_CDS7457	MEKLSFVNVHEGTPVQEPIDTFSVEEFRVRHGDGATIEMRNELI TIKGYNESRRLLAAWVICPLVVSGPFRAAREEWLVFVRPPSGGDTRFPKVGDRCALAI DETLDLADKRVGSGFVPAERVDNPMEGIAPTAYSGYAAFKVFPTIGEGSNAKAPEVLK ACSIAEGLSKLTPEFLNDFNSVKVILRLELNLTSYLAEMSALHQLTGDKREGADREPS PSSIEAFKWMLDFTKSPDATLDLFSILPHMKRPATSSIPTKLVKMFNALNPDARAAYE GLSSIPARLHMVAGCPGAGKTHWNLLVAAIALSQPFRDTMSSGDTRRRRAKILYLIDV NKPVDDSADRMHNLCNEVGLKRTIIRMHGWPYEMRQSTFINGDSGDSLNMALDEADSA QTRGPDFSRRFVTIAQIYREEGALPGVDPKKAPTLDEAAWDHFYKHKNDKYPELAVSL EKLLEAGVQSPREALSLRRCVYKLYSDVLKTADFVATTPVAAYGNFPKMYHPDVVFLD EAPHARELTSLIPIAFFSPKVWIYTGDFRQTRPFVAGLANNAREDSELIRNPFFKQLT ISTMERADRVGALRNHLYINHRSYGGLEVLPSELFYAGRMRTGIPADEQYPKSLQHLR DFLEGSRSNSFYNEWHQNWAMKRVKELLADTEFRRVDKPDEPGTIMVIAPYRTAINNY RSLVRDLGESAKGRVDVRTVDTAQGNEADVVILDLVRTRTPGFMDDPHRLNVAITRAR QAEIILMHPHMTKRKVGAELENTKYLLKMWEECDRRGRLIRIRP
SAPIO_CDS7458	MGQLNLPSPPQEDATHKPARKSLSKSSRSSGRTSKRVGSHGVIS AATSHLEAHSPAASDGRHKRVWKACERCRMKKTKCDGEFPCKRCKDDGLICTAGVRKK VEYKQLPRGYAEVLESTQFALIATIHKLYGMVRNGESWELGDPELNDRGLPVVHNIAS KLGCIRPNSDIDLPVHSVFPEDERDLKELVRQLEAQQKERQVQTSTTTQTGNITPTSS RTDLSSASEHEHSDLEDDHRPTPSTTTTGQVFLSPQSLPPYNDFEPVPDQSAAAGVLF PDAPTMADFAAAWDSQAAMSMMPDFLSHPAAMSNAQMLTQGLLESEFGRLRPHVVSCP NPEVMLGVGDPMMYSGFDEDDGQQMRL
SAPIO_CDS7459	MSLNVDEGRRRGRSKSPGRYVAEDREESHRERERDRERERPMYD TRESSYAYAEDDLDDYPRRRRDDRDDRDRGNDYRSPTSPRQSSGALPYPPPSGRGGVP YPGDSSSALAMPGAFDFKDDKPQRSDYRTVSPPPDSAYRSSKEKVDAGRDSRVRYSYY RDDERSSPRPEKKGREFGADDILSKFLPQKYRPVEKKSEPPQRRRRDDSDSDSDDESD DTDVDDRRRRPAEDYDKYGRRRKESDADERRHRFQLRGPSPPSNKAQKKAEIEEGLAY GKMAGEELSLRDTIRQSTQAFTRKEQDLLSKYGYSGHDSGYPPEKKTARFERDHERER ERDKDPRRSSSNVLTVDQADRRRDRSPAPPTNKMSSLSVNTGHHSFNMSLAAAPPSPL LESYHGTYQSCSPMPSPLMLPQHDGNAIIDVAPLSPDGDDARINRRARFHVPEVVAEK MANALKGDRRAPDTDVLIQILPGLSHEEVMELRAQYKALVKAGTERKGVNVAKHIRSR LRDEDPNLMKACYATALGQWESEAYWANYWYQGDKTRRELLIESLMGRTNTEIRLIKD GFHDKKYGDSLTRCMKTELREDKFKKAVLLALGEERMEDVDSYGRPLEVNMKLVDQDV EDLRHAVKSEKGGETLMISIVVLRSDAHLREVLREYSHHYKSNFARDALKKSTNLVGE VLAHILNGVINKPVRDALLLHHALTASRKDDLRRELLTSRLVRYHWDRRHMEAVKRAY HQRYGRELQDAIKDATSGQWGLFCRELCITRMPNDVRRVERLTVEGPERGKSRERSST LDVAKPERGKSRERSRERSSTLEVSRPERGKSRERSRDRRRDRD
SAPIO_CDS7460	MRSTDIAAALAALGPVAATNAPAINLNWGQNENARRLANICDSD ARPDIITLSGACVDPTARPSIDCREVLADAAKCQAKGTKILVKITPGTEGLVNTFVKT FPTVDGFDLTIDRTLENQEVVANAAARFRAAASKPIFLAASPECAASYEHVTKSEALD SGLFDAIFLEFNGDASCPTISGANTWDVVNYQVWEDYLSSAEYKNKDTKLFLGLSGAE AGANKLIEEILNDKDFTHFGGVSMWETDSADLDSSRYNAGVREELKKRTIRKVVRSPA PVAAQRPPAYIFRRDAPVAYVPVVARHLLARAEEACEGGVSSTVSSTASSTSASSTES STVASTTDSSTTASSTESSTVSSTESSTESTSASATDSSTSASETASSTESTSASATE SSTESSSASATESSTESSSASATESSTESTSASATDSASATESSTESPSASATESSTE SSSASATESSTESSSASATESSTDSASASATESSTEGPSASATESSTDSASATESSTE SPTGESSTGSSGPTGGNTSETSGPTDSSTSGPEPTGSDSASSSVPTGDCTSDSSGPTG GETSGTAGPTGGETSGTTEPTPSGSASDSASTAGPTGESSSETSGPTGGETSGTSGPT GSSSTDGPEPTGSGSASGSATITTTAPPKYTTSTVYQTKTYTITSCPPSKGPCHEGEV TTVTIPWYTTVCPEGEQPTGPPAHPTGHPEHPGHGGNPGHPGNPEQPEQPGNPGQPGN PEHPGHGGKPGQPGNPEHPGQPGNPEHPGHGGKPGQPGNPEQPGNPGSPGTTLSFTRG PQPTGSGPSPTGTEGSSPIFPPVTAGAASTGFSLLAVAAAAFFAL
SAPIO_CDS7461	MAQPPAAPPTATANRHSSKPSTATVNLARTHSNQTQTQTQTQTQ ASPPRNSIDGKGASLEQSVRKFRIVEALRSGDTASISRAIRDSAEGGPRASTSTLSGG NATLQDTTILHLAVQCAEYPVVEYILSNFAGMIDINARDKDGNTPLHIAAGQGRTAVV RLLLEQEPINDAIANHQGRLPIDVSRNPEIFQQLQLARSLFTEAKIRQVQELVNREDY GTLKEVLEESRLKTVLDINSPDFASDQTTVDTGGTLLHEAARKRNTKLIQILLLHGAD PFRRDRRGKLPQDVTKDDITRAMLKRSPAAVAAQRGIQEKAVLGHAASQGASSALPGD PLAGREGREMKGYLKKWTNYRKGYQLRWFVLEDGVLSYYKHQDDAGSACRGAINMRIA RLHMSADEKTKFEIIGKSSVKYTLKANHEVEAKRWFWALNNSIQWSKDLAKEEERQKL RAAELLRQAKADRTGSTDLSAQHTSTDNLSVSEANRSSLQINRGPVSKSGPGPSTIGS VDDDVEDEIGTDGGLSRFPSGPAVDLEDDDDDDDTSNRNGVAPSKDAFSITAQSAKIQ LDTISAVNASLMAQAAKNPQLPIGDPSVGQALTTYDGAIRTLSELVGNLLKISKDRDS YWQYRFDREVHMRRMWEESMAKVAREQEALEAKYGEAEMKRRLTKKALKEVMVGGGME AVEDEAPEEEVFEDAASIKSRRKSLSRRRTALEQIAQMSESSESDDEEFFDAVDAGEV EVTELPPAEAVVVVSGGVDISSAFKGYENGVRTRLKLDADNRPKISLWGILKSMVGKD MTKMTLPVSFNEPTSLLYRCGEDMEYADLLDLAAERTDSLERLLYVAAFAASEYASTI GRVAKPFNPLLGETFEYVRPDKNYRFFIEQVSHHPPVGAAWAESPKWTYYGESAVKSK FYGKSFDINPLGTWFLKLRPVSGGKEDFYTWKKVTSSVIGIITGNPTVDNYGPMEVKN WTTGEVCHLDFKPRGWTASSAYQISGKILDSDGRVCFSLGGRWNSKIYARLTPGYEAK VDEPVSDNASTHRGSISDTNSAFLIWKANPRPQGIPFNLTPFVVTFNHIDDNLRQWIA PTDSRLRPDQRAMEDGEYDFAATEKNRLEEAQRARRRIREARGEDFIPAWFSKARCEI TGEEYWKFNGEYWDRRERYGNGDKSAWDGLEPIYEDCHREAASGLRGGPDSGGWDSKE KLSFIMLLV
SAPIO_CDS7462	MSGNGRPTLLRTPPDDPNKAPLENAIEIVELGVLGPDMFTNARQ AWHPPGARGIFGGVPIAQSIAAGQRTVNEDFVIHSCHCYFLLAGNNAIPITYHVERVR DGRSFATRTVQARQRGRCIFTTTMSFVRSGSGGEKLIKHAVGMSPDEKRQGAVDSTDV IEWQGVTVTGEGSTPDRRKCTHWVRAKGKITGGQAAHIEALAYITDSYFLGTIPRIHG MFKVPPPSEPSSFKLGMMVSLDHSIYFHEPTAIRADEWMFAEMESPWAGDGRGVVTQR VFSADGTLLVTCVQEGVLRLQQEQEGERGEKVEEEETGKAKL
SAPIO_CDS7463	MSSVRPSFSALVALFFAFLVVFGAVAEAAPQNNNGRGRGRNRNG GNRNNNNNNNNGNGNTARLTAQEQAAQVPDGVSQATDGSTILDTTVDVNGLPLRFKIS GPADQFTTTSGVDGGTQDPGTQGTLGLNVLLHGDGGQSFFDFPNQAIQGNLAGVAVLA PDPNLFWGGGSGLDRTNGVEHAQAVNDLILDVLPQVMAFNSSNVFFTGVSGGSLLLSG FFIPQHMSNFQGNGVLLNCAAMAPQVNFVNGDAVAQSTRIHFQSTTGELDLLRESIPE SIVAYEQLASNAGLSNAEINALQTVDNSPNGGHCEFDEQGFVSGIQLMADNFVNIMQG GNGNVNGIGNVLNGVVGNENLVFA
SAPIO_CDS7464	MVFYPPSWVPALPAVPDSITIEQFMNDETYNRKPLRESRNPYTC GITGKTYTAQETKERTNFLSRAIGKRLEFAPNDDATPWEKVVAVFSVNAIDYVPLSHA VHRLSGIVTPASAAYSAQELEHQLKSSGSVALFTCMPLLETALKAADGAGIPRNRIFL LEVPGAKAAGFVTVDELVREGQKLPEIEPLKWTKGQGARQPAYLCYSSGTSGLPKAVM IAHANVIANILQVTTYESVPRKQNGVGTQVSLGLLPFSHIYALVVICHVGTYRGDEII VLPKFDLKTLLAAVQNFKIRQMCIVPPIIIALLRNQKLASQYDLSSLRILYSGAAPLG SETIDEVLRIFPDLRLCQGYGMTETATVVCTTSEEDRHPGTSGSLVPGAKAKIIDFEG NEVTTPETPGELLVQSPSVVLGYLNNEKANAETFVHHDDGRWIKTGDEVVIRISPLGN EHLVIVDRIKELIKVKGHQVAPAELEAHLLTHEFVSDCAVIQVPDDRAGEVPKAYVVR GTHAAAHPEDHVAKAIAKYVEDHKARHKWLKGGIEFIDVIPKSPSGKILRRMLRDKEK EARRAKGAKL
SAPIO_CDS7465	MAAVVVKRVASSETIGPSSKRSRKSGGARRVLKSLVNERAVLLP EPRGSPPVWADKRQGLCSALPYYKGYQSGGYISGNVLMGSLLDGFPAERDLVDPNGVI IMNIGGGRDVAEGATSNTRERQRDQGCPLMLRGSLRALSKNQYIGIMVGEMSPLMPSK MPHAYNVLDWYFITDIWAEKDVNGFKFWKMKLEIVNPENEPWWVPAGTTVSPSPRPGD YEAPIQHCEVCRKPSKQIFSQTWTCLNYPCSAFFNLGDVKFADLKYSKKFLKERTTYI GKDPEVAGGAREFPPSLVPTLPTIAEGDAGTEKHLKQGLVCPRCFGCSPRSHWAGWFC DTPGCGFKFESTMRPIAISEIDNSTIRMSFENRKPKRHEGMVGISSSFSTEGYEVTVT PLLDLLNGEPNPKRAVGILAHFRPSGETRAALNGADDSFQDLHEHATKDDWMRLPVRH KGGKSEIITSHFAQNYGAQYKYVVSVASKSFSDAPDTVLRALLRMRWAGKQAIDHAEK SVKELEGNGKVEVAQSLEFSFVEFNELLALGYFEDDKINWHDDGEDTLGPTVATISLG SPSTMSFRPKLKGKDKKGNNSVFDLHLFHGDIVVMHGTDIHRCYEHRVIPHGKRRFAL TSRHISLDKLDTDEDRRLAQELGAIPERALHPDFLTGPNQPTA
SAPIO_CDS7466	MPQSRFSSVTTALLHGLLASSFAGIANAASFPRAVTGDGFISIP IDWKEKVKSSSSIQARNPFEVALDNQVTFYTIEISLGKPAQSIAVLIDTGSSDLWVTP TCNHSPDPFTTSMCLAFGTYNPQRSTTVQGPVAKGSLHYGDSSDASTQTSVTLNYYSD SLTIGTVTLTDQIFGVIVDGTVDGISGIFGLAPDVHAGFAEGQPYSLVLNSMKEQGFI KSRAFSLDLRHADDETGAVIYGGLDVKKFAGPLVKFPMQPGVEGEARLAVWFSTIGVT RTGNTTHSVPETSTNALLDSGTTLTRLHPQVAQPLLNDMDATMDDEGFFLAPCSLRRT ASTVDFGFGDPANNGGKAPIVLRVPMSDFILDTQHPLNPRLCYIGLRVTEHQQILGDS VMRGGYFVFDWDNQEVHVAQAANCGTEILAIGEGRDAVPGVQGKCSLDNPNPTEAPTA ADEGGPALPTTPYTTTFTISSCPSFDLECTPGMLTTQTYVPLTTGSSNSAGGNEEDAA WGRGDILNLWILFATGVGAAALVFSTLGGLGLF
SAPIO_CDS7467	MQSLTRLGGRLPSRVLPTRVSAIPIPTTAFALSRPFHAVSSRAA DVAPIVGTGPPPQAPVSQAEEAYARVRKRKRQAEMLRLAKEAKAGKGKGLSKRFWDEV TVREVDGALQVFLDQRPLRHPTTKQIIQIPLTKPHLATALALEWDLLSSVQDATRQHL IPLTGLICRALDLSHEDQTAGAATSASRSQIAKMVMRYLDTDSVLCWAPEGDETQQDA QGRTLRELQRHAAEDIVEFLVSRVWPGVTIVPVLDGSSIVPRSQDEGARAVVEGWVAG LSAWELAGLERAVLSAKSLLIAARLVVQWSEHGAGLGEVVEEGNGDAVERFGVEQAAR AASLEVDWQTGNWGEVEDTHDVDKEDIRRQLGSVVLLVSGTGGK
SAPIO_CDS7468	MHSIQDRCRPKHQVLVLKCYPRTRKGAVDVKPNSSELSYLLFYA TSRRSKIQKIGSFLEKKTASDVWRVRIGNVQVTLQILAALIEKSPKDLPLIAPCVLRV LTLVLESHDITMIESSIPTFDAFCENHDASSLFADQDYIRQYESVVRGYASLASPNFV PTKGPSSKPMMMRWRNAGLEAIKSIASSDALSSLVGRQLNVIVPIVLENLWNDNEDFL ETLHQRVEAEERVDSEKLTRRRMSVATVRTADTTGEPNPIALSGTAFDVDKLAEEDIS VLAMHCLKMIFIVPNRPQVQSATQALLAFIEEKLGHGERLLRKDPRTHKDCGWAITIY NQVARWAPVQDRYMILITTMDTLVRTLVRDENLPVHLALTAMVGSLLRSDDVNLIGLS VMDVLLQLIQQMKKLLLLPPLTIDNDDDGEGGERSQSPAEQIRLIEAQRSDLLASIEH CMGDLATHVYYADQISDMVSTILQRLKPSRSGSTSSSPRADQEDEATDLVDRISHAEA YFSFGTAKIAALRAVKSILLVANPPSKSKRDRSLTRNRVPIQVWEGTHWLLRDPDGEV RKAYVDAFDTWLDRETTKADMKVYDDGRHQRSARATRDANGSSIARRAASSASNHDKN IRASGTRFLQLLHIAVYDNALQHLDYDADYVLLHVLLLKLVFSLGVNAVRYGLPMIYR LQEDIQEAETPLHKVRIGSLVHGYFWILTECFDFEASVVGRAIMNEIIRRRSKHFWVE GITIPPPPVELVGTPGVPKPEPKLPFNEIECESLLPFDDRLSLVDCIAVGYQEIAASP AASPAASPGRTFSQPVMASSMTSGTIAVTTTDCDLPINYRETMLVEWTREQAILALQP GSRSESLNGSRAGTTGTSRNRLGINGNGLNGYPASPYGSQHNLRPTSAQTGGASGGGL APLHVSKKGSIRNGISPSPSASSRGYIASIDQLKQVLTGQIQYPMPGTAGAMDADTDS LDSMVSYDYTASELSASPDGQPAPVEPAQVNSGLQRKPSKGRSTGPLTSNPTHEAGPV MDHVQEAQATPPEPENVPPVPPLPTLATLVTSKSGDINLAPGQVAQPQDGARSPVRML SSRSGDRPRTSRSTDRRLPSRGGERNISSRGGDSFRLGSMGESPRSGVDLDELLRGID SRSGEEGLGNLTRPPY
SAPIO_CDS7469	MPRRASLVVPAAAKHTATVIFAHGLGDTGYGWQPAVENWRRRQR LDHVKFILPHAPAIPITCNGGMQMPGWFDIKELNGTLESLQRSEDEAGILESRSYFHD LIKQEIDAGIPANRIVLGGFSQGGAMSILSGLSATVKLGGIVGLSSWILLGGKFKDLV TPENKETPVFMGHGSFDPLVIFPLARISFEKLKELGYDVTFKTYPMPHSACPEELDDV EEFLKKRLPLAN
SAPIO_CDS7470	MPTKVSPLLEEAVVDAMQTPAQPQDRQATEAATTAVIDHDQDHE HLDHDAEATTAEEIHQTIIHPGSVRINVEGAFIVESPPGSPTEQPVKSGRISPTQETS DIRLPNHTAVVSHIAVDIGGSLIKLVYFTREAHSTEPGGRLNFHSFETDRIDDCLEFM KHLQENQQRLNGSHPAELCVMATGGGAYKFYDKIRDVLGVDVLREDEMECLIIGLDFF ITEIPREVFTYSETEPMEFVIPNENIYPYLLVNIGSGVSFLKVSGPHKYERVGGTSLG GGTLWGLLSLLTGARTFDEMLDMADQGDNSKVDMLVGDIYGTDYGKIGLKSTTIASSF GKVFRMKREAESAAEDSGGATAFSLQNPAMPSEQATPADSESGRIPPFSRADISRSLL YAISNNIGQLAHLHSRIHNLNHIYFGGSFIRGHRQTINTLSYAIKFWSGGQKQAYFLR HEGYLGAVGAFLKRQPRNWGRRGSFEEAVAKDGIEWRMRERVAKEAAEA
SAPIO_CDS7472	MAKPKPKLRKSQTNNTKPSTPPKPPPPTWPPFKPPLPVIPLSPT PHPSFPDKIVLLPNFFPRSLCRSYVSFLRTLPLTTTPGRPRKGEAVRVNDRFQVDDPA FAERLWAETGLRDVLAQEEFAHLWGGQVMGLNPNIRVYRYSKSQFFDCHYDDSNPVAL PPNNTPCKTTWTLLLYLTSASDPDGCIGGETVFYPHDRQLEKEAVVVAPETGLVLLHK HGNDCLLVSSYYLSRDG
SAPIO_CDS7473	MAIDGSGETGGSGHLGVFNPAQSSGSPDDPKAELGGPSFRKNAS RTSGQKADRIENGSHQFEAAEAGQSTDVSPKDQDQDEVLVSLLSGPPGEAESKVPESQ PHFSDEPSFKRAGLVPTAPDPDWKKWPELTIRLGNIPYSTLPLDLYRTLEKHGGKIIF AEVLEDGKGYCRIGRVRFSPPPAKAFWEKSFIWMRSAGQNCKVLIEVEPPKRMMDSTV RSPINARLWYSRISKLSPISLDFGVMLGDATMLRMKSIEREFTPEKDGGLQLTVDLLR KQIVASFGVKFATRPTQFYKFVLDFHQLKKIHWRKAGPTAWELTIPLDYPPHYFWKRT NVEETFAKNATVWTEGCLWYRVTDIATDAGGIMFRQLSPYDSQEITDPAFIHLGRWTT FRFILEECTELTTVLQALGDFNVNIDSETEIKVDSRLSPPFWTLANLEPEMRRDLADK SETLAKIIETSSTILDKMPFEIRYQLDVCISRGALSEYAIDPEFLEKLGSLDPKEARR ILEYVADNEERISDPMSIFEMDEDTPFRMEALSLRVPHYCVVTRKVMVTPTGVYFTSP GLEIANRVLRKYRSLQDRFIRVQFTGELYEGRIYPRSESEQDDEMYKRVLRVLTRGIR IGARHYEFLAFGNSQIRENGAYFFAGTDEVSCDSIRESMGDFSRIKTVAKYAARMGQC FSTTREIRTISIPKIRHVNDITNKAGYCFTDGVGKISQFLAKMIVQELGMGCLEIIPS AFQFRMGGCKGVLAVWPDAKKREVHIRESQFKFPSKFNGLEIIRCAQFAVATLNRQTI TILSSLGVKTEAFLTLLNIHVDKHNNAQLSSRDAITLLRQQVDENQTTLTLADIILHG FKEPSVQEPFIVTVLNLWRVWSLKLVKERARIPVEKSAFVLGCVDETGTLRGHKKSTE GSTRQGRDLLPQIFLQITDSEDQRNATVITGVCIIGRNPSLHPGDIRVVEAVDVPALR HLRDVVVFPSVGDRDLPSMLSGGDLDGDDFFVIWEPSLIPPEWNYPPMDYQSPKPKEL DNDVHVAHLQQFFVRYMKNDILPTVALAHLAHADSFDDGAKNAKCIALAHIHSKAVDY VKTGEPAEMDRSLKPRRWPHFMERRAPRDMTYRSKKALGVIYDAVCNVTFTPSYTESF DKRILSKCPKDNALLKKARQIKTQYDITMRRVLGQREIATEFEIWSGFVLSKPRVGSA YKVQEEVGRQYATMKQRFRELCYDAAGGNSEEKIDPFVAAMYKVTEEEVKIALYERRD TTNSVSNGPKHKKIDAKSMPLISFPWIFHEVLCRLATGRSARTQAEKPTEPPEGVDQD QVTRLNDGRIIHRGEVLNLFTSMEDEDELDDGLFYAEQGPEQADALESAELADQVVEV ANGQTGGSEQLVELQVDSDTQDSPITQAQADDVVFETDGILIDISEPPQMPQQQPRLT MSSLRPNTEFSVSGSLENQGPQVEQSQSNTRPELTDRILVDIEDNGLEEETELAQVEQ SQSNTRPPEQMDGMLIDIEDNGPEGSVSGPPKESALSLLAELIYQGDGNQQEPDNQLQ PDPLVSTSETLTDLTNVPNGDLVNEEQEDSPGLEGGENVLIDFESPKKKPQLESEDAD ETLNDNHAQTESDTSTILIDITPVMKHAGRETPSTNSPNIKLMEEFFMTASVMMDKSQ FEGGDSGSRFGKGSTSNGVSSDTERVWGANINDKKCEGETAEDSESKGRVCIDLDQPV ADSDVLRGTHPKRRSLEDTEDKTLEYSSSITPEMFEVW
SAPIO_CDS7474	MSVGNCARPLLRSGRAASRSISHRQPISTSGPRYSQTSNNAGSS APQSSKWTGSSVMAVALGAGIAGWATASLSPETAFVAGDLLPRLGGHKTLLDAGKRPR YANLKDMEKALDEIRQAFGHEDIISTDPEDLYAHGYSEWSTTNPDGLPVAVAYPRSTE EVSILARICYKYRVPIIPYSGGSSLEGNFSAPYGGISVDFAFMDKIIQFNKDDMDIVV QPSIGWQDLNEQLAKQGSGLFFPIDPGPSAKIGGMIGTNCSGTNAVKYGTMKDWVINL TVVLADGTVIKTRRRPRKSSAGYNLNGIFVGSEGTLGLVTEATLKLAVIPEDLSVAVV PFPSIRDAASAAAEVMQSGIPVAAMEIMDEVQMKVVNMGGATKPRTWKEQPTLFFKFA GTKSSVKENINQVQRIAKAHKGGNFEFAKDEQEQKLLWSARKESLWSMLALRKDGEEV WSTDVAVPFSRLADIIEVSKKEMDDLGLFASILGHIGDGNFHESIIYNRTKKEERDKV EKCVKNMVKRAIDMEGTCTGEHSVGWGKKDSLLLEVGPDTLGVMRAIKRALDPHWIMN PGKIMDVP
SAPIO_CDS7475	MARGIKKHQKRLSAPSAWMLDKLGGTYAPKAGAGPHKARECLPL IIFVRNRLRYALNYRETKAIVMQRLIKVDNKVRTDISYPAGFMDVITIDKTGENFRLI YDTKGRFAVHRIQAEEAEYKLGKVKRVQLGRGGIPFLVTHDARTIRFPDPLIKVNDTV KIDLATGKITDFIKFDTGALAMVTGGRNMGRVGVITHRERHDGGFNIVHLKDALDNSF ATRESNVFVIGTEKPWISLPKGKGVKLTIAEERDRRRAHAAAH
SAPIO_CDS7476	MASTSPFADLPPIKIGKGGGIGRLKAILGITPNSGQLSSLDVVI ISIDLELPGQDRWDMHKFKTEGCGIAILDTRDLRDSSPRKSLNDLITTQEFSTKYPVK AKRLIPGRRCIFAPTNRIGQDQFRSVIAKALQVKDQDYPPTGRHARLRNIVLELYLYN AGNDATYTLVAMIKLVVKGSSSMNRLLKRKEEANIERLENLVFTDATPKVDDHGNPQE EPPR
SAPIO_CDS7479	MTPPRRSSLGMLLRRSKSGDLKSRKAKDAERQPSVSKVAPKLPD VYRGHEQLSNSFGTELRPDSVSIISGTTDLYSARPSIDPGRGPLTAVPAPPPVPNTPW DPYARTESMTHRGRYSYASSAISTINSPRRVRRRKDPTPFNILIIGTRNSGKTSFLEF LKTALALPPKKRAKRAEEDDFTPHAPPSGNFIPHYLETEIDGERVGLTLWDSEGLEKN VVDLQLREMSAFLESKFEETFAEEMKVVRSPGVQDTHIHAVFLVLDPSRLDRNVAAAK SIYSNGHNGISDARNPGALDDDLDLQVMRTLQGKTTVIPVISKADTITTKHMAALKRS VWNSIKKANLDPLESLALDDEDGSSASSSRIDEVDEDAVQSDAGSDSAPGEGLPIQGN EGQEAGQDPDDSVRRRKAEKEEAAEEDVTFLPLSIISPDLYEPGVIGRQFPWGFADPY NEEHCDFTRLKDAVFSEWRAELREASREQWYEGWRTSRLKLRDGQTRRRI
SAPIO_CDS7480	MFRSMRGRTPSRALQFGQHQQLSKPCHLTRGSLQRGPKAQFTTA RPRPSPYSSSSFEPLRPPSPASLGTPRAPKTYKRTRKWLRRLLVLSATSGTVYFIDRY LYASSLARTLRTFGIGALVALDYKINFRPQPITGGTVQDVHLRSAERLFDLLRANGGL YLKMGQAIAMQSAVLPPEFQRMFARMFDDAPQDEWKDVEAVIEEDFGKSVEEVFGVSF TGEEGKGLMERRARASASVAQVHWARLPDGREVAIKIQKREIAKQIAWDLWAFNYWFD LPFYTMIPFITERLELETDFVNEAKNSETMKKLIEGEPGIRGRVYVPKVYPEFTTRRV LVAEWIEGVRLWDKEGLTSMWLGGSGKGSPGLGNELQPPDFNHARRELRQNPLREKLK PERLEWKGRRGLGGLGLTSKEVMKTMVDLFSAQIFKWGVVHCDPHPGNIFVRRKPNGK AEMVLIDHGLYVYMNTEFRHQYCVFWKALMTFDHKMMVDITEQWGIRGSDVFASATLM RPYEGEETKQFLRELEGKSPSEKQFLMQQKMKASLKDFLADEDKWPKELIFIGRNMRI VQGNNQYLGSPVNRIKMMGEWASSSLYTDPNLPLKQRLVNAWRHLLFKTVLVATDIAF YFFKIRQLLGWGGGMEEEVDRHMRDMAHGFGVEIEESVFSG
SAPIO_CDS7481	MLRPATPLSILLFGAFALILLAVLSAPIISAIPLGSLGGVNFGV FGFCGADGCTDITIGYDPTGLLNTDESRFDLPTSVRNSLTTILIVHPIAAFLTLACFI MAAAAHLHSASHSTRYLLGIFILILIDFLVCLLAFLVDVLVFIPHLAWGSYIVLAATI MVALSGIFACAMRRTLISRKARQNRVAQNAEMSGENYYNREAQKQALALARQPTMPIL SGANGTGDALPAFASFENQQKEDKVSDERIPLTQRSPTQPSPNPMGSGSTSPPLGEPM RSNSVPPMQQDQYGNPMGPSPDDYALRRGPSFDQLSARGRGGMPPPGYRGRGGYGRGG YGPPRGGYGPPGRGGYGPPRGGGYGPPPRGGPGGMMRGGGRVPYQNMNNGGPYDRRPS PADAYGPYGRGPNDQYGSSTTIPSSTGYDSYNSDRNTLPRAESPPPLPEGTGTPLAEM DASPAGPTGNYAQPQAPIRDGDTDVAGMVGLQQARAGSARPTDSYVSEGSKYSAEDAQ GQYVPPRAAWNQGAGPGRHSPSVPSPLGVRRPEPQGRSTPDQGAAYYEDVDPRYAADP NARPAPQPQWAQGSPGGYGRPTPQASNPDFQNRRPQPGRSPPPGLTPARSYPAF
SAPIO_CDS7482	MSSTPPSGKRPYAGSPSSGTTSSMAPPDKKRQHLLSEDEEHNEQ EEQSPTLYTTAGTIWKPESEQSFQAPIRRGRAQRWSPHQEHGNRVSLLTRQLEMVGIG SPTRGGRPYLVSPTSQYSPLRQNNDRAKTPMHHPAFGVDGSPGPVSDSPPSPQEIMRR SVEIANSAMSPSVSESDIISRGIPIPRNNDNGYDSVDEALRKLPVKSLANLASYPNPN QLKAQNALSHARIRLAMEGANEPNNPSSNASSRSNDMAVFPNMSQGSGGSKISETDIR ALEDYAARLAQAKMSKQHYKPLLGGYSSSTLATGPGAPAPLRAGPPGQRQYFVPKAGS STGGSMPSLPHSPQKMHLNPGVRNPFHGAIGAGQDLFQGPRHAPTLNPVSGQHGGFGP PMTNASASGAAPSEPGFERHAYNHGHANSPTNKAGQPQQSPSYNLRPYPYSTNIQQGH PMGNVNSQGPDAGAGYGLYGATNTLPMGSTQQALAIGHPSGSSRGPNAYNGTPGSNMG VSALGIPAHQGGVRQRLNLNLPEDEAWSIEAQLLKPYGWDPSELNTRYPAGAVKMSDD QLHERQKKVDDWFYSGTARLGMTLDEFQEQQQQKQRKPGRNPFGAVADRRSMPKGKAA SQLKKSYKHMSIDEANKMSTAEHAEPLLNAALQSLDYQRQVAEARKEDADVKAEKEAA HADDEEKVDEKSGSAALLPGSIPSMY
SAPIO_CDS7485	MTPPPDKSAGRANGVLPISVTQQPADKNAKASRAKPKAAADGIK MSVRYLPPGMKESEFTAILGDQWKVGNGKVDWFFYEPGKQARTSGKPSRPSIAYLHLL KQDNVPLLVDTVRNATWEDAGNTYNDPALIFPPFVERCAFQRIPPAKERVDPRQGTID LDPDFMAFLEELANPKPAPAEPSEQDANTPAATTVTPLIEFLKAKKANKDKESSSSKG GKHSRQDTKTASKSKSDESKKGKEKSEKGEKPKEEIKILLKKSTADSAKDAKSTSGKK TSASATASSSSQPAAAAASPSSGDAAPKSRRAGIAAAARILQRDLGLSPGTAHRRARL DAAKAEAEAKTASSPQPATSTPATGSKNSSNAATQPATPTTPAANSQPSAGSGSKSQS SGRSRGRGSKNADQKGKGAENTANGSGPTAANPPILLKKRQDQTSATAQPSSSAAQTP APQKQTPGESSKANAEKNKGGSQKKAGAITPGATRGFVKHANPSQGVTEALLKQALGA FGAVTFVEIDKRKGFAYVDFAEHDGLVKAIAASPVSVAQATVQVLERKEKKPAQAAAA SSTATGSGTASTSGAAEKEKSGHRSRRSRRGGGGGGGGGSGGGDKAAANASTAAASGQ AASSGSKGGTG
SAPIO_CDS7486	MSSEDGDHPEGAPPERGRSQSNRDSAFDFAGLRIGPPRPRRNAF GESFAPVARPSINPANSVPQINGTSSASSSESLPPLSGPSPAPSPPTPASQNRTALIA ARGSPGEQRRVSATGTTTRPRPIPPPLNIVDAPHRSPRLDFSPRPGGDQQLPYAFAPI PGDSEPFPRFVDPALPADQNELQNAASSTNSSNTQTPTRERATGAPSPESASVRGSPQ RALLLPNCIDRDSSPLPSPQSNIQPVCTPVTVCGDIHGQFYDLLELFRVSGGMPGESE VEAPQSSTNVITSDDIEPPTSITNPKLKKKLKTSPDDASGEVGDEESPGPADAPKTEA GVVTNSSSQSADTRYIFLGDFVDRGYFSLETFTLLMCLKAKFPDQIVLVRGNHESRQI TQVYGFYEECQQKYGNASVWKACCHVFDFLVLAAIVDGEILCVHGGLSPEIRTIDQIR VVARAQEIPHEGAFCDLVWSDPEDIDTWAVSPRGAGWLFGNKVATEFNHVNGLKLIAR AHQLVNEGYKYHFPQNSVVTVWSAPNYCYRCGNVASIMTVDRDLNPKFSIFSAVPDEQ RHVPPNRRGPSDYFL
SAPIO_CDS7488	MRFHTLSALSLVVIGASAHGDHGSGSKQPAIADDANWMTKHMAG KFVLFLPPRTLPPLSLLDDMSELTLTVRIEEHHLDHWDAASFFSLHDFNSDGVWQPEE LLRTYGLFDKSNKDVPAEKRATILKELLRLLDRNGDEEVSRDELATFLAEGKTLPDMG TGPGHHGDDEYEYEIHHWEKYHGDDTELEDLTHPEDIEHFKLHEQMEQEEERMDELKK RSVIEENIPAMFLRPRKE
SAPIO_CDS7489	MSRSIEQNLLSLMPTHSSQLPPRLVDTARTLLTQSRQRASTLKA DEEVARMYACAHIACERLKITLNLPPIEPRPPIPPRVYKRLYTHLDNILPGRQVRSTP SKPKQGLSSPALGSAIKSVPNQGFDRSVSRSARKVSATDITLVDTPTKSTGRGFVKSI QPQVGLHPWIRGVLAFLVAEFRREDYGKFVLAGVEYAIAPGGRRTNDKWISSHLPATV AAIFVVAMDRLATILGSVEPDVESLVDIMKKTLDIMNHAREALDVKGLTEAEFWDGWT NPKLPDVGTAIKRVREDWIDADWYLALEDVASENVQRAKSKGKGRQRRDVRETMGIHK ADTLHQPRYNYLSEKRQEEYKVWLQSVAGRLADQGAGDLDDLETELGLRTVD
SAPIO_CDS7490	MVSSSVLGLSALVALVQAHGLVESPAARAPGEATAAVCGQNMVD FYKADGTSYPEALMRSPNWQNGITEDCDLYLCKGYQFEDNLENVQEYKAGDKVDFKVQ IRIPHVGYANVSVVDTAKNAVIGDALKVWESGYADGAKFPNLPADETSFSVTIPELGG QCTEPGACVLQWYWLGQGQTYESCVDFVVPGAAAEEE
SAPIO_CDS7491	MRTTRELQAELDERQVVDLTDNDSGVNSDADNSAFSDTDDGFFN RNRLTVIQRRRKKNRAQRRQIPGFNTDDDSGNNSNTDNDGAFSDTDDGLPNRSRLPAG RPRRVKRSEKRQIPIFTDDESGNNSNTDNGGLSDSDDGFFNRNRLPGARRRVVKRAEK RQIPVFTDGDSDANTNTDNDGLFSDSDGGFSNRTRTGVRRRHVKSSRRLGIEPRTDSE PDNKGPSQEPESEDEAKPSQSVGPPAESPSPVVGPAPISSATDPVPNVGDPTSAAPPA DTPAPIQTPIVSAPEAPSTSEGVGPTPTSDAVGQITGGTSTGPTLNLPASTNIGTGAE PAGNAQDITSGNSGSGMSRGTAVGIAFGTIGGLALIIAAVFFFIRWRKRRLDDIFDQP PPAGLQRTNPRTDTLVMDRAMRAVYANELGPDDKLFAGQETLDQRSLAEREVDQAHSP VDQPATVLPVPLDNASGRGSVFRNVNGWLQRTTSALSQRRDSMLFFTQPDAQTTGPPP VHLGGGGKYR
SAPIO_CDS7492	MSEPQGSLPAPASGVRSQYQHFVPQFLLKNFAHKYAPPDQDNTD SNKEKKNKKNKKKKQKGQKYEKGMYPGDPVVYNLDLEQDVLSIQETPVGRILGEVDMY RDPDKPTKEQQRIEEKFRGLERTVCGIFRRMVKELEDSKRGVMLTRLERDLVRKFLFL LKYRGPGFRRRFNHSVPDTYSENDRVLILEYMEKRGYSRPLDVWFEGLGAIIDLDMNK TTREWTKTLMSTMFFPDAVWFASHIDMSFMALCTVADDEDEYVLTDHSYNVFEGPNSF VRDPKTGKIEGGACRRFHEFAPISPKLMLVLRSNDLPYRGERLDHESRQNLRRVHEAS LQQWAVQPKSILHSLPVGKAETSNPYIIYHGKVQPEWKPKKDDRCRNVVFGTREGFFR ILEDYMTSTTPHHKVLGGDTVSSGRRLLGKFEALLKALGSEGTCYIPPEEPTETVDDY DKFMEVHIQKNRYFTELLQDKSREFKVEELLEHIPEASEYVDLPEFRKAAGRRPKPPR PSGLEYLDLFASKIDEFYEMMPQGMEVGGVYGVLGGHTRTIFYDMNQALRMLVLRIKI DAWSHGIDERTRVFNREYLTNLYLHLPPRRFYFYLQLCRRMHADEPDYDIEISTSSNL MPSPEECIAMGK
SAPIO_CDS7493	MLSNPLHRFSPYHTIPSTTLLSNGHVPNPHLHSTGLEGIPQGPH YALQQLQQHVGVNPHMARPGPQPKHRQHPYGPTARATGAAGPIRRRISRACDQCNQLR TKCDGQHPCAHCIEFGLGCEYIRERKKRGKASRKDLAQQAAAQAAAAAQNGQKGQGGD NSPANEQRPNSPPNVKNMQQVSNDGQVNPEQKVMNDLADDTMQRNQRTSSLDTIGDMH GQPHMTGHPGMERDHLENPAGLDLNGYGNVHTGYERQGMGHMMSGPSHTPYGPSQGNM SSYPDLPYGIQTQSPTNFSSNAAFRMGGNPLGGYPMGGEATSPGWNLAMSSPPAQFQT HMHQQPSFTQQSHLRYPVLEPLMPHLGNIIPASLACDLIDLYFSSSSSAQIHPMSPYV LGYVFRKRSFLHPSKPRQCQPALLASMLWVAAQTSDAQFLTSVPSARGKICQKLLELT VSLLKPLIHTPSGDVSPVSSPVIDGVALGGLGVALPGSISMDALTGESGAFGAAGTLD DVITYIHLATVVSASEYKGASLRWWNAAWSLARELKLGRELPPSQNPMNQNGENVNEI DADGEADEDINIPGVISEEEREERRRIWWLVYIVDRHLALCYNRPLFLLDIECEGLMQ PMDETSWQNGEFRAYANSNTDPNVLNSGAENVVQMSRIRGPHFECTGHSIFGYFLPLM TILGEIVDLHHARNHPRFGVGFRSAREWDDQAAEIARHLEAYERSLKRFEQQYLRTGN NGEEKIEVGNKENNGEHTGNVAEVVGSPSGHSVHTNSSNRMTEGDIQTRIVVAYGTHV MHVLHILLTGKWDPINLLDDNDLWISSQGFINATGHAVSAAEAISNILEYDPGLEFMP FFFGIYLLQGSFLLLLIADKLQLEASPSVVKACETIIRAHEACVVTLNTEYQRNFSRV MRSALAQVRGRVPEDLGEQHQRRRELLSLYRWTGDGTGLAL
SAPIO_CDS7494	MDPDPTPPAASSSKPGPSSGKKKGRRNTDPTAQKRRCVSTACIA CRRRKSKCDGALPSCAACASVYGTECVYDPNSDHRRKGVYREKAGIVKARNSTLHVLM DAIINSPEDEVHDLVHRIRTTNSLDAVAEAILSHQSLSAPEPDQPETGEEDQPAEVTV GGERDLARKMGELRLENGLVRFIGGTSHLIYLGEPSTVDPEPSTAASHSGEDPITSWT TVTKDPQLIIHLVNMYFNWHYTYFATLSKRLFYQDFFRGKHANPVYCSSLLVNAILAL GCHFTSVPGAYAVSGDSRTKGDHFFAEAKRLFVENDEYEKPRLTTVQALALMSVREAG CGREAKGWVYSGMSFRMAHDIGLNFDAGAMGSERDRMSEHEIDARRITFWGCFLFDKC WSNYLGRLPQIPQNSYSVPKYDVFPDEDAESWSPYTDNGFDQTSKQPARTRAIGLELS KLCEISSDLLIFFYHPTHIGKSSGRSAELKKLSELHRRLEEWRKELPKEFEPKDGQLP NVILTHMFFHLQYIHLFRPFLKYTPAASPLPAHVSPRRICTANAVAISKLIRLYKKNW NLRQLCNIAVYIIHSACTIHLLNLPDKTAKRDIIHGVKHLEEIAEDWLCARRTLGILS VLSRKWNCELPDEARSVLQRTDEKYGTFSTSEVPSPSSGAGLLLHADSPSAAEYASMG ITAAAKTNQFASMLSFEQQIQVNERLLAQTAASSLAATANANTTAPTSNPALTIPVTQ QQISAALSIAGQEPLPGTNDWASLRTTPTLPNYPAHPGFSPMPRGSGLTSAQTSRSGT RQVSPSNIFQEQGIDGQNWYLNDGVRWQQNFESWDMQVGGTPAAAMGSTPTTSGAAAA AAVASQAMSQGQSPILAADHLQQSQQQQQQQASQQGFSFRTGAGRLGMDDASFGASFD ALAALNGSAWLSGLD
SAPIO_CDS7495	MASSTSSSRLGHLDATTGRPVVFCHACHNEWYGDERGQDLCPAC GGDIIEIVNPDNDPRDMDYDDDLTASSPDLQPRRHSEDSDPEEADIEEHIHPAHPAGF FFNRPGWPEPPADHRRDAWPSTEDRDAHDPELAESEAATLRRFLDMAGSFGVGRILPG MQTQNRETFTLGGGSVRRTTFTSGNGRHTTSVTITSGPIHLGPRRNGGGGGDDTAAFD QYVPAFDRTMLGGMVPRPPPPPPPGREGADGERATGGAGGADGAGSAGAGGEGNLRDP ASELLISLQNLLGLLVDPANARHGDAVYTQEALDRIISNLMESNPQSNAAPPASEGAL ANLERKKMDSDMLAGVGGSVECTVCIDEIKIAEEVVYLPCKHWFHEACVVMWLREHNT CPICRSSIEPNNGSRSNNSANNNNDNSNTSNRNPTNPLGFGLGGGLPGLQQRQDSQSR AQDPRGRPAAFGWTAFLDPPSGRRSAVGTNLNLRDTLRRTTTGTSADRDQRWPNVGSR RSSMSPPNTADAGSRTRTRSPSTNSRRSAPSDQDNQRESGSRFSWFRDHFGRR
SAPIO_CDS7496	MGGDELPYALDAETPLKESELRVLRDQFEKEGEMVSVQTKFNYA WGLVKSQSRADQQLGVRLLSDIFRVAPERRRECLYYLALGNYKLGNYGEARRYNDILL DKEPANLQASNLQTLIDDRVTREGLVGVAIMGGVAVAAGAVGAFLLRNARKR
SAPIO_CDS7497	MLLSEDPATLIEHTIKNFNIAPDKQAVSRINESLSTLGQARELR AKEAEDAIRRLARSLKTKHSQHEELVSSHSSTDHASEIARLDTQKFRTAKAASDAEME TERLALQAADLAARLQELELQGVDGGESARRRDPIDDEVLLRLKVYRSLGIEVERDEK DGEFTKAIIRNDRKGDVHVVNMDKKFSRFFYANYFWQTV
SAPIO_CDS7499	MSMSISSDSAPDPDLNTIPLLSLDSTTLFEVKTVQRHRKRPTSE TRILPVLSLPFMATPAIFPPALIQQWIEQGKARLLPDQQVQVDLMAMLAFADREYTGC DDDYGDLVFPTHWNTAAVLEYVGFSKSRSLELLHAYHQTVEDGPTNFTLCEYAIEATI GATPALSNADSDWRAYMESLGLSEATIQRQMNDDVRKVRASSTMDAWIWEILQVDTAR KAVHLNPPNNPLSKDLRAKGKSNRRSGKMEQSRLVLAFKTF
SAPIO_CDS7501	MRGLTGTALAVLPVLSGLGYVNAQETATALIPLDLGDYGFDREL TLLFTLFESDKPCGLSNIQLNGQSLATLAGHSGRETIFEDYGSEVITNWVLQCIDVDD KPVRESITIQIDSIDGTHLEDVIFTVNFHQSSPVAILSVDGQATSAPILDISSTQRDF WAPASENDDPDSEVQAAIEEIQLLRYQQREIAHRIKELESFVRQSESGNASSCRGKVR CIMKHFLDRVAGIAAKAYSNVVGSDSDSAFKSPNQTSLHGDGEGSNESSTRLHIPLRR PSWRPLFCPCSPDDSTSHLPKTPSDDSTLPPLPITPPLGDDSPSNPDNSTQIPGDDGG IGNGKPGDSEPDSKKGGTKPIQSDGRSNSSPTDSLMPALVFMMIVASIFCAVRYRRRI AEFHQERRRRRLERHRRRREAALAFVRRLIPGLPNQRCTDMEQQQQQQQQQHQQHYQQ VDIPDVPEPTGEMQQRTRRSQSDASNTMEQDLAQLRAAVAMVDNLVAGGDAMRETAVV DRELDPLLTSMRGRRGSMRESAGFAEYSYPAMHHDLPPAYESEEEGSSAMPAISDGFR RGQGMTPYSPGYGTSTARSSADELGYRKP
SAPIO_CDS7504	MVESKAAAEALIPKFKLVRALNQDQAGRRISLLGEIDSQPALLI LERAPWPTDEEYLGSVPGTLARLANLGANDIYHWYMAANGAGLTAKPQDSGSFFADMK LNLIYPCTEAHIKKYSKQGVRFVTETPEIYRDHVRPYMQSKREQGRLNWAYNIIEGRK EVEDVIYRTELGKAGDEGFLLLPDLNWDRKTLEALHLLALVERRDIWSLRDLRKKHIP WLEHMKEKVVAATVKTYPSIDADQLKLYVHYQPTYYHFHIHIVHVALEAGATQATGKA VGLESIIETLKVMGADDEEAGMDSVAMSYTVGEASELWEQVFGPLKQKKTKTGIDPR
SAPIO_CDS7505	MVRSRAARHLLALSAILLVAWYSLWHWDYLARPSSPDAPPKSSQ HGGDDASARLESALVPFEAHVMSKCPDARDCLREMVLPAMQRVHDKVNFTLSFIGTPT DNDGVACLHGPQECMGNILELCAFELYPDPKISLGFTMCISRDYTHIPERTLIQDCAL EHAIDFKALNECASGDDGAHGVDLLRKSVIRSKEVSESR
SAPIO_CDS7506	MTVAKSLSVVVTEARTLPTPLAQYLSAFHVDSKKLLDLTRRFEA TFRHLAANSQNQFLPTPILTSLLRPIGDFSKQEGCLNRQLAIDITPSIRIAAIANDSV ATLVSFIYQYGETENRVPAMGLIVGTGCNATVTLPLEIMANKISSVSFGSEISSGPKH NRIAVNTEWTINGTAVPLRELGLITKWDEELDRAGESPGFQPLEYVTSGRYLGELGRI IFLDYLTTELGIAPALLPSALLERYAMSTTFLSYFGPPNPRFLEKLENAFPTATGPSH FRWNESHVEALYQIAQGIQHRAASILAAATISLLRVAGEVPPVPDVKSASPPRNGVKK LGVGYTGGCIVNFQNYLYDYEKLLSQIVSEEFGPQIPVEVELKPCHCGGITGAGILAA AVLASEGQESKS
SAPIO_CDS7507	MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWNEWLDPSIKKIEWSREEDEKLLHVAKLMPTQWKTIAQIVGRTANQCLERYQKLL DEAEAREGLSLTGADAETRAPTAEDVRKLRPGELDPDPETRPARPDTIDLDEDEKEML SEARARLANTQGKKAKRKARERQQEESRRLAALQKRRELKAAGINVKVTTKKKGQMDY NADIPFEKKPAPGFYDTSEEMAKNEHQRTHFDPRKVQLAAKRKGEGDENADRKRRKDD KDAPSASIQAAIKAGQMQRIREAEQSSKRRALVLPAPQVSDGELEEIVKMGVMGERAS TTARESENTATRGLISDYSTLNTNAPIRTPMAPPQEDHITNEIRNIRALTQTQSSLLG GENTPLHEGSASTGFDGIAPRKQVVATPNPLATPLRAAQNGVGATPLRPGQTPMRTPR DSFALNAEDGVSLASATPRDIKTHNLAMRQQLRKGLSSLPKPKDTEWELELPEEKEEV FDDEGARETDAAERDRREREIREAREALERRRRTQVMQKNLPRPTYINISELLANVDL DSESPEALIAKEAAALMANDAIKYPVDGIKVAGKAPTLQTLSDDALAEAGLQIMLETK PIPSLQVIQDTFDSRNKNAILLGLGCYDDDEEEEKVAAVTSAFDDIQNEIQETAQRNA KIEKKLALHLGGYQKRQKALRERIVTAARDLAKAENALGAFKTLAVSEEVTIARRLEA LREEVGFVSRREREAQEEYRRLKDELDGLAAVTNGVY
SAPIO_CDS7508	MGGLSTGAERDGRGPNPNNIGNDVTETTRLLDGATSNATSVGPR YTWAGAEDFDGHPWWRKPSVYWLVGPFFLYTLAFGGIIVPKLNLIISLICREYFADQT SKNPGLVFPPVILGGNNEECQISPVQKTATKMTLVMNLAVGLLSAISAPKLGHLSDRY GRAKWLALSSCGGIIGEIITIVAAKFPDVVDYRWIILGSVFDGLTGSFTAGTILGQSY ASDCTPPSKRSVAIGYLHACLFTGLALGPILSAKFIKHTGNELVSIFYVALGCHVFFV LFVLFVLPESVSKRRQLLSREKYSKELEARGEDNSWLSSLRHRNPFAPLRILYNKHPS ATSRFRRNIISLALVDMVLLGAAMGAGTVIILYSEYVFKWDNYRSSQFVSLVSTVRAF VLMAILPLINYIFRTRPAARRRRLFPGRVVETNKGCDELDIWLIRTALISDVLGATGY FFASTEPLFVLSGIVTAFGGLGSATIQAAITKHVPPEKVGQLLGAVGLLHGLARVAAP LAFNGLYHATLDTYPQAIFILLAALFSVSLAASLIVKPHIYVRDDALPPSPAPRPTTS DSALEEELLI
SAPIO_CDS7509	MSTSTSAQIHFGPFEVFLTTPHSFALVNLKPLLPGHVLVCPLTR HKRLTDLTTPEITDLFTTVQLVQRLLARYYFRPTPTSTGATIPAPPEEGSFNIALQDG SEAGQTVPHVHVHVIPRIRDVSAKDPSGEGDALYERMAAEEGNIGGALWDRVALEGRE RPVAGGGFARIEDALRQGRSLEDMVREVEEYKKVLRDMGA
SAPIO_CDS7510	MSSIMALLPISSRRRTLLLATSATATATAVLAFRYRISSATRRN ELALAQAPARPNFHVTVDRSGGGI
SAPIO_CDS7511	MFSSLLRRKQQTPSRRVHDRDRSDPLSKPHPLSASPGPASRSYQ GARHATADFTEVDDDDDDDSIGGDGLGPYQLDPIDEDGPHQPPILPLFSASYLDSLPI YSITHAIRIIIQARTETTLSWDQLRSPQVSQFLIKPMQQLIRTHHFSRATVYCLMANC LQFSKEGQMYPGNAGTSSTRAKVCELLALKLLKEYTTRELIDVLSYDFYPLQGMPGIP PPSNSGLKRADSRLGFRAAAGRTSALEVAIRASAKHFLAHPLVVQHLEAIWNGAISFY SAADSLHREVRPASAFAGPKRQPSKPGVRTPLLGSQSSRDDRKDTAAVGRRTVTLYDP RQASLFKLSRLRVPRYRFFLSTLSLAVLIGLFLAVLSARSTRITTLELIFWFWSAGFM LDELVGFNEQGFSLYIMSFWNIFDLGILILLIIYYCMRGYGVFLVDPHHWNQMAYDVL AANAILLLPRIFSVLDHYPYFSQLLIAFRLMALDLAAVTVLVLISCSGFFVFFTMSQD NSDPADVAYSIFQILMGFTPAAWQVWPTYNWLAKALMVLFLIISHFVVVTILITVLTN SFMAIASNATEEHQFLFAINTISMVKNDALFSYVAPTNIFAWLLMPLRYFMPLKHFVW LNRLVIKATHFPLLFCIFFYERYWLASSMFEPTDLVENPGRSRPRTISFADPASRTAV FSPNLRVREESLVGFQKDHALEEVFRRAPGLNTLRSQRRNERRKTQNAIRNWMDQHDE AGTSPVNWPTLDSNNRGSEWARRFSLGRDRPHRLRQVSDVRSAASDPADLISNSGMGT FPRLTSRSRLQPLPSELRDLTDADGDDELVTNDEEEEDNVTNTNTGGNHEDDPTGSME EDDDDEDYFTTPMASKFHTAAPSSFESSSQKSAGKSPRSAKPRRGLHSRTLSTNTILY DPQDPRLARSSSSADMDKSPLTRQAFNRNLDFDSPPPAETRSPRRTLYMTPRSRPTVT QRPSAVTPRSIPRIADPISRLTQARRLSSIDTGPGSESTNLLGNDANIEVPSSFQTQM AMAMMKQGGAAAADAADRDRMGRLMLARMKTLEESFADVVKEMREFKSSSTAPPTRRN SASEGGGGRNAVAMIEVAGKDRRRKAKSTYGARKRVVVASTAARRPFTSGSARAENSA KDKGKGKAVAVASESSEEGDAEESFTEDHFTRRGSSF
SAPIO_CDS7513	MADVKVTLPASARIFGAEDEGFMCLELEDGSAFQGYSFGAPKSI AGELVFGTGMVGYTEGLTDPSYRGQILVITFPEVGNYGVPTREAFDEILGGLPAYIES SRIHIAGLVTASYSGEDYSHFLAESSLGTWLKEEGVPAMYGVDTRALTKRIRNKGALL GRMRFQKKSLVNGTANGETSGRILPLALDNFEEVDWVKPFETNIVAEVSIRTPKLYKP SPAVARKHPSGRNLRVVCLDVGMKYNQLRCLLRRGVEVLVCPWDYDVAQEVGDEFDGL FISNGPGDPALLDATVQNVTKVMERNRVPIFGICLGHEILARASGADTIKMKFGNRGA NIPCTNMITGRCYITSQNHGYAVDATTLSPTWKELFINANDGSNEGILCINRPYFSVQ FHPESTPGPRDTEHLFDIFLDTMSKCAEDPTNLQKPVKFADEEVAENFFSGNPPKRNP RVDVKKVLILGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSQGLA DKVYFLPVNADFVRKVILYERPDAIYVTFGGQTALSVGIQLKDEFEKLGVKVLGTPID TIITTEDRELFARSMDSINEKCAKSASASSVEEAMRVVNEIGFPVIVRAAYALGGLGS GFANNEEELLDLCNKALAASPQVLIERSMKGWKEIEYEVVRDAEDNCITVCNMENFDP LGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPDSREYCII EVNARLSRSSALASKATGYPLAFIAAKLGLGIPLKEISNSVTRSTCACFEPSLDYVVV KMPRWDLKKFTRVSTQLGSSMKSVGEVMSIGRTFEEAIQKAIRSIDFHNLGFGSTKAL ISIDDELQTPSDQRLFAIANAMYAGYSVEKIWSLTRIDKWFLHKLKRLSNFEKAMEKY TTSDIIKSPAILLEAKRLGFSDRQLAKFWNSNEIAIRRLRQESGIAPFVKQIDTVAAE FPAFTNYLYLTYNASESDVDFDDQGVMVLGSGVYRIGSSVEFDWCSVRAIRTLRDLGY KTVMVNYNPETVSTDYDEADKLYFENINLETVLDIYQLESASGVLGAMGGQTPNNIAL PLLRAGVHVLGTSPEMIDSAENRYKFSRMLDRIGVDQPTWKELTSFEEARSFCEKVSY PVLVRPSYVLSGAAMNTVYSEKDLENYLAQAAEVSREHPVVITKYIENAKEIEMDAVA KDGKVVCKYISEHVENAGVHSGDATLILPPQDLEQTTIDRIVEATEKIAENLNVTGPF NIQFIAKDNDIKVIECNVRASRSFPFVSKVMGVDLIEIATKAIMGQPFIENPPSDLPP DCVGVKVPQFSFSRLSGADPVLGVEMASTGEVACFGVDKYEAYLKALISTGFKIPKEN ILLSIGSYKDKKEMLPSVQKLQRLGYKLFATAGTADFLQEHGIPVQYLEVLEKDLEGQ KSEFSLTQHLANNMIDLYINLPSNNRYRRPASYMSKGYQTRRMAVDYQIPLVTNVKNA KILIEAIARQFDLEICKRDFQTSHKTVVFPGLVNVAAFVPGLVSPGNDDLKTITKASV LAGFSMLRVMPLGLEGSITDALSLKVAQQNSKAGGYCDFNFSVAATSDNAEQISQVTG EVGSLFIPFNHLSCNISKVATVTAHFESWPQLKPIITDAKLTDLASVLLLASLHDRRI HVSSVTTKEDIRLIALSKNKGLKVTCDVSIYALYLSQDDFPGYEKLPTAEDQRALWEH LDTIDVFAIGSLPYQLAASRGYKPDASVGIAESLSLLLTSVADGQLTLDDVKTRLYDN PVEIFELHDQPGSSIEVEVDRPFQVTTEGVSWTPFAGRTLKGCVQRVTFMGKTICMDG ELLDGRPLGQDVSSHIPPSHTVLPLPLPMSPPMKPLQPFESPLDLAGRRASFLSPGQR PFARPKMLEGMGAGLSPALRPSPFEEAPAPVLPGQLQVQGHQAAAILDLISQPSPFKR SHVLSVKQYTRADLHLLFTVAQEMRLGVQREGVLNVLKSRVLVTLFYEPSTRTSSSFD AAMQRLGGRTIAVATSQSSVNKGETLQDTLRTLGCYGDAIVLRHPDESSVNTAQKYSS VPVINGGNGSKEHPTQAFLDLFTIREERGTVEGLTITFVGDLLYGRTVHSLVYLLRHY QVRIQLVAPRRLALPAELRDQLIAEGRLLRESEVLTKEILADSDVLYCTRIQKERFPS EQDYEKVKGSYRIDNATLKYTKPETVVMHPLPRNEEVAEEVDFDQQRAAYFRQMRYGL YCRMALLALVMGP
SAPIO_CDS7514	MIQRIVPRIDVACTTRMALHPLQDEKLIFGPSEGKAPQFTLGTL HRADLNPTSPIPQFHAWYTQARAAGLPAPQTCVLSTASLPSGRVSSRLVYLKELDGAG SFVIYSNFGTSRKAEDLETNPSASLVFWWEPLQRQVRVEGVAERMTPLESQEYYDTRE RGSRIGAWASKQSVVLRPKGETNGQANGVKKEGGEEQGKQEDGDVVEDDGRARLEEWV ENVEQRFEGQEKIPIPDFWGGLRIVPDRIEFWQGRKNRLHDRFVYEREGGPVEDGKKG VKDAKWTLQRLSP
SAPIO_CDS7515	MKFSTVAALAAAIPAVTARFTEPDEVNHVMLYPEATEAETYLIE LSPGKTKRVTEEEKWELRRSGQRFMDISDTQDLGARNLRARSTVQFPKKTKKQASVTP LLKHLSKDEMKGNLEKLTSFHTRYYKSDYGRQSSEWLFSRIKDIISEAGADETVSAHT FKHSWPQTSAIVTIPGQTNSTIVIGAHQDSLNLWLPSVLPAPGADDDGSGTVTILEVL RTLLKDKDVVAGKAQNTIEFHWYSAEEGGLLGSQAIFSEYEKEGRDVKAMLQQDMTGY VQKTIDAGQPESVGVITDYVDPGLTKFIKTVIEEYCEIGYVETKCGYACSDHASASKA GYPSAFVIESSFDLSSPHIHSTADVISTLSFDHMLQHARMTLGLVYELGFNDFGSSGK VGDGEL
SAPIO_CDS7516	MRRSLSPLVRLPANAARHRALTGRELRQGLCTLPARTHPALASR GRISPIPQTPAHNRTCVRQTSSAATATVQNVNNDDIGPIQEYEARVAQGTLRNDDHQR GIIQSLQHLHDELVNYTAPEVQRPTIESLKPAKSFFGGLFKSGPGQAAIGAIPANLPR GLYLYGDVGSGKTMLMDLFYDTLPSSIGSKTRIHFHNFMQDVHRRSHKLKSQHGIDID TIPFIAADIAQQGSVLCFDEFQCTDVADAMILRRLLEALMSHGVVLVTTSNRHPDELY KNGIQRESFIPAINLLKNRLHVINLDSTTDYRKIPRPPSGVYHTPLDAHAASHAEKWF RFLGDPESPEPHPEVQTVWGRKIYIPRVSGTCAWFTFDELIRQPTSAADYLELMRSYD AFIVTDVPGMTFKERDLARRFITFIDAVYERQAKLVLTTEKPLTELFVSRDELAASLK DEGNGQRESADSMIGHFIEDLGHNVEQLKNSPLFTGEEEAFAFARALSRLSEMGSRQW VERGMGLESQGGKKERDDWTKMRSRRMEDSM
SAPIO_CDS7517	MKITQHLAIAGVLAATGANAATHIVKAVAKPSFAFQPNTVNAKV GDYIEFHFGPANHSVAQGTFHKACQPSSQDGFFSGYLPVAEGENEKVFKILVENDSPF VFYCTQGQHCASGMYGVVNPNMHGSLETYKSLVSALEDKKGKEPQRAAPFGGALIDNP SLSNTNTNTDDSSTEINTATSRGQSQTASATSATLTRAASTTFIPINGGQGQATGAVT NPSATSIPQAAGGKVRTPVGILLGAVGLAMLGI
SAPIO_CDS7518	MSPSNRSANLARIRDNQRRSRARKKEYVQELENRIRKYELHGVE ATTEVQVAARRVASENKKLRALLHQNGVRDDNIEAYLYSATTPPLEGSPTGRGIGPGQ SVNRLEQLLLPRRLSCASLSVPLPSPQGRSSREPSVASDSAGHSSGTWEPGPASSTTG ASPISGGVATSPLPRPAPQQHAPMQIPSSRPQQVGSFGIEVTQPSNSFSSYETNYESA IPLPYLQLPSPPNQQIGTCLNSPPLEFSRRGVLVLSNRGFDRIYQHIIGKSSQNKILM AVVLMDHGRGSRTTGLVKPKRPEQPPLSSSVDYGINRMSELEKFDVENACRIFYDLIK SALAHWETSKAAWQNFVDILEWNKSKMTSSELGALAHPLREDVEHYLERAWRSLDTAT EILARVASLQPTLEEDLNKGVIREQQQYYLLMGSHWTRCLRQMSNEMDGMPSFYGDFS DIPPKIDILFWSTMASRYYHRKIQTAKLDKKYSRYWMDRYDKFVNYEPADPRPDKDPP DGEDVIMEDSPEDGPAWETDDEVKKADGSTASVKRRRPNFALTKRTHLTQPSQYGHGE FEKFCDKLPWNKVVKKRKENQDPEDAKRKKEHGEDPNPDPGAYVPAGDYIPVLPPIPP NRTEEDNFLFYFRDAGDKGQDEYEEALRLGPAEAAAPEEDGDDGPKKKRRKVETPVPK DNAALWEEFWRKDAASFLYQASHQTTLPGFPEFDVRAWTRSRAGRPGSKVSQLKSSDI PPPLPLLRLRSKKRGAKKPETDQPPASKDKQPTIFTKFDLEYWLERINRVPRRIGRSR CWVV
SAPIO_CDS7519	MSDLDKAIAQLRACRPIPEAQVREICHKARELLIEEGNVVTVTA PVTICGDIHGQFHDLMELFRVGGDPPDTKYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECLRKYGSANVWRYCCDIFDYLALGAIILGASNYL SSEPEDKDIEIQVCDQNGSVMSRFLRRTQEANSSLSQQAKASNGAASGGKTGPPGSGA SGSSGGTLGNPAGAVLCVHGGLSPLIDTVDKIRLLDRKQEVPHEGAMCDLLWSDPDEI DGWGLSPRGAGFLFGADIVKVFNHRNDLSLIARAHQLVMEGFKEMFDSSIVTVWSAPN YCYRCGNVAAVLELTEDEGGTGVFQRSNGDVNRSDGGSGGFRGVMVESEHEPRSGPAR RYRVFQAAPQDSRGMPAKKPVADYFL
SAPIO_CDS7520	MKVFTVLLVAAGVASAATVPQNSRHGTLVVRQNSTETSTDAEEA DVDAEVEDEEENSEVRTQIEDEDVSSQTSSLNFDDFSLSDFINLGVTDVLNIDNLSQH ELDLATVLDAMLNGLGFSGLVSIDDFIGFGFNAQLQMFLAFQQIAQLLSIGRLGINDA FGLIRGNLISAGFTGFGNIGGFRGGFNGFGGLSGLNGINNLNVEDLAASLGINVNDLN QGNGGNNNNNNNNNNDNNEEEEEDLSDFTR
SAPIO_CDS7521	MKFTSIFVGALATLAAAAPAPKEAEEKRGFSLGGFNQFATGVQF GSGFDVLGANNFAFNNLNIAYLAAFNGFRNDIFSSLIINQGLAFDPFSDLFAFGAGNQ FLQLNHILGLQSALLLSWLGNAGLINGVNFAGGVVPFVDFGTLGGFISPFSQFSLGID QVITTQITSFVQPTGLLSAGTLNSLGFGSGVFKE
SAPIO_CDS7522	MALPLPVGLTPPEVAFLCEMELVTVIPRQRLDSIDLLGGATPEL RPPRRSDIPLWLALLLKKQRRANIVPPPWLHPDSLTEIIHHETKIDPEAFSPPPPPPV RAGGRGTTKRVDPETTDPAKEPILSPPFLPSCTADAPPSALPYHWLELSEILLAHAAD DITAATEVRTLLRDLREVRAAKMRASTGQLESGVAGVMSLRGVGAMELAENRGFVLSV MDGVRKLGASAEASRREEEEAGGGAGEEESDEDMEL
SAPIO_CDS7523	MASDPLVTHILGYGLVRVFPFLNLHGGAQVTPYNAYHKCPDEMA HSEISIYDDAYVSYHQGTGVNAQNDSGDADDCISLVFTQALTRQCVKCGIRDHAHTMH ELACSHHLCRSCLIVSVGMGESHSIWSPKRIWAASLALFDVNSPHYAATIKEKGAVIT ELWFQFNWACCGTVIPFSDFLDEHGRACLGRDWSNALVRSWSYVIRRIENNGRYIVAN PTGYRKVDATLADHTQLPIGHEPVHGSSNAPSDGEQISIGGKFGSNRPSGGNGQIISP LLSTTSLASETIRPLAKFNLSKNVRKILADVPGFEYMRDSKWEKYQAESEISSPESLK TISDSPTIASEDLESPPTSFADWQSVTIKGVYDLDNDAQSFSSDVVETGDEIQHPGLL DGHLGLKPLVPSDNLDSLSEDSGDDGDCEDDSEDDSEDDSDDDRDDDRDDESDGDSHE ETDDDEDDDYDSEDGEYDEDEETEEDVGNSPQSSPLFFSDEEAH
SAPIO_CDS7525	MRLERRSSPPCPGADGQEIGTTGLKLVLACGKTLDGEVIGTPES TTLSQCADFCGTFHPRCEGFNYSDADGCQLIGVPASGGDIPVRQTRLTDSGRARYPTL AQSSCRDGKQLTQGSAGSFDAKCGQVINGGDLVQRHHPTFDACSADCGATAGCVAFSY EASMSRGFNNCYLKSSVPSGGMSFVDGVDTGLFAAANAQAPQSGVPAPSGFMTIVPAN NAIETPTGNLAANPTPVTPIGDISQGGSPVDGNPVVSVTQVVTLPADSNNDGGNGGSA SLYRGSSSGGSWKSVFGLGSGKSRAPPMQGGTGGILGSSRTSSMQRLGSSDGRSVPVY EVKGGKVALRETTTEVQRPMTGLFRSN
SAPIO_CDS7526	MASQSPTVERMLFDVGDRCAKMRDPNYVNLVVSILVLFLVFFRY DEARVPDEELVEEQPKWQTAIFVAAGCLVHGLLVIAITGVFSIALPGKLQLWANFLGM MASALAAVQYLPQIRTTYTLKHVGSLSIPMMCIQTPGGIVFAASLFTRLGWEGWSTWS IYILTAIMQGIVLVMGVYYEYNRPLEILTSPPLSPVYRPRRPGPSRTYSEGWEEGLPG PYTAHPERYADTPEEFERLQAREDRQVERETQPLLKPGGIGHAHKHGASYNSTRN
SAPIO_CDS7528	MAYVAPIHKPTSIRHAISALLPGIEGKSLVLAKSNRLEIWKVED DGMVLVHSKIIFGVVTVLQRLRPKGSETDLLFVGTDRQEYFTLAWNPTRQLLENVQEL HDQSEPHMREAECQFKCVVDPSGRFMALHVWEGVLNISRLIDRGDSKHFIKFLEQVRL TELFIKSSTFLYSQTGPRIAFLYQTRIDEEDSKLAIYRLTADDRHAIAAKFEPRERQL DLTVPDKLSRILIPVPIVEDENKRYHVRNVSSSRRAQPHLGGVLVVGETSVLYVDSQD FTTVESPLPEGDLFIAWGSYDVTRYFLADDFGRLFLLTLTTDGSVVTGIEVAPLGPAT TSRASSLVYLGDCLLFVGSHYGNSQFIRVDVDALKAEPAATPPSFSNNAPILDFVIMD MGNREGDSQGGNSFSSGQARLVAGCGVFESGSLRSIRSGVGLEDLGIIDDFNHVKGLF SLASNRENKTDILIISSITDTRVFRFDKDGGIEELTSFRGLKLDRRSILVSALPSGQL LQVTCNEVILLDTESGVVTSSWEPPKTGGDEGSPDATEITTASANDDWLLLSVNGTQL VSLSLREELKAVSKTLSPITGSEKDDQIACLHASPTLPNVGVVGFWKSASITLCDLKT LAPIHGESIPRTEGSASVPRDAVLVQVHPPNVSGPTLLVAMADGHVVTFNVSKEDFSL SGRKSVILGTQPPRLHILPEAGGFSNVFATTEHASLIHSSEGRLVYSATTAEDAIYVV PFDAEAYPQSIIIATGTHLKLSQLDTERRTQINAFPLGETVRRLAYSPTLKAFGLGCL VRTLTTDPPEELVQTRICLVDEVMFELLGEPYLLPTPDGHEFPECIIRAELPDASGEL VERFIVGTSISADSTTGNAPAHGGQILVLGVDSDRKLFKVTSKLLQGACKSLGVLGDR IVAGLSTSVIIYRYIEESSTSAHLQSLIAYRASTYPIDICINGNIIGVADVMKSLTLL DFEPGSGKTPPKLQLRARHLQPVWATAVCHIEDESWLESDSAGNLMVLRERTDAVLEQ DRQRMEITSALNLGEQVNRIRPLNVMSSKNAVIAPKAFLGTVDGGIYLFGTISPQHQD LLLAFQSKLAKFVESPGGTNFTSWRESRQRTEHKGPFRFVDGGFLELFLDMSEETQEL VCEGLGPSVEDMRNLVEEIRRLH
SAPIO_CDS7529	MATLTNASRVCLRGMTKQTALASVPVRALATSASLQDSAAPSAY GYQATFKGQSKGAQIPNFGNYMSDKAPATNKLFSYFMVGGLGAISAAGAKSTVEEFLK NMSASADVLAMAKVEVDLNAIPEGKNVIIKWRGKPVFIRHRTESEIAEANKVNIASLR DPENDADRVKKPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRKGPA PLNLEIPEYEFPEDGKLVIG
SAPIO_CDS7530	MKQSAAILAALVAFAAAEVPQEKSHQSIITATQNILQLNNRFNI VDPVFGLLGAAAAADGAGDVTNLDCLQQIIADEAFTNALALDDENERITGLANALLYR ALEKNTGSVGLASVDCNETANNAEIAAISQHQDPASDNAQQINKDIVLNLAVQLASVG ADPTLALLSGTFTPGDVNDPTGAGNTCDDDDAVGCIFTKNLIVADATIDEINQAVSDA MGAGAPQADADAAENLDVLAAQMASGQVSAAASDSSSEASASASSDGASNGASASASG NLQAFSGNLGGAAPEVRDSGDANRPFETNGVTFQNIGAAIQRSCAVQHNACANAANSG QIDASVSDCDAQEDQCLAQGNLAKVKRGKIVKLRQAGALDFGSCSDPTIQFAEGLDGR KEAAFAPNNKGDFSQGSALNINIITSFICGRLRDSCKADDATIQACETGQAAAQGQQG QAAADAFNAALGL
SAPIO_CDS7532	MADAAESKGPSKSALKKAEKQAKMAADKAAKVAKQAALPVQTKK ADNIIGITVSKSDDFPQWYQEVVLKAQMIDYYNEISGFYILRPSAMYVWGVIRNWFQD RIEAMGVEETSFPMFLSQTSFEKEKDHVDGFAPELAWVTKAGDKDLEVPVAVRPTSEA VMYPYYSKWIRTHRDLPFRLNQWNNVVRWEAKQTTPFLRAREFLWQEGHTAHLTEELA GKEVLEILELYARVYEELLAVPVVRGRKTENEKFAGGYYTTTVEGYIPSNGRGIQGAT SHCLGQNFSKMFDIKVEDPDEKGKHINVWQNSWGLSTRVIGVMIMIHGDDKGLVLPPR IAKIQTVLIPLGITKNTTAEEKANLEKRLEEIRQTLLKAGVRVEADLREGYTPPWKFN DWELKGVPLRIEFGPKDSAKNVVSWARRDTGEKGTFAIDEVATKVPELLETIQKDLYN KAEKAYREHRLKITNWDEVVPALDAKNVVIIPFCLDGQCEDRIKELTAGKPEEGTDAN GAPKAPTMGMKSLCIPFEQPEGLVPGETKCLNPECNRKAEKWCMFGRSY
SAPIO_CDS7533	MAAIFEQPRNGTLFLGGQKISGADIRDQNVLATQAIANVIKSSF GPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAQQQDKEVGDGTTSVV LIAAELLRRGNDLMKNRIHPTTIITGYRLALREAVKYMNENVAIKVEHLGRESLLNIA KTSMSSKIIGADSDFFANMVVDAIQAVKSTNNRNETKYPVKAVNILKAHGKGSLESIL VKGYALNCTVASQAMTTRITDAKIAVLDMNLQKERMKLGVNITVDDPQQLEQIRARES GMILERVELILKAGANVILTTKGIDDLVLKLFVERGAMAVRRCKKEDLRRIARATGAT LLSTLSDLNGDERFEPSYLGYAEEVVQERISDDECILVKGTKAHSSASIILRGPNDYS LDEMERSVHDSLCAVKRTLESGTIVPGGGAVETELHIYLEEFASTVGSREQLAIAEFA QSLLVIPKTLAVNAAKDASDLVAQLRNRHARAQRIQEGDANEDEKSLARRKAYKNYGL DLTKGRVVDEVKAGVLEPSVSKIKQLKSAVEACISIMRIDTLITLDPEVKEDRDDHDH 
SAPIO_CDS7535	MLAPDFFQRLPSPTASLILAALLTLTNAHALPRQTKTVQHRTLN VELWPPAPTEAPLPLARAQIDALLLRRQDFNTVCGFLEGNADIPVTCGAGSHCVVDRD HNAIGCCPDGEPACTTGVFTGCVDFNSGPQTEVNPYVYTCAGSDVCYRNVFAGGFSQY GCGTASNLAASVATAVSGLSSDLRFSQVTDQFTAEVTTVSEPITINPSTGTRSLTASS SSTTESTTTSSSTTTSTSSETASSTAAAGEAGEAPEGNGSKNQTGAIIGGTISGVAVL VALVALGFYLWKRKQRNTREGPPTLDTKYISPMSNGAGFTPVAPSQEYAETGFYPMHA AAAAPKPAHVPAVYGVGSSTERPDQVPLTREFDDFHRGFSEALENIREEDETAMTGSP GGQAGAAGQGAGRGQGQGYSSVSTETETPLWQQNRRQSRNMMWM
SAPIO_CDS7536	MATPSPTIADAATGTATSTSSPSCITAVPGKYGAVPIDACNSNY LVVPNFGANLAFAVLKYCWVLIMGSAWETAAFALRTAGTRDQQQLAYVVMGTLLLLLA PLWINAFLYMTVARLVHYMLPSQKVLGLRAVLLTKVFVGLDILSFLVQGTGGSLLSNQ DEDSQDIQRAGQKIYMVGVGIQALFIIAFSVLTVAFYKRLDIEGRQDRNIPLTKKLVW VLLADFVFIMIRIIFRLVEFIPGANDDNPILKSENYVFCLDGLPVLLGLILLNVLHPG LVLRGPDSEFPRLSRKEKKALKREKKEAKKQLKAEKKQRKMERKEGGHGWQQVDLDDT SAREGDDSNVELVERNYPSYDHSWREREANSRV
SAPIO_CDS7537	MPIRVKLKKLPAPRAPSPGPSGFVYVELPDIREGDSFRDVIKKF SRYFSAIITLPHTFEQLRTSSSELRSLVDHLALNCSNPTIVNALLALKWHYVADDKTR DLSASRAHACEIVAWRFLSRLSEREAVDYCLYEIPELEEPEPIPDTIPEESTSTEHSP LLPRSNGGSYGRRSMATTVNSVKRGQLLQSLSRLTASFSVEEGEEVEEDPTAPFRGLN ALEIAAISNSKEFLGQAVVQKIITAIWNGDIIFWDRLDVLAVKKPRYYNPATADPFSR LRVPKYLKAWEIVFFLGFMAIYYTVLVERDVDVITRAEYVLYIWLAAFLYDEISAWND AGSMFYTSDLWNVFDMIMISLGITFAILKIIGIITHNQQAVEVAFDIFALEALFMVPR ICSFLSLSPYWGTLIPCFKEMGKDFVKFMILVIIIYCGFLTTFSLVGRNTFTFANMTM ILTKIFFGSSGTGIEIMDDIDPVFGPPLMLLFICLSSFLLTGSLTGMLSNSFSRVITQ ARSEYLFVYSIYVLEASTSNRLTHFLPPLNLVSLIIFRPLRLFFHSATKFRAGRIALL KITHLPIVGVIKLYESIRCRNIQDEFAGFRGPTFSQEDTLRASLHKQPSSADSKSAEA DAKESSANDGSLVLSQMETRVNEMASKIDRLTLILLTMQEKQEEHQQQLQREKEEREK KEKEKEEREQKEKEEREQREKEEKEKREKEERELKEKEEKEAREKKEREEREQKEKEE REQREKEEREKQEKEEKERKEKEERELKEKEEKEKKEKEEKELKEKKEREEQEQKERE EKEKKEREERELKEKEEKEKKEREEKEKKEKEERELKEREEKEMKEREERELKEKEEK EKKEREEREQKEREEKEKEERELKEKEEAEKKEREAKEQEEREQKKDHDDQKPDDE
SAPIO_CDS7538	MRISEKFTPEAMLGAPRRSPAVPSRNGTLALYTVSTYNFTEGKL TKELKTLEIKTGRSEVLSSDENITEALWVPGRDTEVAYLQKKDKGHTELVVVDVERRD ESRHVVFEFDAPVSGLKLKPLDDETLAFAVAGLVGPDGKLYNETTQEKKGSARIFDKP RVWVLTRQNDRWTLQGELNDLLQNTTLEIVGVYDVDDVKNHYDLSTKGILFNASSLGP DTDVRQWDSTHVYFAPLTSFAAKTTSPPQRLQMEHPLRAGRCSNVRFSPDESLAAFVY ESYENSIDARILLGHIDALAVIDVFKFIVKKEPTLPPSSFEFGSERDSLLLSTLACGR TTIQTLSLSQDAVPETIFNNGSAGAYYPLVEGQWGKLLVSSASFLDSSLWQIIDTKGE TEPVVVSSLTRHGARFGLTHAMVSEIWYEGADDSCIHAFILRPSNFDPTKKYPWWNIA LWADQGYIIVCPNIAGSVGYGLDFTARINGEWGGAAYKDLVNLVQYVEQLPYIDITRA ALAGASFGGYMVSWIFGTDLAKKFRCAIWHDGILHLNALALTSDFGLPPAQFGNPPLA WDPATAEQLDKWNPARPDRLARWKDAPPTLFIHGQRDWRCPLIEGLAAYRVLKSHGVA SKFLTFEDEGHWVLKHENSRVWHREVFAWIETYVGKGPTPDY
SAPIO_CDS7539	MFLKSIDLTTALRPSYLPDEVLLFVQDNVGLYEGKYKLPNHQNG QVYLTSHRICYVDRDQPRKYSVALELKEVERYEFYSEFDTVSFERSDPDPVSPADTFK SKTNGSCAQSPFLECRRKRSSCRHWTESPGPVLVNGPSKSDTLNRIKVSFRGGGEKIF YERLKGAMTQRKWLLHGAPPIPKGTRGGDETTLTARPGPGADGRPVTKAAGIAALEQR GLDMRKNNELVIGDAFEDLESLMASAKEVVALAEQFARQVNGTGGGAALEASALVNQL GLVTTKDIVGGSSSESLYLSELARNLAEFLTDDSRGVLKKAGGIISLVDLWATFNRAR GGVELVSPRDFEKAARMWETLKLPVRLRTFKSGVMVVQSHDRTDETTIAAILEWVQDL HEFPPEKEVSWDWHQFGVGVTAQDAAQRFGWSLGVAEEELEMAEEKGALCREEGIEGL KYWKNYIDTGDIKLPDPEEDMAKQFERALRESGIL
SAPIO_CDS7540	MMPSWQAATPQTAGHPSTTTTTMSYAYPNKPAFIPVQVQHGFGQ QASQPVAHYGYIPPPPSQIAQHSQPAPAQAPSSAQNPPQQQKIDWPDSVRSYVQRTFF ERNHDSTIAKPELEAKLKEVITHAKDNGQLYTLNWDNMPLPQALIRDQREVELRRQTS IVSPSTNAASPPSISVLPDTTSKKRKSSDALVDTRSSPPPPWRSTNQSRNALEDRVSF RAPEKRPSLDEPLSKSSKFQKQQEKRLRRFEGGYKSTYRSPSPPPSDGPVVGTCQDLE KRYLRLTAPPVASKVRPEYILRQTLDLLKKKWRKESNYSYICDQFKSMRQDLTVQRIK NEFTVSVYEIHARIALEKGDLGEYNQCQTQLRWLYQLGLKGNPIEFKAYRILYFIHTA NRTGLIEAIADLTPAEKKEPPIKHALEVRSALVLGNYHRFFQLYLDTPNMGAYLMDMF VVRERLSALCNICKSYKPDVKLRFITEELGFESDADAAQFIVDHNGQHLLEERGESIV FLSGKAGGLFEGPKAAAFQRVDIKGQI
SAPIO_CDS7541	MLTRNWGLQALRRSIKGEKDKPQVSITPKSAVAIVPPKKVIRAL YDYSARSSQELSFSRGDFFHVIGRENDTEWYEACNPALPDARGLVPVAFFQALGRTER ESADGGKPAVPKAEHDSGYSENGAAAMPGVGGMGSPPMAQSQRSSKTGKSGAMVYGIV LYDFQAERADELEAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVTFVEIRDMATN TAVPNPHEAVKKAGIPKVEEWKKMAAEYKNSSITLGKFEAGGPPSQGLEQGMDRLSLQ QQQGQPQQQFAQPHQQQPPLMSPQNGAYPPQQMASPGYQQQTNNMQQAGAYPNSMYGF KSASQLFAPVAAQIPKYCFADEKYWFVIEAELENGRRWELSRYYEDFYDFQIALLTEF PVEAGNTPAQKRTLPYMPGPVNYVTDQITQGRLRNLDEYVKNLLAQPPHISRCNLVKQ FFAPREGDYEIEPNPENDDYRLSGQSSTESPGDAASRQSSRNNLNGNTYGVGSATPRQ PGSGGFNGPPGMAPQIPSLPPQQLSYAPLSSGMNQGTPSMKVKFHFNGELYAIRVPTD VSFQQLYDKVCERLRIPPGDELQLSYKDEQTNDKPSLLSDNDLNRALERNEKLFLFAE LV
SAPIO_CDS7543	MSPSSEPPGPCPGDVSVRKNKPSEAKRHEEYQYLDLVREILDNG EHRPDRTGTGTYAIFAPTPLKFSLNRNGEPILPLLTTKRVFLKAVVAELLWFVEGNTS SLALSEKGVKIWDGNGSREFLDGVGLSHREVGDLGPVYGFQWRHFGAEYVDAKTDYTG QGVDQLAEVVHKLRTNPYDRRIILSAWNVADLKKMALPPCHMFAQFYVSYPRDRTTAP PGQQEAERPKGHLNCQLYQRSCDMGLGVPFNIASYALLTHMIAHVCDLVPGTFTHVLG DAHVYLDHVDALRLQLEREPRDFPTLEITREKGGSIDGWQAEDFVVKGYDPHKSIAMK MSV
SAPIO_CDS7544	MANSSKQTALITGCSEGGIGDALAQEFHRRGVRVLATGRNLEKL AHLKKLGIETVQLDVESSESIKEAAVAVNRYTEGRLDFLVNNSGCGYNATILDTDLEQ AKKMFNVNLFGLVEVTQAFAPQVIAAKGQIINIGSLVGIIPLPLQGLYNASKAAVHSL SDTLRIEMAPFGVKVIYIVTGGVRTKFFLNAPKYDFPEGSPYEAARDILEPILAGRTT DIFLTTPEELAKSVVKNSFSWWPSTRVWPGFECLFGWFVTTFFWHTALDGILSGRFGF KQATPRLAHLKN
SAPIO_CDS7545	MVQMYTILGRQVGSHWLAIATLSTLFTGTYLASSGGSSKKQSTT TPPINASTPDEADFIKKFLEEADGKKEAKH
SAPIO_CDS7546	MGWWNSKTKDSDSKDEKGLVPTTPNSPIPSSAHDATDTTSHTPS VDKLDHLMEDIAEGHTADSTESNIRYAAYTNRIRTVLSSAHRYVAYTSDIGESFRPVA HPGLVRAAYGVSWLYILGDVSYEGYKAYLQNQRILRGLPTADGTNDSKPGVPGVVSPL QDYRTVMVQRAVFQSLASMGLPAFTIHSVVKYSGKAMKSLKNQRLKTWGPIGLGLGVV PFLPAIFDEPVENAVEWAFHEGFKAYGGPDYVGQAPTTGREHLLGKKPTKESDE
SAPIO_CDS7547	MASSSSTPVSDETTSYRKMDDHNDATLSCNKTFCQEHRTEDSHK CANPGAWARRRREAELAKSSIGAGKQLQYRVSTKPCDSPSCSTTVGTARNPGVHCQTC RRDYCHTHRLKEDHNCKNLIPIGARPALSQVDVAERTRGAFAKLRAWGSARKEEMGRA LPKPKPTSAAQRLVAVNNLKKTAKGDDKLAPEKRVYLYVEAEAETAKAKYPKGAFFYS KDWVVGRVLDAAAKSLQVENVNNQSSKESDKLRVFHVEGGRLLEFNEKVGASLVSGNT IVLLRGVGPPPDLIDL
SAPIO_CDS7548	MPPHVPRKRLRGESSKPSESSPAQPESAASSKLTPRKRTLFDDL DAAGTPDNSFPDILHAEGSSDESESDLTSLSDVDFEDVPAPKRQKTGESEGDDDDDDD EDIEFEDVAVPVAPVPVPSGDLELTLRRDNRISLTAAFGKKGPSKIERKVRIATHSVH VLFLLWHNSIRNAWLCDEELQAIMVSHIPPRLWDEIDRWRRNSGLEKKPPERRSARKG DPKGNGNARDWGSAAKKLEEGVPNMSHGDPLFRLMQSLIGWWKQRFRVTAPGIRKWGY MSLERLDRLTKEHHADPRNAVKFGEHFENLEAFRLSAQKCEGSRDVGAQLFTALLRGL GMDARMVANLQPLGFGWNKMEEADPEKETHTAGYGPPNASNISADPTADSVPRRPGRP GRAQKSAKSRRTSVEGESTSDLSDSDDSSVVEMSHIAKQNSARSYDKDLDFPHYWTEV RSPITDKYIPVDALVKKLVGTNRELIESLEPRGGKAEKKRQVMAYVVGFSRDGTAKDV TIRYLKRQMLPGKTKGVRMPVKKLPVHNRHGKIKRYDEYDWFRRVMTGYTRGGPKHPL TEADQNEDMTDLRPAKVERREVKEGEETLQYYKTSQEYVLERHLKREEALQPDARPVR TFKSKSKGTATEEPVYLRADVVQVKSAETWHKQGRAPIPGERPLKRVPYRAATINRQR ELAEAEAATGQKVLQGLFSLQQTDWIIPPPIKDGIIPKNEYGNIDLFVEHMCPEGAVH VPYRGAVRVCKRLQVDFAEAVIDFEFGHRMAVPVIQGVVIPEEHYDKVMEELQKDEAE RARKEDEKRKKAALGMWRKLLMGMRIAQRIQDEYGEIDESVQVFGHYKDSFGAAKERA ENLLDAEASMGGGFLPAGFEEDVDDEDDNDRVTGHTSSFFPVVDEDDEGEDPLVVQDD RQDNDGRIKADIPDVLERPSIVPEAMTARPGTETKFKKRSHAKKTLTPTTQTRRQPSR RVKEVAAEVKDQGPENIDEDSEASEIDDAGEDDWA
SAPIO_CDS7549	MNAVAGTGGVEASPAYGGFQDAALLELDTTAMLADCVKNVTAIF PNICPDYVTKLTGENGYSTPAVIDALLELQEKSSAYPQKSLSPNPRKRKRADDFVLDL LTKPLGDVSAADVETLQNHFNSVEWRNCTIPFTAYRKLSHDALIHAFPDLSSYRAYQT LAACHHSLLQAYSVLTCQDRIGTVESLPKKDRPLRDQDELGDNFDYALKIAAPSDHDY NIALEIQAARRIRACLTKKPNRQVATSTGATRDEETPIPTLECACCYDDHAADRMVSC DGDVEHFFCYDCARKQAETQISVSRYDLSCMAMGGCAGKLPVTQRSLFLSAKTSATLD RLEQQASIRMAGLDDLASCPFCPYAAECPPPETDKEFRCENPECGIISCRLCNKKSHV PMSCEEAEKEKGFSARREIEEAMSAAVIRTCNKSARKAVLEEHPELDETTLQIKMSDK VTGTEAGKGKAKPRQAPRAAPRRRGRNRAVPIPEIPNAELNANVAEFTGNFAGPVNAN PPPTVPFVPFPVARPNIAGVMGTTGFHYGASLQPGVQPLGGYNVPGMARFPEETRIDP LAYLGQFGEGLGQVPTDRQGQIGAFRDAAMRFWDIQGEQGAPMLPHVPLRHGNQNLTQ GAVGAAGANAPGAPRPLQPPLQGGHIQAMGQERVAAIPIRAPNQAAANPIWPILQPVM QQARRRPQERATGIPVLPRPAATGALWKAGASREQPIIIDDGDEGVGMIEAPHAT
SAPIO_CDS7550	MSSDTAPVPTRPGIPLTHVSDSKSYRLLELPPELLALLENDSPP PLHLEPGKPHAVLVTPDKRYILTQRNTSNSLILLEPAPEGPANQKPGLNIIGTLHESI ELAPEPTRKS
SAPIO_CDS7551	MVRVTEELALSAQHVTLYHTTDPLLGHLPVLIFHGPSTTANYTL NSSRVQVHVYSPAGFRSYPRITISPNSPFYGVVNHLPREFQGDEIYRGLAFGLFKYFT ELPGLVKNHLKNQYPTTRARRPGSAPALFCEQHAADLASAMVKSEVTADVVNQLHNAL QTQHLSNIDIDFVLPPGAILPLQPEDYEEVPEDEDDILDPTLRQYGGYTPLIRLFGEP IFLPTAKLRRAPSKPTSLNRSKSFTKDQKMELRMKLGELLDTEDRYVMKLNELVKHIA DDFRQSAKRQVQGSLSPSEEDLKRLFPTSADRILQMNSAFHLELRKIMEDSEEDALKD METPTVAFAGSKLGGTARAKDPSGALAVAKLFLEWFPKFTDGYQDYIKASQHFPNLLN GFLATQSSFRQRVNQAGEQTIRSILIEPVQRLPRYSLLIDQIVSSLPITHPALQPMLK ARDIITNICSMDDPLPDKPQLTTRMRNMIESWPLDLEPQGRLILAADFVEVLPPYQGP KPSDADSAGMFLLFSDCIVILKKKVLGLTGRDLLRELDKPSAAGLMMSMTNAAGGPAS YELAFAGWHNLADVRFTESAHGQMIWMTSTQEMKGAHAGPYVKSTGVTSRCFVLQESY ENKAAKWSEDIVKARVEGRFSETEREDPCWTLRSVRMPDNNLGLFAAVFQEGIDQLIE GRKEPAPIRVVVDHERGTKGAPVGHYGVEIVINVKTNDLQRISMNTVGLNGKVFVDEV ALEDFLPTMSRRIIQLLSTQFDVSNLRISSALISYHTKTLKAMNLTAVGREKSRSFLA TSPVKLLSSFWGGGGGGGSSTTVEPPSPTKYSRAPVAGVFPPPPLPRTNSTHSIFGSS RDKDRIPLDDGKPENPLVRLEQTFTGYVAALQARKGSIIGRTLLNRSGVDELAVNDLY NRLIESPFDIDAATELTADVIFVAFEKFLRIAWSEQMGPIMTIQALDTLQARANKRVP GDFADFVNYLFGDMAPQNRRAFTALMKLLADLLDGCGNDSDRGALTLAFAEVLVTDGT AHNYINLLDRLVEDCDRIFEEIGFSNSIHLGNSAYESMNSTTRSAKSHTGSLTSNTSS LRRKFGFDTLLRQNSKDSGHGSVWRSLSKHNRNALSGDISQTSSLSKGSLGRTKSIDL GPSPNKLRRPGSRDRPPVAGAFDEIQRPASSHRLESRLETIGEPEVEAAAPPAKAPRK KRRSSLSDLRSLMAAASLEDSTTTLMPLDLNKQTSEKFNSAPRIPSPSRIPISPGSSQ ALRASRQKENFGGSLGSTATPTPATGAVPSEPEKLRSHSKTLSSSNIPTLRRPTITGT SGDSASRPTSSPTRAGASQRLRLQSPQKLRERLQTEKQTADEVDASLKSELSKIAEDM ARVNGGLGGRTGPTDLRKLSASVQALEDRMPAAMKDLKERHQAIQSDMETTLKASEAK VKAIDQLYKEAMAENELLYEKFNSELGKIVKALKGKGRDDKEELVAKLKEQSEETARV KKENARLRREMASLRAAMKGLSEN
SAPIO_CDS7552	MPPTSIPLSQAKTLLARFTTFLTAAIHTILYHRRIYPPETFLTT RSHNLPIHQSRHPALCAWINDAVAAVVAQIRSASVRRVALVIHAPPDSNASSVTVVER WVFDIEGWPAFPESIPADFKGKGRERSGGGGNEDEEENGGEVGGAAAEGPGVNWVDVQ EQLRAALRRISYAGEKLGGLPAGCTFTVAVELNDEAPAPIGHPQAWIPSEPNLQPPSA SREQAGKDVGGRATPIRSVEAGPLFFECWVEEGDSGILESLRTQSSQQTEDSQSMPLA 
SAPIO_CDS7553	MAESLRKEAILQGMADAIPTHPQGDSSSDLSSSYEVLALLIHSY MTALKFRLLGFNEDKKIEDECTSLAPRLPPSWNDTFGSHSFVYAHKQSAMTFVVKVDR LGNKVEIRGLAVGDDKIYRFERNIRDIVRSAGLPVRIPLDEAGAEDRSGLRDKLRQLF VSDQAIDDVLNEFKVKIVQKFIPKLQVEGYEETPDLGAGPSEQPRPRPGELGPRPPLE EPRPPQPGHPYPFYDPLAAPPPRRPIPGDFPPPGFEDPHEINTRPRGTVPPGPGPFDI GHDDLYPAGLGPHDPIRPYFTGGEPGLPRPGGRPGGFGGMHPTFDDPLFQGPGGGQAG EDSFHDPQRPPGARWDPVGPGGGPRPRQGGGQHPFGGGPSGGGFGGDII
SAPIO_CDS7554	MDDELVQVLTNTQSPDQPVRHQAELALNHAKSNPAFPVSLANVA AHTSVDTSVRQAALSSLRLFIESNWNPDDQDVEPVIAISDEARAQLRRILLELTLSPE ENRKVKIAASYAVGKMAIHDLPDKWPELLPNLLSIIPTGTDAQVHGALRTISDIVEDS LSEDQFFSMARDIIKALTVVALDENRTPTIRSLSVSVFRSCFDLMDIVKEEHPKEVKA FGDELLKEWGPFFLQALKARLPNEPVTASCQPQSWNGLIMLKLQVLKTLLKIRTVLPQ LISAQALDYFSAVWEELLALQGPHKELYLDNNAQDRLEDIDNLPYSLDFLILEELDLI HQFLRAPPVHAQLDAQLQAHPSAQETPWLTEIMKTLVAFSRITQEEEGLWDIDCSLYL AEEASASANYTSRTAAGDVLIKLGETYSQAALDGLFGYTQTLFTGEAAGDWRSQEAAL YLFNMLASDFDDMGKTIPEQTARAYLALVDYAINQAEWPLLRARGYLVGGTLTRSFAT PDTLIERILQCINSEEAEVVRVACIKAVEHLVRAKRVTPEHQIPIIQAISQYMQNKDP EDMEDADELLVTIAESLRLVINVDHRVALATNVQPVDILFTLARHGASNFQVTMIVTE SFEDIVRNLTDPTSYTALSAKVLPIITAAFDVANLTQDDPLVTVAVELLVVLIQHGTE PLPPGFISATLPKLKRLLMEAVEGEVLRPAAESLKYLLMHDHHQVFGWHDESGQSGLD VCLLVIDRLLGSAIEDNSASEVGGLAAELVEKAGHERLGPYLPQLLRAVATRLSTAQA APFIQSLILVFARLSLVGAHDVVEFLSQIQIGDQSGLHVVLGKWLENSVNFAGYDEIR QNVIALSKLYSLNDPRLSQTMVKGDLIVTDTDRIKTRSRAKQNPDQYTIIPASLKILK VLIQELLSASGSGRAAATAAALAAAAELEEDDGDDNWEDEPDSVDLGLGTSKSDLYAF IESSGSRQQDDETQAYLTEFFVSAARDNVANFQEWYTMLTDEERTKLQELASQPSA
SAPIO_CDS7555	MPEEPSAKPEKKAKSGHGTRETKPDIPKAASGDDTDADSASVDK AEEGEILDEDAQLEWDEKMIFQEHKEIHLADAVAGPLPSEYTEDILIPPAWDAEYLVS KFVNPDNRGAYCSPAWGTPLWDKYKDTAPFKIATLSDDGTVDSAPLQRLLATRRSSMN PGPRREPQQPSKEPLDEHGALDTGGNFTVGDASINGSTPTPSESPERRFSSTQKDRRP IIDSYRPNYGKASGPSTERRRNGQSRSPSAARRNSSDDQTQRFQKGLDERGRDHRESS VESDLNSLENELLGISPKSSSDEEKEREEEMGKKKEDDKKRPDEDAIPKIKRRRVKVD SAFSRRW
SAPIO_CDS7556	MFRPVLEGEPEYLIQDRKGERGPDLVSRGKQGRGSYEAQAVGAA DDTGLLGAQDDHHKAGDMTRRLIPVGHVEQQIRAQDGNDAGFELSDVAEESAAKDEVE VRAVVAAGGTDVMKDMADEAGDSDGREEVGSGNVIAVGVARVGNRGRLEVCHTLEDPA VWVLDGVLDTPPESHMNDCTLAHHKAVPESQLQAEERAHAEDEDCNGRGEIEPLGGAR HWHHHSLDMVVQEEGDDMPHTALGEAGFLGRKLDDLHKALQVAGHTC
SAPIO_CDS7557	MPSLPASVDLDECIARLYKKELLAESVIEAICAKTKELLMRESN VIHVQAPITVVGDIHGQFYDLIEIFRIGGYCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPTRVHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGNQPCLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPDRDEFSLSP RGAGYTFGAQVVKKFLAVNNMSHILRAHQLCQEGYQVLYDDQLSTVWSAPNYCYRCGN MASVLEVSDTGERFFNVFSAAPENDLHKDLYLGGDKSADGSALPDYFL
SAPIO_CDS7558	MASHEDDTMPEETQGYKLTQPKQSLADYQNMDANDESLQKYKES LGLGGGKDLSDPNDPRVCIILALTMESPGRDPVRIDLSQPGSELALKDKPFKIKEGSK FTMVATFKVQHEILSGLHYVQIVKRKGIRVSKDSEMIGSYAPNTDKVPLYEKRFTEED APSGMLARGHYNAISTFVDDDKKKHLEFEWSFDISKDW
SAPIO_CDS7559	MAPTDKRSLPTKINPLLIESIPEYTELVARRRLGQTQLTPKMVG PGASEQTTTLGAFDYAHLRAPMPKGIVSGIFKSSPNSYFLMRRSHDGYVSATGMFKAT FPYAEAEEEERERQYIKTLPTTSREETAGNIWVPPEQALILAEEYQITTWIRALLDPA KIAVSSSSSSSASPPRNITAPPKFDISKHATTIASTVTPNLAPPTPTSLARSRGRRSA SPSKLPKKVTASPRKRSTRVKSSESFDPPRTRSRSQSVEVEEASARASKEAEAKAESL VILQSVEEEPSKATAEENGEEVAAEQDEETSQKKPSEKSKKKKKAADSEKIHLLSAGE PPSKEETLKMLAEAKKMVEEAAETASPSSGESPSDEVSAEAPAINGEVESKKGKRKAQ DISTSDEPNEKEGGEEQEEDSEEQRAAKKVKTEIELQKGRVRQRALVGISAAVAMG
SAPIO_CDS7560	MVKNLQKKAAPTDTIRVFDINKAVTEKLVSEVQGGAKVEVSESP LDAVKDADTVITVLPEPVHVKKVYSSFLDSIPPRDRIFIDCSTIDPSSSQEVAGWVTK AKQGHFVDSPMSGGVVGAAAGTLTFMLGADADLVPRVEPVLEKMGRRILHCGKQGTGL SAKLANNYLLAINNIATAEAMNLGIRWGLDPKTLAEIINVSTGKCWPSEVNNPVKGVV ETAPAGRDYAGGFGVSLMKKDLKLAMLAADEAGARLELATKARELYEAVEADEKCAGR DFSVVYRYIGGKEE
SAPIO_CDS7561	MSGNGDLRASFIACEEVSPLCPVEATVLGYTPNLGANVFFAVAF GIALFATIGIGVWKKTWTFTAAVALGAGLETMGYAGRAAMSKNPWNKDAFQMQICVII LGPTVICAGIYLIIKHIALNVDPSLSRVRPKWYPLFFLPADVSCLLIQAIGGSLAAAA GSTNQKLLDGGNRAIIAGICLQVVVLSLFGLVSGGYLLRVRRKIKSLGREEAERLGMG LWFEKRFRTFLRASSAAYLAILCRCIYRIAEMAGGWGNHIMQDEISFVILDSCLCLVA VWLLTIFAPGLYFPQMATNLAKKKQESDSEKNTTAVDGVLSEGAATE
SAPIO_CDS7562	MHNIQTTRRHAQPRPPASSIWHHPQPKSAVPRPPYVTRKMESLS RISTLLESARELTLDAAQATRSSRSTRPLDRSQIKKLLDSRNERDVLDGLRRVLAMMY RSQKTLPFFSSVVKNVASPNIEIKKLVYIYLIHHAEQEPDLALLSINTIQKSLSDKDA QVRALALKTMSGIRVPVISQIVSLAIKKGVADMSPYVRRAAALAIPKCYRLDPGQEPQ LVDYLSTLLGDKQYYVAGAAAISFMETCPDRIDLIHKNYRSLVKKIVDMDEWGQLATL RMMTYYARRCFPRRTRRVEQNEKTSQDTDLQTFYDGPGAESNGTEVVLDPDLQLLLNA IKPLLQSRNSAVTTAVARCYAELGTREYVRLAIGPLVALLRGASDIQQVALFNIVSVC LQWPADFVKYANHFLVRGTDSAAVWELKLEILTLIFPHSNSHIKSLILHELEHFSRGS ANPALVRESVRAIGRCAQADSSMAPRCLRLLLGQIASLDGRLAAESLTVIRHLIQQDP EGHVGTVVRLAKNLDSATDPQARATIIWLVGEFSGLRGEDNIAADVLRILLKDFANES EAAKQQIVLLAAKVYLHHINRVREEQEKKGAESEGKSDELPGANPPGSPRDEDDQIAK LWDYVLLLVRYDTSYDLRDRARMYRALLSVPQLATLMLLAPKPAPQAPSPSETRKGFV LGSSALVLAGGGGIHGLKGYAPLPDWVKSGQEPDPRLRDTDVPVSGYGESSRGAIPAS QRLDSMASKSSGISSSIKNGEAVGSKTLDDWLAEDDGEEEESEGDSEEEEEDEDEEED SEDEDEDGDDEEEEGESEEESEEEGDENDRLMKI
SAPIO_CDS7563	MPRFLAPATPSELLAYIVTHQTSEEQPAQADAGRPSSSQEQRTR VLKALVSAPLYQTAVAKHIRVLFMPTVSHLRAYLSVFSPSDSKVPAPPSYAASESRYS TPLLLLYGFLDAHRDTSEWNAQGISCTASILVDAAYRTSYTPIVVEPKGDDGHPELDI LLSESVPLLGGATTGEGVWSGRTVDVRQILGRWFKFYDGPLS
SAPIO_CDS7564	MAYNARMSILPTQQQSRTRKKEEEADAFMRLSDKEIVGCITDIG INFTVADLQKPNPVQVQMIFEWFAELLLNATREIIEPAMRAGAEEVCGEYSDIIPADT RNLMGFYVSLRRLLLECGINDFCFADLYRPSHERLVKIFSYLINFVRFRESQTSVIDE HFNRSETTKTRIETLYADNQDKEAQLEDMRHNRKAMEAQVREKTMRNEELKQRLLELR RNQERVAARLEEAKIRKNELATMLEEKTAAKLAMKQESQKLRPYVLQSPSALQASLME LSQTLAADRAHIDALDRRARGLQTSANSFSVVSTDVASCIKMLDDISTELGKEEEENA KNSRQREALSERGNNVRAVEKEEIALQRQLSRWNERTEKLREQSALKAKEAKEKMEEL RATHKQLTEERTEKSKEMERRRVRIEQTEKKMLDLKENIENEVHSAYDEYLKMEAHIK LYITEMEQAI
SAPIO_CDS7566	MTLLRTALLSLCAAAATSAFSISSIAHSGPACPQGTTVTWNENP DNPVFYLEDFSASVGSGQTTSCELHLTIEDGTPNRSLVLNQVSVWGRLSLVADGAATF YTSGYWSATAATQVTKKVESSTRSSFNDNVAIDTNLGLASPCVGSSGSVGILNLSFRV VSEEGKVSFGPERVGSRSYAVSEHLDFSWARC
SAPIO_CDS7568	MTIPSAVPKFIFFTDFDGTITQRDSNDYMTDTLGFGADRRLALG EKVLNGHVSFRDAFAEMLDSITAPYDQCTEHLLDHVELDPYFQEFFTWCRGNDVPIVV LSGGMKPIIKALLKKFLGDDEVEWLQIVSNDVAAREGKGINEEGGWRITFLDDSPHGH DKSVEIRKYSSLPEGQRPTMFYAGDGISDLSAAKETDLLFAKAGKDLVTYCERQDVPF VTFKDFSSILATVKNIVAGETSCSAEAKGRLEH
SAPIO_CDS7569	MILSNSGSAGVVGLTSALLLSKDPENAVTVIAKFMPGDYDVEYT SPWAGANVLPMAIEKDSRWERRTWEHLRKLTESEPAAGIHFQNARIYRRDKDVPDQPG SHFYDALFAKEPWYKTMFDQYRELSPEEVLAAHDSGCEFKSLCINTQLFLPWLVSQCL KNGVVFKRAIVEHVADAAKFAGDGHGEQVVVVNATGLGALKLGGVEDKAMVPARGQIV LVRNESPFMVATSGTEDGEAEACYIMTRAAGGGTILGGTYDKGNWNPNPEPNIAMRIM KRALEVCPELTGGKGIEGLDIIRHGVGLRPLRVGGVRIEKEMVSLKEGGGEVAVVHNY GHAGWGYQGSFGCAERVVELVNEIRGKNTAAA
SAPIO_CDS7570	MVVKQIHNKQEWDALVAEGKPVLVDFFAVWCGPCRVISPVFERF SDDATFAGLNFAKIDVDEASDLAQEFGITAMPTFILVKNGVKEGEVRGANPPALQALV QKGAA
SAPIO_CDS7571	MPPRAKGQILRAQTALAIPDTLEANQIIARVDRALPRGQYNCLL PNKSIVVVDLDERFRQTIWVQRNNYVLLERYPPKEVEGEAVAKIINIVVDEKQWRKMP YWPAEFPKFRDPESDEDESNVGKMPPSDSEDDA
SAPIO_CDS7572	MDQKQSAVYVRAYNLGLDIANGRHPLSKTIAPLLWIFDLVLCAL VIWKVPYTEIDWVAYMEQVKQFLDGERNYVRIEGGTGPLVYPAAHVYTYTALYHITDE GKNIFLAQQIFAGLYLATLALVMACYRKANAPPYLLPLLVLSKRLHSVFMLRCFNDCF AAFFLWLAIFFFQRRLWTFGSVAYSWGLGVKMSLLLALPAVAVILFLGRGFYGSLRLA MLMLETQIAIAIPFLLHDSRAYLGRAFELTRQFKYEWTVNMRMLPEETFLSKELAVTL LLFHAADLFSFISGPWLAPAQKSLWEMIPSLLRGQLPFTEQEEGRVSLRVSPRFILTT VLTAMNMGMLFARSLHYQFYAYLAWSTPFLLWNAGLHPVVVYAVWAAQEWAWNVFPST PVSSGVVVFAMLVADRVTWMLGNRTEEKARIAGGGGSKKPKQG
SAPIO_CDS7573	MNEDERIQDIYKKIEREKALINAAHAMRSQTNNEAVRSRLDSQM RDGRRNLQFFEEKLRELQMRRVNQGMDNMSLGPDGRPVSGGVYGDAGPAPPPKDGDQG GYGSQQYSDIGGHGDLMPPRHPYGPPAPGPSGNLSRPRPNFTKLDLIKYDTPYLGPRI QLMLSQIQFKLNVEEQYLKGVEKMVQLYGMEGDRKSKADAAARRVESKQKIVLLKQAL KRYEELHIDIDSADSPDDDSINMPNLRKPLTGQLTIRVMAVKDVDHAATSRFARGPET FVTVKVEDNVVARTRASRNDRWESEYHNIDVDKANEVELTVYDKPGEHPLPIAMLWIR ISDIVEEMRRKRIEAEINNSGWVSADRMVNQGGAAPPQFPMTPQQQQQYGPSPGQGGS FPPGGAPPPPGHPPIAHSGPIEGWFNLEPSGRIQLSLSFIKQNKDRRPIDLGLGRKGA IRQRKEEVHEMYGHKFVQQQFYNIMRCALCGEFLKYSAGMQCEDCKYTCHTKCYSSVV TKCISKSNAETDPDEEKINHRIPHRFQPFSNMTANWCCHCGYMLPFGKKNSRKCTGKW ISLFRSSSMLVPLGTHTCVHLVPDFCGMSMAVANQILEGIRTQKQRKQERASMAERTL RQGGPKPGQGQQGPYASPHSPSYGTPSPEAAEAAKLTFANQPQSQKTQPDRASISSGS TAATAAASVAMSGSIRTSQSQDYDRYSYGSQAQQQQPAESAYGQGQQYGAPQQRKYNP ADYANVNAPQYAQHPVQQQQAIQHQQSIPHMYQQQLPHLQQPQQPQQQPQQQPLSPQH QQPPQQLYGKPQMGEPLAPPPSASPTDLSVSQRKPLPSATDPGTGQRIGLDHFNFLAV LGKGNFGKVMLAETKRSRRLYAIKVLKKEFIIENDEVESIRSEKRVFLVANRERHPFL TTLHACFQTETRVYFVMEYVSGGDLMLHIQRGQFGTKRAQFYAAEVCLALKYFHENGV IYRDLKLDNILLTPDGHLKIGDYGLCKEDMWYGSTTGTFCGTPEFMAPEILLDKKYGR AVDWWAFGVLIYQMLLQQSPFRGEDEDEIYDAILSDEPLYPIHMPRDSVAILQKLLTR EPDQRLGSGPTDAQEIMSQPFFRTINWDDVYHKRVQPPFLPTIKSPTDTSNFDSEFTS VTPVLTPVQSVLSQAMQEEFRGFSYTADFE
SAPIO_CDS7574	MSSLSRTIRPALRVAGRAASKNVTAIRATSALRSSQGSNTVRSF SAIPCRRNEAVDISDIPPTPITHFTEVETAMRESVSKFANDVILPKVRDMDEAEAMEP AIVEQLFEQGFMGVEIPEEYGGAGMNFTSAIIGIEELARVDPSVSVMVDVHNTLVNTA IMKWGSADLKKRFLPKLATNSVGSFCLSEPVSGSDAFALATKAEETSDGFKISGSKMW ITNSMEAGVFIVFANLDPSKGYKGITAFIVEKGTPGFSIAKKEKKLGIRASSTCVLNF DDVIVPKENILGERGQGYKYAINILNEGRIGIAAQMTGLALGAFENAAKYAFNERKQF GQLIGEFQGMQHQIAQSYVEITAARHLVYNAARKKEAGENFIRDAAIAKLYASQVAGR VSSLAVEWMGGMGFVREGLAEKFFRDSKIGAIYEGTSNIQLNTIAKSLQKDYSA
SAPIO_CDS7575	MPGTILRYLATPILRQSMRQPLARPSISPFLPTFQTAFRPAIRS FSSTPVQNATLMQVLRGCRKGKKARHAVSPALAERKSPFQKGVCLKVGITRPKKPNSG ERKTARVRLTTGRVVTAYIPGEGHNIQQHSVVLVRGGRSQDCPGVRYHLVRGALDLGG VTGRSTSRSKYGTKKPKKATVS
SAPIO_CDS7576	MAPRQDEDDPVVASFNVFINAALPANQRLLALHQSVRFDEGSSP AFPRPPTEVRIKPDSGLVEVDVPLDLLDNYDREKGMQYGRALKETLEAKAGGSHGLAG GFGVGAPAAPLGRKGERERDRERRAEWADEVRADRVLRSQTLGGIIPKKEPVNYMIGV FQGGDLHLTPASAFVNLSPQLHHVDANNQLERFTSSTQGGAKEAGANATGTGTGPGPA ARAIHMTIKTANNGDAVVTETMADRLRAVQSEPWRKMRFVDENELEAWDVFSQTFVPL REIKEGGEEDAEEEVKSLNADLDKVPWLETPWGVDDIEAKIARRQAVLPFEQPKQEAG PGDGTKVPGKGPGDHSKERKVKDWGEGRQHNCYGN
SAPIO_CDS7577	MSFFGGFSGSRHNTSAPGFSQAHDPFMGLSNAAEGDDGALEFDD TYDGLGDQLDETDDAFNDDTFGDSGAGNVGKDFDFFGRTAQVSGAIEEEHLRFSRQQP TAAKPTAPPVSAPVHATSSVQYQYQPAKKPVRTGYEKYKDSEVVPDLHVDHSIWGTAP SKPAVQAVAQPAAQPPVSSGRKVMSLEEVEAAMRAQAQKTPQPTSSQQGQAQGGQILV PENGDFLYAKIREPAQPSHGPSTSQPGHVHSVQILQRPQSLDAKQQGPQMRQAPSPQQ HPPSGPVQPTQILQNPNRISGDAARIGVPTHPTHVSHGSSSGIPHIPLQIQPHLSEEE KTAFLEQETRRAKRNHKIWLLSKDNGLMTPQDKNFITRIQLQQLVTATGIATEEGEEA SLNDDFYYQVHASIRAGHRQNPSQPLHNFAQTYLYQTGSRHSGSRRHARAAENHVQRM EQQVQRAVEAAKNKPKNPQLVIAGSLGKISYSNAKTPKPLLNIKRQEGTGDAHRHAGS KKPHGTLDRKSVLRNIEKVYSTLMKLEDHARHMPPPDPSLQAQHEQWVTTTKALNAEL WDNLKVHEPIGATTLHPFIAFLSFNKGKKAIPRVFRHLTFEQRATILTMIIFHLDQLD VVKGAQVNTGDTDLNAALREKIELFSVVVMPSIFQFFNETNLDIVDGALDLINDKLSV DLIAKTRIGTSMLTLILSRAELLKQAGGGTPEQWSKWESTFNTFFNIIEPTLPHIFPG SINSGEDIYVWQLLAAIGVSSSPEQQQRLVLAVKDRVMGTVNHAKTLPQAIGAQRLSN VNLFMQSIGLDVELLG
SAPIO_CDS7578	MAAGGTRYLRFILFAVFAFLVVYLITQSTYNGTYDLPNGGVPNP SSSGSKINLNRPAVGESYNPADYPMPMTPSDEGWNDILFNGPAPGPRMNATFVTLARN SDLWDMANSIREVEDRFNRRYNYDWVFLNDKPFDAAFKKLTTSLVSGKTYYGEIPKEH WSFPEWIDQDKAAKVRQDMKERKIIYGDSVSYRHMCRFESGFFFRHPLMLNYEYYWRV EPNVKFYCDIHYDPFRYMVENKKKYAFVLSLYEYIETIPTLWESTKKFIKNHPEHIVE GNSLDFLSDDGGETYNRCHFWSNFEVGSLSWLRSRQYLDYFESLDQDGGFFYERWGDA PVHSIAAGLMLKKEEIHFFNDISYWHVPFTHCPTGEKLRLDLKCNCNPKDNFDWKGYS CTSRYYDINGMEKPEGYENQQD
SAPIO_CDS7581	MRLFELPASSAIAACLLTLPQLSSAFYLPGVAPTSYKQGDSVPL YVNSVKPGPGSNTARLHSVISYDYYNPAFQFCRPKNGPESISESLGSILFGDRIMTSP FELHMRQNETCLELCRQPYDPLRLKFIKTRIQQGYTHNWLVDGLPAGQLKEDTADGST YYGPGFDLGEYLPEDDSAWFHNHYEIEVEYHQVKNKVVGETEQVWSRVVGVTVYPESR DYGENGPGSDCNAVTQPIVLREDMQGLNIQFTYSVTWVESKTVWATRWDKYLHVFDPK IHWFWLIDIAIVVVILVLTVMSILVRTLKKDIARYNRLDDIDLDDLNGTSVVEEGVQE DSGWKLVHGDVFRNPSRPLLLSVFLGNGAQLFVMTGFTIVFALLGFLSPSNRGSLGTI MILLYTILGFVGGYVSARIYKSMQGEQWKLNIALTPTLVPGVVFSSFFLLNLFLWAKQ SSGAVPFTTMLLIVAIWFIISIPLSFVGSWLGFRSPPVTAPVRTNQIPRQIPPGPTYL KTFPSMVLVGLLPFAAIFVELYFIMSSIWFSRIYYMFGFLFVCYVLMIMTCASVTILM VYFLLCSENYNWQWRAFLAAGMTGGYIFANSMFYLVTKLRLSSLAGAVLYMGYSALIS FLFFILTGTIGYFASWWFVRRIYASIKID
SAPIO_CDS7582	MDKAIDTRFERLERALANLIDSVAKYNPSAAQAHELDNADRELS QGLEEVQTHQNNHLRIQKLREESHSLDDQIRECLTNLASTRKEVLSIPTTVFPTKPGY AFTYDELLGYARRISKTTMPPPGVTNGVLLQPSDGANGDSTPVINGNPAGGSAVQTPS ATQPATPLSQLPHELQSQQTLASTNTSLPEGLSFHLNPHAGALFFPWPLEDKIRSGAL SSNQLLEEQGINPKNYDPVAEEERKQREEEEKRQREEEERIHREEMERKVREERERAI LERAKRREEEAAAGGWRKGSVAGASGQPPAGGAAGLGEKKQFQFTSIDDMDDDD
SAPIO_CDS7583	MVSTSPRLYAAYKSVYGPKYHYQPNFKGYTATQLTRVGFATAKY GAAALVAVIFYASGIPRVQKDVLSYIPVLGPLTEKPAIPESDNPF
SAPIO_CDS7584	MALKGGPDCCAATGVTFRCRLDMALPHIRLCTRAVPGTEVLRWE MERVGQQDHNTSRIIELNLAKENKLQRGTKAVSLYALYTGFNIALFPVLFFFSALYYT DVMSTLAVLVAYSNHLTRVRQQKTSILNDIWTVVVGIFSLTMRQTNVFWVVVYLGGME AVHAVKTQVPEKPKELVPGTPVKQTLSGYTRGAIHDLPISQAWPDDVFFTVVSIGVAV CSNPFRVLRQVWPHVVVLASFGAFVVWNGGVVLGDKSNHVATLHLAQMLYIWPLFAFF SAPLFVSQGLSVLGRAFGWSGSRHYKGQLPKATVTQSPESYSTSAEVNPNSTLKRVKR ERNAAGESSRVSDATQKDNQQASSLLLRRLSCATLLLLFCMGAVAVVKYNTIIHPFTL ADNRHYMFYVFRYTIRRPGLFRYYLVIPYLLSAFLVYGSLAHANGVSVRSSSPFNHHP YDRESEAVTRTNNVSYREPAPRAGADTIVTLTDDEISELTVPSSTAILLLLSTTLSLI TAPLVEPRYFIIPWVMWRLLVPAWSMKDFSDGAKNIPILEPLLKMGTSLDLRLSLETL WFACINVATMTIFLLKSYQWRAEDGTVLDEGRLQRFMW
SAPIO_CDS7586	MKETNASRRGTLLGLKVSILKRVLAPVSLYSSSPQPYMASASHS CRLIIADPKNPTLYTNRAFSRLKLQLYDSVIDDCQKCLELKPDNMKAFYYLSQAQLAI KDYDAALKNALRAHELCAKTLDKSLAAVTNLVLSCKKERWEAMERRRIRQGAELENET LRLLEREKDEALAEVSDELERNDIVVEWDEKIAELKRIFEQARAADSKRRKVPDWAID DITFGIMVDPVVTKTGKSYERASILEHLRLHQTDPLTREPLYVSDLRPNLSLKQACEE FLDENGWAVDW
SAPIO_CDS7587	MARDSNVRIRILLLGAPGVGKNCLESRFTTMTYPPPYNPAFTSC SRRLLTLAAKKAEPSTPVLQSPPPPDLSLGLSFDKNGREVSDAHRPQTSSSVYSEDDS ASGVVRVPGPREAAPSRFESRGTPETCAECVKSANTFMVEVINYPTLQLARERASVLN MGEYDAVLLLYDVGSRESFDIVPVLHSEVILRSRFYGKRSSWRSQGSLVKEEPIIALA GNKADFDSERPDPELTFNLRKSMERWGQNAHPTSSGSLDTIYSDEDEPIRSPSSLNIS MHEFVADGRRSAMSLDTSSTRSGAKLVKRKSTQSVRVNGDGTLPRMSRRASKRELANM WLDKGIMPREGATLDEVDEMAQMGGKSYRRQVARAEGADLARQLQLRVPFLETSSKTG QNVEEMFEAVVRQVLVSKGLKVLSTVDCQGSKPCRRDSATDSKFSSAANSPTDVGPEG KLAIHPSPPRQVAGSGDEWPSSFGSGWASEIREEVRINPAPVSTNAKAKKQGVLRRVS SIFGKKKQMSVAGVSA
SAPIO_CDS7590	MTEKVAQNEKTGSSETDDFQGQRDATQEEIDSLPHVADKLPFAA WAVILAGAFERFTYFGLIAPWHIWLGRFKTLMIGLALYLAGCIILVTTSIPDALNQGA GIGGLATCLVLVGLGVGSVKATFFPLLGDQYVQKKPQLVQSENGARVIVDGPRTIQLM YNAYYWFANVASLSSIPVTFLEFHYGFWVAYLLTTISLCVSIAFFAIWSGKLVKIAPK GNVLPRAVKTMSYAARSGFKLDHAKPSYQQTRHGRTVPWTDHFVDEMKRGLIACRVIF SLLVFYLCINQMYNNLVSQAGQMDLHGVPNDMIQAFSGVACIIFGPIIQGLYEILAKR KIEFGPIARMTAAFIFCGAGMGYAAGLQRLIYSSGPCYDRPFSCPESEGGKIPNSVNV WAQMPVYIFLAIGEIFGFVTAFEYAYGKAPKDMKTVVQALTQLTACFASVLGMAISPA AKVPNMVIFYACLAGAMGLTAVLFWWRFRKYDEIDTELNQLDYKEEVFESTSPASDEE KAVKS
SAPIO_CDS7593	MSGYPGAQYGRGQGGYGPPPQGYGYPQQQQGYYPPQQPPQGGYY QQPPPQQGGYYPPQQGYGQPQRGYPPSPQPPQQQYHQGYGARPSGHYPSHSQSSRAPP PPPSGMQQFGHGAPSNYAYQYSNCTGKRKALLIGINYFRQKGELRGCINDVRNLSNFL MTKHRFRREDMVILTDDQPDPRSQPTRQNILRAMAWLVNGAQPNDSLFFHYSGHGGQT RDLDGDEDDGYDEVIYPVDYKSAGHIVDDQIHDILVKPLKPGVRLTAIFDSCHSGSAM DLPYIYSTKGMLKEPNLAKDAGMGLLSAVSAYAKGDIGGVASSIFQFAKKATTGDDAY NKTLRTKTSPADVIMWSGSKDSQTSADATINSQATGAMSWAFMTALDKKPQQSYVELL NSIRDLLEAKYSQRPQLSSSHPIDTNLLFII
SAPIO_CDS7594	MASEAICPDSTVGRTGFSTIVSPDNPALDIVFIHGFRGHPERTW TSGGKTPRQKQSEGASDGSEPQPKRRRLLTFQSARHSDCEPVYWPRDLLPSTVPNARV LTFGYDSDIKHRVFDQANRTTVRDIAHNCLVALESERREAPERHLLFVVHSLGGIIVK ELLRQSSGHYQRQSHLRKVFESTTGIIFFGTPHDGADPLGSLRHVIERLAKMSGFQYN ESIANTLLPSSERLMELWEEFGPLAQERNWLIHSFREGLGVGALNGKKVVEDDSSFLR LPPAIETTEHIGRNHMEMCRFAGPDDVEYKKVDAALRRMTANLSLNLPGGSMPGITPE QRQQLKESLKFDQIDARHRSIKRAHAKTCKWFIKQPKYSDWLDVDKFPDHRGFLWVKG KPGAGKSTLMKYALSHSCKVLKGTTIINFFFNARGDELEKSTTGMYRSLLFQLIDRVP RLDAIFNSLRLTACRWDRPMQWSIESLQDLFEQAVQSLGQSRVVCYIDALDECDEDEI RKMLSLFERVGELAASSGIQFQVCFSSRHYPHITISQGLELALEDQEGHGQDITSYID SELKIGQSKVAREIKAELQEKASGVFMWVILVVDILNKAFDRGRVHALRKRLREIPAS LHELFRDILARDGGDKNELMLCIQWVLFAKRPLKPEELYFAVLAGTDLESVDAWDHEL MTMDTIRRFVLDSSKGLAEITKSKAPTVQFIHESVRDFLLKDNGLHEIWSDLGPQFQA QSHCRLKECCMEYMLIAAKEISLPEPLPKARSEEAIRLLNESQALWPFLEYSVHSVLH HADLAESGGVSQAQFLRDFEFPNWIVLNNLFEKFQIRRHELNTSPAYILADGNLAHLL KICTYECAGTDISRGRIASPFLEAVARNNIGALRALVELEFRKNEITATSALDAYQDV LTSRNRIPELRTDMGYYTWRALARHGHSKLIQLLVDVGALEIENTLSSLLEIAISDCE VDLVDVFLATGRRHVLPRAGLEQVPHRLAAFYGHTPAINVLLGIGEETNVNEGDNIGL SPLDRAALLGHADVARALIATGRVTINAQYPNPEDALPVVCGMRSFEGFTALHFAVLL GRTAVVDVLLEAGGADVNLKAKNGWTPLSLAARKGHTNIAWILLGIDGIDVNAPDQDG FTPLSWAASCGHFAMVQMLSGITGT
SAPIO_CDS7596	MWVLDSSGTSFQGRRLWLRPGKRYLIGRTSSEAGQLVITHKTIS RKHLTITVDPVTEGHAQNLASRSRLTIEDLNTSKGTFINGQSIQNQTHVLATENVEFT MGKCPDIFRITWVPVVLTYSFTSREMKNDPLKTLRSDLEQLDIKFITDYNVKATTHVV SKKRNTAKGLQALINGKYIVSGSFPDSIIAGATVQEGDESPLEVDFDASWPNELDYLP PRGSEASQSPTSQYAPDPSRREIFSGFTFIFYDKAQWENLLAPITNGRGKALYFEVTP HQTQVNDFVRYVKSIAGEKGLGSFEDGSEGKGAVVVRYIPAKGADVSWYTEFTTAVSL QLDHRPIEQKEFLEAILVKDATMLRRPLPIDDRPVEPPQPETRGGAMRAASALRDVEM ADSAQDGADTSQEPSQVDQKPVGLSTSRRSRVRRPATRRFKGFDSDDDDDIPSIQESA ASLPGPETNTERKEPLASNAEREEEGLFVSQGSVIASQEDPAQTQQNARKRREHPLPD EDDIMDDIAPTAAAAKRRRIARGEDPVIRDETPETMDVETQPKQTEKPKKIKKEIDVL EVARKTREEAEARARAEQEDLNNLPEDINLAEIRKLAIVEEMAVRVPPSARTRDQDIA DGRWDPRWNGRKNFKRFRARGEVSGRPAIRNIISLTQVKTKEFGIGDDYWLEDDAAAA RKESQGVPSQPSRPVESSNASSSQRTRTQTSRRQASRRTVSSDDSDEDEDEISLGITE LDRASSSRARSSGTTQRTASSQVASTRSQTRASTQAKRPAATPVVAQPAKRPRQTRRA VAAESEDSDEDVGFKFGRRR
SAPIO_CDS7599	MATQTYAPQAPPSSTIPRVTPAPPAVLDGDEKPPVSLALRQRFA KTNPKYAHSFGTVAFERSSSSGLRLIGWRAVDVVQQIWEKLVGSLKSLIGETRDTWEA WRPRCRRGEQRSGSDVPLYVLRCFLLGPDQAHATPHAAILCDTPWFRKAIGSVFIKSG LLLPAGFKCFGLPDRVDLYMAPAGSVWGSSHSGPRPLIRLNDFDIRTPAQYQSTNGLS IEILLDSTIVGSATIGGVVEIGKSTFGMTVRHTFRDPNEDTDWPELDDHDSDTHDCEI DLFEEDDLKEHDPLLVVGQPKSESPASEMFQDGRSTSNLSSGDLVPAGSDLVHVSPDQ WKLETGVLTAPEARLDWALISLQRLDQNQYFIEPSSGAHGDLVVIKTPSRLRYGDLGS SGVLGIPMTTAPQSVLVVSVDDIQPGDCGSWAMKLRDGAFTVNATPQNDPDYASYLNN LGSLQGKQFGRTGLIEAEKLFTQEVEMSKLKLGDDHPDTLTSMANLASIYRNQGRWEE AEKLDVQVMETRKVKLGDDHPDTLTSMANLALTYGKQGRWEEAEKLGVQVMETRKVKL GDDHPDTLTSMNNLAWTWKSQGRLADAQALMRSCVDARQRILGLEHPGTLSSLKALDQ WSS
SAPIO_CDS7600	MAVQHVAPVLQAPVFSPDPLSPAVKQELLPHLWPLSGGEPQMRS PDEFDAYFTYLRQECRHGLHHDHAMQSYADMVFILSIIRGNPSASLTDIRALISRLNS RLSSDDRKLSASIELAVRLWLMTSVRILMPTHQDDFDVSLPWPDNQSLVDVLRRHMSQ PPSSPLSTLEHFSPYFNVADMRNIAGFRVLWTNCLSDHLSIRGSSIYLFNQVSMLKRL RASATRYGLLIPGEISRVGLDPDIMYRETADKRKAAYVYWRERLLAASEIFDRTKPST LVQWWYDRRDMGQWWGFWLVVVLKPSN
SAPIO_CDS7601	MSANRFLIWGGEGWVAGHLKALLESQGKEVHTTTIRMENREAVL AELDRVKPTHVLNAAGCTGRPNVDWCEDNKETTIRSNVIGTLNLTDACFLKGIHVTVF ATGCIYQYDEEHPIGGKGFLETDPANFAGSFYSETKAHVEEIMKYYNNVLILRLRMPV SDDLHPRNFVTKISKYERVVDIPNSNTILTDLLPASILLAEHNEKGIYNFTNPGAISH NEVLTLFRDIVRPEFQWKNFSLEEQAKVIKAGRSNCKLDSTKLINKLKEYGYEVPEIH EAYKKCFERMKANGVN
SAPIO_CDS7602	MVVDTAYYDLLGVKPEANDIEIKKAYRKLAIIHHPDKNPDDPSA SAKFQEIGEAYQVLSDPQLRAAYDKYGKESARPTEGFVDPSEFFTSIFGGDAFLDWIG EISIIKDLTAAMDITMQQQAAEDGEFPGTEEAMKESMKTAEAEAGAAGSSSAGPKTTP PTVVVEDEKSDAAAAGTSATAGEPGPRRTPSPAGRTTPSPSPSGTSTPRPTAIPIRPA LMDKPSDEVIPPPAAQSDDLQKKKKDKGGLTKEQRDQLAALRKEQERARKERVDTLTA KLLDRLSVWTETDKGADVTAAFQEKMRLEVEELKMESFGIDICHAIGQTYVSKGSTLL RSQKFLGISGFFSRMKDKGNMVKDTWNTISSALDAQAEMEKMARLEEEAGEDWTEERK VEYERRVTGKILTAAWRGSKFEIQDILRSVCDNVLNDKAVPLPKRLERAQALVIIGDV FNRARRSPEEEGDYLVFEQLVAEAAMKDKDKDRRKSKDKRRTQVAADAPNVPKPS
SAPIO_CDS7603	MGALYFLLHPNQLKAIIQWKLWHEPVHRRNPATEPKTLQSCFRF LDLTSRSFSAVIQELNPELLVPVALFYLVLRGLDTIEDDMTIDIKKKEPLLRNFNKNM IIDGWTFNGNGPNEKDRELLVHFDDVVVELKKVKPEYFEIIDDITVKMGNGMADYALN AEFNTNGVNTVKDYELYCHYVAGLVGDGLTRLFVKSQLAIPKLLEKPSLTESMGQFLQ KTNIIRDIREDFEDGRRFWPKEIWSQYVKEFGDLFKPENRPLAVQCLNHMVLNSLKHV EECIFYMAAIKDQSVFNFVAIPQSMAIATLELVFNNPDVFDRNVKITKGDACRLMTES TQNLRVVSEVFRRYIQRIHKKNDPRDPHYLEISLQCSRVEQFIDTIFPPQTAEVQRKR YQNDQMAESQGMDLSDGAFLVAVVVGTMVLIAGLMIGGAYLANLYFTKYWPEDMITEI GRDEL
SAPIO_CDS7604	MAPQRSRLSALLLLLLPLMALAQRLGSINFIGLPISNPDATDII PDRYLVVWNTTFPTEAIESKQALFMSNIQKRNINKRGFSGSLLSTRVNSYRYNKFMAM TFESDNDLLLSIADQPEVAYVEAVTRVRASAVAAQQNAPLGLIRLSTETLRNRGTAGG YIFDTSGGQGITAYVVDTGIRTTHSEFQGRATFGANFIQGSPNTDENGHGSHVAGTIG GRTFGVAKQVDLVAVKVLDAEGGGDTAGVLDGMQWVVDDVRANNRSGKAVMNMSLGGS FSRAMNSAIEALRQAGVVPVVAAGNENVDASRTSPASAPNAITVGAINAADDRRASFS NFGSVVDIFAPGVDVTSVGITSDTASARLSGTSMASPHVCGLAAYLMALEGINQVDDV VARITQLAGTTGASVGGNRGDTTNLIAYNGAQELL
SAPIO_CDS7605	MDIRSLITDEDGDHVFNYSPPRSPVFPHHQIDTKSEDAFRTSPV DELTSIVNTSANNHSISSNPVSPGPPSPPLDQPKSADFVPPVRPSSTPFLRTEPLPLL CHDTDLDDAYAFSPDASSLLALAPDQLSHAPTAVTLNSLPTEIHEAILDHLFGFRVSP QSKSSVDLACVTKPWGTLHRYSRRKEISQLALVSQIWRPLIQSRLYRHIKIQGTQDSL VEVANFFLDHPHLVPYIKHLEVWFPVFQPRRGQSAADANSTPTVSAAREDGLATAANY KLPYNNACLRQVFDFMRVMLPGVSVLTLEGGERRKAPKVRNEPAHRNEIITKTTPSLL NVRTLVTKGQWNLLRDDVDFSFIMTSFPNLVEWHGSYSRPKSKSYLSMARFLPNIPSH VTHLSLFLEADYRREMSTPTYALKVANQVDFCRKLAEATPSLEHLSYTGRICRSFFEA AALKTNPRLTRLKSIDLTVKNICRTSDLHSSGTGIFDILFIQNFEALVLAAIRSMDVL TALQFLRIRYVDLESAVPPLNPYFLMRDGKCTGVWSEALLRELGRVRPMAQYEELTEN FGDLAWNKDGRLYVSTQGNRLRVRSLKISSYRIIQIPALNA
SAPIO_CDS7606	MSLLTLISRPGPLFTLALLLRLTLFFYGLFQDAHSPVKYTDIDY LVFTDASRFVANHSSPYDRETYRYTPLLAWLLLPTATWFFSFGKLVFAAADLLAGWLL ARILIDGSPRMEAGRAYRFAAIWLLNPMVATISTRGSSEGLLGVLTAALLWAVCKRRV VLAAVVLGVGVHFKIYPFIYAPASVWWMDDERMGRAVPNRAGQSLFMRILHFINPDRV KFAIISFATFMGLNFLMYSMYGTPFIIHTYLHHVSRIDHRHNFSPYNILLYLSSSRPA SSTPNPLAIESLAFLPQLLLSAVLIPLVVAKQNLATSMMAQTFAFVTFNKVCTSQYFL WYLIFLPLYLPSSTFLSTPSLGLSALAAWILPQAIWLQQAYQLEFLGLPTFTPGLWGA SLAFFLVNCWILGIVIWDGAVGPNTAGQRIKKA
SAPIO_CDS7607	MGVKRNHSGQVVVPSTSTTQPQGRFTSLFEGFRAELDEHYDRKE RIVKASRDVTAHSKKIIFALHRSNAINQDPNPSIQKEVTTRLADIQKSLSPIAADITG LYRYRYYLPCLEELVEALTFSHYLRTQTLLSLTDARAAVPCGVELTEKDYVYGVFDLF GEMMRFATAKSRAKGVLLAEEESPKGRSVLNDIQELGCAFETLPKLGEKAFGMKLEAM RASVRKVEVLGYRLVIQRGEHEEGWMPDADVEEDFGGRE
SAPIO_CDS7608	MGSIISKVKKSKKGAREAPPAKQAPASPGPSTPDSTQPQQETKT LSKDGRYVLPSPKIFTFTSKLHHDTYPEIDPITQSDCTGKAVLVTGSNRGIGRAILLS YAKAGATHLALCSRDPSASAHVAAEIRAAAAAAGRTVVPEVLELRLDVTDQAQVDAAA KEVEEKWGRLDVLVNNAGFMAEDCFEPLGVARREDWEYTIEVSVIGAAKITRALLPVM LKGGDKTVVNISSIGALYPDGGAEAYGISKMILCRAAEFLSVGYAKEDFLAFSVHPGG IDTPLARNMPEDFHQYLCDKEELPSDSIVYLTSKRPTWLAGRFINANWDMPELLAKEK EIVEGDLLKFKCLGLF
SAPIO_CDS7610	MFLISDSPSTLYPCPEAWKAPLDTVDPRVGNEFRAGEIAYSDMA SGEWTIIIQGEDLDISFQRKFQLNPTAPEKTLVTNYLDGETTVISTTVERWSSRDEST EHFRTTQVAWAYCHCPEGGSGSGGQRPRPDERPNVPGPKPGDNCGGECRPWWNEGGWR WRDGNNGGGNRGGGGSGGGNNGGGGNRGGNNGGGNRGGGNGGGNGGGNGGGNNGGGNR GGGGGGGGNKGGNDGGGRRGGGNRGGGGGGNGRGRGGGGGGGGGGGGGPGRDRGRGRG PQETESAGNVLHKGKREQKKKRHKLTETLAVPEVAERAVAAAAALTSTVYDRKTVTST HIVTRSPKTVTETAYSTSTQRIEVPPEIVCDNTGVLTLTTVIKGKGVTELRATYITHW ATPVVTISETEFVTSTDKAQETQCWQAGGWYGA
SAPIO_CDS7611	MAEHVAIPIEKEQLASSNSTNGQNASFRHQDYSAPEGLMIHPGQ IRPFEHRKFANPAPLGLSAFALTTFVLSAVNMHARGVKTPNIAVPLAFGYGGLVQLLA GMWEMAVGNTFGATALSSYGGFWISYGLLLSPGFNILDTKTGPYSANPSDVSSVVGFF LTGWFIFNTLLLLCTLKSTVMFFLLFFTLDLAFLFLACENYAANNGAMAAKDGLQIAG GVFGMLAAFLAWYNALAGIQDSSNSFFQVPVFPLPWSDKAKADRAAKAAAHTV
SAPIO_CDS7614	MFFALFFVLWRICQITTLIPTVGMLAWFVDSFQNENVLTPNYIL ILFVVSVLALAWTIFTVFSYHRSSANATFVAFVDIGFFGAFIAAVYFLRHVTRVDCTR VTRDGDWRFFFGNLVAASISVEISKPCSMLKACFAFGIMNVIMFFSTSILAWMHGDRA YEKRERSHSHSRRHSHRSGSRHSHRSRSSHSRHRVYV
SAPIO_CDS7617	MNPSQVHSLNHLFGMQLPPLSKNIWVAAGILCAAAIYRLLKIGS RDPRMPKGPPTVPILGNFHQIPSSGLYSKFRDWAKEYGSVFSLKFGPSNIIVLCDRKA IHALLDKKGAIYSDRPASYVGKLLTQGDHIALEQMDPIWREKRKVISHNFSPKNLDEK HFRVQEAEAGVLLNDLLENPEGFFNHVRRYTASSASVLAFGHRGRTFDSFWGHVVYDV MDRWTEAMEPGANPPVDEYPILKLIPMRFAYWKRRAVSAGKVFDSMWGKARSIVDDRR SRGDKRDCIMDRLLDEYNRKGWPMSQHAFNNLVGEVVEGAADTTAAQILTLILAFAKH PHVQRKAWEQIDKVCLPTRIPTFADFNNIPYINQIVKEGMRWRPVAVTGLPHRVREDD WYEGMLIPKDSTLFVPVWALHHSEELFKDNDTFDPERYANHPKLANDYAGSPEWSNRD KYPNAVSG
SAPIO_CDS7618	MPSATVLVNNTSGKAPLKTKASLPLNAISKTNGDYLPNSHGVKY DYATTEVGGNTDILDKQASTEFGDWRDEFFRDGYTVVKEAIPRERALDYQRQALEWFG KFPFGFNINDKSTWVNDKLPVMMKGGMILNYCAAHEKWVWEARCERGVIEPFAKLWGT DELLVSFDAVNITLPGRTDVKWAPWPHIDQAPTRKGLACAQGIINLSEAGPRDGGLQL LQGSSKLFEQFFEEHPPKPKDADSPGQLDWYGFSLEDVKWFEDHGCKLIKVDAEPGDV IIWDSRTVHYAKLPESDTVRTIIYATYTPAKLASPNDIALKADLFHRYEATTHWPHCN IFGQGKALRDGNICPGEREEPLEKPQLTDKLLKLAGWRQRLVGLCAVSKTEPYSNSYY WGHDLDGEPDTLWGLEGYHHPVGFFMNHVSSDTFKEEMRLVDEDKLLRNTQGLGSPDY DLHHYDLFSGFVTRENDADAHAEDSFVVVIHYWALNGKRKQLLGALADFADRVTALEK LPPVTIQSFAVLKELNDLNLASVYIR
SAPIO_CDS7619	MNSPGVQPADAVSDAASVVVASTSASNRPRPKQRRLRAIRCDNI PGSCRNCEVYGEKCVITRNGAFFRRVQQGRPSQRSQNQESQVSAVDTAAEDAGATGML GTLPLDQTAGRNMADSELNLQGVVTTEVGHSPIDAKFVGLSSPQVLARLAQQVFSHFS QMNVMDFFCPMMTFAEEFPLPMPIQRPLVAQEVADKCVQRMTPSKLSFEGGRLSTIHD DNCDAELPQTQSGGRFVFLSSFISLTKILSSISRSLFHRDVSRVNNDELVRRMAAADN DLLSWRDSLPEELQPDRELYALGDDVSETASAMLHCTYYNAIIIIHRASLVSTAERVH LMKTHENRRIAASDVVCISAARSLIRSVNHAVMECHNFRMLGLIHPYAINAVMALYIG IMQSPLRWSVPTDLALMRSIQPCFEQSRDPAAGSRFRSLMAWLTEAMERSEAAAQRRN HPERLTPMLQGQDQLTPPQRPTPSTQSMGTWPLIDQSTKMAAPDNFSSASAAATPSVA LDLVGGSSSHQDSGDNWVVTPAPSHPSSTPSFLADAGNMGLPVDVSGLQLGDFFGDHS TAWNTQLWPLMGDGGASRDCGDPTIT
SAPIO_CDS7620	MAASRYKDVEVIQLPATKLGPEFCYVPPANPGGSKLLKAGTVQK EAHRPLLCDILVEEEIPIKTRDGTVLYADIYRPPNHTKVPAIISGGPFGNRGGPYGAA FDKAPFRFGCPRIATSGLEKFEGLDPGYWCYHGYAIVHTDCRGTWNSEGDANFPCKQE GEDNYDIIEFIAQLPWCNGRTSMAGNSYLAFTQYWAGAENPPHLTCLAPWEGFSSLYE EVIRPGGCPNPGFSSGIMTSSFASLKGGKVPDVVAMCYKYPTWNDYWEAHRAAVEKIN VPIYVVASWTNFLHVTGTLRTFQNCSSKEKWLRIHNTHEWPDLYDEQNTEDLRRFYDY YLKDVNNDWIYTPRVRMCVLNAGGRDIVNRPETSFPLARQRPCRLYLNPNGNSLSRTP LSTEATFSYEAGKGDATFTLPFTEGPIEFSGYVMLRLWAEAEGSDDMDVYATFQKFDS KSGKPLQPVVVDVGRLQPNPEEGRKQLYEKPDGENETFGAEFFNAGPIGCLRASHREI DQARSTEFHPRYTHREEQMLQPGQIVQLDIAIPPYGMIINGGEELRLTISGYHPDPHC RPTDPKPELRNKGRHIIHTGGKYDSHLVLMHIPEKSAEE
SAPIO_CDS7621	MDQSKVSPDLPKDELINEAAVKAVGMELASVLPKHDKPWFRQKH LLQLNFLIIFLLLTPASLGFDASMMNGLQSLETWRDYFGEPKGAKLGFMNAVMPLGAL IGSLPAGWTANYLGRKYTVMTGLIILISSAILQGCAPNYAAFVCARFFIGIGIEFTAL PSPVLISELAYPTHRGKITSLYQTLFYLGAIASSWTIFGTFNITGSTWSWRIPSLLQA FFPVIQLVGLYFVPESPRWLIARGRTAEARAIFAKYHAAGNDAEPLVSYEVSEITAHI EAEKAVAGMSYLDLLKTNADRKRMAVCLFTAFISNWSGNGIITYYLTLILSSVGITSS FDQTLINGVLQIFNLFAAIFGAMLVDRVGRRPLWIVSCTGMLLTYIILTILSAEFVKT EQRGLGIGVIVMLFLYFFHYDIAVTPLTFAYPIEIFPFHARQKGMGIVMFFNGACALT NTFVNPIALENIGWKYYIVYVALLLLMLVVVILCFAKTKGHSLEQIADIFEGPFIVVG KSRRRKSEIAIIEEKVLEKGGQAVMIEDVADTKVAPGKE
SAPIO_CDS7623	MAALFALFLTLLAARWSLAVSASTTHSFSNTTKLEYYPQPPPPY MVDNQHNYYHTAPDKGKQDGPVWAYSGSLDGYLTNLRSGVVLRGGHAGSNSIQRTKFD SANKKRQSSSNFWLTSLGPLGTQPHAGGDSYQFFRNVVDDFGADNTGESDATEALNAA SASWNKDSVGGSRERCGKDCGNTFSQGAIVFFPGGTYKICTPVIQYYYTQFVGDPNDM PVIKGCDKFRGIALIDVDPYKPGESGAQWYINQNQFFRQIRNFRFDLTEMPLSTEDND QPLVPTGIHWQVAQATSLQNLVFEMPTTSTTTHVGIFSENGSGGFVSDLEFNGGNIGW RAGSQQYTARNLVFNQCNTAVQMVWDWGWNWQQITVNGGSIAFNISGVGGDTGQGIGS VSIIDSTISNVEVGVLTNNLATSPNIVLDNTRFENVANPVKAEGGSNLLSGNSDLWAT GRRYNGSEGSMETGAVTAPGRGKGLNDPDGKLYVRSRPQYEGHSVGSFLIATTDGGCQ NDATGDQASCINSFLRRALDEGKIAYFPAGVYAVGSTVNIPTGSIVQGSLWSQILGSG FYFSDMKNPKVMVQVGNKGDIGEMQITEMLFSVRGATAGAILMEWNVAAASQGAAAMW DSHFRVGGAIGTDLDLSKCPKFSNNADCIAASLLFRVTSQANGYFENVWAWVADHDND ASIVNKPDSSSTQISIFGARGMLIESEGPSWFYGGGSEHCVLYNYLISGAESVYMGHI QTESPYYQPNPHPPEPFRAAASLAGFPNDPDFSECEVAPDVWDDRCNYAWGMRIIDSK DVMIHSAGLYSFFNEYYQDCVPTNNCQDHILEVKGSTGVVIYNLFTVATVNIASGIDK TEVPQDENQRGFTTEVSVWVPLPGSDNVDKVWVGTEIWTATTVSCPVQTRACMLILPT SSLGSTTTIRPSSFTTSLEYGGISSTTIGDVPTTVFVTSTTTVTLSLPPITTDGIPFS NVNVTGSGETVIVAYPSVEVPPVVVTLPDGEGGETTRIVSLPPWPLIDGGPSTIFTDP GTLPSPSDGELPTSTTYFTPVRSTITVTSATCSHSSGPPRPGPPPINIIHPPPGVTIR GTLPPWPRITIGRDHRITTESEPDDCETQTAEACTTTDFVSDGTTTSSTTLCETITGC SLSVEDSSTAVFGSQTAAPIGAFNDESWATMTLGDDYTNSVFAALESRLAREEASGGG TTLSFTPGPTAGPTCGGGDTTACGGTICSGYWCTPDPTGPPPGFKDPKDPNSKDYSAP TTTIGTTTTDDKPPPTNDPVTPLTRGPINCFNEDDFPGHADLQSGDQDDFSEEFSNLR TQMGDNDLLGPDDPPVRLRRVDTHGVNYDFSCSWVPGCKTTVEKQSFGFPIGSPSAIT AYLLVREDFTKCNNGGVGGSCQAGCLLYTFEGGRGGLPDVCNAFDLSLDRVATPV
SAPIO_CDS7625	MARHSFLLGLITGLLAILSYTTAEDVKITDIFTVQDGSRDGGCD GRMAELDQWLSESSFSVTTALENLEKYDQLLSVRRAMLIFFGIPSRNKLEPESGDSNQ EDGPRRAAFNAVKRYLEYVEDFLNHKQESSGKVLYGKDNFHLHCHSTFLSLHQPTDPA LDFQANEMQDQDGITILVQDVPVYQEKLAEDPDNRVFWSGELTKQNGYYFTESGANMC DDSDHLGGTADLQILEPGANGQAQRGDPKINVILCPSSFDNTEKAASYREANNGLTDG VDLAEAVPRSATLLHEIFHALNGGIFLDGNSEKYALTECIDLAKKNPAKARLNPENYV FFITHMYHLFGEDEGEDPISIPTNWDFRVATISGVQGLRAFRSGN
SAPIO_CDS7626	MARIKQSSRKRSVPPVITWTRFHLPQEQQLPTWSVDQTPGHINV HHGPLIGVRGCKRVWLGRMVEDPEQAAYIIEWATQDDAKNFQSSPACAEFLQNLPEYD NSQVSIDSSLGLEKITLSEASSSLSTMSPRFLLLNPIIDILRPDVEDRVTFTAFLVPG KVDSPLGLWRDHFRTPFYSFMPPGYESLTFSGRARDTFSATWFHVLSEDSWVEKKFGK LEEQTAHDGEGGRTIICQFHLWPTRWGGTPEKEAASVADPEGRKRWNQVIARVMPPAT AWVQERWDIHRILRCDPLPVEPPEEGPEFE
SAPIO_CDS7627	MFKNKFYCITGAASGIGRATALELASEQASGLALSDVDMEGLEN TAKECQRMGVKTVLTTKLDVRNQRDVESWVEEAYGSFGRLDGAANVAGVAGGTGDTTI ETIVQEDWDRTLGINLNGVMSCMRAQLPRLTKPGGAIVNVASTSSRRGLPHSAAYAAS KFAVIGLTESAAGEYAKQGVRINALLPGPIDTKIFRDGEAKGLFDSDALSKDTLLGRV GKAEEVAKVLCFLLSDNASYRTGMLTEVTWHVNWPAIANPERERAACAETSRNRRTGF DRMFGCGSIGEL
SAPIO_CDS7633	MDENGFVKDATEYRDVQNAFKFLHMPPTKYHNPELVKEEQEVMD QLYRGWLHYWNHESRADFANGMNGARRFYDFDDMLSYDMFGNTIRGGFKGHFDGIFPY WNDGYMEYKDIEITALSKEYAFSTMIQHTWGTAGGVPFDTAFRRTGIARKNEKGEWKW IHEHLSFPTDMKTQKADFTASLDPLKAMKLA
SAPIO_CDS7634	MASKPAIEYDAIIVGSGFGGCYLLHKLRTAGFKCLVIDDAADLG GVWFWNCYRGARVDTPVPLYEFSDPDLWKDWTWSERYPGRDELRRYFDHVESKLHLKK DILFNTRVVSADFNQADNYWNVLDNAAVTRTARYFILCTGFAAKPFIPTIDGIDTFAG ISCHTSKWPQGGIDFHGKRVGIVGNGSSGLQVIQDVASEASHMTVFQRSPTYALPMRQ TPLQDKDQVKAEYEELFERRKKMFAGLTTEFNPQSAVQVSDEEREAFFEKIWTQGGLS FWLATYQDVIRDTEANKYAYEFWRKKTLPRIKKPGLAELLAPEKPPYYFGTKRATLEQ KYYEVYNNDHDDRVSLVVPNGVITKDGTLRELDILIFATGFDSVTGGILSIDIKGVDG LPLQEKWKNGTYTHLGMMTAGFPNMMFLYGPQGPTSFCNGPTCAELQGDWIIKTIIHL RDQNRHHIDPTGEAEQEWRTLVNQIGDMTLLPRTKSEYMGTNIPGKPKEMLNFLGGLV EYTKHIDNAFNHGFQQFVVY
SAPIO_CDS7636	MASPVPNPFPSIAETLQHPAYPGTIWKLEPHSKGRCPVGKSRGG PFHIAYEIHGDGPIKIAFIMGLAGVKAAWQRQTKHFGHDSSQYSVLILDNRGMGESDK PWRRYTTSAMALDCIDILQHIGWLNPTDPSPKRSLHLIGISLGGMISQEIAHRIPQHL ASLTLLCTAAAVENTKPWYETLVERSSMFIPKTMDAQILITARQLFPEEWLRGPDESV MPSPKGTPRCGPAPGTPDGEYLRFESNFQRFQAQELVKRLNPSAYTMWGLTLQLGAAA LHHKSEAQLTELADRIGRERISILHGTKDEMIDVVLGEKLIKMVKPGTSLIVEGMGHA PVLDRAAWVNERIETHIGMCEGIKDRE
SAPIO_CDS7637	MPPAKDNEPSPSGAADPAASKGKEPEVVNESEGEEEDEEEAGAE GTAAAEASSGGKKKKKKKSKKKKAAAAPAEEESDKVGAETAQKLVSSLTDSQLKQLWS MNPALNNEITAGGSKEPTRQNVMEMLQKMSIHDLLTGMPSGRGAVKDMGAFKFWKTQP VPKFGEKISEEGPMQVKTLDDVAKQPDPLPDGFEWVTMDLTNEDEMKEVHDLLEGHYV EDDTAMLRFNYSMSILKWAMLAPGWKPDYHIGVRASQSRRLVAFISAIPVDIRVRKAV ITVSEVNFLVVHKKLRNKRLAPVMIKEVTRRSNLNGIWQGLYTGGNILPTPVSTCRYY HRALDWQKLYEIGFSPLPPGSKPSQQIKRYQLPDHGKLKGVREITADDVDAVLSLLTR YLERFDMAPEYTKEEFIHWFVSTTQDREERVVWAYVVEDDNGKITDFFSFYGLDSSVI NHNKHKLLRAAYHFYYATETGLTEKVDKAALKKRLNELMHEALIFAKKLKFDVYNALT LMENPLILNEHHFGGGDGNLHYYLFNYRTAPISGGVTKTNRPDEEKLSGIGVVML
SAPIO_CDS7638	MGVSWTDAGQTAAIVLAVPLGLYILFLLIGAIPYFQRLFVYAHI FNTLLWDNVDKPEKWGFALHGNAGHIANGWRTDTYHSLTDTTSYHVLAIDYRGFGRSS GRPTEKGIILDALTAVEWVMKTAEVPSERIVLFGQSLGTAVASGVAELCADDDLEFAG IILIAGFSKLPTMLTGYRISGYVPVFGPFSWVPLTSRCLQALIYEKWPSEDRLARVVK RTKTRLRLTFIHAQNDMDIPCHESDKLFRSAASATLPDGLDPLEFESKKENGTTKWEK GAFRRIWTAEPNIIILQEQFPHGGHNRIMKFAPTLLAVMRSFDEE
SAPIO_CDS7639	MERKRKLPARAAARSDLASKKRTVTPPEKEASATPAPEPTPAEE PPQLPKSIQPGKPLPTVEAAQPQNLSSKEFQSIQESGVMAESLRRSRHKWMTEFIFEK YWTKPTKRKGVINEDPKNPPKDSMMKLGQVTISIEPHIFDATLYAVKDPKPPAPPSST VRPVLQYGPPGGSLPPKSAKPSTTASKPSPAAKPLSATTTPRSTNNDSTAPSAQGASI VSASSKPHPAHSPSPSITAVSGGPALAPADARPAAPAPLPRTSNSPAVAPQQTASTPR YQQSPTPTGFVSGSTPKPAAPPPPPGPANNAVIATLAERATSDDNLRELMKRVAEGKA SKAELAQFQRVIDQIHEEHSRKEAQPPPSADKLFVDGRTVKYFAEEVKTILQIVLASN PQQRSSNLRPPAGSDTLIVMLVQAALDDAPLKAAIQRIANGKPEYNDATTLKSTLDNL HKKLMLDKLKEQKPIPSSPIPTSSSNPISGSRASPAAGAQSQAPQQALRSKGPPPAPK PDISAIVVEFAGGNGDRYLFPKFSILEYRGTQQVVASFLLVRKSSRLEYGGDRELDYY EPITVRLFAASGRHLENLARVVAPREEVVRYMDDVMSNMTRAQYILLAWRLLRERAGE KGEGAEETNGEANGAASKEAEGQVITASLKPAVLWTAKTQGNKSSSMEKNKFSSAEDE EAEQYQKLIRSAVPGDVEA
SAPIO_CDS7641	MGDKGYPSSSLPSSFDDNDKFVNENGWRKIARKIREEPLIPIGT ALTIYAFINSYRAVRRGDSHGAQRMFRARVMAQGFTVLAICAGGLYYGQDRARSKELR KLKEAQDSEEKRAKWIKELEARDEEDKAMRRKLAEKRQLLEEEKQKLLEDERAAVAAA SHTPKNEGGVLSALSGMWGGKKGGDAPAEGKEMPTEETAAAAAGTAQEADGSGKKKRN PRSSLDSLGEIIGHKKSSSSDSSENK
SAPIO_CDS7642	MADSQEPRAVLPETNGLVYLPNGINGDDHRPVTPPQRNGMSLTE YSANPKTPSPERRQRIKGVVPDDFLLEDGNPDYLRLIATATNRLECNVLLKREDQQPV FSFKLRGAYNKMAHLDPAVSWKGVIACSAGNHAQGVAYSARKLKIPATIVMPEATPSI KHLNVSRLGGHVVLHGADFDAAKEECARREKLDGLINIPPFDDPYVIAGQGTIGMELF GQTNMQKLEAIFCCIGGGGLIAGIGAYVKRMAPHVKIIGVEAYDANAMVQSLKKGERV VLKDVGLFADGAAVKTVGEETFRLCREVVDEVIEVTTDEICAAIKDMYDDTRSGLEPA GALSIAGLKKYVAQNPSEDTNRTLIAVTSGANMNFDRLRFVAERATLGEGKEALLAVS IPEKPGSFAELISAVMPHAVTEFSYRYATPEIANILIGISLTGPASQRGEELARLLGR IRWGGMSVTDLSGDELAKTHIRYLVGGRSNVPNERLYMFNFPERPGALEKFVTALKPK FNISLFQYRNYGGDVAKILTGIMCPDSELEELEKFLKVVGYPYEDCTQSDVFKMFLRS 
SAPIO_CDS7643	MSSSLIRTFGALSLAATRATMAAPRQVLGLTQRVMPTSLAASRS AAVTTGVFEQVRGMKVHSSVKKRCEHCKIVRRKGGKRHNGYLYVICKANPRHKQRQS
SAPIO_CDS7644	MLSSPVTISDHPSPAWSNQWLHPSTGNPFTLKFCQSDELSAGDL QACLDLVEETSGEHYKSSSRGWHPGSKRREMREADMRYILVKDESDVVKGFTSLMPCY EEGEPVIYCYEIHLKPELQGTGLGRTLMSFLETIAANVPTVNKVMLTCFLCNTKGLEF YKRIGFEKDEISPEPRKLRFGKVFEPDYVILSKVVGRGNA
SAPIO_CDS7645	MSSKASSVPARTPAKTPTSQKKPAGSASGGKQQSIMSFFARSAS TPSAANPKPAPSSPLDCLKESTKSNSLKPRAANITPLPSSDPIDPPSSQENIDSANPK VVDPGASLPSPVTPAEAMVKQTTTSSRPFMSSSPTRKVYRPTARKVMNYAESDDEDDD PFQRMQTSRSRRRNRTRPAVSDDEDEYVEEGGNAAAEEDDDEMGDFIVSDDSDAPTKS KKRKRAESRPQPARKRASPVSRRVEEDVDIDIDMDIDMDDVEAGLPTSTAYLRWAFDE NAEVQNCPVKAPAARSGSKGKERPHEKDPEQRYPWLANMVDLNRNPPGHPEYDPSSVY VPPAAWNKFSAFEKQYWEIKHKLWDTIVFFKKGKFYELYENDATIGHQLFDLKLTDRV NMRMVGVPESSLDMWVNQFVAKGYKVARVDQMESALGKEMRERNGNAKASKADKIIRR ELACVLTGGTLVDGSMLQDDMATYCAAIKESIIDGKPSFGIAFVDAATGRFAISEFED DVDMTKFETFVAQTSPRELIIEKSHISTKALRILKNSTSPTTIWNYRKSGTEFWDADS TRRELDSGEYFTSGDGAWPETLQEAKDNDLAMSALGALIQYLRSLKLEQSLISQGNFE PYNPIQRDGTLILDGQTLINLEIFANNVDGGSEGTLFSLLNCCVTPFGKRLFRQWVCH PLCNIKKINERLDAVDMLNADRSLRDQFTSQMTKMPDLERLISRVHAGSIRADDFVKV LEGFEQIEYTMSLLGAFRGGNGLVDRLISGMPDLSKPLAYWRTAFKRDLAKTDKTLVP EKGIEADFDESKDAITEIKSRLAAVLEEEKKALKCRTLKFTDVGKEIYQIEAPKSLKV PSGWRQMSATSSVKRYYFSELTDLVRELQEAEETHSQIIKETASRFFSRFDEDYGTWL LAVRIMAQLDCLVGLAKASSFIGHPSCRPEFVEGERTVVDFEELRHPCMQTTVTDFIP NDVKLGGDSEKISLLTGANAAGKSTILRMSCIAVIMAQIGCYVPAVSARLTPIDRIMS RLGANDNIFAAQSTFFVELSETKKILSEATPRSLVILDELGRGTSSYDGVAVAQAVLH HVASHIGCVGFFATHYHSLATEFENHPEIRARRMRIHVDDAERRVTFLYKLEDGVAEG SFGMHCAAMCGIPSRVVESAEVAAREWEHTSRLKESLDKAKKGCYVPLGMLSDVASVL RGDGDVGKLGLEVLLKAIQGL
SAPIO_CDS7646	MTDTPKLDKVNEVIPEEETKDATPAEREKSESTPQEPTAVSPPE STIEDGRKTPSDLSGRKTPVDNMDGRKTPSSDLDGRKTPSDLGGRATPSELEGRRTPS TPITPRQDLSHIASQDSIRRKPVPAPSYDTLRDLAAMDGTRVSTPRNPLEKTTYTILP EQLAEKAPSTPDATPRTRITLPSREKPRGFKLDVKALATVDLKRLAEAWCVEVAWWGV ALLCFIIIAAVLGAYNNHPLTTLPLNLNAFLAFFTTIATASFLIPVSESLSQWKWNYF RAEDRSLSDFQLFDSATRSPSGGAVLLAKLKHTHIASAGAAIGLLSLLTAAVTQASIG YRSSFVPLGTDGGAAVIKAARILGSEQEGGFGEGIPDSLTLDVAPFRLPITCSTSECD FPSFESLAVCAKVNDVTSRLGKPLAKGNSTSSNGEKKKAYSTSLPDAANCVIEADGQF NVLACKTNGSTTLSFDGDDDLKKTAIYSMPIIYSNAEGSETSLNVKFEAVEVLFHLCL NSYETKVSGGRADVKVTGSSYSLGSESADREVAVNCSMVREDDDATRGNNCTVSKSVP SDAFMELRGPRGDEDVFKAHFATLEDMALTMNEAISGLYVRKVGEDGKKETNNVGSHI QHIAESIIFNGDRDKQKERLTRMADNIAVSLTNAIAAKQFAASPPTANPITGTALLPE TRITIRWGALTLLILQLIGALFFLIYTAVATQLAGCEVFKSSALAALFALEEDCRGVA GGLESVAGMRRKARVMLVRLRDEAIVLAGEETGGSGVAVNGNGRSKSKEEI
SAPIO_CDS7647	MIAGIVLIVRITYITRLQPGLRFLYEATDVAIWSVMEAALGITA SCVATFRPLFKSCGFGWHSRKRDRSSHYQLQQEPRDGFSRTTKPATAGFGPASDIIND KSDHDGSEHELTIRKTGKIDVTSVTEEYPNPPVSSPRKKAFDKDLPDLAGRARSYDWT YATDPEDMMVYNNRETV
SAPIO_CDS7648	MKTLRKLLKDGTTNIKESLTRNRSAPHEPLPPDSIIPVDASPPV SGSLVTTPSASPRGLATVPIWEVSNASSNATNIHVPPSIPQATRSPVEADPGTNAPIR STETMEQVPLRLVSRPQNKAESDVDIIAIHGLDTKSPDTWIWKPQGRNGSRDGNSSHV SEVNWLADQGMLSAVVGPARILTCDWPAGLFVDQDTIQMTIKELARGLLLSIQSERHK KKDRPILFIASCLGGIILIQALVIAAKQNSDYHSLWRATRGIVFLATPFRGTAFQDIA GVAVTFMERCAALAGRSVTTKLLDSVKESTQFLEELVGEFTHEYRQRDTCQMAIFYEK KKSNLLRKGLPPWLADRLKEPKLLVDSSSARLDIVLDPVALDRTHVLMNKFSGSDDPG YIAVTRKIAIILRYIRTNSVLDEADAWIRDKHYTEGRLKIERLSGDQLPIDQCYINLA IVEQPGRDAARSEEGPEKGDTPLQSSPFSLHARLKTETPDKNIQVELPTLFNPRKGRH GHETKPRRILIRGRAGVGKTTLCKKIVHDFIRGTWNDLFDRILWVPLRRLKTWKPLEC DLAELFYHEYFIESSKGRLLAEELWRAIEGRKRGKTLFILDGLDEVARDLHSDDSMFR LLTTLLNQPNVIITSRPYGSLPPDLHPLDLELETIGFYPDQVKEYIEKTLGDRRKVVE IQSFLQHRLLVQGLVRIPIQLDALCFTWDEGFSRNPMLQTMTAIYQAIEHRLWKKDVL RLEKKDNGEPVTYSHIQDSDPSEIEDIVKDEIYFLEGLAFTGLHNDVIDFEARHRRDI ARNYKPLATTFLVDKTLPRLSFLRTSDPSAEHSSRSYHFLHLTFQEYFAARYFVRQWT SGGQLTCLKLSSGQERAIAKGVRETNAEEFLRKEKYNARYDIFWRFVAGLLQANGGDA QLYRLFRTIEDQPRDLLGPVHQRLVMHCLSEVVPSQETSNFNRLREHLEGQLKQWLSF ECNFEFNGRGLSQLAAEMEFPEPILKDVLQNESEDVQMKILGALTARPKLSPTIMGYA ASCLGDNISGSLKKAALTVFERPREDLPEAVLQGLTALLKDPDSHVRWQAAAALGKQP VLPEAILQGLAALLKDPNSHVRSAAAVALGWQSALPEAILQGLAALLKDLNGVVRYSA AEALGQQLILPEVILQGLVALLKDPHKGIWWWAARALGRKPALPEAILQELVALLKDP NGDVQSAAVAALGQQPALPEAILQELVVLLKDPNRDVRSSVAVALGRQPALPEAILQE LVVLLKDPDKDVRQEVARALGRQPALPEAILEGLAVRLKDPNWDIWSIAEVALGWQPT LPEAVLQGLAALLKDPNCHIQYRIAVTEALGKQPVLPEAILEGLAALLKDPNSHVRSA AAAALGQQPALPEAILLQGLAALLKDPDRDVRWRATRALEKLFGSSNMSDTRGALEGL SGDVQFGWQQTTMCTNIWYNY
SAPIO_CDS7649	MAPQDPRLWPLATKVLGSPNGTADALPASYHYYEGAGRRKRRIV GNPAHDVAHFLDTELSLGDLGEMRKHLWFAGSRRPATQLHLLVAMGRRIVVADRMDLH LLWAGDGRLFLKPVPSFLLDPGFGRSHLKCPDGCACRDPLPPSCRADPRRVALGFLYT YACLVSSETDFFVANETRLLPRKADDSTIEWAAWKELARELLGKHDPDSVHPRFLRAE LRLSRINAIHRLTRLPPLNPYLRGRNNYSSLFRDNLAWMGSSAVFIVLVLTAMQVGLA TERLRVDAAFQRASYGFTAFAILAPMCALGLVVLGALFNLVKDLPLLLGDLTAQLRAP RTPKTGSGLDPET
SAPIO_CDS7650	MFTIKTLLALCAASRVLAGAGGDSSIIAHEGDPIGEEVTSGDLT LYVAQPEGEYGDVAVLYLTDVFGIQLNENKLLADSFSRAGFLTVAPDLFAGSPAPSDL NQPGWDIQQFLADNGPETVDARIEEAIAYLRDELGVKRFGVTGYCFGGRFAFRFGAEG KGASAVFAAHPSNLGDDEISNVTVASSIAFAETDSLLTADRRVEIEGLLKDAGVPYQL SLYSSTNHGFGVRANLSSPEEKFAKESAFFQAVRWFDQWA
SAPIO_CDS7651	MSAAKLAIISLAIAYVEARFGQEGLASAAVQALSNFGAPGAAGT LSGQVPGVLLAAANPCAKLQLADDIVTQLGDDQQVLDAAIGVVAAEQNFNPFAVDTGN ICADASLPATEALRGILPLFDPAVDGSDIENANSAQSVQTPFDATGISVAELAIAQGF TNFFAVDAAGNQVDLAGLTTGANAGAGNGAAAGDAANNNDAAAGNAAADDAAADDAAT VGNNDACAGVVTDNGEAADDAAADDGAAADNGAVDFGTCDPTIFFAGGLGGRPDTEFT FQSNEPTIAANQQEALNPDIIVNRICDDLNNICGANDAAISACRDAQQLLKDQGLGVG DGDAVVTAFNDAILAA
SAPIO_CDS7653	MAATLGWSVFALLAARALAHGGLNNYTVGETWYRGYDPNAPAEE QVGQPWMVQRAWASIDPVFDYDSDYLSCNDPGTSASSYIDIAAGDNITAIYWYWLHPT GPMAVWLAECGESCAEVDPKELNWFKIWHAALVDDGSLGLAESTWYQKAFQNWQGLAA QWPITIPSNLKPGLYMIRHEIISIHVAYKPQWYPECAHLNVTGEGVALPSEEWFYKFP GAYSEDDPLVFLDIYSEEWTDPVNAAKYTPPLGPVWTGEE
SAPIO_CDS7655	MIKKRYGGVPQAKHQIVRELADKIPWHETEAAKVLSNEPGTIRL NVQDGFVRVFSPAAAPKYPGKTTIQAPSQNASSASASPTVSEEKPRQTEQTRAEPSHS VDSEGDKPRPEPKKRPRTKNSWLSQLWPWVEVAREAAFFFMKARKAGGDNRGNAGGNG EKSKDWSDVWGLFQKWWSGPPKTAWAVTSEPSWNTD
SAPIO_CDS7656	MASYTVPFFLNGKEICAERTFDVRSPLTGEVIHSCSSASTQDVT NAVESAAEAFKTWRKMTPSRRRDIFLKAAEVMERRKDELTKNMVEETGASAMWAGFNI SNTIDMIKDVAGRIATIEGSLPATADEGRGALVLKEPFGVVLGIAPWNAPYILATRAV VFPLAAGNTVILKASELSPRTIWGVASCFHEAGIPAGVFNTLVHEPANAAAITTQLIE NPHVKKINFTGSTGVGRIIAKLAGEHLKPVLLELGGKAPAIIWEDANLDQAAHACALG SFLHAGQICMSTERIIVHKNIKAEFEKKLAAAAEQIFPTTGEAPTLIVEAGVQKNKKL VQDAVSKGASVLFGDAQAEGPAKTSMRPVILNNVTPEMEIYRTESFGPTVSLVEVDNE EDAIRIANDSDYGLTSAVFTENLRLGLKFAREIESGAVHINGMTVHDESTLPHGGTKA SGFGRFNASNGLEEWVRTKNVTFSY
SAPIO_CDS7657	MDRSPVVAGGVTPVSPTSPIDARHGANGAHKRKRGSLAGADSSP ASNIGHDDDHAEPEKKRQPGVKRACNECRQQKLRCDVVQEPFQSCSRCNRLKLECKIE SNFKRIGKRSKHAEMEKEIERLRRNIQRAKAQGFVPEDDDDQQLHSPIAPSTYTHTRN PSLMGSDEAVSSLLHLKRGGSYSVPRVTHELENVRLTEDAVTHLFAEFFAFYHPFLPF LNQQQTPDQYYQQHPLLFWAIIAVAARRYQADRTLLTSLAAPLTRLLWTTIGDVPTSY FVVKALCLLCTWPLPTSTTSSDPTHILCGVMMKAATMIGLHRPNHIQDFSRVSVELNR EQLQDRITTWAVCNIVGQSIGTGYGQPASTLYDWTLAVKPNDEGPLSLSLELQVRLQI ERFCDKVSKEMYSNVSDPRGVAGDEHRAMLMRVYRRDFAELQASVLSRQLNPITTLHL RAAGLHLRLAGFFDSNTTPGYMDDLMGLWRATAAFLDELLGSDKSVHGLKDDFRGSIL PYVTNYIQQMLVAAGFALLKLLRSFFAKTIDFERGRNLFHRAIQAIRATSVVQNDLQS RLAELMVQMWNGARLDSIPEGGYGATDDDSPIQIDDSLQLKVRCRHSMSLVFDSVWRW REEYQALGRGSLDSLKQPTNPDSANESSASSTHLDSGNSNAPLMQTHPALHGNALLAA NGALTPGASGLSVPVSAATSMMGGALGYGGAGAGSADAGYDFFDPQHWMLDGLLDFNY SFAVTPLEGV
SAPIO_CDS7658	MPHTAKLGHHYNGSPTGPGSQQPTPPATSQMFWQPAFDMSANTS QCGSPITAGHPQGEDHFAMAYTHQEDIPEPPGPPYYGHFGVSASVVSDQDESMEPMTS FYPIPSVQPHSLMGTPHQQQPEGQHQHLEHPPLAAHVPMAQPALPLPPPQTAEPPPEP QCPGADQVPSPYTSSPAYTKSAASPRSSRAKPRRAKKPSEPKPRVSTNRIEKTTRVAR GPTSPFEAPRELSDQIQFRIGVPDEERYLLELRMKHEDMKGKTMWDAIAVAFAKRFGK KAEKPALQMKLTRAKQKWVDLLRDIFYEDEKERYQRITTKFNERGGGSNLGFNASNIE CQLVEMGLEGLTMDAATKIRPRRRAKDRGRTGAKISLDSQQMQSYPMNKVELTEAQRE NLYREAESRLTMDEAAAEMDSESEGDNNTLQTPTIPQPPANELVGSQYYTHHHSGRGS I
SAPIO_CDS7659	MMDQRELDARVKALNKSISANEPAANALALLKTLKEDAAPTEEM LRATRAGVLVGKLRSHQNKEIAAAAAQLVSKWRKLVEQEKLAKAKHAKLGSPASRASP APSAATTSAAATAAAASSGGAPKKYQGDPEKRRAETDKVDTKRTKSDIRNNCIKLMYN GLAYRSTDHQDVVLAKAVAVEDAAFRLYGGDEKNKEYPKKIRSLFQNLKNKTNAELGR SVMAGDISPDRLVSMSDVELLSSEQRKTNELYEKENMKKAQVPMMEKSVTSEFKCSRC GQRKVSYNQAQTRSADEPMTTFCECTVCGHNWKFS
SAPIO_CDS7661	MAGLIRQLFQQPACQATRRSLSRIRPTAPWPSTTAADPCRRHMS SRRAAAATAAAAGGRDKKKGNDGRWQQRSDLLPEDRISEFESFPMVTAKDLRTHKQRP RRVKMLLRDFIEDSLYNPHYGYFSKEAVIFSPGEPFNFPAMRDNIEFYSELSRRYTEF EDRLDLAEGAENPTRQLWHTPTELFRPYYGEAIARYLVANYHLTSYPYHDLIIYEMGA GRGTLMRNILDYIRDMDPSVYDRTKYRVIEISSSLAALQAKNLAGDHADKVEIINKSI FDWRESVPSPCFFLAMEVWDNFPHDGIRYDLETEAPMQAVVLVDSDGDFYEFYTPELD PVAARFFRVRHVATGGRYPHPYPSHPFLRKLQKNMPLAGNLSEPEYIPTRLLQFFEVL ERYFPGHRLLASDFHSLPQAIKGLNAPVVQTRFERRMIPVRTPLVHQGYFDILFPTDF QITESIYRAITGKLTRVLTHEEYMRRWAFVEDTETRTGENPLLSYYQNASVMFTL
SAPIO_CDS7662	MTVLRRLLRQQQRRQLHFGKAALAVPETPAVFAFQTTTKFPTQL SDVYVSNRDFPPYLLPAVIAPTAALTHPCPPCPIDNFESLLAPHRSRNYPTTTNLHSA TAAPTTRNNTLEISAAAIPSLSSFSHNNNSNNIRTNPTPSCFRARHLSSILQRNPRLF STRNYSTLPTMADKWTGQKVRQTFHNFFAERGHTIVPSSSVVPHNDPTLLFTNAGMNQ FKPIFLGTVGKTDDMANLKRAADTQKCIRAGGKHNDLDDVGKDSYHHTFFEMLGNWSF GDYFKKEAIEMAWELLTKVYGLDPARLYVTYFEGSEALGLEPDVEAKELWRSVGVPED HILPGNVKDNFWEMGDQGPCGPCSEIHYDKVGGGRNASHLVNQDDPLVVEVWNIVFIQ FDRQQDKSLKTLPAKHIDTGMGFERLVSALQDTTSNYATDVFTPLFKQIQEVTGARPY SDKYGKDDADGIDTAYRVVADHIRLLTFSIADGAVPNNDGRGYVVRRVLRRGARYARK YFNTEIGAFFSKILPALVQQMGEEFPEIVKKQQDIQEILDEEEVAFARALDRGEVQFE RYAKDALAREDKKLDGALVWLLYETFGFPVDLTKLMAEEKGLKIDDSEVEVAREKARE ASKVVKDAVQTFSKLNVHQIAELEQQFAIERTDDDAKYRKEEIAGKVQIIFDGKAFVK STKDLPPNTPIGVLLDKTNFYAESGGQVADTGRLVIDGSTEFKVLDVQAFGGYIVHNG YLEYGQLSAGDEVVCDYDELRRSPIRNNHTSTHILNHSLREVLGDDVHQKGSLVDADK LRFDFSHKTAVTLPELKKIEDLSNEWVKKNVKIYAKDLDLDVARSIEGCRAVFGETYP NPVRLVSVGMDIDKMVADPKNPEWHKYSIELCGGTHVDQTGIIKDFILVEESGIAKGI RRIIAYTGDAAHECQRIADDFSKRLDALEKLPNGPEKEQAVKTTGVDLSQLTISTLTK DALKKRFEKISQAVLAEQKKRQKAESKTAMDAVLEHFKKDEEAKWFVGRLPISANAKA ISEVVNYYKSKDKEKSVYLFGGSKEEGAVCHAVYVGTHLASNGVTAEAWATSVTEVIG GRAGGKEPTRQGQGAKPELIDDAVSVAAKWLEEKLKL
SAPIO_CDS7663	MLSLQGSFTNNYDRRHPTSASAAYEQQTIPSPSRKRKADAQENE RLSKRLGRLNIGQNIRRLYATVEDDTSTNQAQTFPPPANATTSTFGAGTGVSSDQFSS ASLDDDLMQMDDTKHKVYIYNLDDELSSSESEAEDGKLIFLPDIEKALKANRIPPMLR ANPEGQLAGHNIDDMQLVLYNVPSSLTVAPEHDSVRKAIIEARRRMREKQRRESEDGE SSVASSPAVTPPVLSEDEDAMDLS
SAPIO_CDS7664	MNTPTSKRRRIESANATLRRPFRSPLVRGRSNASAPAPAPDPAT TPERETTPLKERVASGAKRARSSETGSQSAGRGKGRDAGTEEVPSFSWRERRREMEDE NRRIEQEISRLENIDPVSKAASCKESPGGELDGLFEKWKAAAQQAAEELYEASRLRVQ SMGGLRGMKRRHAEFLDREEHELQRSSTSKENLDHGYESLSETEDENREEETEKEDEN QEYTMGAMLRSLNIDFNVIGYNETEETWT
SAPIO_CDS7665	MENINLLPRQNPPDNPDTIPDGWVVDDDGVARPWWYSREGYIVK WSVFFGIVFLVGLYLLLGYLHAKARVRKGLVPLYYHRWLVSKSELASVDPLYAYPQST FRPYYPPPPQYSMYPVAPPPVYEPPAGATKTDPSQSFPADVEAGQRPVSGFAPPPGPP PPPAAGRQGAVAKLLSRVRGR
SAPIO_CDS7666	MTQNRGEQAEVPWDAPPPTRVSMDVQAALVSDGFNMPDLEAARD APPPYGELHDQLQFSQPGFEAGANVTDDGRVNININQKSGKFAELLGHTLRAQVAADA AVAAALPQAPTTPAYIPPTLGGQPGQTPPPRLNVVIQIVGSRGDVQPFVALGKVLKDT YGHRVRLATHATFQTFVEENGLEFFNIGGDPAELMAFMVKNPGLMPGLDALKSGEVSK RRRGMQEILMGCWRSCIEPGNGLGPAMPAHRKGLEMNYDEVTLPGDPRESPFIADAII ANPPSFAHIHIAEKLGIPLHLMFTMPWSPTRAFPHPLANIQSSNTDDVMTNYVSYALV EMMTWQGLGDVINRFRTTVLDLEILSLIWAPGLLTRLQIPYTYCWSPALIPKPNDWQR NIDISGFFFLNLANAYTPDPSLVEFLEAGSPPVYIGFGSIVVDDPNALTRLIFDAIHL AGVRALVSKGWGGLGADDVGIPEGVYMIGNCPHDWLFKHVSCVVHHGGAGTSAAGIYA GKPTVVVPFFGDQPFWGAMIARAGAGPEPVPYKDLTAEKLAAAITTALKPETADKARS LGEKIREEKGADLGGKSFHDQLDLKTIRCSLVPTRVAAWRVRRTKVILSPFAAEMLVR ERIIQYSDLKLWRPREYNTEGQPWDPISAVTSSLLMDIGELALAVADFPRGIFQSSKE SKDTGSSSGSSHGRPGKSVDMSSGTLVTAPSTATTTAESVVPGYVELPSPFPGKLQPR VELPGDMPGLPSEQSGLRGAGSADFPAYATDISQLESASRPTTAGTGLREGHPSPTTP DRTASSLMTPLVTVTSDPTSESSAGQSSRSPLRFPSSPLLRGRSTSPYGRPQSSHDEN PYRGVNIEDLRGASTSVRRIVTTGVKSPMNFCLGLAKGFRNVPKLYNDDTVRPTEKVT GLASGFKVAGKEFGLGLYDGLSGLVTQPMKGAEKEGGMGFVKGVGKGVSGFLVKPAAA LWSLPAYTMQGAYVAMKNRFAKSMQNYIISSRMIQGAEAFEAATREEKAEVLAAWRNA KYDLKELYQRKLKEAKEAREAQDSQSQGGENAMDRAAFDRHHHEHHHHLHADVAALLS PKFGNESIGHFDVHGKRLLKGKQAWRRNHIEADLDHHPNHDEEFERAIQASIRETSRG DPEEDLMVERAVRASVSEFQRRGGPLPNPQMVGVKRPEGPSGTTDPEEADLEITDEEY QALIEKAIQQSMAIHAAGFTEGVHDGHGVSDSEDEELRRVLERSKTDLSVHGEETSDD EDLKKAIEESKTNVSEQPPQEDDDEELKKAIEASKEAAAQHTDAHAEEEEMLRRAIEE SKAAHQEEANRAAVARTEEEIILEFVRKQSLAEEEFRKLKAKEKGKMKEEPKKEEEDG EDDDDDEELKRALEMSLGIDEEGSGRAGPSNLG
SAPIO_CDS7667	MSSPRASSPTGAATGSSVRPASPSVPGGPRTVIRRRAAADQKEK IANARPSSTRAAGAGGSSSTMLRLYTDESPGLKVDPVVVLVLSLVFIFSVVALHIIAK ITRKFSS
SAPIO_CDS7668	MPQETEPLATDQNTQQTTGKGRALLHVPSRTSSQRHQSSPTASG LSGATANDSRQSLGDLSKESASMRSRQRNGSASSRRSGADTEPASTPINSQPSSPAAA PHKKKKSGGLLSLLGCCGGVPDSTNNGEGSEENVHKLDKLPNRPGSSSRPTAHTPPDQ QPTVNVNKSRLNEKAPATTDAPRKDSAAKDKRASNTSTHDQSTVGGERPERESKQSII PPPSVQIQPPNNGSIKEAPVAPDSTVPSDTKDEEGDIKMADADIEEPQPQHQEQHDDQ LPQQEQQQQQQQQEEEEIRQEPNTDEPVSQIPPPPPGPSPSTTAPVHDLSESSDFAPE HQQISLLPPIRPEHKGRKCLVLDLDETLVHSSFKILHQADFTIPVEIEGNYHNVYVIK RPGVDEFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHNVVHHRLFRESCYNHQGNYV KDLSQVGRDLKDTIIIDNSPTSYIFHPQHALPISSWFSDAHDNELLDLIPVLEDLAGP NVRDVSLVLDVTL
SAPIO_CDS7669	MTVQSTLRHTAAEEAIAEFVDKYTKRFRSRLKGTSRTTRLLAAL ALAASIILAGEGTRRRWKRRRLEREQGKKLVRTNSFLFNKDGSRTIYVPHQNGTSKVV IHTTKPLTFEAHRRLFLNPPRVSGLRDGTVPSAQTKPGLNLAFLHQFLSLMSIMIPRW TSKEAGLLVSHGVFLMLRTYLSLVVARLDGEIVRDLVAGNGRRFLWGIVKWCGLGSFA SYTNAMIKFLESKVSIAFRTRLTRYIHDLYLNNNLNYYKLHNLDGGVGHGADQYITQD LTLFCASAANLYSSLGKPFVDLCVFNYQLYRSLGPLALTGLTTNYFLTASILRRLSPP FGKLKAVEGKKEGEFRSLHARLIANAEEVAFYGGADMEKQFLNKEFKSLKQFMEGIYM LKIRYNILEDFILKYSWSAYGYLLSSLPVFLPAWGGLGGAMELASGSDKGGRERGRMK EFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVHANAYYLRGGNS ELYSLSDVQGTVQKGFDGVRFEQVPIVAPGLWPQGGDELIESLSMVVRSGEHLLISGP NGVGKSAIARVLAGLWPVYRGLVSRPKETGQDGIMFLPQRPYLSIGTLRDQVIYPDNE YDMREKRKSEDDLKHILEEARLGYLPDREGGWDTRKEWKDVLSGGEKQRMGFARLLYH EPRYAVVDEGTSAVSSDVEGLLYETCKEKGITLITISTRASLKKYHTFNLTIGMGDNG DEWEFERIGTEREKMQVEREIHDIRDRLAKVDEWKKRHADIERELASVWVEGGEAPLA APSYAAATSDDGGAAAKEEAEVVESESGVTPSYADVSREEAEEEEESVDEKFEESLEE IVGDESMEAL
SAPIO_CDS7670	MVAVPNIKLNNGIDMPQVGFGLWKVGNDVAADTVYNAIKAGYRL FDGACDYGNEVECGQGVARAIADGLVKREELFIVSKLWNTFHDGDRVEPIARKQLADW GLDYFDLYLIHFPVALEYVDPSVRYPPGWFYDGEGEIRTSKASIQETWTAFEGLVEKG LAKSIGVSNFQAQLLYDLLRYAKIRPATLQIEHHPYLVQQELVNLAKAEGIAVTAYSS FGSLSFEEFSMKQAKGLTPLLEQDTIKAVAEKNGKTPSQVLLRWATQRGLAVIPKTTR PAIMEANLDVTSFDLPQEDIDAISALDRNLRFNQPSNYFPTESLWIFG
SAPIO_CDS7671	MTNPEPETPAKPTTPRHIELEENPPVHHPGEPSPGMRNDKGWDG KLRVRRASLTNPEALSDPEYSDDENVLPGEVIDADEDLLDGEPLDTDEIIVSHSRIAS IPALKLERFQNVARICFRQNCIQEIDGLSCLAAKLKDLDMYDNLITRIRGLDDLVNLT SLDLSFNKIRHIKHVNHLKELRELFLVANKISTIEGLDGLDKLTSLELGSNRIREIKN LESLTALEELWVAKNKITQLTGLGGLPHLRLLSIQSNRIRDLSPLAAVPGLEELYISH NALDSLKGIENNTKLRIIDISNNQIASLEGLGPLADLEELWASYNQIADIGDIENVLR DKKKLTTVYFEGNPVQLRGPALYRNKVRLALPQVIQIDATFVKV
SAPIO_CDS7672	MFCGLLGALWIWKCTMMVVFQNKIIYMPGLPPNARRESIEDYEA QCYGIKWREEKIVATDKTNLSLCVADVVTGRPSGRSESPTGVEEDLVPVYILYFQVGN ASSMPPRLPDLSYVLRSLRDRNPKSKYAFTMVCLSYRGYWRSRGRPTEKGINKDTEAA AQWIADECSKSAGKHQPILIVWGQSIGAGFATNLAVSPNFPKGLRLNAMILETPFLSI KAMLSTLYPERWVPYKHLWPFLRNHLDNWKNLGLLAEQSKAAGIAPPRVFILAAGRDE LVPAEQSDMLYQRCVEVGVPVEKTSVPKAYHNESMIRAEGRRAVAVAIEKEVADALKA DGKSGSSQVR
SAPIO_CDS7673	MRLSLIVTLSSALAVAATPLPGLSHDKAQEMKQGLAHMAAALDT DNCYVAKAQLGVLKHSFVDGIVEGHVEDEEELVKFITREEGHAILKKVQQIRDGGSVH ARELIDNIPPGPQKPQGPTSPEGGKAGKSGPKGSKGRKGKGRKGKGKGKGKGKGRKGK GRDPRDDDISASETELLNTAKLPCGSSRRILKVVRNFIAEVPKTGKTTDGKSVYYVHP TDERDLRRKIVKAATSRRY
SAPIO_CDS7674	MKLLFLLLASSAVVFAEPSSSHSPPTSPRSIDLGRYCYPPILNS DAAHLLTCPSEDLDPRYTSGPTTPPPPPRKRKKKNPNAQWDKIGPCLRANVTDPDSTE FCIYYSSALGSGRGVVVLTEAERADYMLRYPAFDPDSDLAESMAGGPGLNKFTPKAKV VPIPGKEYGVVATETIFKGESIIAETASLLIDYNPVYKLAEKDLLRLQAFGIEYLPGA HRERVLNMSTHGASMDVVHKLEKVLVTNAFEVKLDDENENNLYALFADTARMNHDCRP NVGYHWDYKTFTQYATALRTIYPGEELTVSYINGLRPHHKRQSGLRRSWGFTCTCPVC SLSAAQIATSDARLAQIKLARRALADRTPSSPATPQLAEMLISLLEQERMHVLMGEAY TYAALEWNGVGEAWTAMRYARRAIEEEVLQVREERGEDVEDMLELAEDPWEHWSWLWR TRKRMGWKPLREEKKKEIEKDVEEVKEEVEEAAAVEVGEGEGESHEGEGKGEGDSEKR AEA
SAPIO_CDS7675	MPTNLATLPPELRQTILFFLIEECLNAPKSTHYTSPGLAPYTTV CREWQEIIERQTFSNLYLCLDRLDEFEEFVVGVRRRRLRGILLHIRAPEYACDPCTQK ESFDDKRRINDIFTETLTRLFALMNTWPEEDVVPGGIRLDLSVSSPSDLRNVGLALWQ KRRWNTRDIGERRFADSAVDFVGQDDERRVVGLLKPVYSITKFVSEGLHRRAVMPAAY AEIISALPNLREAYLNIMKERRVLVRRLNLSQFGDLMVRWPHRLSTLVIRGNTTSNWR QLPHASISEADAGDHLASCLRDVAPRLRTLSIANLVTIKAFLIPLWPTNSEDRDILPI SRRGLPKCKYLETVDLHYASLIYKIDWYKENADFNKHDMVTEFRQDLALAAARLAVYM PALKSLTISQRPMMWAGRHRLTYSVHEKHAELVFSSSFEFEPAEWVVDAWKMVAKKRA GKPLKIRTERLVSYKPDGDIPVIPTSAF
SAPIO_CDS7676	MGEHLPADEVVRRLQEARPPATDAFTYLALLDQHMSPELLPALL EILQDAELTTLIGWDLVDMLINVPGSEECLETVARLGNPREVILKALEVLEKTAIEEV EDEEGGELSSDRKDAEGSEEREAISPDAPSASSKFITLLGMLGILHKRLKVRRPSRFL HTTLQAVLQCYTPNREETAAIISFVRAVSIFARPPLPSRKSSTTLETPFQTNDPSKNA PDPEAEAQDPVEEDLMSRLLQSFITCIFEAFVNSNEIGWASRLVEFYNPHKIVPGRKT VMQAFRDDPDLLARDALLGQLAGLAGDLGLANLKPNRIAELCAAPIQPDPLATEPDSE NPHQIKLSTTGFWCLTAYWVFASEVFDSDFVKPEMHVFPDLYDMVHRYLGEDAQAKII ENPGVIESLLVLGSWLHENNRIVADDQTEKPAFMTFHHYLTLCAVFHRSLQIRNAATT LAGQILHADPDDEDRLKILDDLLENCMFSSLQACAVTWLREELIRAAKGKADNVFSTP ECIESFQYTLFPSLGHLNDAEANELIEFWAENHPFHLQVANFAYFLFAGKDFRHLVPE GMAAAVGERYVQPMIGVAKTLREKTGGEENAPPHYHMDIQVLIDRLESLPLDA
SAPIO_CDS7678	MTSSIQQFITSAKARPPRLIRDYLSPTNSHLLSLLLADVLPQSC YPYPLASASPNPLNSSSPSPHLAGSKSLPPLPNLPPWGKPLPLGHHLVYFPLQARPSE LAWDGADNDHAPGAPYVKRMWAGGKMTFREGWEDVLKVDGRPVVAFEEIGDARVVERG GEGNGMVVMDLKRGYGVEGEGGVAIEEVRRLVFLQGEGAKKPPMKAAAKEKPDYSVTL TPSPSLLFNFSALTYNAHRIHIDPEYARSENHPAMLFHGPFSVVVALTVLNSQLARGE SVEVYDYRNFRPLYVDQPLTVCVRRISGADGQGGKGSWDVWIEGTDGGISVRGTVTTA FRSEASKL
SAPIO_CDS7679	MSDAQASDAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVIYCGEIL TAEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLESDQKFGFIIMDGNGALFG TLSGNTREVVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITSD KVNVAGIILAGSADFKNDLNASDMFDNRLQSKVIKVVDVSYGGENGFNQAIELSAETL GNVKFIQEKKLIGKYFEEISQDTGRVCFGIDDTLKALDLGAVETLIVFESLEITRWVL KDSQGSEVILHTTKEQEQNNREKFMDKETGQEMEIVSQESFLEWIAEHYRDFGTTLEF VSDRSTEGNQFVKGFGGVGGILRYKVNFEQLADLDEDDDDFYDD
SAPIO_CDS7680	MSSLATQAAETFQTAHLRRNPDPHHDINPSKRSPASLGVDSDSE DDDTTYAIQKPQTKPFPPIPDLRFEQSYLHSISKAETWWEVLFITVKDQVAFPLLQGF LWNLTLCGWQAWNRNARVHGNTVGARVRRWWYGVNNWRIPPAPRINGLKTI
SAPIO_CDS7681	MASDDFSDLSSLSSLSPPPPSDSESEPEVKKKGILKFFTKVSKD KMAAEKEPSPPPPRKREPSPPHEPQFADNQDIAFIVMFRNRFDDAFARSLPSFGPQEL EYDLTEAVPGSRAEAFLCAVLGLLLNRKQDVKAGHYNRALEDAISSHKSQWPRQWDGK SPLSGGGTFASMTATERLTLLRTLILWAMGSSEAIRAILAKSYKQNRHEDDLNQPLSV QPWGSDSDKRRYYLIEGRDYTSFRVYRESNPAGFKRTWWSVADSIESITALADKLENE DGGPKAKRLSQGIQQAIPRLLESEEKRRRREYRQRTKERFRRPEPGFSLYEGRTRGKR VKYTYSDDEDEVFSDYNVRRSSRNTRNSTPAENGSATTQSGRQVRPPTRLHPETGSAG GSVLGDTSDTNSRQEDLGRVSGRPRRAAAANGWADQASRRNGDAEEEAETSSPDLGDD ESDEEHIPEESNDEDEFNEDEELLDEDLDVEDAKSLVVKVSVNGSKLKGVTAKGLPTP SAEPTATTSTQGGPEVVMNDAPAASTSEAPEKQARPTVPELAVKGDSETATPLPPVLA PTPLALRGSPEKPQNAPRPIDIGAQL
SAPIO_CDS7682	MGRVIRNQRKGRGSIFTANTRLRKGAAKFRSLDFAERHGYVRGL VKEIIHDPGRGAPLAKVVFRDPYRFKLHTETFIANEGMYTGQFIYAGKNAALTVGNVL PLGGMPEGTVVTNVEEKIGDRGRLARTSGNYITIIGHNPDEGKTRIKLPSGSKKVVHS SSRGMIGIVAGGGRTDKPLMKASRAKHKYAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYASQGQKAGLIAARRTGLLRGTQKTKD
SAPIO_CDS7683	MSHILRRQLLGRTAIGVANAAAIASQPVRVQASSSRSFTTSISL RLLRTLSSQAPRLNVGTSRAILSSPCRSDSSPLVFLALTPPSRTTTTTPPSTTFFSFT RSYSASAPRRTGQFSAKMAPAKEYALLCLENPLLDIQAFGDEALLKKYDLKANDAILA EEKHLPLYEDLLNNYDAKLLAGGAAQNSARGAQYILPPNSVVYLGCVGDDKYAAILRD AVRAAGLRVEYRVDPKEPTGRCGAIITGHNRSLCTALDAANKYDLEHLKKPEIWALVE NAEIFYVGGFHFTVCPPAIMELGKFAAANNKVFAVNLSAPFIPQFFKDVVDASAPYWD YIIGNETEVAAYAEAHGLASKEPKDVAIHLANLPKENTQRKRVAIVTQGTEPTLIAVQ GEDAVREVPVHKIEKDQITDTNGAGDAFAGGFLAGLVEGKELETAVDMGQWLARLSIQ ELGPSFPTPKQTYSPK
SAPIO_CDS7684	MASEVAAGGPADLAGVWKHAQKIFKIIQKEANNLKRGPPGEISD AKWKSLEDAMSQYRLACVGVLFKDLEFAQQNQVEKALWTSHILINNVYRNVSKRLPSD MRVKIRAVESRYNKFITTSQLFYKGYIQRLSMRYNIEPLHQIASAVKVERGPGQDSIS SITPEVQSMILLSVQETLTYLGDLSRYRAQLKPNSRDNEAAITYYSLAHDVIPDRGFA LHQIGVTYLETDKHLEIVYYFFMSLARKTPHPNAEKNLEAKLKTIQAGKSTISGSQSP QQILEGRVVQLLAQYMTNASFSGRDELEQTVLARLEQFLQSAHSSDSVVRMAMVNMTA YYYAQKHQAYTLSFNMQFLQTICRIMRSYLQKAQGVMPGEMETSTSRISQLYGISPGA DTVLRVLRLYCCWLSSHAEDLAQAPEAIQFIVQSAWKDFAQMATDLLTYSISDIQEFS KQNDLPFPPTAPYLMKEDEEAVCCLSIGDLTSPVYTRLFHYGTESRRKPTILEFGAQG VKPLDEFVCRLGDFVGAVQHFAESPALPLGIQTTSDGQWSLVYGYQAAAESWNQPAPE SQSQPPVQPSSLHLEVTHAAVPTVETYHSPQQPTGVIHHDVTSFSGMQDGQGPALSPR SPVGSTAAAMRGGTYSPLSWDWFYEPKPTGAWTGLTREVFESLPTESAPASRRASSAV GTDNDHQREMLLRMLRSASQGTGKESPSFGPAVSPRAQEHRTLHPGQASDYLLPQASP SYPSGASIDMVAALGVTSPLPDLATTEQPGSYMLHNQNQVQQPQQPQQQLRRGSHVNR APLSQQVQQQMAAMQNLDPQGGSRPRSSRGNGAARGPRNQGDGGRHDASRLLDLRKKT VNRIPRGINGP
SAPIO_CDS7685	MKRLREPEDGTGVDAQDPEVEQGSSPAAKLVDLDSAVLDDESTV TMKCSMPPHKDVLSFRTYEEYETHYNKAHLNRCLECDKNMPSEHLLNVHIEECHDAFV AVKRDRGEHTYSCFVEGCERKCRTPQKRRLHLIDKHMYPKNFFFALTREGIDGRRSLL LEGGHRRRRSSANFQPKDTRRRASLQDGGEASRDKEEPLSNDNKQPAKKSSSEENKSS PKDPDDEMEDLTGAMSALQFVPTNIRFGRGGGRAGFSKR
SAPIO_CDS7686	MPPIRTRAKRKAPPEGFDDIEDDLLIFANKMKDAQNKPPPPGPK HQAQWEIFQISHQRSRYVYDLYYEKEAISKQLYDWLLKNGYADAMLIAKWKKQGYEKL CCLR
SAPIO_CDS7687	MAITPTQFAVMTRKSANWSDAKRRVLSAYRSWIRAAPEIRTMYN VPLPVSALRTRVRQEFERHRFVNKLAVVDVLIMKNNAEYQEMMNFWKQTTHVMSYFKE ENFRGDNRLPSSFIEGFLEGRN
SAPIO_CDS7688	MPSSQANTHDREDATMADVPPSAQVDDQEMNEETEEEEEELPTR IRILPGSVDNAASFEILDEGHTLGNALRWVIMKNPDVEFCAYTIPHPSESKMNVRIQT YEGTAVDALQKGLRDLADICDVVSAEFTEKLDEFKSS
SAPIO_CDS7689	MAPSPAPHDRPSFSSDTHPASTTASSSQQSPSAQRTAAKSTQHQ RGSRSPRGPTASKSSPSATRSGAMPSIVVKKEPASPDIPAPRHRPRKLDLSKGGVSNH GASTARPLTARDNLGIQDVGLACLSPGFVTQDPVMKEQLQRSMTVREQQRHIIESRLQ QQSAKGDGPNNKDNHFSAKTPGMSRRNKAPPGLSIVAPSHEQFANERVIQSAPLNQTF TGMHNPHPLTRHITNQPSKLSSTSHIHHVPANQTNNRLPPITDVFGQALPPPPESAGH SLYPGQHSARGPLASPGHPPPPSQHAPGSARPREYKSAEEAQAELAGGRPELLPKLVH YGGHQPPTPPSPHTGNRTADASRSSKRRTRAEYEEGHSPPLGNGPASTRRGPFGYGRD SPESQRAKREEFLRLCERAWDLFHS
SAPIO_CDS7690	MAKEPSQPSSRTSSKPSSVKSASSQQESITSKATTLVAAPNGKP VNGISPAPSPGVDNKPLEKTPSVKDRITRMFMTTHSSASTSKNPSGVSTPASGPTRKP TLNERAPTPAVPASSAASAAGSTPAYRPSPKPKEPPQRFTIVPDAPGGHEHYLKNSRR QEKLSDLWRSLIGKKPESELSLVTTWMDTYQDGKDIVPGAVAPEKKLNPHTTQTLLEK YGKCQEIIGRGAFGVVRISHKKLENGAGEKLFAVKEFRRRPEESERKYNKRLTSEFCI SSSLRHPNVIHTLDLLKDAKGDYCEVMEFCAGGDLHSLVVSAVKLEAQEADCFFKQLM RGVNYLHEMGVAHRDLKPENLLLTTHGALKITDFGNGECFRMAWETDAHMVSGLCGSA PYIAPEEYTDKEFDPRAVDVWACGVIYMAMRTGRHLWHVAKKDEDEFYARYLEGRRDE EGYQPIESLHRARCRNVIYSILDPNPKRRLAASQVLKSEWGREIKVCKAGEEGI
SAPIO_CDS7692	MTSTQSYSPEETRDVDKLTSSLAGLPSPTIAVQSHDDATHDTRS IDVHIASQPKSRVRYKSPIRQHRRTPSQHREIKETLDARTQYASDETDGRVHHRINQY VIQREIGRGSYGAVHLATDHNGRDFAVKEFSKARLRRRERSNILRQGPRTPMRINDRR SLNAPLSPHFSDFVAAAHESRNDALYLIRKEIAIMKKLNHPNLVQLIEVLDDPEEDSL YMVLEMCKKGVVMKVGLGEKTTPYPENTCRTWFRDLILGIEYLHRQGVIHRDIKPDNL LLSDDDDALKIVDFGVSEMFEKSDEMRTAKHAGSPAFLPPELCVARHGDVSGRAADIW SMGVTLYCLRYGKLPFEKINVLDMYESIRNDEPEFPPDEDPDFLDLMHRLLEKDPEKR IQIPELRVHPWVTNYGNAMLLSVEENCADPVEPPNEIELNRALTRKMNHLLCVMKVRY KLKLLVARRRAANKTSASPSSSPPPPPSNTDIPNAEEIQALIAQRNSFRKERTLPLTV STSPDAEPLYLGVGLGVNVGESSDSSSTAPFGTDDGSYSSSSYAVVSDSPVNVDFNIY DRAYEEELQRILTNPLRRSSSVYMNNHVREKEQIRGVDKVLEGETPEGQGPRGRYPRR GRRSGDPATEGTR
SAPIO_CDS7694	MIDLIRTSISTFPITNNAASRPEITTAAAAAMPVYSFYIFDRHT ECIYSRSWLPPDPSRPTPRATTSSDDAKLIFGTVFSLRNMVRKLGGDDDAFISYRTGQ YKLHYYETPANLRFVMITDTGSMSMRNVLHQIYINLWVEYVVKNPLAPVEHKGGAGVR NELFELGLDQFVRSLM
SAPIO_CDS7695	MFAATRRWFRRNRTPIAVGFGIVGAGYVVTQYVISRINDARERM SSDRIAKENLRRRFEQNQEDCTFTVLALLPTATQHILETMDTEKITYEIQTLKVAKNA ATGAPPPSIAETNLTDEDGKSLASMHSESGVHASQIAVPPPAAATAEAAAQEQAQQQK ARKTKRQLWDDLTISSISRAFTLIYTLALLTMLTRVQLNLLGRRSYLSSVVTLATGSA QATISLEDNDDINPDQVYGSNFEMNRKYLTFSWWLLNKGWIGIMNKVEAAVTEVFGHL NPRDNLTFETFSELTLRVRKIIEGATPEERYKAKWLEYLLPTRDMEEYVIKESGVIEE ADGATIPPTLRRLLDETADLIESPSFSHVLTLLLDSGFSYLVDQKVATLAFEQANPAS AAATATTITITTASDSEPTSTKAILLPKILSVLTRQARVIGNGMPNEYLREMEQVADL EAFAAVVYSSNWESEITEEGVFAGEASTARSAAEPVVAETETTVVEQSVVMVESQASL ESAWEKAVGQK
SAPIO_CDS7696	MTEAASNWKLHNIVAYLPDIPRATMEQRGPPEFILEAFADPASV KDVVKGILHTIFFHRYFPLIIPQTRPVLSLSLPYIDDVELETLIEQRVALLERQLDAE RSTAGAQQHHGPRDPSSSPALGGLNAAGTQGGGGRGQITVKFLERKRRKAWIVRGEEE VCWECWTVKVTVAEPKTENERAKVRQAMEQTLLAAVRKIMKYVNANKDHIPPITATSG NPFPYQITVNQKEAGWAARMGIY
SAPIO_CDS7698	MFSNSNAYLGGNSQRPGQQQYGSQFGMGIGAGQQGLGQSQAGPF APQPTGFGQAPLQQQFTGYPMASQATGMPQQQQPPMPLQSQFTGMPGPQGFQAAPPMP SIPPQYQQQFQQQQQQLQQQEQPQSQAQPQAPQFQISQPTGFVSPSQLNPLAPPPPPQ KPQPTGFTEMAASFQTGASKPAPAPPRKTNKIPNIRLSFITAQDQAKFETLFKSKVGD SQTTISGDKARDLLLRSRLDGDTLSHIWTLADTTRSGQLHFPEFALAMYLCNLKLTGK QLPTTLPENIKNEVSSMVDIINFSVAEDAAAPSSTAPDFSARQNTASPPTIHQPQPQP SNSQILQAQMTGFPGQQPGFGQPPGLQSQQTGFLGLSNPQATGYSGPRPPMPPMPTGF GQNLSPGVGLGGMAQPLNAQPTGRPGQWGLVNTPASGLPNIDALQARMMPQQGREQSN FTTAGLQGNAVIPWAITKDEKHRYDALFRAWDGMNKGFIAGSQAIEIFGQSGLEKSDL ERIWTLADNGNKGRLDLDEFAVAMHLIYRKLNGYPVPNNLPPELVPPSTRNISQSIGA MKSMLHEESEFRKNSGAALLPQRTGVNYAKTHSLRGNVGGAYGRKKDATSFKNNDDDL GYKSSARRRIGTSSPRPGSPASSVTSNDDLTLDQLRKKIREKQVLLDAIDFTDEKHSE EDDLLDRRDRREAEELYRRIRRIQEDIDAHPDAGWASGDSDAERRALKRQLQNLTDRI PQLASEVRKTEKAIADARLELFRLKDAKAHPGSAAAIIGTGPGGMVTESDRLKARAKA MMQQRTAALTGKKVDVSGDEDAAKRLEEESIKARTEKENNERMVRDVEDSVRDFAKGL EDGLKEGARDSTSEHERRRWEDGLGVEDEVRDFIFDMQRSSRAARVRSQDKRTTRPAE PVSRAQPEPAARHDTPPPRSTASPSTVSGGSSYSSYKTPEERAAFIKQQAEQRMAERL AALGIKAPSKPGETAAQRIERERAEKAAKLRQAEEEDARREAERQARLAEEQGVPPPA PEPAKTETRKPPPPPSRKATREPPPAPAPAPAPARAAHVEDDAGSKDELAREREAAEA RLKALEEQVRQNKLKKEEEKRRKKQALAEGREREAKEREAKEREAREREAKLAAERAE FQRREEELRRQLEAEDDEDTSSDEEGPQQITPVESTPTHGSQELERATPSPQPAVVVS PPAVPTPSTDTESKNPWFKMMAQPSTTEPQQPTTAPAPVPPAPPAPSESTNPFHRMAQ KAQTPSAPFVPGPTISRKRADDDDDGWGSEKADDSDDESDDGRPGGTKAAQLAQMLFG AMGPPRPLSATGEKTTSPPPATETSSPPPAPPPPPPASAPPTLTTGESPSAPPPPPPP PPAAADAPVAAPPPPPLPQTSAPGAPPPPPPLPDFGAPAPPGPPPPPPMPNLGGPPPP PPMPNLGGGAPAGPPAGAARPAGFLGEIQAGRALRKTTTKDKSGSALAGRVLD
SAPIO_CDS7699	MGESLFSRAYFDAVYIPAGLIVFGTWILKKEYVPYATAVALALA GIKFYNLQPKKVLIPDVFQDFELIEKTIVSHNVAIYRFKLPSPTSILGLPIGQHISIG ATISKADGTSQEVVRSYTPITGDDQPGHFDLLVKSYPTGNISKNLATLAIGQTIRVRG PKGAFVYTPNMVRHFGMIAGGTGITPMLQVVKAVIRGRAAGDKTEIDLIFANVTEQDI LLREDLDTLAKEDPGFRVHYVLDRPPEGWTGGVGYVTSEMITKLLPKPAHDVKILLCG PPPMVSGLKKATEALGYKKARPVSKLEDQVFAF
SAPIO_CDS7700	MSQEGPIESIAEPGIRATGEVLHTMRNEVAQLLNRHQRSFPGAQ PVSFTRSHLEELKKEDYFVCEKSDGIRYLLYLTEDEFGKEAHYLIDRKNEYWFINSKS LHFPTPQSVQSFHIQTLIDGELVMDMEDGREVPRLLLFDCLVIDGKNIMERPLDKRLA YMKDHIYMPYKRLFQEFPDELQYQPFEVLMKDMQLSYGVEMMFKDVLPILKHGNDGLI FTCRTSSYRHGTDPHILKWKPPEENTIDCRLKLYFPTVEPDEDDIANGITDPYTDYHT LERAELWAYMGDAQPRYQKYADAYISEKEFEELISLGDPLNDRVAECYLDELGRWRVS RFRDDKPEANHISTLQSVIRSIKDGVTEQDLLNAAKGVKDAWKARNSKPGR
SAPIO_CDS7701	METNGITNGAGGVELASARFSDIPSTIDIPVGDQLDEAVEINLD VLIDDPTELCTLLENEGAARTYWMTVAMAYAKQGKIDHAIEMLIRASQVLQNSTPRER VSMTCTLCWLYLHKSREAPRVAPEGVLVSDAKTKEYYLQLATSSLNDASRINPAFPPL FLARGVLQLLKASLQAPSTSSGPKVVDPERTELLRQALKSFEDALRVSQGKNMLGLMG KARALYSMQKYPEALTAYQEVLRKMPGLIDPDPRIGIGCCLWQSGFKDDAHSAWERSL ELNPGNKFANILLGVYYLDASGSLPTNSAEFLRLYKKAMTEYTQKSFKIDKNLPLTCA TFASYFLSRKSFGNVDTLAHKAIQYTDVNAVASDGWYLLARKEHYEGNINQASDFYRR ADDARGGTERGYLPAKFGAAQLSVLRNDLGEAKLQLEKMIQLSKNYEATILLGTLYAE EVFANQAAEVKEDKSSETTKAVTLLETVRHAWKDPKKNLHPDTSVLLNLARLYETDQP EKAQQCLQQVEELEINQIPDSERPQDKEDDAEVRAVLRTYLPPQLLNNIGCFYSQAEK HQLASDMFEAALGACVRIDSKDENADTDSLITTISFNLGRSYEAQGMTDQAIEVYERL LARHDDYIDARTRLAYIKLRKNPHKEGPDAVAKLYQENNTDLEVRALYGWYLGKVTKK KAVSLNEDPEFRHYKHTLQHYDKHDRYALVGMGNLYLAQAREMRRETDQEKQKRSATY SKAVEFFEKALSLDPKNAYAAMGIAIALAEDKKDLKNALAILVKVRETIKDTHVHVNL GHIYAELRQFNKAIEHYEAALAKEGKENDTVILSCLGRTWLNKGRTDRDLDAYKSSLE CAKKALEAAPDQVHYKFNVAFVQIQLASTIYNLSEKQRSLEQLEEAAEGLEAAIKALD EIAAHPHTPYPKHDVEQRANMARNTQRKQLERAIASQKEYEDRNKEKLQAAMEQRQAE LKRREEERQKALDAERERQERIRKEREAIAAKDAELAQARMEREKAAMEAEMTTDSET GDRVKRKRKPAPRAAGEPKPKRTPQKKKKKERAESDSGSEGERPSKRRRKLTKQENTK FKSAEIIVDSDESEGDADEDALEKAERDLDRSSTAFGSDDEDDRARDQSDDEERMDVD NKAERAGDDEDEEAEEVTRRSRARRGRIVESDEEDEDEEAKNASDESDNDAEPSAKDT SMVDVDNDDDDE
SAPIO_CDS7703	MTTTTTDIDCHPAPLRLLSLDGGGIRGLSSLIVLKYLMKRIDPK CPPKPCDYFDLIGGTSTGGIIALMLGRLRMGVDECIERYIALSSAAFSPKRSKAGVFL KLKDKWEVNGAYRADVLEKEIRQVVQEHEEGKSPDAKLLDLRPGCRVFVTAFTRAKAT PVLLRSYETDDGVDSISASGCTIWQAARATSAASTFFDPIKIGIQEYVDGATGMNNPV EQVLEEAKSIWPDAISRSRIHCLVSIGTGVPDLMKFGDNAKEVIATLKKIATETEATE VRFYKAQEALGVGGRYFRFNVDRGLSDVQLDEHQKVDVIEVSTEEYLRSPRVKEAVEN FKVARSFEFELLSKAEADSHMGWLKTLDYLQYHVDARKHRTESSSGQWFLTQYYGRWK EEPSSFICLTGKPGSGKTVLSSSVIDKLESEGAGALAYHYFSFRDAATQDIRQLKHSL LMQITRCLAKEHQARPGYLYIPKAFEELYQKYRHSKSQFPLDEDVEAALRGLFSESRQ TFVVLDALDECPRQVDQRAVLKLVESLCLGSNGTAHILVTTRREPPIEAAINELRIPK TSVVMAVDKVNEDIKKFIIGSMGRHPYKRWPATLKKKVAKALVSKADGVFRWAALQLE SLQDKERDKDVEDSLKILPKDLEDTYARILARIELQGRSSMAAHILWWLAYSRRPIKL SEVAEIAIFEMQPTSGIENDGDYTVSYHPKNRFPGIWSVRKILLGLITVSGLDDCSEV SEGQDGTVAFSHFTVQEYLRSSAVNLEMFRLDERRAHGYIMKSCLAYISCYQDLRFGA TRSSGSSLSTASDRNQSDSASISDSDSEYNFTDSDEDEDKGQQDFAPFRLLRYAVKYW WNHAAVLLRGTDLKSRTAESRMVVDSIHGVAGIILQWSISVALASKDLGQAAMRSTLA DTLARHNVNLGDSKHNPYWTHADIEDDASLTEVTGSGNEVLVRLFIDAGLPINSHAFG FFPLHTAIQLKHSDVTQLLLQQGAELRRVDRMGKSPLSIAVEAGSIELVRELLDKGAD LYCDRAILATTAPRWPEMKNYSPLWVFSGTYRPLSGNLSTCCKPLEYAIERWSTDITI LLVQRGVNILRRDMWGSCPLSVAVARQQVEVIQAMVASDPEACRTGTFGSGWTALHLA AAYRSVDMIKHILQVVDDLEKRSADGHSSLDLAVIGVHKLGEEMIKSKSVTEGRDIGN GRNLPSQFRAGVVSEVITLLLRYGASLDATNSLGNTALHHAALYSELESVEVLLSCGA DLHARNNLGQTPLIANSARNGNVDITRALLTGGADINTFENNGMSALIYASKAGQYNL AEVLLEAGADKSIQDESGKTALDWATAKDDQSMIRLLCDGAVA
SAPIO_CDS7704	MWNDEDNNPYGTSFARADLSPTGNPSSPESTGYPRYDVPATPTS TTDSEPPSAAFGTHDDSDEEDAARERGELVPRRKPGGYDSRIEQILYENPELPILITD AGKSPENGGRYIVYTIRTDDLEVRRRYSEFASLRDALTRLHPTLVIPPIPEKHTMADY AANPTNAKQDQQIIDLRKRMLAVFLNRCRRMEQVRTDGVWWRFLDPNASWSEVLHSHP ISSIPKSVLKAPPLDTANPTPAHNYLPVPAGSAKLKTSSNATPTQDVDPYSGGALTRF PPENKKLSEQELDPYFISFEASIKELEQLLTGPIEKVNRRTLSHLSSLAADLCELGSR YNAFALSEQSPTLGAAIERIGQAADSSYIATEELSSSLGASFAEPMRENAQFAGVVKS VLRYRVLKRVQQEMTNEDLNKKKALLDQLEHSEAEARRIDQYLSGSQTISSPPRRTSA APEPVSRHQRDGSQDDAESFDSDFPPTHGVGSVPSARQGVPERTPNTPVHRKMPSGNS ITNKIFGPIRHAIQGVADVDPERTRRDLISKTRESIEQLEQAQVASAQDVKDASASTL QDLKRFQREKEDDLRRYMLAYARSQIEWAKKNKETWEEARAEVDKIEVD
SAPIO_CDS7705	MAAPNLTDKDIYIELGDMSKDKDMGSKITVTAVGDVPEVKKSSR GFFCCFGVTVFSLVALLVMLIYALSTLGSRLNADANARESGSLHERQIYPRGLRFPPV PPFLGPHVTNSSSLVTGTSATVFVTVTGSAVNYVTVSATTASDSSSVASAGRFSAGDV RGSVGGTLTLTSASNTVTKTRTFTPTKVVIVTPGESSAQASMTTSSPIPVSSAIDIDE CSPEVFYFTVTQIVSPIDGAQQSPATEVGVPIASGEPITSTKTVTIIGDRTETAPVLT VLPPAQISSSSSGVTDVVTVTHQDTVIVTVTEIVGETGAADAGPADKATLTTHTHSTY ATTKVVGVEETVYVTNTAPAVNVSYSVVQHSDSTFSPTGVFTITGTAHLGDPTLGASA TPSDDTSGAEKNGVGSGNARVFAVVMAIAGISLLL
SAPIO_CDS7706	MPPRRRNRRGKDPTPSPEPSPEPQVEEQEMEEGSGDEEAQEEQG ATAAQASAGDDDGLEGELAKLRFDEPLTWRPGKPIPVSQLLPRLQRLFEELSDMEQDR VDKDSLADVANSLAQRNLLQHKEPPIKAYVASCLVEILRLCAPDAPFTEDQLKAIFTF IITYTLPALKDPSHPYNGQHKHVLSSLAEVKSILLLNDLDGADNLLLHLFSTCFDCVS ASSILDADGNPPADVEAHMTDILIFLIDESPGLPAKVVEAVIAQFLRPAHFKSVQSRS DKGESNGNQSTLLPKKEPPAYVMAKMICTYNPEKMARYVSQYFSDVIMDASSFATRGN GHKQGDDEEEAAPSGPTEADLKNLSQAHLLIRELWRAAPAVLQNVIPQVEAELSADDV HLRQIATETFGDMISGIGAAGPPSAPNYDPCQYPPLRFNDEASAPIYDNVLTTPICSQ SFAQTYPSTHNSFLGRRKDKVPQIRAAWTTAAGYILSTSAGGIGLSREEQSQLVDALN EKLNDADEKVRLAAVKAIELFSYRDVVTKLGPKGGANKEGSVLASLADRCRDKRPAVR VEAMVVLGKLWAVAAGDIAAGQDVVVACFSGVSSRILNVFYANDLDLNVLLDRVLYEY LVPLGYPPRKQKPGAKGSSQSQAASGTVFDPDRIRAERLLQLVKSLDNPAKKAFLAIQ SRQPQFAQVLALFIKQCEAFNGGTIDGDAKRTTEHLNKTISYVSTFFPDPPKVTTDLQ RFAKLNDRRNYQLVKFALGSESDFKTVHGAIKELIKRIQAGSSAHMLDTLLPLLYRSS CLMFNRSHLPAIMDCSKNDKDGLGAVAHEVLSEISQRNPEIFKTHVGDLCKSLSQQAP TERKANDPSAVDVLKACSSYSRKYPDEIPRDKAFSQNLINYALYGTPPKAAKYAVNIL MAKNDDKAMVNATSLIQRIMKDWKYESPRLLNNLAAVSQLELLAPRVTLDYDDAILDM TVQKILLNVRTDATERDPVWVNDADMDEEIQAKCLCLKILVNRLRATEDVELAKEKAV PVFKLLRNLILKDGEICKVKDTPKHHRSRLLLAAAKLTLKICTIKHLDDMLQPKDFNS LAYVAQRGEPAVRHGFVEKLQKYLVQGKLRSRFYTIIFLTAFEPVVSVKQRLETWIRS RAQYYRGTDKKHVMEALMGRLISLLAHHPDYSTDVDNLVDHARYIVYYLSNVATENNL GLILRYAERSKQTKDAIDPAMSENVYVLSDLAQAVIRKWMDKKNWSFRVGPASVGIPL GLYLTLPHDQAQEIAEKQYLPEGVDEKLDDLIRSIDRKKKRKSVDDRAEPSSKRTKTL VTREKAKPKTSRATPAQPKKKKQPAQKAAKPRKKRSYDDDDDDEEEAGGARAKSAARA AAARRRSSRTVKASTYAERDSDEDDEEMLDGVAEWEYLEEGAGGAGGGDDESEGDDAG GDGDEESELSDPPESGEEEEEEEEEEEEEEAPPKRAASSRRRW
SAPIO_CDS7707	MAAELSTSTVTPTSTPTVTAPGAAPTNATEGTKEPTSATSESEN ANGDDGSATSKNGAKTIPVRTKESFQKLLLERYMARDAAAAAGLYEETQKKKAETAYK LERTREYARVRNEYRQWFPPGKLYGEGYQGFANGFTENNNHSVILYPVQKPRPGKRTV QPLKWKRKDMAQQAEQHEELVPIRLDVDHDKIKLRDTFTWNLHDRTVPVELFAAQLVE DMDIKPPASQAVFEQIVFQMREQINDFYPFVFSEEDSLDPELPYSAYKNDEMRILIKL NITIGQHTLVDQFEWEINNPLNSPEEFAVCMARDLSLSGEFTTAIAHSIREQVQLFTR SLYSVGHPFDGRPVEDPDLISAFLPSPLPTVFRPQQQAKDYAPSLWEMTEADLERNET IFSREQRRQKRSVNRRGGPQLPDLKERQRTIRTLIVSSVLPGAARDIEESRLYKRVAG AATGRKRGAARDGEISDSEESDDSSPESPAPSALAQGTARTRGMRGAASAAQQRMANL GRSETPEATITHHHETRTARRYGRDTVDDQDERLIVTLRVHKDKLRRLLSEPRGARTP SVSQSPAPSARARPSLGAMEPPATPGAASSSTPAPGTSSATPQPHGTKAPQIGRVAAP PPIPGRGPPTPPPPPQWLINALDNLQKIYTYDSFEALMKYSPVDPHTELPIQQQPGQP LPPDTKYMFLPRVRCKDCPGKLYTPGADMTASNFEIHLKHNKHREKVNKREGKETKP
SAPIO_CDS7708	MADTKEIDYTLNNPDTLTKYKTAAQISEHVLAAVKELCTPGAKI VDICEKGDKLIEEEVAKVYRGNKKITKGSAHPCTVSPASYVTPYTPLKSDEAEAAVEL QAGEPVKIQLGAQIDGFGSIVCDTIIAGQADKEITGRPADLMLATYYANELLLRLMLP PGLLASGTDEEKAKAAAAKPPTQSKITSLLEKVVKSYDCNLVESTTTWLFDRNEIEGQ KKIVLAPAEGTKGEGSPAIGEVWGVETGVSLGSGKVKTLEQRATLHRRTLQTYGLKRP TSRKILSEVVKKFGNFPFSLRQLEDERDAKSGVVECVRGNVFRQYELVGDKDNSPVAR LLTTIAITKNGITKLGGPQPLDISKYKSDKKITDEEILKILEQPLARNTGKKKTNKKK KKKAAKKEGSEEEESDEE
SAPIO_CDS7709	MISSNTRSSRSRYSSPAQGGGQGGGGGNGGGPLEANKNSTNEAS RAFMRRWMEPAVQHKSSFEDLGLMRYGVFENMQPLGTLPKASAATGGAGSKKENSGIV RKIVLKPSRAGSNAGRKKSADAAALLDHDDDEAAMAEGARAAHRNSSTKFKFHGQGNS NSSKFQPTNASSISPSPFSSSRSPSPPISPAPSSPQPPPPSSSSTLPPTHASSSRRQS ADKELALLGMRDFDDNNDEDYEPRGGKRKAAHRSPITRRSLTRASANRAAASTAAAAA AAAVAAAEAEDITMSAPSPSPAPALAPAQPRAASEESEGDLAKKRHVVDKVVDDAVEN ALKYHRYPTAYALRTIYDEKKSSDPQIVSMFEDVFLQRADADTLDQFASLVTERKQLG AKDNAAYRFFSPDAVGSPKKPIPAPYADLLRLDLSTARILYDDEEDLRALKKQKTTHP EPSPSLSRSHRKTRGRAGSMADEAQVNSAEDGAASADASEAAAVAKQNAPQTPTRRRT RSDSLGSDSSLSSISLDTPELRAFSNFEDEDNSSSKPESGTEVQRRPKIKLKAQPKDQ GKPKVQRQLQLQNSATGLRISGGGISKNANRRADTTTTGASTSSRSTPAAAEGQSQTA DSISVVEEDEDVSGGQPTRQPITIGTAAAGKTAVAQRKSTASATKGAHNSRANPAAPN RSKAKARDTPSLSLSPSPSSPSIFSSSSHPGPHSHEAPHDSSDKDGSTKPHAMPGVVR PLFPNLPVKVSALRSSAQPQQQGEDNSDNESPSRRGTAAKAKAKRDGSSKPLDSNNNS PKSSPQTASSARKTRSGVKATPISLTVPTTRSTRSAVKRTHDELEGTASPVATSTRSN APSQVTSRAVTPILQPPSKKQRVGPRVKTSPKKNGNTTAGMPRPTGEGVIHVPGVQAR DQIENDDYCSSCGNNGDLICCDNCSKSFHFECVDLVGSTELPEEWFCNDCVYKRSARR EEYSGPFSGLLTILDRTIPRAFNLPKKLQIYFEGVKVGPNGEYDEVERTTRLSKKQKE HEFDLHPQRDIEGKAALCHACQKSASGASKMMMLCSACPLYWHLDCVDTPMAHPANPR KWVCPAHIDHFYNPENAPLGRRFRKIRGAQVIKPVYTRGYKNNGVIEVNDSEEPEDAS GWSDVRSYGRVLRLPARGIKLDFIEQLRKIGAGHAPKAPDGKPQEANQANPSPNLDEA EAAIALCKLFATSGGEPVVENKHNEPTEQGNIANSDKTPESPAPLPADDSNEGKEAET EPTSAALKLIHQVQKGEINKEAITSGDKQSLRKLMESIKSMLGDDTEAEAEEEAETEA DKTQQDMIAHLDGISDGASTTPEASEEAVTPQADPTIHTPVSDTVEKAADEAATAAEQ GSESAETIKTTSDGIEVVEMADDDPELARAASDKLSAAGNSASAQSTKAESVKDVDMA E
SAPIO_CDS7710	MKLYYIGIIKNTEKPAVQLCSAYDLSEFSRWTKNDYQNFMTMFS KTVAERTNPGQRLDVEEQGHTFHCYARSEGIAGVIISKDYPKLAAHQILSKVVDEFLA DKSAAEIARASRDNELSFPALERYLQTYQNPSEVNSIANIQKELDETKIILHKTIESV LQRGEKLDDLVAKSSDLSTQSKMFYTTAKKQNSCCTLM
SAPIO_CDS7711	MSTSRSDHLAFGYSSQSSIASQGRSTSPATSHSPNEPTSGTSLR SPFGLSPGAVGSGKMQNPTRSGAGSPSLEMGASSRAREIQAQEGVPGLPVNPWGGPPT SGNSTPLRENIPESPTDGFPDFAQLPSSQEAALPQTRRARAGTVPSRFSPGGGAGNGL LGVAGLVPTASRPIPAETHFKSPSPSLDPSGAGVAATSSTLLSRLRAGSLPQRSPFGA LPGTNSPFGPSLFSSAWNPGVTRERGATLASIASIGSNGPSSPAQSAFSRDGTGDSDV HMRTLDYLGLAETPQPPRAQLATPYLNSFARANRFRSYSVNNKDKYDDEEDDEYEGSV HAYDAHFAVAQLQDQLAATNAAIHNHNMAVQAFVSQASLSRPRARTAGVLDTPGSRLM NTYLSSNQGAIPGSIIPTEIRLPDEKDFDDLPQAVAGLAIGRSSSRNAALLGADDSPG LEGPTSALWLGSIPTSTTTSTLNEIFKPFGSIMSVRVLTHKNCGFVNYDRVESAIAAR NSLNGKEIFPGSGCVRINFAKPASASNTPGHDGLYPSPSPDPFGKGQDGGAQGSGGAG AGTPKGASGTGAQGLDVSPTVRPLKEMVTEILEIVKQFGASEEDRYRISSNVMSAIEY STFVDEIPPIGEPSHTRVHDAPKLRDIRKKIDNQSLSQSEIEAIAIEMLPEIAELSSD YLGNTVIQKLFEHCSDEVRDAMLAEIAPHMAEIGVHKNGTWAAQKIIDVCKTPHQMSL IVEHLRPYTVPLFLDQYGNYVLQGCLKFGQPYNDFIFETMLSKMWETAQGRFGARAMR ACLESHHASKDQQRMLAAAIAVHSVQLATNANGALLLTWFLDTCTFPYRRTVLAPQLV PHLVHLCTHKVAYLTVLKVINQKTEIEARDVILKALFFSPNDHVLESILNDHSCGATL IFKVLTTPFFDDSIRTQVVETVKSVLVRIKAQPNQGYKRLMDEVGLSTRASGSGGNSR DHGGSSGNSSEHRQRPASRGANHHHHHGPAPHGGNKQFYNPHSGASNNGQGYDVGYNN QRAEVSDAGLQPFPTLSNQPPPIYPQGGNGQLPTQSMNMPPLPFQQGMLPRANPPANN FFPPMQAGYNGFSSPSPSLDQYRNQTIPNGGPIPPPGVQPPQQLGPSQSTFAPPPGFG IAGAAPGMGGYNNYGGMGGGMQNMAYMQQEQVNARRGRVREFSSSFESQRTRSVVIVI LGPSPAADNHGPLDHP
SAPIO_CDS7714	MPTFPSFETLIFVGQTFWIFQTYLHDLSAHPQTESFQTCARVYN KVQHIVFGLSDTARHEKIRDNILPVTVLSSFVLASIAVPILPHWAGPLAVAQARKPQP SVDLIAETRPESAKPTRSQTVTVGTSRSKRNREGPRSVSGGGGGQEASSPTRSSRKVP PAIITRPPPASVPKKPPKTSVESKIKPQFEMLSLDARLSSSSLPLPDTRTSKTITRPT TPISAGLVAQANNVLLSRRHSHHIKARRSASDLTRVQKTRLLRQHYFRSETQFLTALE AISNRLVIVPKLARLSALRAELALIAQDLPAEVDIPVICPPTLVNGSPSKSRHHRIVR LNPAEATVLNSAEKVPYLLMVEVLRDDFNFDPDTGDNERLITTLLSEQGPKRRLFDLS DAPRVHSNSNLNPRQSEPIIDSVFEPTSGDLGSSPLIKTPEDELPPKSPNSYLPYHVV HKSATYTSSSDLALAPMTAENSISRSSSPGGRSRRRMTLQPRANASLDQPDFSALATH MRTAAQMLSQLEATSGKRPKYEVEAIRSKIIASMQSLEEQSFDLDDGHGPTFDTIMAK ASTQSAAAANPDLDEDSGLDQALEASAGVARMENDFKTGGLQRKGDRDDPSAAVFGEA WQAKKERIRATSPYGWMKNWDLVSVIVKTGADLRQEAFACQLINVCHKIWVDAGVDVW VKLMKILVTGESSGLIETITNGVSLHSIRRSLTLASIESGQNPRRKMATLKDHFLKAF GPEGSDAYKAGVDAFKRSLAAYSIISYVLQLKDRHNGNVLIDSEGHIIHIDFGFMLSN SPGSVGFEAAPFKLTYEYVEVLGGESSADFEDFKKLCKQAFQALRRSADNIIDLVAMM GQESKMPCFSSNVVTATNSLRQRFQLQLSADAAEQFVENDLIGKSLGSYYTRLYDTFQ YRTQGIY
SAPIO_CDS7716	MSPSNTNATTGSILRRVIHYHLDNFSYDNALFFAERLVAQDSKA PGSTYLLALCHFRLGDFHSAFEVAKTAALKGSHLGCSWIYAQSCLRLRRYREGILVLN KCKVLWHQTSNMGKHGTTIRSPFPDAPAVLCLLGKLHLGYGDTAMAGETFDAALKLNP LMWDAFTALCAMGVNVNVPNIYKLSDTITQIFDLGGVGTGMKDSSNRPITNKPDPPSR KALASGPINGNDAFSHFQASTASGADRTGVGGVNEIQIETESPDPLLGSGSSNPETRP TRTTSLKYSDKNSSKTNTALKNIMNLLEVMAIGHYHLSKFDCADALKAFERLPRAHQE TPWVLAQMGRTHFERAAYADAEKCALGNAWSKSEEHEQAVKCFKRAAQLDPNMAYAYT LQGHEYMATEDYDRALRAYRRALSVDKRHYNAHYGIGKVHERLGNLDKAHTQYLAAAN INPTNAVLACCIGSMLEKQNHLARALRYYGMATELTPKAAQPRFKRARALLARGDLVP AKEELMILRDLAPDEAMVHFLLGNLYKSTNEKGLAIRHYTFALALDPKASPQIKEAIE GLEDREPTGPEIYED
SAPIO_CDS7717	MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G
SAPIO_CDS7718	MSYYFVIVGTQDNPLFEYEFGTSKQGGDGLAHFGEQQKHMTQFI VHSSLDIVEEVQWTSGQMYLKCIDKFFNNYISCFITPSNAKFLLLHQPTSPTSSLPLG STMTGSLAASTTLSVPPAPTARASTAIGANPTGLATEEAIRNFFTEVYENWVKAVMNP FYRADMEVTSPIFRQRVAAAGRKYL
SAPIO_CDS7719	MFGPFRMTSPLSGGLLWKIPWRLSKFQKRRQRMRLRAVDQVVAT LDAALAKKGETLEALERWKAEMPTEAEMLPRDKYTMFDRKVKGYRKGIHKLPKWTRVS QRVNPPGY
SAPIO_CDS7720	MAPYVRSTVDRLDRPSAYFQSRNKRRRDHRERDRDGDENMKLEP EQSPEDPLKDAATLYVGNLSFYTTEEQVYELFSKVGEIKRLVMGLDRFTKTPCGFCFV EYYTHQDALDCMKYIGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREDYDE GRGGLGRALQSDRMRDVERSRDGGAEYGKER
SAPIO_CDS7721	MKVKSYTPSWLSKGSPGHSLFSPSADASRTSISSPYTAKTKKKK APNGPRRTIARRGTEIFVANGREIRWGDLVYLKEKHAQNRASFRIKRESSGANGNAPE DDVENRAAGYRILKTPVADDIRQLIISPNESLLAILTSHTVHICLIPDPSHLTSEDTE PIRPKIWTLGPTTHVTSRSPIASALWHPLGVNGHCLVTVSKDSIVRLWELSLTDRWSF DSPTLSIDLKRLADGTSLDQDFAASTSATNTGFSPDSFEMEVAAACFGSRSSGGWNPF TLWIAMREGDVYALCPLLPSRWAPPSALIASLSVSVVTQVGAIEDDPTVSEREKLLAQ QQLAWMGDVDSQEPQILESSPGEPPIEVYTRPTKPGAVPRLQGPFELAASLDNEDDID SELTDILVIGSKVEADDLMLGEDTELELDETDREGLSLSIICLLSTGGQVKICLDLDG VEAQWLPPKNKSRLGRLLALSDAPTLLTFQAMDSMNPVEASPDSWPVFTTDVMSRYSF YVTHHAGITYFSLSPWVFRLESEIQGAGGAGSEFRLGLLVNAHNSTRERLYTQPSADV SVPLAGCNAISDPDIGYAVISATPYDPVVLMFDTPEEDFTLIKEEETTPVREIQIAPP QEPLYSYEPRPPFQASHVFEQSSQLPTLIERLRTSRHKVVIGQEVRLSPLTLQVLTEA HKILSEETHRLGLAASELFIKCTRMRAEMQDHLDKAAETKAKIDKITGNDADGEGVDN ERIEARVARAQTRHEQLSRRIESLRKSVMHSTKRELSTHERAWIDEVKGLNCSVFGAK SDVALTTTTPIPQQRGVTTTTTGKQVRKRLEEVTTLATELLDEAARLHKDTAAITTIG GGEDGGVGRNGRGHRREHTPSSSQDLRVPNEIRKAKIQSVRNLLAREEAMVAAVSSRL EKLQLSLQ
SAPIO_CDS7723	MPHATKPSTKKAKEAWTNFERRVMIGLICKGLHNPHDLSHLTTS FNEAHKGGRARTSKPWSPEKVWDLYKSVARRDGDTLRLERRVGAGRITRKQRLALERG LTTSNDGRREREKKWRQKRMWIAERTGHGEERRGAWLFLGEGASFLPALPVRGLYSTM RRFGGEEEA
SAPIO_CDS7724	MPAYSPGNSRPGPNFATDQKGRSPPSSPASAASCISVESAVETV HSIESSPSIKELASQLNGDLEKLSRTVQDLEKWFEQLNSDGYKRRLPWTDAMQRAFKR YKGLVDKHTRAHQAFENYFLTTKGSNSKKNATAEDHVERAQLALEWGRAALKAGEARA DFMEMYRKAYKLDGIQGHIQAVENCVNSAQDAVEEAQKNYDTLLLSIVAVGSAGVASR RNPKLSINERLIVSWYSICGFLHCFFEGYFVLNHANLASQQTLFAQLWKEYSLSDSRY LTSDPFMLSVETITVLVWGPLCFFSAWCIIRESTIRYPVQMMVCMGHLYGVILYYATS AAEQYYRGNSHSRPEFQYFWLYYVGFNLPWVIVPSFLLLRSIRAMRVAFAMLGRVRGL LTELRGSIHDINQRKNEEEASASQSREDEKAA
SAPIO_CDS7725	MKELIVTKSKKAIRSFKAKDQRPDPISTTIESDPGAALAEQVLT LSLEAEEQKCDSRLESLPPEVRRHLLSILDFPQLQALVHASPTFHHQYLFDRNYVLSG CLRKTLGSAIDDAYAVYMFAPQHNPEKEVIAELVTWYSEQTPVDKLPRDAVLSMVTLY SYSVKPISEHFACWMLDDLTAQTGKNPQNYPEKVVLTSTETMRLARAIYRFQLFCELT RRGAVRTPRDNLEARITAFFDMIEPWGIEELFSFYKFVSSIYDKILDDIRWDLHPDNP KFDDQDRPPTPTGAFEFDSEWDRGNYLEGLTLRGLPLLHEVMFNIKDHEDLVTIIQEH MWAEYIPVNRDEGLFGGTHQEIRYRNKPSKRDQMQEDRVALPFRGDGEPHAPPLAWTI IWGGTYSTLVGCYIPEEIQRWGYVFWDAATMERTGAAELLKKQWRKNWDEDEDPRDEF YFLLGSVYAEDLGGF
SAPIO_CDS7726	MLSYIVALVACLIFFSNPILQLFSPHTKRAIRSARPTLNESLLA IPGPNDSSIACPRDAYAVHIYSREPLVMYIENFLTEEERSHLLDVSEDLFQPSTITNN GDSTHRDTAIRNSEVALVPRTPTIRCIERRALSLQGWREELWIERLRTQRYFPGGHYS HHFDWSSNAGGWGRVSSIMAWVSVSERMEGGGTEFPLLPAPVFRDLETDQRTEAATEE ERFWCRFLECVDGRVVTGEDGMGVTFRAVEGNAVFWANFRADGSGDGYAETWHAGLPV RKGVKVGLNIWTSGRI
SAPIO_CDS7728	MSSMTSWLFPTPITIAIGHSSFDDCGGNAITRLYHPHPMAPNTG LTVQMMHDTAPAPSFYPSPTLASHRLPNYTNPNPVREEISPQNVAPFGNGSITNIWST ASFASQAREVPSAGRGSSSGPWRRVSPSDESYPDRRIPKDTISNGSTATFPPSSEDTS WAQKVWNPTEPAPPNMHVSGNSSPSRKRDSYFGSQDQTTFGHTIRGSFSRPRPKSFLE ADTEGRGFHSAINGFDMDPSRRQDARPSTSEYGRLRAVGDGPSREPSMPPSRGTNESP AFQERFPFQGHTPSNSMGLSRASLAQSLSYTVGASTHTLDTSAMYDFAASRQQAASDT PSLPNIQGLSLEERRNSGGSGVPFNSLSQSFNPQLAGQGWPAEATAQARYMSELAGMD GSANSSEAQYVPSKRGSIAEHVSPKFAGSSQQYTPPPETWAPRPSSRDLRLPQETERR TTPNTAATTFVPQGGSQGLAQTLPQTIPPGFPQGAPQGPSQGLPQGAHAFYPGMYFNP SFPGNFHPSLYDPYAQANYRGTVLPNYPLSLHPYIASGAGIALRAGRDQDPGRGMRSA LLEDFRANSKSSKRYDLKDIYGHIVEFSGDQYGSRFIQTKLETANSDEKDQVFREIEP NAIQLMKDVFGNYVIQKFFEHGNQVQKKVLAAQMKGKVVDLSMQMYACRVVQKALEHV LVEQQAELVSELETDIIKVVRDQNGNHVVQKIIELVPKQYIGFIMPAFRGLCNQLSSH TYACRVIQRMLEHGTEQEKEEIMVELHGAASLLVVDQYGNYVAQHVIQHGKPEDRSRM IRVVLEQLLTLSKHKFASNVVEKCIEYGSAEELRLIRHHLVDGGPDGASALQYMMKDQ YGNYVIQKMLSRLEGSEREAFVEEMRPYLLALKKGSSSRQLQALEKLMDSSSPGANSK ASSNSGSSAGSRPTSANLSAAPGLQVDSTTPTPMLTMEPNSPQSSNPPSTNESAAGDG PEDAKKPNVAIEGGRAPGKVVIQDV
SAPIO_CDS7729	MPAGPLTFLYPRLARVLRQAEPLARTRPALCPNSVALRHYATNP RRHGKAVQPHSEDTPAASQATENPSDSAGPEQEITAQEPPSSSASTNSFDPPPPENLT PEEEAKRVAEEVQESKTDAKKSGPMETVLYMNGPPGSISAAKPHPPLPFSHYFDSYAM VNKLVEAGFERRQAIIIMMAIRGLLREKMAAAQAALVSKGDVDNETYLFKAACSELST EVKNNRRAADEANREQRMRLQHEVDVIWQTLNHELLTLKDTVRGLFDDRKMAVREEQS ANAGSIQKINYKIATLLSSDTKSDIEGLRWVLIRRSALGIAFMAVVSLATLRYAAYVK QQRKEEAERLRREEEERKKLFAGEGRGPVTDTDEILATA
SAPIO_CDS7730	MSTEAQPTEQTDDNTPAEPPASPPLPAPHTAVTPGPRAARLQEL FATSLAHTLGKVSWENLAACYPTIAANSPNLLKGVRKTMVERLETMCKKEFDRIMETR GVIPKLNELESLVSEAVHRRVSSPSTSEPPTPPHLLPPTTVLQAHLFRLLSSSQSHLN AKLQNTQADNERLFAEIQAQRAEISELAAALERVCADIDAANDLLREVIDEVAAEARE REVEMAGA
SAPIO_CDS7731	MAPRNPILDDVSHSSPTGAPPRRRRLSMDEDLFYHQVVTSAPRR PSQHPSYDSDTGEIQPSPPAAAGEPGVVEEGSEELPKYWCSVYCEGVFEKKMEIENTT KRAEDRRWHSSYVVLQGTALNIYDIKKDWGWGRNKGGPAISPDNPPWIKRGKLERSYS LQYADVGIALDYQKRRHVIRVRAEADQFLLSCVELSTFLKWLESLFSAIDVAAPIDER DFPRDQSIPRIQRIRWIRGEDSPSRAPSILLDSNAGEEEQTLSPQQTRTEEPVEDDDE FSDADAFSIDGGHGVNNSDRQEGGVAHDPHTGPSRPDPMSRFSITSYPNESIDYLSGK WAPAHYWGPEHDMRYARLCYSVLLFRSPRKSNYIVSKGKKWFVDWATGRMVRVLPPDY GEIDMLAPWHVVHTENRRI
SAPIO_CDS7732	MATTNGNLHSQDYTPSNEASEQIQSSSSNHDLTKDEVGWYFVEQ YYTTLSKNPDKLHLFYGKRSQFVFGEEAEVANISVGRPAIKERIKELDFQECKVRVSN VDSLASSQDTILIQVIGETSNKKSEEPRKFVQTFVLAKQPSGYFVLNDIWRYINDEDE EAAEPAQEPEATEQQTAAVAEVPAVVEAAAEEPAEAGEAKEEADETPAALEAESVDKK LEEVAEAEAAAETVAKEAPVEAPKAEVAPKVEASEPAADEESVSEEAAEEKAPEKPKE PAPTPAVPSAKAPAAAAPAPAPEPEKPVPAKPLTWASRLAAAAGPRPPVALPKTATPP AATQARAPAAAAVPKAAAAPAPQRTEPTPAPAPAAAQESTSSGNEWQTAGSDSKRQNR PQSMIAQSEDKGTLGYVKYVTEKVRDVELRAALSRYGDLTYFDINRDKNCAFVEFATP EGYKAAVAANPHVVNGENIVVEQRRPKSTAYGGAQYAANRGSASGRGGRGRYDGSRSG SQGGPKAPFGSQTRGRGGAAARGKGPSQSANA
SAPIO_CDS7734	MASVTSLDKDLRKLRLDKYTPGAANEARSWIESILGERLPSSDL LEGLKDGVALCKLVNLAIGPPGVRYKQSAMPFVQMENISLFLRACQSPPLNLQEHDVF LTVDLYEQKDPAQVLQCLGAFSRAANKLNPAAFPSAIGPKPRSGVMSPQSTGPPPPVT PLRGRGPSNSSNTSSAYGTRTVIAPSKTGDSGSGRWSPTKSPTNGGSTSPGVAVSSWS RKEHEGSTAPAWNISQYGYMGGASQGNLGIAFGGRRQITSAGPFVPSLADKERRRKEK EAEEERMRREMEEEERRRQAELEAEEERQRLEEERRWEEETRRLREQERQKVEEEKRK WEEEERMWKITEEKRRKEEEEAKARLEEERQRTRSRSRSALKGQYLSQYQAEHGISSG GGDGGGGSAYTDRIKELEKELELARQREREYERDRQQRSRNPHAEVTAESRSRARSRS RPRPPSRQDSWSRDQREYLTVSPQPQHAQRHKPPPPQELPQHQQHQFAPPKSPRPLPD PTAISSPPPKVRTHNTGGRPLPDPVAYAAASAPAPARSPAPAPAPAPAPISAPVSVAA PAPGPPLPARELPVPQQHQPRQTQPPPPQATSMNMNRTDRYLATNPAPPQPVPTATYS RELGATAERDAEDRRRAQRQTATKAGGWASKSLLEREMEMERQRQREWEEAQKETAKA VRSADGVEGIGGGIGGRWDVSQWSGYTGGDSQNKVGTGIGAGRRQIVGPRPLPGPPR
SAPIO_CDS7735	MSRGPTPLASSPYDPRSDSEESWQFIDYSSTGSGPSSIGFLPSP ASGSLNGFAIVGRTGGHVVVATPESPAFLDLDQPQFPVDSAVGFADAMSDNFVSPGVS GSPSAQSAQSASSLFMQQSGAATAGSMGLTPQTLLFPGAQMNDMNEMNELAGQFLFNN DFNNNTDALVGLDVLTQLQQPEMGLVSTSFRSISDVSSWEQQTLDAQQTLEQSLSPIL DTLSSSSNNSSPSPMLESGVKGAIPIRKVKEGKVEKKKKKKPASEQAGKFVIMTPTSI SAHSSSGRPNPFECFEEAFRTSHKGRKGPLANDTKENALQVRRLGACFCCHSRKVKCD KERPCKNCKKLMINVPQIVCWQFPDFLPVLLPNFIRGHLRKEEVVKFIAENVESFSIE GLEEPCTIELFSGTRFRAVLAVQAKFFTPKTADIMRHWHLHADQNTMDFQARNAVPIG IDPEQGGQKDELKKRCKEYMQEILREPAYSEQLTETLQHTDLPRQLLHIVNKYRAQTD SLIVRRALNVYTLHYVLTRQLCMTRNSVLGLRDTKLVPQHALWVTSRVLNRQIKAVLD DIMQREVQHLFEAFSKSLKPKSRKEWAPCLAAFLILCLFMESVETAADYFVITENEIN NKTQLPPTYDRKVALDVNAEIEKLPFRQFTYQFHQIYQTHSKDMSAKSFNPLVDDGFI GNGDLDPPAIELVYSLKQILQGQTGCDLLSLVMGCILPNNEQRPHRDVSFDYSGKLVS RFLLSFTDEKYIFDNEPESFPGA
SAPIO_CDS7737	MYSLAQTGPSDHLGSPWVSAGDGQHMNDFPGHSVDAEDYSLAVY GAPTPPHGTELDLTEEFRNKCASPRSESVKRLSSRSPGISSKRRNTKSSGVSKTQARL APAPTSSRMSSAGLTGNAMSFQNTNGHFTGLNQPLFQEPEQADTLSHMYYQDFSGLEF GMASVTGMPFPELSNPLHVDPTHMQFDPDTSLTATSTPRSWNATYTPPHSPQNAEETW YQPSLGSSSESAGSSPSDYGMGQTYSLGQDLALQGLGGNGDISAIGRDDSFVVSTGPA RRSRSEGETARDHDLYKNAAPQADGLFHCPWEGTAECHHKPEKLKCNYDKFVDSHLRP YRCKVESCAEARFSSTACLLRHEREAHRMHGHQAYNCTYKGCERSLEGKGFPRAWNLK DHMRRVHNDHGTGPSSGSQRGTEDQTKARRKSKTSTSASSSRKSSKSMPTVDHAADEA MDRRQQDYMAWDDYYKSLQGAVQQLGKPADIEAGRQLKKAQEYLNQMSSVFDRIHPKA AGSSRRHTLGD
SAPIO_CDS7738	MPRTPPPEVIASWPAPNFVNPETRGPVKDIVTITLWVIVTGILT LRIYTRRYISRRVGWDDVLVTASYVTATAFLIVGIIVEHGYGWAKHIWDIPVDDVVTG FQLALVSFSLFDFATTFTKLSVLALVYRLATPVSNRIRKFVIFLIVLNLLGMISYLVV LFTQCRPISDYWEIFKPADERNCLNEGRGILIAGTYNTAMDFILVILPMFVVLRSNTL PRRQMMVVMALFATGWVACLAGIVRTYLMFIMTTSADFDMTWHSWISWLASAIELFLG IICVSIPATKPFFVRYVPRLFDSMSPRSNLETGTTKNAESENEVPIVFVKEIQTRPRL PPDLNKPLPPLANGIRDLKDKRRAG
SAPIO_CDS7739	MPIGLRRIASGRNGLGAFILQCKKMDFHYCDWAGSSKGMNGFIK SHLSKFASQNPEVEITVSPRPGKHPIIVAHYINGRQKPVCVRNLDSLQVLKKAELLRD ANGEKVKKVTKPVSSINPSVRGVWSPYHGTGMTV
SAPIO_CDS7740	MARKFFVGGNFKMNGTVSSIKEIVSNLNNATLDPEVEVVVAPPA LYLLLVRDTLRKDIEVAAQNAYDKPCGAYTGEISVSQLKDAGINWTILGHSERRTLLG ESDAVVSSKTKFATDGGISVIWCCGESLAEREAGKTIDVVSSQLAALKAQTEDWSNIV IAYEPIWAIGTGKVATTEQAQEVHAALRGWLRKEVSDKVADETRILYGGSVNEKNCGE LSKQPDIDGFLVGGASLKPAFVDIINCKKQ
SAPIO_CDS7741	MTDKLPPNLLALFAPRPPLRYLEAPDHPPEKRRTQPISGVAAFL PALQAYKETDVYEPTESWLEMRDRKKLERKAELEKLLTEGPKHFKPNEDPNVRGDPFK TLIVARLSYDATEQDLEREFARFGPIERIRIITDTHAHLRPKKKKKPHRGYAFVVFER ERDMRAALEACDGIRIRDRRIKVDVERGRTVKGWKPRRLGGGLGGRGYTKAMPSRPVG PGGFGGGFRGGFRGGFDGGRSRGGYRGGGGFRGGGGFRGGDRGGSNGYGAPSNAPSGP GSGYGGRSGGYGGGDRGQDRSQGGGGGYDSRASGRSYDDRHGGGGGGYRDRHDREPRR TGSNMEPIRPRENGGYRDRDYDRPPRDDDRKRHYEGGSGYDEPRKIRRY
SAPIO_CDS7742	MESFCPFIPTSSPSPGSPVPADTDPPVTAATPALSSTSRNETRA QQPTVAAACLACRAKHLKCDGSNPCARCTSSNSECVYVASRRGYKPKKTQAPGRDRPR RQGSLTSLGTGGINPDDESILGSSTPQFRAALLSPEAGAATPASFSPNLQVYRPFSNG NGSATDSTGLILDGSTSAGAVPMHLQEQSIADRCIESFFHHFHPAHPFVLPTSALLRS TKDPGIKPLLAAVRWIGSLYLDVGRWRATFLDQVLQLAYDPAAPRDGFLLQALLLVLI GLDGNCQREKARQMLADAENLALQLGINARTYATLHGRGIPVLEESWRRTWWELYCVD GMIAGVHRNTNFLLFDFQADTALPCEEHQYLSGNIPSPAYLEDLENKELSGDDRQFSS FAYRILAVRNLGRLLRETNGFEGSIETVERIEDLLTNWRVHLPESKRDALNKDCKVDE MMFQAHMVTHATSILLHQPHSQLDSSPARSVTSCTPHRPIPGGPGFNRHTQHTLSSAA DISRLVTHRAPLLSHTHFFICVVSLSSIVHLSRWAQWLLGPQDDDDLRQLIRLNIGAL DRLGLMWSSAAVAAGQVRGVAQEIYRTKKARQIEPSYWAGLTQGEVVSVITTDETIMN EIGALQDISDPIAKQAILRHPPKSAKSRTHIPFVPPKKHQDSQATMPKSKRARLVHLT QVAKKGREHKDKLFENVREAVGEYMHCFVFSVENSRNTHLQSVRQELSDSKIFFGKTK LMSKALGQTPAEAQADGIDALSPYIHGQVGLLFTNRAPDTILAYFASLTSVDFARAGA VATREFVIPAGVVYATGGEVPADYDVPMEHTIEPELRRLGVPTRMVKGKVVLGEESGE GEGVKVLAYWTSSNGKVTVVEGSAMEVEDAETE
SAPIO_CDS7743	MSFLAFDNNISFYTVPAALFLAFIPHAYAVSLGISRYDPGNPRK FEATVSSDSTLNKVIKNRILRAKAASTNSFETLGLYAAAVVAGNLVQVDKDTLNYLTA LYIISRALYVFTYVWLQDNRAFSPLRTVFWGAGIWSIVSLFIKAGNAVA
SAPIO_CDS7745	MKLSPSALLALAPALVNAAVIWDGRFNDLSSSADLDKWSWSNQV GAYQWYIKGSSPTSSYINLSEDFKNPADTSSKKGAKFTLDGTAFWNGQTMRRTELIPQ TTAGINKGKVFYHFSMMRKAQNAPSVNKEHQICFFESHFTEMKYGWISGEQGTENPNL QFMVSQKGLWKTEWAPDVWHNIAYEIDFGANKVGFWHSEGDEPLTQKVAPVAASTSSN GADWHLGVLELPRDGYPHQNEDFYFSGVYIEDGTITTDVSGPA
SAPIO_CDS7747	MALRLAEVGWQLMRREVDDDADPGGGDVAQSEIPSSWAIFISIC LLITALLTSYLLQQKKIQAVHETVISIFAGMVVGIVLLITPGDSIRNLIKFNSGIFFN LLLPPIILSSGYELHQANFFRNIGVILTFAFAGTFLSAIVIGFLIWFFSLFPGAINLS LVDAISVGATLSATDPVTILAIFNSYKVDPKLYTIIFGESILNDAIAIVIFETAQRYK HGSGPDMGFLSFLEGSGIFLLVFFGSLMIGVLAGVGTALLLKFTYIRRYPKIESCLVV LFAYATYFFSNGVHMSGIVSLLFCGITMKHYAYFNMSRRTQLTTKYIFQVLAQLSENF IFIYLGLSLFTDSTLVFQPPLIIVTVLAVCAARWVAVFPLSSLINFVIRYRAKRRGGE VADELPYSYQAMLFWAGLRGAVGVALAALLAGDANSAALRATVLLVVVLTVIIFGGTT ARMLEILGIRTGVVEEVDSDDEFDIESFGGYKPGGFGRRNGSVALDQLGLSRDRSRNG HYASGHAVSPHSANGPRTNMSRKNSSRGDEAERADLLAGSRSPTESDIGSDIDTSDLP PPVRRSPRPRTSPRPGGESDSAFRRPWPSAEAATTPSGSSPAVSARSAIRQLLTADDP SVFFRQLDEDYIKPTLLLDGANGRGGNNGHGPGGGASGGNGGAGPSGL
SAPIO_CDS7748	MTEVGPADRSRLLRSKQDERSSILSTRKRKFSQFFAAAAAPDAL PNHEIASPNAPNANHGDFNAYDHSKVLQGKKFGDANLSGAPLLNYNSLVRFVRSTGVW GITPDGQPAAPPVDANGLKPHLPSMQYAPPVPSARPTPAPPTDEKKRPLLAPNAPVQG LPAASPVPVQPRDAKKPRTAAFSAPLPSTLTPTTNIATRPSTEPITNGTSEIAPPKPA AGQVAATPVPSAQSVKPGAPARAPAPSIPPTSAPTSASGTKVSQPPTAPPSAPTAVPA QAKALVPLAPRTATAPAAATPSSDASAPAAKPQETGRQQEAASSPASTAPSATTPAVQ DVSATTSPEKEEIQPVQREGKVGEDQDAVMIDAPSLATEKPPTQGPSGPLSTSVISDQ SMKDVKSQAAAVEQKPTPPQPIIPPPSTATAIIPPPARTATPVAKPEPAATLVQQQQK QPFSKPLPTPLTTEPAQPPKLVSPELPSPQPRGTEKPATPTPTEITSVNQRVPDAAPK EPTIPRPAAVEPKPSLPPQPATQQPQRPTPQVTEPPQLERAVTRVSSGAIRQKSVSEI LAGSLKAPRPAAEKAAEKAAASTTKSYPTTPSALRPKNQLPKRRERDRTQVSTVVFGK QPKRSDDKSVTAPKQQGTSPADDYFTPLFIEGFISRSSWMKPLGALLNQAHKTISSPD AMLAYEDDQACKILKRVYLLQHTNKWSLRQRERCAEPTRPPSHMDVLLQEMKWMRTDF REERKWKMATARILATACAKWHTATPEERIALQVSARPPPKEPAGQDVDMLDAEAIPV ERMPQLVKSDSSESGLADEVDIISPSAIFALSEDQMVFPLRQSPTSDLLLSELPLYGS PLKVPSSDIIQPDYDPDALWRRPALPLSKYVEGDMKLVTEGQPTWQSKFMYEDESDDE DFEKYPPTTSTTTPASRKNWLPPEKNDVALFNPEMKQIRDRLHANHQFRPPTEHPMPP PSFYENRIASQWTAAEDEELRNLANQFQHNWSLISEVLTPRSAFVSGAERRTAWECFE RWLQLEPLSPDMAKTQYFRTYNHRIESAQRVVLQQHQAAQQQAAATGQPPPKRRQCVP VRVERRHGRRHINLIGSMMKVAKKRETTAQKQQAQATMIAMRKANENSQQQQPRPQAP VKTPRDYSIMRWERDQAMAEKIAQYAQRHEAQKRAAMQARQAQAASMAANAAAVAAAT GQVPQNAAAAAHLMNSMGGVPRLNMPNQFGMAGQPRPGVPVQGAVGVNGIGPVPAHLA ANLVRQMPLNGIPQAQMQAALQSQHRMPLANPQEAHVIMQARRISEQQRAAVQMQHQQ GHAQQGQPSPQPQGQQQQQQAQAQAQAQPQAHVVTPTPAQVQQAQAMARANSGRQGSP PNMRNGLNGLSQQNYLANAQAVMASFGVGAPNSVAALNALQNASLSMPASSPRPAAAS PTMSPTVAAQIAALEGQFRQKNPGATPERARQYATEQLTRIILAQRNSMSQQAMNAAA GAVAQHGIPNGLAGASPAQYAAILRQQQAQAQAAQAAQAQAHQAQVQAQAIQAQAQAQ IQAHAKAQVQAQQAQAQAQAQAQAQAQAQAQAQIQAQAKAQAQAQASPQGQQGQQAQG TPKSAVATPTLAAAQHQRTPSESATPGK
SAPIO_CDS7750	MAPTPDDFSSPGTATYDSDTLKVGDGTWDFTKDTFLLPNLMGVP FDTMRYNGMGNRFSTLTQYHSIIIAHGILAAIVFLFIVPLSVFTVRFYDRRQGYARAT HARLNIFGGILLLIVFILGNFAVGRKRSLTNPHHGIGVAIFLLYILQMVGGRLILNIQ KFRSFRIYLHQWSGRAIALLGIVQIPLGLTLYGSPLFTFIMFAVWMGLLVLVYFILEF RRQGRRDDYYGGGRSEAHSRLTGSEYPVTDAGRTEKSEKRGWLAPILAGAGLLAFLSA KKGKSERSRSRSRSRSRSRSRSYSRSQGPDRLAASSHPGTSYYDDDKYYDEGEKKKGG GFMTKLMGIGAALGAAKLVSGMGKKSTGGGRDDEYSAVSTETPKRYPPRRGPYTVSDL SEISDQYRRDPRVPPTMAAQAMSAAESGPGGSSRPYAPRPAHSRTYSRHSFDDDDSYD SPSRRPRDDKSGGVAKGLLAGLGMGWLASKFGKKKKAPRDDESFYRDEDDRRSGISGS RFTGDGYPSPSRSNRKHSRQPSRLGGRPAASNILSDESSIVEPRTGGGAGPPMPPLTG SYGTHPPAPGPGPGPGPGPAPAPAPPPPPPPLPTGPGFVPAPPPPPPDFGRAQRQPSS SHEVIEPVSMPPMPPDPLDSRSSLNRPPSDRLHRTDSSRRRRAGEEAAIAAAASASAL AAEEEQFRREQRERARDQGTPTRPPRTTTVKMTVHDDRVNLRRLTDEEAASSRRDQRW RRDGSFSSISGTETPSRRYRRDSTQRPSESAAGKTTEGEGEGSSQLSPPNAAFGAGRR PKDSAYYSGAAGPSATTPPQTGQPISSLGSESHGTWSGQMSPSPVDRPAGSAAENRRR RRFERRRSVSKQAGAGADMFD
SAPIO_CDS7751	MSAKPVSSVLQAWYKWKSLRLPWRRRVLVGFDLKGNTYWEFRIP GTSPSSRWRRIVHYPRSTHYSEVKVPPEWHQWLRYMRPDPPSIGEQKAEVARQERIKI LAAQADARWEAKPKVMQDTGSSSGARLPIGPAVPSVEGKSSPGEAVDTGATTTQSVER PARKEAEESVESKADPWARARARGPSEDWQPEAWTPPSAKR
SAPIO_CDS7752	MEPIVIGSSSSPPKPIDTPSSRSSKDPPTFTPEAMDGEDEPEYD DYRPSRSLPRTLINHCRIYLEDQLFVHAIELANSLLTSGPAVLDGKVSPAFVLPPVQL GFINNLIIHPKHTSRPETAERREISALALIYLENLMKMVGPVNANFVAAFNFNPNYTP RPRASRGLGSDDDDSHLHDFGDVGEDEENARIVSKLGNEESIWNCGHDFWSVVGWAFN CSVIHPSRWKYWGIWLDFMLRVLEADFLERVKEDEAAHELAGRTGDCDYRMTKQSLIV GYATRRGGRTNGVKWVVKALFADGHQASLSLFTEVFPLETKDLPRGQNKRKREPVLDL ENFKYADYGDTDDELEESGPEDDLMSLASTPRKPARRIQNEEPLTKFEHYEENAEALH DLVPLRLRIISLLAQVAHCMPKLFISLDDLLGEFANAMKELPLPLFTAMITPSSINPL DKDFMTLLLSELLDLTLPAKYLNPAKVDKEADHAGRITQAILERCFLPHAAETSSVED NAKLSCLLETVMLIMFDNDDLTLTASLREAVQTGIAAREKRAKVRRLGRVGVVNEYDV LAKKVLDGSSEMMRKILGAL
SAPIO_CDS7753	MATIQKTPVWEPRNVQQTNVHQFIRYVNEKHQLHLRTYEDLHQW SVASTSLQDFWRDAYDWLQLAPPGAKGTGRVLEGSDVASVDMFPPPRFFPSDSLSIPE LMLRHRRDSDVAIHFAREAVISNSVDAMAICLASLSIGAIWSSSSCDLGSAGIVDRYS QISPKMIFADNGYIYAGKTFRLGDRIVDWSHKLGRDTSQLMDVVIIPYCNFEVDLKRI HKGCSLQSFLERDSGERLSFKIAPFSHPAFILYSSGTTGRPKSIVHGTGTSWVMWVLN LLNLSCAGSMLLFDGSPFHPRPTILLELAQDIGLQEKASTFESYESLPRPALFSQRIY DWFYSTGFPSQAQLISMSGGTDIAGCFVGGSPLLPVYAGEIQCKALGMAVEILDATVI EPVAVESSGEAGELVCTKPFPSQPLAFIGERGEEIYRTSYFERFGKGIWCQGDFIQRI PDTGGLVMLGRSDAVLNPSGVRFGSAEVYAVTETFPELADSICVGQKRDIDSDERVLL FVKMRHEMQFGPDLERRIRAAIRERYSPRHVPKFILEVADIPYTVNGKKCEIDVKHIV CGRKAAVGGTVANPEALSLYEDFKNLPAEGSVSVSKAKL
SAPIO_CDS7754	MEQKRPIIIGNVAGAMEDCPDAMHRMISSGPLDAIAGDWLSELN VAWNAIRKYDNPEKGYEVGFLEQLDDCIDVIAEKKIKLISNAGALNTPQCTKLAREIC EKHGHGHLKLAYVQGNDISDIVADKTNQAQLGGIRHLDHPDRTLESWGKEPLCGVAYF GAWGIVEALRQGADIVICGRVTDASPVIALAAWWHGWSHTDWNQLAGALIAGHLIKCG PYVTGANFTGVRPYLDGLVDLGFPIAEIAHDGTTVLTKHPTHAGIVDQFNVRAQFLYE IQGTQYINSDVLADIGDVKIENTGEKDRVRISGAVGSPPPPNTKAIVVSIGGYQAEAT FYMNGLDIDAKERFMRQQIEHAFADSNFTELSIERYGASAVNPSNQALGTVSVRVLVK GKSEHDIREDYFRKKIYALRIQFYADFRTMSPKMFMELFPGLISYEKLPHRVVMDDNV IDIKHHGITAPPPGKRPTYETANPADLRTFGFTNRVPLGTVAHARSGDKGNNCNVGFF VRSAEEYEWLRSYLTVSKITRLLGEDYRDSVTVERCEFPQIWAVHFRFLDFLGGGAAS SSRIDMLGKGVAEYLRSKYVDVPAKPAEVEEAESGHNGLIPEWRLRSSPALNSERSSL QWASQQEQRQSQQNQRKRSPEQHLNSCTSPSPTVQFSNQPDDIGGEVNDSGLSGLDYP IALPDDDTILPPVEFDHARLLVPQPAGERQTPNNPGEDGGMLERLLFPGNSCAKPNAA FVLYKCCPCVEVDDLRRLEPCAVQFLGQCGCFHLPARSILDEFIKQYFLHFHPVLPLP LPLNELEFQKMYFAEPTSHPKQRRVPLFLLQAMLFLACPYVSVSKLRKLGFQTVQDAR AKFYSRAKLIFDMYPYIDDVARAQGALMLTYHVSSATHKADTFWLSTAIHYAKSAKAH MYTLMREGSREANILKRLWWCCILRDRIMALGLRGPLHIKPADFDFTQPGFVEADFRD EIRGSMVYDSTAKQVLVQLAGMLCELAVALNNILEVLYRETPFRPGGHHNPPSPEDLK TWSLGLDNCTAKASLCNHMLLHAFSAVDDKTQDDHMESRLQTQLEMNQALCRITDDLV ELEHLGLAKFLPNTFIGFSAFPFIWHLLDANLIRTGPPSHRTERVSKVYADIMKGFRS LYESTDEMLRYAEKIINYIKEHEQLQAECLDPSILARSAQREHPTTHTLARASYPNNG WVDIFLNRPQTSLRIVMTVDFCLTRGHFPSEADFPKALRAIQQENHESGIGFSGDADE IAWWTLLQEAMDVGFGG
SAPIO_CDS7757	MTLQPLALQPTTRHAMVIRRYYSAPATEKARKASGPLKGVTILD LSRVLAAPFCTQILADYGADIIKVEAVGKGDDTRHWLLPGEQAKWKKDVGPMSTYFSS INRNKRSLTLDLKHPKGKEILMRLARGADVLVENFKPGTMDRLGLGYDKLKEQNPGLI YASVSGYGTTGPYARRGGYDPIAGAEAGLLHLTGERNGPPVRPGLGMVDMATGLYIHG AILAALHARARDGIGQRVDASLFETQISLLTSVGLSWLNLGAEAERWGCQHPSIAPYD AFQTKDLYLVCGATNDAQFADLCKLIGLDSLAIDERFSTNPKRVENRDELGPLFNAVF KTKTTAEWIEVFTGSGLPFAPINNMERTFAHPQTQARDMVAEMPLGSAVSGKFRLIGP AVKFSETAPSIRSEPPRLGQHTSDVLAELGMDAGEVEALKQEGVV
SAPIO_CDS7758	MTIATPTGGSSDARSASHMQRRNSTDDHGIKRRAAKACLSCRHR KVRCDVVTDGPPCTNCRLDGVNCIIKKSNRGRRPAALNPRAARQVQSPTPPAPAIAPS PSPQLRPAVVATGGVPNEFFPSLYFEAQRPYTPRSESVEEIQVEMQYQHQVETSNTSP GRLGHDSARSGGIDECAQPDLPVYIRPLPSRITAEDYGYLTSKDALHIPDEELRDELL RTYVDVVHPFMPVLDINSFLTPVVRCDGHSHVSLLLFQAVMFASVAFVDAQFLRTRGY RNRKAARKAFFSRVRLLYGLDCEPDRLALLQSLMLMTYWYDNPDDEKDTWHWMGTALS LAQVLGFHRNPALLRLSSQERKLRSRIWWSCFMRDRQLAIGLRRPPRIRDDDYDVPIL TLHDFNLEPPSPDLASFLGQSSFTDANAREVLAMLCIDLAKLCLCMGHIVYSQYTLLG NCPTGSENLLKVIVMPRSEGQEETLISCDAGLEKWIQSQDERCKYTPILPASPENGDS IAKRVIRLHQAVLHMLYLTTVAALHRPHVFRSEPGAVDGPNTQRASRKKVTEAAIAMT RLAFDLQSSNQLRHLPSSSISAFLSAALIHLLDSRSHDEGIRNVSIGRFYQCVQVLQH LQDMYSSADYTLNFLGSVLKRTDVNVPGLGFVFPSGLAGERIQDLSRCAHSLPNLRGT ARIDTAYPSPSGSGNNQASREIPGGPESGSQVDPIRASTPMQYTATEAWPSRQGTAVQ DRRAGEIMTDMSQISLWGDMDSLFPALFNFDGEANASTLNNGPRPSSEWPFPSLDFAR RDDYSRV
SAPIO_CDS7759	MSALVFKSFVGRTAVRAVGAIACKTYAFSRVRYTPESRRLYFSS SPNGKPEVRIVEVGPRDGLQSIKKYIPTTTKLDLIKRLAGAGLTDIEATSFVSPKLVP QLADHSDLMREMLRFRAQHQQGHLNLPVLIAPSLKYLQNAHEAGAEDVVVFASATEAF SKANQNCTTEEALSKLEDVARGALSLGLRIRGAVSCIFQDPFSGPTPPSAVLAVVKRL LELGCYEVALGDTLGVGTRKDTQKLLEVLLREIPADKLAGHFHDTYGQGLANVMQAYD MGIRTFDSSVAGLGGCPFAPGARGNVATEDVVYAFEKSDISTGVDLDKLITTGQWISK EIGIPYGSRAGEALASKRTSTNHSGQAPKQDPKTARSWNVVEDTGEYRIARSGTAMKI TLTRPKNGNALTDSMLEGLTNVFKKLADDSSVYHVVLASEGKFFCTGMDLSGNTNTSD ASRESSYYSKVVDLYDAIDHVPQTTIALVDGPCYGGGVGLTFVCDVRLVSTRACWTMS EVKIGVSPAVISKYMVREWGLSLAREAMLSGREVSPDTLVSVGAVHAVGTDAESLDRV LDKYLAQLEKCAPRSASINKELARLAWSDPEGRSQGEAIAKTFANMMVQGSEGEHGIK QFQNKVKDYSWREFWGERNPFSDIRTSSTRV
SAPIO_CDS7760	MTLTTGKALDLGHVENVKQAAALTELESCSRPEEENLPLYGDYE TKRILRKIDWRLLPLLTLLYVLSFLDRGNIGNARVAGMNKDLGLNDTEYRLALTMFFV PYVLFEVPSNMILKLMKPSNWIGILVVIWGTVMTCQGLVQNAGGLIATRLLLGVFEAG FFPAASYLLGDWYCRFDIQWRFGLFFSAASLAGAFSGLLAFALQKMDGLGNLEGWRWI FIIEGIVTVVVGAAVPWILPDSPATASFLNADEKALIKHRLEQDSGTSAGKVSTGEAF QWAFVKSALFDWKIWFTVFIFWGNTIPLNAFIFIAPTIIHQLGYTAAEAQLMTIPIYV TGFLTTIGVSRLADRRQRRWPFIVGPYAVALCGFIVLISIPHPRLPGLTYAFLFAIPA GINPAVITLVSWVSNNLSPTWKRAVGMALTLSLGNMGGLVGSNIFLAHEAPRYWTGYG MSLACTAVGIICTIVLRYVWDRENKKRDRISEEEVRARYTEQELLDLGDRSPLYRYVL 
SAPIO_CDS7761	MSTPILNPKDFGKLFLNGEYVEARSDKTLTLLNPKDNTVVADNV PIAGEEDVELAVRLAEDAFAGPWSSFSASQRTTCLLKLAELLESRLDDIMYLDSLTTG NPVSLMATREKGYIRSCVLYYAGWTDKQRGDYFPDDDGFVKLVRHEPLGVCAAINPFN APVASFILKAAPCLATGNVLIVKPSEKSPLGSLAIATLFEEAGFPKGVVQVLSGDGTT GALLAKHMRIRKISFTGSILTGKKIQEAAAQSNLKRVTLELGGKSPAIIFDDANLENA LTWTINGILTRCGQLCIASSRVYVQKGIAGKFIQAYRERMADAVERLGDPQVAGTTVG PLVDQGQFERVKAMIARGTQEAELVVGGSRFGSDGCFIEPTVFLNPKPDAQILKEEIF GPVAVVMTFDTEEEVIKAANDTEFGLTAGVFTKDIARALRVSSKVDSGLVGINCISVT SMQAPFGGRKQSGIGREFGEYALRAFTEPKTVLIK
SAPIO_CDS7762	MKAVVLRGDFDVVVEDRPKPNITAQTDVIVKVITSGLCGSDLHM YRGHQKTGVGQIMGHEFVGTVESVGHKITKFKPGDKVVSVFATICQECWFCRQGLESR CETTLAFGSPALDGGQAEYVRVPHGDGTLQHAPAHLDDSLLIMMSDIFPTGYYGAMRA IGFLQRPLLPPADGSGIGFGTQKLSEAVFVVLGCGPVGLCALLTAKTKGVKTVYAVDS VDQRLEQARLFGGIPLKFGVDDVPQIIKQATDGRGADGIVEVVGNKAALRSAFYLVRK CGVLSSIGFHHGDLPFTATEVYAKNLTVNFGRAASSKVFDEALACLEANKDKVSTIVS HTLPLTDAQHGYEIFEKHEAQKVLFKS
SAPIO_CDS7763	MLSSQYKSPSLTLAYTVTSIMVDIQEGLINMVKDWDTALFKSNI MAHSALAQVFNLPVIISSSASVGPNGIVPKELVAMHPNDTIIDRSGEVNAWDSKDFRN AVQASGKTQIIVAGIMTDIWHNVPVLSLREEGYGV
SAPIO_CDS7765	MSTSAQPDGCSGVGDDEKGHGMTAEEFSTFNAFSVKMNNFENGT PLKHEYFRTEWKSFQTACQDKRPLTGASKDHFLTRAIQFCRRLQMHHHIEEAYVFPVL AQKMPEFRAELGIDEDGERRSGKAELLTQHEAIHAGLVEFEAYVRACRDGKEELDWEA LRGKMESWGDVLWRHLDEEVHTLRAENMRKYWSIEEMWEILSF
SAPIO_CDS7766	MSRFFRGRDDSSSDSSSDEEDLYSEEEELEQQEEEESSEEESSE EEEEEESSDESDGEEAKKGASRFLVGDESESEESEGEARKVLSAKDKRFEELEGIIKL IENAKKIDDWGSIATEYDKLNRQVVKLQEAGKAPKTYIRAVAELEDYMNETIAKQKVT PKKMNATSARGLNAVKQKIRKQNKEYQAQVDAYRADNDAFMESEEEQEVKPVVKKFKA VQLLDETTEVADEEGFAPVDRRGKAVQYTPESIFKHLRGIMESRGKKNTDRLEQIKVM EKLLEIANTPYQKIRVLLTIVSTRFDLGSGAATSMPLEHWKAAEKELSLLLTVLGENP DYVVVENAEEWDDDEKPPTLKEGEKYIKVPGSVVSYIERLDDELVRSLQSIDPHTSEY IERLQDEGDLYNIIFRGMLYYETIRKDESLNVPQDSINRIVMRRLEHVYFKPAQVVKI IEDNSWAAAGKDVESTITPRAQVADPTDLVQVLSNYLFNNSEGIIRARAMLCHVYFLA LHGDYYKARDMSLMSHLQETIPNFDIQTQILYNRTIVQVGLCAFRKGLVYDAQNTLQE VCGSGRQKEFLAQGVIIQRHSQISPEQEKLEKQRQLPFHMHINLELLECVYLTCSMLL EIPLLAQTGSSPDIRKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASKALAAGEW KKAITFIHNIKIWDLMPNTDDIKAMLAKQIQEEGLRTYLFTYAPFYDTLSVSTLSDMF ELDGAKVSAVVMKMMSHEELSASLDNTASTIIFHKGVELSRLQGLSLALSDKASALIE TNERTLEQRTQGSANAFERQGGRGGRGGARQGQRTGRGGARTGGHTQRQAGGTQFTGG ALGAAVRG
SAPIO_CDS7767	MVGSSALKETTKPRQDDDGKEMLLEITPRAAQRLSQIMKSSNDP GFALRIKVQSGGCHGFQYLTTNDSVADLSGLEEDECVFRFVEDDAADVDKSLDGPKIV IDEASLMSLQGSKVDYIQELIGSRFEVTDNPLAENSCGCGSSFSIKL
SAPIO_CDS7768	MASAATSALTDKIYLELAKAENVAQATILAPALCSIPRTVPTRD DILVPETLLKKRKSQEKARAERAADIEQQKKANKEKRAQIFKRAEKYVQEYRQAEQEK LRLARVAKDGDAAYIPAEAKLIFVIRIKGVSKVPPKPRKILQLLRLLQINNGVFIRIT KATSEMIKLVEPWVAYGYPNLKTVKDLIYKRGYGKVNKQRIALTDNSIIEENLGKYGI VCMEDLIHEIYTVGPNFKQASNFLWPFKLSNPTGGFRTRKFKHWIEGGDLGNREEKIN ALIRQMN
SAPIO_CDS7769	MPPKKTQKPAQENISLGPQVREGELVFGVARIFASFNDTFVHVT DLTGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHIKIRATGGT GTKTPGPGAQSALRALARAGMKIGRIEDVTPTPSDSTRRKGGRRGRRL
SAPIO_CDS7770	MPDSQEPDTRHPPTDNPSSLDPHKPSDHGEAGDVASALQGLVDI QDHADIERDASAQARAAAIDKENKKDEDRIANLRSKIAKLEEQKKALAIEKTTKERIR APKSQINAIVDKILSCSEKIADNLDEIIAFEGRIQQRQQDAAAAEAAAASSATTTQET RRAGPTQEEGEDRRAFLIRTGKITPFAAVPEVDEEEEEEEAGVNDVARQINIPDVAGG DEPQIQTSHQVLRQPGFGTQLLRPPIDDGASTDPAAANLETEFGLRPRKRQRVGEVAT DEEEEDESPRKSRRSKKAKRPRRRQADEDEDYSAGVDGESDVVESSAEDGTKQHLVKL SVIDDAKESAYKARLSKWVNERKKLRASLPRADADREDDGEEEEWFKPTPGKPNKRIY EDYYLPEEVYDFLFPFQRVGIQWLAELHSRKEGGILCDEMGLGKTVQLISFIASLHFS KKLDGPVIILATTTLIAQWVRHFHQWWPPLRVSILHSTGTGMMNPKLEDDERFSSKPA TKSDAARKIINDVVKGGHILLTTYRGLDTYLDELSEINWGYAVLDEGHHIRNRTTDAA KACKQLNTWHRIILSGTPIQNNLRELYSLFEFVNPGLLGDLRQFMEFFETPIKAGHHK GASTLSIATGDKCSKTLRDTIKPYMLRRIKADVANSLPPKSEQVFFCKLTPRQETIYR EALDTPEVKRAFNFGGIAESQRRALFSAIEMLRKVCNHPDLLYKRNRSELPDYGHIDR SVKMQVLSELLRISKQFGHKTLVFSQSLDILDMIEKLLRSQNMSFLRMDGNTPNTERP HMVDEFNASPDLDVFLLTPQTGGVGLNLTGADRVILYDPAWNPAVDRQAIERAWRLGQ TKPVKIYRLLTPGTIEEKMYRKQLYKQFVADNMLKDGNTNRYFDSTNLRDLFDYGYDA PEAPLFDNVKPTTPQKSSRGGVDARTQTLAHRGAGAGASAGASKESESRALNAVQKFG GVEDYKDPVADENDLLDAVVTSNAEAVYHHDDVVGARHRQPPEKRLTEQEAHRLNERT VQFLRQQSSRAHIARSSGRPSDARPTPNPIKAMSLGPDKSKWNLDKVKASIVNFVKRR GGKTQTKDLVTYFNREHRGIPSDLFKKALYAVCVEDESAVRGRSVWKLKPGL
SAPIO_CDS7771	MLPSSQAKAHWVPLRTDREDRIRVTPSPTHLSSQQRQPPGLPTL PQRASSHQILSIQSPAAVQSGSLWRDPCIGGTLAGNYRVGDYNVDYSTQDSHPGEQNQ QQHAYETPTHHQPHQATLHHQRAPPSGLHDPPEQHPPTHHPHHPVMSQHHPHHPHQVL MDPSHMSHPRHPLGPPHFGAPGPVVAPLYPSMSTPTQPTHPSHGAGVKRPRPDDLDLS VPGITDLEQPDLDGMPQGPMGAYAAAAHQQQQQQQQQQQQPPGAPPTHHHHRLPDTGP PTKMMRRDGEGGGGAPSMVGQAGMPAPAPRPRGPKLKFTPEDDQLLIDLKENKSLTWK QIADFFPGRSSGTLQVRYCTKLKAKTTQWTEETDQKLRSALQDYENEKWRIVANKVGT GFTPVACRERAAQLMGESL
SAPIO_CDS7773	MADVKKRKRASGSSNEPPKAKRQATEKDQNPFAWIEANVQETVN PPFILEPAGCQIPDDIKFKVYARERKPGEISKGATPIERREYMLFSNDHPVYEFTARE DPATKWTRLYVGYENTKTGRKDVVQTRKLIVRAIPKGQRAVEAAMAKRGPQEKSTDIL NDLGRLFGSKRAKKAIEEKARNEISVPTLNTDGTPKVLSAATNATLDAIKEASADMAS REELQATLDATKPIPKPNMDAEDIQDVYDPLEIIGAEILNSIRVKDWQDASENGEGVQ VTSKFVASRLNTVARGPNSTERLRLLRYIYYLIVYYTNATRKRGGRTAMLKKNFKALT DASDIIADHFLRKFTNGRGEINSYFDSLILTHILAFASVIDNFSFDVQFLREDLKIDQ EKLTTHFHEIGGRVKELKDKSTGRVMHIATLSLPLHFPRQRKIRQRRR
SAPIO_CDS7774	MADSFIEDDPPTNFVIDFIFHSEDTDSKLTVMCNGKRIIIRLSA DIAGELSPCPDENDMIDESTFGALLSKELCSSWPSFDPEIEICDDDRGDTPPSPTPNK VQPVGGTIPFFLKPIGLGDTRSAEREIKNYKKMRDVELPKDLHVPRLHGIVEDRTGLE FGLLLTYIECQAVTLRCAMRREVAMSLRHKWAEQIKSAVDGLHKAGVTWSDVKPDNVW VDVNEDAWVVDFGGGYTKGWVDKQAAGTVEGDRDRREKILEFIGV
SAPIO_CDS7775	MSSVINVEYKGRVAIITIDNEKKLGALNLDQYFELATKMNEVAA HDEVYVTVLIGKGRFFSAGADVSSALSGPPPGSSTSPHRHILNTFLVNNLTLTRAFYS HPKILVAALNGPVIGLSASLVSIADFIYATPSTFLLTPFSSLGLVAEGAASKALVRRL GPARANEALLMSKKLTVDVLKECGYLNEVFDVGSGAGADVRFRERVLKEVDDRLGEHL VGDSLLGIKKLIREGELKEFEVQNVWEVFGGVDRLMKGIPQEEFRKIASGEKRHKL
SAPIO_CDS7779	MGLFSRNQMPVDGKTVLLTGASEGMGLEVAKQLAAKGANLILVS RSVGKLEEAILSLKAVAKHPETQRFLYISADVSAPDYAGPLIAEAIAWNAGRAPDIVW CIAGMCAPGLFVETPFSNVREHMDLNFYGMAEMSHAILREWLSPTAPVEQQPKHLVLT SSVVAFYTIAGYGGYAPAKSAIRALADTISQEVMLYPQKVAVHVVYPGSIDSPGLERE NKLKPEITLLMEKDDPVQSAEEVASRAIAGLERGDFFITVSWLGHLMKWGVMGGSLRN NWVVDTLMQIVVAISWIIAQPVMLGTVRKFARENGHPSTYKKKNGA
SAPIO_CDS7780	MSSDSDLEMQDAPDGVENSLSASRALIKTVRSLDQEGPGKDGEN LAELWKALSHNASGQFYAAEQSSLRWLLKMMNPSTKASPESETLRRYPLTWNILNCVF QRIPLFSLAKALADRRFIAVLKQTVKDLSSPSGEDGHVSSPDRKRKRSTQIAFDVDAL RKPTGCLKSANALFEALRSLLSRLGSAAELSRREVMGAEHVRTLFASPAAESLELVGP MLAICYNSLEVLNGAFEVNVSWVKVASDIWDLRIRGGGDALEVATRATQTTLTMFANL DEEVGRISGREELYSDLPSHIRLEWAADLQDFLQRNLFLPARTAYINSEGLDPLNMAM LMAQRNATVTGPAVYLLAQNSPKPFDEKSKEKVSDWINEVVKAIDRSLRNLPPYSRPR IIEGILGEARVKGTNISEDVLRDICSKYAFETENNVRWSTLSASLRLDPDILFDQERD NDLLNSILGNIPDLDKLEGDEAQHVMHVMEAIIKAYLNAKDFPSFLELWYEQLSKADL GTREGLSPWLEASVRRSEAVFTQSRLEITLTVNQLRGVLDWLESRAGAKPECLYIVLD SISHGLGSPEFTDAVGMKIADLVLTAFPSSSTSNSLLSLRWSILSQIITWLNPQERDD LWTKIKEKAAEVLELGRLADPDTLEAFKFIFTVWSLMTPDGDYEEDVSSIAKAAVNRL TKEIPAKAGKRTVLDWKQQQRDKPLLPDASETKPEAYLVWYLAYTLGDSSRFLPLLSS ASDESAFPEYLDSVLKLLLSRDKKHKPKSEDGDEVPSSLTMELFRALLSNENILNNRP FLDQLVDRMAGAVRGKFKKSQDEILDSNLGSLFLEVPNDCLTRPQRELLAGLLLERIK TFDGNDDVAPSQWALVLIVLTKLMKRPTFCEGLNFDNLVTLADQISRSFGSDSDPRSF RETYALAPLYQDLAEHILTLMANDFDNRGQKFFEEAASFVKTYPVDDKSTHRSRLRLH LLKALIVVLSGSSYLNGPSSPLAPEEVKKKLGAAISATLKKCTRYWKKQLEELDTGNY LYTLLAALDSSDVIEAEQLDSKAISTEELAQASKRGIEARRLYGWKLRGFLLRHRPDS IDKSLAPTKSKTSRELKGTKAPDVLSHDQDLASLVLECTNVTLQSLKEEEGGRLLYLK DLVGNLEFESSAEIQLTAIRCVVQTLAGLPTSNFKEYDLAAAYTTLAQKSLQADSGAR FARICEILHTILDGNMGHISQWNVEETLSLVSTVCSENPSVSKVKDSDKTFQRLCKLV EVILKKYRLRLEGHFHLLITTMQALLKSLLLHPYDSRLKTWAAPPLDTNISASSSTPY TAWQDDAAACNRLLTLICEPTVGSVTRSHHGGALDAAADVAKRSAGRQMYLVLMTYVK LQMEVGVPRSVREGLEPGVNTIFDVTPGEVRKVMNDGLDKAGREILGDLYKRYRMFGK WTGK
SAPIO_CDS7781	MSSPAQPSTSVSRPVSEALLNEKWDRCLSNLLVKSTLGLGFGVV FSVLLFKRRAWPAFVGVGFGAGRAYEECNWNLKQASKNLKGQS
SAPIO_CDS7782	MSATSDIVATLSLHIGETALGDIQLHRKQQITFGRAESNDISLD DEDLQEHQCHFWEVTYDDNLPPAVYVRNRSATGSTYVTRKDERIYLNSDPISSKGWLL EPGVEVGFGKYTIKVTQHYMNQGVQKLGEIQQEEARQFRDDFEICDVVLGKGGQGEVR LARNVRTKEQLVVKIVDLAKMLEVRGPGGINWINRTRLEAEVLGKLDHYNVIKLITHF RSNYSIYLFLELASGGDLTSYMQRKVFMDEGETQGILRQVVAGLKYIHDRGLVHRDLK PCNILLASIPRGNHRVCISDFGCAGIHASRRLVSGVGTPGYQAPEVQFRTGVQTPTAD MWSLGMIVLDLFGREHGVPDLARSQFESRVQATQEQVDAKLIGLFASKKDQRSLHLLD FIKRCLRVEPTERMTVYQAVTHPFLRLNEGVFQAMEGIRRKGVPAVTPIVDIGRELLD VLPNSRRGMKALPLPGSDSYTLRVRNFESPSVDRAGGSGLPDQQQPKSQVQLGSSADR GNPFDRSLPQGQPRPAPAQQPASSSLREQFAKILRGHPMPRQYQPPSATPLPPGGSGP STPSLPRRNPFSSEQNVGASGFRSKVDPAILAWARAQQTGATGTTGRPQPQRPLRRLL GRVEEETPGAPTDPGSWVNAVNRKTSGKWP
SAPIO_CDS7783	MADPRKGSSSSGREKHGHAQVGNFVVGAEIGKGSFAQVYMGWHK TSRAAVAIKSVEIDRLNKKLKENLYGEIQILKTLRHPHIVALHDCVESKTHINLVMEY CELGDLSFFIKKRDKHATHPATYDLARKYPCPPNSGLNEVVIRHFLKQLASALKFLRE MNYVHRDVKPQNLLLLPSPEYRKVHPRDILEASQDSLIPVAGLASLPMLKLADFGFAR VLPSTSLADTLCGSPLYMAPEILRYERYDAKADLWSVGTVAFEMATGKPPFRARNHVE LLRKIEANEDVIKFPRETNISPELKAVIRGLLKRNPVERMSFEKFFADEVITGSIPGL VEDDIPKPIPIPQEPKVAPLPSPLERPRRSPDLPRESVMPSSPIETGSKSPLVGSPGT RTAALTPTHRDAGTGLGIRQHPLPQAQPSTSNSPRRLSDVTSARLRDNQSSPPSSLLN EHGIKKRGRVQTSPLPIEEQERAAQEVAFERDYVVVEKRQVEVNAFADEMAANPRLGG HGNTGTSPRSGQVVRRATQTNSTGAGANQQSRAIQIAQGKGHHNHQGSYDKGASAAGS SPISASSAISKAIQDASLRLFGFKVPPVRVGKGQSPPLYSPFPAYPIPANQAGLLEDG KRGASPDEDARVAQAIEEYANRSDCVYGFAEVKFKQLFPLAPSMEHGLGGASAEDMDP RDEGLTLDAVVTLSEEALVLYVKALSMLAKAMDIASLWWQHKTQREPSPNTRDGQGSQ FLSMRINSAVQWVRTRFNEVLEKSEVVRLKLVDAQKQLPEDHPSHPSNHQGDSSFDAG EKQVYLTPGISAEKLMYDRAIDMSRTAAIDEIANEHLKDCEEYYTTAIRMLEAVLDVD DESYRSRSGSTSKDTRADNGREIAGDLDREDRKVVKKMIGLIRGRLSMVRKKRSVIAE AEKAQLVAERRRSGDMTPRSVRSHASS
SAPIO_CDS7784	MAPHANDSPNGNSTSSPLNPRVHAASTDAAIAGENEFAAHNYHP LPVVFSRAQGIYVWDPEGRKYIDFLSAYSAVNQGHCHPELVKALCDQAGRLTLSSRAF YNDVFPKWAELVKNVFGYDMVLPMNTGAEAVETAIKVARKWAYKVKGVEQGKALVFSV EGNFHGRTMAAISLSTDPESRDNYGPYVPNIGSKIPSTGKTIRFNNVADIEEALEAHG KDTAAFIIEPIQGEAGVVVPDDDYLTRVHELCKKHNVLLICDEIQTGIARTGKMLCSQ WAGIKPDIIALGKAIAGGMYPVSAVLSSKEIMLVIEPGTHGSTFGGNPLGAAVSIRAL ELIKEEDMAGNAEKLGRIFRDSITALKSPVVQTVRGKGLLNAVVIDESKTNGRTAWDL CISLKNKGVLAKPTHGNIIRFAPPLVITEEELRKALGVITEAIEGLPNAEKAVH
SAPIO_CDS7785	MASTKSSHSPRVGYSSGKVLGALILLRLVNVALTQTFFQPDEYF QCLEPAWQAAFGKDSGAYITWLRSSLHPALFAAVYKLADLVGQYVVPGLRTTLLLWAP KVTQAVIAALGDWYTWRLAKRLYRDDTAASTAAWYVSVRTFSNSLETTLTIAALNYWP WDLFQPPVKEKIRSFGSMIRSPEGLRSLRLSLVLAATAVLLRPTNMFIWAPVFIFTLI PNRLSPLLTHPTLKETVLFIREVVVYGVLTLAASLAADRLYFGFWTFPPYKWLYFNLS QSLAIFYGENPGHYYLTQGLPLLCTTLLPFVLLALWRSDPDPAVSQAFKVFRWAVYLT ITILSQVSHKEARFIYPLLPLLHILAAKHVSHFFLWSPSHPSMPSQLHHKGYLAAGLL INLLLASYLSVAHQRAVISVLDFLRDQYATGDISTAPMTFGDNKPYVYFLTPCHSTPW RSHLIHPNLSVGALTCDPPLHTAPGTMERALYRDMADRFYDSPIGFLSHELWPVEAPS EDKAGAVGVPHFIVGFEGIEPVITEFFDGPGREHGVELKRVWEGWNGFFSEDWRRSGK LIVWETGV
SAPIO_CDS7786	MPTPPPGAMIRSGLQQLQQPTAPENPASSPSKRDLKSWWKGFKL PSGKNQEANGTDSPVQSKSKSISSPSRYSGVSRFNEDSRYPLPAHLQAVLAQHDAQVE AHALPQFPSASPSVVRSSDATSEDHGGAYRSPGRLKTIVVAPFRCFITKPLTDRFSSP LSSTRKEHTKPCTVPETKPHGIFGVPLRQSITYANVAISLVDENGSSYIYGYVPIVVA KCGVFLKEKATEVEGIFRLNGSEKRIKELKNIFDEPDRYGKGLVWDKYTVHDASNVFR RYLNDLPEPVVPLDLYEKFRDPLRGATKQATGDAEGPQFVEDFDEQAAIAKYQQLITE LPPLNRQLLLYILDLLAVFAAKSDLNRMNSQNLAAIFQPGMLSHPGHAMAPEEYRLNQ CVLIFLIENQDHFLIGMQGTAADEKTKQEVEHGTPVLAAPGSPSTPTPGGQDSNLGRS ASNASAGAESVRRDGKIRRNRSTSSRHSRNEGGATPVSPVIVTTPTGGLARSNTVPSK KSPALAAGRFHKDSSPLAVRQPNFTTAPEDLSGDSPAASTITPSIAGNTSLSPTTGAG AGRSQERLLELPSEGLSTPTKERNLQSLFQRSPTSEGDKRQRNKLKKRVPAGGIQNAS AHSSTASLPHSTGISPGRESSNPLEAPPERLEGTPTTTPSESQQVLPAGDDSSEPTPR GSQMPAPLPTLHPENSLKPKKSPSTSLHSSFNEGSEFDHIDEQLPATSNVEVQEKEKK KRWRLSRPTKKDEAPVSHHSNLASPRPGVGTNLQAEDSSLSLASGSVGKPRKSFTNDS SDPASSMVETQLTQESGSSSQKEKSESGKDESTKNPIGWIRNKYREAKETQGQRRNKS PPAHNKHASSSTSILSLRGKSLDLKREEEKLAHLHQHSQPLLQPQPQPQPQHTPLPPI VAVEKPSQLSQSHAQTPQPQPQPTPPVETPVFTPNPPQQQAAPHQSPPIAPTAPTAHQ E
SAPIO_CDS7787	MAQALDHRVYLPPLEECLKGERDILSWKLVATALSDPTGVRQTT DAVREFLKDTYVQDLIKNPAKTFTFEDDAASAEFETRTSAIHVTPKSNGSYDIKTIKE DAQWLSKNARINLLAALRIVAIELQARPAYHLAGPLSLQDSLNLQDAAGGNEVQSSTV VARVATTGSVDADALWDEFQKQESRRARIYVTYLYEKRFFLMVASYLHSIIFYERLPI ATEIPLLNLNELYGLPRPMSGADDANGRRGFVEKTVTTYLDLLAVITNSLDAGLEATT DDPLVTQDDVAIDWLRTGVDQAVHATLVVFQALDYMSGALVNPKIVGRWFSMMKVHCF FEQLLTSDAVAQELQAALQTVTCAVSLILLSPTKALRHVNPDGETPSEDILYLSFPQV MEDIHITIEAASSLDAAAAYPTIFVWSIILFQLHLSLIDRSERRDVLLQQKSAELIQD NPIRPGTARRSSVGSIISIDELPRDVFLRSAGLDKESQAIEVLANQTTSNGAAFTVID QMATSSEKSFPALQSSRVRTVFLEFFNWTQPVVPYQADPVVALVDNLSGGASYWEMSP RQAVTSNTDILASALNNELFLDNYLYTSLNRFPFEFSPFLHICSALGKCTTLLDGAGS DEVLSILRRTSTLTFNLPDYFQAYELVFEDENTNSFRILEPLPLFMPRGRSRRHVAVD DFCIPAGTHGRFVTDSGRVVQLDYEYSPLALLGSSLQISLSQGDYRLFLSGTAQNEVA ESIGLLAILVRAQCLKASLTGAADQHQSAIEILEEASKSIDNSKDIISVICDILDSSM QDDSAMGDDQDLAVLTSCIQFLHATLPVYPSRILSYMARCDLLSSDSRAGRLARLTGS LDMADNQFDFLVSTVRLLSAVVDTIMSSSVQRKCGNKLKARLRVETDSWLGTSEKTLS RLGQSIAVAAVDVFENWSTWKLRSDTSYTVLLKEVVPLMKNLILYAYGLDDLEGSSRP TECLEAGAEYIIDSFLSPSAGSLRFRPLQSTLYMGFQYRPSTLFHSRTIALQSQVSAV LDFSTILLRVANIYTRPVGTFESHLFKSSGLLARLVAVNGYFQRPTLLLITALVESAG RGTDEPPSLLGYLGPQVAKSFLDDLSKIGRPFSTPSEVTTIWKFFSSIIRNRQQWMSN CLISGKTPREVSESSKAKDFASESVFSTALGMIKNLGTVSSRLKVLGILDFITSAQNY WPWTVFTILKDPACLDGLRTRIRGMSPSAVTAKSDPLAAAYDAKEAAYIAEAFAMQLY HLRHMGGAKATAENLLKDLDYYLRDGALIAGYNGSLHANFRKNFATKYPTCSVEKFKR TMLEPRDLGDNYYYNLTRADEMLRFDPGWRGPKGNGFKKEMERANLNLSLVDAQISLY HAWEFLLLELNSALPGNEIVARYMQQVALQCLEANREGQGPENIFVRIIESRSNFALM LIQGLIGVKIAPQDVNQLIGALYSAIASIEEPFEKESAPLYRTLLKALYIVLKSHAGQ TSATGSGSGDESISGDTLVSRIQMMLDILDRVVGKGFRTLVTLIHDKNTAVSPEDLGL ITAVLQACLALPDMNQQQTQVLNIIAAHDAMHVACSLFSWSDKITDNGDPVYGELSLL FLLELSKLPMVAEQLACNGVISQLTSANLTRFMRRGVISPFADNVGAQRCYSVWAKAM LPLLLNLLTAMGTTVAPEVSYVLNQFPSLLKSSVERFEAPGTSRTASKEAKHYVTLLT AMEIHSLAILTRVLGALRQNNNRDIPEVRWDGASLLEYVEFWLSSRRLLRERLLPLGQ REVEWKSMKSTAAAANGVTDGWESVLEEKVVVQLEAVRDVLVEEA
SAPIO_CDS7788	MNNFGLNATEQRQLEERLSKRQMQDFMGMFHNMFETCFTSCVDE FTSKALSSRENGCISRCVQKTLAMQQRLGERFQEHSQTTMMNQQQ
SAPIO_CDS7789	MPALDIIDHSPHHPDPSPPIPTASNVILIDNYDSFTWNVYQYLI LEGATVTVFRNDKITLDELIKKNPTQLIISPGPGHPQTDSGISREAIRHYAGKIPIFG VCMGQQCIFDVYGGEVSSAGEWLHGKTSPLSHDGKGVYAGLRQGLPVTRYHSLAGTRV TLPECLEVTSWVALEDGSQGVIQGVRHKDLTIEGVQFHPESILTAEGRTMIRNFLHLQ GGTWEENARLQKESAQNGSSAAAVPARPKKSNILQEIYTRRKEIVEAQKQVPSLRPSD LQAAYDLNAAPPLVPFIDRLRQSPFDLSLMAEIKRASPSKGVFALDINAAVQARKYAL AGASVISVLTEPDWFKGSIDDLRAVRQVLDGVANRPAILRKEFIFDEYQILEARLAGA DTVLLIVKMLDTPLLERLYKYSLSLGMEPLVEVQNAQEMEIAVKLGSKVIGVNNRNLE SFEVDLDTTGRLRSMVPESTILCALSGINSHKDVVMCKNDGVNAVLVGEAIMRAPDAS VFIQQLCAGTDTVPEPAKPSPLLVKICGTRSVEAAKAAVEAGADFVGVCLVPKAKRCI SHETCLEISEAVHSFRGKAPTSVAQTNVARDYFAGAVERLTNSGPKLVGIFQNQPLSE VLEKQRVYNLDVVQFHGDEPVEWARQVPVPVIRCFKPGQVGVGTRGYHTVPLLDSGSG SGKLLDVSNVKSTLEKDADLRVILAGGLNPDNVAQAVKAIGELSNRVIGVDVSSGVEV EGKQDLEKIRAFIQAANEFR
SAPIO_CDS7790	MVRAIFKGKVLATAGSMPGQFTEENITKWTELRGGSFSQELDER VTHLLCTKEQLEKRGPRVQAALKTYKRVHLVDIDWFNLSCQANKREKERAHDLRSFLK EKRKRERRLAQYAKGEDQDGKFVNTNLFHIYRDENNFPYEVTITRDDEETGNTALRIK CQTIPLLVCSQVLPEAKGQQSKLSSTNHLLRPQRRPATTLYILFREEDWNQLGGPPLR FQDKAKVFLPIYTTSKVIVLFIFAEFPKSSMEGEPGGLVSPGHRPRGEKRAFTPSPAT AQSTSLCWNPLTKQLDIQEAKEIIKRMAKDEES
SAPIO_CDS7791	MAIIQTPFVPDSPVASSTDQLNAELGQLLQRLQNEILFVDPARE RRLRSSELERAKLDKNLQYARSVLTKLEQESMGLKAHLMKHDFQVVLNQKREMLEVLV DRLEDLAEMEIDDDDDDADLDDEDILADIIPTPSESIDSRSADHSAEQPYAQEEDIPE PPSVLSEQEKQPVPPTLQSPVSSSAQSTPSSSPTNTSAPALDTSPATQPTTTESSIRP RRPAPSESHTTARATLFSRRKTTSPGTAPPTTQTSTATAEAILDRQRAEQEALVGNIL QYASALRDSSQRFNDTLEKDRAVLDRAADGMDRAETGIEAARRRMNVLTRMTEGKGWW GRMLLFAWVYGLMVVLVLVVFVLPKFRF
SAPIO_CDS7792	MSSNVGLDTPRGSGTSGYVQRNLAHMKPRDYGVPYPQDADRLRH KLRQPDKEILEHEAKREIEIKVLELRDQLEDEGLDEDEIDDKCDELRKKLQEEQKKGG RQTKRGFKTHEVHGMADAKIKESERLRNALKISKDYEEGDHWRRQEERVRNAGSSGAA PGEPRKRE
SAPIO_CDS7793	MPSIDPPAHRRRSHNPAHGHGHDAVTFPPVTREHILNCSYDMWF PKYRTSCLQSRIIPLTPDFVDYLREDGIILADDDEEEGDEIAQSDEEWHQTTPPSRPP PQEVYSDESDESEDEGPPPIPPNRRFPQLHKTIAEAIQELGGSVVPKLNWSAPKDATW ISPFNTLKCTTPNDIYLLLKSSSFVSHDLEHPFDGCVPVTEPPSFTPVLVLRPHFPIQ PSLEFRCFVKQRTLIGISQRDLNHFEFLGKLQPVIISRIQKFFSEKLQTTFPDPCFVF DVYIPEDSDVPDGLGRVRLMDINPWAPRTDTLLFGWGELLDMRVPNPMLGSVTLEHHV PESSSAGETTDEDDADAFVPELRLIEKDDPAAFNFSSSQYSAHKLPKEVVDASMGGEG GLAEFAKMWRDATGNQGGDMWQQPRR
SAPIO_CDS7795	MPDWFTDLSLKNPTRPQVIEVMGCFNGVASNVSKKPGQRDRTVK HLIAALQANGERERGTLLGSFLAHHEINFLESDNGAFRLDVQGTDSPKLARERSHLHL AYDEPWWALMLPAL
SAPIO_CDS7796	MRTSMRSSMRGRANKVTKPNKQRPPSRPPSVIQEAVDHLAAAAH AQQYHHPQDEDVDPSQQQQHEEGPHRQPPHDDHQQHLQHQVQQSDLKPDHEQLYADPG PASTEDDGSHPDLDDAQALQRVQHQRQQLGLVSDVAPAADPSIHPALQAFGTTAQFAT LAQQDMDAQMQDAAAAAAASAAVVAGSPGPVQTAAEFARESGYDNLDLDQTASTLSRR LMSQPGRRLAVQRRKEQKLNLVRRSNVEALLAHVSGQQAASACKNCHKGHGPWTVCVV VDGQMCGSCANCWYNASGARCSFHETNNPQAHQPAVLPPAPNPAASFGLPQLAPAGAI QLPPGVLAHDPQLAHIAYDSEAKVVMDRAMAVAREATTRKARQLLKVEIAAKQLVLSM LEYEDLMQTETQLHQQQQHHQFGQPQQHPHQRAQDMRAISHPQAQVRQQVPEPGTVPD ATMEGGDEDGT
SAPIO_CDS7797	MGCGMSTEEKEGKARNEEIENQLKKDKLMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMETLELPLDEKRTEY HVQTIFMQPAQIEGDVLPPEVGNAIEALWKDRGVQECFKRSREYQLNDSARYYFDNIA RIAAPDYMPNDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPTSPMKNYFPDYEGGDDYAAACDYILNRFVSLNQHETKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI
SAPIO_CDS7798	MSIPLTRLGGHAFNHRTSVDIEAEYDRLRDLAREEAEKRNDCFA RSRQAYENGDGARAKELSNEGKRHASKMDDYNRQAADFIFRENNAEGRVDEDCIDLHG LFVEEAERILEDRIRAERSRGASHLHVIVGKGNHSANHVQKIRPRVEQVCREMGLHYR TEDNEGRIFVDLKGGAHGGGSEYHRPEHGGQQQYHGKPHGGYQEHHGGQGHPPQKEEE FDLVGAIFKKLEKVCCVVM
SAPIO_CDS7799	MPLIIISGLPTSGKTTRAKQLETYLRSRIEQGSSSNNETTSATP SSAPKYRIHVISDDSLSISRSVYDLSSVPAHARSANASEKDARAALYGAVKRVLSDRD IVILDGLNYIKGWRYQLHCEAKAVRTPSVVLQIGCEVERARGVNEERLRRREKEESSE QDAPEGYEVGNWENLVFRYEEPNPMTRWDSPLFALVWDDDEERTKQVFDSLWDAIAGE GRKVVKPNQATVQRGRDASGDYLYVLDRETQDIVKTVLEAQGEDGGGEVRIARSSSGG DDDALVVQLPGEKVGFPRLQRLRRAFIGLTRGGIGLEGVGNLGASRIRESFVGYLNDA FESEG
SAPIO_CDS7800	MPDHHEHKKKVNLTDASGAEVKQENDTATAILKKKKKPNQLMVT DATNDDNSIIALSEATMENLQLFRGDTVLVRGKKRKDTVLIVLADEDLDDGSARINRV VRHNLRVKHGDMITIQPCPDIKYAKRIAVLPIADTVEGITGSLFDVFLAPYFREAYRP VRQGDLFIVRGGMRQVEFKVVEVDPPEYGIVAQDTIIHCEGEPIQREDEENNLNEVGY DDIGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLLYGPPGTGKTLMARAVANE TGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRDKTNGE VERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGVPDPTGR LEILQIHTKNMKLGDDVDLEQIAAETHGYVGSDIAALCSEAAMQQIREKMDLIDLDED TIDAEVLDSLGVTMENFRYALGVSNPSALREVAVVEVPNVRWEDIGGLTNVKQELMES VQYPVDHPEKFLKFGMSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPELLSM WFGESESNIRDIFDKARAAAPCIVFLDELDSIAKARGGSVGDAGGASDRVVNQLLTEM DGMTSKKNVFVIGATNRPEQLDPALCRPGRLDSLIYVPLPDEEGRRSILAAQLRKTPV ASDVDLDFIASKTHGFSGADLGFITQRAVKLAIKESIAADIKRSKELEEAGMEVDEEE EAEDLVPELTRRHFEEAMQMARRSVSDVEIRRYEAFAQQMKNAGPGAYFKFPEGGVDG GAGAGAGGSGNDFGDAGNDDDLYE
SAPIO_CDS7801	MTGQSPTPSVKIPSGATNYTPATIDPELRSTINGLLLQEGHVRR IQDRLLHALNANQANWPTNIQNHALSLLRSGEVTSFPALLNRVLEDVRQDTQLGKGAE AASANGAGDSKTNGDATKKAAVNGADQKSNLALPQTVIDEALQATRECLEEIAYLEG
SAPIO_CDS7802	MAAVERESRPLEAASMVGLLVESEGVLELIYRWYYLILGAVFIV SALTYSIRSSKTEEDAVAPTVTGPGGKPLPLTKRKKKHEYTSEHDSSNLPSIGPHAKR VFQLLSVILTLTFFANGVAIGLHSWKANRDLPWGQVNWWCGEPMVVYVLGSACVYLYF TVTLFEWKKSPGIAHILTWIIAFVLEAIIFLNSFLRAERCKSLHIDTGSNFGGRCLDI WEQVDLGLSLTRVGILAGLCSIYACMWSRVHPDVLSKEEDFGSRRFSQPPLHRMASTD SADTITEETTPLLTTLGRSYHTHETNGHHERSLSSAGTLANCAETSTGLYRDEQAAFY RPKKLPKRNWWDYLRGYTLFWPYLWPRESFWLKFRVFVCFILLLLQRGVNIMLPYQIG KVTDRLANAHDRGAAAVMMNDMPWILLFSVNLLMLTQGQAGLLGSIRSIIWVKVSQYS YKALETAAFEHVHSLGLDFHLGKRTGEVLSALNKGGAINTFLEQVTFQLLPMIVDLFV AIYIFNRVFDATYGTIVTVNVFWYLYLTIKMAQTRADQRREMTNADREEEAVKNDSIT SYETVKYFNAEQYEFRRYESAIDNFQRAEARVSYGTNMMAIVQAVVFVSGLAVTLTVG AFQVFNGFRTVGEFVTLLSYLQQMQSPLHFFSQFYRVIQQALISGERLLELFKIQPSV QDLPHTQDLTECNGHIRWRNVKFSYGNQPALKDLNFECAPGTTTAFVGESGGGKSTVF RLMFRYYNCSKGSIEIDGHDVKDLTIDSVRRFIGVVPQDTILFNETLMYNLKYARPGC TDEEVYEACRAASIHDKIMAFPLQYETKVGERGLRLSGGEKQRVAIARTILKNPKIIM LDEATSALDSRTEQQIQRGVLNVGQGRTVLIIAHRLSTITHADQIIVLNQGEIVEKGT HEELIQMGGYYTSMWEKQAQATRAANRECLKLLKQANLSTRKHLDVQSEGYSSMASSS ILPDVSPMSESKPVSPIDYEHSSDGESSHTFHSEMDRH
SAPIO_CDS7803	MAASEPFPILNTSADQLHTPSGDGAPRRRRKSSVIGSEFRIGDP DAKSRGGLVSGDGASQTDAPRRLSKRRRARGLLSRFRHAIARHNCILPGCLLLTFLTL YAFNPTESNPIHHFIFLSYPEPTEPGKPQLYGKGYWDIAFVVFYTIVLTFTREFFMQE VFRPMARFAGLPRRKTPRFMEQAYTALYFGILGPAGMYVMSKTPVWYFNTRGMYEDFP HWYQEGIVKFYYLFQAAYWAQQAIVLILGMEKPRKDFRELVAHHIVSLSLIGCSYRFH FTYIGLAVYTTHDISDFFLATSKTLNYLDSPIVGPYFACFMGVWIYMRHYLNLKILYS LFTEFKTVGPYELDWAGGQFKCEISFWITLGLLSSLQALNLFWLYYIVRIALRFIRDK HATDERSDDEGEDDEPVEEPTPNKAAEANGHANGHANGHANGHANGYANGRANGHANG HTVKSN
SAPIO_CDS7804	MAQLLRIVSVFLAIASWHVVLGFQVTPGSPCASICDVPVSPEAT GNSSEIVCGDEAIRSTAAGVRMKACFTCLESSTHTNGSETDQQWLLYNLRMTLATCVF EVPPAEGEPKSTCAADFTCSPLRTALFPTESKGNNGGYEFCDVSDDGFKSPNLQTCIG CLESNKNEVYLLNFMNVLAAACVYKPKPGSLLIRSGLFSTDGVEIVVPEAENTSEPSP APTTTTETTHRTGLTQVQTIAIIAAVAGTIALAAVLFTVYFLMRRRQNRMEAALRAQQ SERAPPTNPYPLMTEVQQQGKEEGASPSSYSTVSSFHSTWTFDPKLCSTATLSWTDVS GMNTTSNIPVHPAYVAKIPGRKSHAPDEPGNIV
SAPIO_CDS7805	MGEPHLCGIATKASRAPPMATPQLEGAYAAISRAAPSDANSRSD SDDLILCEGAGTALPLTIIVEDFFPLINVMDRNNSTGPVDPDKVDAEKRVTVNQSEDQ GNFRSSKFTGTTLTHLNFSG
SAPIO_CDS7806	MSFSRQHGPEASNLPSIFIASDPPDRYRSPPQVMPYEQHSSSST TSAMTIPGVQRVEEVPPPLPPPQFPFGRPPGYDYKEPRRDSFNPFSSREPSLFGSGSF SSMDRGPFLRVEKDEGYASLSSTRSKDSLPTGFGACHNRFRFQSSADDYCDNMKNKLN PIRTFDKSPTSSIGPSSAQDHFPSRLSPEGRLPPLSVPVSLPFRTSILEEPERFTQTP LHSAVSPRLGPFSLSSRDPRSPGGNSDVDYSVRRRRSGRTNSTSLGEDSAASPRGGYE LHSADSEIAETSSMRRLHLDESKEYHVAGLKRRASSPPGDDSMLHSMGSQSDLRRREP SRGSPTPRLAVHPKGSGSSVSSAGRSGSFASTFSVAAPSSATSVNSFGRRSPVHLSPG GGTDGGQGYAINPSPRGSISRGTHQRNISESRQTASPRKITEVSKPNGQRMQGFMLMC ECCPKKPKKFETLEELCAHEAEKQYECLYCGNRFKNKNEAERHQNSLHVRRHSWSCKA LTSYSQAFHESTNRPGEADTCGYCGRDFERTGPVAAGGVGRTASDQDWDERIHHLTAD HKFRECNSSKKFYRADHFRQHLKHSHAGSSGKWTNMLENACMMEEDPTPK
SAPIO_CDS7807	MSLDRIEGKENLYVGSIFALRRPKALMDHGVTHVLSVVGFKHRE MEGDANRGPTWDDFRNKAQHMMIDIDDVDDEDLLIHLPKAVRFIEQGLYPSRTPPPDG GESLSEGDEDELETEGAVFVHCAMGKSRSVATVAAYLLWKHPQRFGRSAASAANPDSR KGGGRDAVNGAIEWIRRSRAMADPNWGFRSQLKLWWEMGCPEDVEGHPVYRKWAYKRE IERSVACGMAPELRFEDEDINQAKPVQGGQPAHQTPPSPADPELRCRKCRKTLATAQF IVALDHHGDGSASKPAASPGACPHYFIEPLSWMRSTLEQGELDGRLSCPNVKCGASVG RYSWKGFQCSCGEWVTPAFSLQKSKVDVVKPARVPAALGIRMPPGATPRENL
SAPIO_CDS7809	MLTGEWQILLAKADFFYVCVAHLKDRNFCTPIIDQAAIDAKKKK ELDEEVERVKKEYEERKKKKEEEKKKKEEEEKGKEKSKDDKGKEKEKDKDKDKDKESS EGDKKNDKSDDSKAGSPTPTAEEPRVFALHRTFYQQRVEKRRQMEIAKRNRERLQQPN YFPSVPKGLPGA
SAPIO_CDS7810	MSAALDAQRLRLAFESRPDIIKSIEAAADSPSRVNLFNNIASFV YDLQTNGEPAAKRRRVGDGRDGLNGANGADAAQEPVLLEIKEISMSIPQRKKFDLCFT ANHLYARAANAPGPVQGIVYHWQDIEYAFYLPVPEKAQVQHNYILFPKGTCLASLKGI ASATDPLVFTVPATPPKQGTIGGSNAAKASTYADSYQTLFHWALKDHLRKAGNAIDIV STDPNKFHSVIAQPHRPKERAVHVKAFRGSKDGYLFFLENGVLWGYKKPLLFIPLDRI SAVSYTSVLQRTFNIVVEVFMGEGESTEVEFGMVDQADYAGIDETYVKKNRLQDRSMA EQRKAKLELAENIRAAKKAGGAGEDEEAQGDNAEAPEAGGMSELEKAQLEAEQKLQDE EDELEEDYDPGSDGDSDGSGSSSEEGDDEDGEEEYDEEEDGDGDGEEDGGEEGEEEMA DAEEEVVEEAPPAPKPKQKGVTQVPHADVDDHFSHSPYGNDDEMDVEERFDVVG
SAPIO_CDS7811	MVLFETSRQTTRIAVVGAGDVGAACAFACIMNHVAGEILLVDIK ETFRDAQVLDLGDAAYRGTPSVRAGTYQEAGQCDVIVVTAGAKQKPGESRLQLIGRNI SILRTVIEGMKPLSKDAILLLVANPVDVLTCFAQEISGLPKQQVIGSGTFLDSIRLRG RLSQKIGISANSIDAYVLGEHGDSQFVAWSIATVGGVPIDEAVPAGTFDRDLIAEKCR NSAATIIEGKGATSFGIGAVVSSICSAILFDKHLVRPISHWVPDLGCCISLPVVLGRR GAARPLPMNLNEEEQKALEGSAATLRGVIADVRRDFKL
SAPIO_CDS7812	MKSFAQLAVSFAITGVAVALPGVPHHSDHHSDDGGVTQTTAGPS VPPPAQTGCPTVTVTGELCSTCAIPECLGLATVTQSCGCPEAIPTVTLNFPCEDNCKG IWCTTTYDIVTETCEPTGSGTDEPTVTPTGTEEPPESSCTEEPTESTEEPPESSCTEE PTEPPEESCTEEPTQPPEESCTEEPTEPTGEPTGEPTGEPTGEPTGEPTGEPTGEPTE PTGTSGPEPTGSSTPPPTVNAAMVQEGSFWAAAWVALGAVILL
SAPIO_CDS7813	MPVPGQYPELDAETRVPILVGISVAFGLATTIAVALRLYTRFFI VCAMGWDDATIAFAQLLSIGVSIITILQAKYGLGTHVWLTTEEENMAQLKALFAGMVI YNLSQIVTKMSFLFQYRRIFSEGRTRVVCLVLLIFLAVWGVAQEILVGMSCVPVAVFI PSRMGKCIDALTVWYLTSIMNIVTDFMVFTTPMPAVKNLKLRKKQKLMVLSIFGLGFF TCIISIVRLFTLRSAVNTVDPTWDNVPTSYWTVIELNCGILCACLPTLRPLLRKILPG MFPHTENSSRHHRRPSDGGGLESGHNALYAKRSKPRGMYTITGFTNLGSAEGLKENAA TYEVSEYANYPGNQVKLTTSIYGSRDGVRQGKEYGQEIRVTTEIGRKESTKPSAGTWA 
SAPIO_CDS7814	MSTFNGIISEFPDIRVDFFRYNPNHPRPLACFLSHVHSDHLAGL ETLKAPFVYCSAGTREILLRLERYPCRINHARGVLEARVQTYKHLRNLLKPIPLETPT ELELKPGVTVRVTLFDANHCPGSVMFRDLRSEPWFVSSIARNPNLVEYTSGIRTLDKI YLDTSFTGGLSFQTKAEGIAQLLQTVSKYPKDTIFHLQAWTFGYEDVWIALSKALKSR IHVDDYKMRIYSSLTARLPENARPGPHLHMASEAAALTGFTCGNTPHPGCLTRDENVR LHSCEKGNYCEVVKKSPVVWISPIVARLPDGADLVEMGVGGGGDDLEREAELEHLTLE EMNVLMKIITEDDAVSLEVQDRIRETLLNKTADGRNISLDLDMSSFGDKNEMNLKRAV ESMLRTSLLGKTERRTLLGTASEGLPKRISFPYSRHSSYPELCHFLQAFRPRDVWPCT VNPREWLKEGISIKSLFGEHCSGDVFAHDLKMEALASQMGIHGQSVSQRSETHESQLS ISSRGGKPPVSPVEQGKAPKLVTSISKADGESVSKPIEISDDSGNRDVPQIQSEVSFQ EEGEASRKRNFESYARPTSAQTSQDSTASTSFSDTSVPGGSPAPSRLRIDAYNAMMRN LAGDGSGGWEAIGLISTGHNHTHAEVELGDGH
SAPIO_CDS7815	MAEEDILKTDLLIVGAGPAGASLACFLASHGRTGIIIARDPWSA ETPRAHITNMAGLECLRDIGLEGKCLALATEGKNMAHTRWCHTMAGEEYARIYSWGND PKRKGEYDAASPCNHVDLPQTELEPILTRHAAHNGWKLVFNTEFVHCERPSPDVIISE VHDRVSNRSYKIQSRFLFGCDGARSQVVRDLDIPLIKKPGQGLALNILARADMSHLMQ YRTGNLHWAFLPEKEHPIWGWAAVVRMVRPWYEWMFIFLPQPGADVTLDSMNASHDEY MARVKELIGDDSIDVEILDISKWWINEIVAERYTDGNVFCLGDAVHRHPPFNGLGSNT CIQDAFNLAWKISYVMSGKAGPSLLSSFSLERQPVGVDVITRANQGLRDHTPWMETIG MLEPSVEKRCEILAEFEDPGEKGRRRREEFQRNIEITSSEFHGLGVEMNQRYQSAAVY VDDEKVPPPPVPADPVKEYQIGTYPGKRLPHAWLNSREPGKQFSTIDLAGHGRFCLIT GPSGAEAWKKAAAVVSETVGVEIKAYSIGWKQDCEDVYFDWARRREVEEDGCVLVRPD RFVAWRAMKMIEDREGRLEKVMRSVLALDELGVPRNSITVPEPIQNGQNRHGLV
SAPIO_CDS7816	MAAPMMQTAYQPHPSLKMKAAVWKGNKNISIDEVPKPTITTPDD AIVHVTHCTICGSDLHMYEGSIDKSMEKGDIMGHEAIGVVEDVGSQMDPKHEMHDLYG HRLAGIFGYTKVFGGYPGDQAEFCRVPNADLVCVKIPKDIDPKKVLGLADVTLTAWHA CELAEVKEGSTVGVWGCGPVGLSIQRLAKLRGAKKVYAMDYDEKRLQIAKSFGMIPVH ESKHKATAGYILSQEPRGLDCAIDAVGYRCEVSGEHKATRSGPGEGGSCEAVNEIIKA TRKRGNVALIGDFFFTTNNFPIGAMMEKGITVRGGQLFSQKYMAQLLELVLNGKYDPS WMFTAEDQLENVGDCYEKFFSHQVPGGLKILMKTEYGRQLEASGKYA
SAPIO_CDS7817	MDPRTKDLTVLLEKSDLTDEQKTFTLNVNLYGSKPPPVDTVWRA IESHDVKVNTSLRSKWTGREKEVDGKIIGDSIDDMWKDLSASRASVFIIVSGDRDLDV AVQKITENGFRVHIWSWDIGLAAVYKRREGSGVLVHYLDNFINRIGLNHTVFRIDRNA IDLHSLVVMDALSEADGSRHFVPFTVPDL
SAPIO_CDS7818	MAFQPISSIVDAIQTSPMGSLPADEAWLHNNGRITAYLYASPFP IASRSSHGVLSSFYPQNPFAYDPTQRRLQAWDRATVPSRRSGKRIGKRTDRIHISLRL PITTNGHFAKKRCTQPEILRDRVTDHEYLETGVEYAGFWDQLSAQVHTRNNLFIDKVL PIQEDGAASLIRRKRHLEPSGYELEPVAALEPSLLTSLQAMSTILGKTLTVSLMVEML CVKLGRELNPTVPIKLKVRGQPRLSTILEEAEPQTPSSAQSGSISLWSPVKKIADSIK WGIGKLSPSPSPSKENQLPDSRPLSLSPPSDSLTKKETSSTSASTPVHVFTNGGALSI NPSGDTRHTESSSLRSRSGEEDGSDMSATFGDSMVQQLKYRDLRSVRFDFSERTDSFQ LLDSNETSPMIVDTKTNLDIFNRKSFAVAQSLAKVAEQISHGSVDAKVVVEREGSRLF VRFKMPARFAAFFPQSNLPGTPIHDDTDATIGDEPESPLDRKTSKRPMINGGREVVGW ATSPNDSSTLLATPRVSVSGAESVEGTPLEDVSFLSTNTDELIAGLPDTPTTIVLGGE GGFVSPGFHVAPELLEDSPIKAEKSLEAVSPSTDFNKSINEVPQTPAKFTRRPDFTPR FSLNRPADPSPLRQVQNAEDVETEDESFMSTNTDELVNDLPNTPTAIVLNSEWNTPRY NINGQNSPKPESEQGDGHSFFDMDTEELKEVMEMSIPSIPHSDNDATYNIHLNVETPT QSPSGAYYTNSIVEDPTLNIHLHHQSSPMQESGTDESSFLSTNTDQLVGDLPDTPTAV VLNAEFNSPRYNIGAQAVSSPSPQIQTQQLKDTSSVARNDAEIIASQSDTHPELALGD LMNTPPRQTITQVGHDGILIEDSPSIAPHAEVAKSADQAAALCPLSSPSKPDKATVAP FTDTATPTATVTPSKPTKLSPTPPTATAMVTKTATVTPSKPTRSSPPATPSVEKTTPT TPQSSTPDSAHGAEQSVNVTPSPKEKAAENPTPSTPTAHTPIAHPETTPATIDAKPIA APLRPQSHKASTPTHVAETPKTMTAIPSMCALNSQVSENATPLRSSSPQKQGSSFEPG KGSCTPQSSRLTRPDDLAEAQEAEDRAYLGKFLNQHKASKAAKSAETQARMATTLTGS PAPRLPLGHIDANSSSPKKAGTKRKVGDVGEAKAVDAAEPPAKRTRSSGAPKVAPLRR SSRVKSRAQEPSPDGNSRIPVRVGSGVVGGGSGSREADLAAVTRANTRRNKGKAQMPE EVLARQREDPHALRMQELKEVHDARVARAGKAETKKAVSWGEDERFVYEEEEEDEEMI AAPAPEPVAKGTPVAKRRVGRPQKPAAAKSAAKSASSGSSKSSAKAATPTLTQSAGVK KPAAKKGPVKPKVGAVAVPTRRLTRSSTRSGQ
SAPIO_CDS7819	MAGQKPIRLPTLNRLRVKNPNPKQENPCVRVMASLLACWASSGY NTAGCAIIEQQLRTCMDAKRPPPLPKSNINYHLSRFQKRFTGTTKSS
SAPIO_CDS7820	MDVMAHAPLIRNNTTPIFKRDRDKEGIPAGTMSSAPRGSQLSGS TAFNSSTQSLNSLSSAQTVVTPMSSAGGPVVATANIINQKADASRSLYQICISLRQRL SQVPGFEVYLEQVDANDPVDSLWNLFRTGVPFLAIFNVLQPAEPIGVDEGKTAAKNKP KIAVFKFVEACLKELNISSADCFVINDVLGNDTSGFVTQVVNYVLDIAEQRGLLLQAQ PYPDENVMDQTAGSQMSYRDHIIKELVDTERKYVQDLENLHDLKKTLEQKGVVPGDVL HQIFLNINAILDFQRRFLIRVETTNSMPANNQRWGSPFVTFEDAFDIYTPFIANQRKA AQTANLVFDKIQAAEHPVAVDFNTLDGFLLKPMQRLVKYPLLLKDLCKKSEDEATKQD LTLGKEAAERVLTKANEAVNRDLLDEAMEDLKTRVDDWRSHRIEQFGNLLLHGVYTVV TGRTEQEKDYEIYLFQYILLCCKEASASKSKDKKDKTRSTGPKVRNKNAKLQLKGRIF MTNVTEVVTLAKQGSYSIQIWWKGDPGVENFTIKFSNEEMMKKWAAGLEAQRKANSLP KSTSSPDIAAPEFTWMKSQGLNTLENPYKEQDQDDDEDYGAPGSGPPYGVTSSTGGTM LPRNASNTSLRQRSATGDSSQSLAGIARAPPPRYPLPTPPAPLSLQTQLSSRGAPSPG EHLGDSYFSPIADSPVSSRTSTTSGMMSTPGYPFPKTTTPQPPWEDTTNRYTAPAMPR APSRDGSSPNAYGRGTRGPSLPGMPPSAAQQQRSRSYSTPDINGQQTMRRNTAGVGPS SGIPAVPGIPAHLHHDTSIPRSQSGSPRMDLPVRANTQSPGVQRERMHQPSGSIGGTM THFPAHPVYPRQTTPGPAPGQMPMAMDPSGRTVSPPPHMMNNAFGINEMPLPTQLKVK VNCEGGTYFTLVVAFNITYQTLIDRIDAKLARFTSSSIGKGLLKLRYRDEDGDYVSIE SDDDIQIAFLEWREGMRNMYATGVGEIELFCVGDTPA
SAPIO_CDS7822	MSNLFSGINARLRGTSSKTGAPVNKSPTASTNEVPPVWHESQSR SQTPVPRVSLPESPSLTESMGMASPLTLDYPRPLPMWLNTAYAKHIVKGNFMTLSARP KVVEQGEWIAHQAVEHYRNLWNFVRIVHEKEDDGTSICNPTTCPKMSAGKNHSYTWLN KSQQPVELPAHEYMMLMQRWISGKIDDPAMFPTDPAGVSYAIQPTGSNEVPQIDGEAW LGARSGFPNQFGGTCQLIFRQIFRVYAHLYWAHFINPFYHLGLEKQLNSCFSHFILTA TTLGMLQPEELEPMQYLIDLWAADGTFPPESRAYSCANLERGRYILSLGGAK
SAPIO_CDS7823	MDNFSPQQPDEGYSEDPLNPSLSSYGMAMTGPPSLADLPDWLTR ELPRMPLSLKKKIASIVLDSLPTPAIAEIVQTLNPRLYIDFVHYLPPEVCLKILEFLD PASLISVARSCRTWHALTLDKKLWRRLYHMEGWSVVPSEIQKWQERVNKLGEANREAA ARPDSRPINRKRAISEDDADCEMLDADRAPRHYEPDFFSTVLKSPAWSTASLPGPSAT PSDHATPDSGDVEMGNATRGRSADLPINDPAGQQQPQVPKEKPALDEDPNLVRFLERL PPQYVDGLMPSTLWNWEPRDDRYVINWKYLYSMRRRLESNWELGRFTNFQFPHPDHPE EGHKECVYSLQYTSEYLVSGSRDRTLRIWSMQTRRLILPPLVAHSGSVLCLQFDADPE EDLLVSGSSDSDVILWKFSTGKLIQRLQKAHRESVLNVKFDKRILVTCSKDKTIKIFN RRPLRYGDVGYPQSEFVNPVPIKMKNYGYQPNLADELPVIAPYTMIGALQGHNAAVNA VQICGDEVVSASGDKAIKVWDWPKQKCTRTILGHLKGIACVQYDGRRIVSGSSDNEVK VFDSSTGLEVASLRAHTHLVRTVQAGFGDLPNSEEEDREAARLIDEEYFKALETGAVS NAGQPTRAHSRRRGNAGSRRPEDITAYGANLPPGGGGGKYARIVSGSYDQSIIIWRRD KEGIWKDAHHLHQEKAQIAAQRQALPPLVSNPPVTLHPSVRHPSSNTTAGPPPPTPPP AQTPQSQGGGAGPILPPPGSQAGPESYQALINVVVSRGVTDLENALLAYPAMLSNLGQ LHGAIDRVTSPYVRNQLRQTVAAAIERSQHRARAIANQFQARSTPPLSASAAGPSTAP PPNTLPSLAPQVPAQQQNLSVPPAPVPGHAPISGSGSTASHGPGRQVTNAQVSTAAAT APATPHAAAPTPTPPPAAPAPAAPTAAAHAGVHGAAAAAPFAAHHHLYVAHPAQPHHQ HMVDGSPARVFKLQFDARRIICCSQTSVIVGWDFCNGDAELEAVSRFFAPIE
SAPIO_CDS7826	MEAKLNSILQNHVATGEDTKGKLLGVSFLVVTKDDILFSGSAGR IALETSSPAWTDKSLTWIASMSKLITISAMMQLVERGLIGLDDDVRPLVKELREVQIL KGFDADDKPLLEPNTKPMTFRHLLTHTAGYGLDLADPDLIKWSKVTNRKVNGQSWSVD GINVPLKFAPSEGWYYGFSTDWAGRVLEAVTGKTLGAYLQEKVLDPLGMKDTGFWPER LSAHRELTPYMYRRKNGELKEGEPMFNAKEHEIESAGSGLFTTPRDYAMFLSGLMAGK VVKKETLELMLTPQLDEAQEHMLNLIADMVKEQFAPEWPGKPKLNHGIGGVISLEDIP GKRNKGSLAWSGAANSRWWFDPKAGIAAVVMTNVQPHGDAVMTSLWDQLERAVYENLR SSGS
SAPIO_CDS7827	MDETIDGNQGSIILTQYAPPDLRDASDDWTGITSKAERKRRQNR LNQRAHRRRKNAQARAGANPGTDPEVIHTPTPVSPAPTTTPVRDLSLSPRSGSNTSDE WEKQHESLQILTNPQTRERIRKFLREVYSYHALHSPNIGHLPNLIRLNLMDALARNAI RIGFDPQGLCQDELISPLNVRGPLLLSDGSSPSSSSQIITLKWRDETKKSQGCPESLA PTLLQRMVLHHPWIDLFPFPTFRDNMLAAIQLGVLDDDELCVDLIEFAGGADGSEPAL IVWGEAWDWRSWEVTAGFLRKWGWLAKGCEEMVVATNYWREKRGEKRIVF
SAPIO_CDS7828	MTDYKFEGWLGRTPDAINGKMEWGEFEPKVWTEDDVDIQITHCG ICGSDLHTLRSGWGETPYPCCVGHEIVGKAVRVGKNVKHIKVGDRVGVGAQARSCMQP DCPECSVGQENHCSRAAVNTYGSVYPNGEGKSYGGYSDYNRTNGHFVLKIPDSLPSEL AAPMLCGGITVFSPLRRNGCGPGKSVGIVGVGGLGHFGVLFAKALDADRVVGISRRAS KRDEVLALGADAYIATADEEGWAKKNARTLDLIVSTVSSADMPLSDYLKLLKVGGTFI QVGAPDGGNLPPINAFTLIGSGIKVGGSLIGPPGEIQEMLDFAAKNNVKPWVQLRPLK DANQAVIDMTEGKARYRYVLVNERHAKLGNL
SAPIO_CDS7829	MGQTDIPPPHCPPWLIPASTVLLALGILLWLLTYILMTPRSLST KSSPIPLLALSVNLSWEIIFALYVTEHILERAGFVLWLLFDVAIIHVTLRAAPYERPP FLARNLGTVLAAMVGVGCLAQWTVVVWWVEVPGRGHGDKRGKWWGGVEGIDTTELAYW TAGVAQVLGSGLSLGDLVRRGHSGGTSYGIWLARTIGSQFGLTLNSGILWRYWPEAHA YYLTPPSLFILGATTAFDLLYGAVLWYVKKTERQLPDGRLVAGAWHGGSPGEADKKVQ 
SAPIO_CDS7830	MAARGDESPPLKADPEKAAVVSYPPPVLPGYNQHGRSKHAAETV QDEEFMTRNGLNLTSFKKRDYGLGLVELDRAMKTRHLHMIAIGGSIGAGFFVGSGKAL AAGGPGFVLIDFLIIGIMMFNVVYALGELAVMYPISGGFYTYSARFIDPSWGFAMGWN YVFQWIIVLPLELTVCALTIKYWNKDISEAVWIVVFLVAITIFNIFGTIGYAEEEFVA SAFKLAATVIYMITALVLVCGGGPKDGRYSEYWGARLWYDPGAFKDGFMGFCGVFVTA AFSFAGTELVGLAAAESRNPVKALPGAIKQVFWRITLFYILGLLFVGFLVSSDDENLL SGKGSDTSPFVLSLKYAGLNGYDHFMNFVILVSVLSIGVSAVYGGSRTLTALGQQGYA PKIFTYIDRSGRPLFSVAVHLIAGFLAFLSMNDKGAIVFDWLVALSALAALFTWGSIC LAHIRFRAAWKHHGHTLDEIPFQAIGGVYGSWVGFILCIIVLAATCYTAVEPKDPEEF FKQWLAVPVVLVFWAIGYVWKKTGWLSLDQIDIDTGRREHDWDTIQAYRDEVARWPAW RRIWNKLF
SAPIO_CDS7831	MRLPTTIPLLLALATTITAASTAESIANQLPACAKTCLAEVAST AGCDSADYECQCNKVPDLDGAAADCFAVGCDSSEIGMVTVIAASICEAVRRINVATRT APLQPAGATTTPPSGNDKHETEQQAGTVTTGQNAEKENGAAVGVAGLTWVGGVAVLAA MVL
SAPIO_CDS7833	MDSSTHSLVHPTRDRSFISQQSRAPSRSSNSTASTRATSHSTTN SSFTTSSPKLSSATLASQRPGLSTGQRKPPPSHLSSQLMREAGYDARQSPVSSYLQEK LLKERKVELDNKSISSGRMSNDPRNALEYRAVQSSPIRKDASDAHRPQSSGGADPTTK KKGMGLKEMEQTVSTLHKQNFDLKLELYHRRERQTALEDRIETLMAEKSQVEEMNDRL VEELEKRDRAVEEAVAMIVLLEAQVETLLKEREMVRHIESQTIYGRMGDGLDLNARST TPKPLSGHMAQSSEDFTTLQRMPSFLSEHSETTENLRNVYLGARGSVLSLPRPGETPS DLDNDRLNRLASPSLSVLSESSFLSIYGKNNGRDGDMVAATHFDAKPSPDARAVEGAS LNRPKTGPPIRDRQSRNRVSTPSRQLRSNSITQATGAGHFQSLTDIVDQASPLQRLEQ MDRTHSLKTTGSRQSGHEREHEHQRSAPAPPPVSRTISQRRTKEEKREALRRVMTDGP AGRLLRDQNLPPTPDTISTTTLRRYKNSADSLSRQPSLTHQQSYLTLSETTSAPSVEA RPDRPTTVVPVNVAVSQPPSMTAFNSRKGAPGSSYFDNRPPIIQRPRSADETTTSHRK DNGWDSDSDNESDANSMASSFDIWVKDSWKPKDMFSSTYSKPSTSGGVHLNDGGLDDL IPVQTALFGASGPPPPPNRRSSLHAQTGSTSAQAIVEAMSRTEASGGCWGRKTSSRKR GHARRNSDGFTATSTVSQPISQQQQQQQQDGQTADGKQDARKDSHYPPITGQQNRNRG FNRFFRRSIGSSGGTESAPASATEATFAAAASTSVPKTAGIGIPSWIHRSSAADDERL GATPPPIMRNRALSKGGIAVDDEDRHDGGVSLGGGAMVAAEDGTTSGAGTPTSKSAAN GDAATRRKWLPAFGRSTNQRTRG
SAPIO_CDS7834	MRRLSLPLLVLAQQCLARQRTFSIHEDIFAYPQFDVIFSDNFIS EHDAQSLVDRANAHATYSADFSSQSDLAQRFHSFADGEPPSSEGDESDSKVNYEIMNM PPNKYLCSIPILEDSQADNQTAADLAKAEEAKELARASERGWELLKPLDGQCLHHIDG WWSYRFCYGQDIVQYHALPHIPNGRPPIQDPDTVAYVLGRVPGSESEKKSKSKKQQQQ QQQQQQQQDATANTNAQGSSAQPPNAELQVKGDQKYLVQKMGAGTICDLTGRERTIEV QYHCAPSMTSERIAWVKEVTTCAYLMLVNTPLLCSDVAFLPPQESTANPITCRPILTL EDIDSYSPKAVDAMTNAHLEGPKGSILGSNPLHHPPVVGGIIVGGHNILASDGEGVSS VSLEVPRSFASGRRKGKRQVDILASRTSVEEGSKYSGLTEEELKAMDIDPSLVEDMRK ELQKLAGDRAWRLEVVQVAGGDREIRGIVDVDEEEDGKDGEGAEKAEGGDDGTEEEFI FEEEL
SAPIO_CDS7835	MQRQLASLQNTTGFLKEPKRILRETLKSSDLLSAQPRTHRLSSS DGASSSLSPNNAYQLVSSSSHQNQMGIASSRLATAAMTPRSQSNLDFSSAPVELDNRM TRSFSNVSAQSQPMSRSVSQVSNPSRVSINAGTQGFFLGANANIVDRTYQDESTIFAS CVRPVPHRLPNVQEDPVLEIGQNPLDYLMSTDQDFHINDLMKSSYNPLAKDDYYAYPT SNATSTTPSLVSADSVAAADMDPLTRENSSVGHAFLDALPPPLSRTVSQDPADFGINL AAAAEKAAVVVPYRFGMDPFVAEKIGPVPFTLNVSQDSSPPTLYPVPESVGMSRTGSN SSIRSTMSNQERRAKEAFGRQIQNGLRTPIAPRGDPETIKSTSGRPSNGSSPSKSSAS AKRHSTITQQYQRRKQPKVFCDKCDEYPAGFRGEHEFRRHTNSKHAAKVTKWICRDPA KDGIKSSVEAIHPLQKCKACVSAKQYGAYYNAAAHLRRAHFRKRAMRGKKHLDNGERR KLKPHDSSWPPMSELKHWMVQIQVRKGDGGEIIEEEVGEVGEEHTMVNDPDVQLESSR GVDLAKPQELRPNMRDDIVFVTSENGAGFDYTGLDANAYPGMIRDLSILDPIETMMDV MDGMDGMDVSQNPAAMNSASSSFEFPTFQHSASASPYQAAISPMTSPNPGSSATFGLF QIPDAFDNRLG
SAPIO_CDS7836	MSTQTPDIQSILAALASQRQAPPAGGQTPGQPPIPGAPAYPPPS DQQPSAAYPPPPSSASYGAPPGYSIPQPAASGNMDLSAIRPVNSGTVSIAEAIAKAKS YAAEAGVASYERPVVYPAHNGRGDGRDSREGRDYRRRSRSKSPASRRDTYRDNYNPYR DERREERSRDYGHPGGRYSPDGHGRGGPYRSSNGRDRDRSPPRGGDDNSETIQIEGNL VGLIIGRQGENLRRIEGETGCRVQFLGTADNSSMRQCKITGPRARRADVKEAIDRIIR DTGVSSSRGPPPERAPKEHRGGAAALREGEDHMQIMVPDPTVGLIIGRGGETIRDLQE RSGCHINIVGESKSINGLRPVNLIGTLEAAQKAKDLIMEIVDSDSRGEGGAGTAAAIG KRPYGGQSDSHPYEYQSRGGPPPHRGGGGGGGPGGDGGMGGGDKIQEIIRVPSDAVGM IIGKRGDTIREIQNVTGCKINVSQSSGEGEVEREIALIGSQDSINQAKRAIDEKIDAV RMKGSGPSSGPGRGGPRGGPRGGDHGGYGQHPAASQSHPPQQSAAADGSDPYAQYGGY NNYLALWYQQWIGAAGAAGGQQPGDAPPQPTPGTQ
SAPIO_CDS7837	MGPGAGKKRKFADASSAKPAGKRNSEAAGVRSAPKKAKPTPAKE PTASESEDDFARFSDDDDDDDDDDEEEENDSGSEAEEDEDEESEEPAVDEEKPKKKQK KREAKQDSTAGDDNDDETNGPTDTADKNGSDLPMADLPAGQNLYLPPAAGEEPKKFDE LNLSEKTLKAIQEMGFTEMRGIQRRTIPPLLTGRDVLGAAKTGSGKTLAFLIPAVEML YSLKFKPRNGTGVIIVSPTRELALQIFGVARELMAHHSQTYGIVIGGANRRAEAEKLE KGINLIVATPGRLLDHLQNTKFVFKNLKSLIIDEADRILEIGFEDEMRQIIKILPKQD RQTMLFSATQTTKVEDLARISLRPGPLYINVDEEQKFSTVEGLEQGYIVCEADKRFLL LFSFLKLNQKKKVIVFFSSCACVTYHSELLNFIDIPVLALHGKQKQQKRTNTFFEFCN AKQGTLICTDVAARGLDIPAVDWIVQFDPPDDPRDYIHRVGRTARANSKGRSLMFLQP NEVGFLTHLKAARVPIVEFEFPSKKVINVQSQLEKLISQNYYLNKSAKEGYRSYLHAY ASHSLRTVFDVNKLDLTKVAKSFGFAVPPRVDVVVGASGRDKVQGRRAYGSQPGRKRG GKY
SAPIO_CDS7838	MPRVNGVGVQVEDTKICVVMVGLPARGKSFIAQKAQRYLQWLSI PAKTFNVGNYRRNDAPHPTADFFDTSNPEGEKKRRAAAKAAVADMLAWFRQGGVIGIL DATNSTKERRRWVLDTCNQEGIEVLFVESKCDDEALIMANIRDVKTTSPDYKGQDPET AAQDFRNRIRMYEKVYKSVDEDGDENDLTYLKIMDVGKQVIINRISDYLQSRVVYYLM NLHIRPRSVWLSRHGESMYNLDGKIGGDAGLSPRGEQYARKLPDLVRQSVGDDRPLTV WTSTLKRTIATARFLPGDYNQLQWKALDELDAGVCDGLTYQQIKDQHPEDFAARDEDK YNYRYRGGESYRDVVIRLEPIIMELERSEDILIITHQAVLRCVYAYFMKKDQAQSPWM NVPLHTLIKLTPRAYGTDEVRYPANIPAVSTWRGKGSTAKHEDPLPEAM
SAPIO_CDS7839	MVLSSLSSALCVLLLGLGSTATMSVDKGLSIDLSSPLKSVSFHR TTAMSAPSVDGPAMHYQEIKPVKAKTASRSAASALRAARSKTPGGGYYQNVTALLDAS TQYALQVEWDDQPLWLIFDTGSSDTFAIRANHSCVSRFDAPVDTVEHCAWGPDLIEDF RHGEDPQLHFRVGYGSGEAVSGPLGRSDISVAGITVEKQLCGLANYTYWNGNNITNGI LGLAYPALTSAYSGPVGDERLAYKKSYSPFFSTMVSQGLVDPFFAVAIERNSSAGMIG WGGIPPVRWSGRTTAVTDILIANVAALEEPLNFQYSFYTIVVDGIQYGHAADFTKYLY IVDTGTTLIHLPQSLADAIAHSFEPVAVYMWQYNSYFVPCDAVAPRLAVIINGAHFWI NPADLIYQGIKESTFGLCMLGITNGGVGPYILGSVFLQNVVAVFDVGAAQMRFYSRD
SAPIO_CDS7841	MATKKSRQRISYIIESPKASAGHKLGVNGLAVDPDNAILYSGGR DGVICAWDLNLDLKTPRTSSVVDRPNGQKKSSPTTFRSQAIAHMGWINDIVLAQNNTA LVSGSSDLTVKVWRPHSQEQDIPQTIGEHADYVKVVAVPPADIGAPWVASGGLDRRIN LWDLNGGGKVLSIDCSGEENTEKGSVYALCAGRNLIANGGPESIVRLWDPKSGKPVTK FVGHTANIRSILINDAGDLLLTGSSDQTIKLWSVTAGRCMYTFTMHNESVWSLFSESP DLGVFYSSDRSGLVVKTDVRGSLDHMDDGLSLAVAKETDSVHKIVTCQDHIWTATPSS SINRWASVPTGADIQLPEMYRHHRASSTASRSAAQSPFSASGPATGRAPPPKEISPKS ILRISNTAYFPPQLSRDEAGSLVALEQEVEPIHELPEETIEGQFGLLKYKLLHDRRRV LTVDTAGEVVLWDLIQCKPIQSFGKRHLEDVEPEVNQVEAVAPWCSIDTSSGSITVTL DPFNCFDAEVYADELTLDNAADFREDQRINLGKWVLRYLFAGAIDEEIKRDVVYRRNF NSAIEKRLASTHAGAPLSISLPERGIGGFAQMSSLETPRPSAGNFPLQTPGIHIGLAT PHPGYGDAAAATTTIPESQTVGRMSVEKEDYFTVGISPVDGGVLKLTTTNPAALTTTT TTDGAAADAKAADADKDKDKDKDKDKDKTDNGATKPPSTPFGKKFRMGMSFTKKSRSA STTAEKPVVTEDKPVDESEDSLNLHEKEVDDSFFGVVQRIRNEYDKQLAANPDIPLES RITPALPSDTPHLNLPKETKVIIKEETSGESSNIYIGTIDTIGRDTDIIEQKGAMWLG ELLLQNRMPFKEPVKVSFVLLPYKDELPQLPSTDNNNRLNANRMLRVRKILAYVAEKI DPDWENGEGASPDAMEPEEYLELYCNDQILPHTMSLATLRAHLWKGGTDVVLHYRANG RKVIRLRTPVNTAEGDGGQGEAATEETNAATS
SAPIO_CDS7843	MAMRPIPRIFHHSAKPVSVQEFVDALRAACPPRYPKARHTGHRR IGVATSGGVDSMALAFLFSALRKHDPDFIIGDNPCGGIDAFVIDHKLRDSSTQESHAV LRELEKLRYIKPQRLALDWAELLGSPDIDPRTLPNLETAARRGRYRTLGGMCVKEGID NLFLAHHADDQHETVLMRLLGGHPGRGLVGIRSSGDIPECNGIYRVHQSGFIDLMRRK KPPLTYKLRKKEVHDLRRSLLSEISSHLKFGLEHTLQQLDVRPHSGPPLLPEDYFSEV GVADDLATEHQISPRVKPDLPQLDVEDGGVRICRPLLDFEKDRLIATCEQNGVKWFED HTNTDPTLTTRNAVRYMVRNFELPQALQRPAILQMADRLKEAIRADEEEADGLFRKTE IIDFRTNSGTLIVQLPEVEASAGDGLEKRRTAAALLVRRLIEVVTPEEQFPMLPSLRG IVSRLFPRLATEAFPAADPPKPFNIGGVLFSPLLTNPPPSQDDGDDAAAAAAASSAAP TPAPPCWFISRAPYKSTEPLPSLEFVQRKGMPQRGTLIRCKPDWSFVTKKWHLWDGRF WIRPRLRLAGTFAIEPFRPSHAKTFRNALEPKERERLEALLRTYAPGKVRYTLPAIYY KGSIDWATMTARIGDGETLLALPSLGVQLPRLGEIVEYQVRYKKVGEDVLRKCDMSFV V
SAPIO_CDS7844	MAKSPESQGLLDPKFQSTTDRVVTPKRCNARRFIRAILWVAVPV LIFFSFFGGKTVLPKFGRPCHGTSSDLQSPSLQSPDAICGTSFQTILDDSYPVSFSPS DDLFINQSQGKRRRGHWRRDPHVSGSVQVIRSSGPEGKLDVKIEVNDEDIPVHTSWDA ETQYLRIRVEAVDFFDEPPPRPCVDIHAVLHVPSDAELRNLKIEVTALDVALEENLGL TAEEAILTTVVGSLDVAPNAIKSGGRIALTTVSGNIRGSLPLLQTLESFSASGKNEID VYRTEKRGDDIPKATLTVSTVSGNLKVRDPLDDAAHLPKADYVRRVSTVSGNIDAALL FGSYADISAVGGGRVDTTLLPILFDSLSGNDEGERGDEDFVHSWLKSDVTSGNVGIEV LEPVWLGESDKKWALRSGHGGVSGNVEIRLPDAWEGTFAVGTLTGRISVSGKDVQLGT RQEGGEKVDMSAFRSIRGYKGDGESRLSAETTTGNIKVVIGQE
SAPIO_CDS7845	MKRSDLVTELRKELHESQNERDKRIEELWSRLNPGNAPALDLKA LQKGLRRIDHPLANADRMLQKIMDTVDTNGDGKIQYEEFHTFVDQAEGPLLYIFRSIN TNNDGKLDRKELQEACRRAGVVLPMRRLDMFFRDIDANKDGFISYEEWRDETLEGLGT SRSLLYILFGSIIRMLQPEAERRKRKNMQSLLEEGPDQQRASAAGQAEQAAPTSAAST ALETQSESLSSTTISTRSRSSSLSSSSPSSSSASSSLSTSSVSSDVLLKPSSRLGAAG MAETPSGNGTTSTAGDGLDEEAVEGELLLATSATARKSAQNVAAVAHHYHEKQVPQKS LWKLTDFVPDPGYFVAGAIAGGVSRTATAPLDRLKVYLLVNTSKTNTLHDTVGALKSG QFVKALGHAVSPFRGAIRELWMAGGARSFFAGNGLNVIKIMPETAIKFGSYEAAKRAM ASFEGHSDPRNISAYSKFAAGGVAGMIAQFCVYPVDTLKFRLQCETTKDGLKGNALVI QTARKMFADGGVRAAYRGVTMGLVGMFPYSAIDMGTFEFLKTSYKKYKGRKTDTHPDD VVMGNLVTGVIGASSGAFGASIVYPLNVLRTRLQTQGTAMHKETYTGIVDVARKTVQR EGYRGLYKGLTPNLLKVAPALSITWMVYEKSKRVLGLD
SAPIO_CDS7846	MSANYWVSTHHNHWVFTKEQLAAMRQKLEKENAELVQMFTLPEP RHLYIYFNQQISRLSKRLKIRQQAIATAQVYIKRFYTRVEIRRTNPYLVIATAVYLAC KLEECPQHIRLIVSEARSLWQDFISLDTSKLGECEFFLISEMSSQLIVHQPYRTLTSL QTDLRISNEDFALAWSIINDHYMTDLPLLYAPHTIALTAILLALVLRPNTSAPGGQLP SSGMNSPLTPGAPPNAAAATAAAAAALAQAQNRGMTPTGSDKEKPMEAKVGRVQRFVV WLADSDVDIAAMVDCTQEIISFYNAQEQYIDKLTREQISRFVKARGLDK
SAPIO_CDS7847	MSAYYYGAHHAHPTAAASNSQNHHGRSRRAPRLSMSQNPNRQFR TVRSLKELSDSTALMNFRTRFEATRSFDLEDDLEFCPGLLTDSDLVSIHSASERSSLA SNSPESSPTQQPQTVAPAFSLNSASPAFVPPAFHSQQSNLKLHQPAATRGRNAIPIVN PLTGATMSSPPPSVSPARMQQMSMRRW
SAPIO_CDS7848	MTADFEEPIEDWQRKLYHGIPGNLICLARSYHAFDEPPQSPGPY VYRAPVHIFPVGKQHRITKEYEGICDQIRDVCDTMNWRAIGVYRLGWRRKPQDNPIVI FVRNQAYQHSLKILVELGELTEEEADRVKVKTLDDAQGDEADFVFGDFVLTDHTGFLG EKFRTTLVTTRARGITALLLNREEYTQDTDLAELATIKAGTSLGPDISVGTAGIEASD ATLVESPHADGVWSSGATGGWSFGNAGVEVHDENGGWSCDDAGVEAHVENGGRETDW
SAPIO_CDS7849	MSSSRLALRILRTRAPLITYRARATYATGSKTTSTGGKNTPPPA GKIQHDKWEPDLASPSEEAVKADREDFGGNEKLQELSKKVDEQIEELHHHKQPGAKKN 
SAPIO_CDS7850	MSKPNQLFLLADHIKLSLLERRRAQSLNLEGNSQDGHISRSLEQ FKDGLEALREEEQRLRDKGDEDAALDISDNLPTLQHQLDDLTSQFHGFTTPSTTSTLT HPNDPSLAADFTHATTLPPTKKPAAAPTTASKSVRFSDTPPAEDDPSIELFSRYRDDP EEANSAADRAQGMTNEQIHAFHSTVLAEQDEQLDRLGETIGRQRELSMRMGDELEAQC EMLDETDAMVDRHQSRLDRASRSLGRVARASGEGRQMAAIIITIIILVLLIAILK
SAPIO_CDS7851	MAGRHLHQGTTRLGKRHSSLSDTEKLLRHRRASVDPFQSRSRVT APSVTRYPFSSGGISTTAVGGTAVLRSVHGRRAGERHPSQGTIWTVGGTVSDITAVED GHSRFVYSGTNARLFPTSYASRRSKSEEDEERHEDLLALALDVDRVKRILETDITAGA SLSIEIPMPGLQPSSGVKGTKWSGTKWENDSVEEPPTQASLRILPSSPFRVLDARNLR DDFYCSILAYSDTCSILAMGLGNILYGWTEDKGVALLKTCPPECWISSVDFSSSQGRK SILAFGRIDGHLCLMTPSEADSPRFDIEQPFPVAYVSWRPVCTTRPSKNPLNPGVPVE TEDLIKAHAQQVCGLAWSLKGDLFASGANDNHCCLFSVNQIFGPPRQDAQGLTSSVEG YGRHVEYPQSYVYTNMDENNVSNNGGELMTLRTSPPTVRNMLPGSERFRWVHAAAVKA IAFCPWLDGLVATGGGSNDKCIHFFHTTTGATLATITVSAQVTSLIWSTTRRELAATF GYPQPDHPYRIAIFSWPDCKQVAAIPWPGEYRALYAIPYPGPQPRGNPHDDRARSKKN MTPQEGCIVVAASDETVKFHEVWTAGRKATVGGSGMLGGSDILEGIEGIDKEGDLIR
SAPIO_CDS7852	MLSAFTAWPIIELRARDKSKIESILADGDRVLVGLNTGSLRIYR VNELHPAKPTNGASQPSTIPGRSPTKAANDQPSSQPTQKPTDLLREVEKFSTRAIEQL AIIKEASTLVSLSNYHVSLHDRQTYELIETLGRTRNASCFAVTSNILKDPETGIPEII SRLAVAVKRKLLLWRWHESELSSDVTEVVLTETIRSLTWANATKIVCGMNSGYVLVNV LTSEVEEIGSSGGFGGPGGAPGGRFGAVSTAGMGYMGLGGYIPKPLSARLAEGELLLA KDINTQFISDDGKPLEKNQIPWQVAPESIGYSYPYILSLQAPAKGILEVRNPDTLSLL QSISLPGAAQLHFPPPTVSLAHAKGFHVSSERCVWKMGATDYDSQVKQLVEAGHYDEA ISILNMLEDALLSNKTESLRETKMLKAELLFKAKRYRASLDLFNEDEVHAPPERVLRL FPPLIAGELSAYTPPEETEEESDTGLPEATNGAEPATPESTPQPSSPARAGGGGGFVS MFMGGRKLAADNASTKSLKKDGTDSDDASSIQAKPPGDQPLEGKDLTNAVLELNSYLA GTRARLQRVIDPATGKLKPRSYKNKTTEETFKAFLSSAHDESEKELEKQLQDTFTLVD TTLFRSYMFSRPTLAGSLFRIPNFCDPEVVNEKLLEHNRFNELVDFFYGKKLHSQALE LLKKFGSSEEPDETAPSLHGPQRTISYLQNLAPPEIDLILKYAEWTLRADPDHAMEVF TADTENAETLPRDKVAKFLSGIETSLEIRYLEHIISELNDLTPEFHNRLVELLIQYLK DKPRGDEWDAAMEKLIKFMRSSRQYSLSKAFGMIPRDDPSFYQAQAVILFLMGQHKQA LEIYVFKMKDYAKAEEYCNQVHISQESTSPPSRPQSQQGAAPPQDGEVEAPPSIYHTL LALYLTPHPPHTQNLPPALDLLSKHGSRLPAESTLSLIPDSLPVRSLESYFRGRIRAS TSMVNESRVIAGLRATEYIASQAQLLLGDGVPGVQGGQGGRSRRVIVMEEKLCGDGYT EV
SAPIO_CDS7855	MAPGMPHNPSQQGVPGGGMPPQLGAHMGVSGAPGAQVPAGLMGN MQPVAGGPNAHALQHLNPAQAQMFPQQPLHLAGPMHSQFQQQRYLQQQAQARQALMQQ QLHGNMAAVNGMPMGIPMNHMNAAQINAMRQGMRPGQHPGHAQALMAQQMAMQHHQAQ AQAQNQHLVQGNTPGQPMQMTPQQLQNLQTQQAQIAAATMQTQASGQGQQHPGQRPQP QAQPQPGPQNPPGSQPQTQAGTPAPSGGQQTPAQTPGPAPAPQAQPQQPQNQQVQQSQ PQLQNAAVAAAQQQAQLNAAAAHQAAVANNMALQQRRAEMRAEMRSHCLLRLMQFSEY LSGFPGPKGKGDLSYWNEFVNRFFSPKGVFRHWVHINDAEQETDKQYEIAFPALARYF HTYFESGVKTMQFIMDKGTTERPLPGDSYWIENSRSSFVYWFESGLHLVATGTLRAQF DAEQKLEVFEFSTTGHEEYVSLKNVIKAATPAHNWVKDWQKLNSQDAKSSPEMSKKTK QKQLKSPQNPPPDALVDLPPSAVNASMGIPEAIAEVMGQMGPLFGYCHTHPGVSAYAA VDQYVSQFNNNMNAQNMVQGPRTPSFANMQMGASPAMGNIQLPGSPHIVGSPIPGHMQ APGMQIQRSHQGTSSSGPSANTSPASNKRRRPSAVKTEDDGSAPTPGGGQVNGIQGKK NPPTPRMPKKAKTSQ
SAPIO_CDS7856	MLPAIRIIGGRRFAVKGASALNATVVRSASTWANVPQGPPAILG ITEAFKADTFDKKINLGVGAYRDNAGKPYVLPSVRAAEDKIVAARLNKEYAGITGLPE FTKAAAELAYGKDSSALDRLAITQSISGTGALRIGGAFLARFYPGAKNIYIPTPSWAN HGAVFTDSGLKVEKYRYYNKDTIGLDFEGMIADIKAAPKGSIFLFHACAHNPTGVDPT QEQWKEISKAVADAGHFSFFDMAYQGFASGDTNKDAFAVRHFVEQGHNICLAQSFAKN MGLYGERVGAFSIVCENAEEKKRVDSQIKILVRPLYSNPPIHGARIASEILNTPALYT QWLGEVKEMADRIITMRALLKDNLEKLGSQHDWSHITSQIGMFAYTGLTPEQMDVLAK ESSVYATRDGRISVAGITTANVGRLAESIFKVKG
SAPIO_CDS7857	MPAPMHPQAKFDPIPPDLDLHGLVENTPNLSWVVRISTAQIRNL SQQEFENLVNIHVIQQGRPLVISGWDEVLPKDLFSAEWLEQTYDKKQENVRDINSQKD IPMTLGHYMRSMKQLTKQWTPTNFREERRQRLYLKDIDCPPEWHETLQKIIPPNIFYM NENITDKGGNESNNGRDGELFRTQVQSAPAGDLMSSLPEQMRAQNLMCYVGHEGTYTP AHREMCASLGQNIMVEASGTENDEKPGSSIWFMTETKDREVVREYFLSMLGHDIEIEK HFAQINAWKKATFPVYVVEQKPGDFILIPPLAPHQVWNRGTRTMKVAWNRTTVETLEM AIREALPKAKLVCRDEQYKNKAIIYYTLKKYAAELSKSEESDEMGLLGLDADLIRTSP RTEQLTRDFRRLFALFSDILTDEMFATKEKDVEFIPFDSCITCSYCRSNIFNRFLTCK HCIRPLLDGDEDTYDVCMECYAMGRSCLCVSGLRWCEQWNWSELVDNYEHWRTMIIRS DGFVDLENSPLPLELARRRRGKKSLAQVCQEALKRRPFKDITKPEPERVPSESEPELD DEGRPKKKKRRRKPKVGETRRCHVCCHPEYTYKVQICSNPECSEAYCYGVLYRAFDMM PQAVQESEYWECPKCLKICNCGGCRRSGATQPYVPRNTLLGHDTRPIADDRSVEVLVD FRMHNLTWLKVMGEESRSNNSRRMQRLREQADIAKSQDPGLVTNEVLSQEAQNVAGPP LLPPPSTNGHNADNDGDVEMTGNGHVIADDHLGPDVIRPEGPTLPPDHPLALVEAALL EEGDTSTSYPDPSMMGPQRMIGMGYYEQDDSPDKILFDPYQMPTAESMVLDEPDVPEY LKKSIRALKRKARQENEDPEFKIRPRYERKKPRRDPHEIEFIEVDPALFATPRRKAAE ANHGEAASASNGANVAGDSEAGSSVGEEEADEIYRPSLRGAKPLHSYADFDDLDELLD DPNNLVPAREPGEPPRRPRPREPTNGATPTPKKRGRPPRSANAATTSPATGSPAAEGT LPRKRGRPKSRLSNVVSAADADAEAAELTTAPLATMETVEADDYKTLDDELQVLAADL EKELERDLGHNVDDAEEVNESVERSVSGSVDMTGAVNPVPVKRRPGRPRRSETSVSVL SQFEEQAGPVVKRPRGRPRRSETAPLRDSSRSPSAPTEAVKRPRGRPRRSEHAHAVLR DASRSPSPPVSAPPSSMKMMSMAERMKLKGKKFKIGQRKPQASASSSTKTTPVRASIS ASKGADEEPVTNQLNEEKEQPKKIPHGRKELVDNAYNPAAGSGGSSPENSDEESDSDA GTPELPSPPRRWTVAGRSSGPTVVKLGDVESDEEGQEDEEEEDDLPSSDDDFDDGIPA RRARGGARGRGTRGRGRGRGRGRPRGRPRRDS
SAPIO_CDS7859	MPRGLNSARKLRTYRKDQKWADLAYKKRALGTAFKSSPFGGSSH AKGIVLEKIGIEAKQPNSAIRKCVRVKLIKNGKNVTAFVPNDGCLNFVDENDEVLLAG FGRKGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS
SAPIO_CDS7861	MRPLTILAGALALVPGALSVGVEKSVLVTYNRDTPSVNSLIDQA KDAIYKAGGKITHEFKFINGFHAIVSSDVVDTIQKWGGNYITIEEDQKVTITN
SAPIO_CDS7862	MPYSQGAQDALLKWVSDLYIFCLHKRGQKRAPSMAEKRDDDGVI LAEVLQDLDPSFNISDLDLSTTTTAPRSLGRKNNLMAIHKALFKFIHRECPDLEFLSK RTYIHSLDDGPTSDSVAKVVTLRSSTKTVHSEDAKLNNDVAQLISAMIAVAFLGPDPV KYVKRLQEPDKFEQTTMREIQQVIIEIESERSKATNKTDADETLEAAILALEAERAEL LEKLKVARMQHADTISRLEHLQESYESLKVEADEHLQELYVLREATEDGASDSQVIKA LRNRIKEQDELITSQEAQVKAQQDVTEKLKRDVTTLLPEADRAKQLDEEIKELRYKSQ ELERKANAAERLRGKLEEQQKVVIEVQNLRFEKDQLLKKTTDYDRLVQRNTSLEQALQ EIRSSHAQAEETLFLLNNQKRMLEETNRTLANEITRLTELRSNDEQFIAELQEQAGSQ IAADHTGGAISLEQELEGTQQMPGLMLELSRLKAENALLKRGVGSTETSQLRADLEEE QRKYQELRNKYTEIVERHSVAQDQITALVNDAAGEGTRAFNELRTQLLQANMDHKREA QRANDLQTQVADKERDLLVARTELSAMSKDGTEALEELKATDELVSASLRDELDALRT RVKSLTSEIELQRTQLLEAFVAKDKVQKEIEALRDSKPAVENKNITDEVIAEEINKTS EKIEKLRDRLRQRQEVMRFQPFVLVEHPSPPSTPTKNPPLEFGWTHDEQLEKAEQEKY ELQRKLKTALAGGASASQKAAAEQTIRNLQRENALITSAWYDLASRLQSNHVVLQRRH DTPRSWLNKQRQLVNATPRR
SAPIO_CDS7863	MGLVEDEVQRLRDIVNGFESRIKKLEEKALLGGTESPKTTEEIR MILIGPPGAGKGTQAPKIKEKFNCCHLATGDMLRSQVAKKTPLGIEAKKIMDAGGLVS DDIVIGMIKQELETNKECQGGFILDGFPRTVPQAEGLDSMLKARNQKLQHAVELQIDD SLLVARITGRLIHPASGRSYHTTFNPPKEYMKDDITGEALVQRSDDNADALKKRLVTY HKQTTPVVEYYQKTGIWSGIDASQQPGQVWKNMLAILEKKRSN
SAPIO_CDS7866	MEDTKTAQDLHAALIISRPGRNPSPSPLWSSALEHFRDELESPE DLEAIQNIHSLEDLVNSLTSLQPAPHRHQLGLSSLNRLAPKLKFVDDFSAVIALCFGA EATLTATIWGSIHLILSRASSTAEAFQEALDMLEDLGLTLPRLHGYKDILPMARSFQT TLMDVYTELICFYARAIQFLRANPNDILRKVGWKSFKDDLSRTIMRIRYMSPALETQA DTARMVRMVKDELNYKEVLDLLNAMKAVKEIGGEAKVRYNNIPYPINAKFSGRDDILE KADAAIVDLDGRLASQRSLALFGLGGVGKTQIAIQFAYQSLAKFDVVLWVAADNANSM GQSLKTVAEGLNLFGTDDDREDAAAAIWKVKNWLCSTKSSWLLIFDNVDDAAILRTAW PGTTHGSILITTRDFGVATNSAAQYIHVGVLSEEAGSQMLLGAIGGPSLPSPEEIQEG RAISEALDGLPLALAQMGGFIGQRRMRLKDFLPLYERNRFRIDAWKSAGTDYEYTLST VWDMSFAKLSENSTILLNILALFEPDSVSEDILLQGAEGLDDFSFLTDNMDLGDATEE LLGVALIERDADEALLSVHRLVQTAAIRRIEDADRARYFDAVVHMLSWGFPDHASKDI GHQIATWSRCEKCLPHIYNLTAAAKQHSLKAGNQEKYANLLLRCAWYLYEREMYAIAR GMVDQAISTFDDTTTLEYASAIDLGGLLDLDLAQAARALPLFKQALEIRKARLGPEDP FIAYSLNNIALAYTEMGELQQAYEAHEEAIRLRLEANSDRIENSYSNMSCLLLRMGKP DDAEEMMARCPSLNGLTDEVFLATGNPRYSGNMVVLSRIRLRQGRTKDALNLATKALS FRRKLLGNRLKTCDSMYDVACMHLQEGDTSAAMELLKELVSISETFVEGEGQRARTFF KLSQIHEDRGREEESKDCREKATALRDKVNPGLKGAPVEEAEFSKLCPWMLW
SAPIO_CDS7867	MPATTAETLSIVTRSVSVAPLVLLSAVDHYNRTVVKGSKRRVVG VLLGQNDGKNVRVSNSFAVPFEEDDKDPSVWFLDHNYVENMNDMFKKINAREKLIGWY HSGPKLRASDLEINELLKRYTPNPLLVIIDVQPKEAGVPTDAYFAIEEIKDDGTTTSR TFVHTPSVIEAEEAEEIGVEHLLRDIRDVAAGTLSTRITNQLQSLQGLHVRLREIGAY LQKVLDKELPINHAILGNLQDVFNLLPNLTAPKGDGKSGVSELSHAMGIKTNDQLMSI YLSSLIRAITAFHDLIENKIQNKQHNEEKEKEKEPTEEKVKNKEGKEAKGKEGGDKEK EKEKEKRK
SAPIO_CDS7869	MATQEATKLDIKKLKLAERDDETKANQVIDESSPMRLRMEKFIK EQQKVIVAGLEELDGQKFRTDEWKRPGGGGGITCVIQDGNVFEKGGVAVSVVYGTLPK AAIASMHSSHKSIAADAESLDFFAAGLSMVIHPKNPMAPTVHLNYRYFETCREDGTSQ AWWFGGGEDLTPSYLFEEDCVHFHQTIKDVCDKHDKSYYARFKKWCDEYFYIKHRGEG RGIGGIFFDDLDESEASAEKTFAFIQDALKSFLPSYIPIMKRRKDMPFTEAQKEWQQI RRGRYVEFNLVHDRGTQFGLNTPGSRVESILMSLPVTASWKYMHSPEPDTPEQELLDV LKNPKEWV
SAPIO_CDS7870	MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYAEHKDED GFLYITYSGENTFGDFETA
SAPIO_CDS7871	MYFKTIVLSTFAALALANPLTPPAKCKPATYACAKNSQSGVPGW QICDTQGVWQYGGDCPPKTTCYFNEVNQSPYCI
SAPIO_CDS7872	MVFGCRRRALAPSPPRPTCMLKGCNRRALKCDTKSPGTTLLSYY CSDHACRQRLGDGMCPTPKDAGAGRYCDDHTCYLRPCEKKRSPGSKMCDTHTPRCLIP ECGNPSSDNGVYCPSHSCLETECDGVIYGGGWCKNHKTCKVAGCKGGKFALVVPEGKG EKDLCAIREAPFCEKHKCGYPPCQKPRHSTKKSLFCKSHTCTLTTCTNQTSLPQDPAS KFCTSHSCLPPCPHPTKPNSPLCAIHSCIRENCPSPRTLDPLVDPEAQYCASHECASP TCHLPRASPFVAYCEAKHACPIEGCPRVREDHSPCCGVHIAWVVRRLNDELRVKDERR DYGHGVGLGLGSLGRNREGMETAVQEMLNMRLEEENERLENMARAGGKWDEEDVWGRR GRRERSLDSGLGSEMSSGTSRTYVS
SAPIO_CDS7874	MNTGTSKQCLPWLNHFSKHVRPLLFLLSSLKPSVGIASSFLSRG ATPRKRWTPQGEAEEADALRAGLDPELVSILSDRSRLESAFHELQRLNAVSETGNQTY TLNEALATRVLGSLEQNLPFWRDQALIVMYRGIPWKYLEMCKLEESFLPHLQHCLEAF HSHFDSLPVNIRTDLALSLVEASRFPGMQWKRFIVDQAEAAACGSDNWYLNSRVACSR SLLSRISQNDTGGTALLASEQNHSLGSVDQRVHAQLGFEKIESSLNAIQKEDLSKAKS ALQSWTAYDQQCLSSLEEVVLFRHAILLGRILRYQGEFETSLRYINQAFEIQERRKDL TFNDDLRDLACDRADTLRELGLPHLAETDLRAALEMQPPHLTSSGGGSLLDLSLAEAL FAQERFDEAERLCHEIGLRPRLLRFEKLRLHITLAKIYHVRRDWDRAFTSWSQALVAS QAFTMTNGHTTRMILRSMCSVLHALGNKRLLEDTQQQMKILQDTARPGGILYWVAGLR CWLDDLLSKEHGRLDSEGGGIPLNG
SAPIO_CDS7875	MPIPGSNVTALCLSVMGNRISTALLLLANSADPYLRQEGYARGM PTIVHMACALGRAELTEQFLASQHIENDPTELLAFYQLRCPSDVPNLARALVRHGAEV SDDLFHAFLCASRWLSAWELLESPTFSDHLTASQASNMLLVVWSSCGGSQTTNHQRQL RAYYNTSLTGAPIPTWAGASGPEAGMDVRPAPKTRRRKLPAKYEIDDLLGDTSPYDKS QDDKGAAAQLAVIRLLLQHGAPIGGATRGDALDSYPLVPGEYGGRVGVFFVLSEELRL AMCQPVWQGSQVQQHMEEFDDDYDYADKTIEQLGAILRRRSPARSQDVPQVHHHQQDI QLLYLGLLSQEEGKGGRKKKGVEKVSPLGTIWKQFLLVYARDVGEKPDAKLCRKARKA RRLLPRPAAISRALRDPRVGELELVHEFYDASSLTSRVDVSKGILVNL
SAPIO_CDS7877	MSQYELRNYTTSRRAQYPTTYLDKHPSKEEENDYNQYKDREEES RKSIKEYDGLCKSMVGKRKTIHDLDSSQHSILVSPSAEAAMNSASHAQARDNYMNKHP YGYGKYYQREAHDKYTDFAFAQANEYRERFETHTEKASDAYEGSAPQRAITGSSLCGN GSYGDCASKHQIRISAPKLISVNHNASPSLPSKIVSKRQWH
SAPIO_CDS7878	MAKHNTPGKDVAVGEQLELATSCDGDAAQAAYVAAVEALTPDEQ RRTIRRIDVRLVSTLGFLYCVSLMDRNNTGIAMISGMSTDLVMTGSRYSIVVLLFFIP YVLFQPPSTAILRKVGPRLFLSLTTLVWGLATIASGFVKNWSELIPLRLILGACEAGF FPGVLALGFSQLDGHGAGPSWWGRVVIDKETGSITVQSGISGWRWIFILQGVLTCVVA GFAYLTVLGFPDAVSHVLGLKLSKREADFIVARIELDRGDATTERFSLREYMGNAYDL KIWGFAALFGLTTVNTYAIAYFLPLILKDGLGFSVAVSQCLIAPPYIAAGVAMYTMAY LSDKYRIRSPFIVFNGCLLLVGLPLLGFATNVGARYFGAFVTTTACNANVPCILTWQA NNIRGQWKRALCSATLVGTGGIGGIIGSTVFRSQDAPGYRPGIYAAMIASSLIILITL ALDVKFFRANKRAAAGGKIIGGLEGFRYTL
SAPIO_CDS7879	MSNLLTLAGLAGLVAHGALAASLGAYNVDPNSVSVSGMSAGGFM TAQLGVAYSSTFKTGFGVFAGGPYDCARNQLYSTCMYNQNPSITKPVANMNSWSGSQI DPIANLQDRQIYMQVGSADTTVGPKPMNQLKAQLANFNNPSKVSFITTSGAAHVFPAD FDSTGNNQCGQAASPYISNCGYDGAGEVLKWMYGDLTPRQNGQLSGSVVSFSQTGTYG ASGMDSTGYLYVPQACQSGSACKLHVALHGCLQSYGNIGNKFISNTGYNKWADTNNII ILYPQAKADSSIHVIWSGLYLSNPNACFDWLGWYGSNTDQKGGVQMAAIVNQVNKITS GYSG
SAPIO_CDS7881	MFSKLSDNRWFGSHRKTPLQVVYLMLVFLAIVLTGVRISKIQGP TTRSDTLAIVMGIKSIIIFTYQLITSHVERFRKWGSLRANKILDCMEVVFWFVVVIIS FMGVSKRCQGSACALGVIVALIAMILM
SAPIO_CDS7885	MQAHNHEEELWSHTADSGPMASPTAMLHGAEPQVHPFLPYKHDV VIYQDRSLLRPVLVLLASCWIWETVSFLAAGAFFGIFLWLLFKYDGKLVETWADNGPI SGLFKTLPAAISFLATLMRGAMLYPVLSAMGQLKWHHFRRTRPMYDFEVIDKATRGYL GCVFQLLSGTALHMFSVGSIIILGTILLGPFLQNAVQTHNRFYSNTTMGDPNAVLAVS NRYEYPGVQPNETVTDIDTRVVRKPGLLEYGISEAGNVATSFTTSLFNDPVYARLAMS VNESIPENSSFKGEEPTLAVLAHVVNATGTFKSTSEYLLHNNRTLFDIRSRPNDDVHL AEPSTCTFNGTVRPCNYTIQRSAHRGLQQLLTLFLNMASGWTPDGPIQKLRELDFSNP KAEMFWSSLVDQFELGVDEPLVETMFIFLDRVAQFTTNRIRAASNASEFGEARTLVAT AWRTRKEELWKNSQAAVLYHGFSDNGPRDEVPILTVAEMERAAKATYARLEDDGTRVK LKIMG
SAPIO_CDS7886	MSVLKPIVTPAAVESPTPSDGASLTSQGKVDDKHLSDLSPPPAP ALATRESERMEAALGDAILRLLRIRKGPKGEEYDLDAVGMNSEPEWENKDVFDPNFRW TWREERAVRHKVDWKIMVWVCIMFAALNIDRGNISNAVSDDMLDDLGLTRVDYNIGQT ISRVGFLVAELPSQLISKRIGPDIWIPIQICIFSIISAMQFFLKGRASFLATRYLIAT FQGGFIPDTILYLSYWYTGRRLPIRLAWFWMSSQLVDIGVGFAAVGLLSMRGILGYEG WRWLFLIEGAFTFIRDDPQKGGMHNRQGLSVRQIWECAKDYDMWPLYALGLLFGLPKY PIGQYLTLSFRGLGFNVIESNLLSIPNVVGSSLNMLLITAISELVNNRSFVSMAEDAW LLPCFAALIALPDPINPWSYFAIATVLLAFPYRNAGSVQNRTVSASLYNMFVQVSGMI GANKDSPRYFKANKGLLVICIWMCVIQYPGTYFYYRWRNHSKAKKWDAMTPDEQDNYI KTTTDVGNKRLDFRFAT
SAPIO_CDS7887	MSSSATIPTSTEVVESAVIRAPLSNVWHLIQLHNFANFWDALKA SEWMKGTGADRVDVVKWTFKDGTVLEVKQDEYSNINHLVTYSTITAHPELSYSSAVST VRCHTVTSGELEGSTFVEWTGKFSSDADVGVIQDAKFKRRDALADLAKAATGKAPKV
SAPIO_CDS7889	MEKTNLPRPLDENLPEVVPDSSPEVIPKNATAFYENHLGDRYPK YPVIADDTPKYVGGYEASATADPVSPYPDSPAPSHGYEQAQAAGYPLPPAEKKILGLK KRTFWIVAITVLIILAAALGGGLGGGGLKCFDPDRKPEPNCDNWVIIAYLNLELGKSD GNLPQRDHARGQLRLPGMVGKRLSRQGFSRLA
SAPIO_CDS7890	MNHTCTKAILAAAFLFHTGLADWQFRSRSDLAPPRLNITIQATE EVEKGYLFISPFAGLVDTPSEQHGPRQAGPYILRDDGDLIWSGYAIYSIWATNFQAAR WRGQDVLFSFEGDHNPGYGHGHGHITLMDQHYETIRELRAGSHKLVDKHEFHIINEES GLIQIYQPVVRDLAAWGAKPEQQWIVNAIIQELDITTGKVLFEWASLDHVSPDEAVLP INPGQAGSGYNSSDAWDYFHINSVDKDAEGNYLISARDACAVHKINGTDGSIIWRLAG KKSSFTLGEGVDFCFQHHARWLSQDGDEEVISLYDNSAHGTEHDGGSEVHTAPTSSGK IVRVNTKTWKAELIQAFFPPDDLRSKSQGSTQILPNGNALINWGSSGALTEFLPDGTP IFHAYMDSGDLGIAVENYRAFRFNWTGLPTEEPSIVSLENGDGTTIYVSWNGDTETDL WRFYEVFDRHGSKRLLGEAKRTNFETSLHIPKKRIGRVVAEAIDKSGAVLRGTSLVWL ARLDEHI
SAPIO_CDS7891	MTVPIQKLLAGEHEPLLQPAPVAPTTGEWSPPAGFEWIQVAIMS NVFLYGFDGTITAATYAVISSEFDAANTASWLTTAYLVTSTAFQPLYGRFSDIFGRRV CFFVSSITFGLGCLGCGLASNIILLNCMRALTGFGGGGLMTMATIVNSDMIPFRKRGM YQAFQNGMFGFGAVAGASFGGSIADHIGWRWCFLLQVPISIMALALGALVIRNPEGGF NPDDSLKAIWARVDLSGALLLVVAVSVQLVGLSLGGNELPWSSPWVVGSLLGSVVLSI VFLMIEAKTTAVPVIPLRMLRGSLPILTQISNVCAGVAAYAYLFMLPLFFQVVLLDSA SKAGARLAIPSLATPVGGLIAGVVMSRWGKLVWLVRLGAILMLFGNALVTSLKFEDSK WKYSVYIFPANLGQGIIYPAMLFTSLASFDHADHAVSASTVYLIRSLGTVWGVAITSA IVQTTLSARLPQALSDVPDKQRIIEAIRHSVTALKDLPMEIQQPAQLVYYTGIKYAFG ASTAFAGIAFVTSLFASSRGLRSTHK
SAPIO_CDS7892	MDVALEILDPLVLDKAYAYFVPAATISNSTGLPSLDVPSSSSPP ESAWPRDNILRQCISILVITQLGASMLYWIFSALSYYFIFDRRLEYHPRFLKNQVRQE IVSSMKAVPWINIMTLPFFLAEVRGKSFLYTNVEDYGWAWLGISTVVFMIWNDFLIYW IHRLEHHPSVYKYVHKPHHKWIIPTPWAALAFHPLDGYVQSLPYHIFVFVFPVQKYLY MTLFILVQIWTIFIHDGDMISGHWLEKYINSPAHHTLHHIYFTVNYGQYFTWADSYFD SHRPPRPELDPLIEALKVMRAKGLVDEKGNPIQKKKKE
SAPIO_CDS7893	MSPRGSRDTQMETKTKEEMLERVASSEEGVVFDEATNKRILRRI DWYLMPALCFTYAIQYYDKAVISHAAVFGLRDDLDLKKGLRYSWVGLIFYFGHMIGMY PCSLLAQRFTPKRVCSTLTIIWGIIVLLTPTCKTFGGLLANRFFLGLVEAGVSPIFML VVGLWYTQKEQSFRSAIWYSCSGGSMLVSPLISYGMAHINGGLLNKWQYMFLVAGAIT FLWGIALLWVFPDTPQNAKGWTVEDRAILLERIKRDNVGGEHTQFKFYQALEALRDYQ FWALMLMAILSTTGAATMTIFSSVVFAGMGFDAYTSILLNLPTGVMAFICILGSGWLG GTKFGRLNTLSLSCLPVILGCCLLWQLPNHMPQAAGRIVGLYLVNFFSSAWIQVIALG TSNVAGYSKKGAYAAGVWIGYCVGNIMGPLLFDAKFAPRYNESFTGLLVCFAALSVIA LALRVALARKNKRRDEIYGEPQFQHGLEDMTDRENKSFRWVI
SAPIO_CDS7894	MVFLSLLAFLLLAASGLARDVPDNVRELYDSIRKKGKCSHKLAT GFWSDDNGSNSFSYCGDHLDDYNIVYIQGTRGALANMDVDCDGAQGGQADDGRCASSN DTQYVSSFQDTVESYRQGIKDLNANIHPYIVFGNEGSKRGWATFDPREHGIEPLSIMA VVCGDKLIYGVWGDTNGDDGPRPMVGEAAISVATACFGSGVSGDNGYDDDDVLYIAFT GQDAVPGSQGANWSATNYEIFEKSIQDQGDTLIERIGSTGARFAVPVGSLWRGSMIPL LVSLIFTTSLT
SAPIO_CDS7896	MEALPNEKTSLPSLQRSNEVVSGIESDGQLEWTEEEEKALVRKV DFLLMPLLILGFFALQLDRGNIGNALTDFFLNDVGITQNQFNFGQQLLALGIILLEIP SNIVLYRVGPTLWIGGQIVAWGLVATFQAFQKGLGPYLATRLLLGLHMRGIAGLAGWQ WLFILEGVFTILIGIAFILAVPFSTAKPVSVLGFRYFTESESRILTERVLRDDPSKAQ LGRNVSWKELKAVVGNWSLLESDDKIRRFIILSASVAVSWPWHPVNGAWLALNAQSAG ERSITMAIHIMAANCSGLVGKQIFRSEDAPVYRRAWTEIVGLTSAAVFISLLANLQYY FGNGRKLHRTGLKYHY
SAPIO_CDS7897	MERHVRIGCYSAFWGDTPGASRQLVEAEDARLNYLVADYLAEVT MGLLARASHAPARPGSGKKAAGYIREFVTFVLKPLLPQILEKKIKVVTNAGGLDPVGL KELIEELAAEKGVTDQVKVAAVYGDNIISQKNELLQAGAFSAFNPLNVDGADEAMFES QDSILSLNAYTGAQPITKALEAGANIIVTGRCVDSALVLGPLAYEYSWNYARLDDQAT LDRLASASLAGHLVECGAQATGGNFTDWELSAGSAYGGWANMGYPIVTFEEDGTFTVS KPRETGGLVTRHTVGEQMLYEVLDPENYILPDVVVDLSRVVLDQVDKDKVRVTGVRGK PPTEWLKCTAVRQMGFRTTADMLVFGANAEQKGKVLGNAILQRARNVTEMELRNVGKS EDFKGFESKVIIVGAEHSLSPSAARPPSREVVVRIAAKHVRRHVLDVLGREVASFGTS SAPGIAMFSSGRPKVSPNFLASSVLIRRDFVTPKFCIGGRTSTIPVPLVTEGCKPIVP SASAIKSKSSSSAIHQPSPAQNTRCNLLDVAVGRSGDKGDTSNVAFIARNPVFYPYLL DQVTPEVVASALCNLLRPTSTVTRKEKDMHNYA
SAPIO_CDS7898	MFRQYTSKLSPSDKVCQDNAASWRPVLEKWEDVMKWAAGEGPSK HVERHRSRGMLSARDRMALILDPESPFLELMPTAGYNIKHSSPNASVLCGIGLVHGRL VMIAGNIPTLDSGASNEISVKKSKRASDIALENGLPMIYLNQSAGANLPQQFRVFHAG GAGFRDITLRSAAGSPTCTIVFGSSTAGGAYQPGMSSYSIFVKEQAQVFLGGPPLVYV ATGEVTTAEDLGGAEMHTSISGVGDAFAADEFDACQLARNWVLSLPEENVSTVEQPQV LAPRYDPRDILQVVPVNIRQPMDMREVIARIVDDSRFENFKATYGPGMLCGWARIHGH LVGIIGNQSPVIMIPEAQKATHFIRLNNENCNPIIFLHNVTGFMVGTKSEQNGIIRAG STFIDAVSRSTVPHISILCGASYGAGNYAMCGRAYAPRFLFSWPNSKCSVMGPEQLAG VMEMIARDAATKAGRAVDEASISARTTGLKNQVEKESDAYTTSAWVLDDGVIDPRDTR DVLGMCLEICRNRPSKGNTGMRGVSRI
SAPIO_CDS7899	MEPKTRRKRPLFQTPPVFREDGRLVIKRVLVANRGEIACRIIRT CKQQMITAVAVYADEDKNSLHVTLADEAICLGPADQQPYQNIERLVQVAKSTGIDAIH PGYGYLSENADFAHAVTTAGIIFIGPSPDSILKLGDKRVAKEHLAEHSSVPLIPGYAG ANQDPAYLEAEADKIGYPVLIKASAGGGGKGMRVVRKKSEFPEAFLRCSSEAGRSFGS SYCLIEKYIESGKHVEIQVIGDGEKFVSLLDRECSIQRRHQKVVEESPSPWLSPKMRQ DMSDVAVEIASLLKYVSAGTVEFIVDTQQEAFYFLEVNTRLQVEHPITEEVTGVDIVA LQLYIAAGGKLESLPELSKIHQQGHAIEVRLCAENPFNNFLPCVGIVTTFKPASEVLG VQIPDVRYEAGVTSGSSISVHFDSMISKIVVWASDRTSAIQKLNYVLKNTVCLGVTTN QLFLQRVLAHPNFQQPGYTTAFVEAYEGDLLRPVNVDYLRGPMMMAAVLYNRQLGSAK KTAGSKVFRSIPAGFRNQPKDGRTGALEFVACQLQLLGHTVAQELAVTRVGTDEFEIS PLPTDFTLTAAQKRVLFNKRGGVLTRRFYNAAAAEVSSRFKAWLLQSGTRNTFSPGCG SEFHIQLDDERHKFYGSVLSVSDYRREISIFSPNLGLSANYILSDALSWAGMFEQAKQ EGIGSSDVQRKHLSPMPCHILQVLAKDGSTVKRGDGLLVIESMKTEIRINAEAAGVVR MQVEQGAKISEGVVMCEVLVPDEEGAEGSGSGE
SAPIO_CDS7901	MSHPIPQLNGFSARLDGAVAILAYDRPKTGNSLHPTVLTSYLNA MKWAVANPAVRVIVQTGTGKFFTTGRDMGNDNAQYDLQEVLANFKELNEILITCPKVL IAAVNGPAVGYGTTSLALYDLVYSVPDAYFFTPFSKWALCPEGCSSVTFSSIMGHQKA SALLIAGDRMSATELWTAGLITKIIPAPSFMEQVLEIAKRIASYPPIALAASKKLASG NREAELLAANAREYDCLVERLAHKECSDALLLFAEEQQRKKANAGRGASRM
SAPIO_CDS7902	MMKPRSELSAGSSLEPQGEEGELWIRGPQVMMGYINDEVATKQS FHDGWLRTGDIGKMENGNLWITDRFKEMIKYKGLQVAPSELEDLLLQHPAVTDAAVCS VYDDAEVTEVPLAYVSLSPKHVNLPSEQKETLLREIRNWADARVAGYKKLRGGVFHLQ ALPKNPTGKILRRLLPVKLRETRSQKL
SAPIO_CDS7903	MTRDPKLDHNVKIPWSEPAWYRTGKSIYFNQSHRRLRDSIRKYI DENILPFALDWEEKGEAPHEAALNFCLSGIPFEDVPGKYRPKNTPQIAGIPTDEWDAF HLLVATDEMSRIEGGVAIALAGASVIGAPPVINYGTEEQKEKWLPGLFTRRTNFCLGV TEPGGGSDVAGIQTTAEKTPDGKFYIVNGAKKWITGAPWATHMTTAVRTGGPGMKGIT VLVIPLDSPGIDIRKIHNSGQNAGGSSFVDLENVRVPIENRIGKENEGFGIIMRNFNK ERYVLAIQCNRKSRTCLSMSFSYALDRTTFGKPLMHNQVIRRKFAELAYRVESHWAFL EQIAFQVNSSALGWQSPDIASQIALAKVQGGQMVELAAREAQQVFGGAGYQKGGRGAT VEQISRDLRMLVVGGGSEEILSDLASSH
SAPIO_CDS7904	MGFDCGFDIYPRLEATAVNKETYRRFLDEIIRTYGDVYDKEGRR ADGKVLDMPTDSDHCDKVYVWFMVGECPHMPSNPDRCDYFLRFSSKISGRLTTPAEPY IRSVHKIARKYFGSRVHFWHEMNETGDERQWGYYDWREVHDASKKLRVLETGRELDLT NRAWEERGETKSTPLDTTATGLEQPCAANPPQASNLVQDYGSSGSALDQLRIDVEGNV YAIRSDPRPFLGIARTNVLPLGSEAREGGLFLGASRINHSCRHNAQNTWNANIGRLTI HALSDIEEGQEITISYLSRRMEHAERQRFLKEKFYFDCRCDLCMLPLAQREESDLRLR KIQSIDDALGDLDETTSDYDAGLHLVRTMLRLLEEEGVWDAGVPRAYYDAFQIAIANG DEARAKIFAERSYAARVIIEGKDSPESARLKLLADRPAQHWLYETCLDSPQGISPPPQ ETDGMEFDDWIWRENEWSKQPATSSVNDPWDVFGEDL
SAPIO_CDS7905	MEYKSQAPSGPTISPRIIIHGGAGNISPATVPPGKYALYREALL NIIGKTHTHMTTPSKATSPSPHLPSALETATYAVTLLEDNPLFNSGHGSVFTRDGINE LEASVMVSRGFAKRGVGVTGLRRVRNPILLARAILEHGDADLSAMRRTDGPCLDVPSA QGHSLIHGRTAEILAERYQLPLVEPEYFFTQTRWDEHLRALKDERNGKALDATWSCDS YLPQGTVGAVALDADGIVCAATSTGGMTNKLTGRIGDTPMVGAGFWAEEWIDGRGFAR DMWPDRTRRGHGPIVMLSESLKGLMADCLPTPFMYNPVPPGDGDASPLITTRSIAVSG TGNGDSFIRVAAARTASAIARYSGVSSTNAVHRVAGPGGELQKSAGNRWGITGEGQGG MIAIGCTVVGDPEGNAIAARGEIIEDFNSGGMFRAWIDEDGRAVARVFRDDVPVSDC
SAPIO_CDS7906	MSTDAGGSAMSRRYLPVSSLPTTSLQQSAVAVIFTFNSLALVTY LLRIYSRIITKQVGIDDYFVTAAMVFSIGLLVPTYMFFRYEFIGFPTSEVPESIYDIE PMLFWNWIMQVLYNPILAMVKSSILFFLLRLGGQRRSIRWSIHALNIFNLVMMVAVFL TVIFQTMPVRAYWDLSITPKYKIDGPAFYVSTAIITIVTDFLVLLLPFWIFLGLKMRL AAKLGVILIFMTGGVVTAIGIVRVHELRKKFYNIQPGYDSRDGIGDTLSTVETCLAII CACAPAMRPLFRRWLPGLFTNDSSRERYGYNNTSGAHTGYGTGSRSRARHTKTGSIHD IALDNIKRSGHADIHGHSPSGSEEEIITYNSIVRTTNIQVSYNETSEAEAVNQRSKYD GGLGAIDFETRAQSSKSPV
SAPIO_CDS7907	MSRRLLLLAAGLKLSSMVAGQTIVVDGAEVEANEDTVAPAEEVV AGDLLPEESVQLTDAILANLTDLALTDIDLFTFGSGDDASEVATASSKNKRATPKCKT FPGDALWPHPITWTVLDLLTGGRVIKSVPIGASCYDDFGVYNAAKCASITDNWTNSYL HEADPTSVMSPLYQGLTCMPTANKNGSCTLGGFPSYVVKANNVAHIQLAVNFARNLNL RLVVKNTGHDFNGRSVGAGALSVWTSEFKSIDFIKKYNSQSYSGPALKVGAGVIGIEL YKAAEEYGVTAVGGEGMSVGFAGGYIAGGGHSPMSPMYGIAADHILSIDVVLPDGRYI TASETKNTEVFWAMRGGGGSTFGVATSYTVKAFPKLDVVSIAKFSFATSATVSYETFW EGVRAYWESIPTYNAKFNYEYWNIWHTGPGVNDVTFTMLPWWAPGMTVAQLQDLLSPL LSKLAALGIDITPEYSEHNSFYNAWSAGFPQELVGGTAAKTAARLFPTENFVDATKFN TTVNAIKSVVDRGGQVIGFGITGGPGPFPDNAVNPAWRDTAMFAIAVRNWEEGLPLSD VAQLSADMTNNWMQPWRDAAPNSGAYASESDVTEPNFKQSFYGTEKYARLLALKKKID PTGLFYANLAVGSDEWYIEGQYPGLPTQNGRLCRV
SAPIO_CDS7908	MSQDNGNSDSLAKGYSARATKSQRWRRLILIIATVVAVVGLALG LGLGLGLRKGDEDDDDTSDLGEPDKGVDRTVKWAPAVGDMWQILLLKPIDLSTSLEPN VSIYDLDLFDNDAEVFQELHNKGKKVICYFSAGSWEIWRDDEDQFDDSDLGSIMAERV KLASDKGCDAIDPDNV
SAPIO_CDS7909	MRLLNAIVAISVMTGWAAAIPVDIAPSASGATSPNIPLVPLILS KRKAPHKRWWRIFDSGCTQIGGETKLGTAKFVPLTSKLPYTIELYITGSTNIPEGYF
SAPIO_CDS7911	MASPKESKSPKSPASAKSPPKSPSPRTASSPAAENQLTDVIEVD ADDASSLAPSSILNYKWENGRRYHAYEDGSYWFVPHRSRPATYMREFADETPGAQVIG VDLSAIQPPFVPPNCSFEIDDVNKEWTYPDDNFDFIHVRAMTGCVNDWVDFYKKVYQH LKPGGWVHQIELSAVAKSDDGTIKPDSPLVTWVEVFEKMSAAMGKSFFACETARESVE ATGRFINVHERRLKLPIGTWPKDKKLKQWGAWNRQFLLQGLEGFSIRGLTTMLGWTYE ETQMFLVQMRRELTDPSIHTYIDMCVVYAQKPIESEE
SAPIO_CDS7912	MSGILSRAPSSEPSNMPTMQSPQSQMRSTAGADVTFASPDNTSS FDPTLTEAGTKRRRIRRACEHCRATKTRCSGNSPCARCRIRGLSCDNARTQAASSRAP GHDRPGASPIPDRQVLNADSACGKTTPGDATSVMKLDPAVVRRYIEAYFDYATPLSCI FLHRPSVLADWSQGKLDPVLAQAISGIGFLLLCDESPSNLSGEEPSSAHDWMSEVQQR LLARISRISLAQLQALILVVQYRFRHGDRSDAWNLLPVASRLAFTMRLNYELPNPEPI VQESRRRTVWAVWLMDRIFSGGNVDLTVCPKEAIHIRLPCSDYGFQRGIASVTPKLES PTSLVDSASMDIVSYYILLLDIRDRILRTTKSIIRECANPAMRKHELEMLQNELFEFE SSLPTDFRLSTKRLLLKTHSTDAGGYITLHIQWFQCNCDLHRLFIPGIRESVGKDILD NTPPDLVDACQRACLSNAIRACNFLAELDCLNYSGSLGSTFPAVAAHQMVCILHHLHH LLPPDGPDALPNLKPRLARAINVISRNQLRRGNVFDGKLLADTQSLVIAFGKKRAPQS NGGKYRRRNPQYLPSSGSFLDHFDETSEAAQTDILSKEEQDDQSINCNDGGSGGTDSD KSDMTPLTVQEVRAIEGLISSSRSYIMPSSSVDPPPTQPAWLSPLSFRDSDIAVRNTN INVDESEARGDLGFIAQQEQASFESWDPLNAYINGYYIPEFTAMFSASEI
SAPIO_CDS7913	MFGMSCYAIVTATIAVTSKTREQIMAARVLNYLYVGVELAVIPI YQSEIVPAQARGFIVGSYQFSLMLGGFVINSICLRTSTLPDDRAWRIPVGLFYIIPSI IIALIFFVPESPRWLLRMNRIEDARKSLQRIRAGVFTDEEIDAEFQDLRRGLEKEVEK GKFIELFQGLNLKRTAIVVGVNFFQQVTGQAFSSQYGSIYVKSLGTVNPFKFSLITSG VATPVPVERKTAIVAVMALFVFGFGAGWGPLPYVLATEIPALRLRDHTSRIGFGVNVL MNFAVNFSIPYLVFSDYAGLDSKVGFIFGSFCFLALLFTFFFVPECKGKTLEEVDALF HNGVALRNFGRAGALEHQHNRGIKQAKESIEVVEERENVVQNKETV
SAPIO_CDS7916	MTALTCPAMISPMAEEQPLRKLHPFFTGERPPTQAFTSQNGAPL EITENAPTPTTPDVTSDSTAKGTRPRKRRKTDAGDKSLEEKGRRTRRKVTGSLDSAAI ASIGSNSPEPGAVVSNLVCNASPDPNAIPPTEPDSTIPPKVPHETLSNPPLNGTPSTE TKSSKKIIKFNPKTGTLGSPPKPKPKCESVVASASDSSSTGRKGKRKSLVIRIKYGHD DESRERLGGQIEQILVQPANAAQPANVSKQTPKSHTTGTPKPTHPFFSGKPKKAGLAA GSPNAADTPAKPPRQVIFSSTPCSPKRPRAAPPKQGLTFGIKSAGLKVPGAALPLWPP QGMAHIRALDFDCSTRVPPPQQHSFPQRKSKGYAVSLSDSESILQSTARTINFSSILA SLRQANSYDIPPPPPELRLPQKHFETGPKIQRRIKPHLKTHHGPSRPRPSIVDSSDDE LGHQVHPAINKLFTSLKSSLSAYDRSDCESSCWAQKYAPQTTAEVLQAGKECTLLREW LLNLKVQSVDTGATPDAGPRGKALKAGGVPGKKKKKRAKLDGFIVSSDDEADELDEIS DSENNWMSPKGKGSAKTVIRCGDLAAKAKDSRLTNAVVISGPHGCGKTAAVYAIAREL DYEVFEINASSRRSGKDVIEKVGDMTRNHLVQQQRNGLKGTEGGNDTDADETALDVKS GKQRTMAAFFKPKTTPVAKPEPQAKDLGDKPEAPAKATQSSKSQKQSLILLEEVDILY EEDKQFWTTIVTLIAQSKRPFIMTCNDESLVPLQSLSLHGIFRFNAPPTDLAVDAMLL VAANEGHVLERRAVEDLYLMRSKDFRASLADLNYWCQIGVGDRRGGMDWFYSRWPKGS DLDENGDVVRVISEGTYRAGMSCFGRDVIASCLTQEEVEEELVNQTWESWGVSCDAFL QAKNPKAFVACNPDDASSRVGKRTIEGLAAFEDLAESMSAADLLANGGFGQGFKERID PTLPDITSRARDDYILGRTLLDAPLLVYHRLLSNYISTAGKCLARETFRKLNENETIA HTLPPLNEASAVSNIRAAVGEHQDCQAINRMDMSLAFDPIAASDKFPTSAVGYLDPSV FDRTMNMIVLDVAPFVRTIVAFDERLKKERLRLGTLLSENGGGPQRPAKRMRQTRSAM SALEGGSRSTTRREKYFAADINPYLVSRTGGKAWQTALDEVLEREAQDARSKAAEEGD TDATLSAGEDSVGSGA
SAPIO_CDS7917	MSANYKIQKPYVLAELPRPLDQTKGSYVIGEVFGHHPSHGKRKR PEVVVGINGEAANLYDVSASRLITSYPIPPQQTFTCSPISLRIRLLKGQGIARYTYIA TRDSTGQSMMLFRDIVDSAGKTTSSSKTRHLKTSSRIRYIGVSPSITSSTGPENVHRL VGNLLIICANGDVISLDPETLEQRWKESASKLSRDEMSTPVSEYSVELVAMTTAADVI DGIFEGRKDAFAAAFPQSLAVSEAKPDTLVFVSHLVRNGVQERQLSVLGILPSENSTA SMQHMLQLHVTPIPSQPTSNAETVYDYKLHLPSGSLLELQDSTLVVYNITSAISTIRH TLLMQAATSYLRLSGHSILAGSPTHLNLYNPTFHSLQATVPLDLSEITSKRGSEQSKS AYTLTAYFRRLDVAVGLYDNLLVAVQVDPPASGGKRSYADGLLIDSIGKGAPKDVPVS ATRPGKKLRSSALSTLLPGSIAGSYMSTCIQEMDKADALLVDDNLAEFEKLLASKFMV ELATTNEDEESGTENKSLPDWTWHSNPSDYPIVDRRWVLYAIGRVLTLDPNCEDADGA VKLALASINVLIYLIVAGHLTLSNIRAAFRGLDLGPELSDTVLAEGLALRLAEVDPTL ELLVNYILVTKLGPPELLMGIRAIMRSLDYVTDSLKSPPKFLTQEAHESEEDEEIRME LDQLDRQIQATEYHLGDQNSTRANGLTAALAKLSTHSSQTVVKALRTCLKADEIFSLI FLLRAELVRGSWTSRYVDVGYAVAGQTQPEAPPDDSICLIANLLSRCVDAVGPTGWLL NDSLSSDVESETGDFLRALKLEVSAALEGLEEAVQLNGLLSEVVRYGTAVREHGPAAL SKLQRAEGCMLPIGLKAKQAVAKEKVVSGGEVVKRSQREIGHLISQKVASYSLERITL 
SAPIO_CDS7918	MTGPTSILSNIDAVSTSALALYYRAKQAGSDFDHVVPAISGLST ALKHLKIEAGDPDSVLNSSRGPVYYRQLTPAIEDCEFTLSQLETVLAKYESGAISGNE QHDLLSLIRKKLDEQKLEVDLFLDTVQLQSPVKTTINSDDRNLDVIKDKVDAIARKIF ARKDSGFVDDENALWERFRDELEHEGFSREVLRKHKEVLRAYIRELESSGTSDNGQPA SVRGLLERERPRHHELPHLTTSPTSYHQPKDRSPRDLYTPVGSEKFSPSVKVSGDHPR HSNETLAFANRHDDNEKGPPDAESFSTLISTQDLLGMDRPNQLNDLPTQFSGMYVSPA IMQAMQNQNYSSSPPSGSPNDRYLSAGGFSAAEGYTPLSASPRFVPPLYGEAGSSPPP PYGSSPPRRAPRLKPDQNGNEISSDAIWTKLNRDLISTVVLDRAGMRFEARPDFVAVL GVLSPQQIQEFARQSAEVREARRRHEAARSYPASGHLRRQNSATPYPVSDSGTESDDD DDNDNWSESETSEETRDARPDHKSGESQDDDKGTKSYPYPFIVNPPSEHEEKTSPSST VKPKPILKNKNVNKVHFGPNPYETPADDSERSPKDRDRDRDRDRDRDRDRDRDRDRDR EPDREDRERRRRRRRRDEHGREHHRDHDHDRDRERDRDRDRERDRDRDRDRDSHRRRN HREKDRDDKTKRKPRGSDTLYAAGLGGAAASLLTVLAEAAAAAL
SAPIO_CDS7919	MDVDISGGVPAAFDPSKPLKGIVVCCTSIPPNETKDIEQKTTNL GGIFTGDLTVEVTHLLVGDYDTAKYRHAAKSLAHVKAMDAGWIEALTDLWREDAPIDF AALEKEWRLLPLETGGAEAASFDEEEPPRRKRLMVCLTGFLNLEERTALQEKITSNGA QYSGELNRKCTHLIAAKPEGQKYLAARKWGLKVVSLEWLNQTIERGMILDEKYYDPLL PPEERGKGARDDPEVALGKRQRLVNSFVEPEGPRRKLRKTASMKLSSQRETVWGDILG GNSSGGAKDVAIEQASAQEQANARADDVASAAAAPAPLLPRLKPDAGIFSTSFFFIHG FDQRKFDILNQTITALGGRVCRTLDEVCLARAPEHPWHRFLVIPQDSPSIPPELSPTS LPSNLHSDETTTTPQIVTEFFLERCIHSKTLLNPQSHVLGRPFSKFPIPGLQDLTVCS AGFSGIDLHHLDRAVQQLGARFDETFRRRSSLLICKDLKLVRKEKLRLALEHGIPVVG EKWLWECIARGEKVPLGAYMFPELGQRDPEKEKKAEEKKQPMQRTKSEPPAKVLTIGG FAVDFEEEDGDAPSEAKKSFAMTASARNFHTARTHIFDDRDDFTMGDAPMATTTRPLK EVAVNTVSSSESASSNPGPVREEDIPPTKKPRSQGDKPQQKLQKEEEEEESRRQRERE RSKRQARELLAFSSKLTSLIDPSTSAHPTTATTADATETTAGSKDRRKRGILGRAASA SSTTSGLLRQMVSEESAPGSSDSKARSVSVVDGEDEGEGNGEGHSTLTQVGYDDPGAA EARAQILGRMKGEKQETLGRGRRARSG
SAPIO_CDS7921	MATVAPARLPAISSLSGLSLAQQTEILDLLFEPSPSIHELLLPL FRKKGEFASYDDFITEAHAVFSTLRTDSSPTSSDEYPDARAKLHSILGSHPRLGAKKV ESAQSAAEQAQLQSGDGSELAALNAEYEKTFPGLRYVVFVNGRPRPVIMENMRERIAR GDISLEEQEGIQAMCDIARDRAAKLQRV
SAPIO_CDS7922	MFCAISGEAPQEPVFSKKSGAVYEKRLIEQYIDQHGTEPETNEA ITAEDLIPLKTSRVVRPRPPTLTSIPALLATFQNEWDSVALGTRTLMEELARTREELS TALYQNDAAKRVIARLTKERDEARDALSKVTVSAGAGANGDVMAIDSVQGLSEELGAK VDEIQQKLSKSRKKRPIPDGWVTAEEVAEFTTESSTSLPFSQVSALTIQEEGSYAAIS SAQGDTAIYSIDEGKVERQLAVNEPVTDEIWTGTKLIVSTAKGSVKVYDSGREVASLT EHAGPATGLALHPSGEFVASVGADKLIVFYDVQSLTPVGRCYTDSALTTCAFHPDGHL FAAGTTSGDIKLFMTKTLEQAAVFSLGAPVQALVFSENGFWFAATAKGQTTVTVFDLR KEGDAARAKVLEIGGSVQSLSWDYTGQFLATAGASGVTVQQYTKSSKAWSEPLRSSVA SSGIRWGGEGKKLVVVNVDGVVTVLAAKE
SAPIO_CDS7923	MATVHADELVEVEVAWLGARPRDPRQPAVIDLTDLPDSPPSNRP PRREPSTGSLRSRRTNSQRRSPPTLNRTDSITMTGGNIVNNNNGPGGSLVIDLTREQS PDLNNRLPLPVDPAPTLGTNGNLDHLALPNLAPTRRRPPTADHRRPLGANFLPNAALD YINAFALLHDGPGRSARIRNAPPFRYFMNEAPFEPAIGGLHLNYQRAAFTTPPAPPPP PPPPKMTVPPAREGFTRDTGEDVVVICPACSGELEYDPSGGREDGSTGKKRAKREKGE HHFWAVKACGHVYCQSCFDSRRPTTKNQSRFRASDGSSRLTPQSKVFCAVDGCKSDVT NKGAWVGIFL
SAPIO_CDS7926	MPQGAQASPKPAAPFDQSSQSNGDANIAAGRSATKSRIPTRWLK LSIFNRFNKDPDPGLTPEPDPDWVQWEQAAIRCGDSREAMFREQDFWCLTAEGSKQYY VSVLEEDQVWSYASDNARWARAKSTMRMLLADLKDWDVEYRDTQLRMVARKPRDTGSP TVTLIPCVLLSCDRKSLRRVKNGIARLSWSRPDVQDYTLILVSGLEGGTKVVAPMQIR DLDMGEPIRISGFEDDLHIHIEGFTRLARLVATPLSLTPSPAESHGLFCVASVTNFEK RLLSQWISRIGGLLYVEDSEEERILALTAGHGMLKHFVQLRKPSEEVSSRTTLRHKHT TNFPRDPNRLGYTDIKRMHWVKDGVFPSQISFLTTLEPPQEEENSRDARKYLPYSLGW TERAHDFGLLELPPSLLAAFGVPLVGNKHLNKLWSSAGFTVEMDSLRLNKDEFGLNPR RIQEVGILLRPGQTVSARLIPGDHQLVISEMVFDVQRLMLSRPLAQGVSGSWVVKNGV WYGMIIAIYDPEPAALMTTSMQLIQDIYSLFGGYVVPGRREPRVIRPAQWKDVLRLIS KKHEVVERAAGYLHG
SAPIO_CDS7928	MSALTLQSKFKLNSGHEIPRLGYGLWETPADKAQEVTIDALNHG YRHIDDAAVYRNEAGAGAAVRAVSATIPRSEIFFTSKVPGRGLNYADAKAQVDRTLKE TGLDYIDLMLLHAPYGGSEGRKGAWKALVEAVEEGKVKSIGVSNYGVHHLDELERHIA ELEEERGGKGKGGVLSVGQWEIHPWLPRNDITEWAKKRNVAVEAYSPLVRGKRWGEKA VVELAKKYGKTEAQILLRWSLDKGYIPLPKSVTHSRILENADLYDFQLTPEEVAGLET TEYSPVCWDPTVSPIDG
SAPIO_CDS7930	MSVSKASRVPVGADFTSAPNHPSSPTSETNVTINTKKLGRSLSR SKSLKSRTTTDLPSPIISPTNGKRLSTKSTKSTSKDAKEARPVTRSSTAPFNASSSPL DPLSQHILLKTTADSAKSIRGRSVPPESPVNDSRADPDAAFKQSTGALDGQKKKGSFL GLLSMRGGKKKDDLIDDDDSDTSEKRTDGTNARVFTSSGGGYVYIPHHKEPPRYIKFK RHHKKTREFNHVFLAQKLAGTLPPKSDEKDQEDSQPNTSVPLPGHGKEGQDTGGAVWA LAFSNCGRYLAAGGRDQVVRVFAVLATHEDRKLHEEEEIDDSGAEKLSAPVFRSKPIR EFKGHTGEVLDLSWSKNSFLLSTAMDRTVRLWHMSRNECLCAFQHKEPVASVAFHPRD DRFFVAGSVDSTLRLWSIPDKSVAYSAQLPEVVTAVAFSPDGTTCIAGTLHGLCLFYE TDGLKYHTQIHVRSSRGKNAKGSKITGLQAMPIPVEGGEGDVKVLVTSTDSRIRVYNL KDKCLVAKFKGHENQFTQMRASFSDNGQYIICGSEDKRAYIWSVSTADAESKDKRPYE SFDAHTEMLTAAIFAPTATRKLLGQSGDPIYDLCNPPPVTLLSREETNASQTAFSDLS TSEALAHLRKPEESPAYIARSTHYDGNIIVTSDRTGVIKVFRQDCAFQKRRNENWETG STFSKKLAGGLLARSGSVVTRTSGNSIPHSRRTSMSHPAATSTAASGAGAGTGAGPGA SSDRILSWRQDVEPSRTGSAGGTSITAVNSSRSRPSSRGAPKAPPINVGLANLASEAR RQPYTASPSTRSAFATSPTSERTSSRDGPQGPPAPGFTFKPIDEKVEEPKPESGGGGG GRTHALWNITSRFKGRRTASATQTNGGTGTGAKEKGTVSPVSDAVSSEDLVISARRRA SRSTTTSGIESPLEIQSQGANGKALDAHPYPLKYRSEGEASSRRRSSHHQHHTDPDDS DSPSEYLTDEGEETACVQCGNRDFKAKKINGKQRFLCGKCGTLVTALGS
SAPIO_CDS7931	MSKRPGQDEAAAGGALKDRERPEQMDVDDNKDMGEFADEFEDEF ESEDEIMEAGVDGRPDAEREAEEKNAMSVDQGTFIIGRHKLEPGQVLAPDPTAYEMLH SLSTPWPCLSFDIVRDHLGDNRKVYPATMYTVAGTQAESSRSRENQLMVMKLSGLGRM EREGEDSDDEGDDDDDEDSDPILESKTIPLNSTSNRIRAWQPNSGATATGTMPQTLTA VMTESSDVYIHDVTAHLSSFDNPGLVISPLQNQPQYTVRSHKSEGYALDWSPQSTSDA RLLTGDNDGLIYLTSSTNGSWATDAKPFRGHNSSVEEIQWSPSEASVFASASSDGTIR VWDVRSKSRKPAITVQVSGTDVNVMSWSRQTTHLLASGADDGVWAVWDLRQWKSGSGK PSPLASFDYHKEQVTSVEWHPTDDSVIAVAAGDNTVTLWDLAVELDDEESKDTAGVPD IPPQLLFVHYHNEVRELHWHPQIVGSLVATGEQFSVFRTISV
SAPIO_CDS7935	MSRYILPLCLASISLAQNFGLPYRPDGSFVWTQPADTVILGQYG HSEPVYPSPETSGAGGWESALVKARDFVSELTLEEKSYMVTGHPGPCVGNIFPIPRLN FSGLCLQDGPAALRDADFVSVFPLGVTIASSWDRKMMYGRAAAMGREFKEEGAQIALA PAAGP
SAPIO_CDS7936	MGLSAKAQDRILAALDERERWCYDRAMEYAVRGEMKEAVASFLS DRHKIGQGSAFDFIILQAHTDSVELFKEGLLGFYYAKAEIAESWTRSGNCGVKAKAEQ TEQTEQTGQTGQTEKTEETEQTE
SAPIO_CDS7937	MHAFMTAAPGSREFNTRLVELVARAIHQIAVNLSKSEPGFHKDD DFTTWMPSKTDGHGDDRLGAILLENYQSMMPLKTLFVHRWYTNYDGYPDGVHDGVGYW AEARIMGGVVLFDRRDPAKIPDANPSDIYFHSDRLNVTYRIYCLLDEQKSKLLEFLLR QNADETDCPLPILGGQENRKRVDAEEPVLTTGIYRDPWERKEISPDEDIRRTRRRIMD GINYNSWEDFQAAVRRGRKMEDEWLSRHYGDFEQGEQGEQG
SAPIO_CDS7938	MSARVTFQNVNVFSDEAGSLELLRPQSNRRKKVTDILDRAFNLI QSPSGKAAMVSAAKELIHQRRRENDMCLFDPKSRNPDYSRLPATVALFLEKMKLNFPD VILGWVDDGEAATNRTQQQWATAEEESELQNFTPPDAGTMLLHRNIIGSMCNTNSDWE YQKFLFQMTISVAHEIMHFFTSFLTGDGRPVTPRQVGVVGYPGEIGHLWERIAIGGHI EFYSNPQPAITSPRAGTPFIFTNMSMAAYGKEVPERFVRRFVEGRE
SAPIO_CDS7940	MVCLCPCMRIPSHMKSRQLHQEGDEFLTANETTLSEQGIRFHQA KPVFNQPAEIDQGLNLLPDLEERLHLARRAQISIRSQHTKEYDVKDYAFNLVQLSSFV FSDLGFLRLISRTEGYFQYRRLTSTEALLAIFAKTLASAKGTERGSSHPETCHIWPFS ANNNVDNFTNTQEVLSRSLGLLSPSLFSGLTQLLAPDTDELGSSDKAWNMIALSPELQ SYWSKAYFGLKWIGADNPTSNDNDIATFKVEWHWLPRDAVGALERRLGKLKRTNHCSL PEIDLKSEKVVNLVCNALKEAWTPPSSTFPELARTKYASSSRPVETGHIISLRVKRSD LDKTEILIKTQWLAVQMAALSGAGEIADELIRKPPRPMDGPPIPLFPSLRPREAADEE 
SAPIO_CDS7941	MSSESSSPSQDLSTEEKVQSDSSGSPDGRKQHCRNLSNLPSRRA RRIAQEHHGADTRPHVTISTRQILINFTPPEPVKDTEAKKKARAERKKAREQVRAALR HVQQPSFGSRLRLLGRTPTSSDLPINRFNDKAVLDARDACRFFFPARASLPVQVVDFY EKRATRYTRPLSEIDNIVQSKPDDVAVRWVHLNIGAGLLQSTLEDIFNYSGSAKHGKP FLIAGHPAWPYLDNLQVMTFYNRHMYEEQVEAWKFLSEVESLKNGPTEDMLKGFSEVV ADDLEWRGQVAQQNLEFWELVKADFPYTMRDRVLGDLDLRNRKLPSDVSKLNQAVSEH PAFKDSLVMISHWRAFHREDGFLLTFSSQPGINYNSNEFPDYLGDADEIMENPLASAL AYLSHTFLDSGTSRWHRKTAEWLAVFLMTEIATTPNSTRAGSGCPELLNAYQDLAVLL KDEQKKPWERGEAVQLVRKYLRSIDELRVIQAVTLRKLDLMEKLVKDCERMEQEYEAA GEYANVDPEAESMVDRATWALVQVTAEKTSFDAILDHYQTALNELFLLGTVEQNELAI VADHQNKAVMLFTIVTIIFLPLSFFTSYFGMNVKGIAEAEHDEKYFWLTCGIAGFLIV AVLFLYAFRVQAARAAKRWRNFKKAQRSKM
SAPIO_CDS7943	MMSNIFLGASASSVITRALVLYVFEQMRRVPRRRNGLGGPTIFH KYRYTHCKKNQVHDAIPDANPSEDTPREKRPPVPVSQIIGMELPSPSITWALFQSYSD CMHWYLTVFHEPSFSARAHPIVVSGMADPHDKSFLYLMLVVCFFGARFMPQKDREEKC QGVDFPQLELKILQVAGAWFLPSMQEFTIEARVYNYLLGSAYFIVSQTHAASVTVEAT IKAAMRMGLHQEHTWKSVDDHEREVRRRLWWVLFITSGFMAISYGRPPIVVIDECQVE LPLSFEDNPASIMRDLYFGRRRKPRDLIQQISAFHRQLLDWERNIGHELRPETYLGRS YDSPGADPVLRKLALQAITLQISYDNAQVILFRPLITLDRPLHIDLPLPVSNQDRQAE AELMRDLKRKARNQCWSSAVRTSLIGEHAELLKLLRVSPAAAHAGVHALAAGVILSII ALADPLSDQGQESKRGVARLIKVAKDTGFLAPIWSQLREVLTDLMHVIAGEETQHLIN ARGDIGFGRKNDMAISPFGEPAADALPAMPNEASQSAYGTVRSSVLNIAELVGSAPQD LGQGGQGQPSFVPQMALSGEMQEGQAIVRNDSMSYDTVGLADWNLGLPAADQTWMWDN ALTYL
SAPIO_CDS7944	MEALGVAASVAGLVSLAIQIPKVIDTAVSIRSAPEEALQLSKTV NALVATLQKLEAFLKTDEARDMTLADDSALTVAISACQTRVLELSRKLRSQSPAGSSD NTPEKSVSGSIKSALSRFRWPFDKKQCQELISELHAMQSTFEFCLVMKNCQQMSKSHK EVISHFKIQGDTLSQMAASFPEQSAQLECMLEKLCVIASCISDSAQRIDRIQIGLTQL EEMKKELSEYHADKLHGQALEWLSPIDPSSRHEEIKAKRLLGTCGWILRDTGFQQWLQ PSQTDNCAKAICWVGDPGQGKTFTM
SAPIO_CDS7946	MSVPRHVLMKAMAGPSGRWTLQMKVDMALERYNISPAEGKLIIG PAVWPDGESSNADFKMWGFQTEWLEPIHDPPIVDPQNKFAFIESGLWYSVLMGRIDVL LHQSGMQYAELLNVMQFPKLLGVGQLAISAHPDAAPDTCKLNELQLDGATASSLVIMC RFMRLARKMTDWSILELGCALTAFDENFVWTPNNVRTALVDLSGIDDIADLLGLDRGD VFPFYGNIGAVAYVDYLQDMSVDARQRARSFYAKRFCDRTVVDDHLNPPIFNDDPDTL NGHISDSAVALAMGLEIAPTEVLLLAEFLGLDILSLQSLSSLYRHSVLAKGLGLEIVD YTELVSITWAGSPESIFQSPEATLDYVRQVQRSLSAGFSVEELDFICRHKFRPESGFC SSDDDLVVFFSDLRTELQKIVTSNTTNSSTPDKYPDLLRSKLALAVPDSAIVSQVLSI LQNTCSTTVSVAGGIPDDFTTAATASPAGLFRNMALDALTSTISYTGAMEDDQLQGLL GLDAVKASSALQAALVQIFEDPRRFLTRQLRFLSRPTFKVQGECQVAVAAIATSLPKS LASRLFFDPASNTLNFAGVMTDAELQQLLAASPAGDTAFEAGIRALYNAAEAAQVPSD AELFMDSAEIGLLFTRLQDETDQQLVDRRIGQVITRLLPKLSQMLSFKLLVQKLSEYL SCEPSIMADLVQKWCHYGGKHFGPIMLNAAFVESNTKVSIARSEYPQMFEAVILLQKT LLVLNKLNLLSRVQCAWLYEFGSTAEGRAQGWLDLNSLPVVSTPSTAATTAVTFSGLL ALLDLVSLQDMLPSGQTYLDRIYGQTSLPESDAVVLLGDLCAAKKWTTRDAEYFLGPL GWNTPLPVALRKVDTFLALLAAMRQVSRLGCHAEEAWGYAISQQTMKQALSSVQTAKS HCDQETWHVVAPGLRDTLREKQRAALVSYLLAHPSRKILPAWHGTYDLYSHYLVDCEM SPVQMTTRILQATNVVQLFGQRCLLSLEPNVVADAPEWQQWSSIKAFRVAGAARRVFV QPENYLESPLRDDKTPFFKEFEQEMQQGGIAKELAEDAYLHYLQKMQTVARLEVLSMY RHRGGTIEESGDVGVVAEDTIFVFARTRSSPPSYFYRRLLDEVQWTAWQPVDIGITGD HLIPVFWHGRLMLFWAIFTDRPGTGKLAVPNLAGGSSTNMEVSMSDTESWWDIKLAWS TLKDGRWLPKCQSESSIPVWKNTSRCTTFNKPAGGSQRGRSLITFRVHGGEDDSSLQI DCLQSQNISVGYKLGTFTFNSVKGSPFVTYPSRERPILSLGIPIAADPRKRIAPLPGT RLRNMGFGEEDDDAAFEEEDDEADDKTDHSLVLFGENFASDSGMSPIPKQFKVLDDTP GLFNIIYPHQTLQFNVGQPFFFQHQDRSFFVKADMVKVQRELEVRLPDPNPANIPSIP PIKLPVTKVPLLPIPGDKRPEILEVRNPFPDISNPATDQGRPSTLRIRSLISNSGLDA TLLRRIPESPLIVRRSAMTPRKISITGGMKIPSLGSTLHIYRRDFPDEARFRFRTFYH PYIDDFMDKLSKTGLDGLLDRDNQNREEIDLFAEKNLYGPDDAYIATDGGHPLEDVDF STSGPMSVYNWELFFYAPLLIAERLSQNQQFEEAQKWFHYIFNPLDASSFEAPGRFWR TRPFFKMQSEAYRNASVDMLLRILASRGSAYDQLDSDKKKIHDDLVINVDRWRRNPFN PFLIARTRPTAFQRAVVMKYLDNLIAWGNQLFSQDMVESITEATQIYMLAAEILGERP AEIPARAVPTVQTYNSIRASLDAFSNALVTIEEFIPVSPAVAPSIVSTANNPQSTMTP TMLYFSVPRNEKLLSYWDTVSDALFKIRHGMNIQGVTRQLALYQPPINPMLLVQAAAS AAASGGTMDLGSILGDLNAPLPYYRYSTMVARAMDLCNDLKAFGAALLAALEKRDAGA LELLRAVHEREVLDTVRAVKQGAIDEAVASLTALQQGRQVIETRLQHYRGLKFMNASE QSHQGLEIQALNLQNTEMMLFPYAALIALVPQFKIGAPTTIGADLGGQAFSMMESTLA KVISSMISITRAQSAMVATMASYERRWEDWTLQRELAEQELIQHDRNVDAAIQRVETA KKDLEVHARQTEHAQRIHSFLLSKTTSTALYDWMIGQTSATYFQTYQMAFETARKAER AFHFELGDEDASSVSTPFIRFGYWDGLKKGLLAGEKLAADIRRMDLAYLDRNHRQLEL TKSVSLAQLDPVALIQLKETGECSFSIPEAIFNLDYPGHYFRRIKSVSVTAPCVAGAY TTVAVSLTLLNSTTRITPATGKTYVRQGAADIRFSDVYGCGAGGSTIATSSAQNDTGV FDPSGADGRYMPFEGAGAVSSWRVQLPPPEIAPFNLQWLADVVVTLRYTARSGGDTLR AAAVDSLKKTALRAFPVAQGQSGLARAFSIRHEFPDAWSCLRRGDGSSNSTSMLNLSL ERFPFIVSAAEKVVFRRVVVFARVANSLGGDEGVGVTLAFGDDAIELQNGPFPDVKAG QTVMFAGFSPRNKGPGMYKFGLSRGDDGEPVGEDEVFDLVIVVYYEAKWKQ
SAPIO_CDS7948	MPEKTPTNTKPKQNQPDVAATTHQSWSVIAQSELHAAGSTGEST GGFPSARAQLEETSPALPAITLPKGGGALRSMGEKFAVNPSLGSASLTVPISTTPSRS PSATPSISLTYSTGAGNSAFGLGWDISINAAHVTRKTDKGVPRYGDDDVFVLSGTEDL VRRARTDALGKVLVDSGGNLVFEDKTRPGWRVRQYVPRVEGAFSRVERWMNVDNADDC WWRTLSRDGTISVYGLTDEAKIFDDGSDGDVGGTRKRIFSWLLQETYDTKGNVTWYSY KKEDSANVPLDHPCESNRTTSSRARNRYLESIKYGNQMPNQVPGAETGRLRAASIPAD GWMFEVVFDYGEHDITSPLPDDATLAWESREDSFSSYRAGFEIRTYRLCRRILMFHHF PTEGFASGSLSTLVSATELSYQEDDVATKLVKVAHIGFAPLRGQSGTGSLASSRPVLE GIPSDSSFYRWLGLDGEGLPGILTELAPGGAWVYKRNESAANKDENDQLVPHFTPGET VSCKPSTSMAEVTFTDVAGEGSLDVVRCSPNDEAWGFWARTTPSSGDTASGWDNFTPF PAFPHIDSQSPRCHFMDLTGDGLADILLADEPSIFTWCPSLGISGYGEWQTVLACPDA DKGPRLVLATPEESIYLADMSGDGLIDIARIRSGSVSYWPNMGYGVFGAEVTMGNSPF LESSSGFGAMPKETRVVLADIDGSGTTDLIYITGDGIANCYFNEAGNAFTNAVFLGSF PTGYDKTSMRATAVDLLGNGTSCLVWTSCLPGDSSPRMRYLDLMGGVKPHLLIGVRNG MGAETVIQYAASTSFYLKDKQAGRSWTTRLPTPVQCVESVTQYDHVAKTRLITTYCYH DGYFDGVEREFRGFAMVETRDTESFVVLSGGSWVNEDLATAVSPKVTKTWYHTGARSS LQNLSGTLHLDESRLSRLSPTSKSVSMSPQLTREAYRSFKGHVLRSEIYGADDTPHAE VPYVVEEHSFTVHILQPISPSATQHAIFLVTDRESISTRIERVDVEDPRVLQKLVLEV DAFGNPTKVATVAYGRAKGRTEPTDEAAAAQETSITMYEETSWTNWVDEDDAWLVPKP AAHTTWQVAGVVKPLAEGFHNLKLDASEDIIEELRHIGDIPTVPFGTGMSGETNAKAR MLVEKRRKVYRSNDLLNLLPLGTLESLALDGQSYQLCFPADLVTEIYSGASPPAKAFI DPPASILGGISERQGGYVDLDGDGNWWVPSSRHFFHPTVSAPADIELDEARSHFFLPC RFHTAFSEGYSIVDYDVYSLRPVSTTDEVGNTTQATIDYRTLQPYVMQDPNGNRSELA FDELGHVACIAIGGKPDLVEGDALDHTTFRPFLDKQAIETFSAGPVSAASSLLDTATQ RFLYDYEAAPAFSASIQREFHGQLGPGRLRLEISYMDGHGRVVQTKERVEDGTLTPDS AAAVSPRWRSLGWTVFNNKGKPVREFEPFFDDTHAFRFEHRVGVSPIIFYDPLDRIAT VWFPDRTWTKTVYGAWHVKSWDRSDTVNVEDPTTDTDVGPYLARLPRADIVPTWGSRL LEGLRGANGKTAALKAGYHAGTPSTAHLDVLGRSFCTVALNRTIYGDGTSPPGGVTLQ QVRTTSMLDVLGNVSRLLDAKGSVVSQSRFSMVGDLVHHATAEQGERWFLVDVMRKPL YQWDSRSGQLRWVYDALRRFVGQCLTDAPGARELLVEKQEYGEKLTDGTDGRRRNTRG RPLRLFDQSGVVVTDEYDFKGNGIASSRQIAAVYDKALDHGGDGTLAQMDPDLPLFES HSEYDAVNRLRHVTGPDSTTVVYGYNDGGLVNSITAHVRGEAVATTVVSSILYNARRK RERVDYGNKVSTTYSYDPETFQLVSVTTERDWSSFPTRYLLQSLEYFYDPMGNVTCVT DKSQQTIFFRNTVVEPKWEYTRQPTVMEAARGRCAAGEFHTRIDHPGDGNAVAKYLER YTYDTVGNLMALAHSGSDANHPGWTRRYEYAQPNLLDPSFMSNMLTATYIGSTREEYG YDERGNMTSMPAVRELQWDWKDQLRSSCRSQTTAAERTWYSYDSGGQRVRKVSVNQVS PSSPTSTGTMKSQTIYLSQGYEINLRYAGDGRTVSEAVETLRISDLPSSTAAPSAVII DTRLTPSPPPLSSSPSPTPSSSVAMLLPTDQVLRYQILNTQQSSSAMELLPSAEILTY EEYFPFGATSYLATSTVNTPWCPPKRYHFLQRERDAETGLSYHGARYLVPWLARWTSA DPLGLADGTNLYAYARNNPVVLGDAMGTQAVPMQKEEDGTPMLPEKPSIEHEKPPTES RFKLATFPIGEDKLNWMTVGFRYKQETTFAPGQNWKFNEGIEASMRFTLTKHSSRNPL NFELVGGISTEKGASISAVFTIGQPADVSDSTVRDTSLWKSNPGGLSTALDWPVSHDI PFDLVPKAVDTSIISIIEGGTGKSISDRPVVQSPERTPVMAVPPPYNPDTYYKRVWQQ RLY
SAPIO_CDS7949	MVKYSGKFLLAFLAPSQAVAIPAPWLRARVVTSQAELKDSYDYI VIGGGTAGLTIADRLSESCKHDVLVIENGDFYDAANPGANRGTRQYSIRSVPQSGLNN RTTIVSMGFCVGGSSAVNGMAVMRGTKTDYNIWAELGNEGSTWGWDGMLPYFKKAIHF VPPDEVFAEDFNITYDIEAAWGQDENTHVYASFPGGNDPRIKIHYEALKSVPGVDFPR DGHAGSQGVFWYPVSVDPETHQRSYSRTGHWDGLNRPNYDLLTASRVNKILFEEGVAT GVQFIPREGGEEPTVITANREVILSAGSIHTPQVLQLSGIGPAEHLEAAGIAVQMDLP GVGANFQDHPIGPGISFTWGETPLTPEIKSNLTGGVGGGQGLVAFLDLPIAAPDEFEE IASQYESLNLAEYVSPDTAETVLAGYRAQQEIYAREMRQRGLSFMNYIIGGGASGSPI NLHITSRGTIRLNTSDPEGDPVVDYRALSNPTDVDLMAAYLKFLRRFFTTGYLEQYNA TEIRPGADIESREDFEEYIRGAYNPQGWHPVGTAAKMRRELGGVVDDELRVYGVKGLR VADASIMPTLISGTTQLTAYAIGEKAADLIKATWENEEDGVCPELEVEPITDGSEDEN AEGDGGGEEEGEDEDGTGQGSGGDEDEEEVGDEEEEEGEEGEEGEEEAIVDDDDNDDD EGGVEDGTGNEGED
SAPIO_CDS7950	MPTEPPQEPRQETPQETPREETPPDSDGGSNSSSTRDKLKSLWQ EGLSRGKVELVKGKSKVEKSIEEALGLGHKPNVVPPGEPNIRGEHRTVEIGWHPVAGG AGKWFAEQTGLGKLITEKINKYPDPTQHWAVLVGGYSHELWMDERLDVIYINGVVNRE EWRTFEVGKTRFNDEALRQVGEMVIYNMRRIKAAYNLISNNCQNFAVAMLDAIHVGAH RQFATTFAIYQTATGSGSIKDLFADEPPEDEEEEGLEGQPS
SAPIO_CDS7951	MDLNPLNYHELGPKLLGDMFRILHLNRALHLHPGTDHDLVECDL THTSLEGRPSYAALSYCWDVPQPQHIIICNGKKLPIRHNLHAALLHRRQSDQTITLWA DAICINQDDLEERGRQVGLMKDIFLAAAEVIVWLGEETDDSDIGMQAAQDLADAGREY LKHRETLENLPPGDSLVVSTFGPFKHRSQWARFNAFSKIIDRNWFSRTWVVQEAAVAA KITIHCGKATMTWEDFTNAAVLQNQLDLYTSHHDRNGPPLMIIQTRDDFQNGVERDLL AITYRHRLFDATDPRDKIYGVAGLASCSLARSLMSHVDYTIEPFVLYRQVATEMLKRG TDLNVLSVPRGLDIDHPEGLPSWTPDWRVIRQSPAVGLKNQDDIYEIRYDASGGSKPA VQFDETGLLLGLECCWVDSVDTVGKTMVVDDIPHGYPGVLRLPKSAYMLDEWRTVTRA TDRIDYPSSEPILDAFIQTLVGGPTHLDMPFMRQQYNILDRQARLIRGTIIFLFAEPA SPEQPPRKRRGTGPSQFLELDDGLGTVEEEQAVKPKKTLSFYMSITMLALVAFVVSWE ATTLAVAIPTITRKLHGTTLESFWASIAFMLGVVVTQPIYSSVSDVLGRKFPLYAATV VFSVGSIVFALANNMSILILGRVLQGLGGGGLDVLQAVILSDITTLKERPLYIGFMSL AIAVGSVTGPAVGAAFTDYVEWRWIGWVNLPFTGIVLLLIFFFLHLQPLDMTLAAKIR CLDWGGMLLFATGTSFFALSLSWADALYPWASWKTLTPLIVGALLLVTLISYEARPVE PVFPPRIFSGITLGTALVSGFFNGMIMYSLILYLPLFFQAIFLRAPLRAALSALPVCL VSVGFSFVSSIVMELTRRYRLQLCFGWVLTAVFLGLWSRVGENTSWAENYSLQAFLGV GIGTVFTTTAIQLQAGVERVDDMGIAAGLLVVFRLFGALIGQSIGAAVFSSVFQRSLA AKFAELPEALEVLRDPTRAIGLIPSLGDLNLLDGDMRKLIKQKVIVF
SAPIO_CDS7952	MQLLATLAGFAALATVGNCQLTKVNDFKGTPTNLGMYSYVPKNL KTPAPILVAVHHCQGSAQGYSTETRYMPLADQHGFIIIYPNSKSGGGCFDVASTASLT HDGGGDSQTIVNMVKHAVENFGGDPERVFTVGTSSGAMMTNVLVGAYPDVFKAGSVYS GVPDGCFFVQGATATQDPPGWANSCANGQLTKTAEEWGDMVRSYYPNYNGTRPRMQIW HGTADNTLRYPNYQEQLKQWSNVFELTEKTDTANSPQSGYTMTIYGEGTATTAQLVGY SAQGVGHSVPQHETMDIAFFGIGS
SAPIO_CDS7954	MSSLAATAAAFFFWLRPETEQSTFSASANGAATRVSKRQTKTDR SPRTEYPDINTLRNAIPAHCFQPSLWISCAYLVRDIAFISALAYAAITYIPQVENSYL HAAAWTVYGFVQGLACTGLWILAHECGHGAFSLHTRVNNVIGWAAHSFLLVPYYSWKF SHARHHRFTGHMEKDMAFVPRTEEQHRNRRFLGIFKVDSDLFEDAPIVSLIRLLSHQL FGWQAYLFFNVTSGVDSLQREPSGWWPQSHFDPTSAIFRPSERLYVFITDIGILITAA MIYYGTTIFGAWNMFLLYGVPYFWVHHWLVAITYLHHTHPDLPHFDAQNWTFVKGALA TIDRDFGFIGRNLFHGIIETHVVHHLFSKIPFYYADEATEAIKPILGDLYHSDHTFVD KLWPTFTECKYVVPDSAATGEMHWAHMVKNE
SAPIO_CDS7955	MAATDAFTLEATPDTDIWRKPPAKDIFTAPISRTSSGLLKKFKS ARVTFWADWTESYDQAGLLLVPKRVHSLTHPPEKWLKAGLELYDGKPHLSAVGCDRYA DWHLSPLTTPINPSKGLTIEISRAGNNESGKSFWIYQLILDEQGTVKERIPMRQVCWI LADEDERDGEEWVLDRDGSGQPRWIAITTPQIRLIDMWGDETWLTSPKTLLEDASQSN GVRNVSRVHLSVTSPIWDGIMDCDAWDHGVQTEHYLGNPEDGLIYDDCTPRAGFEADR YWLQVATLGMTVGTMTGSAFHGFVFNETFTCDEDPSSIITVERHQEWLPTNGRFLGWR CNDEEEGTHETAIVGHCEFILTNATAWPSVFIDHSHLIPPPPDRRCYSQSMGEIAWEI SDFELTTALYSTTVINFFASLVYVADYGPPPYAFLRFKMVNLATGYVSKPECMATDPS LQPNHDENIPGGYDKWFSCNDTAAREYDVFPTSFKYNSMTGELNIQQEWECERDDDPE SLAKFLAVGTVELPLNECRVELVCNLNSDGCGLPETLSSISRNVERTGIICEARENQF IVQGKLLESAPVNDSVPVDLEPATDFEECLEAEVQGEIEWQLENIQYLSHTVYVTDLF LQLTGLSSDTTTDHQLTFTNTVINSAYNFSEWCYILEEESAEDNSWKNSAFNISLLDW NVCNDQSVYSSGVYPLETRAKFDNQTYTLWIQQTYYCLDKSTGKRVEKFTAAGERVLD EMVCEQKLVDENNPESAVLYSSCSLKNATMAGALIRGGKTAENQERT
SAPIO_CDS7956	MPTVCLKGIDNHLVTVPTGLFIDNEFVPATRNATLDTENPATGS LLASVSAAQKEDIDLAVQSAKRAYRTWRAESPGTRRNLLNKLADLVERDATDLASLEA LDAGILYRDSIGLHIHQALENLRYFAGWADKVDGLSLTIPEGMAYTRREPIVGSVYKI SFLSHLLTVLELRIYWLTHPDIYSMITVWKLAPALAGGNTLIIKTPEASPLYGQKLAQ LIAEAGFPPGVVSIVCGLGTVAGKAISEHPDIRKVSFTGSAGAGRQVLAASARTNLKR VTLELGGKGPAIVFDDADWENALLWTTLGITVNNGQVCIAGSRIYVQSTIYQRFAEAF SARSRDAVHGDPLLPETTKGPLINKMQREKVLSFVQKGQASGAKLLHGGGGEENFVAN TAFLDVRQDADIMQQEIFGPVACIAPFETEEEVIEKANDSAYGLSAAVFTNDLNKAFR VTEAMETGQVTVNVWGALNANTPFGGMKESGFGRDMGKEALDEWTVVKCVKWQIVRK
SAPIO_CDS7957	MDDGKGQTTNRGTKRPAGPGAGEGGKRRAPYAIRACDVCRRRKG KCNGRHPCGHCSDRSLTCSYTSGTSDSDWRASVTIPIAPPSELLASATPMASTANITT TAEQRPERASMAPPPSSLWNPDAMPLNRGRQSGDLNQLIMNLQDQLNNLVARVRQTSV GSGSAVSQRSLPNQGEESSADALSSVLPLQGEVTIPPLPPQSQSQAYEHSRRRTSRPP SAETSTSTPPSRSALDTAGGTINTTEPSSSSKSVTHRFYGPTSPDYSLNVAQMSMYKA SFASAEPNEGSKLPSIDDDQSDSEDGMSPVVAGGPNNRDHRGEGAMSAANGLSTGGPG KQLLLQQLLHFKTILPMREAVRLLFVYQEVIGDLHPVFDIENLVDQVEGWYRAVDSAI DECSLIIANLALCTAICADSASTPEMELTIYSSCRDIVKSIITSPTITVNHAVITLLM QAKTPYLKAMISFILISDKFSEPSTRVAKGGSYEDDDDFNIMNFQIEQWRQKAVSTFN FSQPTAWQAAPSTRPPWWTIVLYLRANSVRGLLLRPFFFPSRSSSASSSSSSNSTVSS GSANSNAAEAGKRNISPGLELVSDTINMLSTLDRTTDLYRRQHPHFQHLLASACALLF LIVAFVEQNRVGLTTSLPEDFAGVVKRNFRKAFSLAAAYSNSSRASRRLWNRLLMLAE PLSRLGILPQDEMTIMENDIASRTVVAPIPDRSFPPSSVYFSSLPAVAPLSINPLGGV GNLRLNGGKGPGKSSGGPAGVATHAVEESPLQATCQPLDIMESIGLAADNTAVSSTAW TGTTLHDWPWTEVNNFFSDRGP
SAPIO_CDS7959	MASSSRPNPNPLSRAYTKQSSPSSAEDFHAAADAQLDFRTFVDV LRRDDDLAEIDVEVDPHLEVGAIVRRVSEFNDKAPLFNNVKGAKKGGLWRMFGNAASL RNHDAEKYGRVARNLGLPANASWKAISDRFRNIKQATPLPPNILPTGLCKQNKLVGDQ IDLDSLPAPLLHEGDGGKYLQTYGIHILQTPDGSWTNWSIFRGMVYDRNHLVCLVGPG QHNSIIRQKWLDAGKTEIPWALALGVPPAASIVAAMPVPEGVSESEYVGAVVGKPLDL VRCELSDLLVPANSEIVLEGVFSLTQTAPEGPFGDYLGLVFDNDQRMSPLFRVDAITY RDDAILPVSVPGRITDESHTTAALAASELLTLCHDHGFPIKEACASLETMATWCALQV DGEALRRLGTNSRDFCRQLGEVVFRDKSCMLINRILLVGEDVDVYNFRDVMWAFVTRC RPGIGDHLFEDLPGFYLTPYMSHGPGSDPRKGGKLISDCLLPMEYAGERTFRKVDFET SYPAHVKDKIKAAWRDMGFTS
SAPIO_CDS7960	MEALAHGAHLKGVKFPRVITSPHEMVAMCMADGFARVTGQPQCV LVHVDVGTQMLGCAMHNASVGRCPVIVFAGLSPFTLDGEVRGSRTEYIHWLQDAPDQK QIVSQFCRYTAEFKTGRNIKQMVNRAIQFATSDPKGPVYLVGAREVMEEDIPPYSLDQ SVWESISPVALPPQGVETIVSVLAAAEQPLIITGYCGRNHATVPELVKLAENIPGVGV LDVLGSDVCFPYSHRASLGVRVGADPSIETADVILVLDCDVTWIPTRCRPRPDAQIMH IDVDPLKQNMPLYYIPASRRYRADAETALRQLNKYITGHPEYRDLVSREPYASRWAAL ADAHRKRLEEYALRAMPPADDAEPPSTSLLCANLRKTCPQDTIYCVEAVTNAPFIYDQ LQVDEPGHLLNCGAGGLGWSGGGTLGVKLATDWLAGGTNKGHFVCEIVGDGTFVFGAP SAAYWIAGRYGLATLTVVLNNKVHPTGYASKLDNKDLNISFDPSPDYAGIAKAAAGGN AWAATVSSVGELAKLLPEAVAQVRKGIPAILDVRLQRSWVEGESVAGRNRLNGHGA
SAPIO_CDS7961	MKGYDYNICLSIFYVSYIIFEVPLTAVCKWIGPGWFIPACCFGF GVTTICTAFVQDFGALCGVRFLLGLFESAMLPANAYYLSRWYRRSELTFRLSLFIMAA SLSGAFGGLLASAILRLSNFGSIRSWKMIFAIEGIATAVIGFLAFFAMTDRPETAIFL SREEKELAIERIKSERIGTTELIDSFNKAKFLRGVLNPVGLATSVIFLLDTITVHGIS FFLPTIVQTIFPGRSVVSQQLLTVPPYAVGTVMCVAISFLSWKFDNRGAFLIFCAPFG VIGYAMFLATSNSRVRYGATFLPVCGIYAYGALTNSHVSANVVSDTARSSAIATNVFF SNLGGLISTWAFLPTDAPLFRIGNGLNLAAQSSIFAIAVAMYLWILADNKKRERRNAE EELTGLTVEQVQDLDWKHPGFRWHN
SAPIO_CDS7962	MAALPHPLSALSIEETNIARDVVVESHPGSLLTFRQIFLREPPK TEVVAFLEVEHSGGLAAETPRPQRLSQVLYDVVGPSKVPEYHESVVDLRKNKVVHHEV VSSKFQAGLTMEEFEDLINACKKSLLFQAKLAELQLPEGFDIVIEPWPYGAADPEDGE TRFFQGLIFAQDTRNGNPDSNFYPYPLPLIPIMDARKKEIVRIDEPATGGKGDGLREK THDKGIIDHCKASEYVPELLPGGPRTDLKPLAVVQPDGPSFTVEDGNLVKWQKWSMRL TFNPREGAVLHDVRFDDRNVFYRLSMSEMYFNGVLTNAQGTAYELGNAVCMHEQDDGV GWKHLNWRTNRSVVARRRELVIQFSITLANYDYIFAFKFDQAAGVTVEARATGIVSVV NIDPGKATDYGTIVSPGALAQNHQHLFCVRVDAAIDGHANRVVQEESLPVQMDSVSNP KGNLYEVRKTPIITSIGLDARPDRNRVFKIQNQSKINPVTGNPVAYKIVPPPSQLLLA SPKSTQFRRASFASHHFWVTKYRDDELYAAGPRPLQARLEVGGITDAAARDERVLDED IVIWSVFGLTHNPRSEDWPVMYVAPFSSPYSVSPPFPPSQSPFSNFLS
SAPIO_CDS7963	MSAARYTTTPNYSAKILVKGTPEYERCRTNNPSADTPARYPREI HVVKSAEDVSAALRRAAELGVCVGVRSSGHIMNVPSLIDDGILIDTVELNRGLDYEPQ SKVISFGPSVRVEEVAEGLAKIGRFFPHGHAPTVGAGGFLLAGGQGWFVRGWGATNQT WIVKMEIVVPDGRIVIASPTENRDLWWAARGSGLAFFGVVTRFWCRTIATSLMWERTF KFEINTDNYETLMTWAIESGRSTPKYGTDLNLTIDYPEKYDPRFTTDDVPPSRKLHMS LNLLCYTDTRREAVTLLSAYDKMPKNVHDWLLEMKPVQRRTFEEVFARKRGFLGNSKN ERWQINSIMNDPAVPLSRLLEGIKPAMLELPTRTSSVFLCHCDIVPDEEDAALSLPQD LYISTITGWTDPSLEPAIYQPMRDRYRRAFPVAVGMYITEIDVNNDDANTKVLSDTAL AKFLQIREKWDPKGLFPNYKAIVRVHDKINKLQRKSLL
SAPIO_CDS7964	MYSYVPSRAGIYLVICHRFPFAGGQYRIVAELSPPRFRTVLSWY SAWTTVFGWLAFNGTAPFLCGTLIQGLIVLNNPDYTPERWQGTCLFWAILAVAFVINI YRGDLLSKLESLAMILHLIFFLIVFIVVLALSPDRHSSAFVFTKFQNSTGYNSDGIAW SLGMLTSAYVMVGFDSAMHVAEETKNPRKDVPWAMVGCLLVNGSMGFAMLIAVLYGMG DLDTAINTPIGFPIVEMFLHISRGSKAAATAMTCTIVISAWFAAVGMLASSSRALYAF ARDGGTPFAWWLSRLHPQRRVPTNAVICVCSLLTLLALLNIASTTAFNAILSLAVVGL YLSYLISVSIILFRRFWNPQTLQYGPWRLPKSVGMTVNVFSMIYLAYTGIFLLFPPLQ PVTADNMNYACLILGAALLFSTFNWFLWGRKHFKGPSDLATSD
SAPIO_CDS7965	MAKKVSPSELSRHNFPEDLWIVVDGTVYDMTDFAPRHPGGAEGA SRINLSKTILNPAPLPPLSTSLFMISYDQTNTYHTNSYPVSWFDPGVIVIYRYAGHDA SAEYNEVHSPSLIKKSLETKYHIGNLATPLVTGEELREAPPTTPSPHSFSNASPPITV STGHSSMPGRPALDAIINLDDFEQVASRTLWAKAWAFISGAANDNITRDANRTFLQRI WLRPAVMRGVGSVTTRTRLFGCSISAPIYIAPTGAARTAGSEGELALARAAAATGIVQ CFSTPSSYPHDEILEVTPKHAFFQLYVDKEREKSVEAVRRVLASGKVKAIFVTADLPV MSKREADERVKASEADRAKAFRTSSSVGIQRDKKGAGLARQLSSFIDPTLSWEDISWL RSIAGNVPIVIKGVQRAADAEIALRIGCNGIVVSNHGGRAADTAPPAILTLLELHKVC PQVFGAMEVLVDGGFRRGSDVVKAICLGASAVGIGRPFLYALGYGQEGVEHAIEILKD EIETATALCGMTDLMRDASPEYVNTTDLDHLVPSRDHLYVRKADNTVKSRL
SAPIO_CDS7966	MSTQTILRQASSKLAVDAAVAWNIPVPLIINGRDVTTDKTFPVI GPLTNKKIWSAAAVSDRHVAEAARAAETAFPAWSTTKPSFRRDIFLRAADVINKRRAE LGEYMHHEIGANQDYQDFILGLAIEGLKDTAGRIAGAVQGEVPESIHDGMRAIAHRRP YGVVLGIAPWNAPYHLGLRSVTYALAAGNTTILKGSELSPRCYWAIADVFREAGLPDG CLNLIFHQPADAAAVTESIIAHPAVKKINFTGSTKVGSIIAASAGRHLKPVLMELGGK ASAVVLKDADLEKAALHCTRGAFLNAGQICMSTERIIVHSSIAEDFKVALSAAIERTF ESDSDIPTLVTAAAASRNHGLVADAISKGAKAIPLFGGRSVEAVETHMRPVVLTGVDK SMDLYASESFGPSVSFFTFETEAEALALANDTEYGLAASVFTEDLRAGFRISEALQSG AVHINSMTVHDEFALPHGGVKKSGFGRFNGYQGLEEFLYWKTVTWME
SAPIO_CDS7972	MLASSRLTGVAARNGLKQHYRLISSMASQTRFPHFLISSPRYPP VRHALLLNSSRSALPPTVTRSGVTQFRTVVVESMTGAIVTAAKLHGAGFATIGMAGAG VGIGKVYAALITGTARNPAVRGQLFTYAILGFALSEATGLFALMVAFLILYAY
SAPIO_CDS7973	MRQIKVDSPLSDAEAALHGAGDIAIVGYSFKLPQDVDDDSSFQG GHFINEDLGGFDAPFFSVTTKEAASMDPMQRWTLETSYRAFENAGIPIENLRGTRTAV FSASMLEDYARLTAMDPDNVERTAVTGGTVPCVIPNRISWFFDLHGPSIHINTACSSS LSAVDMACKTLRSGDASCALVTGSNMLLDPAVFHMLANQNFLSPDSVCYSFDHRANGY ARGEGVIALVLKPISAAIRDCDMIRAVIRSTDSNQDGHTPALTQPSPYAQEELIRHVY QQANLSPERTRTGTPVGDPIEMEALGRVFREYRSPEEPLYVGSIKANIGHLEGASALA SLIKSIFEFKGINHPVNGNRVAPKATNNLLDLPKLLVWTAASEKAAKRMVQDYKDFYK NQVSSNPDKLNQLGFTLTSRRSQMLWRSFAVVKGQEMVPLGEELSPTKPIRSSADVEL AFVFTGQGAQYAGMGLDLIRYPVFATALQHIDDVYRSLGCEWKLLDELRRHENIDKPE YSQPLTTALQIALFTLLQSFGVIPSAVVGRSSGEIAAAPLNCTLSGPEPAIDAIKKQT DKDGTFAQKLKTGVAYHSPSMQSIANEYLSVLGSLEGAGSRDSNATASIPMVSSISGK VVHPAELRSARYWVENMVSPVQFASAVQVITQEPPSLQLVGITDLLEIGPRPALRRPV QDTIQKVSKDKREIRYLSALQRRRPAIQTMMELVGQLFCLGYAVSVSAVNQTQTHKPF PALLAGCPEYPFDRSRQYWAESRLSRDYRLRGKIQGETLGVRVSDWNPLRPRWRNFLC IESAPWIKHHKKISGTVLYPAAGMLVMAIEALRQIIPPNRIARGYLFRRADFKSPIVV QKAWEDRIEMQLHLESKDDGSSWFETTLFSYFRGRWTECFRATVQVDYQDSQLDSGER RLADDSMQSKYSRATELCQTPVDSGVFYSDAAEHGLQYGDWFQLLQHVHWDGKGLAVA KVDVSKVRYQTSGLVHPAALDQAFHLLRVSAGQKPACNVPVRLSNAWFASSGWQHPKT GSVRWWATSTSRSPDAYKANYGEKGGLYALADDGTVLCSIQQAFTTPVSRNTKEKQKD RKLLYSIEWKPQLSLLTPQQLARACRADRTFTRDETAIRTNHAKLCSVLDLISSRTLE HIRSTKVPGGLHRHVEWMRHHVRKLSPSERKEGETISDAGIETRLQEVEEVLPAWKVY TACARKLPQILSGEIDPLQVVFQSDLAKAFYADLFRNMCADGQLAAFLNLASHENPAL RILEVGAGTGGMTGHVVEIFQEREKRTGTLSFAEYTYTDISPVFFEQASSRWPELQAQ GRMTFKTLDLDRAIDEQGFEPGSYDLVVAASVLHATPYFEATIRNVRRALKTGGHMAL VEVVNPDDIATNFMAGLVPGWWVAREEWRPHSAAVPEHLWDKCLRANGFSGNDMVIRD YQSDVCHIMSIIVTTTSEEIKLPHESVLKLGHLVLVVDNEQSSEQLRLAKLLQGSLDA KISRPATICTFSLDQLENGLLNLGEDDLVICLAEVNGKPLLTNLSEEGFACLQYLTKQ APRLLWATATRVDDTEYPDYSLVQGFMRSIRAEQPDSRIVTIAIEGEPDNATCAEFIE SVVLAAFDSRSSKEVEYMLNGRIAVTTKSGHNTSAVAPQPHFMLNIQLTQHRLRVPLV KTPGLSFNLNVMRSNGTLCTYARAHEADIVKIPDALSFETATSIIIPGITAYNALVNV ARLREGDKVLIHSATSSSGQMAVWIAKFQGARVFATAESLEDRQLLTDMLKIPEDHVF SEATSFVQGVMHATEGYGVDVIFNSVPGEEALSASFECMAPGGHFVEIGRADTKLNVV MPTDMFARSAELQNSFRQLQRSINSGRVMITPRPGDLVPDRRAWTFGNNSSYLIVGGS GGLGRAIVSWMADRGAKHLIILSRSGATSKAATDMIDGLTARGVKIMSFRCDASSETS LSDVLNECACTMPPIKGCINAAMDLQDAIFQGNMTYTQWNLTLHSKVRTSKNLNRLLP QNLDFFILLASLAGVAGQMASSNYAGGCSFQDALAHHRVAHGQKCLSLDIGWMRNIGI IAETGAYQRQRQAADNMQPIEDTDLLALLTVCCDPTSSGLATPQAQSQVLFGLRTPAD ALVEGKPVPALLDRPLFAPFSYIVGGSGTPPQGTSVYDNSPTATALFRTSTDSGERSQ AVVRALAAKLARAMAISPGDVEPSKPLSSYGVDSLMAVELRNWIKRELGATLAIFEIM DRVPIANIAHLVSKRGLTRRG
SAPIO_CDS7974	MEVDLDGLPSLPQRFLSHLLTFVNSSNIDRCAAADNKLYFSLGW DVRLRDVIPTTYWILVFGVCYSFVLPFLKSAILIEWCRLFVTPGTKTKTLFFWGCMAV IFVQVGAGIAIIVALNFQCIPHQRIYDFTVPGKFWDLFKLQVASATIHLVSDIAIFML PQRIIWKLNMSWRKRLGVSVVFGLGFLACVSAAFRLAVTVAYGRATDAIFNLGPLVFW ASAEMTCGFFIVCVPCIPKILKDTGVMSKIKRGLGMKSTKANSSHNWNRYGSGPSNHS KAMKTTNDAYYELDEEGVPMKDIKGSESTEHLQNAAPTDGIVRTTRIAVTQNSPSVSD GGSNNMYTDPRGGWAR
SAPIO_CDS7977	MALSVELQAPNGRRYTQPTGLFIGNEFVPSSSGSSISTIDPATE EVITTVHAADANDVDKAVKVAHAALRHPSWSQLSATDRGQLMMRLADLIEANGKTYRD ALEGDVVEAVTTIRYYAGWSDKIFGQTISTTPLKFAYTIRHPIGVVGQIIPWNYPLAM AAWKLGPALACGNTVVIKAAEQTPLSILVLARLIKEAGFPPGVVNVINGLGAEAGSAL VRHPLIDKIAFTGSTTTAVQIGISAAKSLKNVTIEAGGKSPLLVFDDAELDQAVKWSH LGIFSNQGQICTATSRIFVHDAIYDEFVRRYLDTVKTVSKVGSQWDDDTYQGPQISKA QYERILRYIDVGKQEGAAIMTGGHPLSIGPKGKGFFIAPTVFTEVKPSMRVFREEIFG PVVVICRFKTEDEALALANDSTYGLGSAVFTRDLERAHRVADRLEAGMVWINSSQDSD PRVPFGGVKQSGIGRELGEAGLEAYSQIKAVHVNMGSKL
SAPIO_CDS7978	MLGRRTVANVPTVSEWQHAKIGEDLVFLVADSKYAVGVSCSQLP PGENSGEFTQDEWDDIQTMTRVRVVEGSCWPCKKRRIKCDLTRPVCHRCLRNGSTCDY NTRLIRWSTRPSVRVMPIIHQVSGFSQDGLSIAEKRALDYFRGRVWPLLQTLAEPCAP PLPVAITHRVVLLATCMLANSHRVLQDGKESRGSGFNVIRLECLAAIRSEVGDCCSGG STEPLLVLLFAVLLFYLHDGFMELNDDAASTLSHHQGVLAILEQLGGIEPVLLTSQQS LQMLLSEFVSADLTTALLQGTLPSYSPTVWEAIDQGAVWWERDPLGRYSLATVFREMS TMAFYLDSLKNSCCNLSMEAIRAFEENLRPIYAPITTPITDDGSDSGSETTLMRSLNG TTVEVVHAFSLIRIFQHTALVYLYRAICGLPLTHPLVQQHVQSCLDCILDIERPSKTL HCVIFPLYVVGAHAQLLTHRQAVLGLMDFIYENMRFACVRAIGQAVRAIWDMNSIDLS WAELFSVLSPNVLVL
SAPIO_CDS7980	MIIDTSSIAGYAIFREEPTDAVPDLSKSRSTHPLNQLSIEEIRA ASKIIREYAGSKPLKFNCLTLREPKKHEYVAFRDRRGPRPDRRAFAIILEKGTPNIAE VIVNLNQSVVEDWKVVQDVGPILTLEDLDVCERVARADPRVIEACREIGITDMSKVYI DAWAIGFDHRWGTERRLQQGLVYYRNSESDNQYAHPLDFTVILDTEKEEVLAVDIRRV NGERTAPSFEEHNYMPDYVGDSYEENRLKPIDIVQPEGVSFRMRGSELHWAGFKMHIG FNYREGIVISDVRLDDHHGQRVRKLFNRISLAEMVVPYGNPDHPHQRKHAHDEGEYGM GLMTNSLKLGCDCKGAIHYLDAIMCTSSGEPAVVQNAICIHEEDNGLVYKHTDYRDGT VISARDRKLIISQIITAANYDYAFYHIFTLDGTYKLEVKLTGMLNTYCLHPSETAAPY GTEVAPAITAHNHQHIFSLRVDPEIDGPNNSIVQNDAVLTDAPLGSAENLYGNGFYCK KTPLRTAKEAAANYCYETSRSWDIINPSSINPSTKKPVAYKILNNNCPTLLAKPGSML AKRAAFARKSLWVVPYEDYQLFPAGDYVCQSTGELGHPYNKTIADWVAQDGSVENTDI VCYIQFGLTHFPRTEDFPIMPAEPVSVMLRASNFFVKNPALWVPPTHIAVDKSSENAF AQKQQVCCGKSDVPSKL
SAPIO_CDS7981	MPPTVLSSTSVPEGLVELLAGHLPYSLPLLRRLQFTRFKGGLAP TSRIIVTPPDAFRQDGEKETIHFTAAFLDPATSPETQMWVYSTLEDGKVSDSDREVCA EQIVAVVEEARRIGNAYDGEMAYPGNLLVGTLHSAIQEVMKEKGIKFKSNSADLGSNK WLFRMEDLPSGEVDLADGLHWHTFVLLPSLTIKTRDKTPIAWAFLGVDGSLSSLYCEE AYRGRGFAKALSAKLFRADTGKYGDDGWCAADVSPDNTNSQALCKSLGGTLSWIQLEL 
SAPIO_CDS7982	MQSSWIARAPFFIGLFQALRLVSLGHAAPTAGTCPDLSSPECCY NAWYSWTSSKSVFEELTPSTYLTKTTSTAVPIPDPSLTTLCDGFPRLLGPDYSYSTFV TTFDEPSTTWVGNSYITPAPDCTINEADCTPYMLDYESSTSAYQTNNSNPYPFHPPCT TYSACPGEGEGMCKMIVNVETVYYWPVTMTGDFCGDRSTITNPEPTKSTVIAGTTYVS PSVYVIVSQLNPASYAARYRAKDCGHDLTNKVFSMHPTDVSTHWGPLKRNTETFRQLN LADLNTPIPATAYFWLTELPNCQADPETCKSTIRTDYQPYLSAATQSLRGLDPDELAY CDLHPSQHNMLGAPTWIPLEPTPDDWPTPTPTPTVGPQAGTNSPAETGTA
SAPIO_CDS7984	MPPSAASNPELEHGIPHVLPDSRPFTASDSDTAEPIEDEIHELA RRVTTLSVTGGGAILPKPTDDVLDPNSPDFDSKKWVKAFYDTQKRALGGIPPNSAGFA FRNLNVFGQKAVAEYQKTVAELVYGPMEILHRLFGSTVRQQRVDILQDLEGVMESGEM LCVLGPPGSGCSTFMKTIAGETYGFQVAEGSMINFQGLGRKDIDTTFKGEAIYTAEVD YHFPRLTVGETLYFAARARCPQNLADHKHEYAEHLRDVVMAMLGISHTKNTLVGDDFI RGVSGGERKRVSIAEAILSYSPWQCWDNSTRGLDSANAVEFCRTLRLQSKTFGSSVCV AIYQAPQEAYDLFDKVIVLYEGRQIFFGRTSDAKAYFEGLGFECPPQQTTPDFLTSMT SPSERIVKPGWKGKTPPRSPEEFARAWHESEHRRALIYDIDMFEERFPIGGSQSEKFQ VARTEQKSASLSAGSPFTLSLAGQFLLNLWRAYRLLIADPWFYVTLLLANLFESLVVS SIFYNMPPDSSSMFRRNLMIFYTLLINIWTAALEVLTLYSRRKIVEKHVRYALYHPSM EALASIAMDIPFKLVNAVCINVTLYFMCNLRREPGPFFFFYLLSFTITITMSLFFRFL ASVTKTVSQALAPCAIVTLGLMIYGGFVVPQNYLDDWIGWLRWINPLFYVQESLSLNE FVGREFPCVEFVPSGPGYSTDSVPSVGRVCSVEGSAAGQNFVDGSEHLRVMYGFINSH RWRNFGILIGITVFFLAIHLAAVELISSERSKGEILVFPRRVLKMRSSGSDDEEKRGS PADTRSHGQHVRNDEPQGIGRQTSVFHWQDVCYDVQIKNEKRTILDHVDGWVKPGTLT ALMGVSGAGKTTLLDVLASRVTMGVISGKMLVDGQPRDASFQRKTGYVTQQDLNLHTS TVREALQFSALLRQPARYSRREKLDYVNEVIKLVDLEDCADAVVGNLGEGLNVEQRKR LTIGVELAARPELLLFLDEPTSGLDSQTSWAVCDLMEKLTKNGQAILCTIHQPSAALF QRFDRLLLLAKGGRTVYFGEVGHDSSILLDYFARNGAPQFKRGTNPAEYMLDVVGATS NSKAGPKIDWPSVWRESPEYQDVRRELDQLSHVGKAGSPASEEAAAEFASPFTTQLGQ VTKRVFQQYWRTPSYLLSKFVLSAGSALFIGLSQVNGDVTQRGLFNQMLATYIFLFIF SQVVEQILPLFVSQRTLYEARERPAKAYSWMVFLAGNIIVELAWNSLMAVFCFLFWWF PMGLYRNAEWTDAVHSRGITAFLHLWVFFVFTSTFANALIAAIETEQVAGACLNFFFN VMFVFAGVLAGPDELPGFWIFMYRVNPFTYVVEGFLGTALANAPVTCKASELVQFEAP GGTSCGEYAAPYIRENGGYLVDDNTSSCSYCGTADTTSFLTSMNMDFDNRWRDFGFMW AFCVFNVAATIGLYWLVRVPKRRARE
SAPIO_CDS7985	MSLPGNRMLLSPPAAPALSPQDRIVNTRLERQGTVRSPPPEAST TTGPQSQGTTPDIARSQDGSGLGRAESSRPSRHVSSPASPGDLGFTGPEDSGVATPLD ITSILSHAVDQVQQHRKKHSFGTKAITLEYVNIPPECAEQLIDNYFTNINGKLLPAMV DRKLIDMIPYLLGTDHVHLDASMLLIYYCILWQGLFFKKAESPENLNKHYARQIFICC RRTIPVWQEEAVRTVTDFIAAMYMTQTATESFDFSLSWEMHKLACEYAQASQLHILDS LEPSAGQPSMSDDDRIGMWDLIQLDLFFRLINNKPAAFSSHLKDWRVNMPRLSIDVPR ERDDAVPTMAFLVRSRLTFILISYFQVSETLQDEADVLSAVNSLCEEVDKIFEEWKIE EWLESYKDDDVNAWVLGDLALSGYTSILFMLRKASFPGCNDRRPLLSDNDDMIPRNDL SIPSAMIHDPTAPTAREDLRLLQNVAECVEGLAREGTEFYPPARAFRLVNIEVERRVQ EAADAVRTRHAIVLR
SAPIO_CDS7987	MKYIARAPEKENHGLGNFNLIISSSGRCAIDILQAMFMHQCLGS QAASLLVRLDLESDYDRVPNRTSYYDKQHDRSSAPRLIDATGAYGVKRYVAKTIRVRF VYGRLARLAVGCRETALKLMSLVERLRSQWPGSKWGSFFSALMGVAKSDQITRLRDQL EDYRNKIALCLKVLQSEQQSAILWRVDALQDASVSLQAHLTDSIHGVRQELLTAIGHL RNELFRQHFRMIIDRQTKASDDDVFFRNMAQQDPIDVTPHIATLSKTAKLGRSMMVSL TLLRALWFNLMNFRHSKIKDAHMNTCRWMLNHQFSRWVLSHVPLFWVSGKPGSGKSTL MKFLVDNRQVSQLLKHWSRGVKVLTSSYFFWINGTELQKSQEGLLRSLLYDLIRQDPN LAQCAFPDRYQMLWSGYGLDDLAQWTRRDLFEALKRIVNHHNSPYICLMIDGLDEYNG DSDELIYLFRDLSRSPNIKLCISSRPWNVFESAFGAHPEFKLYLEDFNRQDIELYVKN NLVDRRDFQILRMRDPSADQLVLEITAIPSDLDDFFDHILKSIDETYRPQSARAFLIT LCAPRPLSVLNYWYLDLEEHERESLSRIPVKALTIPQLEARQNEMRRRLNGRCMGLLE VTKSEAIETFREWARVDVYTPRAEFDHFADSLPSCRVEFEPYRVMAITSLAELKSAPI EYGFLRERRRGPILEPVNDIFFAALQYEKERSQPLSRELGDLDHTVTKHAADHPTQQP CYPWGNWGSAGFVSHAIKWNVQLYIRHWMDRAQPSMGYIRTMLLGHAQQGRFAWNGEK MLDPDPEMIKMLEEWTPTKQSWNPLKRWFSSRRSKD
SAPIO_CDS7988	MKIAFAAVGLSMASLAAANALCELLCFTQVMNHPLAKSCQEPDM YYCFCRIPELAESYKSCACSLCPSSANNVILGGLELCEDLESPIDWLEPSCSA
SAPIO_CDS7989	MHQHERTLSSGLAQLRHHVTRRWNRRLTIAGVALFMTVVLWTYG GSALDISGLPPDDRLAHKDSTEKTSSYLPQPTAGAHAIENCRSDWRTQNPSADTGSQI PQKIWQISLSKQSAKDSVVDPEKLQDTASWLAMNTDYTYTLVGDKGGKEFVKSRFADE PKIVELYNSLPNVGMKSDLLRYLILYVEGGVYTDIDTIALKPIDTWVPLELRDQVRMV VGIEFDRRDGGPWADIPHWLQFCQWTIAAAPGHPVFRKMAARALESMNALSDEHGIPV RKLKPTSFEVMNSTGPAAWTDVVFEQLQEYDPTLNTTKDLSFMTESKLYGDILVLTID GFGMGQAHSESTNDGSIPEVALAKHLFRGSWRHDN
SAPIO_CDS7990	MHATSKKLTSNRSVTDNVFSFMCRNNEYGCHATATPGVVWTGVI TDIGLETPTEQPVTRKPSTDEGIEAWGIKFILVAAESTTDVSQGTPSKTGDAQPEQLS GQPEQTSGNGGLSAGAKAGIAVGVVLGVALLALGAFLVFRRRKRRDDNTTSEIQPNVD PPPIVEAYGVTDKHELAAPIPPRQLDTPAQRPIWELQG
SAPIO_CDS7991	MGNGEPIVAYIDDQLIECDRKNLLQPPSFPNPPLWGIARKRLKA VTPDCPLQDPYILGIMIALGQEKLLARRKAIAKQQGRSQGCQVSLKDLEVTPQVLFTS RSDTDNVYLYRAQISYHTLQMFRYPKQAPPSTTPPIEIEANKIPLRPFCTLNARLCAS IAPGVTLTMSREDMAR
SAPIO_CDS7994	MAPIRVALLGLSNSAATSWAKGAHLPYLLSPRGRAKYEIVALVN SSIESAQKAIKDFNLPPGTKAYGDPQSVAADPDVDLVVCSVRVDKHHQLVRPSIEAGK AVYSEWPLAQDLDHARDLADLAREKGVRTLIGTQGRVAPVVLKIRELLEQGRIGKVLS SEVRAAGGTNDRSILPTFISYFADRSIGGNVVTIGFAHVFDAVLTAIGEVGNLQSVVQ LQRPSVPIQDRETKKIVQTIKSDVPDLVIATGTFLPSKTVQDGSTLLFRFRRGQPFPG EEPLVWTINGEKGEIRLVSPGGTAIQANAFTEPIVIKVHDFETDSVERVNWDWADWQK ELPEISRNVGGLYEAFADGDGGRYPTFDDALKRHEQVDAILSG
SAPIO_CDS7996	MSDLFDLDVQKARLSRRSQATHPDEPTLPPLTTLRAAESTLPDP NSAGYLSGGSQEDTVKHIVRDIIPALNGQGLSPHYYGFVTGGVLPIAEWADNVVSRMD QNVQVHLPDQTIATALEDATLKMIISLLRLGDAEAWKGRTFTTGATASNVLGLACGRE ALLAKRLGSGESTGELGLLGACLKAGVTEVQVLTSGGHSSLSKAASIVGLGRRSVKEL RAGEDVPWRLDLVAFERELQKTGTLSIISISAGEVNTGRYGVNGVEEMRRVRELADKY GAWIHVDGAFGIFARALEAKPEYKVVREYAEGLELADSITVDGHKLLNVPYDCGMFFT KSPEVLQSAFVNPNAAYLASGSQASIPSPLNIGIENSRRFRALPAYAVLLSEGRSGIS SLLSNMTDLCRRVAGFIQQSEHYELLPDEQAPLDEIFMIVLFRAKNKELNGVLVQKIN DTRKIYVSETKWKGEKAVRIAVSNWMVNVSRDFDVISSTLNAVADGNGDD
SAPIO_CDS7997	MIPIPKADRLHDLFDLKGRVVVITGASGPKGMGIEAARGCAEYG ADVAITYSSRKEGAEKNVEELQKEYGVKAKAYKLDVSDFDHVQQLVNDVIRDFGKIDA FIANAGATAKGGLLDCPKEDWEKVIHIDLTGTAYCAKAVGAHFKERGTGSFVITASMS GRIVNYPQEQASYNVAKAGCVHLAKSLANEWRDFARVNSISPGYIDTGLSDFIDEKTQ KLWRDMTPMGRNGNAKELKGAYVYLVSDASSYTTGADISIDGGYTVR
SAPIO_CDS8000	MAAAKSEDFNAKYTDANLASDAAPYDSERLCNLVKILEDADNGS ISTAAPAILSPEEELENPSRREGRREKNL
SAPIO_CDS8001	MSSIISFLRGFWTPNKVELLNKAMGGEERLKQDRDKTYDLLENL RRLNEELASLLCVKDITLKNTSLRLLFTEDLAVAKDETTPADSFVIISYRRRDKKARE VNLWPITKKIVESIKELRLAK
SAPIO_CDS8002	MNYIYRSARQVVIVLDDVIVTKAEEEAANRWFDYARNKGNIYPG RPGWEFRSTKKNWRPECRAAPIPHIYELRHFFRESFADPQFAFLSQVNIRSPFQLPFD QDGTYYDCTGQDSVIQQIDGVIRADSENALQSADEAYWVFIVLALARGEACELGFSGS VLRPLRNGEEIISWAHRPLAVSLECRALCMTSFENLAISAVTREYIELDMLFIQAEPL PPTYTSQQLAERNNFEAIYGPANLEYRLSTRDTLACFIDRDASWMTPAVQAFLQQLVP ERVVAPRTVDWEPLDEEPFWEGFLGTFENEEDSPLRLAARDLLTILGPNRSPTSPDYG GVLETISLLLALLTDPRFREFYRDMTSVGRLGQRLRFASASPLFESGLCSTGLFCKPR MLCEKVLGPGGNG
SAPIO_CDS8004	MSDTKESLKEAELAKSSVSGDNVSSSNVDTAWKFLDANRDVAEA TASESELKALRRKIDWHIVPIMFCCYTMQFLDKVILNYAAVMGIHAELKLQGNDFSNI ATFLFVALLCFEVPNIYCLQKVPAAKWLGCNVILWGVATASGAAAHNYQTLLVSRVFL GIFEATIAPSLLLISSQWYTKSEAAPRFSFWYLGLGLGQIIGGAVSYGFQHVSKNAAL SGWRIMFVVLGCLTITIGLWTFFFLPDTPMKARWLSSEEKVKLLKHVSVNQTGIENRK FRPKEIFEALMDPQLYLLLLAVILLSVSSGVVTTYSATLIKNIVNDPKRAALMNMPSG AVSIFFTLFVGFAIRSQSHRWLWIICCIIPAIIGGALMSFLPTTNKAGVLAGIYLVNA VVAPLAVFYNWTSANFGGATKRAFAAALLSGSFSIGNIIGPQTFQARDAPEYRPAKIA VMGTQAGCALVTFILFLYYVWQNKKRGAIQENEDEYLSPEVWANMTDRENKKFRYSY
SAPIO_CDS8005	MTWVINATEEVDRISQWRLIFAVCMVLTIVSAIIVTMRLRIRAK ARGMATDDWMATLSLVFAVIYSIICIVQTKYGLGLPLVLRPKANLIPFTRVNYAGRPI YQVGISFFKIALLISYLRLFDGTNQKLYRKIIWATIVLVFLAHLGCALALVFACQPVD KSWNPTKEGTCLPPGPSFTGYAVVTIVSDVVVALLPIPVLLKLNVSRSKKIGLIGIFL LGLFTTLCSIMRYLQIDRIQNGDGNSTMLILWGVIEFNVGNMVSSLPFLAPVFLRKAK EYRTKHTHGYGSSGSRSRRIGKGSQLYKLSSLSKNGEAESSTVGNTGDKGTTAYVTGG FDKHSTSGSEEDILKKGNVTDERITPHHDPNSIVKSITYSVRVDDGTSSGERARYNAM L
SAPIO_CDS8006	MSSLPVLIVGAGVSGLILAQHLRANSVPFLVFERDSDLATRGLG WGLTLHWSLPALRHLLPAHIYDRLPEAYVDREAVERGEASRFPFYDLSTGELRAATPK APEGQRVRVTRARLRKLVAEGIDIQWNKAFSSYTSTPSSVTVTFEDGSSYTGCLLVGC DGGTSRVRRALFPAQYERHRVPVRTMGFRADFSPQEIADIRAMDSFFLQAAASENDTF LYFSVLSGPQHAPNKKPTNKYTCQLVVSWPDRPGFFGEPSGIEYPETDQGRLELLHRF ASTWAEPFRSLVLGVELRGTGSHKTARSGGGVTSEIKTLDLFDWPPPKGLRGEGRVVL MGDSMHQMTMYRGEGANHAIVDVQDFADLVSPVLSRTSISPADGTPGPSGFEDLRSAL DAYEDAVVDRARPGVLASRRACLDAHEWKRIDETSPLLSRRAMKLDFDEE
SAPIO_CDS8008	MVKVVVKRLHQSTPAKDVLAEYECLRRLNSIHHPNIVETIAAFR LKTMGSRYFNFVFPLAIGDLKRLFEGGHDRNRQVLRRARAALWHQFAGLASALAYLHE ELKTAHRDIRPANILIYSPESATDARGDLVLKITDFGLAVDLTKASSAVWDRSRSSAR SYDSPETRRPLSTDIVTISQQLFADDVWKMGCVFTEMLAFLVDHGSSGVSRFRRSIRT IEDNVSSDLFNDTRFDDGEKVKPQVTDWLDQVARKDERSCELVPLIKKMLSVGSERLT AKAVCSAFLEIDTADIRYDDGDRVVRLTPAVHAPRPTFFDSLRLGLEGWLGHPVDWAP FPAPTLQLQPGQTLVTWKFGGEPLSLAISTDELREYRATSLPILESGIPLLPRFNVGP GEVDVEVLRHRREPRLPKKE
SAPIO_CDS8009	MQDIFSKIEWRKTWKLGSSHDAPELTERSILVAVMGMTGAGKTT FINKVTGANMEIGHGLKSCTKEPEISSIRINGQDLHLIDTPGFDDTEMKDSDILLQIA EYLNTDVRLSGILYLHPITTRRVGGAATRNL
SAPIO_CDS8011	MVVFALLGLWKEIWAIMAKVPGAMKSGWARLVGWLGEARKALRE ARTKVRAWKAWDEARSSLKGAPSQMEVAWTAVIEWKKAESAATEGPDWGSKKGNFLGR PRTEDEGGGEGEGKGMSDEDGQRERPKDLRFGGIGEKPTDGEDHPEEGKSQSIGVDDG MDPGSFLARYCWT
SAPIO_CDS8012	MTLLRPEPIAIVGSGCRFPGGSSSPSKLWKLLENPRDVGSEIPP ERFNATGFFHPDGTHHGTSNVRHSYLMEEDIKVFDAPFFNISPNEADSIDPQQRLLLE TVYEALEAGGHTLDALRGSDTAVYVGTMGVDYNDALLRDLNTIPTYFATGTNRAIISN RVSYFFDWHGPSMTIDTACSSSLIAVHQGVRALRSGESRVAVACGTQVILGPEAFIFE SKMKMLSPTGRSRMWDSEADGYARGEGVAAVVLKRLSDAIADGDHIECLIRETGANQD GFSNGITVPNTEAQAMLIRQTYQRAGLDPEKNPYDRPQFFEAHGTGTKAGDPKEAAAI HRCFGNFAADGMAPLYASGIIQKGIIPPNLLFNSLNPAIEPFYKGLEVPTSIKPWPQL PEGVPRRVSVNSFGFGGSNAHAIVEEYRPAVEGAAVPPRPSFTPFVFSAVSEASLVAQ LQAYSEHLGENSDINPVDLAWTLQSRRSQLPFKVSFSAATIEELKAKIDEKLAQTGGG TTVGTRSNIKGTPHILGVFTGQGAQWPAMGAELIRSSEFVRKRVQELDQFLTTLPEGD RPEWNLEQEMMAGNDTSRIAEAALSQPLCTAIQIILVDLLLAARIKFSAVVGHSSGEI GAAYAAGFLSDKDALRVAYYRGLYAKLAGNVIDVTTGQKSQGAMMAVGTSWEDAHDLL SLRAFRGRLAIAAHNSSASVTLSGDVDAIVHAKKVFDEEKKFARVLKVDTAYHSHHML PCGDPYDKPVEASEVLRGEYWRDNMQNAVRFADAVKNAMTSDPQIVCAVEVGPHPALK GPATQNIGEGVDFQSYKKLVSGAASVSKPKLVVGLPSYKWNHGRTHWQESRRSRKMRG RQDRFHELLGVRCPDSTDRELRWQNVLKVSEIPWMEGHQLQGQTVFPAAGYCAMAIEA AKCLAGDEEVEILELHDLSIPRAIAFEDDNNAGVETLVTLTSISRSAGVGGKETTANF ALYSCPVSGGATSEADMELTASGSVKIVFGKPNTDALFSTPLPDYNMADVDTDRFYAS LSKLGYGYYGPFRGMTSLKRRLNQSSVLVDTYAYGEETVDHATVYLVHPTWLDVAFQA SMLAFSAPGDERLWSLHVPTSIRSIRINPEVCGTLPLSQTKIPVCSSLADGDAFFSAS IDLFSEDGSSTMVQVEDLEIKPFAPATAADDRRLFSYTKLDVASPDGASIVQGDCPTS ADRDLAVLCERVSLHYLRKWKAEITDEDWAKGQAHHDALRNYMNHMLGLVSQGRHPTM KKQWLNDTAEDIKAMTTSSSEYLDSVDLRLLCAVGENIPAAVRGDTTILEHMLPDNML DDFYREGLGFAMYNTFLSRMMKQIVHRYPHAKILEIGAGTGGATRAVLNSIGHKMSSY TYTDISVGFFEKATEIFKEYSDKMTFKTLDVEKGPASQGYEPHSYDIIIASNVLHATS SMQKTLENTRQLLKPGGYLMLLELTNNDTVRFSNIMGGLTGWWLGVDDGRKYAPTMTP GQWHNVLRKAGFGGVDAITPEIDTLAWPFSIIASQAVDDRVNFLRKPLARTSTSTSIY LDSVVILGGTSLEGARIAEEVVEYLGRFCGEITVLAGLPTEEEAERLTPMSTFINLVD IDGTPIFKDVTAEKMEGLKRVYELARHLLWITHNAQLEEPYHNASIGFSRAMHHEAGH INMNHLDVSDLSHHGAPKAIVEHLLRQCALDEWDSHRGGYGKQYQSGKTLWSLERDVY VELERGGQFKIPRVIDNVSQNARLNSTRRVIKKVIPIESSSSTTVSLTASADDFSSLA LVEQALPTTLRRRRDQDQAGEDRVVKLEASSVRALRVAPDTFLFIGVGKDKENNTVAT LSDTNSPETVPIVSVPLDTAQSADNLLVAVVGELAATALIQAVSAGSSILVHASSHRD RVLASALSRQAADKSVRVTFTYNTEATTTAPDSWIGLNSRAPRHVIRKTVLRTTPTHF LDLTTANELSTSIASILPTTCKRVDSSEIYRHQPSSLSLALSLTASLDALVARLQDAV STVTAKDGAVAGAEDLVIQLDQIHDPSLAIHITSVVHWAQDGDVQVEVEVRSLEPQRL FSKDKTYLLVGLTGQIGQSLCEWMVANGAGCVCLTSRNPKIDPKWVSAVEATSPGSTV KVFSLDVTDKSAVEKLVSEVRATCPPIAGVANGAMVLHDCLFSKMDVEVLQKVLGPKI DGSRNLDEVFYDEDLDFFILFSSSAYIIGNSGQSNYAAANGYLNTLARQRRRRGLAAS AFDIGRVAGIGYVETAGQAVMDQLTRFGLMAISETEFRQIFAETIRAGYPVPEDTEPG HIPVANVTTGIRNIRDDEEIGGPWFDNPLFSHCIIEANGAKSDNTQANKRNALPVTEQ LACAANKEQALEVLQECFSNKLKVMLQLSDQEINPETSLVELGVDSLVAVEVRSWFLK ELQVDVPVLKVVGGASLTELCQHALNKLPEELLATIGTGDPSKAAPAVKAVPEIELNA PATVSTASSTTMTRSASQASSTPVLSSSTPATELSSRSASPDGSSKAATGTGTSSPLP PFLLPPPIGEAKSPPVKRKFLKTEQISFGQSRFWFLRLLLEDPTTSNVAFYYKVTGSL RIGDLERAVRMVTARHEALRTAFVEHETQADQAYQKVLGSSPVRLEVKKAKSVEEVVA EYHELQKHIFDLESGELIRLVLISLSPTIHYFLFNYHHIIMDGVSFQVLVQDLEKAYK GQPLGPAPRQFPDYSRAQREAYESGKMDDELKYWRSIFPTGQEPPVLPLLPMARTSSR AAMKNFGVHQVMQRIEPGLMARIKAVAKSQRSTPFHFYLAAYKTMLFRFTEANDLTIG IADANRSDGDLTGSIGFFLNLLTLRFRRQTDQRFSDAVAEARTTTYGALGNSRLPFDV LLKDLNVNRSSDHSPFFQAFFDYRQGAQEKFSWGGNTQFEFQEVHPGRTAYDITLDIT DNALDSLAIFRVQKGLYDITAANLVLETYIHFLDVLSSNASLTLKSTPLFSDGQLSRA TEIGRGPELVSDWAPTLPLRIDEVAQRNQGKSVAVKDGLGHSLTYLDLINRIEAIAEA LQKAGVSPGNRVPVFQTASADWVCSMLAIMRVGGVYVPLDLRNPLPRLAVVVVDCEPA AILVDSTTRGDVKELNVPGTAIVIDVEGLASKASAPVPNISKPDSPAAILYTSGSTGA PKGIVVTHAGLRNEIEGYTKTWKLGAERTLQQSAFTFNHSSDQIYTGLTNGGMVYVVP WSKRGDPIEITRIVKEESITYTKATPAEYSLWLQYGNDNLRQATRWRAAFGGGEPMPV AVTKEFAKLGLPQLRLYNSYGPTEISISSTKMELDYRNTAAMEEENGRIPCGFSLPNY YAYILDEQLRPLPAGMPGELCIGGAGVSLGYLKNGELTNKHFVPDPFATNVKQAVANG WTRMYRTGDFCHLRDDGALVFHSRMAGDTQVKIRGLRIELSDIESNIVATSDGALKEA VVTLRKGDPDFLVAHVVFAPGYENTDNTEAFLAGLLSRLPIPQYMVPVVAIPLDRLPL TNHSKTDRKALKELPLPQLSGSRNPQEQDDGSSEDLTETMLRLKTVWQDVLNNKELGF DIRPSTSFFLVGGNSLLAIRLQSRIRDAFNVTVRLVDLLQANKLGEMARKIEESASVS VINWDHETKPPTLPSFLQQGSIATAPPSNGKKTILVTGATGFLAKYILPQLIANPAIG TIHAVAVRNPSKLELISSNSSKIITHLGDISAPLLGLSEAVFRDLANSVDVIIHFGAA RSFWDNYHVLRHTNVQGTSEIVKLAAPRRAHIHYISTMGVLPRDDVGVTNPPASAAAN VPPADGSDGYVATRWASERILERSAEALGVPSTIYRFLPSMKSATATSPAVLDEFVRF VDVTAVFPEMSGWTGRIDMMPASQASNWLLDSVFDTVANKDDNNGSLVRFAHIESTTV VNVVELHDAIEQHRSRNGGSETMPGLRWIGHIKQLGFDYLLTSQDATVQGVAGAGGLS AKFESRR
SAPIO_CDS8014	MAALASRIWSAFNPPQVEKKSTALKFGILGAAGVAPLTLIIPAK SHPEVIVQAVAARDRTKAEAFAKSHGIPEVKDSYQDILDDPNIDCVLVPLPNSLHFEW AARAIRAGKHVLLEKPSVANSTEAELLFNLPELSKPDGPVLLEAFHNRFYPSWQYFLS FVSPKDVVHAKTHSSLPWWTTGINDIHYNYPLAGGTMMSMGTYNYAALRLVFGASPEE CVSCDAEAYTEGVHDKADYAFQAKFRFPGGGIGEASSSLRTEALPRTSYITVKHREVV VPDDDAKLKAASQKKLRTREVTLHGLIHGVFWHRIDVTDQYEIRDSAGKTVKKWTEKN SHKAYTFAEAGPEFADKVPGETFWMSYRHQLEQFVNKIKGRPTEYWITGEDSIEQMKM IDMAYVKSGLGPRPTSTYR
SAPIO_CDS8015	MRRSYLNCSQMLRLLLSLLVAASAGVVGHSRNPSICELVDAQLP GRVSYPGTAGYNNSQKAYFAGQERDLSPGCVFRPTNTDDVSRFVKLVGSERQSGRSPR GSRPSSPKFAVRAGGHSLWAGAANMNGGITVDLRSLNSVVVSDDGAVARIGGGAVYSS DVFPEVVKHNVTVMGGRIPGIGVGGFTTAGGMTFLSRRNGWTCDNVYGYEVVLASGEV VYATASSSPDLWLALKGGSSNFGIVTRFDVAAFPQDLMWGGVILFNYSQSVLEGHAEA FSNFMRPENFDDLAMMSIIFGFTNAGGSPTFSVSDAIFYSDGVEFPPVYQNFTSMPGI LPVNTLALNNVADLVNGFGAVLPQSVDRIYELVYSFKTSDAALYKQLFRAWEKWTDTI ADIQGVSVQYLIQPLPVTNGTNSLGLTPNAKDGVTVCITATYPNAADDKVVEKRVKAF LEGQVKILRKKDLFIPFQYLGYADKSQDPIGSYGRAIKRQLQAVSRKYDPNGLFQDGI SGSFKVFA
SAPIO_CDS8016	MHVLIVGGGVGGLSLAQCLRKQGISFQVFERDASPDSRFQGWAI GIHTIVDKLQASFPDDLPKLKDATDHLQPLNLPAQMALYFPGRDIRLGVEDTPEAPII RSERHRLRDWLSTNIPIEWNKRVQRIEHDDEGVTVFFEDGTSAKGDILVGADGINSVV REQLLQRPANELLKIVPLAAIVGEVTLAEEAFQRQLALGHSAYVYINPAQGFAHFNGL HEVLPGGRSGRHYWMFMQPDPTIEQADHWLRNASQQEKLDYVLKATADMPPQFRELFE LTPASGIKPEMHIWRDLELESLPAGRVILLGDSAHAMTPFRGEGGYHTFIDSMNLSRL LAGVDGKDLDAIKAAVTEYNAEMLERGAEAVRNSRDENSSRRLKNKDAKITTANQAVR PLPRVPIVLPVPN
SAPIO_CDS8017	MGGFQFVRRAPTGGPEPTRLGTYNDKYVIVYDFEGVDTDTAKKE ITTLIRDLESVGLYTEVRPGYDETLLVFAKAPRELLQTMVYNSRIKDWLYGIVQTHPG ESKEAMGRDGFEAEDILSMYHLVNWPKPLGGAGITPGWGQWERVRAIFPLHNEATNSS LMKHLSRRLVLTNEDLDQIRNLFGTKVAFYFAFIEAYVVFLTFPAVTGLIAWSWLPGY SVIYGIVTCVWCTVFLEYWKLQEIDLSIRWKVRGVGSVKITRPQFRWEKILVDEAGRA RHYCPKWKQVARQLLQIPFILFAVTILSVLMLAVFAIETLVSESYGGPFKNVMEYVPT IIFAVILPYVNGCLENVATALADFENHRTADNYDMSRTQKTFVFQIITNYLPILITSF IYVPFGKDIVPKLERLTLAMFGTFGQKYLLTVNRSFTVDPDRLRTEVIALTVTGQISA FFEENVFPVVKRKAQRWYQEYRNKPVSRDASSAYLLNDDPEEAEFLNSARNQATLEIY NVQDDIAEIALQFGYLALFSPVWPLLPIGFLINNVLELRTDFFKIINEQQRPAPVRTD GIGPWINSLEFLTWMGSISTGAIVHLYGGSGVVAGGAWWAMPITIFVSEHVFMGLRSA VRYTLHRIGSEQIRKERKQQYISRVKYLDEIKERSKATLSATPAEKQRRKSVRAMDRD TFFTKQVEEGVSASVGVELIQAIKGKRDHDSKDRPLKTD
SAPIO_CDS8018	MSPPLPKTQKAIVALGPGELGIKNDVPVPRLAPDMAIVKTAAVA INPADAKMLDYSAAPGAIIGYDYAGTVVALGEEALKSGRLAVGDRVAGLVHGMNKLIP DVGAFSEYVGACADLLLKIPDHMSFEEGASFGTGVATASLSLFHELGVPATLDQLRDG RPASEEDRESREFVLVSGGATATGTRAIQLLKLAGLRPIATCSPANFDLVYRFGAEKV FDYHNPTCAADIKEYTNGELAFTFDCVSQADTTKLCYAAMGRAGGRYVSLEPFRDTIA QTRSLTIEPSWVMVLTIFGRKVALEGEYGREERPEDRVFGARAFAAVQDLLDRGLIDT HPVKISPGGWDGVVGGVNVIRSQAMSGQKLVFPVA
SAPIO_CDS8019	MPSADAAACPAHASKAVTNGEAKPNGHGCPVPTHVTPEDIQSNV REEVIDHSKLLPIPKPPYKNFFGVMGHLADLDRVLPVQSYWKFMDEYGPIFQLDLGMT YPRVFVGSRDLVDEMADDTRFTKFTHRLHQEMRAVFGDGLFSVESTDPAWGKAHRLLR PALGPVGLRGMFDDMQDLAAQLVLKWDRFGPTHEIEVIEDMSRLTFDTIGLCAYGYRF NEFYSEDPHPFQKQLKEAIVESGRRANRPDLMNSLYYYKDEQHRQENITKIRELCRQI IQERIDNPKPDAKDLLNIMIHGVDKVSGEMMGIENVMYQIPTLLGGGYETTSSTLSFI WYLLCKNPETLRKAQEEVDKVVGEDVLTYDMIRELKYLDACMKEALRIQHPVSLLTRF STRETVLGGKYFIKKGQMVSGIWRHFHRDPDVWGPDADEFRPERMLEVNFSKMPPNAW KPFGDGLRACIGRGFAEQEILICMAMVLQKFNVELVKPDYELQLTGQMGVKPVDLKIR VRRRQGRTLTLGIPGGGSASHQNLAVTEQQPQAKVNGTATQHESLKPVSVLFSGNQGT CESLVESFVVNARRFNLEVTDIREMDAAVEHLPTDKPILIITPSYEGRPADNAKKFVS WVEAWASKGKRLPDGVKFAVLGVGNSDWVHTFHRIPKLVDEKLAQIGAERIMEAGFTN VKRDLVGSWEAWSEQFCVAIAGEGAKQKQTDRVGVDVVIENAHLKTPQQALDGEQVIL GTIVANHQLADASVGSAKRHLEVRLPRGSEYRSGDYLVVQGQNPDDTVSRVMKRFGLS SDDVMSVPLSKKSFLPTQPTAVEDFLRKNVELAVPITKRQLATLATWASENSVERTRL NMMQEESIYQGLLDKRYSILDVLEEVPGLSLPFGVYIDLLLPLMPRTYSIASSPLDPQ SRRLEGADSSSGPIAALTVDVFESPAMSGHGTFRGVASSYLARRSIGDRIPCLVRQTK EAFQLPVNTKTPIIMLAAGSGIAPMRAFIQERAAAAKQAKAGEASLGPALFFYGCRHP EKDYLYRAELETWEKDGLVELIPCFSKPSGGKKGGRHVPDALWEDRERVWKLFGEGAK IYVCGSAARLGMSSAETWRRIWREKTGKSEVEALEWLEQVKSSHQYVSDVY
SAPIO_CDS8020	MADEIQLPLIDFGPYLNPQAPGDREKVIAEVREASAQYGFFQVK NHGVPMAAQKALLQSLDNFFSKPKEEKMKLSFLESPGRRGYECSGMSLREGDALPDSK EAFYIGREDPVVEPPGFHCPNVWPDMPEEDFHGPVWNYYQETGKLGRTIWEILLQALG YSTDVMDQFARRPIVQMKMIRYPPASKTLEGQFGVGAHTDFGGVTVLLQQPGREGLEV WVEEREAWLPVPSIEDVYVINCGDMVMNWSGGVFRSAKHRVINRDEHERLSCATFWHG DVGASNPLNPDDPKKETVGQLLVKRFGNQFSLNKAVFAAAS
SAPIO_CDS8021	MTTPAACEAGGSQAAPPAPGVDPNAVPTAETLIAAWDLTVRDRD GKEVPFKDIVNASPRVLVIFIRHWFCPVLNSLPVKTSIAIIGSGDHQLIDHWKKQANC PFPTYADKALKLYKAFGLPRAMGAQDGSEYYNMTAFQGFVKAMSQVIWMPHKALKAGD PAQNGGELLFEPASSGEKGKEVTWCHRMQHAADHAKFEDVFEKLAIENVAKAGSQRDG L
SAPIO_CDS8023	MHLPWVISLLGLCGLASAIDSNGIFSHPPKSGPSLFYLDNIVLT LGDTEELKWSTGMTSYTLTLWQQFLNGGARGDATAILKKEGGDPEPGGTTWKVQTYGF DLDDSPIFFFGIGFDGSGGSGFTSHYFNITRERPSSTSSSSTSTSSTSSSSGPTSSDS SPAIATSNTDGNSASSASDPSLRLGLGLGLGLGIPLVALISGLISYIVFKKRSARNNA VPSDPRTASYVPSQAEWHTPEGWAQTPSPKPPVYHEMDTPRPVAELPTTNQRG
SAPIO_CDS8025	MMARLTSLAFFFAGVLLAPQVLGRSTPRRWDRENGDHRHIPVSA RPAKDVIARLGLIPNPEKGYFTETFRDPDTINNRSVSTAIYYLLEGSAGSSVWHRVDA VEVWHYYAGAPLTLSLWPGEGEPVRDVTLGPDIFRDQQPQVPIAKWEWQSARSHGDWT LVGTTVAPGFVDTGVELAPLGWEPNGE
SAPIO_CDS8026	MTLQEDGKLPPVAPVVMTRADDGARRRRKLPAFLDHFSARDLKV LFRCWVAAWVASIFMFITPILTNIGPATFFASLVLLILPPAGIVFIHVLGYLSLFIGI CLAWGWGSIVMKAALAARPDAETQTQLASLQQAAAQQASDTGTSPNYAAQQLIYEGFM LDTRVSARLRASNPKLALTQLFATIVIDLYLLFTPVLPSFSGTLPLPIVKPAAIGVGL GVVCSILFFPRSTSHVVLDSVEDLLDLVKVPLHFTSSTLGGKKDDNSESLRHLSKTKS KLIEEYRKMEPAVVFLPLDFAIGCWGPNDVKQVTEGVKQVLASILSLLDFHASRISGE AFIVRAMQKYTDKVNDSDSSIDEKKHEIGSQQLFQLGEMMKGLQCPDDQPIREETLRQ LTTTSASAIAACLEGLGAAKDCIRMVNRRRWYWRPSAAEREKLSRRCRETLSLLRKTR PSFVHDTTEALLDGYLPRFSPEEDPDVSHATVASRLRGLVVGMVFEEHMASTLDKTEA LLELVATTFDKYPKARLWGPTGLKKAGSWAFGKNSRVPDMAPGCEDDPEDVLEDRTKA AKERLRISRGHYTPRQSKLGRAIIGTFRWLTCNDGLYALRMVVVTIAVSIPGLLPQTV GFYYREKGIWALIMAQTGLVLYMADFTFSVISRVIGTVVGGALGLAAWYVGSGTGPGN PYGLSAVMAVMLVLLLWVRLYLPPHLLQGGIMGGATFVLVVGYSYDDHHIPQYGSIGV GYNVFWRRLLLVLVGIAAATVVELFPRPPSAARHISKSLSHSLRTLSDHYALLLSCWS QPSEDGGLLAETISLKMNESLLMLEDLIDLLRFEFSSSKFDSESLDLVKNLCQGMNRN LGRLLSLSGTLPLEYQDRLARLIGILDHRTIGEIMAVLGICEQALKTGDPLPEILPAP LVKRSFEFWQQHHPVIDLAHDPDLIRNEDYRRFCLALSAYLKFLGIIDELVLVLKGVL GETHLVAPTTLNVV
SAPIO_CDS8028	MTSPLSQPVKLPCGLVLPNRLAKAAMTEQMADSQKLPRSAQFAR SYGAWADGGWGMILTGHVQINMRYLGASDDLSIDPALSQEEQVLAAFKSLAEIIRRNG TPAIMQLNHPGRQSPLGAGKRGFMDKTVAPSAVPLDFGPGLISKAVTKLIFGTPREMS ISDIEDVVQRFANSARLAAAAGFDGVEIHAAHGYLLAQFLSAKTNLRDDAYGGSPKAR TKIVVDIIRAIRAVVPQTFCLGLKLNSADQQPDRPQSRTELGDCIEQATAIAGAGLDF LEISGGSYENPMMMRGHGEGQNAQVSKRTVARESFFLDFAREIRKSLPDTVLMVTGGF RTRRGMEAAVAEGACDIVGIGRPAVLEPSLPRNIILNREITVEQAKVCAKRVDTPWLL KQLGPRSVGAGYESTWYSRKIQSMGD
SAPIO_CDS8030	MDAEDGAYKAQDLTMKSMWQDASARFADRTGLSLDLKPPKTLDD CVGVIEKRQQPVMANSSFNTSSRTEKAKEFGIDIIRCLKLLGGVAAQGADMVFPPSSL CFNALSLLLDIPEKLQSFHQAIDGLFETLGPGLSMFRIYERIEQFNEIEPELKQAIHK LMISFVDICSLSIKLRDSGRWKKFKVAVSLVLLDKDSGVKDEIENFKSLTAAHTSIQA TQTLKVVLETNSSLTTILDKASETGLRIDEIAVYVAHLKDADDKRKSDETRRNHLQNI KDKVDLEDSVIKDSKTICDNLYRDCIADTAAWFEDREKHPDFHKWAERDDAEANALFL LTGDSNTGKSFVVSSVVHHLRAVYKAPNRQSPRTLVASYFFPSSIEKNDTTKRPIETA LKCIAMQFAEADSAYAKRISQSCDAKEDIKKFMRDASCQELWDFFGIGAPKGRVAHYL VIDGLASLPESYKESREQFFSVLETLGSAHSPVRVLLSVRPSTLEAMGQDLVYSKIDV EKHNDGDIRLYIDNELKNDDILQDDDEDSTRIRDKIRETLSTELGGNYYKVRSALEKI KAVVAADGLESEVDTILEESNKDEKAISQIALKKLEDTLRPDEINELNELLIWVIFGS VYFNIEQLNAALVRSPPFLRFKTRSMLQLEKKLKGKYASIFEVYSNGFVSIVDGMEDL LKKERTEPRNVDDTPKFSATITITKADLSSVQSFLWSFMQKTAQDNFGFQELEEHQNV KGTIQTNEFDAHLAIVRRTFDLLAEPPNPKTQALGSYLLNYLPQHLEALDKATELDAL TPLEKREIGDGLFSLFVSGEVIETHWDSCHDLIWYRAPDELDIFLGWLKEPSVVRHLG RLDREWLQEVASNSNPYHALLEKVMKTVAQHWVQDREWEVKQAYLWLRDYLGMEPPKE RNPPEAGDDGSDAGSVKSSQQKDPCTVDEVASWCQEILGVTEPDSVWDERLAQTHYEL DEVAEAIEKFKRAIDRGNPSATCLEGLANALARDGKFLEACQEVDKAVQILESEDPKD DARLGKNYIQLAKWYSELQQPKRAIEYMRKALALAPEDHVVLSELLLALLQNDDEPAA IELLLDLSARQTTPGKASWFSQVIEELMSRNTSATVGEFLSVASRNSELFAHLLLAID DIIRKAEKENTLHFLTVAQLYKGIAIYHYNVEAEAASSRAAALDCWRKCLDFDTGYNT WHLQLASTLLSSHYFEQARTSQDPANQQEHLEKLKSLVKAERQHDLTTSKSYLLSYYS VSGNDSDSKALAQALIESAFEMLSDDADENDWEGYYNLASILMRRGDLLNALSGFSLL LPPPGGTSVMNWVLDFETDPEQSLSRELLVALGNDPSLTTLDEQFQFVSKEVEKRLSN SKDTGEEETKLKAACQGIQSKLAQFSKLKAFSTFWFQCDGPCKRKWDFEMPINLCKYC LDRGFCNECLQLLKEDKLWPQPADGTVCNKTHDWLYLPKWDRESYLGPLFGNVRVGGH LEDGRRVGGDVVPISTWLDGLRDGWGLAKQATALEVNGGDSNGDIP
SAPIO_CDS8031	MKQLFLSTASLAWTVLAYTDIRHQRFMVKNIDPIIFPGEYVSHM HSFFGSDAVTKDMPTTEELQQGCPSGENPNDLSIYWIPTLYYVKGDEFVEVNPMMFST YYENIDKAEIPFPKDFFAIAGNATAKSQSDIDENINGLTWWCENGPEDRTKRPRATFP QVTCSTHIQAILRFPDCVNPSDITEYGYAAASGGNCPAPMKRMPQLRFSIRYDVRSLI PQGWNGPPPLKLACGEVGEGYCFHGDFINGWFDDAAQNLMKAKDRRQWMRIDGAKGQG KAGSQCKAKDADPENGTSDYKKSLDMMGKMPVSQD
SAPIO_CDS8032	MSSALSVLALGAWAWTAFIVEHALFLLTLRTALVLSLILNLKNL PLMWHVRTFRTIIRRITDPAPAKYLSPRCLYLPAVSATRAPMLECDYNLHKSNSTYFT DLDMSRSNLALVLFGKQFNPFPGPRHMVIILGGAQCVWRREIKPYEPYELWTRILAWD EKWIYIVTHFVERDHYVHKEYILQPRRTLRKKKCKPKQPMKALFASAVARYVVKNNKR TVSPDELLRLCGLLPPEDSQNFQEIESKRQKWLSIAQLREGWDAVHGLFDGDESAALG HFSDFMWC
SAPIO_CDS8034	MGIRTSPPGNQMHETMLGGLNFWRRFVLRTEDKRSLGTGNHENS SCPISRNLLHSRFNILASKVHKVLSSTFQTKVALSFPRINGDGSEAAESAVARQLDPE VAEAASRTTNHDPVIVRHIFQFLNSARHSEASAEQWRRDFAGNSIRNPRHIPGIGHGV LLQGSRGPAASVNLLRAIVLETPLAYRTFSTGAVQPLNPYSLTNHGFCDTRPGLDNDS HSLMADDWLTNWDIVTDPDPDILAGVFDHRGLLNSWDRNGHLQN
SAPIO_CDS8035	MKFFQTLTASLLTVAHLASAGKVRVDHAPAPQHAASTTGQGQEE AFHWERLDKDNALLLIVDIQDGLFNLARDWDATAYREQVLAHGAIGKLFDLPVILTTS ADQGPNGPLMKEFVDWYPDAPFIHRQGEVNAWDNPDFKAAVRSFNRTQIILGGIVTDV CTAFLAYSLREEGYSVWANIEASGTTSKLIRDNANDGMARAGVNVVSMFSILCDLMRD WRNTPGSAEVLPFIDRFFPVYGIVARAHAGAVTNGTLNPGEEEVANKFHPDP
SAPIO_CDS8036	MDPRTQSEDNTASNLVDTSLLGAVVPDAPAQLPSYEVVLKGNYA SIAPISPSHAEDLYELLQGPENESLFDYLFDDPYQSVEDLRTMLARKAATTNPWTYAI LLNSPSSRPKAVGMASLMRMDLPNRVIEVGSILYTRPLQRTPAATEAMYLLARYVFET LGFRRKHMIAKGRNRDTAWYSMLDEEWPMVKNALEQWLNSENFDSDGIQKKPLGEFMT DWQK
SAPIO_CDS8038	MPASSEETVLRRKRVAKACETCRAMKSKCDGKRPSCSRCTGYGY DCVYSTHGRGTRGSMARQLREPSTGSSAASPGDTSRTTLRAALFDYDELIQRIVPKLP KSEQESILDDATSINNRIKGALSSTWKPASSDRFSTQTNRVHVSPSRVPSSQRYLGEV SDVTFFNLVKRVLQASPPGHVDEAIDSYELDDAVSGTIAPQSVSDYLPSREIADEYLS AYFSTIHIAYPFIQKGPFIKTLAKLRESDSAESVSNSWLATLSTAWKGAGQLWDSPFE WRRPLDCMSNSTPELRAKLRGKPRRDVEFGIRFLLVASVFIRNSSTGQELDVTALNDD AETCMKVFEALSSHSDAARIARDMMIRLREQGRKWNESCDHADLQEQQIRLGLVQNAN GLRV
SAPIO_CDS8039	MTWIVNGTPEVDAESNYKTIVVVSLVLCILATGTSTLRVWVRAR VRGMAADDWMAVLSVIFAIIYSIICIVQTKYGLGLPLKLRPEANLVTYTRVNFAGRPI YQIGISFFKISLLISYLRLFKGTNKKLYRKTVWAAIIFVFLGHLGCTLALIFACTPVD KSWNPLKEGKCLAPGPSFTAYSIVTILSGIIVALIPIPVLVKLSISRNRKIGLIGIFM LGLFTTLCSILRCLQINRIQNGDGNSTMLVLWGVIEFNIGNMVSSLPFLTPVFHRRAR EYRSKFSGYGSEGGSRHHRGRGGQIYKLSALSRVEDPSSGTLGKGASTSAYITAGPDN TEHSASGSQEDILKRDGDIVNHPSNVIVKSVTYSVRVDDETARDTKESG
SAPIO_CDS8041	MSRVLNTTAVERLDRPANVRISSGNRQPHGLMNHDFQVDKPLLI KGGSYELQSLKPRRSLGSAMKEDADVLSKKAPRTMQLDPMNFSNETCTPKENGQGA
SAPIO_CDS8042	MPNSIPGLLILASPLLFRLLSFGKRPEGVVDIGTTPPTTTHTVV ILGAGLAGVPLVHHLLKHTPASVGLRVVLVSPNDALLWAYATVRAILPDVFGDEKIFH PLGPGFAKYGVSQFEHVVGIAQNLDPESNRVTVCTKADGQQRTIDYDTLVIATGSSYK DAMPFKNLTDTATTKDEIQCLREKIAAARSIVVAGAGQTGVEVAGELGEKYGLAGTKE ITLIAKDALPLGSEVRDDVRRTVVHELAKLKVKVLANTRVVDATITPAGTQSLTLQRI SGYNKSENHQIGAASTMLETDLYIPTFGVRPNTAFVPAAMLDADGRVKVDRETLQATG YSNIFAMGDASNAQTANGKHADAQVRFLAPAMQDRLAGRTMPTYNANDTFVFAVTLGR YRGTGQVGNRRLWGWIVRMTIGKHMGTDYAPDIAAGNRTMTQTKW
SAPIO_CDS8043	MDAISALDTAACILAVTEFITELLSPDLYSKVQTPLSLDGKDEQ TILESLEKLRIALSDGKIRSVTQQPSASGFRPLSNVPDVQDLMASRDASAALLEDVES VLRWLPDQSTSQPLERDTKTWWGSRLADTLDVKKLERLSHAVTSQVGSLLSSRMSELC QTVESFEDGRRYVRPEHESRTDALSRNLEDLRLEVQKRSDTSISATFTEAEIKLFRAR LASLTQSEDALLADRIVSSLNYDSRPVRLDSVPQAHKDTFQWAFDSRLSDWLLSGSGT FWISGKPGSGKSTFMKFISKHPRTRELLESWAGSSDTLAIAAHFFWIAGTPIQKSWQG LLQSLLFDLLRGSPHVVSLVSPHRWAAARAGQWQIAAEPWSVSELGAALRALATADHV SLRMCFFIDGLDEYDSNHEELCEVLRDIASSPRIKICLSSRQWPVFERNFGHNSQESL DIHELTRNDIRKFVSDQLQADARWTAEMSEEVTLEKAELVDRIVAQANGVFLWAFFVT RSLREGLSNGERIRDLNRRFSQLPSDLDQLFQHMLESVNPTDHSKMAGVLQAAVHALE PLHVDIYWQLEKEFESHGPVSRGLAAIEAPGGISVRREQIIRSIKEKTKGLLRLVNNR VEFLHRTVKDFVLTKDVGEYLRSKLPADYNGFLSIATAYLGFLRTTRQDHSLVAGIMR QGRGQNTGPFIAHLNQALVYASEALKQTDQLGSRQQRQTEELLDEYEAALQTMLSLGH VTIRGINSQNCDARLLFREELLRHELAPYLSKKIHEQPDFFDVFDESPLFAALTPMSR SSGKSPPPVPGVLDILLQRGEDPNVLPRQPGTPGVSEAASPWVIFARSTMSVFNMLSG PCMFPALRWNNSLKDATFDRLMSYGANPNMPLLDRVGARTVFSHFLDISLSKFLGEEC FEDYLRTLDAFLRAGASLGVPDLTGTVDSDAEAAFGNLARRRPEESVLTSFCTELKGL LARLSADPRRAMFVSSVVEKLILHCSGREEDLRELQSAISIGCPAHIVTPLSQLIASK LKGDQE
SAPIO_CDS8044	MPGRLAGKNAIVTGAAGGVGLEATILMVREGASVLMADINPSAL DKAIAKVQAVVPNREGRLETKVVDVSKESDVEAAVNHLDSWGGVDVMFNNAGIMHHRD GDAEECSEDTWDLTMNINVKGVWYGSKHAVRSLRKHGKKNGSIINTASVVALVGSATP QLAYTTSKGAVLAMTRELAIVHAREGYRFNSLCPAPLNTPLLQSFLGDDKEKRFRREV HFPTGRFGEAIEQAQAVVFLASDESSFVNATDFVVDGGLTKAYVTPEGPPTVAPENSS H
SAPIO_CDS8045	MATNIIRTVSPSTNQVVCETPETRWDEASSILRASQEAFVSFSS LSHQARKDVVVRALQLFQERKIVLGKELTLQMGRPIAYSHKEIETMQKRADYLLETAE EALKSIPGKPENGFQRWVKKVPVGPILIVFAWNFPYLILVNALIPALLAGNTVILKPS PQTPLVAQRVCEIFVEAGLPPNVLLIIQSGDSEMVKRLVQAPEIQGVSFTGSTAGGLA IREAAAGRTIPLNLELGGNDPAYVRADADLQYVAAQLVDGAVFNSGQSCCSVERIYVH SQVHDKFVEELQKDLEQYKLGDPLDSTTNVGPVISKAALEAINAQIQDALARGAIDAT PENVTFKNPPVEGNYIAPRILINTTHDMAVMREETFGPVIPVYKVSSDDEAIKLMNDT QYGLTASVWTKDIQRAHELIDRLESGTVFVNRCDYPNPDLAWTGWKMSGLGCTLGPQA FNFFVKLKSYHIKETQTS
SAPIO_CDS8046	MSTPSQRKRPMPILRVRTGCLTCRRRKKKCDERKPMCRGCERNR LECSWPHPDDITAPRRAAGRRGSTTKPAASVPNASETRMTEKNPADQLIELLDDAIHV SPNTPSASESPGSAHSPGGSTSSSSTGHDILAIIDPGPSPLPPGSAVHDPTIIDPSYI LPSLDLLDCSFDNGQPATSSPLNEFGTLVNSNTHMPISNEELDVEVIPRSLSLLPGLA SGSLDLLSHYLAVTTKCMDNGSGVDEPFVVQFIPLAFGSDLVLHLILTQSAVHRAVAK KILDADPLASGHYSQSITLFQQGMSTYDVGTQDALPLAVGALIMCFVETVKGDNDGSI FNHLMAARWLLKAVLSSQHSKLSKSLRDFLIEYYIYTAAVSIISIDCRVGPQLLLAED FEEHSSTLESSTYIGCLCGCWLELLLLIPSVFNIGRRFLSEEGARRPLGVDDLMNFAS VQGKIQSWAPRATVRLETALAGRIFQQAMLVYLYTTLSPLSASESVSSHSSAVKGAVV KGLEYLSELPSTARVNTSLCWPIAVIGSCVESLEQRQYLQNRLEVMFSVLGVGNIRQT ALLLEKLWATPNPSPWSICQLMQEHRIWTSFA
SAPIO_CDS8048	MIEAPTLNGSGRVINGALGDARGATIMTPENIATLLANDHKVKV AGLDSDGVLRGKVMSKEKFLVSIASGFAMSSAVFGWDMHDELYSSDTCITSAQEGYFE LEFMNFQTPTADGYSEGDRSNHDIAAFLTKNSQKALRPLTSGMFAYSMTRPVANKDYF HQLFDYSEDMNCRVESWHTESGPGVYEAAIKVSEVDEMADKVALFKLLSKATGIKYNI TPCFMAKPIYGVPGSSGHIHVSLTDLEGKNLFARDSPDLNPQWRDIAYLSDLGRHFLA GLLDALPDIMPMFAPTVNSYKRLIENYWAPVDVSWGLEHRLASIRVITAPVCKPGATR FEVRLPGADLHPHYALNAIVAAGWRGVKRKMEISIPPAGSRSPEDKAARLPKSLDEAL ERFRAKGSVAREILDQDFVDFFAATRDHELKLWREAVTDW
SAPIO_CDS8049	MDPGHFSVAHFWVFQCGAWLGTFIIDFHKINGHIVNDDRSVDAS VAERRRPRWIASENSVLWHSRRLFQYKEKIEADAILQKYRGDAALADHWYFCYLISAP GSPGPDGNTHHQAYGPQMIPLVSPIHTKVQSHDDEAFIIDGSSVYRNPTSPNGDRELL ELCANGTAVTAVGHTKTGTSFAAPATAGVVALLQSANNRLQRFPEACRAVLLAGSHRQ LANSTWWADVGSARKDSWDGKRLLDSDFDSRDKLSTFSYYLRAPGSPVSPFDRLRVKV AIAWHSEVTTKHDQATSSQLTTDLDLLVLDSKGNIAACSASFDNSYEVSDLNAVPGET YRIKIRRWSGSGSVICGIAWTVEQTSWLLERPGNAGFSASLNIAMGTSSTGAYETTNN TALGSAAGQNSALLSFGVPESATLQLVVGLNMVDMGNSSSNRWAIRIKAFPSDVTEQQ FMLSINSWADTMLYNARVSWLMLDQAKLGVQCGRFDSHNYRAAGTTGNIYKTDKFPHP FNKSPIVCVGLSGWDIDNNWRLHCWVDNIKTDRFHIHVDTWGSSVLY
SAPIO_CDS8053	MVYSLMIFAPRKPGVSHEEFKARYERHMKMIAEISGDAMPLSHT RYYLQHGGPEDKPRLLAGNADEMYYDAIVHMSFEDEAAFHRFYDALMTDEANARIEAD ESGFWDRARMKVVVGPRAIESSLGVTGLAIFLTTVVTTARQSLSLFHALCVFHLLGIV GLSARPRGRYPAGVVRGVVLMAFYARVTTGSLVYLIYVFATAPTFGDHAECNDRTVYV LFGVDISATSPVLRWMLVGALSILLLILICWLLMVTCICIDALFGREMRGVFAGRGGH EGSNAKRQSPYQLVSYLVGTIYLAVMLELMIRRNALAPGLDEWTFGQILAMAMLIGPL IELASLLLGKVDGRQDNNQLVPVSMLPVSRRRTVGD
SAPIO_CDS8054	MTASKRGYQASEEEESQNASHSRTSVAKRARTESILSGSSEVSP MALDQTEMGADAEEEWEDVSDIDGADEDNMAILSSETEEEVESNYDEDDLSDVQGHGE RICLCKNCEVEWDSDIMRVAFGLLVSPAGEPNKFRRVGVFASSPIGAGGLGFFKSLGG HLDVEQEPFPSPSTYHLNSISTAMADHYALPNPPPSIIPRRVLDPSRREFDLGWPDDD SDFEERPQRSAEALVPYHSSARQTGSQGDPSFGIIPIGSHTSGITWTNDTSSTGNGQQ HLPLYYSTKRSNRQRNTQRRSESRHGTSGSYSRTFNSDTANAHAIKSARYPALYEMLK TPPAARKALKNWKKEGKQAALEEASTSTPALEGVHDRPLIQDGQVAADENSGSQNTEA QNTADGSRPQESAPVRNSSEPRTDSGDQMEAAPPSAALSTSMVVRGDMLASSLPPQYM TFSEPLLEKTRRGKRLIITCNLAKSYPNFHIECNYVYIDQHERVNLSQTDTRISFAIV STKENIWTVAMEQKGYNEDFIKGLIGDAEYARLEGEASGPVKGHSKSYGSSVVAKVAK KVTIPEGFDLGGIWCKAGGFYEMRIFVPEKNSRDNNTDW
SAPIO_CDS8056	MRDRIVYDWVMIFLIWGWQFYKLLYTASALCIIWLFLSLTPTLW NPTNWLSCLMFGLQAASEFSYMPDLDLIESLHNSDVSGRCLSFAEKENATAGPVDVVS GFYGPGTYLAWLVTAYVAAFSSIWHSKCAPSEERRERDAAGEGSEYFSLPWWGSQESA DALDAEMAMALLYPLMALGDVFIRLVRCKIDPQMSAAVFVLFSALMIFGPTSRLSWQK DGVEPELEIFPRTKRSWAWKFSGFLIHGFVITLIGEPYAYTVELVIPVYVMLLVLTFF SLIRGERLSETYPYRTTAYWSRVVRIVVFTMMQIIFFSVALGKIGSLVPLTGSSLWDF DQIATLGMAIVTLMFFRTQSVGSLVSSIRHRLAGFRLLNGPETGSHTELTDIVIRDTE Q
SAPIO_CDS8057	MLRSQSAGFLAYIHLGSRAISLLFELGILGFLIYISTAKNYTAG LYYAAHWLIVAADCPNFVQPLSSS
SAPIO_CDS8059	MADANFDIVIVGAGIAGCTLARALARQGRRILILERSLEQPDRI SGELLQPGGVCGLKDLGLEDCLEGIEATPVKGFHLYWKHEQATFWFCSPAIANGSEVE TGRSFHHGRLVANLRSAIREDPTVTLIEATACEILRDDPTGRVIGIKCSKGNGDLVQK YFGDLVVLADGRYSNFRSQFSPYKPKANSRFWGLELLDAQLPLRDYAHSVLGSGPPLL LYQLGNRETRILIDIFNRDFAHLGDQSAVKSYILERVLPTLPAEIQDNLRVAVNNGRL RSMANSWMPARRTRVSGLVMLGDCANMRHPMTGSGMTVAIKDAILLADLLRVDIVPDL RDSALVLKQLEAFHWKRKRHSATLNILAHCLYHLFASEVLVKSLEIMQRGFIQFIQGG PKNFAQPVALMGGIIEKPFLLFYYFFRIAIFSISTHLRSARILDFPLARGPALLGDSP QVYQGLKLHFNLDLVIKLRF
SAPIO_CDS8061	MPTTRAHKPAYGRRLMMNIIEERASKEPNRPWILVPRTSDPKDG WKNITYGGGAKAINRVAHRVLDMAGQPQPGEFPTIAYIGPNDIRYIIFMFGAIKAGCQ ALFIPPRNSHEGQMNLFEKTNCNVICFDVGFKATVQPWLQERDMKAIMATSVDQWFPS EDIPVFPYKRNFDEGRWDPFVILHMSGSTGLPKPIICRQGMLAISDACHELPEWNGTR MWLQAWSDVCNLFYVPTSKADAVLLPPIILEEISQSAESTKALAKAKMVALVGGNLAQ EPGDKLVQNGVRFTPFPIYKQPDPKMWHWFIVNSEIFGCEWRPTSSNPNVFEQVIVRK SKDPGLQGFFYTFPELQEYSTKDLYKKHPTLPDTWLYQGRADDIIVFSNGEKLNPVSI EGIVMGHPDVKGAIVVGTNKFQPALIIEPRKFPRTGAEAKELIDSVWPSVVKANLETV AHGQIGRQFVALSNPKKPFMRTEKGSIRRPDTLKLYKDEIDVIYDKAGQNVPVEGVKI DLSSEDALTRSLIELFNTGSMLLKPDTDFFQAGVDSMQVIYASRLLRSAFKAAGINVA AAAVATRVIYGNPTPRKLGRYLYSLVSSGQNEERKLEHEANAMQALLDKYTRDLPPMT TGKPPPENNHQTILLTGSTGALGSYLLDYMCRCPNVKRVICLNRAENDRERQTEVSSV RGLSTNFDKVDFLRADMGRSDLGLRAKDYDRIRDSVDRIIHNQWPVNFNMPVESFEPH IRGVRHLVDLSAKARKQVLITFISSIGSVDGWKEPYPGPEKSIKDFSISSTGYGMSKH VSSLLLEKATEKSGIPTEVIRVGQIGGPESNEGMWNKHEWLPSIIASSAYLGMLPKDL GTMDTVDWTPIEGIANMILELSGINKPVPLKEANGYFHGVNPSVTKWGSLAPAVKEFY GDRIKRLVPFSEWIDALEKSQEKSEDVTKNPAVKLLDSYRRMRGEGTAEQRHVDMDTS RTTRCSKTMRNMGPVTPDLMKHWCGQWGF
SAPIO_CDS8062	MKPVTSVAVLGALLGLSVESVDAARPFHCPPLGQTLPAPKNPSS NRIVSEAVQKITELFHGYVSGYNNSAASLTIKSIHEDVPLLDIHHTPGIRGQNGTTNV TSKTVYRVGSVSKLFAPLAILREAGVDINDPVTKYLPELMNLQDQQEDVNELTTISWD NVTLLSLSNHMGGFAYDTKYGKHRPTWAPFTTPMYSNVGFPILSFVVEKVTNKTFASH IKDTILNPLGMNSTSPGEKPEGDNVDSTLAEDPWWSFDFGVYNPAGGFYSNTEDLIKF GEAILNHELLSGVETRKWMKPTEHTASLGMSVGNPWEIIRVKNLTSDGRVIDLYTKSG DIGPYHAIFALIPDYDIVLTFLTGGPEASSEVVFFGTPKIVEALIPALDYAAKAEAGE RLVGQYVDEETNSTITLSVDDGAGLVVSNWVSRGVSVVENYGKYLGIDKAVEINAPIS IRLYTTRLSAENQSGWRAVFYIGDAGDFAAVDASLPFIPQISCQTWFMFERVSYGFNS IDDFVVTLGDDGTAEEVTSRFFQTTMTLVSRDSQENIEN
SAPIO_CDS8063	MSTTQVTTIELTELPDPAHDGKSISTVFHPPSLQAVHAEPTAGR TIDPPREFGLAPFHGFISNFTTVAGGFDFSEKLGREAGPGQANWLAAAYSLTQGAFVL ISGRFGTIYGHQTTLLIGGAIFTLFSLVNAFCDNHMAFVAARALTGVGGGILMPNAVA IITVMIPPGHWRNIAMGCFGASAPIGGFFGALLTGIFLERTHWRWLFIFLLRLSFSAA SQAVTLAGIFFSMPKDEPLDKGGKIDYVGAILGLGSLILFSFAWNQAPSSGWKGPAEI TCLIVSILLFGLFLIWEGNFAKEPVMPLFIFKTPSFSALVLVVTLSYMSFAISQWYTI TWLQTQRHWSVLETAVGYTPFLVVGPLSVFLAAWLLPRLAAQWIMAMGIGVLVVANML VATMPVQQTYWAQAFPAIVLSCLSPDFVYVAAQLIVSNSVGRKYQGVAGSLIGTLNLY GNSLGIGVSGTIDGQISRNTHDQIRGFRAALYFGFAVAIVAIALDVLFVRVPKDNREG WDSSEEDSLIIGTGRSIAPAISSTHRR
SAPIO_CDS8064	MACGSGCCGPPPAAGSEGNAENLSDGDSCHIADVDEDVIKSGGC CQTKDVEENDCCNNGTTQSACCEDENAIQDDCCGTQDAKKSDCCASNKISKDSCSQSE DAANVDSETASKADRYEPLHKPIASGCRDACCTAKVPSPATPSGRPPSSPVSGDDCCE PPPAGSPGKKGCCAPDPRKEKDVAGLAAPSCCQGKVSPCCDTACLDRLALRECQRQGS IHDSGLPSVNSCDGGATGKPCSDHSRKTRSTYADQLEALGCICRALVALGLESCCAPR EPRSSTERKRGSRSSLRGPAKSAPSSQCVKACCAVPQSKLEKAPSIADSCAASCCEES PPQQRGWGTNTRIARLTTDPEKGTSGDFKEHVILSISGMTCTGCETKLIRTLASLPDV KNLKTSLVLARAEFDLAGTTVSGEVIKHLERTTEFKCQKVKNQGATIDIVAPKGNPSA FINRPWPSGVIDMTAVDKKTVQVCFDAKVIGARDLAQNGWPDGPVSLADPRGDPSLEA GGKHVRHLAYMTILSAVLTIPVLVLAWAPLPQNEIAYSSASLALATIVQCIIAGPFYP KALKSLIFSRVIEMDLLIVLSTSAAYIFSIVSFGYMVAHHPLPTGQFFETSTLLVTLI MVGRYLGALGRQKAVESISIRSLQVSRAVLVTEQGDKEIDARLLQYGDVFRVAPDSRV PTDGTVLSGVSEVDESMITGESRPILKQAKSPLIAGSVNGFGALEVRLTRLPGDNTIS TIASIVDDAKLSKPKIQDMADKVASYFVPVVVFLAAITMTIWVAVGIKVQQLPGNEAT IKALTYAITVLIVSCPCAIGLAVPMVVVIASGVAAERGVIFKTSSAIESAYKTSHVVF DKTGTLTEGKLTVSDENYLVSDDAQIKALLLGLVTNIKHPVSVALAAHLKSNNITAAP VSEVKAFPGKGVQGKTMQGIVLRAGNARWLGVDCDPKIQSMQAQGHTTFCFTIDDSLA AIFGFQDSIRSDSAATIASLAGKGISVHMLSGDDSGAVKSVANELGIPETNVRSRCSP AEKQAYIQYLLSKPTAGKIDRSREPVVVFCGDGTNDAVALAQATIGVHVNERGTDVAQ SAADVVLMRPNLDGILTMIRISQISIHRIAFNFAWSFVYNLFAVLLAAGVFVKARIPP EFAGLGELVSVLPVIVAAMLLRWAKI
SAPIO_CDS8065	MITPALYETLDPEERKLWHSHVYEVKSGMLVMPQVRMPNAAWES TENKEMEQLIKLYGKTYHLWQIDRGDKLPIGRPELMASYTADGQLEAELLRQRDSKFG IDREQKKKSRDYIPEPVIHPDADHAWKGMSS
SAPIO_CDS8067	MSFGGRDSLSDYEFTFEAYLDHSARIEADPDLLSNEELIYDYHR ENGRTYHTYRSGSFYVPNDELETDRMDNQYEILKILLDGRHYLAPLSRENPPRKILDV ATGTGRWAIEMADEFPEAQIIGTDLSPIQPQMVPPNLRFYIDDGNEEWQTGKDWFDID FIHFRVTVAAWSDWRSMIRMSFERLRPGGWLEIQEPKCDIDCDVGEIPPDNPVKRWFT ELQKASIIAGRPIHEIPELKRMLLEAGFVDVHEKVYKIPLNGWPRSRRLKRIGELWHH CMEDGLSGFSYAFFHRCLGMTKDEIEISLVDVRRGLGDQRLHAYEKFYVVWGRKPVDA PEPRDHPMS
SAPIO_CDS8068	MSSSILKTLSVGISLARELSWPEIGIIASVLVASVPVAQFVYNV WFHPLAGFPGPILYAGSNLPKIIQQLRGSITYKAHELHEIYGPVVRISPGELSYISAQ AWKDICAGSKGKEPMPLNPIYGQHEVESFGTLSMLWQVSHVEHARQRRIMAPVFSDKS LMEQEPIITKYAALLMQRMRENAGKTVNLCSWFNYTTFDIIGDLTFGEPFDCLQESRL HPWISFVFSRLSLMLYSQIIATMGTLGAAVKLMIPSHIKHDFVKHVAFTKDKVDRRRQ REMNRSDFMTHILEHTEKENGMSLSELYANSQILVMAGSETSATLLGVTAYHLMTNPD KLRKLAEEVRSAFSSEDEITFSSIPKLPYLIAVINESLRIHPPVPAGIHRFVPKGGAF IDGRYVPEGADVYITQWAAFHSKDNFADPYLFVPERWLGDERYADDNREVFQPFSLGP RNCIGRSLAYMESRLVIARMVWNFDLHLMPESENWLPQKTIVLYEKKPLYARLSTVHH PSV
SAPIO_CDS8069	MDANDEALALDFEASNRYRQQVLEYTRYWLGLDPEDSQAGVFGK LFSWLLPERGWLRRQFGLSYKTEPPSPDEPCAVFKEFGQLVQRTRSKEFRELFQRELK FYFDLCAVEQRERLTGETATDFRSYLNIRFGTSAVRVYCLITEVALGTQLPVWLHDTP EMQALWKEANINIIIGNDILSAKKELAAGCVHNAIPIMYHQGKPLDAVIPELMLELQA CRDRFDEAAMVVEKLAAKSGVKVHGDVVQYIDGLRTIAAGTIEFCQPAISASRYGIQH PGCDILELEKELHNKPNPTHSDTELIKMGIRRGPLLDVGILATSDSPPVFFHPLADSE QPRSAIDAHEKEAMGWGICHRDHRELHCALHAAGWLSRQLGFVVADFKPADQPGWIAI GYDGKNRRLPRYSGTNLYVEEHRFPPEEPTSEWWTTTRTS
SAPIO_CDS8070	MTVALNELVSTWDVNYQRESPLFSANFPAEIRLLIYHYVFADSD QPSIPFDFRVKNHHDGDHDDDGALEPDFCSDLIADETEPPSSVEYDWFDPENFPENEP NLDSSGEIEPTLSQDDEEPGPGQRDPTVGDRYGIYAPDPSVANWRTQETGDWTSRRYI RPGCTGCRKHDIALLRTCRRVYLEARLQLPSVYEYRIWALRGPPHRHLELFYGGLTIE QANCITSVEVNAQMFWLEGSFLRSCANEPYYFRCIKNLRLIIEKGDWWYNETNEPLYI TPFGGKMAAMKTQMTETKHFGKKPPQETLEAKWQAPVDFGCDSWGLAFRWMPKLETLA IELETAEHQKCELEPIVEWAARTWRFPLGPKRKDNCTYLSAQGNPVEKMSWRAGRMPI LSAV
SAPIO_CDS8073	MIALANPDAAAETIGYWACTGDPACKISYGESVERGTMAVVRQV HKMHESCPETQFVLVGFGQGGQIMDNALCGGGDPMVGFTNNTVQISDSAVKMIKAAIF FGNLRAQYGLPYQVGSCRSGGIDPRPAGFVCPHADKIQSYCDASDAYCCTGNNLTAIQ GYTDAHGQEAVKFVTSKLSANNTRCATKR
SAPIO_CDS8074	MVIRKVDVVIVGAGPTGLTMALELALHQVSFSIVEKSPSPSDKS RALVLHPRSLELLRRHGIADDLMRRGTLGTGARVFVNKKKAAVFNFTDLGFDHTAFPQ PLWISQAETEALLLSRLQSYGVEVERGVTAEGFEQDESGVTVTLSKDGVTGHIRCAYV IGCDGAHSVVRRSAGLSFEGAAYPQDFILCDAHLKWDQFEDEGRHISMFTGGKEVLIL FPLPDGVVRLVGNLPSGTLSGSRSGLAPTLEDFQSLFNRMAPGKWELSDPVWLANFRL HHRGVDNYRKGRCFVAGDAAHIHSPAGGQGMNTGIQDAINLGWKLAHTLRVHKAGGTV METWLDSYNEERHRVGQHLLEGTDRLFSYASSTNTLWIWWRNFLLTWIMPWALSRRKL RARLFRFISELGIRYRQSSIVGTSPHFMGQLKGGDRAPDGKIRMPDGVTKFLLETCAG NKFHFLLFSGRGAQALGTEGMESCATRLKESLEGKALDVPEAHEVYESTPPHPSAMMD VDGKLHKVYGFEEPGFVLVRPDAYIAYIGSHSDIDDFLIWINHAVESPQGPSSAYSLP APQVDIFSATSAKVNSFSPQL
SAPIO_CDS8075	MPSTIETSTGEGTTHSVAFASAPGRRSEGKRRSGELPVRPSEEF DRRYDGPFARPVKNVTGDTLRRSQSQNRPSTSRSARDAALVVQEPATDLDSVARETAF LAEPPRLNYTLRTRKLSIFLWWTVIIIDSVAMPIGLYFGLWYGVGPGAPKERRKLSAN AVFSIVTAAIGGASILEYFLRMWSLWKKDSDCRVIAGGRWHLDWFHWNFTLAWLIVMV ELIVGSVQENPYIRLIAMAPTTMLFVFGTELLIVDTMRFFHLPAPVRISSIPGGAQLR PGIYSLVEDICAVDGGGGTAFREALDRRYEASHVFRTMLRRLGIFWAVGAEGMAVLCT ILIFTVEVDYAYAIGWSVPFVWAGVWTLITIWYVKIELRRENREWARDAASKPSA
SAPIO_CDS8078	MKYKYNLKPDGTEISHSNVFESIGYCHGHPWMMFHRAQLIAFLY EKLNERDSRVLTNKEVVSIDTYDHGVKVRCLDGSVFEGSIVIGADGVHSTVRCLMNRV AAAPANVLPITDAPFVTYYRGLYGHGPRPEVLQLHTMYETHGDDFTIQVNVSAEQVSF FIYERLPKPTRERLHYSAADTEKFAKRFAQQHVTQQLKFGDLWASRKWAKLANVEEGI VKTWHCDRIVLLGDAAHKMAPNAGLGLNCGLQSAVVLANILRGQLSRSSCPDTKSLVD CFAKYQASRFRDVKRTIDISGLYTRVVAWQNLAWKIIDLHVSPHTGGDIQLVKTLISP LIQKGAVLDFISESAFQQGKLPWRHTPIVCKRT
SAPIO_CDS8080	MDYTYGTNPHQVVSSCQCHWACAGERAGPSRKSRAQRSQLQKFD DLTYLDEFKYDPLTGSQKRIRLLRLQSGVPYGPEIRVELIEADYDNTFHIATKINKQA PSPSRNTEGEENEAGEAESADAGQHDEEDEDFKKREKELRSVLDVEERYEAVSWCWGD VNEEYASNAEERNHQVQMMSRIYTRASEVCIWLGEDTDESKLAIDFIHSEISVLKDFD SICSDKRYTEKWRALMMLMQRDWFSRRWVVQEIALASKARVYCGPDSISWKEFAVAVE LFVEVETATHRLSEIMKKDEEFEHVPGWFEHVSELGASLLVQATGKVFRAQRTPLDDD DAYDLDENAARERNLQHLRSNLKRIQTIDPLDRRSLLSLEYLVSTMSIFNTTEPRDTV YSLLAISRDAAPFAKTSVTDNDRSLRLMTLCGVFLEEKPFLVDYNRPYSDVCRDFVEF VIHRKARLDPVQALDILCRPWALEPKAGGSINDGVKKKREGIRLERQKPEKGKQKKKI KIVTPSDGLQPPEPLERTPTIQEIRAKHVTPDTEHLAKMKSIPLPSWVARASQAPFSH YHHPGMHILKTGRANADPLVRQPADGHRTYSAAQTEKLDLKDIKFRKRPMLGHYSLYV KGFELDTVKEVRDASQGGNIPRSWLDLGGWEEPYNKDPPDQFWRTLVADRGRDNRNPP YYYARACKEPVHKGGISSSRVNTDALINNEQNSIVAEFCRRLQAVTWNRRLFRTNGGR LGLASRVEPGDVVCILYGCTVPVVLKKETKTEIEVQQEMFEDCFANLISCIRRCETIF ERQERYGWKSKRFQEKLRKELEKQCEREGISKTEWETKREEYKKQLDDAREKVNSFMK KEAMEKEKDWEDKKKKELEENQEGLIENKKEEEELEESKEEEKGRGLEEKREDVWEKK KEEEDEEEERGEELEDEEKTDFEEREEEEEGKEQGKYEEEKKEEKKKEKREGGMGEEK EEKREEKREEKREESKEVAIEEDEEGAPVEKKEQYY
SAPIO_CDS8082	MAPKLASYKRIILCSDGTWLSSDEGDKSVPSNVAKIARAISKTG IDAHNNLVKQLVLYHSGLGSGDLPFQKAIFGALGLGIDGDVTEIYDFISNNYEPGDEL FFFGFSRGAFTVRSVAGLVSDIGVLAPIKMSRFPEMWKAYRENIGGVPFRKSEWYLDN KEELGLTDVTIKVIGVWDTVGALGVPDWPLVNLATKVGIPINKQYSFHNTRVSKYLEY AFQALALDEKRLTFPPTLWHKTEDGPTKDLQQCWFPGVHGNIGGQAEQPRTFGDHEEI GYNTFAWMVDNLSGMLTFDQASIASLIEEHRRALNRVNSRGHLTNGWGCGPIVDNFAG LQGAFFALLGRQDRTPGDYLRDPGDGSTGPTNESFHPIMRIRKSKLKEYDPPALDGWA TKEPSSRGAGWKWMKERLQVMPEYRLSPEKTMSVAYMSPDKAWTEQIILTQSVFWMSV VGLVMVTGLIQHLNENDLLLLSVSLAAPAVLLPALSSSRPDKDLPWSQCYWFKLNVWI AIVVTFGTYFGTHYFFDLMGMRYAFDVQWTFDSHVLGQSGQRVPIFMYPLTHAYFMTY YTALVVVERKILRLVQPTFPWHVLILLGLSYTVAFAETFFMDNSFMTGLFAYDKHERM LAVGSFGYASYFVVGLPMVRRIDEGERWTMMRVIIEALATCMAILVLLEVWAKMVGPL 
SAPIO_CDS8083	MPTRTQMNGNPDSQEQSNIVRILSEARQDLVSQSNRILPDLTLL QDLYKTFLASGIIDDRKYLVEKIIQLAVSLPNGSTIRNDLSGSFINTLWTSLQHPPIS YLGNEFRFRTADGSNNNIMYPWLGASGSHYARTVTPKSVQPSSRPDPSLIFDSLLARN GPAKEHPTKVSSSLFYLATVIIHDLFVTDESDVTKLKSSSYLDLGPLYGHNQEQQNLV RTFKDGLLKKDTFSEQRLLSQPPGVCALVVAFNRFHNYVVDWKLDPRETLTNVFDPQG TPRGIGNQVSVEFNMIYRWHAAISNHDEAWANGFFAQIFGPQVDPNALSVTQFLGQLR KWFQGLPEDPGAYGARNIPKVMKVIEMLGIQQGRQWGLATLNEFRLFFKLKPYSTFAE VNSDKSVADALEALYGHPDNIELYPGILSEEAKKPLAPGSGLCPNFTTSFTILSDAVA LVRGDRFYSVEYSPSNLTNFGFAAVSSDFDVAQGGVMYKLLMQAFPGWYRPNSVYALY PFTTVDGNRDILQEHGTATDFDFSKPSFVGPPKPVTSWQGVVDVLNDQDKYKVPWGKH TFEVTHHDYMLSGDSPANAQQRISVKACLYEPEDALAEVRQFYESATESLLRQHSRKV GDSYLVDIVADVGNLVHAHFSSQFFGIPLQGNAGNGGGISGAYKDWELYDVLADLFGY VFLDLDPAKSWKHRAVAIREGQRLGRVMEIATAEVKSQRFTGLKSLLGMGKSQSALVD YGAQFINRLLGVSNGVDDTVWTIIPTAAAACATQAQGWAQMIDLYLSEQYYHHWPAIR ALSLSDAPEDFEKLKKYALEGLRLSTPAFGVLRVVDATSEVIQDGEKTLAVKDGDTIL TSFVTAGQDPSKFPHPQEIKLDRPDNLYIHHGWGPHACLGRPIVTVAAACALRTCARL VNFRKAPGAMGEMRSKSAAGGFFKQFLSVDGSEWGPFPCTKKVMFDGFED
SAPIO_CDS8084	MSRAILVTGATGKQGGAVINTLIRNNAGFRILALTRDVNSRSAR RLAAKSPHVKLVQGNLSDPEGIFAAAKAATTAPIWGVFSVQVAIGFAQGDAEFTQGRD MIDASVKAGVQHFIYSSVDRHGSASIDNPTNIPHFIHKHNIEKHLIESTKNGEMSWTI LRPVAFMENFTNNFFGRVFATTWRIAVKEKPLQLIAVPDIGIMAAKAFLSPEEYKNRA ISLAGDELTIEKASQIFKKHTGRDLPWANRLICNLIMWSMKDFGYMFRWFYNVGYGAD IEEVKKIHPDVKDFETWLVTDSEFANEIKARQAH
SAPIO_CDS8085	MAITVGLAGITGKFGTLLATHLLKNPNVSLRGYARDTSKVRPSI VSSPAVQLYQGDAYNETQIKSFVDGCDVVICAYLGDDKLMIEGQKLLIDACEGAGVPR YIAGDWCLDYTKLQLGELFPKDPMKHVKAYLETKKTVKGVHILVGGFVDVVLRPLFLW DGKTQTIRYWGDGSEVWEFTSYENAAEYTAAVAADQEAVGVVRLLGDRKTIKEIASSF EKVYGISPKLECLGSQEQLKNRMETLRTQDPQDVFKYIFWYFTYYTINGSALVGPEVD NARYPQIKPETLEGYLRKRPVEQLGSAFASLS
SAPIO_CDS8086	MAALGKSVLITGCSAGGLGAGLAEVFREKGYHVFATLRSPSKLP STLSKAANVTTLTLDVLSSDSIADAVNSVAEKTGGRLDILVNNSGKNFIMPALDTSIE EARKIFDVNFWAPMAMLQAFAPLLIQARGCVVNQSSAAGYVPMPFGSVYNGSKAALTM ASEIWGRELQPLGIRTITLITNPVKSRGFDNIDKPKIPETSHYYVIRDYLDRLTDGRL QNGAPDARTYAIQVVGEIEKGTSGEVWVGKDAAMGRWARSWLPQFVFDMILEGVFKVN RELAKVAELNNAGK
SAPIO_CDS8087	MDESSHQMRFIPAEMPFIVSSDSGKADQSTRKLIRSHVMRGRKP KRAKRRSVVGRASSHPIQRIKVTLHEVVTMYTSLQPQGVSTHLYFVDFPSEIEPSILM GMAQVSTVAMRIIFPLLMEIGFHPDGKTWLYPAERDVAALHINAFAIQTFIDRVLRGH PQEMVNASATLHHQKGLKLLRERLGGNDEEAKVSDATISVVLKLASAAHFNGDVEVSR HHVQGLRRMVDLRGGLGVFQENPKLLVELWRCDLGTALLANKDPVFYRQANEPVPAYP ELTMSNLGVRPHARENAEFVHGLDERLSELWTVARKFCLLANLGTQTEGNQDFSGMIQ IRIDIFVGVGHALPHRCSHPKPVLATLRLFWRSRAACPADKALGTSVDVDFTKGASDY FSESGDLAYTSNGAVLTVSKSGDAPFLTSDFYIMFGRVDIALKAAPGNGIVSSLVLQS DDLDEIDMEWMGYDGANVQTMYFDQGVGPSTRLGIVAAADNQGEFIDYVIDWTSERIT WSVGGTVERTLEAGDASTLEYPQTPMQVKIGVWSAGDEDTNAEGTVEWAHGPTDYSAG PFSMYVKSIKVTDYSTGDEYVYGDKSGSWKSIEAVNGVINGNLDGNSTASKTTTETTT SARSTTATGTGNTSATISSSAKTSSTTAELSSVAVAKDAHSLYYNIVIGVFAPLLLFS I
SAPIO_CDS8088	MAGRSTSQAPELAAPVTLQIMSDLHLETPRFLPMYADFRIEPRS PYLALLGDISHAHDRRLFQFLEGQLERFDVVFFVMGNHEPYEYDDGASDEQQDFTNSA AVYILHDFERSVSDRRRVQLERNGVSSLGQFVLLDKKRFDLSPTVAVLGCTLFSRISD LQKSTTSLFVSDFSMITGWSVDAHNASHKTDLEWLNNEVETICHTEPERRIVILTHYS PTNLPEANNPDHLEGNRGVQTAFVTALEQERCWTSPAAKVWAFVMQFERRRTGPWIRR TGEPTIPA
SAPIO_CDS8089	MEDKGGMIVVTINYRLGLFGFPPRKAGVRDVVSNAGLYDQRLAL DWVQEHIHRFGGDAGRVTVIGESAGGGSVMAQLAAFGGEDASSPFQRAILQSPAMKPI INDSQYELVYDYLLETSGLQSYEELRNLPSKDVQAINTAMVSNAAFADTVFQLNVDGE FLRDIPVRLLMQNKIDQSVDILVAHNSNEGIMFTDPRTEDDDTFRAYFANLLPDLSSE KLDIMATEIYPLDFSGTQPYKNHIERLVLAVGDCLFDCYAFGMNLAYENRTRGYLFDI CPGVHAQDASYSLHDGEETDYFGRPIDFLAAGAMKSWIVDFTLMGGRHGSAARQLPIF GSTARILHVGKQDATESNFTVVKDPAANPRCQFWLDGVFA
SAPIO_CDS8090	MGVEGIEVKGYDGPDTAEGVILEKHAAQVEAKYGQTVRGLAPRH VQLIAIGGAIGTGLFVGIGGVLSKAGPLSLILGYLGWGMFFVWPTNLCVAEMCSYLPI RGSIFELAGRFVDPALGFAMGWTYFYTGVMLVCVEYSAVATLMQFWVDGVNPAAWIVM GMVICITLNVVAVKWYGESEFIMASLKILLLFGLLMLTLITMCGGNPKHDAYGFRSWG EGRAMLSYHSEGATGRFLGWWKVMIYAAFTITGPDMIALSASEIQNPRRTIPRVAKLI FYRLVGFYVLGVLGVGIICASNDPTLKDALVNGAPGAAASPWVIGIKNLNIKFLPHLI NALVLTSGLSCGNAYLYASSRTLYGLARDGQAPKFLMKCTKAGVPIYCVAVVSLLSCI TFLVSSTSAIEVFYWFVDLTTTGFIATYTMMIITFLGWYRARVKQVLEVAHLHYVAPY SPYGAYMALVLGVLALLFCGFDQFAPFHVQGFITNYFCLPYSIGAFTLWKLVKRTRFV RPEEADLISGKKEIDDECRIWEEGGIEENWRRKLAAMPFWQRLWERMW
SAPIO_CDS8091	MAEESKNMRENGGILDRVIFSTRTGVSADLAYLDELIASNPRYS KYVPGVGYKAYVGSWEPVKNPDAIYIKIDDDVVFIEDGAIPALVKRLDENPQYFAVSA NVVNNPALSWVHYGLGVYEPFWPVSLPFYDSGPLEFSLL
SAPIO_CDS8092	MSLTVLTDAQAKSVLDNLTATQLLGFQRHLSKALREYSTNTQSI EDGTYHQPPRTHHSNPRTGTTTLFMPSVGPTGMGIKVVTLSTPGQQVAPAIKPTGAIM LFAPDGTATGLLHAGAVTAFRTALASSCLVNLRSTVRDIIVFGAGKQAYWHVRLALIQ KGSTAARVTVINRNKTTAQEVLRDLDNVPSEVKAREGWQAAKLDVLSPDTPDYKGLLQ QRLREADIIFCCTPSTEDLFDGSILTSGEGRKKTRLVVAVGSYTPAMRELPEELLLQS AKAPRNDPLDGGAIVVDTLEGVMTEAGEIIHAKIGPSRLVELGEVVDLDQNSSAAGEA KHKDEARARWLSEGNVIYKSVGLGLMDLVVGGYLIEVASEGHLGTRIEGF
SAPIO_CDS8093	MGAFDRLSQISGQIAGQNGREKLLQKNPDDVRTLGTNNFTHPQI VVTACCRTALTKGGRGGFKDTAAADLLAGVLAALIDRSKINPALVEDIAVGTVLAPGG GATEMRAASLVAGFPVTTAVRTLNRQCSSGLQACVDVANQIKAGMIEIGVGAGVESMS TQYGPGAVTEFSDLLNGHTEAANCKVPMGRLSEDMAKDLKITRASQDAFAAASYQKAL QAQKDGLFDQEIVPLKVKWEDPKTSEVKEIVVSKDDGVRAGVTAESLGKIKASFSKDG SIHAGNASQISDGAAAVLLMKRSTAEKLGQTIIGKFVCASVAGVPPLLMGQGPWKAIP KALDLAGIGRDDVDIYEINEAFASQCLWCANQLGIPAEKINPKGGAIAFGHPLGCTGA RQVSTLLYELKRTGKKIGNTSMCIGTGMGMSAVWVAE
SAPIO_CDS8094	MSVSITDLVDFDQQSLYISAAAIAFNPLFWNIVARQEYRNKILT KLFLGNSQIACYFLALTIFSLGMVRDYLYKEALAPQPSHPALETDAVKLASYALFAAG NILVITSTWALGITGTFLGDYFGILMDDMVTGFPFNVTDAPMYYGSTMSFLAAALYYG KPAGVLLTVWVFLVYKVALAFENPFTAGIYAKRDRERAAQKKGSKKAE
SAPIO_CDS8095	MRPLLDSFAEEEMRFTTATSTDSSAPLTAAHTDEAVKKDMRGDL GCQLSKDPDVPLTIILFSTIVPSTSLGQSTQAAPTGSLQMVESMACPVDAATQMHTLI SWDTPELSL
SAPIO_CDS8096	MGCFRHHMRHMYRGKQGQLGWLRTMLYSSAQMVIRADPVISHRV PPHRLQPWGVMDEAGNPVPGKGYNMVQGMVVLDDEDHKNCTKTLLGFSQSNGEKLPAV RLTPKSDDGPLEYDSEWPTTPNSCLPRC
SAPIO_CDS8098	MGQPRCCRRFVAHHGRPSHLNYVKSFYTNTGAYELTLHAADVQA FSDLQKEIEEGRKFTRVRMFVRGKIDFGEISWSTPGDASGESPFQPERGLLRKIVTTA DAICVTTHITWERSYAGFSRNVAKGMILEEAPLL
SAPIO_CDS8099	MATPGRPLIVGNDDGPEAPFPYQMEGKITTGFQRGSTLIGFPTA NLPINLTRTPWINTIPTGVYYGWSALRLPPSHADYPFSSSASSPPSSPYALYPMVMSI GYNPYFKNTVRTAEVHILHKFEQNFYGEPLRLLILGYIRPEKDYPSLEALIEDIRFDT KVASESLKRRAWAPKGVEVVGGWEGGELDVQWLVREEEDYDEVEVNGVEVNGVDGEKK IEENDDAKKE
SAPIO_CDS8102	MPAPNGSVTMTENGEQYHNGKDMGLDADDSKMTDQNHNSDVVVQ VEEVKKKYDPKDPFRPRRKKARRACYACQRAHLTCGDERPCQRCIKRGLADACQDGVR KKAKYLHDAPPEALLPVLGPNYNPNSPSTRQNEHNKPQVTQDSEPTSVAGSNFASIPG TNQSFPVYSTVQPPVSGMPEGLGFATQTSPVSPSFQTPRTSQLGVMGLSSIPDPIGIS AIFDPSNPALFNFDLDNLNFGSQYPAMEFGILAQMSSGAADVNPSDPNMHTPPTSGVN FPGVFANSNNNPQYNGPLAYDGLPDFAAAIDSNSQNFGLQGTLQHGLPHAYAIAAQAN SAGLASPSTETTASPQTNLFEGSPPTGHYALPAAGQNNNSAGQQAAAPHNNQSKTKSS MTKRGPSQSLLGKRRRDSSFIYETVKEPYPYTNGFHNLIAFLQKRFNPNKTLRIAKSL ASIRPSFISCTKTLNRQDLIFMEKCFQRTLFEYEDFMQHCSAPTIVCRRTGEVAAVNK EFTALTGWTKDVLLGKEPNLNTNTGCATTNGNSSRVGMAPHLRPTTSYDTANGGEKRP QPVFIAELLDDDSAIEFYEDFAELAFENSRGKVNRKCRLLKYRPSAEGGSSSSAGTNS ASKDGHSNRGSILSGRVATIDGEHGIRGIEKDGKVDCTYCWVIKRDVFDIPMLIVMNF LPCYYRNQGPRQLAV
SAPIO_CDS8103	MRKPGQTGLEIDSGNANENESENENENEKLDASEGDPLHSRTSG PTLSAEAIARIERDQRRSAQRRSRQARIPREEYVEVQEEKPRKNNNKKDKRKKKRVVS GAVLEEGRGKGYAGVRGSAGTDDSLLKEYRYQQPKWWKRRKIWMFIGGGVLALILIIV IAVVASKKKKSGDSDSSSGPSTSGLDGKDRNSIPVEYQGTDLDPWSWADTNDFNVTFT TETVGGLPVMGLFSSWDDSKAPNGKVPALNKPWGSYTDRPARGVNLGGWLSLEPFIAP SMFDYDSRLGIVDEYTLCEHLGDKAKETLERHYASFVTESTFKEIADAGLDHVRIPFS YWAVEVYDGDPYVFRTSWRYLLRAIEWARKYGLRINLDLHGLPGSQNGWNHSGRLGAI GWLNGPDGQKNAQRSLDIHDKLSKFFAQDRYKNIISHYGLANEPKMTALDPEAVISWT SDAYKLIRDNGVSAVIVFGDGFMGLGKWKGRLTGLKDLALDVHQYVIFNKNQIVFTHK EKIQYACQGWTKQALESMDTSTGFGPTLFAEWSQADTDCAKHLTNVGWGNRWEGTYNN AGVDKDEMVTTPRCPAEDETCSCASANAAASQYSDGYRKFLKMFAEAQMHSFEKGWGW WYWTWKTESAVQWSYRAGLDAGILPAKAYDRDFDCDGDVPDFGDLPEYY
SAPIO_CDS8104	MKSKCIHHLARTARRPALLTRTSSVPITTTSSLRLYSTHPPNAK LNLPVDYSTTPLLSHTSQTALATPDLPAHVRNSTTQKMNLFQAINDALSTALASDESV LVFGEDVAFGGVFRCSMNLAESYGAERVFNTPLTEQGIMGFAIGAAAEGMRPVAEIQF ADYVFPAFDQIVNEAAKMRYREGKTGRSAGGLTVRMPCGGVGHGALYHSQSPESLFTH IPGLRVIMPRSPLQAKGLLLAAIRSNDPCIFMEPKILYRAAAEQVPTEDYELPLSKAE ILKPGEHVTMISYGQPLYNCMAAIERAEKDFGVSVELIDLRTIYPWDKECVLNSVRKT GRCIVVHESMVNAGVGAEVAAAIQEDEKTFVRLEAPVTRIAGWSIPTPLMFEKFNFPD VARIYDGVRRVMEY
SAPIO_CDS8105	MPFDTELTRRLGIQVPIVQGGMQHVGTADLASAVSNAGALGIIT ALIFPTPEGLREEIRRCRTLTNRPFGVNITLLPSLVPPDYAAYARVVIEEGVKVVETA GNSPGPVIKQLKAAGIIVLHKCTSIRHAQSAVKLGVDFLSIDGFECAGHVGESDITNF ILLSRARQTLKVPFIASGGFADGQGLAAALCLGASGINMGTRFMCTVEAPVHINIKKE IVKAQETDTALVLRKWRNTTRLYKNKVVEEALKVEREATGDSFEPMAPLVSGKRGKMV FVDGDPNFGVWTAGQVIGLIHDIPTCKDLVARIEKEAEQTLKERLALIKPAPKL
SAPIO_CDS8106	MSSERLQEGRIRLDRKARHFEDDLELPHKTRSLDHGLPHAPATS HGTYLGGLNEDLEDLEFYQLGGYHPIHLGDELGPPGRYRVIHKLGHGGFGTVWLCRDS QASRYVAVKVMTADLSSDQILDLCLLELDQAIPGADYIALPLDRFSLTGPHGTHQCIA LPVFGPRVSPGFWHSMDMDPGAILRKMCLQATQALNFLHQNGIYFRPANILVKLANLD DLSEKEILSILGQPLKSEVLTEIGIDHPRSTPQYLVLPIDLTTLGPEYLTEDICLIDF GESFRTSDPPTNLGTPENYLPPEVFLRDEPDIGPYTDAWALGCTVFEIREQIPLFYMT YDEDDLLYEMACYFGRPPQNLWMQWEGRSDYFDANGQRLRKSDEAQTFDKVLAHRIEI LGDRQSDGKRRVAKTFSVPGKEQLVLKDLLLKIFKYDPKERASVKEVLEHEWFRV
SAPIO_CDS8107	MIPNHGAALAVAAPRRYLSTENNSTAGDVEAMLKSANMKGVVEA HGLQALQGGLTPEQEQTLYEEGVIRPASTGNRAIDDMTEIATGLKVVGSGEGHKFPLP ELPLPWHMQMKNRYHPVLMQISRLLMREGKLSKAQSHLARVLTILRTSPPPILSPKYP LLPGAPPSQHLPLNPIVYLTLAIDSVAPLMRIRHLRGLAGGGAALEMPMPLEVRQRRR IAFLWILEAVNKKPSMGSGRNQFAHRLASEIIAVVEGRSGVWEKRQQVHKIATAARAN LSNKRKAKSKKNA
SAPIO_CDS8108	MASQHTMSLEAAMDEERLAILEILERQAAAKAAPQTVRRSASPM TSPRSPIRSMLDVGPESSPTRKGTPPPVKYRSLLDIGPAPPKTQPPVRSMLGPDTAAP PSPGYPPSVRSSISEATNATGSSGGTASGTSPRPTSETPRYPDSSSRRHVSPHRTNLA DYQFSDIITSQIGQSLPMPKRNQQGGRTLTSPLAQVTSHPQPVLPQGHEPLRHSISGS IPRRGNQSRSPHSQRSVRGPSPPAALLPEKPKSKVAMLDSGYEVDLSKAYKNLSDASL LASHGPLAHLALQKASQQEDGEGPLIKAYVGPDGERLDDSSSDETHTDADDEEDHRGR NTAPRIVYGSEELMPSDPTREPKSLLAAAEEERKQLSAVYKVRSLFDDDEDTKKSGSN GSERTTRQGRLSASKERVIPSSTVNSANNSENEIERDEIRKAQEMSVKLTDVFSTPET NRSVRVIYRGEFTKVVTAAVEEHKKLRKYLVATDLSDHSQHALEWAVGTVLRDGDTLV AVCCLSEEAAEGENKLGGDDNNDSGKKDNNSLAAPVPLTQHRSSSISLLTSALGGRDS PYGGNGSSAAGDSTSNVSTSSATKEQDRLEAERQEVVEQITAKVSRLLRRTKLQVRVI VEVLHCKNPKHLVLEMIDLIKPTLVILGSRGRSSIKGVILGSFSNYLVTKSSVPVMVA RKRLPKKKTRGPIKQINNLSNPAGRSLASAKID
SAPIO_CDS8109	MWYNSMNSLFTVNNHVSISLLSVTVTPSTFLAAFTISLLVISIY LSFYLAPISAITAPIRRIFALVKPKRKASPSTALLPLVAATGPYLRYLRRLRAAQKPR SCSAPRAGPTTPTSAAKRSKKMVAPAVLDPLDHLDASLQDFEPTTPLRFGYPSHHSGF RSEGTEAEESDDPDSASAGGYSPPAWRRLENGDRSNGFWRKSDNILGHFPNHRLQNQR VNGMMTPGYESAGEEDEVEDVLERAIRTRLPTGSLSPEKGLSPEPDRTLKIDEMVMKS VSPGMQIDTPDNYIRFALRAEVQHRTEPIDAAITFARTTLTTITRTWTSLATSVLVAF LSLATMRSLFLQPASQSPVPDLVKVAGLARSFEPLIYYSENGVAQVGDLQATSVAVWD LGESVRSSNMTSAAIIVKELDELSDSLKTLAIELTKFFANVDGDIDGYGLPFAPSSLP FRLYPYPFLPRLTERTKRILIVMDWARRELSQLRTLPTAPFSSALDNLYSALSPTHIL ETPTGDPTTLSRVLTSLFGRTSTQRTRQTLQRTFTEFLSVLEESINSELQASLALFAL FEAIDQQFLNLARTVVRESTHQDEAHADLLSSLWTRILGPNAAEVAKYERNRQLLRNV REKTVRNKGILLDHNNRLLTLKANLESLRRKLVSPLVRSVNSSTLTVEEQIRGLEDVG GYLEDVRRRQKGKLMEMVYGGSAMRSNLIDERS
SAPIO_CDS8110	MAAPSAAAVDQLAHELGNASLNGSDAPAAPAINTNVSGEAGDEL DTAGPTPSSAAPHPQASASLYVGELDPSVTEAMLFELFSQIGSVASIRVCRDAVTRRS LGYAYVNYNSTSDGEKALEELNYTTIKDRPCRIMWSQRDPALRKTGQGNVFIKNLDVA IDNKALHDTFAAFGNILSCKVAQDENGVSKGYGFVHYETDEAAAQAIKHVNGMLLNEK KVYVGYHIPKKDRQSKFEEMKANFTNVYVKNINTDATDEEFRELFAKYGDITSSTLAR DQEGKSRGFGFVNFTTHESAAKAVEELNGKDFHGQELFVGRAQKKHEREEELRKSYEA ARLEKANKYQGVNLYIKNLDDDVDDDKLRQMFADFGPITSAKVMRDQAPEAPEADAEG KDKENNKEAATKEGEEAAAPAEGEKKKSEKKLGKSKGFGFVCFSNPEDATKAVAEMNQ RMINGKPLYVALAQRKDVRKSQLEATIQARNQIRLQQQAAQAGMPGQYLQPAVFYGQP GFMHQGGRGMPFPQPGMGMPAMQPGRPGQFPGGYPPQGGRGIPGQIPPNMFGIPGQFP PGAAPYPQANNPQFLAAIQQAQQQAAAGIQGGRGAVPPGRGPIQGMPPNMAGIPAGPG MPGYPPNARPQGNLGRGGAPRNGQGGNFAQGGRGQTPVAGAEGAGSASLLQQQLAAAG GPAQQKQMLGELIFPKIQVMQPELAGKITGMLLEMDNAELINLIDDTDALKLKVDEAL TVYDEYVKQQQTAGGAEGEGEKKEEEKKTES
SAPIO_CDS8111	MNFQTFTGSSRRPRNVNLSGQPSNPNPFASTSWNRPGDPSRTVF KAQADRQQRQRERERLQAARTIQRVYRGSVARAQARELHRSRFDRIYESLPGTGDAAL RVRRAFPDLVTSFEVSNKDDVKRLDRLCGDLETVGVASLSNLSSSHVARFFGHLLSAL EKTVRDDSHSDISSRLNILASLISIFPAATLSADQPTRFYTLMAGLSSESKLSPSDAA AVTRASLAPLQVLKSLQDSPTKADLSTNAYRSFIFFFLSAPNLTLFENDCREFLASLD IEYLASAIAAQSRTPPMGLSSGDGLLWLLAHFIQLSSTATGYRTEVVLAPIYLLLSSQ GKDISQRMGAGSPEDALENAAATEVNTTQARPLPDYIKTQLQSLVSTDSIKNLLNQLS EQREAVETTRTVNVLSGYLLTLLQYFSDQSDNIRMRLYLSDLRSSKGTVPAVKWLWDA AASTGIYRQGRTDPHAPVRILKNYVSQQSRQGPASLDGDAEWRALLLFLDLYIFVLRL ADDDDFVTGITPHAHQTSRIQTCCLTLEDIKGLTVFLKSFSFALYHDISSIAPSSTLP RTNTDAVDRSGATATTTTISNPLDLDGVKNLITSAMQLLYERDSRLRLLPAGHWLMTS RFNMGGFISDVVAELQRQQEEEEHSDNEDDEYSHEPMARFSSPSHARQHMRIHQLREL EKKQRERYMATIGPKLEILKHMPFAIPFETRAMVFKQFIHLDKMRRRGGFVDADQWRL SLRTSERGLDRLGKHSADIRREHVLDDAFKQFYPLAEGLKEPIYITFYDRFGEVEAGI DGGGVTKEFLMSAIQDAFAEDSSWFTRNAEGLYYPNPSAMDWFREKRARATRASEREA LENESRRVIQQYEFLGRLVGKCIYEGILIDIAFAGFFLMKWRAAAELNRYRGTVNDLR DLDEELYKGLMALKNEPGDVSGWDMYFTIDDEASFGASRDKFTVTRNLIKDGDVTKVT NENRLLFISSVAKHRLVIQPALQTNAFLRGLRSIIDPSWLSMFNRVELQRLVGGDSRA IDVEDLRRNTVYGGLYVIGDDGMEHETVRLFWRVMTKFSDEQRREVLKFVTSTPRAPL LGFAQLSPLFSIRDGGSAEDRLPSASTCINLLKLPLYSSEETLREKLLLAVESGAGFD LS
SAPIO_CDS8112	MSSSPRRLLPLPLVSLLWVALGTATIPQDCQKYDTISSFANPPL SEGKHALPYQRPEPRCRTFNSTEVENVIEQMRKEIVDPDLFRLFENTFPNTLDTTISW KGFAKDDPEEDLTFVRTGDINAMWLRDSANQLQSYKSLLKPNSSPDSLASLFRGAINL QGRYIRESPHCNAFQPPLEASLGPEYNGWVTSDFVVPRFSTDFVFECKYELDSLAAFL QLSHDYYSQTKDVGFFKKTRWKDTISIIVETTSELLTGTYASDGTINKSPYIFERKTN RASETMSNEGAGAPVKSNTGLIRSFFRPSDDACTFQLFIPANMMFSRYLAACAEIMRE IDVEVAEKMDGFAGVVRAGVERYGKFKHPEFGKMYAYEVDGFGSRYLMDDANIPSLLS APMLGYVDMKDPVYLNTRRFVLSTENPYFMYGPVLNATGGPHIGPGMAWPMALIVQLL TSDNDFEIEMGIRQLLSSTDNLGLMHESIHAHDQHRWTRSWFAWVNGLFGQMILDLRE RKPHLLRLTYQ
SAPIO_CDS8113	MALLSIGMDFKRPLRLLALVVVFGFLLFRYNPLQESRYSWMLPM DWSLNGGYRPDILQYVNPLIGTINGGHVFPGPTHPYGMAKPCPDSMIRGENAAGFVSD DSPIGGFSHLHDSGTGGSPSMGNFPIFAHPGCPSDDYTQCNYTITDRMLPRVPGTAEA HVGYFALNLTNNVRAEMTASTRTALYRFTFPSTQDDTSISRDTRPNPPISPLLLIDLQ DLGQTSLSASAGCQVYAESGRIIGEGTFMPSFGRGTYQAYFCADFRGAAVRRLGTFIG KEARADTTYLNAVDRGFQNPSGSGGAWVQFERPEESDQIVARVGMSFVSVDQACSNAE AEIPDFDFDRTVRDVEMIWSEKLSVVELDTRGVHPDMQTIFWSGLYRSMLSPQNYTGE NPLWESKEPYFDSFYCIWDSFRAQHPLLTILDPNAQAEMVRALIDIYRHEGKLPDCRM SFSKGFTQGGSNADVVIADAFIKGVTEGIDWDTAYEAVISDAEVTPKDWSLEGRGNIE AWEQYGYIPVDDRDLQGNGPHSRTVSRTVEYAYDDFAIATLAKELGHETDFAKYMNRS GFWRNIWNPEQEDLYHDADGDVQRTNFKGFPQPRFKDGTFKYQTTRMCSPVYEPHKCY FDTAQSTYEGSPWLYSFYAPQDMKGLVEVFGGKQKFFDRLDYYHSSGIADMGNEQSYL TVFQFHYAGRPGRSSYWVNQYIPAQFNASVNGIPGNDDCAMGAFVAMSLMGFFPVAGQ SVYLLTAPFFPEVKIKSGGPRPAVIRRVWAAGQEEGGIYIQSATLDGKPYTRNWISHD FFSGGGELELVVGTEEGNWGTADEDLPPSFPHERSWMDGLGT
SAPIO_CDS8114	MASRAPLRCLARPARQITQRTRPRFPLRTGAAIFTASSPSSIRL YPALTAAASRTFSTTRPRRDDDDDEPFDPATIERESDEVDVCIVGGGPAGLSAAIRLK QMANEAGNEDFRVLLLEKAGEIGAHILSGAVIQPTAMEELFPDWLDEANPDRFEFATP AEEEHMRFLTKTMAIPLPMPPQMNNHGNYIVSLNQVTKWLGERAEELGVEIYPGFAAA EVLYHPDGTVKGVATNDVGIGRNGKPKDSFERGMEFHARVTLFGEGCHGSLTKQVIKK FDLRRDCQHQTYALGIKEVWEVEPERFKKGSVTHSMGYPLSKDVYGGAFMYHFGDNLV TLGLVVALDYANPWISPYQEFQKLKLHPTYKSVLEGGKCISYGARALVEGGLQSIPKV IFPGGALIGDSAGFMNVPKVKGTHNAMKSGILAAEATYAALSEGDESGVYLFQYEDTL KKSSIYKELKEVRNMRPSFHNPLGLYGGVAYSGLEAFVFKGRTPWTLKHKKPDYASTR PADQFPKIEYEKPDGKITFDILTSVSRTGTNHEEDQPVHLQVKDWDAHAKAAYPPFKG MEARFCPAGVYEYIEDESKELGVRFQINSQNCIHCKTCDIKAPQQDINWTVPQGGEGP KYYLT
SAPIO_CDS8115	METIQTHPSNAAQAKGFMEPGSFAFPNSQHALNGTTPDVKPNGQ VPAVNGSQPANGTGVTPATPAATPATNPGASGLTPTLQNIVATVNLDCRLDLKTIALH ARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQKL GFNSKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRMIKPKIVL LIFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFRKV
SAPIO_CDS8121	MDISDLLVRHEKLVHLNDGNKDASRPRKPSSSTVVSPVSGHTPD RRSDREVLSMHPSGAPQPYLAEPIASASAPNIPQDVRVQQPRNAPCNLDLLSDAALAS EVNPMQGMMTSDLSHGPQPTGAPRLTHRGYEEHPVAEASYSTRPREEPAILSAGFVSQ TASMFDEYSFFDELTVSSHFLPPPFDSEQQHGAWSSKDGTPKAGSQFASVLSTSQQDS EGSSSRFADDSSRTPRLRISPGDHTVLKGRIDEFSSILPNDFVFPSRHTLIRFVEGYI SGFHERLPFLHLPTLSLSEVAPELLLAIMAVGAIYRFENNRAYGLWYASRSIALEQIR RRNSNEVYALLPTAAAYSPNSRRPSPNAGYRHHFSSTSQDRPTARDAHREPFSPGTPQ SRLETLQAVLLLFIVGLWGPKAVLRESLSLQSRVAMLLREETRSLGNMSQSAAPDWES WIRIEGLVRTKSVAYCYFNLCSIAYNGPPSILTAELNFYLSQPSRLWRAETAWQWQEA RQAIVIVDMTVHEALSRLFARPQQGLPPHISSLGSHVLIHALMQQIFLLKQTSLSLPH APSGHPTIRPDDVEEVTHALRMWQMAFEQGNQMRAAARAQSGFVLGDSFPGGPIANNS TGVLRMAYIRLCSDMFPSRGLEARDHTAMAVALADERTTPPRDIKLHRALIQALHALS RHNDPPPTVEERTILDTVWRMVDETEFAVPVDASGPGGPANDSMRLRLLAAAVVRMWA ETFRGAHLFEVVKVMGNSLEAFGDLAEKPKEQTPLGRPGHEVSM
SAPIO_CDS8124	MDPRGQNPFYESAIVDDDSAKGTNTTSSGHLVSQSPLPRSRSFS DGGPSGPKLSPSPNIIAAASSRRTKDDIALKDTTISTPASLSASTPSTPCRDEFPRRG LNLQAPPNRDFTSPGGPTSSHQPGSNSPYFAGRVAPLSPKLDPSQVFASPTNILPRRS RGLDFSRAATSLHHSMLADQSSPDSSPTMGGRAMNIPNRRSGDFSSTEQSSNSLWSVM GSQERMNLSGSLGSVPGIVPSDSSSSSDDDVMDEDMDESYLTTPQAKATISLGGPQPA PWSLGGSPAVSSLMNFQQRQRPKKHPKRRLRAGLGLGGIFPSGVSKSPPNGMAMDVSH SRRESISWQANQLHLSGSEGEDVSSKAENPLGTPSRDRSVIRRVVTRRGNLFPKTKGF ARIRAALAEESAPVEAEICREAEVVRQVLESDVPYERGPTAIPPLSAPTTTNSSPSLK PQDDMDDMITDSGLGISSTSRLQQQQQQQQPPPLKGLLPSARLPWDAAAAEPTSNTSS AYTTPPLPPFRPRGSSTISEDMSMDSQSGPFSSSTQPQPPSAAEITRRINNKRRRDDD LDPVALKRRAVSPSVSVHNSPVMQSPMQRDAAPWGSRPGSNCGDRGGSSAASDSGSIG GTPGSVSVGATGRIGGGGKGRVGFQGMVDTSDGMMRMSIE
SAPIO_CDS8125	MATTTDTNTYKFNHSMIRVKDPKRSVEFYEFLGMSLINKLSFPD FKFDLYFLGYDSPKALSHGNKTFDREGLIELTHNYGTENDDTYKINNGNVEPHRGFGH TCISVDNIQAACKRIEDAGYKFQKKLTDGRMKHIAFALDPDGYWVEVIGQKPLEETEN VTTTDVSTYRMNHTMLRVKDAERSLKFYQEVIGMTLIRTHESPNGGFNLYFLGYPGKE GIPADKNTSNREGLLELTWNYGTEKDEAFSYHDGNKEPQGFGHICIAVDNLDAACQRF EDLKCNWKKRLTDGKMNNVAFLLDPDGYWIEVVQNEGISGKDNF
SAPIO_CDS8126	MAPIAEDPVPAAPAVPAKPRVLVPEKVSPDGLAMLQGKFQVDIR LGLSAEELLTIIPGYEALIVRSETKVTAPVLQAAVNLKVVARAGVGVDNIDVAAATAR GVIVVNSPSGNILAAAEHTIALLLATARHIGRADGTLKDGKWERSKLVGVEVGGKTLG IIGLGKVGMNVARMAKGLGMKIKAVDPYASAEVAERASVELVADLKTMLPQVDFLTIH TPLIASTLDLLGEAELQQMKKTARVLNVARGGVYNEAALVKALDEGWIAAAGIDVFTS EPPAPDSTASKLAKHPKVVATPHLGASTVEAQENVSLDVCAQVLKVLEGDLPTTAVNA PLILPDEYRRLQPFVSLVEKLGSLYTQYFVGRKGGMIGGRTFELVYHGELAGVTNTRP LLAALVKGLVSTISDSQGRDVNIVNATLIAKEKGMVINEIKAHDTPDLVYASLVTLRA FTEGGSGVDEEPQIIEGYISEDAAYISRLGRFHGSFEPEGTVLVLHNYDTPGKIGGVG MVLGRQGVNINFMQVASHEPKPRKKPGSANGHGNGNGNGVVHEALMILGIGGHVTKEV LDELAATEGILDLTLPGYMDPEMVERWIEECQNSDTSECPTSPKRRRAPAPDASNKAK RPRLLPLANVDPNFDRSMSTSRDSNRGPEKESSQMAARDDPDREPTPRPRKRASPFPA PALARRGLNDSQSAVSDSQDRGSGVSSRTRSSSPIKNPDDLLKLKKPVSWTTPEPRAL RDAVQAIGSGQALRLFDDVWKIVQKEGYLPQELKGILKDELMVGDSHFATNSRDIFIS EKNKHDASRLSLGNEVASIAVYSELCTIRDIATTTVLFVNSTRSEATWNDHIHGPILR LAVSSTPDVAAENITQASICRAFVPASRGEIEILNGKMIDYALLLRPEKSLSVRIAEF VDGFDGPRTFNQSTNGPLRYEPTGVFVETKVESRRRAEGKAQLGVWLAAWYGRVAKFA PVPPTAAGTVVNLPFVPVLLVVCERWELYFAFDKDDEYEVCGPLEIGSTATVDGSYRV LGVLRLLARWVAGEFRNWVERCVALR
SAPIO_CDS8127	MSTTRARPPVLNAFLSARSAVIGLCRVAFLGLRHVTAAPLTALG HGGVHEPEADGPGLVFLYILSAILVVAGGAFAGLTIAFMGQDGIYLKVLKDDIHESSS KRRAAEKVLNLLTRGKHWVLVTLLLANVVVNESLPVVLDRCLGGGIAAIIGSTVLIVI FGEVVPQSICVRYGLPIGGFMAPAVLLLMWITAPVSWPMAKLLDYILGTHEGTVYKKS GLKTLVTLHQTIGEVNERLNTDEVTIIRAVLDLKDKPVGNIMTPMVDVFTLSADAVLD EKLMGRILSAGYSRIPVHQPGNPTNFVGMLLVKILITYDPEDCMQVKDFPLAALPETR PETSCLDILNYFQDGKSHMALVSSAPGQDHGAAGVVTLEDVIEELIGEEIIDESDVYI DVHKAIRRLTPAPTTMLFRRDSVHADKTAREQNLPGEGRRSTDSRKPDIAVTGPDGEG PPASPKTVTFMMKRTSGGVDGVESSTIPVKNMDQLRQHLRHLGPSNPASNPKNTTSPS VTIKPGSSAMPSPASGPAKDIRRAKSALAIVHQPEAEEGDESDEETPLLVSKMTPKGK ESHLTSGKLGYGTADSNGSGTPKAETQAITTQSVRSDGSVTITPGDDLATSLSKGQSS EPQSPQMSGSNTSSTNNNNNNKNDNSGNGGGINFESPSRPTMCRTGAITESYVETGGV RKVVISASSGDEEDEAAASAGGSPVAQPTPPIAITTTTTKQPGAEDNGEAHDLLDVVS PSTEASTSTVGQGQGGGVSGRKNKKKRKGGKKK
SAPIO_CDS8128	MGLHNPLPSSMASECKKCGKILTSFIDPRQAFGPDKIIPPSVLA NAKGLAVLTVIKAGFLGSARFGSGLVVARLHDGTWSAPSAIATAGAGFGGQIGLELTD FVFILNDASAVKTFAQAGSLTLGGNVSIAAGPVGRNAEAAGAASLKSVAGIFSYSKTK GLFAGVSLEGSAIIERRDANEKLYGTRYTAQQLLSGTVRPPPQAAPLMNVLESRVFSG MRSGTSAADSMYNDIPVYDNRTDDVVWNGRRGSAYGEDMPRRNSGDFGRNAGSGASWR DNDYDRDFGAPQRASTYAPSGSLSSPTSAGAPRRPAAPKPNFASKQALLKKNEAVALY TFEADQPGDLSFKKGEIITVLKKTDSDNDWWTGMIGNRHGIFPSNYVKMKE
SAPIO_CDS8129	MSEAYERERQNNARLDELSSKVSALRGITVDIYDNARAQDVIDN TSETFSSMTTSLKGSATRLGRMAASGNKVAVLKLSAILIGIFIFLYYVSKLFF
SAPIO_CDS8130	MSRRAPNPAQERAQKNQQTLKNLLKLEPNKICADCKVNKHPRWA SWNLGIFICIRCSGVHRSMGTHISRVKSVDLDTWTDEQLQSMLNWGNRRANKYWEAKL AHGHVPSDSKVESFIRTKYELKRWVMDGPMPDPSTLDDGDDIPLSLVKEKQTIERKES IRKASIGQSSKPGHVAAPVADLIGGDPIPQRASTTSPPAPKVAAKGTPAPPKTSTKDS LLGLDFFGDQPAAPARPASTSGTQNKGGSSRPDLKQSILSLYATAPRPQAQPAPQQPA AQPFGGMPAVAPQQASGGLVDAFGGLNFGSTTGTTTTTYSPPPPVDPFAALASPSTAT KRAVSPPSAFGALSGGSFFDTKPAQPAAPSVHKPSPSITSPGLAGLSSLTSPTNHIPQ SKPAQPASSAFGDLFDLSSPAPAPQPTLVANPAPTQTSSSIFNLSAPSAKAPSAAPAA PALTSSNSTNAFSSGNWSNSDVWGNAWSAPEPAGPAATQAAKPAVAAPTTTTNDFGWG SPGIASGSFASQSIVPGASGFNTAPQVSADEEFGGWSSGGATTTTSSTTKPAGGFGGS DDLFSNVWQ
SAPIO_CDS8131	MPFRFISSFFNSSPAEKMSYPDQRSEEEWRMVLNKEQFRILRQK GTEPAGSGEYDKHYPDSGVYSCAGCSAPLYRASHKFSSGCGWPAYFDSIPGAVERHED RTFGMTRTEIVCANCGGHLGHVFKGEGFPTPTDERHCVNSVSIKFSPQDKVVGKGEEG KESKA
SAPIO_CDS8133	MPPKDLVPHIIERTPEYNEFIEELRAFHADRGTNFDPEPRVGTT HLDLLRVFKHIIANGGYDKVSDEKLAWRRMAQELGLYTNNEAATAFALKEKFYKNLAA YEIKKIHGKEPPPKDILEDVTAKGSGLLKRTRENFLRPTRNVSDSGISGDDATPTRER STVDTPGSSARASRGLREAPPQRVIFQPDTGPTRQPRHASGHHQQSASPAHGTPGSQH LQHQAHLSTPSGHHQARGTPANVSVGPYNPNHGAVPSVIAGYNPRALTTIPIRPVDTP GNNPIAFSRAKLIHKIRQIQAGRLPRVPPGTYEGANIYIRCLNALRSDNPAERTYALH HWVRISFERGEKFRFEVFPGLDEGLVESALRVASLFYDVEWKVSWDPSAPLERDELDG VNGTSDILERIQRLTPKTVLDSIQTEEFSDEMLSVTEAVLTIRNMVTLPENAAYIANF PPIKDMICIIMSLPNRDIVVEAKHYSLDIAEHITPYMTLESDDPLYRTLLNQMDSDDR GVILTSLRALGRISMNLDAANRLGYVPPSVLQNITNWILLNDDEFTDACLDFLYQYTA VVKNVDSLLGAISGENLVYHLARLLSHGARKVHKEIILSPEKKLPPSDQIAPMPLQLR DELLKLKEPERVNRWVKCFFDHDPDSFVTQIAAWQAYNGAFAESLRQAGLPATAPADF IRSCSQIYQNSTPQVLARGDAQQKFIIGSMRARKNPFSIDGREYVRCTWGAKDPPKDG CGAYFLDRKEMFKHIAETHLGTKPDDQGRYANEEKAFRCLWPACSRFNKPTTLRFIDF ARHLNVHIGLVWPTPPSEPRVAAKKPRRDWIVPAKTMTVTYEETQTMRDEKNPNGPPQ ATGIPLSAVLVLRNIARNISKTDAEDELLKENEATGEPGGWKERLFRPLMPRLFEVMA ENRALAPYMASLLDLIHE
SAPIO_CDS8134	MASEMSAAERMAREHAVTHQAYIEPEDADSPAPAAEPSSSRGPT AADKVAGKQANPKALDTESHELFPELGSSKGKSAASAVPTWGARANGKGASNGASAGA PGPAIASVATPAMTLPGRNVETITIDPQHILPRQKLRRPIPDIIKDINKRSRAKITMS SAANGRYKFEAAGPQDVAQQALKDLVSQIGNKTTISVAIPQSARAHIIGKQGSTIKAL QERTGARINFPKLEETQAAVDEDEDAEIHVTIEGNTISAASARDEILKIARERAANVQ TKLRGIPAEFYPFIAGPQNSRAQALEEANGVRIQVPRHASWTTLPIPAAPAPGQRPVF SAEGVEDHISLAGDRASVQKARAEIERLASELEKQLHIEQFSVQRGRHQFIVGNLGIP AEDFFADTGCHILLPAEGEEDTVTIIGPAANVSDAAEKAMDLALGMQCSNFDISRFHR GAPNGAAVHAQNVTRYLRQRREIERLESLYNTRINTPFSEHGSLPWEIYSRDGKNSIR AQSEITSIMSGHPPSRIESVSVDPFFHSHISKNVTPRVHEDFGVHIVVPEPSETGAPI LLVYEGPSSADAPYQVPKTPPSAEDARIFQRGLEDARKHILDLINKQEEIISVSIDVP QKFHERLRRFIKKEQERRDDDQIPIRVSSIGTKVTLRGPAGAVNTLAGKVEKFIEQEK EDEKERGFTMSFEFPQKFANHLIGKGGSNIRELRDKFDVEIQVQDGKVELRGPKAKAE AAKSHILNLGRTLADETTHVLKIDPKFHRELIGKEGTQINRLQNRYKVLIFFPRSAKP AAEDSAADSASETGKPARRQQNPDEVIIRGPKKGADEARDELFSLHQYLKDNSYTATV TIQQKQVPSLIGQGGSALEELRLATGAKIDIPADRDVETVEVQLKGTKAQVAAAKKVL EEKKAVFEDTVVKTIDVDRKHHKSLIGPGGANLRNLVLLAGGSVDRRDLARTIQFPKQ DADGNTIKIEGRTEVVDKIIADIQQKVAEWETQITETIDVPTEQHRTLIGRGGDVKRG IEARFNVAIDIPRQGEGKTDIKIRGKAENIKSAKAHIATFLKEQEGETVMVPRAKHHA VSNNGQIFRKFRNDWGVTVDHAGHNVPAKPETTRANGGSLPLITDDEDAVADSHSWTI VQNVSAEEGLIPWILRGSADNVEKAKNAIQAALEQSSKQDTTGLLILPDPRTYRHVIG PGGSKVKNIRGQSGCRITVPRDQAKGEPIEIVGSKEGVEKAKELILAAVKEGTNRSRD 
SAPIO_CDS8135	MDSSNTNLNGNSQRRKLTKKPPSHHNRSSSGVDASFGFDNLSLQ SKRSTQSLKRTPSAPPARANPDASNNSSPRYPPSLVRSNPSPLLGSSEFSPSFQNYPP PPTTAAPSPPRSGRLSDPQSHQKPLLHNNTDDFVGAPFDGAAILNRLDASKLSSPSAN KPSFPPPLVKSNTDTLIMSPIVPHSATFVSADPSAADKTQDAKVIDVSGSKRFSDEAK EPRVAVLRKKSGFSGFVSGLVGSPKKPIISAPENPVHVTHGLPKAWQRLINESGIPEK ERQANPQTMVDILQFYKETTEKPKEDQVLEKFHHVAASPEMRQYNGAPPPPYPLSPSH YQQQNYLGMSPMLSPPASPRFPTVNHEGSFENPRAPPPVPMKGAGPVPPAKDPNLVPT RPAPKPPTSNLQQPRSVGQQPYPTKDSGIGMSQTGDVLPPVSYVPPKDNVPMLPEELR SRSNSRVNGTSPYMPQGAGPAPQTTQNAQAAAYQQQLLQQHEQALAQAQAMSSGQIGR APSKRQPAPQQPTPPTSQHQYGRTPDAAGAMRPPQAPVGVSQPPAARPRQRPRQSSGI DVIAALRRICSEDDPRDIYRGFNKIGQGASGGVYTGHERGTNRLVAIKQMNLEQQPKK DLIINEILVMKDSSHPNIVNFINSYLCGGELWVVMEFMEGGSLTDVVTFNMMTEGMIA SVCRETLKGLLHLHSKGVIHRDIKSDNILLSMEGNIKLTDFGFCATINDAQNKRTTMV GTPYWMAPEVVTRKEYGQKVDIWSLGIMAIEMIEGEPPYLTESPLRALWLIATNGTPQ IKDEQNMSDVFRDFLYWALKVDPERRASANDLLRHDFMKQCVDLKHLAPLVKAAREAR AQEKARKGGQ
SAPIO_CDS8136	MMSWWSSSANTALDEQIDKATSSSLEDIALNLEISDEIRSKTVQ PTEAMRSLKKRIGNRNPNVQLSALNLTDTCVKNGGDHFLKEIASREFMDNLTSLLQAV GPAAVNLEVRAKILEYIQSWATATEGRHELSYINQVYSNLKREGYQFPPKTTISSNMI DSSAPPEWIDSDVCMRCRTAFTFTNRKHHCRNCGNCFDQQCSSKTLPLPHLGIKTPVR VDDGCYAKLTTKPAPVDRTPTYPHKTRSTSAMQPRSARVDDVIDDDLRRALEMSLEEV KSYSRGYAEPSKNGSATTARVNGTSQPSKPAAEEEEDEDLKRAIAASLADMEEQKQKH SAALKEQTHGPATSSSVPLTLPKNDYELTPIEAENINLFATLVDRLQTQPPGTILREP QIQELYDSIGALRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERLSKAYGQ HGFGGYNPGLTRHPTGPYPTLQTGGASAPGPAENFYTGEQRQDYGRPPQQQYPGHPQP TAQAPYVGYDKNTTGSIPPQSYPVQPQRADSWQQPTPTSGALDGQYQQQPPQQQQYPP SDAQQQQQQQPQQRHPSIANQPTPTPSAPPTQPDTNYYYTQQQQAQPQQQPQQQPQQP SQPMPPPGAAPLDPSQSPYPNLQQPLQQYQQTPQPLPAQPAQPIQSPQPTPQIQHQQL PPQQNPYWQPSVPQQQHHQAPPPQSQVQQQPPQQQWQPPPAQGYGGYQQELPKQQPIV EESLIEL
SAPIO_CDS8137	MFVARNDANGFASGGKLSGGNTPSRGPLPHISDLTSVSAGVDHN LPIRKLLEAGDGCIRQADTWVTFNRPDLALQEYIRGCIIAIQIIPHHKEYVSLRSDRS GLGRLYEALQHKVISASPTFEKIKEDIKADNERTGVQPTQKGVPRPATSRDAASSNYT PSASGSRSNGDGPIGESSPRQKPTIHPKPQALHGNAIRPGSGHHRTDSSDLNARFANL RGSTSQAQQLSLHQQQQQQQQQQQQQQDPRIKTHPLVPPPPPAPRGSRSRASKITAEV SVPNLPKVPDAIYSPARGTVITEDSQLSSSTTRGHFGLVGSSNPISSVRSGSATASVL HQPATNGTRSARGSTESTSPMPIPTTDTLTPQQLCDLMRSRSGDILLIDVRPRDEFES GHILSQHTICIEPSVLLRDSISAAEISESNVLAPAEEQLEFERRNKFELVVFYDNDSD TTPYIPSSDAGKALVSLRRALVDFNYGRELRNPPKLLSGGLEAWTDLMGPNSLQASSS NTRRSTRMSVTVSAQEADRAGLKGRHFSAQHLSTYNVKPLKPEEVKQWQETLKKEDMD VAHSPNFVRSTEDFLRRFPPVTVEQESMTSGSPYPLDLPQGSVDYQLSKYDDLPAPPT RPAPAIPRPSYSGLSSHDDQGTPTVMLTQTLPLPEGSDAHGAHVTGLYNRGTWCYSNS ILQVWRFTAGFGDELAGWRQGNGNQWMPPGDDKPPQLLMNIIGNLFQWMNQGCFKVMK AQTLMDYLGHITDTDRIKFGDNNTQQDTAEYMHVVFTAMDSETNRRPKRGQSAKLDPK KNQSLQEAVIRDWNHYRETSDTIVDRYFRGMEVVRTLCKCGMAQVTVNPFLFLSLAIT ESTRTLNDLLQLNYRSEILDSYKCDGCSATGTSTRTTWIGRLPEVIIIQLLRFRVEDK ATKKMTQRIEFAIEELDFEPFYIPTNERSFGTESPEDSAWGQRFKYRIYGIVVHMGNT ADSGHYWSFVRRADPEKGTPVWYEFNDARVRRLGTDSEFTKRYLRDVWKNGDRVPYVL FLQRVKEQSK
SAPIO_CDS8138	MTYTSGIMPLKQVRKPPFTIEAPGYEPVPGETLPRRHPKAKDGL ITNPAEGVHTVFDIIKRSASLWPEGNAVGSRDLIQMHTETKRVQKIVDGEVTEVDKKW NLFELSPYTFLTYKEYMQYILDLGAGLRKVGLNPGDRLHFYAATSYNWLAMSHASSSQ SIAIVTAYDSLGSAGVEHTLVQSKVSAIYVDPQLLKTMSSALKAAPHITTVVYNDKSI FAKPDHSEVDDFKKENPNLTVYSISELRELGQKNPVDTVLPQPEDLYCLMYTSGSTGP PKGVPMTHAGIVAAIAGLYTCVEETVSNNEVILAYLPLAHIFELCLENLVLVIGGTLG YGSPRTLSDVSVKNCAGDMRELRPTVMVGVPQVWETVRKGVTSKVQGSGPIVRALFWG AFGYKSFMTAHGLPAASILDGIVFKKVRDMTGGRLRFIMNGASGIADGTKHFLSMVLA PMLTGYGLTETGANGALGSPLEYTSHAIGPIPASVDVKLVSIPELGYSTDSNPPQGEI LVKGPAVFQEYYENPEETAKAKTADGWFKTGDVGEFDADGHLKVIDRVKNLVKMQGGE YIALEKLESIYRGSNLVHNIMIEGNSEYPRPIAIIFPNEKLFAEKAEELGVDEHSMYH DHSMVNWVFKDMLAHARKANLSPIEMIAGLVITDEEWTPINGLVTATQKVNRKVVRTQ YKKEIEECFAKQNF
SAPIO_CDS8139	MARHRSGLALGLLAFFILLFSPLAFVQTANAQGVEEMGTVIGID LGTTYSCVGVMQKGKVEILVNDQGNRITPSYVAFTESERLVGDAAKNQAASNPTNTIF DVKRLIGRKFNEKEVQSDIKHFPFKVVNKDGKPIVRVEVGGADKDFTPEEISAMILGK MKDVAEGYLGKKVTHAVVTVPAYFNDNQRQATKDAGVIAGLNVLRIVNEPTAAAIAYG LDKTDSGERHIIVYDLGGGTFDVSLLSIDEGVFEVLSTAGDTHLGGEDFDQRIINHFA KAFNKKHNVDVTKNAKTMGKLKREAEKLKRSLSSQMSARIEIEAFFDGIDFSETMTRA KFEELNMDLFKKTLKPVEQVLKDAKVKKDEIDDIVLVGGSTRIPKVQALIEEYFGGKK ASKGINPDEAVAFGAAVQAGVLSGEKGTEDIVLMDVNPLTLGIETTGGVMTKLIPRNT PIPTRKSQIFSTAADNQPVVLIQVYEGERSMTKDNNLLGKFELTGIPPAPRGVPQIEV SFDLDANGILKVSAHDKGTGKTESITITNDKGRLTQEEIDRMVEEAEKFAEEDKAQRE RIESRNGLENYAFSLKNQVGDEDGLGGKIDDEDKEAILDAVKEALDWLEENGATAEAE DFDEQKEKLSGVAYPITSKIYADGPSGAGDDDEPPSHDEL
SAPIO_CDS8142	MSRHRVMAGLDYREELSDAEPDDEVPFDDEVDQVAMREGKAKVI TALGEIADLIPPSEIEQALWDNYYDVDKSVAVLSENYEQEIHKLGDATVEVLARLGES SEKVTPKQIKDALWHYYFDIDKTVAYLDRTYINPPEKPKPKAKATPAECKYISNYLYL SHHNRTEASRRDKERRVSGGERDGMEPKNDPVRTYDLAPRMHVPAEWHFYGVKWTNGL CGPQTTFIEPPRYGGLLGGSGKPSKLQALAAARKNKRAAENSQPGHTGPGKSTAPTSS TPASSTTNKENIKLDIRLLSKRRRDGSKVDLEATPPASGLLGQGNPGALRPSPSKDGD CAPEENLAAENQVYTLHRAAQPSAFASTLFGTSSNATAHQAEAYPFPYTASPSYSAKP FLEPSPDDIVLAAQAKAGKKIAAPTPKKGPKGNSTATPSNSNVEAVAEQMGKLTTKHE PRPKSKQLNVIQEYENAKKDKKSASFVVVGHVDAGKSTLMGRLLLELKFVDKAVVDRY RRQAEKIGKQSFALAWVMDQREEERDRGVTIDIATNNFETDKGKYTILDAPGHKDFIP NMIAGASQADFAILVVDASTGAFEKGLKGQTREHVLLLRALGVHKIIVAVNKMDMVDW SKARFDEISDQIMGFLSAKGFLAKNITFIPLSGFNGDNLTRRSEATASSWYTGPTLIE SLDSAEAIRPSLRKPFRMAISEIWKSPLGHVTVSGRIDTGTTQIGDVLIVQPSGEEAC VKTIMVDADIRDWAVAGESVNIFLTGIDPNHLRVGDIMCAATSPIGVSDTLKMKAMAF EHVMPMPIDLHRGRLHSAGQILAMEAILDKATGEVLKKKPKVVQPGEVARITVKLQSK IPLEAGQRVVIRSSGETVAAGLVE
SAPIO_CDS8143	MAMNLDLSNATLMKDDQGRPFIVVRDQGKKKRQFGTEAVKSHIL AARTVANIIKTSLGPRGLDKILISPDGDITVTNDGATILQQMEITNHVAKLLVELSKS QDEEIGDGTTGVVVLAGALLEQAAELIDKGIHPIRIADGYDHACDIAVAELDKISDVI EFTKEETTNLVKVARTSLGSKIVSKAHDQFANIAVDAVLSVADLERKDVDFELIKVDG KVGGSLEDSLLVKGVIVDKDFSHPQMPSEVKDAKIAILTCPFEPPKPKTKHHLDITSV EEFKKLQNYEKEKFIEMIQQIKDTGANLAICQWGFDDEANHLLLQNHLPAVRWVGGPE IELIAIATNGRIVPRFEDLTPEKLGTAGIVREMSFGTTREKMLVIEECANTRAVTVFV RGSNKMLVDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSLAVEDAAVKTPGLEQ YAMRAFAEALDAIPMALAGNSGLHPIATLAEVKSQQATGGPSARGKYGVDCMSRGNND MKDAFVIDPLIGKKQQLQLATQLCRMVLKVNNVIVSGVDENDF
SAPIO_CDS8144	MDIDQDANDDSPGDFQTWSSTLLGYLNQVGSTNKFATSEKFKGF VDPWLELGGQRVSLPLGQDDVELIRRFCSPAPVERGNEALHRNAQELDDWEGLRWNTE TWRSAKVGPIGHNLQKKSWELDRRRFELANPDWEGFLGNTIVPRIAQQLDLWDVRAVL QKLLLHGEGSFSESQIDWPSSRILGTLAVCFPSQHSDGDFHLSSGDQKVVLRTTLGSE SDITVLAWHVDATHEIKPLTQGYRLILVYDLFQIETAERQRMADIELITEDVQIVRRQ LLAWPTGPTKIAYPLSRVLKDDGYLSFEDLTGRNRNVAVTLRRACALDGFIMLLANMT RTNMDVDEGRLEETNTVANEVLTCSRDFVMSRLTLDDSEILGVDRFLEQELDNMDESE STENTSRPDTFRYRDPAIIIVRVEGLSSLLDYPDADTRIDRLVSLACDKINSPSCSQR TTSIILDFFLDALDAISTGTAWNAAGVIAYQAVELGRHDVFMAAVTKGITNWSTRKPL LEKLWNIVEIRYQSDEEEHFDWEKWFGGVVSASLSPREDLEYVSTLIEDGYTWESFDN FTTWALDKAFQYRPKLGLQDLDFVLDMVRQRYDESGWIDDVLLPTLKSRASRDLLYVF LETVAEERTEPEFAGAVTMFQYFLNQRSKKLMLPSSICLANETNESPEDALYLAETCW DQFARFVEKGLELGLVEEFTKLIDASCDRFLKEEPIPSKEHRSDDGQWDTWRIYKALL VFLLKPLKLYKRPTTLSLRKFATSMLLDYILPEPPTYAEKPVGWAHRSIPCPNGDCED CGSLNQFLGSETQQVGRFPMEKNRRAHLRKQLPPNLFKCSIEVRSSPHTLVVTKLGKQ HEALRREEDEKFAKWEARVENFKGEQMREILGDEAYGKYILMNEMRWQRDRVMGTAGN KRSRDDGDDGDLERLTQRLRL
SAPIO_CDS8145	MFGIKDDTVFEEFEEEELRNPCPRKEVEGRWIYTSRELRRPKRY GPPVLCDFGSTVSGEKERLGDVQPDIYRSPEVILQAPWQYKIDIWNAGCLIWDIFEGG HLFYGTDPEHKYRSRAHLAEIMALLGPPPPKLLAGGTITGKFFAEDGTFQVGIDPPPS VSLEELETNLEGAEKERFMMLMRKMLQWLPQNRSTAKELADDPWINGILGG
SAPIO_CDS8146	MPFQLDTPVLTVDTGIIHKVDAGNPQTLYDMWIVFSRCADSVQN GRRLEYMCWRYWNRATMLHRPELAPAGVSQSAPQPIPSVSSVPDMPQLSKSVDSAPDD EASDFTAQSSPVDIRPRISRQDSCGSRRDRTPRSEEFEKLFVSIIKEQELPLRQNAPS RLPPAAALERSGSTTTEEAASQASEDAISESSPQTSPDTSFHTTTVTRGFHPATSITF EQPSSVPQSSPSSVMEPTSVPHRKHVGATFTCGASSSSSEHQSLPIRKTLPKPRQPVS FQIGTSGSSEEESSLTTALQKSRSGALSGLKKQATVQHFPRTHESSAIEDDDTECDDV DESAIDDDEDDSDWEDSDEVSGKSSIDDKTYFKRVDSTAQLVSRRSLITLGLEATERQ RRLGNPASQSTSALHRSRGPQAGQCTMGSPNDSDESPLMMKRGSRVNQLKPIREIPRT GAQPIVAPPAQNHFPAALSPRTTRRHMLATELTESLRRHLLWERQQKSSTANAVLKRR HTSHDVANLKQYPEKAYMDKSEDANSSSWNQYFTKEAFNGYHSKGW
SAPIO_CDS8148	MASERDPKRQRVEEPGAESPPAKERLPDGITATEEGQKNRWFVG SIDQGTTSSRFLIFNGDGDPVASHQIEFENLYPESGWHEHDPLELLRSVEKCIEGAVA NFTKAGNDISRIRSVGITNQRETTIVWDTQTGEPLYNAVVWPDTRTKHLVRELRNKEG ADDLLNLCGLPLSTYPSSVKLLWLIENVEAVKKAYEEGRLAFGTVDSWLIYRLNGGAK QENGGIHVTDATNASRTMFMNLRTLQYDDKLLSFFGIDKSKIALPKIVPSSDAEVFGK FAAGPLKGLPITGCLGDQSSALVGQCGFQPGQAKNTYGTGCFLLYNVGTEPVISKSGL LATVAYDFGKGRKPVYALEGSIAVAGAGVKFLSQNLGFADSAAKVAELAETVPDNGGV FFVTAFSGLFAPYWIDDAKGTLFGITQHTQKGHIARATLEATCYQTKAILDAMALDSH HALESLAVDGGLSNSDLCMQTQADVSGIPVVRPAMRETTALGAAIAAGLATGVWGELR DLDHVNQVGRRVFMPKLEERRRERLYAKWQQAVDMSRGWVKVEDEL
SAPIO_CDS8149	MKALILVGGFGTRLRPLTLTLPKPLVEFCNRPMILHQIEALAAA GVTDIVLAVNYRPEIMEERLAEYGKEFGVNITFSIENEPLDTAGPLKLAEKTLAKDDE PFFVLNSDVICDFPFQELAEFHKSHGKEGTIVVTKVEEPSKYGVVVHKPGHASLIDRF VEKPVEFVGNRINAGMYILNTSVLDRIELRPTSIEKEVFPAMVKDGELHSFDLEGFWM DVGQPKDFLTGTCLYLSSLTKKNSKLLTPPSESYVHGGNVLIDPTAKIGKGCRIGPNV TIGPGVVVGDGVRLQRCVLLRGSKVKDHAWVKSTIVGWNSTVGKWARLENVTVLGDDV TIGDEIYVNGGSVLPHKSIKANVDVPAIIM
SAPIO_CDS8150	MRSRPRAVKTRPGVQFKRRRSMAETTPQTFPFRPAPAGSRGPGP FAYGIAPVPDLYTSQPIIRDPLETSTSEIQDETVAQCLPYLRGDVTSLNYNRHGVPNL VRGKHKNFLKRNLRTLPAPFVAADASRPWMLLWNLTGLALLGEDVSSYETALIDTALT MQNRSGGFGGGHGQMSHLATTYACVLALAVCGTQEAYDVIDRRALWKWLCSLKQPNGG FQIAYGGEVDVRGAYCAAVIISLLNLPLDLSPDSPAWTPERPTLFTGLADYVRRCQTF EGGISGQPDAEAHGAYAFCALGCLSILDAPHRTIPKPSDSYHTYYVLAGLSSAQHYWH LEEDPAVFSQATGLPASNWVVSPYFDGGEQIFDEEDRVVPLHPVYTIPQQKVDDIVKY FGSKPGF
SAPIO_CDS8154	MTFDFNAGGGGDALLELTFNQRNRYPYAPAPAHDPRGLTHSSTM TPQQRTSWGMPLDMAASTGSSPQMPSNPSTADHHHQQQPGDHLHNTPSPVQSSPSPHS QHSEPFLPATSPLTDWALQQQQQQLAPNDFGQYIQDPTFLGFGPLPANFQPNPLVDYL PATTQAALHAGLQLESPFATLPPVDNAAMQWAGMGMESWQDFQTTLPIDGLPHPLDSI GSNSPTGTFLSLPSSVGEGWALVDWGSSFDQLGQPQNPAIFNPSQTLHLRTNSDSSDG ANSMEIGSFEEVPPFPYSPFSPDSDGYIETTSHRNCFHNDMHIAHHTHDVVSPTIAVS SMAIKSSPPVSSRSSPSSGSGGSTSPPSSTGRRNSGPRKSPIAKATKPVIRRSSTGKK DGTGEKKVGRRRGPLLPEQRKQASEIRKLRACLRCKFLKKTCDKGEPCAGCQPSHARL WQVPCTRIDIKDIGYFMKDWKADYERHLMRGVSVFNVKGFSSKESLMWITHGYGFALP ITVREVYVADASCFSLEWVESCQTEQEPIDFDIQTEQLDVGAEGISVKALSEYLDKHI DGPFEEFIIDHFEGTPFITEILTTAHRYYMKEKSPVIRKALKLVLAYNLTLHITLVES QGSEVQLEGQIDDEESKFYGRVVAPVMVNFQIKCAMADMWRELQKDILEELSALYSSV YSGERLKNWPTIFMLASILLAVWEEMQFDCHYRVPDPIAVNKFCKDMETTPVGVIIGL FHAISQKLPNFIDWDTEKHGHILNNNVAVCEAMTEVRQHVIKHEAYLRTRPDTKFDSS DFDSLSNKFLSKLVIRAN
SAPIO_CDS8155	MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGH
SAPIO_CDS8156	MLGQTFESTDSNLGSSVSTAASVAGLVSLAIQLSQLSFQYVSSV KGSSKVWSGYIQELSTLTSVLLKLQQAYEAAGSRDLLGIVSGPGLSAQTINECHDELS SLKSALSERLQKRGLRGMLEKLSWPFSEPDTQAKVLMLHRFGSLFGSSLVADNLTVSI ENYRHLRDIKDVKDFEDFLAWFEPKYETLPISYENMLEAMCPGTRSTFLGSETYIKWR DSPTLESQQPIWIYGPPGSGKSVLSATIVNDLMSSCPDAAIAYHFFQYNQEETIQDVL RHITHQLLSHPTVVSQVAIGLRKKKMPRNASLLLKDLVAVICDIALTSGRTYIVLDGL DEFPHFNKLLKHLPEFLRAKSRIIISSRELPNIQTHMKSAVLLDAHAERLDVELYVQW RLEEDSEIDEDLLNDNLKSEIISKIVGQVDGSFLLARLLMDSICSATTVKKLRKALDL MPTNYQEAYRDTFDRILKQDEEHKGLAISALSWICNSRRPLDMSELQHAIASLDESPE YTPEDLESDKSILSSCLGFLVHSKSTRTVDLVHSSARNFLIEQLDIQTPRSNITIGRA CLRYMSSPEMAKGACQSLEELKSRITTMPFMEYATRHYGYHIQPVEEDLVSELITFLS HKLLLESSWQLLHFVVNIESQSAQDLVNTVPSQATMLHVACYWGFSTLLPKCLEMSSV TDAASGPLSRRPLAPIGSALFPVFAPAKADALNRTDSHGWTPLHWAASNGHADLTASL LDEGADINAVDKAAWTPLFWAVVRGHCEVALLLLERGSDLNQSDGSGFTPVHWAVLAG ATDMASLLLRYALRLLQLSRTAKPSYRIRELTVAEAKALRQPNPKRCKNLFHLVTEVS DTESFAELARLYDNMSEMQNIGFSTETVTALWDQTKVVLSKGEIGYWWKMQQRTPIDG VRRQLLTNAIQCEDVELVKSILDLSRDLDRDLAGDVVSARGASYVHVAAYSGSAEIMR IICQAGLPLTTTDSRGLTPLHYACRTGSLEIVDILLEANVEVEARDTYQRTPLMYLLA FGGWRTALTPGHGLVILRALVSKGASIHSSDSRGLQAIHYAMATEDPDVIQALLDLGA DPGAVSKDLETPLHVLAQGSSDHRGALSSEGFRSEFHEYKISLSIKEAVAKLVVRNST PDVLRAGTASGATALALAIRCRNWILAQALHEAGAPFRYQSPLSDISDISDIFETVAE NGFYELLRILISAGLVPGKQNIFPKTSAILPAQNPHRWDWKWVDTSGSEAFPRRSHAL TLQELLALGVNINHESTDLRRTAIQLAVERGIDDTSYLDALLESGADMYTGTEEGLDS IHLALLCGKLDNLAVLVNHAARDPSPDTWLTNWLRESQTAPTQDGPAAFETCVAAIRH SGIFQAWDLDGHTLLFHAASKGNMALAQALIDLGSEVNLCDPLGWTPLHGAVRAHQKD MVELLIRSGAAVHATVQDTSPFSQQSYAPVTDGEGSVPAINALHIAVGIGPNQDHFSP DIVRLLLENEVDPGGKATNLADPREYGVERDASPLQIMFRKWGASKSADFFAVVQLLV DFGADVRGIAERLDVWDVSAFEGFESLWDVFRTADDEIDTLGVD
SAPIO_CDS8157	MPSYFYHLTFELYPTSDPTDRGASKSSPTEGIWLPPRATNIFDD LPSHPHRETSTPVSRPYSAALATAPPHNPPSSSSANPSPGVIDCGAARKPQQEDNRKI EIIPERQWRERTKSLPPPPSSAAIGPRTAVRDWRFGRVRIESIDFEHKDSKEEPSTMG DAGPSAAGGAAENPNAAAFAALELGPTLGGDGSSTRAEFVSIPTKNTEVGWGVVHFYR GEEMPAARLDPAVGSSDGLNEDECTTLCIPAVPSYILPAEFLGFVGEKWRSDVTHFRM VMTSRMSRYLVLLKFRDSNKAKEWQKEFNGKVFNSMVLRDSDEASGSRAESITGTNGI IHPQAFPTADSQPYRTPNMPHLWICLICGHVGCGRYKGGHAKEHWKETAHSFSLEIET QHVWDYAGDTWVHRLIRAKGDGKVVELPSHTRGDFSGDDDLVPRAKVEAMGLEYTHLL TSQLESQRVYFEEKIAQAADKAHKAALMAEKAVSDATSAIEKLRVVEDKYAELRNEIV PNLEKDLAREKARSTKAQDLARKLGKELQEERQMSKGLADRVEHMKKEEHAHQERLKE LEEMKETNRDLSMFISGQTRLKELEASGQLLSGELAEGTATVGSSSRRRKGKK
SAPIO_CDS8158	MERDAERGGSPPSKELYPMVNWKYDVFLWVIGIVIDLFFREIQP RGSWKVPREGPVLFVAAPHANQFVDAIILQRTLRREANRRVSLLIAQKSVHGFIGWGS RQVGSVPVGRAQDSAKPATGTIYLPDPINDPTLIRGVGTKFGEGEGEVGGMLFLPSVK GKTGASVDIAKIIGPEEIRIKRALKGKIPMEQLTGRDDIDADGKFLNKELKGAKEGFK GTKFKLAPHIDQTKVYEAVFARLRAGGCVGIFPEGGSHDRTELLPLKAGVAIMALGAL AEAPDCGLTIVPVGMNYFHPHKFRSRAVVEFGAPFHIPPRLVELYKNNQRREAIGELL DAVYNALSSVTVSTPDYDTLMLIQAARRLYNPTGKVLPLPVVVELNRRLALGYEKYKN DDRIIELRAAVKKYNKQLQYLNLRDHQVEYANRSVPWVIFTLLFRVFRLGLLLIGVLP GLVLFSPVFVATKAISRKKAREALAASTVKIQGKDVMATWKVLVAMAFAPALYHFYSI IVTLKVYQDRIWGIVPEWAPLWLVYVVSFIVMIAITFAAFRVGEVGMDIFKSLRPLVL CLLPSSQHSIYALREKRAELSRRVTDLINTLGPDMFPDFERTRLVSPGEKPVDATITA AVAADERTAAKPRLPRRDSEEAAFGLEPETPPYFSRRPTIQSSAGLPRNESYSNIGGV GMFSTRPPSRSRSRSRSSSSGGGFPISAFTTLDSTGGFDEASRRIREAMRERRRKNAA KMVVDAEDSEEEDEEEYDEARKKNE
SAPIO_CDS8159	MTERSPFSQKLSEESRANVLACLGVTAAKDSPELTAYLNYLQAE LRGAERLKPRSTIQTHDDATQRFELLKSHPRTSKSDIAKLGPSPPNFTDLDVLVRVFL VTACQSPGTAGGGVTNPSWRGYETLEDYVNRVYPQRPPSGDGEWETVEAGKLMASYLT SEGRMKIKWTDRLSDHLAIAPGPDWKTVHLFRFPLYLKSCLENLQSLDTESRQVCHKC LERGCLPFPLLHETLRTYNLIFPLSDLPSRKVLKRSLSDVSSEHSDQFLDLSSMPDTH EWPEETHPEDLLSLYEQYPFWGRRLESLLRGVDNPKPTTAFEKWANSHKSQWWDTWWG MLGIGIALTVGLLSLVLAGLQVWLTYCSWIDDVRAPGCGWKAKYHPAVEAAVEAAVSR RYILGR
SAPIO_CDS8160	MQTAAVVDEQMNGSLDRPKAPGEPPNGNIADIEILAVDSWYKRF FSRLSPSSWRSTPSTKAPENRVFTNIQALPTPAPSSEQSRDAAPPASVPAASTSNNNE TTSETGQDSIQHNQAAVTRPPTPPASIQIPSPDQNTASLFPEVAIVKSRVKGPTKSIS ERLQSVRMASEEGIDAFEVDNFASEVSRTAFMQFGKMWGTAHFNEALLISFKGASSRT MSLTLVSLRPQSGNSQPEDAICICGLKKDKDIISMHKFLSRNDIREFYKPLQICYEKL TVKLATGAGKKTPNLQSASDGQSQSPPHPETLCGSRLETRVGDEDPWESTIGGVIEVD GTLYALTTAHRPDSDSDSDSDSDPDEDEGVGTGSFNGSEINEADYSDDVDSALVLDAR FEGEEGTQTSSSPPTERSDPIPPPFPPATGGEIIFSDWKLVPVPRNKSLPNSYIGIDG QTRRYITGVSDSLVPGHVQIITSSGLHPGELQLTPSFLSVSGGALEKVWVVKAAAGAL RRGDSGSWVVSSDGLLIGVVRAISARRVYVSPIADMFTACLDRPDILNVDMPSPLFCL LQQFPLVGAVTEEAKSLASKGLDLSLLEASIAKQPPDSLAVLLKEAVTRHLTSPVVLT QLRYLLIDEGNHLRQMGTPNSISPKSERYHELALRLLRNINSEPQRSSIDAAPDVLPP FHLLTPVRPFQFTTNLRNSMLKRNRGQRRRAAVSSRYVPSGEIAKMSEDELKNCAMHF QAEWFSDVLLGDALAAARGLTQSAAYSLQQHPSYWVETEGSQSTGQEDGASYSASTPH TLLYVTAAVGCVPAAFMNKRYGRHMGILLGAALLGIPSLVVAFTPGMTQMIVIRSVMG IALGDVFGLYVSAKAEPQEARRLPTLDLRHPWGFVTRFFKSIVFDRYVRNAVIITTTV ALIQHFCGVGLLGFYSVESFKTSNLADEIKTDLLPAIIIYLINGTPIVSEGIGRRQTL IRTLPIAFAFILLALVFNEVLGQTGPESTASTSTKSIVVTICIVGGVIFQAPGIAVPV PFILIAETVPIRGREEVALVPLAANYLVMGLWIGFFPAIHASVGWTGLFGIFAGSMLA ALVVALFFVRETMNVTSENFPQKFRSVHAGLSKFRGGLKDRKRLSRAIFSYDFELESD LGAEEESRARDIEMQERDGATLM
SAPIO_CDS8161	MASNPTPSWSIRSLINSTKSLAMTVSGNRSADGPAPLTDLFRKT EPSVDGDECSHDCESCTVRYPRNFKIDESDDLYGFVKAWDTHLLVATGKSDWVREVEH EKRSVMEAFGKASGPKNGKLKLSASNIPTPSGVADYSEPTTVLLLPAFTFIDHVTPKR VPLLIDEFINNAPTNTSPLEPFTLPKSVPEKTATTATTQNGDDTAQHQSNQLDPSLPP PMTSRPCPHQVLVLLCSQKTRDARCGQSAPLIRKELERHLRPLGLYRDLDDERPGGVG IYFISHVGGHKYSANMMVYRRPDAFGIDAVERAKVGGDVLPEVKKQENGEEKEGDVGA AQCIWLARVKPEDCENIVRFTILQGKVVKPESQLRGGFDREKGLLSW
SAPIO_CDS8162	MARAPWESVPSHEQFFVLITGANSGVGLGIGQRLIDEFLHQRPL THHLILLPTTRSPSKSRETILALRRHLEKTARTSGNLRSRSGGEENYIWPQTFRRVHI LSPQLDLVDIKSVYGFAERLVRGTVSNPPDEDGMADNLTDVRVPRLDAVIFNAGFGGW DGLDWFGMARMCLFEGVVQAVTWPDFKVSSAGAVVKQKGVVKKSAGKESGSAAGEKDG DKDVLGAVFCSNVFGHYVLGHALLPLLARRDGDIMSPGKIIWTSSIEPSRKDLHLDDL QCIKRPSAYESSKRLTDVLALTCTLPSVFPVSKNYFRLPSRRRHRDNDDQAKQEEEGD VVEECYRPRVYLTHPGVLATTLFPLPSWLFLLYRFALLFVRWLGSPWHTVDGYAAAVA PVTLTLETQDVLDEEHAERVKWGSACNRFGRTAAKKTEVDGWGWEGKIEGDVRRAKTV KGEEGFLRKSVGRWAYAKDLTEEDRAQFEGVGRECWAAMERLRLEWEERLGVKAVGLV EDDEE
SAPIO_CDS8163	MTRPSDSIEGNRVLCVTPTSTADTEPSDKKMGGEEHELSGRDGA TIQMTEEGIGGEIRTYRTYKRRWFGLAQLMLLNIVVSWDWLTFAPVAESAATYYNVSQ TAINWLSTAFMLAFPVIAPLTIKILHLGPRPSIMASAALVLAGNWIRYAGSYKVEPSG GIFGVVMFGQILTGLAQPFVLAAPTRYSDMWFTTRGRVAATAATSLANPLGAALGQLI VPELVKGGKSEQVSKMVLYVAIISSVASIPSFFIPAAPPTPVAPSSEHPRPSFRASLR DISRSLELWLVLLVYWFYVGLFNNISTLLVQMMKPYGFSEDEAGIGGAILILVGLVAS AIVSPILDRTKSFVLAIKLLIPVNGIAYLVFIWMPRTREIAGPYVILALIGATGFSLV PCALELLTELSYPVSPEVTSTIAWSGGQLLGAVFIIVCGALADGKDADPPENLDRALI FQAVMALVAVPAPLLLGLFGRKEKIRLRRVKSDERGLRIVTT
SAPIO_CDS8164	MGIWDTITELVDAAMPWSEAEAEAPAEPQTQDEAVEDTKVEDEE EEEEEEEEEEEEEPVDPKEELENECKESAACAPAKHHFDECVERVTAAIDADGKANED CVEEFFHLAHCASECAAPKLWAKLK
SAPIO_CDS8165	MTDQYLQEQHTYAIARNAHSDVAAVNPEPDERTSWVMLSRTGEI VRLPHEHILHTTGSRIIYLPSKPTEEFKSFAAPILNFEDSHISSPWFGSWYWFAVVTP VSQGGIPPDIVRVEVKLTFKDGGHNEFRDKFEELKERLHHVRDIERETGQSIRIPDEP LPAYEAPLNAPSSSTSRLAAPQPVPARSDSSASRGRAPDEPPPGYEEAQAQTISMRLE DHIRDAVERGSDD
SAPIO_CDS8166	MVHTKAAESSQDSVSILRQYTACDISDALLRLKVPGAGFLADVD PYSQPEATDATVTIAPASTVLFAPKGVTLENPPKNVPSDTNWSDITEPGTIVILKQPD GQRNAVCGGIMALRMKVRGAKGIVTIGRIRDLEELRSTGLPIWARGRSTVGAGAGSVP WAVQVPLEVDGTIINPGDLVFCDPANGVVVIPQGKVGDVVDLLPKLTAADDRVKEAVA SGMSVHEAFKTHRGKL
SAPIO_CDS8167	MKSLIQRRTDQNALSEIQRNQQDQVSYRMAPMPRDGYLPQQQHA KEDPRGRSPTKNAFTTLTRKAMANGGKSREVSPTKPKKIKSGTNLADLLTRPKSIRNL HKLIIDEDSRQTKDKENRSPAEHNRAQNSFVPTPIYSQFCRDSATGTPGGTHGSQHSS DTEKKPRPRSFHPHYMPSNGSSDHIAGTASPTKTSGQTSSWERSPTKGPRSRLQTAFG HSRSKSSDAASAEPYINPKDIDSHLEAMLNRRNIPENQRYKMRNLNDTIKMEFIRQDW AEMQASRVDSAKSNGPRTSPEAPSPTATGLDQEEAKPKRNRGKSFTLARGNKNSGSSG KKSRVEGTLGRHFRTKSTESISSSDRPLSGISTSSGSGILSKIKLGQGPGDFVSYLRK VTKPELVEVGKLHKLRLLLRNETVTWTEDFIQQGGMKEIVDLLTRIMEVEWREEHEDA LLHETLLCLKALCTTALALQYLHSIQASLFPKLLHMLFDPEKKGPSEFTTRNIIISIL FTYIESASGHERISRAKTVLAHLHDPEPPEEERPVPFVLEMRRDRPYRVWCKEVTNVT KEVFWIFLHHLNIIALNKPDEASAVPNKLDNDDLAYISRHFPQERPPVPAAPYVGGVE WDATNYLASHLDLMNAIIACTPTATARNALRAELRISGWERCLGGSLRLCKEKFYGAV HDGLRTWVAAAAEDGWDVRDVRYGPPAEAPRSPVKGVAGGGAGGMKKKIEPPPKIEMP KLDFGLDAGSGRVAPAASREGGLSWLD
SAPIO_CDS8168	MRRLNQVLRDYIFGIPNQAFPRKMETLNPVQKDPNEEFRLIKRI QDEVWLAERLSDKEPFIARRLEEFDPLHEAMRNDEDIGEGRHDDLRGLTNLLSLCGFD RALAQLLNHENILSLAGVINQRDTKASDGSNKVRHIWLVWDYCDGGSLMELFLDGLVE QEYSTVHYMPESLCWHVLRSVMRALTWLHTGRRLFCASSDPAKQELRLVDRDWYPILH GAVRPENIFFQLPRGLEAYGACKLGNFSKCFVSGKQSTDTSLEPDVDLAFTGLAATLR DGKMANFDSLHTYYGDENPTQNLYTVDEELWDLGSIVYRMMAGHEPPPYRGCSACKYK HSHVHVCSKSYSSCIEVERGCRCEYGGCKHFRRGLCKHVDDNLTCADCTGNLPGKKCR TESIRIQEILWGKQYSKYLITSVLMLLQPYRKDRQFLSPGWTPRILSDIENQYELWLA KTRDGQEFYGIDDDLLDRCRAQAQDDEDNEDDEDGEEGEEDEEDGENGETANATGRTR VIFPDDFLY
SAPIO_CDS8169	MGCCGHRRKTVSGGADQNWSYINLCDFRSSNCFTPFAYGYLWFS LLISLAVYGVDTFTAVSLLILGDEWVSKIQPAVDPSISKWIFSACIIASFVNLGFEHV RAYRVMKRGNVAECYLDSLAVRLESIRLGKGQGWRRFLVFAALTKSKKGAEYIALFTY FSFQSWIRVLVCSGPRQVINALTLYSVYRAYFEETVKAGDTIDKSLSDFFERFAVDYQ QALILGGMTFTLVIWIFSLLFLIAAILFYILFLWHWIPQADGGLGGYCERKVNKALVK IVTKKVNKALAKEQTARQKAEYKMAMKLGEKAPLGRQATLPTLPDVGGPGGDKLPEMP TLQRSETFSTLPAYASRPGTAGSFELNNMDQKRPLPNRSGTMSSSTTYSSRAPLVASA SEMGTSSPRSPAPTIPSIDFNNSYGPPPLRPNTSNSQRSFSRPNPGHMPNGSNSSFGH QPYDSQSSIPARYTETPANYSEAVPPFPPPSRSPTARTMDNYNGRPPMPQGSNGYPVR SPTAGPNADRFSPMDGRASPAPSTYSSRNGPGLPANPTPRPLNPSGVQAYQPTRSATG PLPARTPQQPPTRNMTTGDYYSRRQPSQGSAYGYDYDVESQRGGRY
SAPIO_CDS8170	MSAIPISWHEGELAMHKLLSVPRRENPTSPGLPARYGWRIAASP LIALGALDSRGRPWATIWGGEQGSAGAIAAGMLGVRSIVDGAHDPVYESLWEGSEKGK ITAPSGGEGKERLIAGLAIDLESRDRVKFAGRIVVGAAVAAGKVTDVQMGVEVRESMG NCPKYLNKKRIEKREEGEMRPVLVDGEEGALGREAVGIVERADLFFMASFGGGSMDVN HRGGMPGFVRIVKNDADGVVLAYPEFSGNRLYQTLGNLRANPRVGVVIPDFETSDVLY LTGTAEILIGGKATELLQHTKLAVKITVSQARFVKSGLPFRGEVVDYSPYNPPLRTLV WEKTSLYAPPDKQQRDITASFVKRELLTPTIARITFKLDSRTPLPTWKSGQVITFDFK HELDNGWSHMRDDDPQSLNDDFVRTFTVSSPAPVSIRAGSKDAEFQITVRKHGPATAL LWRWNPRVELEIPILGFGGEEGFLLPVEKTKDLSVFVAGGVGITPLIAQAEGVLAAGN GLRVLWSVRGEDLPFVEDVFGRVRGLAPLTTVFVTWRGDDGREELKDRLETMGARLYD GRMGEKDVKAVERRGGRKFYLCAGETMMSMLLGWLEGEEVVYESFSY
SAPIO_CDS8171	MPPAFPVPMPRKATYRGRRRATTAASSRPSRTKKNTTALTKSSV AISDEESSDNGPLTLPVHDKPERSRSRKSTTIDDEEDELPPRRAATATKGKVADENED EEDEEEGEDEDMEEDDIFVVEKILGHMMNKEGDLLFKVKWEGYEKKSDQTWEPEESLK EGAAELLEEYLASFGGRERIIEESNEAIKTKKRGRKAASTPTESNKRSKRNGHPLDTT PPATAIKAGAWQPPAGSWEDHIETIDACEDEATGKLVVFLNWKNGKKTKHNTDVVYKR CPQKMLRFYENHVRIVKHETAEGVDVAAMDAAVKEAEP
SAPIO_CDS8172	MDAQQPDFLEMARCIGSLGEQVQNCQNLPAIRQGNDIVEALNRV NTKLDEIKATQREHSQSLQRQEGALSALATRVYANEANSLAALANSRATEDHSTLVPL KSVINNEAIPGFPRTIAEIKNLDG
SAPIO_CDS8173	MAESVSAKSEPGTPGPKYPAPKDKVCQFCGVAFTSSSLGRHLDQ FIKDKKPKPPDDVHDVEEIRRLRGNITRRQARNSLRARRETLTPAGTPKASGLRDSSN QTIKSSFSPKDSNGSLNGWDMNGDGTNGDSTANGRRSGNRAVSRHAQKAQFDARNKMA EAMDRAKAAELALTELLGSLRAAKNQIDASSVPFDFNPLTLDFPALTLQCLRPPPTLF SSTQYPSPTSWSIQAPGHREFEALKSFFREEFKVWRIKCAAATTTITEENRLLPRSPL HDAKAEISKVEERCAELESQIQDHLQSSYTVWEKLPAQRKDELWLLEMARSVGSRHKE LETLREERQTMLQRISHLESQVDQLNQSQQPKEFKVRSPQTIPIDPRALNYFLEASVN GIKSVGMKLEDRHADLNTLISRAIDRWKTVVVSQRLSDNSLDGQRPLPETVAPTPARG TLQAKAQPNQSRSAVTQENAQQSPPKQQQQQQQQQQSLKRQSTSTTEPLAESKSAEPE RTADSTPDDSDADGDADGDVDIENHMDADADVDADADVDVDVDVDGDADADVDGDGDA DADAEMEDDVSFSIMPSAQQMQAQQQQHHQQQQQQQQQQQQQQQQQQQQQQQMQQLQQ HAQHHHQQDTLHVPRTRGHVQRPPEGAYMIRHTASMPIPRGALTVTRFIPSMSAASTM HGNNTMTMHGTNGGDSMYIG
SAPIO_CDS8174	MVDPYEALSSDFIPTAKVLDHFETEINRAIPDGILSADGKERLK PRIALLAGADLIQTMSQPEVWSRDDLEHILGRFGAFIIERAGTDIHQALSSLQPWREN IHVIQQVFQNNMSSTQIRLHIKRDMSVRYLIPDPVIDYIEKTGLYQERQPSPAASIAG SSGSQ
SAPIO_CDS8175	MADAIPAPPTEQVANLQLDEVTGEMVSKTELKKRIKARQREAEK AKKAANAPAQPAAKKQASAGAAASAEAAEKELTPNQYFEIRSRNINKLRETKNPNPYP HKFHVTYDLAKFAEEFGYLKSGETARDKVIQVGARVFTKRSSGSKLFFYDVRNEGVKV QVMCQAQEAKEGGVPFEAQHEHLRRGDIIGIVGFPGRTAPKSRLAKGEEGELSIFATE VVLLTPCLHAIPDEHYGFKNHEERHRKRYLDLIMNDSTRKTIITRAKIITYIRRFFED RDFVEVETPVLNAIAGGATAKPFETHHNDLDMQMYMRIAPELYLKMLVVGGLNKVFEI GKQFRNEGIDLTHNPEFTSVEYYEAFADFHDVAKRTEELLSGLVKAVTGSYITRFTNQ QGKEFEINWEAPWPRLDMIPELEKATGEKFPPGDQLHTEETNAFLQKVLKKMNVECTP PLTNSRMIDTLVGEYLESQCISPTFIMGHPQVMSPLAKYHRDQPGLCERAEVFVATKE IANFYTELNDPFDQRLRFEEQARQKAAGDDEATLIDENFCTSLEYGLPPTGGWGLGVD RLVMFLTNNYSIREVIAFPFMKEEQKREEKKLAAEVVGVEPVPIEEVPRK
SAPIO_CDS8176	MPVSRRLATRLAAPSSICRQCLQRASVPAGPSRVLDAVRQSRAI PVQQQVRLQTTASTITEQIKAASEGSSKAYAEAFEDATSKKKMPEFTTSKAVGLWLLG SAVSVFGIVVFGGLTRLTESGLSITEWRPVTGSRFPANEEVWQSEFDKYRASPEFHLL NPHMTLEEFKKIYFMEWFHRIWGRVIGVTFVLPAIYFVARRRVSLPMALNIGGISALI GFQGIIGWWMVKSGLKDDLFAPGSHPRVSQYRLTTHLATAILCYSWMLVSALTVLRTR RLLADPAGALKMVSTLKNPALTTLRRSVAGLTILVFTTALSGALVAGLDAGLIYNEFP MMGLGLTPPAAELWDDHYSRKTDRSDLWWRNMLENPSTVQLDHRILATTTFFSVLSLF LYARRGQVAAAIPRNAKKGVNGLLHLTLVQVALGISTLIYMVPLSLAALHQAGALAVL TGSLVLGHRLRIPKHLLATLNRRVQIAAKSSGASTTAKKAAH
SAPIO_CDS8177	MAGRQAHGRAPTAKPLGKRAGKIQQQNQGKSKAKPKGGSKTRAL NAFEIASEQFADGEKKRSGRNRILDIDDARPKHRRDAEEGSEGEDEGPRRKRARPSRP AQEDSDIEEGSDDEGNRWRLGGLAEDDEDSEIDSDEAFGESDNETFQGYAFRGSKSNQ EKDGDDSDGEDDDESLGEDAIDLAQALDMAEEESGEDEEESGSDESDEDDSDADSEED DGTDSDDDGVQDQDALKSLVAEFAGVDEDEDATKSTKPKIGLKDLGLFGIKDANMKKS LKLMTKEEKETRPGLSKKLDVPLPKRQQDRIDRKAAYEKTTETLDRWTETIKHNRRAE HLVFPLPQELPNAGLGNTEMQPLKPTDARTELEKTMFSLLDQSGLSLTKEKKKKPLIS EEEHLQLSKQARQELLAERRLARELQSREAKKMARLKKIKSKAYHRIHKRQKQKEEIA AKEAMIEAGEIDSDDEREEQDRKRALERVGARHKNSKWAKSGSKVKRAVWDDDYRAGL VDMARRDEELRKRVEGRGAGENSDDDESVESGSDSEDDRERLRRELERAEAFDDGAPE SKLMQLKFMKREEERLRQANDELVAQIKRDLDSDNDGDDVEEEDQGDVGRRKYGMGNA ISATGPLSAAGQKASKNKARRQRKEEGGDVDAMDEDGDDGNDAGVTRDRRYIVQTDSI AGAWSTAEPRRKSKNKKNGEDVHPNEPLDLNSSILLAEGAPKPKPNTKSTKVKSRTEK PAVQFLEDGEDVDSGDDGEDLKLPFAIRDQDLIARAFAGDDVVAQFEQEKEELAEEQD DKVIDNTLPGWGSWAGDGISSREKKRNKGRFLTKIEGVKKQDRQDAKLDRVIINEKKI KKNDKYLATQLPHEFESRDQYEGTLRLPVGADWVTKETFQTGTKPRVIIKQGIIAPMS RPVI
SAPIO_CDS8178	MSNHSDEDLPGETTGLLNGHHNEWPNGHSPSGGWKHFFFNRRST PGTESPNRLVRYSASTWHITKVTLMSNYVNFLLLIIPVAIVGGELGWDPTTVFILNFF AIIPLAAVLSFATEEIAMRLGETLGGLLNATFGNAVELIVSIVALRDGQIEVVQASML GSILSNLLLVLGMSFFFGGLRNLRNPDGSGMEQRFPSGTAQTTCSLLALSSASLVIPA TLYNVIDSPNEDKEGPILFLSRGTAVILLLLYGLYLWFQLRTHHNLFDGVHHEDPEAT QTSVEQEEEREHEEPCMGLVPAIIVLVATTVVVAICADYLVASIDPIVETSQISKAFI GLILIPIVGNAAEHATAVVVAMKNKMDLAMGVAIGSSIQIALLVTPFLVMFGWAIGQP MSLHFETFSTVAFAFSVLVVTYTVQDGRSNYLEGAMLLGLYCIIALAFYVSPSLDASK AIKFVSS
SAPIO_CDS8179	MAPRHFSLRLLLLTLGQVLSCQGAPEPGFQPGHPDARGPAGHFQ QPSHRSRNHLGLRPGVHRPWEDIPCTTDISGPVSTPEVNLLGLESETFIAPSPIGTVT ITTSHPTITPSYPSSFITSSRSASTSESIDFSPDVTVSVSTSTASNCTIQILPTLTDV EPHTTGLPVESAGDSETKSPTESAIEPATSLLEPTESPTPVSTMPTIETMIPADIFAE PISWDPPPSNMPQRDDHPVPRLGIGAGPPIQTNKFYSNFFLGDQKAPTYTFPYSVAWV GGNGPTGSYGLAISHTDADQRVFGNPKEPTGAASYFYNPVGIQSLILSAKELGKDTSL TIDSLTAFSATVRIRPEPDGAPAISFPLVQGMGFVTGEYSGAIPLIQSGVFFRTVSKA TDDPKDGVVKYKFVLEDGKAWWLYATKTSGEDLDLRVVNNGNAEATAPFYGLIQIAKD NSGAEEVLDEASGVYAETILLSGAVDDTTGSYTFHFTSRGRPDSPLLMFALPHHVQSF DYETKSKIADLKMQTTTKGLATAVLGISWTMVEPRMPLNMGFAPYTPEGGSRDTLSEE AKAVIRVVAQQEISQNMLEQTNLDSMYFSGKGLAKFAMILYVINDMLDDRALAQAGLE KLKHAFSTFVENRQQYPLVYERAWGGVVSSATYTTGNSGVDFGNTYYNDHHFHWGYFV LAGAYIGYLDPAWAQENKGYINTLVRDYANPSAKDPYFPQFRSFDWYHGHSWAHGLYA SMDGKDQESSSEDIMSVYAIKMWGTVTGDSLMTARGNLQLSVLARSLQHYYLMTSDNE VHPRQFIDNKVAGILFENKADHTTYFGGNIEYIQGIHMLPLLAASGLARTPEFILEEW TTYFSDGRADEISGGWKGIVYGNYALVYPDEAWELFNSSTFNPAWLDGGASLTWYLAF AAALGGA
SAPIO_CDS8180	MASERQGMPIYPQYCFKAAPTHGVWCFFRTADIPRLRKFVDLPD LHFYKNLPIKFIRVVGLIVAVDDYAGRRVYIIDDSSGVNVECILNLQDGPFDPGKIFA PRPPIPPEFAGFQVGCVVDVKGTITDYHRARGIKIARMKQVPTTEQELSLWERRHQFR TAILDRPWVMSQQDVLRCRKEAERADTELAASKRKTTSRSRHTRDEPENDPYKIKRET APMAARRIYKLETRPALSTHEEASIGEVARRARTSTPVLEGDPNLTSEDPYSITAKRR VGHATWSRADKTGYLAAEREQNEDSYRNPYMTPAPSSQPTIPCLEETVEDGRIGESRS KPATLKGGYLAADLEPGDPYAIRTNHRLMATGKSLTRATNVLAEPLRIIKPAQPCSTA NKLDTAAEPASVAVDPFSLKKSFSDVHENAHDGSDPFRIGRKGARRAR
SAPIO_CDS8181	MCGADPIPSNANGHSNGASNGDCAVNGQNGTNNDLSGQASHPKP TTPVVNPYAPIHDALSNVSSYKLIESTLREGEQFASAFFDTETKIKIAKALDEFGVDY IELTSPAASEQSRKDCEAICKLGLKAKILTHVRCHMDDARLAVETGVDGLDVVIGTSS ILREHSHGKDMEYIIKTAVEVINYVKSKGLEVRFSSEDSFRSDLVDLLYLYKAVDKAG VHRVGIADTVGCATPRQVYDLIRTLRGVVSCDIETHFHNDTGCCIANAYCALEAGATH VDVSVLGIGERNGITPLGGLLARMAVSAPEYTKKKYKLHMLKEIEDMVAEAVAINTPF NNPITGFCAFTHKAGIHAKAILNNPSTYEILDPSDFGMTRYVHFASRLTGWNAVKTRV AQLGLTMTDEQVKTVTAKIKALADIRPIAIDDADSIIRGFHLHLQDQHQVQSETTTAA PAAENGTENGNGTVAAQ
SAPIO_CDS8182	MSSPTEDIADAEPPTIDPYEVLGLERTATPEQVKSAYRKAALKN HPDKVPQEKRQEAHKTFQSIAFAYAILSDPARRTRYDATGSTSDSILDSSDFDWSSYY RDLFADAISPDAIERFAKTYRHSDEERDDVLAAYEDAEGDLDAVYASVMLSDVLVDDE RFRGIIDEAIEKGDVPAFDAYVKEGRKKRAERVKAAKAEAREAEEYAKELGVHDKLFG GDADGDDKGKGGRGKSKKKGSSSKGNSEDALAALIRGNQQRREGFFDHLEAKYGAQSK PGKGKKRKVEEEPSEEAFQAAAARLKKGKGESSARAETRRKSKR
SAPIO_CDS8185	MAPKRYPTLSGALVALLGVTGIYARPSRCKSRAVGDPQILAADE VEEEYDYIIVGGGTAGLTVADRLTESGEHTVLVIEWGAYDNGTSVNTIAGGAGAFANQ SHLFSFTSAPQTGLNNRTTAVIGGLMLGGSSGVNGLQVHRGHKDDYNRWNAYFGESND SDWSWDGLLPYFKKAWHFHAPNEDTAEALDIKYDKSYWGEAGDVHASFPTFNWPGLRV EMEAFGEIEGVEFPPDSGAGSPGVYWYPTSADPTTMARSFSRTGHYDGISRDNYKVLT GHRVLNVVFDEDDAASGVRYVAKGAKGDADARSVSARKEVILAAGTIHTPQILQGSGI GAEELLKSAGIEVRVDLPGVGWNFQDHPLGGGASFDLADFPVSPQPGDLQSNASFREE AQAEFSESRSGPLTIASGNAACFLPLPVIAPSTFEDIASRYEAQDPASHLPPNSPPTI VAGYSAQQKAMAAAMRSHGSAYYNLFLRGGGSEGAIVFLHPASRGTVYVNPADPYFSE PVVDYRAMSNPVDVDIEVEFVKFTRRYFTETSMSEYGALESRPGEGVQSDEEIRDYVR ANVSPSTFHPVGTSAMLPRELGGVVDQELRVYGVKRLSVVDASVMPDLPGAYTQQTAF AIAEKAADLIKARA
SAPIO_CDS8187	MEVKKESPGTSVIPDEYSAKTRVDDPIPESNMEKSSSLEQPRAA SPLNEKAAGSDAASEAAGDSDDEANYLTGMRLIALIASLCLVVFLVALDQTIIAPALG AITAEFLSVRDIGWYGSAYFLTTTALQPMFGNIYKRFNIKWAFLIAVFIFELGSLICA VAQNSTTFIIGRAIAGIGTAGMFSGGIIILANSMPLRQRPLAFGIISSMWGIASVAGP LLGGAFTDHATWRWCFYINLPIGGVSMVAIFFILHIKRNNNPNNLSFFRRVLQLDLPG TAVFIPGIICLLLALQWGGTEHAWNSATIIGLFCGFGALIIIFVGIQIWQGEKATLPP RFFKNRDVVLAILFAVVFGAAFFPLIFYLSLYFQAIKGDSAVQAGIKLIPLLLSVVIS SMLAGGLITYIGYYSPVVIPCMVLATVGAGMLTTLDVDSPLREWFGYQVLCGLGVGPG FQVGVLIIQTIMTMDDVPVATACVQFGQALGGAIFIAVAQTLFQNGLIDGITAHPLPD GQVINPLIFINSGASQVRDILISMGLESSIPMVLEAYMDGLRDTFYISVAGTGMAFFL ACGFSWKSVKKPVNGGGASAPAAV
SAPIO_CDS8188	MADRGGGHERGKRGGGRGAPRGRGAGDGGAPRGRGRGDGGGRGR GRGDSTAGEGRGGGRGQGPPFRGGGRGRGDYSGEGGGRGGYGEGRGGGRGGRGFGDRG GRGDRGGRGRGFGFARGGGPIAPPKALPTTFPEPDKATNDLENELLSVEGKMASLAVS KEIAVRLPVRPVFGTKGIKVKLWANYFKLDLNADRDLYLYHLEYSKIDAESSASESDT GSFKKKREPGEAPSLKGAKLAQVVEATVDLVKTFNAGVALATELKSKIVATEKLNLPN NPMMVPISIEGRSRRDLYSVSFEGPRVLNLPQVAEYLRTMQEADASFPKFEDVVDALN IVLGHTARTKRTISVVGSRRFFETGLTGNHPGGFEGDEEKSFLVPSVLAICRGYFQSV RLSTGRLLLNTNVTHGVFRVEVDIKDIFRRLNINRRNSLGDLNVLARIFNRARVEYRF RGANSDKDLKRQKTILGLLESGRGMVVDGLKIEDTPYPGPSQVSFLTQLPGDAAPVHR TVTQYYKEKYNVDINTDWPLLNVGTPVKPAFVPAEFCRILPSPVKIKLNEMDSQAMIE FACRQPGDNYHSIMTRGQDILQHDAALLGHFGIKVGKSLLTVHGRELSAPTLCYKPGG RQAKTGPKFKPSFGAWNMSGLKFAIPGREITSWGCVAIGIQAQDEEVIRIANEFRAQV NNNCGLHMDDTPSHGLTKVFVRPGDPNMQSKIVTAFGRLAKERVQILLVFIPRKDTQL YAAIKRAGDVELGIHTVCMDWKKASKEKGRAGYFGNVALKWNLKMGGVNHQLVDTVGL INEGKTMIVGYDVVHPTNVATAAEAAAPSVVGMVASIDKELGQWPATAWVHTSRMEVC EDDQLKGAFESRLNLWKIKNNGRLPENIIIYRDGVSEGQFALVLEKEVPRIRAACRTM YKKPPHLALIVSVKRHHTRFYPTSPEHTSRTGNIRPGTVVDRGVTQARYWDFFLTAHD AIKGTARPAHYTVLLDEIFAIREGRNAADDLETITHDLCYMFGRATRAVSICPPAYYA DIVCERARVHRGPLGPSGKGRWQVHENIRNSMYYV
SAPIO_CDS8189	MVQEPGRPGPSSVTAPVTMAERPVASAELGFFSVEDYFTQRDEV KKRQHAIAFDHECTVHASKKEQKADQILQLLKKRDNLRVYKAASPRVGYGGQQHPRFA GDHFLSNESLIEQTDVFRVAHHMPKGAHLHIHFNACLDPSVLLEVAKNMDRMFITSDL PLILDHSSFNYDRCEIQFSLLPPEKERPGNLFSATYQPRQTMPFKQFLNEFSSHNPHI SVDEWLERKLLFDEEEAHNKLQTCSGAWQKFNGRTRMMKGLFNYESAYRIYTRRCLEE FVRDNIQYAEIRPNFMKTNQLYVDDASRTINNEGIMHIIIDEYNKFQAQTQGYFGGLK IIYCTPRVFSREQVRFALNECRAFKKRWPEWIAGFDLVGEEAEPNPLKTFVPELLEFR KLCIEENLDIPFLFHCGETLNIGTETDENLLDALLLDAKRIGHGFALARQPYVMERMK ERGICLELCPISNEVLGLTPRVGGHAMYTLLANNVHCTVNSDNGTFFRSTLSHDFYQV MIGKSDMSLHGWRQLIEWSLQHSCMSIDERQNVRAEWEKRWDAFLDWMLEEYGAIADG NDAGH
SAPIO_CDS8190	MSDNVDDRDAPWFPENKLEWRLDVVTLLAVIGEASMAEHSQAIT ASLLLLPAPQAFLNASRPKRLPETKAIVSGVHSGVTLDSVSFFANILIPLDLPPYAFK VWKIEHVHPDRRKSARSPKAPSTGRGGFLSGLFGGDRLKEEQSDDVEMTGNKITPKSR SVPLAEAPSNKEEEFGPPRRQETLREKVVNGANAVANTIVTGSPNKRPAVPAKLFSPL HLLTLLSCVVSLVILATAIYWHDGTAILAVFLISVASSIVGLASLWEPQLMSTQSAEN QKLPRGDIMIRTREGAFIYVQCDESVARELFSGTEECKYTVGSKLHDALMAVGTIILM LAVVLLGNCKWNSQIFIGASYIVLNGLYWAIGMLPKRYSWDLTRYHVEDITPPDARHA EKQIDLRDLKPAHGKTFARIGTKFTGIKSTATSFVHSGSIHRRRDEEKEEDPAQKLAA QADGDPGPTSPEARACFTRTLWYAIRETETADWARRSNAAPRTDIWEKWLDEADLQAR KKNRIWAAVAFKDDVMKLSQPSQSEKRP
SAPIO_CDS8192	MNATKRKFNAIIQGIGNKPSSPASDVSKGDVPSPSPSSIRSSTS TTTKMTPEASLLSKRRRVGVPGSTPEPAGPTSISNVVLRKWSSNKKNAAPQPQPKYCP SDRNELLRRLATFQELVNWAPKPDRVNEVEWAKRGWICRGKERVRCVLCNKELVVGLN RKEVDGKEVSVLVPSEIEEKLVDKYVELIVESHQEDCLWRKRGCEDSLLRISFSNATA NLEGLRNRYDELCARKPFLPYEFNLKLPENVNIDTILSQLPTDFFSNPPPPKDTSDPT SPNRTALVLAIMGWQGLSDSRIGAVPNSASCHTCLRRLGLWMFKSKEVGPNNEVIVPA PMDHLDPVKEHRFFCPWKNAHTQRLGSARPNANNDLPAWEMLTQSLRNEAYLRGVLDE STKGRSKAVGVASQQAPATPRTPRTPATAGQETPYTPGGGEDEDDEAARDAKDKERWA RLRRVKSLFDTKGSRRLRRAGTTSRPGTAVSTKSTKTTTSTENQ
SAPIO_CDS8194	MAAPVGNFVVLTWQEGMVLGTGPPMLPNPPNTLEYTYVTSAINI ALAILETEQGRQALTRIALNIDRGRRPGVGGPRWHGPNANALARAQIDVFVQKLRDAF PLVVINHNMTDIDMLAYTPRGTWFGDLADFNPREQAIQLNGSRVKDMIAASNGDPQRF QTFHFLFATTLVHEAGGHVLMTYLGGGDLSTPPSLYVPGYTNPNMGESGRYLELKLFG GTTEYYHDPNQDDHQASPSHSEELGVVSIQTLCEAWVATLASTLKTYSI
SAPIO_CDS8195	MVFDLYRYRSRARMPYPTVLGSGEIRLAALLPGEWGDDIKCEIF RASWLTEPKMPEYKALSYSWGLQSFRPPTILVNDCQFRVTPNLECALRHLRRPDEVVI LWVDALVSQMRGIYSRAAEVIVFLGDGLDPLANGRSKLKSQAIRAEFKDDLMDDEWTE RCLSKWKTTSRMGRATPLDIFCLLRLLSQGQSLSNSLRCLEKVPEPVLATIFEALRQM LTVRWWNRIWVVQEVVTAAKVTVQYGHVSAPWEMLVKIGKKQSRQLSLDYKGLSIEHD VKVLQLLSQVGDIEKFRQIWATKRRPSLLELLRDFSSRGASDDRDRIFGLLGLCDVST RVIPDYSLTVRDAYIMAAIDSIRTSKSLSLLTGDLGRKARENLPSWVPDWGATFDEYD RRRARLNDKYSASAGVLSMIFPDMYPLDKDQQERPLWETYEHNPSGTRLQYYIARQMR DLIETLKNSGSPQDLLPSCLPGVLSIYGTLAGSRGWIDVSDACKQLTMFCHENGARDI GKISDVAAITHCSLLGSDNLWRSALEASEDTQEGLEELEKIRKKAEMLLKVYESSEPE ISRNRFIWRGRLKEVLDHLEALDAAQRERKQLSNIYKVLEELREEINSNRELRQILHQ WESRRIAIV
SAPIO_CDS8196	MPKPYTAVTVSIESLTSEIYEEEDMSGIPDLVEVILLQASGPTE AARAIRKKLKYGNVHRQIRALVILDGLIQNAGARFQRCFCDEALLERLRVCGTSGTSH PEVRKKCNELFRSWAQFKNVEGMRQIASLYKQLPKRKATVTQERSKVVKETENPFDDD DEDEHSPKRGESSKASAPPYEQNMKTVQSFSQSGHSRSASGSGSFFGSSSSKDKKKKE KKTKKRRPFNLEAEKDQMKATIAESSIAATNLMNTLKSINREKERISENQTAVQGFES CKQLRRKILRYIHHVESEQYLGSLLHANDELILALMTYEQLDRSIDADSDSEDELAEQ AHLYRMAQLRGRESNPTSPTSATPDIAGLSLGGSPPRPTPPPRPSATTKPVPVAPQRP RYTTDDESEDDDDDNPFADRNELSHV
SAPIO_CDS8197	MGIDMLVNAKGGVANPHHTIPSIPSPDQIHLPQIAASRPMTDPL DRSVSPHGSIHSTHSRYSTPRSGDIPDTLPRSYPSPTAMLHAHDPQLGAPMSLPSMPP TSIAQHYQTEYKPAPVLPGTWNQSIQPMPTQQPAPQPPVKAYPCSTCGKGFARRSDLA RHERIHTGHRPHVCDYPDCGKQFIQRSALTVHYRVHTGEKPHMCERCGRTFSDSSSLA RHRRTHSGNRPYKCPYANCQKTFTRRTTLTRHQNQHIGTIEEAAAATAAALASRMNGV KGIKAEIEQLSSHISPVTTPSPGQRNLSMSPSAQLDGTGLPRQDFQQYVTNSSLPAHL RSDIHIGSPTSTTSAGYNPIRPTSHPTSYPPPTLEPSVESHNSGPGSASGSPHMSSLG WQSPTHSGSPSQSSANAFMYPDPDYQTTTNNMNQFYYAHTGQIRRPQSTEPNLVSIS
SAPIO_CDS8199	MSEFTYQDVAGHNTKDSLYMVIHDKVYDCTKFIDEHPGGEEVLL DVGGQDATEAFEDVGHSDEARELLKTLQTGTINRKPGDPVPKASASYSSAVTADSSSI GVATYAIVLIGGVISFFAYQYAQKQGLIAA
SAPIO_CDS8200	MDHIDTISSFVEGAPPGELDDVIADIKALTISNPHVVAKLGPAF EKYNEEQFTTVKLPGGSQHVIVSSHSSLGDGRYYDVGSSSSFHFDHQKRQASAVQSHV LDSSHTDLVTSVLKSLGTYVGEHYPNATYGVYPIENDSKVAIIIVANKYSPNNFWNGR WRSFYIFDPSSGNLEGSIKIDVHYYEDGNVRLLTNRPISVSIASGTGSGIVKEISAAE KKYQEEVNRAFTGLSEGAFKNLRRQLPVTRQKIEWEKVTSYRLGQDIGGGSSRR
SAPIO_CDS8201	MPPRKRAASTTIDEPRRSRRISSNVKKSNYFEEDDDSEDPLTTS NVKKRKGKSAPASKRKQEVDDGLSDEYQDNAADQDEDEDGGEEEENDDDDGDEDEFAT KVTVVKLETLRPDGGVEYADDFVHKNTMLFLKDLKENNERSWLKSNDREFRRAQQDWE SFVMSFTSKLSGLDFTIPELPARDVVFRIYRDTRFSKDPTPYKQPGNCFVGGGLWHPE NESIYKIRESIDERPRRWRRILNNAGLKKHFLPDASKSSSADVALKAFAARNKENAMK VGPKGIRADHRDLELFKLRNYVLSKKVADNVIFGPGSQEKLVDIFTPMVPFVSFLNSI VRPDPNVDGDFDSGDDEE
SAPIO_CDS8202	MDARLRLVYDFLDNDLYENALFVTERLHALDSDNSSWIHLMSLS CLRLGRVALAAEHSRERGLSGHHLGCSYVFAQACLRQKNYSDGITALRQAQRLWTDDH AVSERFAPDLAAVNRLLGKLYKASGDVKSSIASHVAALEANPFMWDAFTDLCDNGVQL RVANVFKLRDSSNNKADHGKLTKSAPSEVNATNPAAKANSLRPGHSFDSGLREAMQQP FCISRNDAPVGDENMDTTEPRNLRSVSGDIPMGYSGIAIPSLSKKRQRSVLDAPPAEV SSGSTRKENDVFTSRYASSSLTLAPQRRSARLLNQTAPSNGLSDRSTTDSAITRDALK RLARPRNPVRPIERKTSALNRAANAIRSSTAGATRDSKTTGPSSPTPAGKSAHPARLT SVAAAAAALDQEKLQALMNLLLKLGTGYYHLSQFQPQACIDALSSLPSEQHMTPWVLS KVARAQYEMMSYKQAKSTFQVLRKIAPSWLEDMEVYSTVLWHLKDDVELAFLGHELSD DHYLAPQTWCAVGNSFSLQRCHQEAIKCFRRAGQLEPQLAYSYSLLGHEHFEAEEYGE ATTAFRRALQVDPRHYSAWVGLGRVQERLGQGEKALKYYRSAEKVNPNNAALLTYIAR VLEKMGKHRPALSYLRRGTELEPPENLGSLIRLQTARLYLRLGQPLEALRDLQLVQQM APDEPSVHFLLGQAFSMSGPAKRGEALRSYTNALSLDPSNETIKDAIMMLGGGH
SAPIO_CDS8203	MPVHHLMIGTWTPPGAIFTVAFDDEKLTLTLVKRTEIPEDQPIS WMAFDHAKKNIYGAGMKKWGSYAVKNPSEIVHEASHPLGVDPQANSKETNTRAIFLLS AKKPPYAVYCNPFYKHAGHGNIFTVSATGALETNLQNYEYQPNSGIHGMVFDPTETYL YSADLTANKLWCHRKDPADPDSGKVVLVGSVDAPDARDHPRWVAMHPTGNYLYALMEK GNRICEYVIDPETHMPVYTHRSFPLIPPGIPDRWTMYRADVCALTFSGKYMFASSRAN SFDLTGYIAAFKLADNGSIERQICLNPTPTSGGHSNAVAPCDWSDEWVAITDDQEGWI EVYRWQDEFLARVARLRIPEPGFGMNAICPVLQAPSLPTMGSKQIFSQCSIAFVSSPE LTSKQIAELAAIVKRNGGEVCEPRFNGSIPVERVTHIISNTIDFPQYTEAQAMMIPVL AHSWIQLSLTKGRLAQIRPFSPDPRMIFSSVVITCAGLPVTDRESIIGATAALGGMDS PDVTRLTTHICALSADHPTCVEAKARGYRAKIVLPHWFDDCFKLGKRIDEGPYLLPDA EILRANPEDSVELPSSQHMEGASSAQPGHIPQSTRNSARGPLSVFKNKTVMLADDLAL TSRLRGIIEEVITASSGEVTANVEDCNMFICQYRDGEDYVRAAKLCKDVGNLAWLYHL ITTDQWSSPLRRLLHYPIPKNGIPGFEGFKITLSNYGGEARTYLENLIKASGATYTKT MKAENTHLITARDNSEKCEAAADWGINMVNHLWIEESYAKCAVQPCSNKKYTHFPSRT NLGEVIGQTFFDEARLREQYYPGEDEDLPPSAKRRRLILEAAQANAYDHGPAEGVVIG REDVKVWREEATRAVVEKPVTPAPKKQYVASGKENDESLLSTGGRSAKSKAAASLRLL ASDIEQYQKEKKRHSKDGRGPWGGKRAADQLDMAGDDEKEKVKKKGKKAGKGAEAEEA DVEADGGKPSKKRRRIEKPYNITVTITGYARWVDEPKKEDKDRVKLAELGIRILPENS ACQYLVAPRILRTLKFLCGLVRGATVISTEFIDHVLTSKEVVDPNDFILVDKEGEKAN KMKLSTSVARARSNAGKLLWGVPIYCTPHIRHGVKTYETIAVACHAIWKTYDGKHNTI KKTTREEDGGAAPDPVYLLTSDTPAERELYPKFSKMATEGNMEARIVSPDWLLEVCMK QEVTYDEEKFAVSKK
SAPIO_CDS8204	MSQPTAREIPPFTFPREYHFPPFFTRQTNLTTHHAQLTKWSSLI LSFCQHYRIHRLSLSQFTTTATSSTATSSSLTSSSSAAPLETLFHNPTINRRLSTADI KDVIDFMRKQGRVESYAPTGANGDGGKDVVWVYWRTPEEWAEIVERWVDETAQRGVVL TVYEITEGETCRGTEFYGLDQELMMKALQVLVKRGRAQIFGQEDSQGVKFF
SAPIO_CDS8205	MTNQDPNTMFGLEDTSSQAPSSVFNNDNNSTNNSLPDSVTPQVN PQLPQTESRTADPSTNSSFSNDESRSYFSTNPNWPFDPSTAADQASEQLFHNPSWAVS QQGVASAPIFPNPMTGGQNPGQITAHGQTRNPNDVLSLPPGSLGNLTPAQQEALRNIA MPSHIQFQSTSTDQPLESPNSLRRGGASSPENDGTRLPTRKRKSSADVDEEEEEDDDG NQPVKKTAHNMIEKRYRTNLNDKIAALRDSVPSLRIMSKSARGEDTTEDREELHGLTP AHKLNKATVLSKATEYIRHLEKRNNRLLDENHAMQQRIAAFEKLFMAGAMNGAISPLQ QPPPMQYPPQENQPFMPPPINNQNTTEASPAGMIQVPEDMKRILQAQMNGQPYPVPQQ PYNPNPSIVRQQQIQQMQQMQQNRWRNQAPYLGKLMVGSLAGLM
SAPIO_CDS8208	MADASGLNHPLLKTLNAAQRRAVTSKADTVAILAGPGSGKTHTL TTRVVWLINHFGYQPWNIVVATFTVKASREMKERISKALGEEAARKIVLGTFHSIARR YLAVYGEKIGLSKKFVITDDGDSRAIIKRICERLRIPLDPHGVKEWISKRKVRGPWDE PANPGEKKKPDSPEHLKVFAEYKDHLERHNLLDYDDLLVKGVELLRRFPSCVKNVEAV LIDEYQDTNGIQYELMKLFASAKNRITVVGDPDQSIYGWRSAEIRNLHRLLDEFPRTD EISLEENYRSSQLILDASLKVIQQDETRYQKILKPTHHKGTLPVLRTLYSSQTEGEWV VGEVKRVILMTGRMLNYDDIAILLRSASLSRHIESALGKEGIPYKMVGGLKFYDRIEV KFLLDYLRVIYQPDNNDALIRILNKPKRGIGEATLKNLLEEAETSKLSLWYIVLSHCR GQRKLKTKFTKTAEKNLSGSLIRIICGLRHRLERRNADGEPSIGLVQLIEELITQLDF RQYLTDTYPLEHEHESRLANVDEFLTLAGDFARDLGGEGELPQVEGVEQPSTDTDVLG KFLTNVALATDAQTNDKSGEEGENRPVVTISTIHAAKGLEWPVVFVPAVYTGSLPHSR SEDSAEERRLLYVAMTRAQALLYLSVPWHTNSWERERVSRSLFLPDDIMKYFSTFGPS FDDKVVEAMAKILGRKAPSMADTFKKLPEMFAIEDDRQPEFPAGYDRPAGHVSREIPG GGYARKRQSWSDPFNPTNPPRNGSAWDTKGYTSAWQKDYTTTMEKSSQFTMAAALPGF TTAGAHHTALASVGAGSGPKGAGRGQQQQGRHQAASTKRPANQPTLSSFCRPNNTATT YPSTVNRPVTLKRPPDDAWDDDDFLRGVPRKRIVHPGQVENSFNQPLSENTIRELTKR GLQDVKRRVQARRSLVETSLPPIITIKDDDDDDDPDVEIVEVRKTTEQKKKTTTKYPG FSSSPPRPEPSERPPVRAALEAAKDTFQNRVITGHGVRRPVSFHATTMGNATTAAKFG RIGAGELAPLAPRINNKYGVGVVSALGRQEGLKPMEKLTKPFKPLTVDRNGNLARGQG KNVRRF
SAPIO_CDS8210	MALDLHDGVRPQRLTVFSLTTLLLLSLLAALSLASPVSPGASSD HSTKNPRAAPTGDKYLIGLGKGDITGPVVEINFAGYADSEQVGSGLRQRLYSRAFIVG DVSSPADRFVYLVIDTAAGDTAVRNGIIEGVKALGGDYAKYYTGRNIAVTGTHSHSGP GGWWNYLLPQITSLGFDRQGYQAIVDGAVLSIKRAHDSLTEGYLDIGTTEISDGAINR SRWSYLANPASERARYSAETDTTMTLLRFRRASDLKTTGVLTWYAVHGTSLLQNNTLV AGDNKGVAAWLFEREMTLRGGDGVVAENFVAGFSQANVGDTSPNVLDAYCDDGSGQMC NLQDSTCADGKVQSCHGRGPAFEKVDFGTSSCFEIGRRQFAGALSILGNLESHAVPVT GSTVKFFHFYHNMAFSKFTLLDGTEATTCPAALGYSFAAGTSDGPGHFDFTQADSGDP DANPVWAVISGLLRSPSAQQKTCQEPKPILFDVGEMDVPYPWSPNIVDVQMLRVGQFV IIVSSGEVSTMAGRRWREAVGTEVESIIGEEPVVVIGGPANTYSHYITTPEEYGIQRY EGASTLFGRHTLNAYINLTVSAAPYLSPSSSSQPPVGPTPPDNRQKSLSFITNVVQDN PPIGRSFGQCITQPSSSYPRGSVAKAVFIGANPRNNLRLEATYAAVERREDNGQWKRV RSDADWFLTYSWKRKDWLFGTSEVTLEWDTKEDGRGDVVPGTYRFRYFGDAKSLFGPV SSIEGVSNSFTLT
SAPIO_CDS8211	MRWPPCLSKRAIIFRTSPIQPFCARRDQPDPRRWHSTGSDFQTI ETPCGASGSVSISLYNVVNQKTSTPLLIYLPPFPTPQPSLNFLPRLLHPYPTAVIHYR WASSSHPPPPDGDDSSPFTYPLHWPNPVHDVAFGYEWIIKNLSPPGLSRRDILVLSSH LGASLATSLALTECHPHRPFAVRGLAAYNGIYNWTMFLPDHRANRTKPRSRAAAREKA ESFGAVSDQGCSVLADLAGKMPGLFARPDRLFDVFASPALMFQTSGMDVPKSFTRSTE LASQIDWLASLQSSPTCLTSPDNNHRENSPDEFKPPKPPAPPRRAALIFPPRTSTLKI PDTTLLYTRPPAPPTGAKRKSRRTKPWGNTFETQALELGGLMRRSVEKLEVKERRVWD EDFEDWEGMAEKRVRLVDVGQGDDAAGGLGDGGLVEDFVSQWLRQKYGY
SAPIO_CDS8213	MSPQDTFIDDEDDSCPLCIEEFDLSDRNFRPCPCGYQVCQFCFN NIKTNMNGLCPACRRPYDEKTIQWKVVTPEEEAEFRANITKNQKKRAQEQRQKEAQKR EAEKENRKNLVGVRVVQKNLVYVTGLTPTVREDELTKTLRKPEFFGQYGNIQKISISN RKGGEGQNPSLGIYVTFEKPEEADRCIRAVNGSQNGDRILRAQLGTTKYCSAWLKHEQ CTNRGCMFLHERGDEEDSYTRQDLSSMNSIHTQRPISSASSSRAAPRPQPAPPQYQAP QLPPPPTAQAAGRPMSKDGSEENGTDGSALPSSANWARNPQRSRRGSHATSGAAPSPA ISASLPATTEEAVSDSPAPEPAKLATTQQQKDRKASKSPEAVAGPSQPPARAGGIPED VLKSIVKKLYSWKLPEITPEISQSVELYPPLFDIRGGEKRRAMREEEARLGGEQEEAV ETQEPSEEGEPETGGSLALGGEPEERESTRDGQGFDPRRGGIQPPIQRSSADGIFGPA LAQNANLGSIGSRTMTPQQQAYMRPQSAFADHLPPGISATQSLTSLMQQQGHSRQSSR FSFANENAGGSASIQLAANPRIMAQQKAMMPTSYPSQPGSQYYTTSVPGPPPGLKSTG TPPSMFGQGPTFGGSPFGAAPKDTNSDLLQSIIRGRAAGNAQAHDAAKREYINSPFSN QYPSSTSSTPAPASGLLASLYGNQPGAFQDFGSKQKKKGKKHRHANTSSSGGSGLVDL ADPSILQARMQHQAQSNAGVGQGLFGGQSQDDELPSLDEASSSVDALVADDDDMSLPT NQQTLCSLESFILPLPTQSGHQSIGLGGFPIPSISAPPGLAFGPGNIYGTGQIIPMQP ILPATPTMSVATPPGLRSATGSPAPRSAPAGPKQEASKKALNKAEAKSKVRALAIDSG LSRDIASQSSASKGKAILQEEDFPTLDSLKSGAQQATSQSSAKAHQVPTPKPVFATPK KSQQEPVVASPAKPEKTRRAAEKRPPKNTLNIAAATTAKMAQSKPVETVTPIEKPMVD TSESSPATGTGTPSSTIPTSDIRPGTPASGAGPSTASSLSRAGPKTLRLVSTPGIASA GSAIPGLLSMAGRVVRPGTPTSEMFSDSASLVSPSIPPSRVGSPPPVPASKIVGSAAV RTTTKSQQRKQRKEAHKGMTEAIVEAAKSEVEVHAPVVGRKKKQKKEKPAKAAAKVPA KVTKVDGGESSSGVAEERDSKPATTAKDVNSAKEEEKPSTTPPVAATMSPVKKGKENK KAKGKSKEAVAPVPEPAPPQPEPTLPEPERPFDKLQPTPDSVFRRLVRDGLNPHKISM LRPFNSHAIRLEASAMASKTGGNIGSPHDPKQFCKDFVSNHEEIVLRAGKPIRRMENG QRVLITPHGDVVRGLTEEEEDHYLILQNRVAKHANDPVAYKNDQHRVPSGDFSIIQGR MVANGPPSFFPQGEDDIPKSYNSKIRREEAISFANQFAMPSMRLGNRTFVGEKWRDQL EDDNTAEVMYRLKAMFYDQKPSDSQKQPRVGALFQPIFDGSKQDEAAAREKEEAKPPV KTTDKTVPTQARGSPSPSMSRKNLFADEVDENPINDEHLPRYGLEGRDTSTPRPAPPL TFEELEKAFFSSKKEVEKYEKMLNTVIRKNRRILLGQNH
SAPIO_CDS8214	MASRVCRSRALASALQPAKPSIQATNAQALRCLSATAATAQHVT VGKDSPNMRHAPRNPIGTLKAPVVNPADQYASKADALHRYGTWVMGCLPKYVQQFSVW KDELTIYIPPSGVIPVFSFLKYNTAAEFTQCSTVTAADYPTRDQRFEIVYHLLSVRHN SRIRVKTYADEVTPVPSITPLYDGANWYEREVYDLFGVFFVGHPDLRRIMTDYGFEGH PLRKDFPLTGYTEIRYDEEKKRIVTEPLELTQAFRNFEGGSSAWEQIGPGVDLKPDSF KLPTPKPEEKTEEPKK
SAPIO_CDS8215	MSPRITRSAARQAANRAAAQSSALGPTSVPSEPPAAPFSATGIA PNGQPAAATSTRKRKLPTSRDQSPLSGGNQQTSPTRRSKRQKGLDPTQPPTNPPAPSS SRPQTRRGKAASNMSAQDDSSAPFSTARSATPSASSSRKSSRSKKPTSSTPDTGSNRR SKRNANNSSDAADQDTAMTGADDEPQDAPDPSTSVPVDENRSADDDSDEMDEDDPQRY DEEEEDDGPFGAFGGRHGSGFSNTLRALTGMMSGVSSRLRDILNSLRQPDEFIQQAAL QELSEILLVSNEDNLSGHFSPDSFVKELVTLMQPPNSPEVMLLACRCLANLMEALPAS VANVVYGGAVPVLCSKLLEISFIDVAEQSLSTLEKISTEYPTAIVREGGLTACLSYLD FFATSTQRTAVTTAANCCRNIPEDSFPVVRDVMPKLLDVLGSSDQRVVEQASLCVSGI VESFKYHPSKLEQLVDVDLLRAVLRLLVPGTTNLIGPNIHTQFLRVLAFTAKASPRLS AELFKLNVVETLYQILTGVSPPSGTEDVASKLDSVVIMQALIHRPREQIIETLNVICE LLPGLPRSIESSLLLESHIFPEPISLRSSIGSGRNQDESNDKRLEMLEECKDEVRRFA LILFPTLTDAFSSTVNLTVRQKVLTAQLKMLSNLDVSILTEALRSVPYASFLASILSQ KDHPSLVMLALQATELLVSRLDKIYRYQLYREGVMAEITRLATEEDAQVSSPASAKEE ESQNRESRTSAESAERSSDHEASENEDDEEQASSDEENEEEEGNYPRGDEASGSPVSS RGSTMSIDDGPRHIISEEENARNTIRNVAKKFLETHETENQSRVMKEKAAKILDSLSI LAKDLGKLYLEPASGAHSTEAGIALFSRLAAYFDTDLLESVTSAELLASGLVRVLLDV FSNPDEDLARAAQSAFLQVFMGGISSLNSKLRRGGDSSATPFSIMIHKLQDLLSRSEH FEVITVHNNSGDGNRSSAVSMLGKQIRLRLIAEDDSDIPRPYRNIVVSIQAIATFQSL DDYLRPRISITERARSARRDGLTKALAAMAGARGLPPFAGSSSSGRLADRSAPGSVAT PPPPASNTTSSGTRASRKSKSRPTAEADEAQASTPSGPSREKRALRRSSCRQGASTET PPPPLPPPEEDDDLQDALECADEKHLTDEEEDDDEDEPSENRTLADLAGDLDEEMDDE PTPDPSAVNLEVAGDKVTARNEDGTRVHTPSNANGRHPPPNPATQATPTPSASRPMTY AAAIQSTPQDWHVEFSLDGKPVGNDTTIYRAVHHLTASSEEHVGRSIWSQVHSVKFKR VPGPVPQESSSSFTVQSDGEPAIDGIPASLAKHPTTASILRLLRILHDLNANLEDVLV ENQENIHISAEPLSQFVNTKLTAKLNRQLEEPLIVASNCLPSWSEDLARLYPFLFPFE TRHLFLQSTSFGYARSMTRWQNAQSAEDSRRDRRDDRPFLGRLQRQKVRIGRAKILES ALKVMELYGASQSVLEVEYFEEVGTGLGPTLEFYSTISKEFSKKKLKLWREVDSNESD EFVNGLTGLFPRPMSDEEASSPNGERVLHLFTSLGKFVARSMLDSRIIDINFNPIFFR IGDESSPVGIRPSLGAVKVVDPGLGRSLKAIKRFSIAKKEIDEDPSRTPTQKVMHTED IVIDGSKIDDLCLDFTLPGFPDIELVPNGSQMRVTISNVDEYLDRVIDMTLGSGVRRQ IDAFRAGFSHVFPYSALSAFTPDELVSLFGKVEEDWSLETLMDSLKADHGYNMDSRSV KNLLQTMSELTLSQRREFLQFTTGSPKLPIGGFKSLTPMFTVVCKPSEHPYTSDDYLP SVMTCVNYLKLPDYTTIDVMKKQLFTAMKEGQGAFHLS
SAPIO_CDS8216	MSSARLLRGRAIARLARPLARPSQPSSVLLIQRAAASNLSQRPD SEYVSFPGAVKSAFTTTLNFDKPSDHAAIPTYRVVDQHGAVVDTSFKPDLSTEEVIKV YEDMLFISLMDLVMFDAQRQGRVSFYMVSAGEEAVCVGSASALTMEDVIYCQYREQGV FKHRGFTATDFMSQLFANKNDNGRGRNMPVHYGSKELNIHTISSPLATQLPQASGAAY ALKIQRQNDPTIPPRVVAVYFGEGAASEGDFHAALNMAATRSCPVVFICRNNGYAIST PTLEQYRGDGIASRGIGYGIETIRVDGNDIWAVREATKRARELALEDGGKPVLIEALT YRVSHHSTSDDSFAYRARVEVEDWKRRDNPIIRLRKWMEAQGIWSEEKEKEARERLRR EVLHAFKEAEREKKPPVRTMFEDVYEELTPDLKRQMAELKSILERYPDEYDVNEFEGG KKSLDS
SAPIO_CDS8217	MDPGDGIALTFCVIFILILIVTWLSRKSLMNFIVAVLSRQQERE LEQHNEDIEIGTVTEEEKTKRVAGVFSSVAESYDKMNDLMSFGWHRVWKDQFVSGLSA GLVPTTPSSDLRLLDIAGGTGDIAFRLLRHAIDIHNHPSVHLTISDINPSMLAVGRSR SESLPISHQAALSFLVADATQLPASIPDNSVDLYTVAFGIRNFTDIPAALREAHRVLR PGGVFACLEFSAVQGNPILDALYKRWSFSAIPLIGQVVAGDRDSYQYLVESIERFPKQ EEFRDMIVDAGFAVSGDGYENLTNGIAAIHKGIKPVV
SAPIO_CDS8218	MAAPRIYNNSGYLPNGATNLPPGAAPLLPNQGRIIQTGPIRVLC IADVRGNLRSLNELAKNARADHIIHTGDFGFYDDTSLERIAEKTLKHVAQYSPLISET VKKAIQAGPSPVKTRFAPHDLPLSELPLLLSGELKLDVPVYTVWGACEDVRVLERFRS GEYKVHNLHIIDEARSMLLEIGGVKLRLLGLGGAVVMHKLFDNGEGRTTIAGGQGTMW TTLLQMGELIDTANRVYDPTETRIFVTHASPAREGILNQLSVTLKADFSISAGLHFRY GSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVKGEVEPAIQQNEAQQNLLKNALQI VEKMPTTAAGGNPFGGPVAGQTALGQVDESAFKNMWNFNLADAAFGWLVLEIQDGRIG TEMRAQGFNFAHRGSKQPPASIPVQPAPASPAVSSPNPQASQQPAPPAAAPSRQAPAA QQPKPAVPSPAPASAQPKVVTPQPAPTKEPEKQAPAANGSTPTPETSASPAPKTPAQE IIGLFIMNVSTDDQARDLFTDEDKAKILKIEKWGASNKVAQFKTTEERDAAMARLPDD IKNRTQEDRSKPLVKIFQPRESKPYSRGGGAGNWGSSGRGGSSNAGSGYRSAGGGASD SESTRRGGRGGRGRGDRSRGGRGRGGLKDGGASSPAPSSATPVPES
SAPIO_CDS8219	MCLIRFFVQTSSRPNQVGASFSSRRGHSNKLSISDPSHHVTEAI GTLYDDEDDDSSLDQPHRHSVGGRPLSFMQTPYTEQLTRGATPNNSETRPSLERSTSD NTGFLSPNSANTANAANAALKKAQTMPTRIPNRLSTSFDSSGMPPLSPTLSLKDVQAD PATSQFALTNIDNPNDIAQELSNLQALRRMSMDVGNNNDPDMLPFSAMSLMAMPSIAP SGGDDEADPSRLLWVPARVHPELAPMEFKSFLEKRVQSIKRRSASESSLSEDDGLARS NSAGLRRKKSMLSRQIDPSGASGGSGFVDGAERLGRQGSGRSTPELTIDELVKDPSKV VQKLTQESKMQELGAESNIEDMPILPMPPGAGLRRSTRTQYRKGGSLRSNKLPFSKRI ASRQAEAAEAAGDDGQLPPPTAPPGHGLSRTQSEPISENYSRPNRPLRRQHKLSQDSG SPITASPTTADATTPTAKDATASSQNRTFQVDGTSSPVPQIIETPPEETLSTTQRFPQ RSSSQKAGAQMLTTQPQQQQQIKQQQQQPIIPDEPPAKSSKRQAVARQAQASPQSQAT KTASSPSEMSPNSTPVLADGRSSRTDTLTYIPTYTGDDKKHDRKSKRDKDDDAGSTTS SKSGWKWFKGDDKKKKDDDHKKSKSKGFVESNVRLDVIQTSIENAVSKGRESLLLDRD SPDNNKTNEDRKKESNRKSDSRKEKDGNIFSSLFGGSKKKSEKESGKKSHSRKVSEEP PYRPLRPDMDYPWTRFPLLEERAIYRMAHIKLANPRRSLHSQVLLSNFMYNYLAIVQA MHPQMQIPVSPQQRRLEEERRRKEQENQYLAEQQQQMQMQGNQDSIDRYTFDYHRDAN QAQYGEAHAADESVDYMDDTHIYDYDEHSDQNDPRDHGNSYGGHDGNYGQQQGGKDYY AYGNKQDGDDSRRGDLW
SAPIO_CDS8221	MAGFFVLSQATGWRRAAGLNILLLALLFAGLVISTFFVGEQTQS FMGNYLFFGGDCDRTRIYNFAIHLILNIVATLVFSAANFSMQVLNSPNREEVDAAHAK GRSVEIGVPSLTNLFFVLSKFKVFAWLCLLIASLPVHMLFNSAVFATDFPGRLWNLTI AAEPFVNGAGFFPPGASLALPGFEPGYGREVNASSFLANTPQVVRELEAIAATATTWR RLEPASCRKKYLKCHGGRNFEDLVVVVNTAGTTNTRGWTRSQVFDLSPSASSDWDSLV PTNQVNSLWFSTVCEMISRPAKGSKACIQSCGRALGAHDALVIDDPLNNPENNKTWTI QFQESQDESGDQISRLSRDGFRFDEFNNLDVQYCLAQESRPLCKLGVSPLMMGLSALC IALIATLSLLVLKNITDDTLVTPGDAIASFIADPDLTTENMCTLTISDKPTLVSRYIM RKKGNGSFGLATPREWTKRANYKGSAVSLLSWFLTYAFHLWALSILVFSYFRFGPRNS ENGRMTSLDVPENSFPLALLFANKPQVVLAACYYHYNGLVTRFFVEKEWNSYSLAYQP LRVTTPRGSQVSTYRLQLPFTASILLIVISGLLHWVLSNTYFLSIFEGNYLGKDGAGL RDVEDGIGFEPNTLVALSYSVKALLILSIVSFVLALLPLFISFTKLKGDMVIVGANSR VISAACHVRPIGNEARLSNAPYSPFVFKSPWIQDQAVEGQKLIGPASPFEERSPRDTR SMTMSMYRPRAMSDAQLVEPPTPTPLLQAWPLPSPYYARSPRDTRSVTSYRPRATSET QTLEPPTPTPYRQTRPLSSIYNVRSAADTRSLVSARSRAASDANTIVPPTPREHDRTS LPSANDTRPESSYRQRATSEARTIIEPPTPPSAEQTRPPSVWGQMKFEPNHQHDQAPP LPTPGLEPPAAPWIDSYKDEKISPLAQTIRLNESKRKLPVSGLSIITPASQPGRRLPW AGNENADSMPTSTPATPYRPQSAITQGGMMIMQEFKNEKEARKARLRLARRKLRWGVV ATIVPLGDRSASVQFFFPARKESSMGIGHLTFGTVENGVVRPEVGRTYE
SAPIO_CDS8223	MSMTAVERANPPPRRKSCAACTKAKRRCDLAQPSCLRCAGRGIE CHYPHGIRPNNSNRLTSLSSTPSTPSTPSSIHAASVILEAPVPTTPVLNKTTETFAFD ALLDEFLTREPVSMNGVDCMSYGCDLVQEPSPQSGSIDLPGLDNNNDLLMAGDTGQLV PLDIGLPARWADPGCFEPLPHVIADRLEYSLELLRRTPKMLVEELRAPWCHSSLYEDN MPKSVQGIYLHPLIYFRTPSFLCFMVYYSRYCAERSLFPYAIACCAMYNARNSLNKPF IMRIIMSRTKELAESPIPSSPLEALARCQALLVYHLMGLLDGDIQFRASTESTIPLLR EASTALLPVISAHESRSSSLTNLTKSTQELPLFPITETEAFWRSWIFTESARRTCLFT LHFLVAYQILSCKGPPSCEDTLLCEEWTLSTYLWTARNAVDFAVAWRDRRHLVVKCSS FEDMFREAEPDDLDEFGRMLMVSFMGAEQTRGWFASKGGKL
SAPIO_CDS8224	MHLSKLSVVMGLALAASAQKIKFLPLGDSITEITCWRADVWDML AAEGLTDEVDFVGSQTNNPQNCRAESGNFDTGHEGHSGWQAVDIANNYIDGWVEKTVP DIVNFMLGTNDVNMGKTVPQIIGAYDKMLASMRAANPKVKVIIDKIIPLPFKNGPIVE VNGLIPDWVETNSTPESPIVIADCSEDNGFMASMLRDGVHPNAAGDTLIADQVGPLLI KYIKDVIAERGGGAAE
SAPIO_CDS8225	MSLNKLLLISLYLSGLALAHPANHHRRQDDDAPAVPEEPAAPEA PEVGPPESGEVKPDLPVVVAPAPGEGEGEEEPEEPAEGEEPAPEEPAEGEEPAEGEEP APEEPAEGEEPAPEEPAPVGPPTTPGIPNIPIPGGAVPGFPGGGFGGFFGPTPYICYP LITGFPGFGGGFPGGATPGAPTAPTPTRPDVPAAPPSVPQIPPPFPPAEGEEPAEPVE GEEPVEGEEPAEGEEPAPEEPTEGEAPAEPEEPAEPEEPAEPEEPAKEEP
SAPIO_CDS8226	MPRPCLRRCRRPNDPERSNPLRLTRRLELSRRVQLLLLLANLAV AVPVAFYLRATRAGPSGCLPGVFFCAAATLVVTTSMAYVGKLRGGYRSSRFLKKAIAV DIVCLLMQAFALADLGRGRSFHHGYHHGPSASSAHKELNGEETEGASCTLPKISLILT VLVIVSYIHTVARSVRLLASLRRQPAQFTGTGYRVLPTDDVKVPIALSLIKVPTSTFD GNGNGASVASSTTLEKALTPTSSTDSGVVTDEQEKS
SAPIO_CDS8227	MDSQDNGTVKSKKGGKGNSAAAGGDAPVISQADARLLVELLVSC GKSGNGVKPDWNHLSEKLDIASGGAAAKRVERLLKRFDYKMSDITGGKMRGGPSPKKT DSATSTPSGRKRKVKQEDDISPSAKRATSVRAIKKEVFEEDDDDAYSA
SAPIO_CDS8228	MSGLEVRVSYFGFCLMLNSSLSACSNDIGALQQSVDGHDPLNLM WMAKKFHDETIFSGLIFIAIIFAFACVCLLLVSFPSWHREYDSDDSEIEVKPFPSRQI VQASLGIVSIAALLGLISALWQHLSTAATTVMVKTLTYNSVVGHVGTAAMVLGWVGAA IFVVVALGIVLIMMSLKVLAELAD
SAPIO_CDS8229	MAAVAESQERGAPSGSKLNVESETDDAATRLRDAIISGSVETVT ELLNRHPDIIETPDFEGHTPLHLAVEHAKLSIIPVLLDNDANIEVVDSDGRTVLHRAA RQGNVDLARLLLERGANVEAISREGERPLWIAAKLGNEPVARLLLDCAANIESVNDQT GTTALYEAVTRGDISIVQLLLDNGADADATASEKKQKQPQIPLPHRATPFHPGMAKGA APPRPPGLGLGGGWVPPAAYARPGSRIKTTKKKKAGGGISPWIPGKGWDEAEKKRKTL LELAEEEAKQSRPRPRPPPPPDFHHVPPPPPPPYHLGPRVSDGAAGAPETIKFRDAVG RRFNFPFHLCSTWNGMEDLIRQAFIGIDVLAPLVAQGKYDLCGPDGGIILPAVWESVI QPGWAIQMLMWPPESGPLDQGAPVVPPAKGQPQPPPPNLKGGIKKPTPLFEAMIRGDL ELVKLLLRHGADTALKDHLGQLSLDELSEEKRKEIANLLNSGSLLEGPSIGKPRQKDI ETRARFSRALPAPRHDPEKMAACRSFEVSMMEFHLDGRERRYSKSASIYELLYGIGPQ GVRASERPEDLIGRKPQFTWYHIPANNMDQPPSPKVETVEQENSRDTHSANANNEANS RETPQSPDQATEANQPETFTIHLNNQSPKKTHKKPGTAVLDIVHPFEHLIRGYLKSRN PEDVPTLQPRRTLDQYVYGRVEGTYERAKDQVIYRYTRRYHGQAIKIFMVDQLWVWIL NKETVITCCPLNWESWGQEQDTHRNRYNIHTIIRDDPLNVYQHILRHLKKPFRKPVTS VYDMARLVVDTCVGLFDPHDLPNDLQFFDFFEYEVARVSNSATRRLQAFRNRLNQPSL TTDDLDIRLDISLLAEVQEIRDELDMLRMVLKHQNDAVGAMKEILSRKGVTQWEENRV SATHDHRIQTMEKLVKNTKDSLINLIDLKQKQANFLEVLSARKQAENTAEQARASTRI QTMMADQALESGRQGKTLMVFTVVTIIFLPSSFLAAVFAINLDSLPQSADGRLPLGYF LTFLFTVGAALCIPLVFIAFNLEKIVNLMRQMYQNVILYSSKDRKYHNLGHVNSLLEL LRQHRGVLADPDAIEAAIWFHDAIYDAKATDNETKSAELALSRLSSTDPPLDRERVDR ICTMIEATATHTVPQFTDARFVSDAEMFLDMDLSILGAEEEAFEKYEAAVREEYAWVS QEGWRKGRSDVLRSFLQRPFIFYSAVFRDGYEKAARENIARSLKKLNAAAEAASDTAI L
SAPIO_CDS8230	MADRNPGNFANWPKEDLKETASKGGQSSHSGGFASMDPDKQRDI ASKGGQASSGSFEKGSERAREAGRKGGSKHQSSPEDI
SAPIO_CDS8231	MKFSIATLTLAAATSAQVITQCGGKAQVCLDEATVAASDCNVGD WACGCQPANMAAIRAAATDCVVAACGGLIPALNVLLEVEQICADVLG
SAPIO_CDS8232	MSDKSASQAGEKGKFQPVSYKQSQSLPGLEREMKPTSESTALEG SGEFHEYAAAGKLKDRKAIITGGDSGIGRAVAVLFAREGADISIVYLPEEQPDAEDTK KMVEKEGRQCLLIAGDLMDNRNCQNAVSKHVETYGEVNILVNNAAKQIKCPDFADIDL ENVESTFRSNVLQMFAMTKYSIPHMPRGSAIINTTSTVAFRGTPSMVDYSATKGAIVS FTRALAQNLLPKGIRVNAVAPGPVHTPLQPASRSAEEMEIFGSKSKVGRVGQPSEVAP SFVFLGSRDSELYYGQVLKAFPIGD
SAPIO_CDS8234	MPPKKPAAKAAQASGKKSTTTKASVTTTKAKASTIKSTTKATAK TPTKAAATKTATSKAAATKSATTKAAPSKITKTTTSKVTKVTKVAKPTKTATAAKAKP VAPPKAKPAPEKPAASKKRKAASPEEEEDKENLAPAGRPTKRARAVKHEVEEVVVPAG PLNKTPAQVLDIFVFGTGENGELGLGPVKTEATRPRLIPALNTGDAKSFHVVDIFCGG MHTIALTKDNKIVTWGVNDNGALGRDTNWEGGLRDADEGSDDDSETGDLNPKESTPTA IPSNVFPKGTVFTQVAAGDSCSLALTEDGRVYGWGTFTDSKGDKMFGFDEEGNEIKVQ PTPILIPGLTDVVQITCGANHALALDSHGTAYAWGVGEQSQLGRRVASRRQRTRAFMP QPIHTLEGKAKYISSGHFHSFVVDTHDNVWSWGLNSFGQTGYAKTAGKDNGAVSTPMK VASLSGKNVTSLGGGAHHSAAVTQDGKCYIWGRIDGGQLGIKFTDAQIKDPKLVRLDD REKPRICLRPTEVPEVGSAVHVGCGTEHTIIVNSEGVAYSTGFGTQGQLGLGSYDDID TFQQIPKERVKGKKLTWSGAGGQFSIVAAPVDA
SAPIO_CDS8235	MHYSLPLVAALASIASAHGVVTSIQGANGVSMPGLSIADGTPRD CSTNSCGSQADTSIIRDREINSGECGPLGRTQGNGPVSAETMIRNFMGEGAAPRNDGA SESVGVEDDIPNNINQRKRHQRRQLGGVLGGLVNDLTGTGQDSKGGALGGLLGGLTGG GNGATGIGGLLGGGGDKSNGPPESSVAASAGVGATEGLPTCADDGTITMTYRQINQDG AGPLRASVDGTSGGTDANAFQTAEVTQDVPGLGIQGLSLATNTEFPLKVRMPEGMTCD ATVAGVENVCVVRVRNGAAAGPFGGSAAFTQSPAARKRAIAFRLKKRMEFNNRS
SAPIO_CDS8236	MASPSPPVDTNDRSLVAQPETIDVDTRDDQDSAFESFLRVGQDS TASITTSILRYRQENGRTYHAYKDGKYVIPNDAAENERLDLQHHLFLLTFNNNLYLSP AGRDGHQLRHVLDVGTGTGLWATDFADEHPDTSVIGVDLSPTQSPFIPPNVSFQIDDL EDNWTFNTKFDFIYSRMMTAAFADWPKFFKQAYDNLNPGGWIELCDICPVTSDDGTTK DTHLSTWVTELLDGTRKIGRPFDGAFEYKKQLEAQGFQNVTQRVFKWPQNTWPKDPKF KELGAWTLENIDSGLEGLSAAVYTRVLGWTKEELDVTLAFVRRDLRDLKIHAYWPIYV VYGQKPEE
SAPIO_CDS8238	MANSGFPAELASKDRSKKVQIVIWLLIALSGLFLALRVYCKFLR HRGLWWDDNLLIASWVLLLGDTICSAINIGKGFGKHIMFIKPEDLPSMGLASNFAGTF AIIGVILSKDSFALTLLRITIGWPRYVIWFVIATISSMMAIVAVFTWTTCTSPGQTNC VSSDVFVNFSTFAGAYSAAMDLVLAILPWKMIWGLKMDTKEKIGISVAMSLGIFAAVT SVMKSVSIPSLATGDFTYDGVDLLIWGNAEVATTIMAASIPVLRVLIRDVAAAATTGH YYSFSNNNTKRRRKGTSVTGGNSTAVDSAIQNVIIHSPVGGDGESGKSILEGGERKER KESSRGIVQTTEVRIQFSDASLDDGHNGDDFEMRTLNRLA
SAPIO_CDS8239	MSTVTRLLGALAIAACVGNASVIELPIIIDNGYPVVEVEVGTPP AKYRLLFDTGSAASWAVDSYCAETCQNFSGYDRVGYNVSASSTGSYTGGYAYIDYLGG QTIGPAVQDVFAIGSAVWNQTFIAAASSSWGNIPGDGFLGMAFAVIADGDTNTVVETL LAQDALDEPKFGIYYGTELRNTGGVPGIGAITLGSSREEELVEGELVTVPLQQSNGEY QVWRTDFKAVTGSRTIGDEVVETTTNLDLAWAVFDTGGGRITLPKSKTLPIYESLGWN FTQLLNGERILDCSEFNSSWSVSFTLGSSADPKIITMTGDELAVPGFANRESACWPPF DQGDVEGFALFGTALLKHFYTIWDYGTKGTVKNSEYKPTVSFGTLKPEFRPVPRQVA
SAPIO_CDS8240	MGNLPTPHLLAVQVTGTFSNGSRFHKTAMAGDGATVTNDASGVR GQWKGAGANFSSTPFEGPNLVFTINMDSPAIGVHGTFVLKAMGTPHYPCGPTFQWANA VPDANPVVDLTIDGAPVKINEGWADVSIVDVAKFWDWGHARFGPYSMVWFNPLDNDNK EHGHAYALRDGEEILESCEEGVSQVRQWGANATWPSAVGLGDVDGVVARFKLPTGEVQ IANLTKHIIVLDEVANQRGNVLILRSSLLGLLSPVHSCRSVRSKTANCGRTGAGARDF WEIS
SAPIO_CDS8242	MDPGPSVQGEQDQEVAHKSSVDVENPAQKDEAQKDAPANEFTAL QTGLLMLALCLSVFLAALDITIITTALPTISEHFRSTSAYIWVGAAFMISASAVTATW GKLSDIWGRKIVLLAAVAVFFVGSVLCGAAISAAMLIAGRAVQGAGAGGLLALTNIVV GDLFSPRERGKYYVPISGVAFIIIILTLKLQTPKTPIIAGIKVIDWLGTLVLTGGLVM FLLGLQFGSATYPWSSATVIGLIVGGCITLILFIPVEWSFARNPIIPMHLFTNISNLA AILVDLFHGVTFTLVAYFLPLYFQSVLGESPLMSGVILLPYVLALSFTSASVGIFLKI TGRYVGCIIFGFVLSVLGCGLFYDLPGSKKWVKIILYQIVAGIGIGANFQPPLVALQS NVSIQDNGTVTATFSLIRNVASAIAVVIGSVAFANGMQSQQGQLRSELGPGLASSFSR DNAQANIPLIESLDDHPRNIVRQAFLHAIRNVWIEAVCFAAVGLIVCLFIRNAKLDET HTEVKFGLEGEAERHRIAQEKRKRT
SAPIO_CDS8243	MSHQLGYLLILLGLFFPEAWAQAQVTTANIYIPFAAENGALQSA LRASIVSSKDKTTVFAATCTAGPCTAIPGGSGTFTFTAGPETFVYSAAPNAQRFDNGY YNNIRKRQPRDLDAESRSSITDAEYVYD
SAPIO_CDS8244	MPRIPARALCGAVGLHVPKPHHRAISGAQPAGKKEGDISSVFIS LSGGAQKPLPERFRYLKSSLVSGKEDQVVASWNRLLKGLASENESIAARKSSIIPSIE FSNLESEIERLKPEIQRRGAAVIRGVIPEAEARAYKFEVERYLKARAHPNLLATQRAL MQALWHSSRPDTPISLSQPLSYADRLRIRQPGDATFALGPHVDGGSVERWERNGYGVD GIYDHIFEGEWERYDPWDAAPRVAVVSDLYNGAGACSMFRMFQGWLSMSVCGPEQGTL LVYPALKMATAYMLLRPFFRPIRKAEETNSGRFLAEENWAFTGGEETTSELHGATPGH AQELNDALHPHLELSKTMVHMPEVRPGDFVIWHCDDYKMDPV
SAPIO_CDS8245	MKLLCLPSESGELQNVSTSPIFTGGVGSTVENTGNQKSNPHEAL IQYGLLARDISENVAPDGDARVFYNVTAPSSVFICGSQGSGKSHTLATLLENCLVKSE ANVLPRPLSGLVFHYDTTISDSGGHPCEAAHLPNVLIRPLHFAETDLNTRRMLDLMAV SAGSNGRAPLYITVVTKVIREMRLQEQREGKGFNYGTFKNALNAEKLTEAQLVPLRQR LDALESFLAQPKTKKRNREAQPSEDEKAAEWRPVSGQLTVVDLSCPCVTAEIACALFN ICLGLFLEQETSLGRVVALDEAHKYMNDSLESDEFTETLLSTIRLQRHLGARVVISTQ EPTISPRLLDLCSITIVHKFTSPNWFHALRGHLAGAFNNEVDGQDQKQTSVGPKATLH RYRSISPSELFPTVVNLRTGEALLFSPGAVVATAGNGTSWQAVYLGTGIMKVRVRHRV TADGGRSVMAG
SAPIO_CDS8246	MKFINTTLERPYRSGATDVDGPVRSTPGASDSASTPAPPDTTQE GDRGLFGPLSPTQPRAIANELNSHSGDALSSPGNDSRFVGNLNPESTFLADGPRTGEG YTRSDPIGVWVSRRGPSGGAPSLGTPATSRDLLPLRSLLPGHSMDNFLKLVPPGSHYE GLKAIYLRDIHRMFPAMDLTILERSESIVSQTLSKQAICLAAGAHPDAKAFLIIGSES GNPMSYSDFAHQISSAMRGILNAGLIVDRIQLIPILVILSLYTYSSEDRHLSAELAAL AVSHTHTVGLHHQTPGTRSENSAYLATLFCCVWALDRLNAAFNGRPVMMHERDFGRDL QACISQQESCFRLLLENISLLDRAIQLYRPPALGLPNELVQKLPTFESLVEKAEAIAI DTRVLATLELLYHAIVILSCKAPTTSLPSSSSSSSYMKTCQRLSSVSITTIAEDMGGL LPRFTFLPPDSAGGVPEPQGPHAATSTPGLGTPHPAEPHSRALGSSGQGDTSASYESL IPPPPDTSFPGGLARIDLFEYLNADFDLGAIDAVLGDTTGDILDNCTRMLPTSQKSSG LIIYIVFPKVDPSIPECL
SAPIO_CDS8248	MNSDPPAFDRIGYYESFNFERDRLRLPAKNANTVRSCTQIQRAF DEIDPKIRPKGNGDAYLRFLTTLEGKVDKDSQHDRHP
SAPIO_CDS8249	MTTLTTPFEFAPSCTDIPFITTSVVTDFWWNDHQATTVTILAAD QSNDSGYTRGWPINDLNIEGITSPACFKEAPPTVTITDASTTAAETTTGVTTETRRSF VNGMLVQAAWQISWASSDASTLSPAPPTLTCTGAEEDGPTIGTWVPGTEATGACLAHP SYRREWSQSLKNFLLIGLPILGFAGLLSCATCCYCCYRTTKRVKRAERERVEEQMIRE G
SAPIO_CDS8251	MAATERELTSPQIAFAGNKYKWIDAYIETLDPETQYNEIVRTVT LFRATELLTNIDYVTNSILVIQEPKGGEAMFCTGKTTTHKQKRFVDTMAMFRMWFLNG TGSEKLNESVGRLNNLHMSIAKNVPGNFDGDDDFIIATCRMGISNHLFQKRLGFPGFD DQMKTAWYNFCAGVIRMVEKESGPVKGFPTSFNGMVEFNDNFNNQNWTPSMHSQHVAH MLVEQFVERWSPIHATDFIFRQIILTFLPESIIKLHKLGQRRPWLEMFIVFYFQVKLL MIFLLPDPREPTFAARWPNRGK
SAPIO_CDS8252	MHQILSARQLNAFRPFQDLESKHLLYEYLHKPDKWYLANSRYSN SVIMSIVFGIRSDMDNPRLAALNTSMEKFIKNIQPGVNIVDVFPALAKLPTFLQWWWR RGNQIYEETRSVCALELQMLKERKAAGKQRPCFATDLLEKSKELEAWGETQTLYVFGT LMEAGTDTTRVSIAQAVAAMAAYPDWVDRARKELDEICGHSAERLPGFEDRQNMPYMN AVTKEIFRWRPIITEIGVPTTLTKDDEYEGYRFPAGTDFMWNAWHICLNPEEYEQPER FWPERFLNEDLEKPLKGHWSFGTGRRVCVGWQVEDDPIDTMNISQMDWDKAPFKVKIV PRSDKHADLVERLGSEAANTMY
SAPIO_CDS8254	MAPGIFENASVNGGGTDERTAAGSERYNVEDIVLHDPQQKRLRI AMIGGGVSGIMMAYKVQKHMKNFDLVIYEMNEEIGGTWLLNRYPGAACDIPSHAYTYN FALNPDWPAFFSSSAEIWAYLDRVCNTFGLRQYMRFSSEVIRAEWQETASRWRIWVRQ TLADGQVQEFTDECEVLLHATGVLNNFKWPDIRGFEKFNGKMIHTARWPQDYQQDTWK GEKVAVIGSGASSIQTVPTMQPHVAHMDVFVRTPIWFVNIAGDTGDNRPYTLKQQKSF HENPESLVQHAKNLEDVVNAGWMSFVKGSDMQKELKQYYTERTAGIIKDPVLLEKMIP KWSVGCRRITPGDAYMRAIQEPNVQVHFTEVVEITETGLKGKNGIEVTADTIVCATGF DTSFRPAFPILGRNGVDLAKKWKKTPEAYLGLAVPDMPNFFTFIGPAWPIGNGSVMGP LQAVGDYVIQFLKKFQVEKIKAFEPKQDVTDQFNEHVQEFMPRTVWADDCRSWYKDNE TNRVNALWPGGSLHYIDAIKTPRFEDFNYTYSSVNMFAYLGNGKPRVLDIPDGDKSPY LALENIDEKWLRTNIAVKVEESQIKGTPLEAGQATG
SAPIO_CDS8255	MSGALAGRVAVVTGSSSGIGQAIALAFHREGCKVVCADLRPQGE GWSTDETITKDGGDALFCKTDVSQATDVEAAVKAAVNRYGRLDIFVNNAGCAPEVLRP APVWETEISMWDRTHSVNSRGIFLGTKYAAGQMVKQEPLSNGSRGRIINIASIMGLRG TAKSGIVIHPAQVAREGGTAKTAMS
SAPIO_CDS8256	MADTGIFAPAWQEFEIELGRRPALYGPTVDDLVRGYNELGEVLA SKLTFPAPDLSVKTEDRKLSPDLTVRIYTPPSYTGGKPAGLFIHGGGWVFGDLESEDG FARTVAKDTGLVLVSVDYRLAPKHKYPAALDDCLIAYHWIMENSAYLNTTPGKIFTIG GSAGGCLSLAVALKLIDDGLGHSVKGVVALAPVTIHPDACPQELKSSHTAYDENADLN INTSSAMRAFFDAYGAPPTDPYVSPLLHARIKDLPKTYIAICDRDTLRDDGRLLKKAL HDAGAEQV
SAPIO_CDS8257	MGHHNRHFAGDPHSLTGAWSPPTSAANFCEEDYALTRYIAEFIN TLTNFTYVFLALKYMYGPGSRGLLKPNYDFMSVSLLILGICSFLYHASLRQYLQFADD LSMLLLGSSMLHGLLSVRQTPARIRRNAVLLAIVTAGFSAFYVRSGKIIYHVLAFATQ MAAIGLRSLYLFYWLDPSQKFPQDKIRDWKVRQWQSILIALFGYLIWNIDLEFCAELR TLRAQIGLPWAWLLELHGWWHVLTAIGADRFMKIVREMDAEERLGKGKAVAKKAA
SAPIO_CDS8261	MTAVPNPPAKPDGGSDFADSLPTKEKANSGDKVDLEDGTAAETS LPHSDAPDGGPAAWLVVLGGWCVLFCSFGWVNSVGAFQEYYQNDLLNTYSPSTISWIP SLQIFFQMAMGPVVGTIFDNFGPRYLVLVGSFLHVFGLMMASIATQYYQILLAQGVCS ALGVAAIFHPALGVIHGWFDKKRGAAFGIVATGSSIGGVIFPIMVTRLIRQVGYGWAM RICAFMILGLLIIANLTIRANHPPRPQKITRAQLAKPFRETEFLLVACGFFCFTFGMF VPINYLPVQALEAGMDKDLVLYLIPILNATSLFGRIFSGVMGDKLGRYNIFVVVCYAT AIWILALWIPSSTTGGIIAFAALFGFFSGAYVSLIAPLVAQISPLPEIGFRTGLVMFI SSISGLTTNPINGAILDTSTGLLGHSPVYPAHDGHLDKRNIMLERLPNELWASVIAQI TDRRDLNSLSQVSKTVYDRTIPFLYRDLTVRPLSESCLNYICVLKLLETNSSERKPLR YATSVRIVAGFVTRIERRCVHYDAQTWNAEDATDCDTPDGNYASANAEATTEHPMLES LADRVNGIESLCLITDAGCSLGDRSLALDQFQRLKRLSWTGLRTEHDFVSLNRVFANC AHNLVELELDFLDWKELEEFWEDEVGFDDHPFKARTINLDDESESFEAAIDANDMGIL SPAFLATLSGFEEDYEDEGDDEWFDETSPNPLNELDLECLGLACGPHRLQEVLKPFAD KACLRILHARQSGADIERFGSWVFDTLEVDAAYFAGRPHGKHVIPDTDPFCWASLPGH NNAVTVRVLTQDFLNFVAWVFGPNGISSLEILVYGDFSCHGRYLANSFMLRKKQPDED GQMPWKWHDPERGRHPDIEDLLHRHAGFLEACPTDTIMHC
SAPIO_CDS8262	MSLTLENVANGETVHQRCIIVRGTYSLEDDTLDSFATVNIRDDS GNSIFPPQRWPIVDGRVKIISLLSPGANNLTITREHSSGSITQTVKLNYIPLLQTPPL HLAIMVAKDSPLLIDCPPAKQGAFSLAHSSLDAAVAKFRMTAYMWQALTAEDMRMKGL GRRSFRLEEEWGADTTSSSFVTARHDAALHDSGAMKSTAKIHIVRSERTVAELRSMDY AQQNKSARNRDKLFDFFKEALAAHGSPFETSGYPIVAGLILDSHYSVEKNIILAHAAL GCHDGTGLSLGIMGSHLTYSWPRFLEEVSDCLLDDRNPGEMVGNDNGECGSFWEACAI GQGAFLHEVGHAFDAPHTTGIMARGYSQDWPRNFVVRTAYCTSRSTEGIVVIDGETRN QARWDLHDALSFRSLPHFWSPGDPKMSKETRSAAPTIKVEGAESEKFRIEISCAAGIA QITFNDTEEPSPSIAAPINTVTYTLESLRSRFHQNEPLKLSVLGMNGKSRTIKDAWRF FGGRTFVLIPGTKILLHKQSVMSKQLEETDGETEEHFWEWATLLTRKRKDGSIEHATK VDIRTGCILDGAYVHFPDGTQINCGPRVSPSGGDHYFGGHAAEAHDIPKGQDIVKVEV SREDDILRGIRMTLRNGVTWGALSGMSDQPETLTLEPPPGERVMGFFATAQRLLDIAA ELSSSERAICIEEHMKSRLSSILSAWDLSNTEEQETDHNPEEHECNYQLEVVRHPRQG GLDVFLGFQEPSLREYFLNFPDQTEHSLRLSRKDFHLKLLKVSIAALTHSAGDPISGP EQPVNDEADTELSTLAMEFWRRSLAELARSNDDDPDQLGNVQDLKEILEANTSACWGR LRKPWTKHCGTWGPLVDRAQRTPGSPQATDPSNPEWIFGTVAKLHRCNWLKAMASPAA FAAFLFARHAYSSFTPPADPPAGHKSENISEFERVMRLYASAVGRHAYHQMSMAAFFS GETGVSKTLASEGLDAKQTASAADKAEYARDTFNLNDFVDALESAPGDLEGDAGHKFI CNSAYQMKALVESGLQGRQGDALASMKLAKQFQTEQSYVRYFNELVTTLGHWNEWEKI IELLELIDNPLSDNCSTATHRLIHRAAKACDRGPTVDELYHKATCKPDTYGPHPIETR TYWALFKRFVLNDAETARATLNEVIDSSHEDVDVVDRAAKYLANIVIDDFSVALNLED KEKAVEEMEELQDRLKKRFGFEFEAGLSPAAAPLAITRRQMGPARVFKEHLDTAFESC LDSLRDNWDGNDLKGFRNLARIMFLFPSLRLDAKAALYCRFYEITLDFQVFRLQDTGY YPIICDMCPKRVHSPGCCDLCANGEHDVRFRHHYVCLMCSSVDLCHECYESRSRPQTR SDVDVCPRSHEYIDVFVHDWLDIEECGTDLVLRYGGTRVKFTDEWLQGLEKKWYVAWE EYWKTGK
SAPIO_CDS8264	MEVKTNPDLLAKRRRKRILWSVVGILTVGVSAAVVVAVLLSKKI IPLGGKSDSSVASADDSSGNGKPASGSGSGSGSGSDSGSKPGSGNAVPVKCSTADDIP DEYKGTWLDPTSWYDMTGFNCSFTAELVGDLPLVGLNSSWDDSASPNPNVPSLEKDWG SYAKRPIRGVNLGGWLSLEPFITPSLFDYDSKLGIVDEYSLCKHLGPEKAAETLEKHY ATFITEADFKAIADAGLDHLRIPFSYWAVTTYEGDSYVPNISWRYLLRGIEWARKYGL RVNLDLHALPGSQNGWNHSGRSSEINFIAGPDGAKNAERGLDIHKKLAAFFAQDRYKN IIAFYGLGNEPGMKIDLDELVQWTDKAYSVVSKAGVTAPQIFSDSMRGLPTWQGKLGG KGDKLVIDTHMYAIFDPNLIALEHSKKISLACKDWSGLIVNSMSGSGGFGPTMVGEWS QADTDCTLHLNGVGMGSRWEGTFSDTMGTPRCPTGDKSCSCDRANADPSTYSDDYRLF LKTFAIAQMDAFEKSYGWFYWTWKTETAHQWSYEAGLKGKFMPAVAYERDWDCSKAVP SFGKLPESF
SAPIO_CDS8265	MATSAGPTTRACFSLKNNKTCDACRSRKVRCTGMSEFVWPLRPL HSTEQFSLSEDPTHLPTPAQSDGTAGPCESIPELHVDRLLAGAQAPGTSGVQASADSV FVSGNGGRNNLSFFTDARLQSLATCLGHTRINELVGRISTIIASRVRRADPVYSAVRR RAQSPNLQLPDKALATSYIKLYFDNVHPLYPFLDRNTFEKTAFSSDLSQIIANSKPWA CLYYSVLAIGCQYAHGGSFEPGKGEAWKLFVVAMAIFSDLVLLPDSLTTLQALAAMAI YSSSVSGLAIEHAILSEAARRAQNLSSNGFTGLDTQTYQRTFWILYGMEKISSFHFGH SSGFVDYDISCPIPYIPESIFGEFNWFLSFIRHARLLSRAYTSLFSAGVSGKPRSYYL DTIAQLTEELEEWRMSLPDTGFQPKALFTLFDHVIHNPLQSDTWSNLALLEMVGGHFS RIDYATGGSLPGSLVSEFSHIARDYVRDVQRRNGDPIGNPHAFQSPPISPGLLSPRRG PLPSVAPVSAAKPETLAVEETTQDVPMTEAQVMPRLSPADGMDCSMPIGLIDNQLLGG PPPAGTDVMGLFTYFVPDLDSLLYDDYVVNGN
SAPIO_CDS8266	MSASIGSSTRGSEWEGDPTIYQTRFAQQDVEDRLETTSQDEAVN HLVRSYSLGLVRTTSRVDPSINPFLSTHPELDPSSLEQFNAKKWARALLQHSTNHPDK YPRPTAGVSYRDLSVYGQGLGSSDYQKDVLNVLYQGPELLKRWIRQRWSKVPILDNFE GLVRSGELLLVLGRPGSGVSTLLKTIAGETKNLQVDPNARICYQGISSDVMHRTFRGE VIYQAENDVHFPHLTVGQTLLFAALARTPQNRLPGVSRQRYAEHLRDVVMAVFGISHT INTKVGDDFVRGVSGGERKRVSIAEVTLNQSLIQCWDNSTRGLDSATALEFVRTLRLG TDLAGISAIVAMYQASQQAYDVFDKVAVLYEGRQIYFGPKDEAKQYFVDMGYHCPDRQ TTADFLTSLTNPAERIVRQGFEGKVPRTPDEFAKAWNTSNARARLMEDIASFEEQYPE DGVQVEKLLHSRKTQKAPLTRPKSPYILSLPMQIWLCMTRGYQRLLGDMMFFIVTVGG NLVISIVLGSVFYDLPKDASSINSRCVLLFFAILFNALSSALEILSLYAQRPIVEKHH RYALYRPVAEAISSTICDLPCKILSSICFNIPLYFMAQLRQEADAFFIFLLFSFTSTL TMSMIFRTIAQTSRTVHQALTPAALFIILLVIYTGFILPIRDMQGWLRWINYLNPIGY AYESLMANEFSGRLFPCQQYVPAYPDAGPLERTCGTPGARPGEDFVDGGFYINANYEY SRSHMWRNFGILWAFIVFFFGVYLLAAEFITTDHSKGEVLIFRRKFALLNAQKKEVDE ESPSWGKEAMSSTGRITPSGAPPTTDSASKDIFHWKDVCYDITIKGEPRRILDHVDAW VEPGKVTALMGVSGAGKTTLLDVLADRVTMGVVTGDIHVNGLPRDRSFQRKTGYVQQQ DIHLETSTVREALRFSAVLRQPASVTKKEKYEWVEEMIHLLEMEPYADAIVGVPGQGL NVEQRKRLTIGVELAAKPDLLLFLDEPTSGLDSQTAWSIATLIRKLSLNGQAILCTIH QPSAMLFQQFDRLLLLAKGGKTVYFGEIGENSSTLTGYFERFGADPCGAGENPAEWML SVIGAAPGAKAKRDWVQTWRESAEYTEVRRHLAGLESRAQSGRTLDQEVQTPDGKLSE PSTYAAPFHVQLAVCLNRAFQQYWRTPSYIYSKIVLSGGTSFIIGLSFYQAPLSMQGL QSQMFAIFMLLVVFAFLVYQTMPNFILQREQYEARERASRTYSWRAFMLVNILVELPW NTVVALIIFFPFYYLVGMDKNAEPTDAVTQRGGLMFLLVWAFMLFESTFADMVVAGAP TAEVGATIALLLFALCLIFCGVIVPVQSLPGFWEFMYRVSPLTYLVGAMLSTGVAQIA VSCSELEVLHFLPPQAANITCGEYMAPYQQLASGTVLNPSSTQACEFCPLAQTDAFLA SVNIFYSERWRNYGLMWAYIVFNVFAALALYWLARVPKRKAVLFQTNTL
SAPIO_CDS8267	MAAGYSGKEVDALEHKIDRNVKAFISLINDKYTSTPGNLKPFDL ARKTQFFTLDVISDVAYSEPFGCLPTDSDVFGYVAAIEESLGAIMLVSTMPKLKWIMD SIVMRMFMPSDTDKRGYGRVIGICKEKAAERFGPDRQEQRDMLGSFVRHGLTQREAQS ETLLQILAGSETSATAIRTTLLYIITHPPVYAKLLAEIADVSKTTPSPIPNKVAQNLP YLQAVIKEGLRVYPPVASVMTKITPPEGDTHNGLHIPGGTRIGYNAFGLARNKDVWGE DAAVFRPERWFEGTSEEIRAKESALDLVFAHGKFKCLGQSIAYFVTSTYNFLTRPPV
SAPIO_CDS8269	MLTAKSLTAEEGWVSTGHARSVGTGNEADDHGETWPNNGVIILV AHGLGGILAQAASSIVLSVSTETLHGHHLQLRQTHRRLILLGTPNLHDPSKIIIDFEQ ILQIGAYYGGKEFNINHTRQFLMGLQTQAICLINNDWVEQGLSPPTEVHGTLKVACFQ EGEEDQSLAQKIKSYRPNDPDTNGFVVQREYSIFGGQALSGFSMADPPSSVIPKKHKN MGQIKDRTEPGYLELQAILKEFVKPE
SAPIO_CDS8270	MSKVLLTGGSGFIAAHVLSTLLERGYTVVTTVRSKAKGDQILAA HSDTPQGKLSYVVVSDIAQEGAFDAAVQSEPPFDYVIHTASPFYHNFDDPVKDILDPA IIGTTGILRAIKKFTPNVKRVVVTSSFAAIVNQTAHPKVYDETSWNQLTKEEAVADRS QTYRASKKLAERAAWDFIVKEKPNFDLVTINPPLVFGPIAHHLENLDKLNTSNLRVRD FVQGKITSNELPPTGVFLWVDVRDLALAHVRALEVDKAGGKRFFVTAGHFSNKAVVDA IRETHPELASKLPSAPIDDFPAGVYGYDNSRARELLGIEFRSLQSSIGDTTTSILEHV PSEPLDDDAWLAAQTAELVTLNQKTNHAALLRHAKQLSGGLECRLDGEDPLGRRRMGG MHIHLQLIFEDGTVWLARILRETYTSFSDELSNQILLSECATLRWLESLDVPTPRLHA YGLRGDPRNEVGVAYMIIDKLPGQPFNLSIASETQKSKVLSQWADTLCILSKHPLDKI GSLKFDTNGAIDVGPIASDRTGTLPCIGPFEGAEDFYSTWAETYLELIADGQLFSSYS VDGYIKFKFLAEQAKAGSWLKKWRGLNSGPFFLKHTDDKGDHILIDDDFRITGIIDWT FARAVPAYEAFGPSLVSSNTSDLFTGKPGLSEEDGILGRELERRGAPYCYFESDRMRR FLFGLGMGLGLTRDEAIDVFRGLVATFDGAMPDWQEWRRASLVKWANDARLAALCHAS QGGIPLGPAVHAVPTPEVDDATWEMNINNEVEALLNQVDVPELVRKASSLRDGKPCKF YPGKHLGSGAIMGCANYHAWIIFDDGVKWLVRIPRTAAFSDIPPDLVDYLVESEYATL KFLEDLSVPAPRAHWLGLSSDSGNLVRVSYILEDAMPGNPFYAHQATAQQKSHIYNQY ADILIDISRSSRDQACSLLPHGKETEEAAIASNRFLSLGKHGPFADPLDYFTSIADLH LDLISDGQLYPEYPKEAFLFYRLLRDRAASALAAATTSTGGFFLKHVDDKGDHILIDE NYNITAIIDWQFARFVPACEAFGPSLFTADLGNLYSPLAGLSTDDRTLGEALKRKGRG DLAEFASGSELARRFHFGLASGLLRSEVLGMIRGVLSLLEGEVSEEGLQDWTEKEWSH AVGDPRREKIQELMAELEKERLEDTA
SAPIO_CDS8272	MAILRSVLLAVGWAVSSVSAIDISIKATGGNATSHQYGFLHEDI NHSGDGGIYAELIRNRAFQYGERFPVSLAAWSPVNGAKLKLNRLDEPLSEELPVSMNV ETGNADGRIGFSNTGYWGIDVKKHKYTGSFWVKGAYEGNFTASLQSALNGDVFGSVDI ESTSLGDDWVEHEIELIPDTDAPNSNNTFTLTFDSAGVKDGSLDFNLISLFPPTYRGR KNGLRVDIAEALEELHPTFFRFPGGNMLEGDSIDTWWDWKASLGPLRNRRGFQNTWGY QMTNGLGLMEYLLWAEDMGMELIVGVYGGLSLDGYIVPEDELQPWVDDALNQIEFIRG PANSTWGAKRAELGHPEPFELNYVEIGNEDWLAGGAAGWESYKKYRFPTFLKAINEAY PDITVISSGATTDGYRIPEPGIGDYHPYRKPDEFLSEFNLFDNEPIPHVIGEVSSTHP NGGIGWDGPLAPWPWWIGSVGGAVGLISYERNADRIYGTFYAPILRNLNSYQWAITMI QHAADPALTTRSTDWYIWELFAAHPLKETLPVTGDLNPLFYVAGKSTKDSLVWKGACY NTTDHESVPVSVSFEGVETGTRAQLTLLTGPEDPYAYNDPWSGVNVVETTNNVLEANE EGAFEFEMPELSVAVLDTHFEEESELEESSK
SAPIO_CDS8274	MSGLPESSGIASSVGDQNPAVDVKVGVKKPKKKKVLLMGKSGSG KSSMRSIIFSNYIARDTRRLGATIDIDLSHVKFLGNLTLNLWDCGGQEAFMENYLSQQ RVHVFSHVGVLIYVFDIESRDVDRDLATYVSIISALVQFSPTARIYILIHKMDLILPS SRESLFNDRVHMVRQKTAELAASLGLPVGGEGGPLEITPFATSIWDQSLYKAWASIIH DLVPNLAAIERNLANLGVAIEAEELLLFERTSFLAVSSWTSEAGQDNPTEDRLERMSN IMKQFKQSISRFTGTPRNAEQFVCMEHKAGTRFSLFILKFTTNTYLMAVLPPGEARFN AAMLNCRIAIEHFKFLDGPSTPAASRVPQISN
SAPIO_CDS8275	MATNDEKLARLQALTGTPTETAREYLEAFDWNIEAAAQALLEDA DGGDDSAGEQGSSTQVPENYTGPRTLDGRPVDVGSSSQSRAQQPKRQEKKRGVATLSS LGSGRHAHGSDEEDDEDEEGAKGRGDLFAGGEKSGLALRDPTRGGERGEGSRGLINDI LAKAREQTRRGADEATEERPTRHWGAGQTLGGDGVESRRIADPHAGHEHAPPAGEPQE RTIHIWHDGFSFDDGPLYRFDDPENQETLQLIRSGRAPLHLMNVRFDQPVNAHISQHD EPWRQLPRIYRPFGGEGRRLGSPVPGDGNVRPAPATSQPAAARATASSSSSATPNTGV DSSQPTLALRVQLPDGTRLPARFNTTQTVGDLYDFVLRAHPATQSRGFVVATTFPNKD HTDRALVLGEMPEFKKGGTAFVKWT
SAPIO_CDS8276	MSSQDPSSRLAESGITIHSDSEHYSAVEDSSTSPPSSSSPVILY RPPTVWSIIRGAAINLFLPFINGMMLGFGELFAHEAAFRLGWGGTKNLVETASLGTRF PTNQRVQVFPLSRRRAYPIGPGVEISERSRRGERL
SAPIO_CDS8277	MRAFNGGIIVANLNGKATAMKAWHPTEAGASVNLTAITIVSLSG AACRNTVQHMRSPTAQILKSTPQLIWGSSTRTYATARIGNGRNLQRLPLGSATRATPL RTAAIAGSVAFTQIPSASRSLSLWGYGSKKPDPPTTTPEAPAQSATPAQVQTPPPAAE AAASTTPSIEQTATDLASSSPAAVGAPEPTAELSNIISSELGKYDSISSIPEHIGYLH SLGIDFGWGTTSMIQWLLEHVHVYSGFPWWASILATSLLIRACLWKPIMLGQEHTTRL NILRRTEPGYERATEAWKESMVNKDVLAGQAAKAAMKALEEKHKVNKKLMFVNMIQLP IGFGMYRILKAMSDLPVPGLETGGLLWITNLTVPDPWYILPFVGPLTLMATMRITNRH NTPQQQATMKAVSFILVPLGFIATSFLPAGVQLYFVTASVPGLLQTWLIFQPWFRRWS GLTPLPEPLAKAPAGSVSATSVTSTPGMFDGVKKAFKDASEMANARRDGSKVKKEKQN SESEETRLQAEYYESLRERMAELEKSRKMKRRP
SAPIO_CDS8278	MRLFSRKKSHKSGDASPTIKPSQSNASLKSGHSSLKSPIGTNPN GNRTSGGSTNPSTPMSPFSPANMPKVDMPRPPDPQLDPAGYLRSLNAVRERSKLVTDK ALRNDLKHFDVDMGKFPDVVSFVSQIIKRDYDAPFANIPPHGRHQHFCVGGRDRIAAL LSTFQEVDLSEKCRRLIDLYLVSVLLDAGAGTEWSYKSTENGRVYRRSEGIAIASLEM FKHGVFSGNPNNKFQVDKIGLAQLTPEKVAQGLQSRPGNLMAGVPGRADLLVRLSKAL DEKKEYFGEDGRPGNMIEYLLSHPSTQATSMPIVPLPVLWNVLMDGLISIWPPSRTTI NDIPLGDAWPCQSLPQPTASPTTATFSPFPQSGASSTAPWESILPFHKLTQWLCYSLM QPMQSLLRIHFAGTELLTGLPEYRNGGLFIDLGVLTLKAADAERGLDNYAEHCRVTGS KGVEVAPMFQPGDDVVVEWRGVTVGFLDILCVEVNKALRSELNGNELSLPQLLEAGSW KGGREIAEVSRPNTKEPPILIDTDGTVF
SAPIO_CDS8279	MADNTTVDVTDVPDEYIGQSVVPCAVISTAVAAAFVGLRFYTRV VEAGLGRHKQYLSTKQFETYLQANLFSSILYVASLTFTKLSILCLYLRILTYERTQLA TKVLIATVTISHTYILTNLFVSCVPLKAFWDYSIRKNSYCHDSSIYWSNYILHIATDF LIFLLPLPVISKLRIPKKQKFGLLAVFLLAFGVCAVSVLRMVLFLDSNGPDRPNRGDI TFTTISMANWSMIEICASIVCACMPTLKPLIMRIVPNFSRSSSPATDWHDDVAVMAGY ERPLTVGTRPSRKNRLLSARDLLNSRTTTSDRVPPIMGGGLDGAERAGVTLGARRFSC GTCSDGASLQKAKVREEEYAMEDLSPVERDDLEAYRAGMQSPAESERPLRPSASDTRS VYEQQRR
SAPIO_CDS8280	MAEGAGSGGIDRKADERMEFTTSKEVTVHPTFEAMSLKENLLRG IYAYGYETPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISMLQVIDTAVRETQAL VLSPTRELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHIVSGTPGRVA DMIRRRHLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVL DMTTKFMTDPVRILVKRDELTLEGLKQYFIAVEKEDWKFDTLCDLYDTLTITQAVIFC NTRRKVDWLTEKMREANFTVSSMHGEMPQKERDSIMNDFRRGDSRVLISTDVWARGID VQQVSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTTEDVRILRDIELYYSTQI DEMPMNVADLIA
SAPIO_CDS8283	MAGGSGRPSAGASGGTSSVNASSRASPATGATASGKIKTAQVNS NGYHPTTSQARLSSMKSAPLDLSTVERRGRLATTRPPPKKNRLHGLQDAPTYYPTDEE WKDPMEYMKKISPEARQYGICKIIPPDSWNPDFAIDTEKFHFRTRKQELNSVEGSTRA NLTYTDGLYKFHKQQGNNPSRVPYVDKKPLDLYRLKKAVEARGGFDKVCKHKKWAEIG RDLGYSGKIMSSLSTSLKNSYQKWLCPYEDFLRVAKPGVHHQLELEYGGPFTPSPAPT PAKNSQVNTPSGARGNSPARQATDALQATINGVKKEGDGDATMTDAPEAPQPASSSGG FTAINSGGGFTAVNSGGGFTSFNKPNVSAPQSRAPTPQKQFGSPLTTTSKNTPEHRSS AVSQGTSLKRQLSCDSADSLRKSHAAAGVDQEEADSGSRRSKRLKKEAVPTVAGSHMS QFRPSVPRVPREEPACSSAPKCDQCGKFEEKAGLLTCETCDHSYHDGCLDPPVKQKPE SGWNCPRCLVGDGQFGFEEGGLYSLRQFQQKAADFKAGYFEKKIPLDSSTNGPKPITE DDVEREFWRLVTDIEETVEVEYGADIHCTTHGSGFPTIEKYPNNPYSLDPWNLNLLPL HPDSLFRHIKSDISGMTVPWVYVGMIFSTFCWHNEDHYSYSANYQHFGDTKTWYGIPG DDAERFEAAMREAVPELFETQPDLLFQLVTLLTPEQLKKAGVRVYAVDQRAGELVITF PQAYHAGFNHGFNFNEAVNFAPCDWEPFGLAGVERLQLFRRQPCFSHDELLWTAAEGT TSGALTIQTAKWLAPALDRLHQREKSHREAFMAKHQEMRVRGGEGNRDSSDPLECVVD DTDVAEEEYQCAYCKAFTYLSRFKCNKTGKTLCLLHAGYHACCDATEVQRFNGKGHVL IYRKTEEDMDTVYHKVAEKARTPEAWEEKYEKLLDEEAVPALKTLKALLHEGEKIPYD LPSLPLLREFVDRCNEWVDEAMNYTIRKQQNRRKNDKTWQSGKRKSIGGSSPEQKDKD RDVSNIYRLLQEAEQIGFDCPEILQLQERADAIEQFQKNAQRALEHVQSQGVQEIEDL LEEGRNYNVDMEEVDRLSRVLDQLKWNEKALASRSLFMTLNDVEKLIEEGKRLEIPPY NDYLTYYTEKMLAGQQWEKKARELIAAEIIHYPQLEALSTQVQVNVIPVTKQTLARVE QILSKQRDAHRQILDLTQRSRNPDFRGRPKYGEVCDIMKRLEELNSKPSGTSDLEREQ KRHEDWMRQGKKLFGKSNAPLHILKSHLDYVLGRNLDCFDIVHDKPRDPAEPASREPS PATKQKRWEDPDREVFCLCRRVEAGMMIECGICHEWYHGKCLKIARGKVKDDDKAPWT CPICDHRVRIPRDAARPRLEDLIAWFDEIPNLPFQPEEEEVLRKIIDNAQAFRDHIAP YINPVLSSQAEAETQRFYLRKLEGAEVFLVSETNFFRQELYKWCHIAEEPPPLFQESK STRKPRPTKLQKLMAQHGVDDPDDLPEDVKGKANSLRRKARYYATREGGQGSPPANHA QGMSELGTPHHRDSHLFGTGAGGSPLERPGLVNPRPHEGHSVIARPLGEPVRGEPMNI DGGGGLMHSLMPGGPRVLVDEQNPSLEDRLLRGHLDGVNLHSDAVKSKALDVFRRTDW GLRKAEEVYGPDVWRREGGFFSGRGGNVNVVGPSTEHQDPREVDKMFVDLTNQDDEDR RSKATSGDPRVTADSLERERNGMDALLDGA
SAPIO_CDS8284	MIAQRVGLSALRRGAAIRPNVSFTQNVPRLAVASRLSTSTPSRA AIVTEKLTPDQEEALLVAQRKIRPVSPHLQIYRPEQTWFGASIWTRITGSALAGALYG YSIVYLASPLLGWHIESSALVEAFAGLGVFTKGTLKSIIAWPFVYHLFNGIRHLTYDM AWGFAKPTISKWATILTASSVVTALGLGFLW
SAPIO_CDS8285	MSGQAVAAADQPKLLWNSENVKDVAESVGISSISDEALRCLTQD VEYRVGQVIVESLRLMRAAHRTTLSVQDVNAALRVLDVEPLYGYESTRPLRFGEASLG PGQPIYYLEDEEVDFEKLINAPLPKIPRDTTLTAHWLAIEGVQPAIPQNPMQSDSRSN ELLPKGAGANPALAALAGNDNLPNRPLVKHVVSKEMVLYFDKIQAALLDDNPDEEVVR LRQAALASVRDDPGIHQLVPYFINFVSDQITHHLDDVFVLRRMMELTAALIANETLFL DPYASPLSAPVLTCLLSRKLGSEDGTDAIREQYQLREFSASLVGELARRYSASNPLLR SKLTRTCLKHFLDQSKPAPVLFGAIGGLAAAGGPEAVRLIALKTLKSVNDAILHPLKD KPEAKLDFEMLTGGIVNAISLISSDQISLGTTAPTTNGTISDSDRTDLVDFLGDIIAQ RVVALGNHQLVKKILEREEENVMFDEAMRRLALEFGVLDIEDVEEPEIMYINY
SAPIO_CDS8286	MAPKILIVLTSTDKMTSGKQTGWYLPELVHPWEVLHSKAELTFA SPKGGEAPVDPASIEASKADASSMNFIKEHKSLYQNTKKLSDISHTAADEFDAVFYPG GHGPMFDLVNDEDSIRIISQMYAKGKPVAAVCHGPVVFAHVHGPDTRSMLKGRKVTGF SDVEEELMKFVDDMPFSLEKVLNEKSDGGFEKASEPWGEKVIVDGHVITGQNPASARG VAEALAKELKI
SAPIO_CDS8287	MVLQDLGRRISSAVHNLNREANLDEKAFDAMLKEICAALLESDV NVRLVGQLRKSIRATVNFKEQPTAVNKKRLIQMAVFNELVKLVDPHAEAFRPKKGKSN IIMFVGLQGAGKTTTCTKLARYYQTRGYKACLVCADTFRAGAFDQLKQNATKAKIPYY GSLTETDPAVVARDGVEKFKREKFEVIIVDTSGRHRQESALFQEMVDIQAAIRPDETI MVLDASIGQQAEAQAKAFKEAADFGAIIITKTDGHANGGGAISAVAATHTPIVFIGTG EHMLDLERFAPKQFVQKLLGMGDIAGLVEHVQSLRLDQKDTMKHIAEGIFTVRDLRDQ LSNIMKMGPLSKMAGMIPGMSNMMQGLDDEDGSAKLKRMIYICDSMTDKELDSDGKLF IEQPTRMTRVARGSGTSVREVEDLLTQQRMMAGMAKKMGGNMKNMQRAQAAMAGGNKA QQLAAMQKRMQSMGGAGAGGMPDMGSLMKMLGGGGMPGGGDMQAMQQMMKQMGMGGGM PGMPGGPRRR
SAPIO_CDS8288	MRLSTVTSGLIALAGLVHAQNDTAEIAPIPFPTSCAGVPANRFP FKVHADWQVTKIQGNLQQPRALVFDSAGNLLVLGNRQGISILTFGADGCIASSKLLSQ NIRFSHGLSLSPDGKKLYASSETNVWSWDYDAATQALTNQKTIVKNMSTGIHFTRTVH VVPHKPNLIIVSVGSNSNFDMNAANMRATVRVFDADTAPADGWEYNTQGHQLGWGLRN EVALAFDPNGHVWGAENSGDDFRRTVNGQSTDIHIDNPAEELNYLGDPAIPNEKWYGY PTCFTVWDPTVIRDASFKTGDQFVVSPNAQFDDARCIQESVPPRLSFQAHSAPIDAVF DKPGENLYITFHGSWNRQPATGYSVVQVPFTQLEDGIYDPAAPPDSMSGYTEIFGAQN PGACQSMSLTMSTCFRLAGITFDPSGTNLYVSSDNQSEGELWVLKKKSA
SAPIO_CDS8290	MFFFSSRGTTAALLGAAPLVTAAVSAQVDVAWHPPSKTEINDLD KVLSGKGVYGFIYDSSNTPDDKYGSYNWCNMPHVRKREYVRPSREYELQYVELIHRHH KRTPYASNAFPVESYQWNCDDIGLYYHGEPWEGHDPARTYWQGYISPVNPFVPSGWIG TCQFPQITAEGLDDSWVHGADLYGVYHDLLGFLPSRREDWTKTVKYRVTNNVITSQVA GMVVNGMWGVKNPVPLLIQASAIDSLEPKYSCSAGSKAFDAIKSSANPAWKSHLDSTA SLYATLDDISGVPPSDGGFHASFDHYYDNLSARQCHAKPLPCKLVNGVNSTTCVTQDL ADAVYRLGNWEYSQMYRDASTSLAASVASYGVWIAELAANIRAAVEGKSSVRYLHNVA HDGSVSRVLSILQIDGMVWPGMGSEVVFELWRKKKQGHRPRGAGEGGQAATPAGHGSG GKPGHGNGGNDGESEYFVRVLFGGKVLKSSSPTLGTMDMIPVQTLLDYFDGLVGENAS LVKGLCA
SAPIO_CDS8292	MGGQRMIPIAQYDEDEDERPRFGDRSWSRPVLIGAISSFLILFL NLIVTIWASTKPHGSDDADDGRHILFEGSCSKSRNISLGVHLVVNVLSSALLGTSNYA MQCLAAPTRDEVDRAHNSGRWMVIGAQSISNLFKIRKRRVIIWVLLGISSLPLHFLYN SVVFTSISAISYEHYVAEETFLDPDNVDYNEEILSIQSANNREWADRIRNIRSVVLSP EYENITAADCLNAYATTFQTSRSNVLVITDSNETYMRWGLSSISNLSSDRECPWSPFE WVCNMGRSCSGETCRSKLSSVRDNWHFEGNRAIYCLSKPEEERCRLNFHVTLAIPVLV ANLTKAVLLMLIALFPHEGPLLVLGDAIASFLAFPDNRTRGMCSLTREKVTSYVLGII AICVSLAMGMVKMSGPQSMSALWNLGLGSASESTMVGWTKEDTPGLAAVVLIANVPHV VFSLLYFSYNNLFTRMLGAKEWSEFGLVPKSLRVSSRPQGKQRSRYFLQLPYRFSIPL IVVCALIHWLMSQSIFVVAVESLHAPEETWELVTCGYSPIAIIFVLIGCLLLVFAILG VSFLRLPTTVPVVGNCSLAIAAACHSVTGEPQPNAPLGFLKWGVMMEATPLHAGHCGF SKEAVAHPRQGFVYV
SAPIO_CDS8295	MSEKQVALSTAAPNVQHCEGKTNDDVDFRHSNEEKGLRLDEAQA QADYSGARAKTDAKEIALVRKLDRRILPMLCIMYFLNYLDRTAIASARLNNLEKDLNL KGNQYNTCISILFVGYLLFQLPSNMLMASSHVRPSTYMGICMAIWGVTSGLTAATNNY IGLLMVRFFLGIAEAPFYPGALFLLSIFYTRKEIAARMAILYSANILATAFSGLIAAA TFSTIDGAHGIAGWRWLFIIEGIITVVMALLSIPILPDHPSTTKWLTEEERKLAHDRI SRDTVQEASHTSNWESLKLAFGDPRLYVLALMQNLHLSANGFTNFFPTVVGTLGFNRT VTLLLTAPPFVLSAVVGPLYGINSGKFNERSWHITGGMGLSMAGFIIAASTLNTAARY IACFCFAVGVYAVNSCILGWVSATLGQTMQKKAISLGFVNMVANASYIYTPYLYPSGD GPRYTMAMGTEAGFAGGVIICVWVLRFWLMATNRKLKRTGEGGGLLYAY
SAPIO_CDS8296	MEDSGIVIVRDSVVTSQTSNEEKQSSSAYGRKIGDPVPDLRDRA ASTGAAKRKPDSAVIMFGPTIDQGSGSASRPIPPIEIGSFVALSPDDSEFIGSASGAF FANTVFRAFARAASATDADLGRPEEGRDAGHGVPDPGSAHTYLVAPEHGGEQTTDNEP RQQDSPTTLAPGTRSYGVTATGLGIAPPPAVAQKLLMLYFRNWHPFLPFLHGPTFIES VNRFYDEDILSEDAPTAHPSRLTRAVTFQCIFNITALASGETLDPACRIQSSFALTSL IGVIFSSHDIASLQALLAAELYLTTTMSLRAASAIHGALVRTMYNSGFHRCPCRYIQL QSTVSMIRKRIFWCAYVLDRYLSQVLGHPSSIEDGEVDVCIPGMVELHRAVTKPSEPV ATSQSTLNEEVLDHLPSDRAATDGNDGEVSPQRHPSSRPRDAAGGSDSLTVYSPAQHH TAAGKEAGQFVLSYMATYSRLMGEIVSEFHRSIHSRAISPEKIEELTYRIHCWWNSLP PTFQDETHDVPASTPSSYTKSPWVALFTMLYNYLILLVNRPFLSLPTDRKMFRSSLQT ALSASHNTVMKLRWYTDDPFVMAWPGTLSATWMAGLAIAFATQLELYPFAKGSSDIGH CLTILEAMASRWTSARHCHGALKKLLEQLNNYFSGDPPGRCTPLFSLRPERVLSRSRM EGPPLDVNSAMFEERSAKRQRLHDEAGRRPQSSFQRYAPNGGFGPSVNAFTMQGSMPV MEYTGPDFGFDASRLGGQEFPSDPLDSDIAGLFSNVDWDVYIQGFGQNFGA
SAPIO_CDS8297	MLNSTALVAIAVPAIPILIIKGLSWLKHHRRVRFKDFPQMKTSL VWGHLVSLAEQMAKGPEAEQFDLALARIAESLGRPPLMVIDIWPLNYPMAIIINHDIA EQISRASKNYPYGTPKSPTMKYLNPIIGKHSLVSINGESWKALRKRFNSGFAPHHLLT LLPNIIEKVHPFLEHLDRFAQTGETALLSDYTTNLTFDIIGMATMDKDFAAQRPPSER GELVTLYIELVESFKAHEDSAVPIWLVHPLTALRQIQVARRIDPMIKDLIRAKHEELI RGEAPKTRSVLSLALEGVDELTDDILQQTCDSLKTFLFAGHDTTSIMLQWAFYELERS PKVAEALCAELDELLGAENTDPAVVMKILTERGDEIMSKMTYTSAFIKEILRLYPPAG SARLVPNGTGLFVTAPDDGKSYCLDGMIIYLCPTLIQRDRAVYGPTCNDFMPERWIGD SDTSIKTNSGLTVGDGGEKAAAGSDGKKIPASAWRPFERGPRACIGQELANIESKIIL ACVARRYEFIKVGTGAIKRDADGNRVMGENGKFVAETELYSSRQITAKPVDGMLAKVR LKAGAEPRKTAEHTSAPRKVTTSKFATMEDDNKQGASRPRYRFESYTTSSRDDNASFT VRRNGKAFYIDVLPSQFVNSPVTKDKYMLYLEVLQSDEDVIGDIYETDVIDWVLEPFE PFFAELAPSPAPGSFKVTTLRDYLVPEFFHFALDIVDEELRPRQIKGKPSPYLPSGVW LDDELLDDVETWTHFYDPANIVLSFDQPEDALFKLPRKVLIDNGQTTCFFKECYSPAQ TKNELLAYKKINAANLDPELHICHFYGAVMNDQGSIAGLLLSYIDCGSQTMWSRVGAD EPSASIRGRWVNQLDASIIALHKIDVIWGDVKAENVLIDQDDNAWITDFGGGYTEGWV KKEVAGTVEGDLAGMAKLRKFIVEGE
SAPIO_CDS8298	MGRLMSLAASALLALQTVTVQAAKCPAGLVPRVWEGKQYGCKCY VGDDCWPKSKEWKTLNSTVDGNLVIHVPPEAACHNTFEGPLGTLETYDAERCAEVTAN YAAEQWTTDQDALNLWKYFTNATCTPTENPEEPCTLGYYGVYVVLAKEHAHIKAGVDF ARKHNLRLIIRNTGHDFIGRSTGWGALIINTHVFQDIDFLDSFTGPGDYDGPAVKIGA GVQGRELIRTVAAKGLAVVTGECPTVGVAGGFIQGGGHGPLTTLYGMAADQVLEFDIL TVDGEYKTVNAGNEPELFWALKGGGPSTYAIVLTVTVRAYPELPSAAADLFVNFTSIS DPDVFWEGVRIFHKHSNHFVDSGLYVYFEIGPMLFRAKPFVAVNQTAAQLEAILAPMV ADFEASGVPHELSVFEYQSLHDLYLDRFEDEGAGAFALTGGWLFTHRDVEENNDEIIE AFKTVLSPREDLVNQGFMVGHLFNAGHNLPVARSATHPLWRKGTDFIISILPVPQNAS VAEKADLQDVLTNVQDAALRAAGPYGASYVNEADPFQPNWQEHFWGDIYPDLKTLKKK WDPRGILYAVSTPGTEEWEVIEYGTRLCKRIE
SAPIO_CDS8300	MSDRMDTDSDSDGFAPVFVRGDTETHPVISRVAYINNPSFEFSP PDGYICNKCRGVHAIAIGWSAITLVELERSRSGCRFCDFVYRAVEHHSDSPLGNVVAS LQGSPDQENVGVRITSEEGNLNIAYSTASGTDRPPELDLWVYTNAASKPTGAETKTNN IGAMLLGIRLGDMPKTFRDAVEICIKLKIKYLWIDSLCIIQNDLDDWRVEAAKMGQYY RNSYVTVAAHPTHDWDGKIQAHIDGGCHLSRKRPFEATVRGRDGQACNIFARQLHNHG QFVKTTQDGRCSEYFKRGWCFQERMLAPRIMHFTKSEVLFECNTTLSCECGGASRGEI ERAESLKKPFAVSLRSVGQPTISPDASKEVLHAYRRLTEDYCEKRLTKWTDLLPALSS MASILQPALGSYYAGLWEADLYLGLQWSSSWGLGPCSELCDKSHREKDCPKRCYRHDE ASKESFGHLTGGSLTLFTCAITMTGPLELTLGGWAKISGDKISSCTFILDAKQDEALA RTKPVVCIELMRSRAIYRETIQPRRFVNPHYPFGEANFDADYWNEQPRPGRQMVSIAA IVAVDLEDGTFQRIGFTHYLEPPFLLDRIAIPEANRGSNAIHHGTEGSNSTPEASVKF ECSAPGSFGSGAGNTH
SAPIO_CDS8301	MAKRRRSISPPAIPAGKRAKTLSLPIRSTAPGVSTTSSVGLDVH GPPGEPQAQTTTPSANPMPATQPGTMNANAPLQNRPDLIISFADLRPRAGYCYDDVER APRRVRKAFKKCFAAASNVLNRTAFDRKLVEIFDAAASRLTKALVFDSALARDVINSV SWTEPMDPTGLAQIMGYFYRARLHYFDRMADPGYRRRHQRPSCASAQAVDRLYLAAMA KVEQGTDAVEESTDAVEQVTDADLTALLSAGSDVSPFAESNEPIWVPCDSDDDTTSEC GRWVYPNDDNGSGSDDADDDDEGSDYQDSEDDEVDTEL
SAPIO_CDS8302	MATHQTPTGASAVGVSATATSGNDARVNNNSHHHQHQHHASNSS NSPDASGNAPRVGGTTSGVGSSSTYKRASRKGAPRRFSCSYPGCDKIYSRAEHLQRHQ LNHNPKEIYQCDVPGCEQKFVRLDLLARHKKRHSGTYIPRNRVPNFNTSGVGVPSPTS PVTSPTVESPSGPGMQPQHPASQQPHQHPNQHQHPHPHSQPHQHQHQHQHQHQHQPQH HQPQLPQPQPQAHRPSPTQPLPPQQQQQQQQQHQPPPGPQQSNYSQPVSRGPYDSPIL LPPNSNPGGPPARLTHSNSWPPPPPPTINDLNVNIIRPKPGAGYYRRDTAPLPGPTSM IAYSGVSDEQLMARENFAVWLFDPQTSYSDFSVTNIPFIEGGLESTFNNNIQFDYESL TSRSQLDPTPPRQMEGPDDLISESRRQEILRMFRIFRERNDRYESSIVNLVRETGAGD LPALNLEMMRDCVHEYWESVSPRLPIIHQPTFSSNRCPILLLMVMVALGAASLRSRDG GGSLKDYGAFADVIIEGVRWEIVMAEEATPPVGLWVAQALLLLEFYEKLYSSRRFHER AHIYHSATLTLLRRGSPLIGRAGSESPPEEAAPVPGDQQSQHSGAGTLDSRMWWIRWA ETESMHRVVFVAFMLDIIHAAMFGHAADMAPHEIRLPLPCDDNLWTAPNPDICRQLDG NLRMYGVKPVSFLDGLKNALHGKEVKTHSFARMIIMSGLLSVGWHLSHRETHLKWLDV RAPSADTRDNWRKILLKAFDIWKGSFDNANGDTADTRGSTNGPVHSAAMLYHLAHICL HVDIVDCQVYAGAKRLLGRKVSMRDYSNAVGRMKIWAKQASTRHAILHAFRLLHRVLV DPRSKKGPSADAYIDSFSVPYSIRHEPDPHRPWIMYYAALSIWSFVRAVAPPHVRPPP MQSILAPMDSYRRMGSYLTSVARLDDLSEKAASAMYDGLPDLLDALRSSLGEANSELL QEAHARLKVCKDMLAGTAAWEEAKK
SAPIO_CDS8303	MIHDIRPEMPIYALVDLDPDGINILKCYKWGSSTLRHEQNATLP RLRWLGIKSDDIVLSPSHTDALESGGQGGSLLATPSGGSGRAFIEFNMERLEPLTERD GRLATKLLDKLSSNEEHDIDVAEVQRELRTMLMMSYKVEIQAMNEHGDVAGSTQTPMA PKLTEDEIDDLIFFARAGENKELEDSLKELAAREKVSEAEIITAAQDEGKSTCLHMAT GNGHLETVRLLLQRFASRPKAEKQAYVDAPNEFGNTALHWAALGGHLDTVKLLAEEHG ATQAVANDRNYVALDLALFNGHEDVAEYFLAQVKGLESKNGGEGGLEGAVEGIELEGE EVEDGDGKGKGKAKETGSGGDEKAE
SAPIO_CDS8304	MASEQVNGTKRRHDASAEKPSEKREFPKRPRLEEKTDLMKWRMR DDQGRLTWHYLQDDEAAKDWPQSYADKWYLGLPMDLPSLPRPQNPLDAARNGLTFFEK LQLTSGTWGCEYGGPMFLIPGIVIAWYVTKTPIPPHYATEIRNYLFARAHPEDGGWGL HIEGESSVFGTAMNYVVLRLIGVDAEDPVLVKARGTLHKLGGAVNGPHWAKFWLAVLG LVSWDIVNPVPPEIWLLPDWVPIAPWRWWIHIRQVFLPMSYIYSKRWSCEETDVLREL KKEIFVQPWAEIDWEGHRNSISHMDNYHPKSWLLRLANWFLVKVWNPYLRTESIKDWA EAWTSELVDMEDANTGYADLAPVNAPMNTVVCLIRDGPEAYSTRRHIERLEEFLWVKN EGMLVNGTNGVQCWDTAFAIQAVMAAGLETDERWRPMLTRALEFLDTQQIRENCKDQE KCYRQQRKGGWPFSNKDQGYAVSDCISEALKSIILLQKTEGYPQLVDDQRIFDAIDTL LIYQNDTGGAASYECRRGGEYMEMLNAAEVFGRIMIEYDYPECTTACVTALSLFHKHW PDYRGAEIEAWIKRAVNWIKTNQRPDGSWYGSWGICFTYAAMFALESLASIGETYEAS KSSKAGCEFLLSKQRPDGGWSESYKACEDMTWHEHPTGSLVVQTAWALIGLMEAGYPH VEPIRKGIKFIMDRQQDNGEWLQEAIEGVFNKSCMISYPNYKFTFTIKALGLFARKFP DEKVV
SAPIO_CDS8305	MILSTARVLPRVLARSALRSSAPTRAIPVAAIALTRSPARFLSI SSPLRTPPTSAAAASASPARTTTQHADAPAWLHDKVSTASLWLRDSCRCEICVSPSSG QKSFATPDIPQDIRPRSVRVSPDFELVVEWENDIPDAAVQGHVSVYSPEYLESLKFRK ELGPGEANDFNVVDRTVAYKPWNKELISQHLRWIDYNDWINSEDAMWQGLFDLEAFGL LFIKNVPKEETSVSEIGLRIANLQETLYGRTWNVVSKPDAENVAYTNSYLGLHEDMLY VQQPPRIQLLHCLENSCEGGESIFSDGNNAALTMINDPAQAKSVDVLSNYLVRYHYQK HPFSYRHARPVFNIQTDDKGNSELANIWWSPPFQAPNPPYGRDIDNVEYRTWHAAMQT FEGLLNAESNVYEYKMSPGECVLFDNRRVLHGRKAFNTGSGYRWLRGTYVADEDFRAK MRSAEESRVEAYKKEKGLQGAASKLKNADELMAGYGSLLSWLRTPKKELPQEALEGKL ENIIPTSHIAGEQSKNHLHLLQIEENDRLETMSAVTLGQAGLDAVEKRDWTTAIQKLT LAIESSPSPKWLIARSTALNAIGDFENALTDAELAYHIAADRGNRALMTDAQFRRGVA LLRQDKYADADACWALTIGMTKGGNLSDGDEFLKRVDEDGNYNVTVEEVEEDLKEGFA PKPAPGSMTMEALKGKDSVASKLWHRCTAMRIRCLKDMAKTPEDHPGRKITISRVPPR PAGPARLQVAGSSSTEAKKDAEASLAKEAAAAKTDNSAGAVTPAHVSKPAPLRVDAYE TDTHQTVSIFVKKVDPASFKIEWPQPSLITLKFNHGDTSEVLTLKLAGDAMPDQTTFR VISMKIELRIKKVTPGKWTVPMIQESSNDAAEPSAPIKTDAAGPSVSDEDNNTPSATT TQAPPEPAKSTPAAPKPSGPPAYPSSSKTGPKNWDTILQDDEDEDAEEGNKDPDFWFK QLYANATPEQKRAMMKSFTESNGTALSTDWADVSKGPVETRPPEGVEAKKWGE
SAPIO_CDS8307	MSSVVALVFAYIALIWAVGIFFVQVIGLSRLLRFFTSPPPHPPV SPTLTHERVPHVTIIRPVKGLEPELYECIASTFRQDYPTDKLTIYLCVAETQDPAYPV LTKIVKDFPHFDACVFVEADDPLLHGEDGHINNLGPNPKIRNISRAYREAKGDIIWII DCNVWIPRDTTGHMVDKLVGLGPDGQAVKPYKFVHQIPLVVDITPELQGRNTTDAQSL LSSSSDGDASTSSAAPLAKTPSTKSRSLIQRLLEHGGGRLDEMFMSTTHAKFYSAINT VGVAPCIVGKSNMFRKSHLDMLTDPAQNPNLPNDREHPTGIDYFSSYICEDHLIGDLL WRSKIPGFANHGFVLGDFAIQPMDRMSVAAYIARRVRWLRARKWTVLAATLVEPGVES LLCCAYLSFALTTVPWFNINLGIRSTWSAMFISWICGVSVWMIVDWYLFNRLHTGGTV AVDQDTPFFAKGTSRAGGVPKRNFLEWLTAWIGREVLALPIWTWAVLLGATINWRGNN FRVRMDMSVVETDPVRPTARSRSPRTLTPEIELGQCRRGKNRVD
SAPIO_CDS8308	MAWERGHDVEGFPLIADDSSSTDTRIGAEPNGTATSRSVPKLIS SKRWQVSSPKAVLRLAALFKFLIVISGTLIMLPFFRILEDVFCHRHFNDTSPGFLDER KCKDDGVQKKLAYFFGWFALVNGIVGVVIALPYGSIADRIGRKPVLIFSYGGVCFSFA TSPIYLHYFRDFNPYYMLIGCFLQAFGGGIPVSMSTLYAIATDVTVEENRGSSFLLLM IGATTGGLLGPLISGILMEIFHPWVPIYVVAAMSPFVIALMFLLPETLQRKTPSEDDD EDQGLADWLKTHITESLKQVRESFPLLRNTNLTLILVVFFISNPLGVAYSMTLVQYVS KEFGWDIAQTSYLLSPLGILTIAVLGGLPKIGDILVSRFKMTPFQKDYLLGGLSLTFL ALGALIEGFSPFISVFLFGLFVGTFGAAYTPLARALLTHYVESRLTSRLMALVNIVET AGSFFGGPVLALCFQIGQDKGGRWKGLPFLYIAGLCTIARICLLFIRAPEQKVEEEEE VPLGEADLDSDEPDEPDAPLISL
SAPIO_CDS8309	MQLKSLLVQLMAAAPLVLAHPHGSVEPQHAAKPLPRGLGHCNAH FKRSGISKRAAERHMAEVDNLKKERGLEHVPTIHRRQFGGFPGFGGGDPARIEEVLGT NHKSDSEITLETDPVELFADAGSCVLSPEVTEGPLYVRGEQVRKDVTDGEGGVKMRLA IQVVDVETCEPVPDLWIDLWSCNSTGVYGGVLYYPGNGDPNDESIINATALRGLQPTD SDGIALFDTLVPGHYEGRTNHVHAMVHHGATKLENGTITGGRVSHIGQLYFDDELLEL VEKTSVYAVNTQAWTRNNVDILFGQGTSGGDDPIVRYAQLGDDIENGIFAWMRFGVDV SASRNYNPAAYKDETGGHQNPTGPVQPGGAGGGFGGGFGGGGGFPGFPGGGGGGGFP
SAPIO_CDS8310	MAKGPYEQLQAAEDVHDDSSSDVTIPFMDDEDPMQWKEGYDGPP RSRKARFLSAIEKLKPIRWLMEIGLVITVVVLLVQRRQSTYDSQKPELAGDITGFAPR FSQKIVTFEFNDEYIPIHTAKFFRAKTHRKWLDLVPKGLGFLEMQNPEEYDNLPVPLD DFVNKTVFTTSMTHQLHCLHSIIDSYTRLSLDWQVKHNEWHVQHCFEYLRQSIMCCGD VALEGQETTFPEGERGGSDGWGAQHVCKDYDEIYEYLEAARANDEVWI
SAPIO_CDS8311	MRQTSILALLVLATSVSAQTGTPTIPAEDALQTHYGQCGGDGWD GPSECEPDLYCYVDDEWYSQCLKVGEVINKNTDPEEPEDAAPPANLPDPPASFPDPPS GSSDPPFQGVPDSPIGNSPDAPDDGFPQPPNNQFPPGNNQPNQPDQPPLDDIPDGRII DSPPIVGGGNPNLPSPDDGRVTTTQFGVGAPTAIVVTSFVTVTAPGGGGSAPPTGVPD SPFGK
SAPIO_CDS8312	MVSIPHTELAAAIAELPNSVDNDTKSTSQFKTVLDAVNRDPSGL LTSLSALSVNSEKGLALLSLLLSETRGKLPVLDLLRCYGQIRKGMPEPVKAGRVPQVT QKLEEVVKAIHDSYECLSDLIEESNRPVLFSPEGSPAVTHTALKKSIREFRLPLQKGD DKSPVVAVAIPNGSLLAATCVSVTAHFAAAPINPSVGAEQFRADVEQVRAKCILTTPE VAERLGLGDGWVSERNIEVFYADFTSSQTLGITKQDGTPISAESQHFQPNKGSDICLM LFTSGTSGTKKIVPLTMHLVIFGAMLVIDSWGLSSSDICLNMMPLFHIGGLLRNIFAS VLSGGATICCPAFDPGLFWTMVEKLSPTWYYASPTMHSVILSSAPENHDSRFRLICNA AGGLLPSLALRLRDVFKCTVLPSYGMTECMPISTPPLTYQLDRTGTSGIATGPDLSVL DGNDRPLPPLSVGRVCVRGEPVSYGYLRDDNILDKSAFTKDGWFDTGDMGYMDSDGYL YITGRNKEVINRGGEIISPFEVENAIVGAAKQPDSPIYGRVTEALAFSVQHDILQEVV GIVLVTPHGKPRVDLKILQEALKSALQQAKWPSIVIYMDGLPKRNNKVLRIKLAERLS LPTFTDDTPYHDRHWEAVCPADETDLSVKIEASKCPVKEIPVTLALKDSLPECARLDV YVRTNSQQGWLEVVVAPEDTGPIENLSIIRSEMEKNWLDKVAEKIDNYLVPRRIHWLS QPLPRAAEDPALVDVSALEIILHEMQQKDANQLLHTTEGRVITKFAEIIQCDLSLVKP DLDFFSIGGDSLKAGKLMTGLRSEFNVTVPISIIFSDGTPRVIAKYIDECRSRTPSIT SGKGEKLPGCEKTHSSTRPWLMLLQLLPMVVIYPFRRALQWTLFMVALSYTQPWRTNN WMMGRLFNLTVSILFGQVVLRLVIPWLGILSKWILIGRYKEGLYPMWGQYHTRWWLVQ KIVDICGPGCFSWSGYTRILYYRLLGAKIGKNVTLSKVRMGEWDLVKIGDNAVLEGCI CRPFGAERNTSMYLGRIVVGKNATVGVASIIAPGTEIPDNTCVGPNSSSWELEDASEE NRNILSSKRPKAHWILVLLFTIPLQILSWLLALLPWIAGLLGLVITSPAHSENALYEI IVWFSEAERVGFHYLALVLRAALSPFVAFGVAVSVKWVLDILFGELRPGTAKGRSQIS IWRAELMRTLMPPASLHDMTEMMGQHYEGTSIAVRLLGGKVGQRVYWPGTGPAVGDYH LINVGDDVVFGSRSHMITSDGTGSEPIIIGNNAMIADRVCLLPGVSIGDGTTMGSGSL TRRDQTYSPGGTYVGSKGGDAVCLTLTRPNSVADFLKSSEKSASAKSSPRTSIHEVKE SEDTEASPFGRAFYFKKAPYRVWSPFTIFCYSSFLTVITRFYWNVPSITSIQFTNLLF REAPNVVGMKLQFHWADPFILLGWTTAFVAALTTIQAIVALLAVIASKWILLGRRRPG NYDWDKSSYCQRWQLFLAIERLRRTCYRGSGILGMLTGTHWIVMYYRALGAKIGKDCA LFANGSPSLYFTEPDLLTLGNRVVVDDASLVGHINTRGKFDLNKLSVGNRCVLRTGSR LLSGAHMLDDACLMEHTLVMGGDVVGEGETLQGWPAGVFNGRRVKGF
SAPIO_CDS8314	MHLMYTLDDNGNRLYTLKKVAHGQVTKSAHPARFSPDDKWSRQR VTLKRRFGLLLSQQKDKEKSEL
SAPIO_CDS8315	MATVKTPIASPVASAKIPPPAELTAAEQTKYDWLLAQVKAWTEI KVESATAKNKGGPITDDERMWLTRECLIRYLRATKWEEKESEKRLHDTLAWRREFGAD DLTANYISPENETGKQTLLGYDMEGRPCHYLSPGRQNTEPSHRQVEHLVYMVERVIDI MPAGQEMLCLMINFKQSKTRKYTHPSLSMARECLNILQMHYPERLGRALIVNVPWVVW GFFKLITPFIDPRTREKLKFNEDMTQFVPAEQLWTEYQAQGKLDFDYDHSEYWPALSK LCDEKRRERKERWIAGGKLVGESEIYLWGGEGSSISASTGHAVAAVSEGSEKAEIAPE QLKMENLKIEEKEVEAAKEENALPAEVKVAA
SAPIO_CDS8316	MASLQSNKGPGKDQSGLRYPSNGKTIYHRPLNRTKTSELSQASF AYLFSEMVSYAQKRVRGIQDLEQRLNAQGHPIGLKLLDLLIYREPPRNQLRPLTIIAL LHFIKQSVWTHLFNRQADRLEKSANPETPEEYMIIDNEPLVNAYISVPREMSQLNCAA FVAGIVEGVCDGAGFPAKVGAHSVGSDSRDGQMWPGKTVFLVKFAPEVLEREAYLGKS 
SAPIO_CDS8317	MNKDATYTYVHMHRPRDADLFEDFCKDRLPNDEVYVKPEHQPVN PEDEDDVVPDQHAAFGIQKATQRVKEPAWRDLGLKELMERGPKTHGPAAASSGRTLPR 
SAPIO_CDS8319	MTGNYAQCQWPIWRIDDLSPCFKNHYLKVLIPLTVVVLSLFQIF SHNIRQALKSRRSDGYQSLAETHPNTAEEHTALPPDEDVGSEEEDDDDDLEINGGRLA LVKTTTKGSIVQADIPPAERLSVVIEVLAIAGLIAINSVFLLSGAAGADTRIGSIAGI VLWLYVLILASLRLFLGNTKWRVPRLWNHTATIYSIQWLLSIVFFRSAFIHPASQLSQ ILTFVEFGLTSLLFVMTITTRKGNKTVLLEWENGIEPSREPLASLFSLATFTWVDPII WNGFKNTTEMSMVWNLLPKDKAAAVLADYRTMKRTGSLAVHLLKYFKGPLIYQSFMAA ISGALTFAPTLLLKAILQYVENPDIAPINVLWLYVILLPLVDVVRSIADGVALWVGRK ICIRIRAIIVGEIYAKALRRKAATTKHSVLGEEKKQKKADDSADEDTGVIAKAKRALG LKKKKKDSNTANGNASGESRDDKNDEKDSSDEQANLGTIINLMSVDSFKIADITAYLH FLCAMAPVQLILAVVLLWQVMGLSSIPGLIVMVILLPVNYLFARGFATTSKKILSATD KRMNITNEVLQNIRIIKFFAWESRFSTIVDEKRAVELKALRSRYVLWACAVAVWNSVP ILITFFSFLVYTMIEKKPLYPSVAFTAISLFMLLRVPLDQFGDMIAHVQETKVSLDRV EEFLTEAETEKYEQLGTDNVDEEGNKTIGFRDATFIWGGKNEVNDDGSQAFQLLDLDV KFEIGKLNLISGPTGAGKSSMLMALLGEMTLVDGRVFCPGGKSREEVRPDPETGLADT IAYAAQSPWLVNANIKDNILFSAPFDEQRYKDVIVACALEHDLEILDEGDQTLVGEKG ISLSGGQKQRISLARALYSNSQHVLLDDCLSAVDSHTAKWIFRNCITGPLMKGRTCVL VTHNIQLCAPAADFIVVLDNGRITVQGSAKDVITSGALGEDIQKTSSSSPTTSHMPSR VPSSVGEESNETAVDNIGGVSAIKPDTDKKKDKKEKKKDAMAEKKAEGSVQWPVLSLY LRSMGPWWFWVIALFVFGIQQMSAVVTNFWVREWSNQYNVEGGADASGSFYASSFSLK PQEASSGALSINSAHAAVSSWIQKANSVTLLSMVAPEVDAVYYITVLALIGIAGAVAA FIRDVWIFYGSLTASRKIHTDLMNSVSKAKLKFFDVTPLGQLMNRFSKDLEAVDQEIA PIAISVLSCALGIIITIIVISVITPLFLIAGVFITLAFWFVGALYLNTSRDLKRLESV QRSPLFQQFGETLTGMTTIRAYGEERRFIRENLAKINTQSRPFIYLWACNRWLAFRCD MLGDLVSFFAGVFVILSLGKIDPGAAGISLSYAISFTENILWLVRLYAMNEQNMNSVE RIKEYLEVEQEADAIIEDNRPPKGWPSEGSVEFINYTTRYREELDPVLRNVSFKIKPR QKVGIVGRTGAGKSSLALAIFRALEAENGRIVIDGVDIGRIGLQDLRQAITIVPQDPT LFMGTLRSNLDPFHAFTDEEIFDALRRVHLIGSDSPPTTPAPRSSLLLPDTPTIVAPN DDDDDETVSANGTANKTAISGATTPTLATNKNIFLDLSSQVTESGNNLSQGQRQLLCL ARAMLRHPNVLVMDEATASIDYATDSKIQKTIRELTSTIITIAHRLQTIVDYDMVLVL DKGEVVEYAHPYELLQKEKGSFKAMCETSGEYDLLLKAAKKAWQGGELIDVEEDDDGQ TR
SAPIO_CDS8320	MSSLRFLDLVKPFVPFLPEVQQPETKIPFNQKLMWTALTLLIFL VMSQMPLYGIVSSDNSDPLYWLRMVMASNRGTLMELGITPIISSGMVFQLLAGTHMID VNLDLKSDRELYQTAQKLFAFILSAGTATVYVFSGLYGTPSDLGAGIVFLLILQLFVA GMIVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAIIAL FHLLMTWPNKQRALQEAFYRQNLPNIMNLLATLVVFAAVIYLQGFRVEIPVKSSRQRG ARGSYPVRLFYTSNMPIMLQSALSSNVFLISQMLYSRFSENLLVRLFGVWETKDGSSQ LQAVSGLVYYMSPPLNFKDALLDPIHTVVYIGYMLTACAIFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHRDQSMYKELKRIIPTAAAFGGACIGALSVASDLMGALGSGTGTLLA VTIIYGYFEIAAKEGDLAGMKGMIMG
SAPIO_CDS8323	MSSSTGAPTPSSTTSTTAGKAPRILACVLCQHRKIKCDRNMPCS NCIKANVTCTPSTPAPARKRRRPNQDLQERLARCEALLQNYATAAAASGESPAGPSTN HVVIPTGAGSRSSIIARDPSKYPDPMPPWTPAGKVVVEDGSTRFVDSFLWGKLHDELN EMRRIIEADEAEDHSTLASEAATPEDATDLLLGDYASMSLDDAYPEPVHVFRLWQIFL ERVNPIIKIIHVPSIQPYVVEVASGNWNVPPNHQPLLFSIFNVAVFSLSDSESRQMLG MSRESALRKFSSGVRAALMKVNFMKTYDLYILQSLAFYLLSLYGRHNRDAAWIFSGVC IRIAQKMGLHRDGESLNLTPFETEMRRRLWWNLVILDAAWALLSGMTYPIISVNWTTK VPRNVNDADLFPGSTEPIQERDGPTEMGFTLLMTTIWGFVIKCHHQFPGFEAAVLGFD VESIGSKDRPAERLPIDVDSSQQLKYKSLLDQLRAELDKVVDKYIDPGAGAAHLVASR LPRLISAKVGQLFVPITELPEYGTEIFNMDDNLFRLGVYNIEGNLELYDLMNARGFMW YCRLHFQVEMLTALVGQLITRQTGSLVDRAWRALDGLFRHHPELYDMSSKPNITLRSF VLKAWKGRAQALTQRGQSAVMPYYVQELMRGLPEGRISESATPGSVVSSAVGGSSQKT GGQDFPNANTKWEYGPAHDHGSTDNGGFGPGLLDIGAVDWDMFADSVSSGHGAPGSSS GLGFGGLGPNIGPPW
SAPIO_CDS8324	MAGFPKFFKASSKRDGTAKPPPSPGGNVTDAEPCQASEPSPTEN SHETKPPSVTNDSEIQGSQDQHQYPTGIKLVLILFSLMTSTFLIALDRLIIATAIPQI TDDFHSVTDVGWYGSVYLLANGALQLTYGKLYTFWNIKTVFIVTVILFEIGSAICGAA TGSVMFIIGRAIAGVASAGLFSGSVVILVNSMPLQKRPLAQGLFGAVFGIASVAGPLL GGALTTHATWRWCFYINIPFGAVAIAVIFFLLKVPPTHAQVPQLDDTTESGEVAAGEK PHRSRMWAKVRQLDFVGMSAFLPGIVCLLLALQWGGVVHAWDSAVIIALLVVAGVLLI AFVIIQVLLPETATVPPRIITSSRTMATATFLTFCMGAHMMIMVYFLPIWFQAIQGSS AFQSGLKTLPLTLSLIIGGIASGGVISKTGPYLPSLFTGVLLTVAGAALLMTLKPDSP SKEWIGYQVLYGFGLGFSFQVPNIAAQTVLPHRDVPVGTALVIFGQQLGGSVFVSIGQ SVFSSELLKRLSGIEGFETDVLRNTGATTLAGSVPAELRDQVLNGYNGALSRVFMVGL IVACVAVLFALCMEWRSVKEKQKDGEKGVGGEDKAEEKKVGNKTAGKTPSTKGEV
SAPIO_CDS8325	MDTPSVNFAALRKTDLERVHLGGSSKIFRIRNTNIVAKISPTTF PSQYRWREWEKEMYDRIGTHPHILRYLGQSPPSCRPLNRALLFEYHPKGNAASCIDEI LSLPPRQRRRQQYQAASALAYIHSLDIVHGDVALNSFLLRENGDIVLCGFSRSCLFDG RGNFSSACGPSFPAFGDVPSKQGDVRGLGIVLRQLGYEELPSEVLDALDMDLRVLQEC WDEYGYTAAKVAKDLVSSS
SAPIO_CDS8326	MASLKVTSDISTKTIGVKSPEIHYTERSAVRVVVKGSDNQVIII KATKGSYYKLPGGGVEPGEDHRLAAKREVEEETGCKVQVLGDCIATTEEFRNDLHQVS YCYRAELLDKDGKPELTEDEVADGLSHEWASVKDALRQMSAVEPTSELGRFIKEIDIF LLTEASRLG
SAPIO_CDS8327	MSNLQPDLTTEIHLPSPPFLPVDGLPNFRDCGGYPIAGRPGWML RKGVIYRSANPSTITEEGISHLRALGIVKVFDLRSSKEIEESTRQGWGRIRVWDPAQR VSVPIFKDSDVTSAHRARRDKNPRKEGHDGYIEYYQELLASALAADDTAQSLRSILGH LATGSPSGPEPVLIHCSLGKDRTGVICALILSICGVEDDIVAHEYALTALGLQRKIAQ IMLEIRPHAPSMTEEEQRFFGSRKGAMLGFLAYIRRNGGPQRLVEESGVISPAEIEQL RMNLITELEAGEEHADWKEHTKL
SAPIO_CDS8329	MSNEGVTEITNPRRVLAVSLEVSSHHLSRVVKDLSGTHPTPSPT LSGITHPLPLTTPYYTTTLPLWLDLIEDPEDWAETFLSPEAKEVLEALGGLVVVFEVP KSRGDANTKHDEQLLIEHVGRVVKDGLGGWGWDGVAIAVGLGADSEGVWEDMCSEAGM EFILVSGNEAEGARNEFGEKVGIARALEALQANDWTALLATDDDYLNENEESERTGAK DGLDDEDDDFDFGFYGEKSDFEGLKQAILEATLEREGVDLENLAETSAEGSGQKGPSK ENKGKEPEDEEGEEDISEEDVQKIERMMSKLKAVREMGEGMPEEERRRLARRAVDEVM KEL
SAPIO_CDS8330	MATKLEPSALAPNAGTPGAPTPSPSPGSSVTPIKSESKTEHKPL TNITPTNGQTPSRRPPRKSTLTQQQKNQKRQRATQDQLATLELEFSKNPTPTAEVRDR IAEEINMTQRSVQIWFQNRRAKIKLMAKKHLENGEDLDSIPESMRQYLAMQAMESGKG LGGSFLGRTGLFPYGNGMLLGGDPTGQGKVLIQHLTCRALSIGTWTRVGQNMMDLIVF YSPDKCTMTYYINNEQAGYKIEYPFAYVKSIYLENNEGDPTKPGGIVIELNRPPHFFM DSSTNAQGFYQVNDFTEDLQASRCLIHRLGGSPKVLSGQLAKLVSLESFMNRHLHQAP PPMFDTHALSVSAPVSPTARPSSQPNFAQPHVGMFQESWGINNMQPGMRGPGHKRQRS RSVPMPVDFSLFQTPMPSFYIQQPGEAAPQPASPNIFAPVPQQPHGIGPNLRIDTQAG FGLDMRQYPMSATTASPSDFSSPNFFAAQGPEPTPLPVNTPYSSTFLSPMVNPSNMPP PSVSPLSFNGPSEPSIVEQSPPMAMLGRPASADAYQMNDSCAVSDDGTNLNEMYSKHS INLPLHPHSPAYVEQQQSEVDMNQLVQFDSAEPSSLSPESMGHGLGQGQGMAQATPQA AQ
SAPIO_CDS8331	MASRPSGDHPRDSSHPRVPNDPARSRSTRSLDHSSGPYHAPSGD HSPARRYQFDPSSSSTIASPTSARLNRSTSATNIRNDYDRHHVRFSHHDTSRSPSRAP PDSSTVKNSEKLSMNDDAPTLTVTATPSAATMSDYTFTSKFGLLRPSRLNDTADAVKH RAASIYRKYIIEGLLRQKPLPPSRDGRHIPLDISSHCPQDLVDERSGKPYINNFIRSS RYTVWDFLPKQLIFQFSRLANFYFLVMGTMQMIPGLSTTGKYTTIVPLAIFVSFSMAK EGYDDYRRYTLDKAENRSSAWVLRGTKDESGMRRPNKLIDRVKKRSDKTDSSRDEEDV IEEVQQDGDWMRVEWKDMIVGDIVRLNRDDNVPADLILLHATGPNCVAYIETMALDGE TNLKSKQGCPLLAGRCHNLEGLRSVQAEIVSEDPNLDLYNYDGRVTVNGETLPLTSNN IIYRGSTVRNTTEAIGLVVNSGEECKIRMNAHKNIRAKAPAIQSIVNKIVLFLVFFVV MLSIGLTIGYYRWRAGFEKHLWFLMGASLSFKNIFIAFLLMFNTLIPLSLYISLEIIK LGQLYFLGDVEMYDPVTNTPMVANTMTILENLGQVNYVFSDKTGTLTENVMRFRKMSV AGMPWLHDMDVKRDEADKQRKIEESERLRKSKKKKKKAKQAAAATTSLGKVLDDDDDD AATGVGVGGPAGARESFALSDLGSPVSPVTPGGHFFRGPVASSSRSMTRVAAGSEPKT EQLLRYLRERPNTSFSRKARHFIICMALCNTCLPEIKENGQIAYQASSPDELALVEAA RDLGYEMIDRPADSIKLRYTDATTGNTVIEVFEILDVIEFTSKRKRMSIIIRMPDGRI CVLCKGADSALLPRLKLSNLAIQKAREVEIQANRRRSQEQENIIRRRSLHGTPRNSMA LSRSSTSGVRPALAKARASLDFRRISTDIGRESHDYRNLRKSMSDMGVTSPTVASKND GYLSPRPSLTLSTYEMLEGLVDESVAINEGTVFERCFQHTDDFATEGLRTLLFAYRYI EEDDYRTWKKIYHEATTSLVDRQERIEAAGELIEQKFDLAGATAIEDKLQEGVPETID KLRRANIKVWMLTGDKRETAINIGHSARVCKPFSEVYILDANLANLFDTLTSTLTEVG RGMVPHSVVVVDGQTLSDIDDDKTLSALFYDLVVRVDSVICCRASPSQKAQLIKKIRH RVPKTMTLAIGDGANDIGMILASHVGVGISGREGLQAARIADYSIAQFRFLQRLLFVH GRWNYIRTSKYVLATFWKEIFFFLAQAHYQLFTGYTGTSLYENWSLTVFNGVFTSIPV IILGILDQDLSAKTLLAFPELYNFGQRCKGFNYMNFITWSVMGALESCLLFYVVWAVY RNMQFTSDTSLYAMGSACFTVGVLFINIKLLVLEMHNKTIITFAGLFLSITGWFMWNL LLGAIYPSGLKIYQVPGAFMHNFGHTLTWWTIGLLVLLSLIVIELIFAAVRRVYWPGD WDIMQRVEKEGRVEEMRREVDAEKGEVEGIDVIDTSPVADGAMEMREVRITGDTLTVP GAAGSEIRTSFQEMRSSFHDTRSSFQENRRSFHERRADTSAHRWPRRSHDEYVRPSFT PLAEERRNPFDRVGGQNSLPEDGRES
SAPIO_CDS8332	MRVRKEPTVMVSEEAAELRLRKETTMTGVEVCEVDLTVRRTEVE FTVPTGRGHREEGHSKARRRLLFWEELLNIWSHLIGALIFLPLPYYMFITSLPPRYAL ATPFDILVCSAWFLGVATCFILSVTFHTLASHSESVHERTLRLDFQGVLILMLGSTLS LTTYSTCHRTTTRRLAHHAVNFALGISASLATGSSALGEAHLGRWRAVLFSLFGGGVF VVPVWWASGEDVEGLGVWYTLGTAAFNALGMGAYLAKFPEKWWPGRFDIFGASHQIMH VCAWGRKVSGSIMKG
SAPIO_CDS8333	MAPTPAAQALHHARRAIPPLALLTTRALSTRALTVNDTQKVTLG VIGAYVVAIALLWNIPYVRGILWPFKMLVIAFHEFGHALAACCTGGRVKSISLDPNEG GVTHMLGGKQAITLPAGYLGSSLVGALLIFCGFNVVASKVASIVLGVCFLVTLWWARK DWLTYLTIGLAVGLLVACWFIAHAQALRFVVLFIGVMSSLYSVWDICDDLILRKINSS DASQFAQRYGGSSQCWGVIWSIISVLFMVAGIIAGIAAFPQTFAEQDDASKKFIPTM
SAPIO_CDS8334	MSSTGIPPNPSPSMVLQLLQRMTDADPDFRFMSLNDLLQLLTIA KPDLLHHEYNIGARTVDALAKALDDQNGEVQNLAVKCLGPLVGKVHPTIVAPMLDKLA SLKLKNSVDNSLPSMALRAVVIALPRPVPGVPPTPDVANAYNAVSRVVIPRLIVPAQR QHLQNEQAKQKLPPPPPYMFDKASDMTPEAVDVMIEVARCFGPLLQTYEAESMLESLL SLLETEQGSSVMRKRAVAAISIMALYISDSALQTLVNHMSIALQNPKVSSLTRRLYIA VMGAMARCIPRRFGQNLPHLVPFILGALSEEELETHMAKISDGEDVAPDFNEVHEAAL ITLEAFLSACPQEMRQYTDDTIAACLRFLKYDPNYAFGDDGDDEEMGSDEDEEEEEEE DEDDLDDGFDDDDDDSSWKVRRCAAKALYTLISTRASGDLLESGVLYQQTGPILVKRF EEREENVRLEVISVLSLLVRKTGEGVVPVDLVDDQEHDLHGALPVNRKRRRQSSVGGG VGGPRIAMAGLGSPIQERVPQVGPHADLARLAPSIIKASVKQLKGKLVPTKQAIIGLL DDMVNTQRGGLGEFFTSIWAPIMDSLQTSGAGGSSSLALSGGNASATPTTLRIATLRL ISDISKTHSSSTLHPYLAKIVAGVIKAVDDKFYKISVEAIRAAEELVKTITPPRSRQT AQKFKGELQKLFEVIMDRANSTSADAEVRQRAIHALGTLLSRTSSADGANLLPSEARQ AALGVLLARLKNETTRQASAKAIDSVAAFNTSSAPFEKQWIQEVALELSTLLRKSNRG LRGASTQALKHLVMSPACKGQLETGTVNTLVSSLLPVIADNDAYLMGPALMILSVLVQ ERADLVLSPELTKVICNFLKSGSPTVVIEPLVYFFTNVGESGKGKDLMRGLLQIVGVQ GEATVLGQLIGTLLVSGGDSTGVTLEAFTKELENDANDDTRASLALAVLGEAGMRLGS QSTLRPELFLKQFRTEPDRVSRAAAAALGRAGSTNIGKYLPVILPLMTRTGNMQYLLV QSVKEILQQLPVLTPEIEPYVDTIWDRLLEAAKHADNRVACAECIGRLVILDGSRFMP RLQALLKDRSSALRGMAVQAVRYTLPESDDALDSILRKVLVDMLYTMLQDSDMEIRRV AMTTLNSAAHNKPDLILPHIGNLMPFVLQESVIKPELIREVMMGPFKHKVDDGLEVRK SAYETLYALMETVFSRINNIDFYDRVVAGLRDENDIRALCNLMVAKLAVLDPDETGRR LDSIAEAYRGILSTKLKEGAVKQDVEKHTESNKSILRVTLLLQDKLKGTGAGRGDAKA ATSGGSGGSQVWNGYWDWVVKDFGPQLKVLRDENRELHRA
SAPIO_CDS8335	MATTAVEPEQAGARPKAPPRKYKASELPLPSATRAAIESLANGF KKKGDYDSIRKQVWDSFETSGYETQVTKSILEVAEQEIERNPNQLLTLDRGKAAALID GALERSGIYQKAQDMIEKLIDTSAIEQRIRELRRAEIGDEEAAAEQQRGSKTDEEYAV ETAARKAEREQLREKLRLQELAIQEEKRKIAREERKRAEREREKEELKRREERDARRR EREERQRERERERDREREERLRNRSRDRSRDRDRDRGRERDKDRDRGRERSRERDRTR DYDRSRDYRDRSRDRDRDRDRDRTRDRSRHRERDRERSRDLNRDCTSYRDKDRRDRDR DRDEDRERRKNREPEPATVKELSKEDHERLELEALEDLLRESKGVEQKQPEIEIDEAL APPPRKAKPASAIMPIKREASKASDPKKKVGEATRSSSRASESKTIKEEALPPTSTTD TSKRTGTGAVKAEEKPDKKDAEKRNGNVIETGDLLLLAVSEVPIGLIGEKGVARGLGE IEIETETARGPEHVVTIGVETDPRRALLLEAGVVRKRGLRRGIVAVPGLGRTEERDRG LGREHASGIGPVLDLTHARETALGRVRQSAQIGVIAAVLAIGIDIVTVTVTATATAIA RDAEIGHDPELEQAKSPSISTATVPPVGLDKEAREAWKQEEVKKREREAKAYLAAQRE AREKGQPVPGIDDKKSTEDGSPETKRRAGVEEIDRYVPSGREREREQRGHERSTATTA TTKERDERPARRRSKSPSRSASPARDRDRDHDKSSRYRDRSRDRERDRDRDGDYRRRD RDRDRSRDRDRDRSRDRARDRDRRDRDRDRDRDRDRDRDKDRERDRDRDRDRDRERDR DRDRDRDRHRDRDRDRERDRDRLRDRDRDRSRDRDRDDRRSRRERSRSRSRSRR
SAPIO_CDS8336	MVSKILFWSGFGFAARWWQMGIEMRPFFNKESLWVYPVYMAGGA AFGYWLQGVEDRQNTQISDRKQSLLEKRARKALRDAEAAKADA
SAPIO_CDS8337	MATESGAQGQKPAAATQPSNIAAPPSAAAQGTKPAAAAQAAPAT GEKKLTGAELKAKAKAEKAARRAASKVAAPPPPPSSSAGDSRGGKGKGKQDAPQGPAT KARQGSLVQPPKEIKPTVPECFSHLSMAKRIPMTQADKDVHPAVLLLGQQMSAFVLRD STKRLEATLLAMKKVIESYTTPAGNTLSRHFTSHVLNPQILYLTECRPMCFSMGNAIR WLKLQISKIDIELSDDEAKKRLCEEIDSFIREKVIIAGGVIVENAAEEIEDEDVIVTY ASHHLVRKALLKAHKYGKKFRVVVVDDAHDRSGTEMAKALQQGGLNVTYCPDLAGSLT VARHSTKTIVAAEAVFSNGSIYARAGTCDVALAAADANSEMIVLCESINFTERMATDS LTYNEIDPELGTETGFRLLFDTTTPPYVSTILSEHGGIRPHMVPGILKRMEFL
SAPIO_CDS8339	MPPRTPILDPRRAGRLALTVVKATALYHVISHYAFSLTPAEGAS MLPTLDVMGQWVLVSMRHRHGRDVHVGDLVTYDIPVSRDWCGLKRVVGMPGDYVALHP PGTAPGAHDDMIQVPAGHCWLVGDNLTVSRDSRDFGPVPLALVKGKVLATLFPFKWIG SGLKKVE
SAPIO_CDS8340	MGVCNSTCCSGRSRDGLYEPVLAEVEREAVADLLQYLENVHFFS GEPLRALSTLVYSDNIDLQRSASLTFAEITERDVTEVDRDTLAPILFLLDSPDIEVQR AASAALGNLAVNPENKVLIVQLGGLTPLIRQMLSPNVEVQCNAVGCITNLATHEANKA KIARSGALGPLTRLAKSRDMRVQRNATGALLNMTHSGENRQQLVSAGAIPVLVQLLTS SDVDVQYYCTTALSNIAVDASNRQRLAASEPKLVQSLVNLMDSNSPKVQCQAALALRN LASDEKYQLDIVRANGLFPLLRLLQSSYLPLILSAVACIRNISIHPLNESPIIEAGFL RPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKRLVLEAGAVQKCKQLVLEVPLSVQ SEMTAAIAVLALSDELKVHLLNLGVFDVLIPLTHSPSIEVQGNSAAALGNLSSKVGDY SIFIRHWKEPNGGIHGYLSRFLQSGDATFQHIAIWTLLQLLESEDKGLIGLVGRSEDI VDLIMAIAERTPENDADFDEDQGEVVTLAQRCLELLGQGMPKAHIEG
SAPIO_CDS8341	MASSGSDPSTPASTMGGRDPSSRTSISTPDSNSRPDTNTSDNSR FLSNLSTPQQPPHLRHDNNDRDRSSRRQTSSLGAHDAPDPRPTTTQSPVASASGSGLS QLPTAALPFPGPGHSLPFVKPSSYLRRKPTASKRHTMAAKPPPMSPLDKEQMQGLRAI REFLKVRTSYDVLPLSFRLIVLDTSLLIKKSLNILIQNNIVSAPLWDSETSTFAGLLT STDYINVIQYYCQFPDEIAQLDQFRKMLKTRARRIPLVDRDDETGRDTVVSVITQYRI LKFIAVNNEHNTVLLKKPVRDIGLGTYKNLATARMNSTVLEAVHMMVENNISCIPIVD EDNRLLNAFEAVDIIPCIRGGFYEDLSSTLGEALCKRSDDSPGIYICTEDDRLDAIFD TIRKSRVHRLVVVDDENRLKGVISLSDVLKYVLLHGEEDDDTA
SAPIO_CDS8342	MAPSQARPTKAHDGLSTIVQQDFRKMPAPTEDSDRPPDPPVHRD FSMISPRNPCHPEHKASPLKQKKHAPGKDAGNKSAPRTSATWATSSVDLRSSLEEDEY GVRVLPVGEFPSSQVRPRASIHSGGPASHPYPTQAPSVTQNPQSRGWLTRFFRTVSGY SRTDDGNAKIRHRRSVSDIALNLVHSKRDSPRNVELHELIRLTGKSLLRLPEGHAPED LIVPTCIRATSQYLIQNAVHVKGVFRIPGSLRVVNALYSYYCYADKDGDRISGTIRSV NLPGHIRFTVHDVASTFKKFLSVLPGGILGSLAVFDAFVAIHSQLRGDREAGRLKHRN VRARMIALVIGTVQSHLRRELICAVFGLLSYLGRSAETATSQDENGNTLPKPDLMGFS ALGIVIGPLLVGDLLSSYSTKLANSSSGLVLSPATPPLSKREKLRKNAEMRNQPQPLT VDKVWVANEVATMIITNWQEAVEHIAMLDALRPAPKTRKPKQVTGSTGNHLCPSMSDP MIVKPPTALCSHDSARYSDSPEPGTPTPTPRLRLAQPGDGESGNSRTLKVKRLRPRQA TPSSLPTPTVSTPIVVSRNIASVPKPHHSSTFSSGKENGGPYQSPTTRSQIQPSSIRP VPQSHPEPFSCIKEPARMDDVNPIGGSWGRRPRTDRRRMTGDIYEFDDASSSSSNRDK LTRQIPQEKGSSRVLREPDQERPAWYPPLGNSNGHGEIMKTPPKTPREGDSGRKHQRL AYEGAEDSDNFRGTIQAQSSTPNYSLRMDQARAYSTCGSTVPSRLNESHGNETDEYGY SAQSTEEEPGAMDYMERFGSQSSEPPERPIEGRTSSPGKSKNLVRTMAQMFEKASQSY SPSQIKTRDSWQDLVASGYATGPTMESRLLESSSIYSTRSSVIDTSMSGLSRKPARRG RKSTSDGSAWKSADSFSGSFVDDGALEYDPSMNEHLCRSSGCCSPLEEDILGSGLPSQ WGVSKEDVYSQSPGTGSKVSLNQATPRPCGNGQSSGHAAQHSLLGEDEETPRVSRPLP LLSAEEKDPISTVHSYKHGNSDHAQLRDVQEKLVEATRERDVWRKRAEEAERKLGFYE ASTGKAPYSMSPEIPRACAISGAPATPVMERRGWRRTSNASESAMRNLHSVCVPGAGV VPAGTGSRLSSRSFSGSRAGSGVADARRNLTPSSNGSGARDTSMGVMDIWMAAQQLLE KEGGEERENEKGDVDQHEH
SAPIO_CDS8343	MSERTSTPRVTAQYLSSYTGQNVIVVGKVLQLRGDSALLDSQGN VTLNLNRDAHLINGNAAQVIGKVNPDLSIKVLTSKDLGDNLGPGNHGSSSPGRSPRQP NHHPPPCRVALVWPAPESESRREGNYGYEEEEEEVGRNRRRRTGSLVTVSTWGRSLST GRSEFTLDNHDGYEEEEDEEGRDEDEEEEEEDEEDEGDEEEIPAPPLPKLKSHQHVMH SDEEEDEEEESQQDTRIRNWFDRTRQDPRPPRPQQADLLPSPPLSPPPSRDIPRWSPI NPAQSSRSISPSTVPPPATTFSLATESSGGRTPRAGGSPIPRRFWERATSHQPAANFD LAVHDSEIIDHLAEGVASLTVAMAMDEAGRWRIKRRSGEENYEVDDI
SAPIO_CDS8345	MGKPGIAKKRKGPSIHSRAARRATSPSIDTDKSLKELNPPSPAR AESRPSVLAAHHGAGVTKKSSKRKAILSSRARRRQEKGADRAEAISERVAAKIEKSVK AGKSVRSRGKTWDEVNGTGVTKTKRKGQSAPKEDLWGTDDEAMGVEEEPLKANVPLQV LEVAAEDEEDEIL
SAPIO_CDS8346	MSNRTSISSKSSAPSLDPTSPPSSSKRKARSTTRLDSAAMGGGD STKRDKFLERNRIAASKCRQRKKEWISGLEETKNGLETQNSHLQMEYHGLLGEVSRMK TQIMAHANCHDPNIDKWIENEARRFVQGQEPTDYQTSVDFAGPGPYPNPGGPSFPMNY EGYPDEETNIVIIFALGRLIWMVLPFMQKPPRVEQPYTKDRSFNLHVLPRAQVQRYHR RKQHCRPSFNTHWIAQKKEIAEVSFAYNRQDVMGLKMTQDFSESQQRLGILKKLREPQ REYQLALVG
SAPIO_CDS8347	MASKGVRSLSEALKALSISSGCSTTRTSLLRSTAAATQSRPFVP TSFSRSLATEAPTPSETSTQSRLTTSTTWNPISTVPVTIHTFPHLEPISLEDWSVKHL YLPLRRDLLHLAVVYEGDNTRQGSASSKTRWEVHGSHRKIRPQKGTGRARQGSKQSPL IRGGGKSHGPHPRDFSTNLPRKVYDKAWRTALSYRYRRGDLVVCEDGMDLPLSEDYHM LVQADYIKGDLKDGYLRKQAKQLMSAHNWGREFGRTLFVTSDPRATLFDAVALAGEDG RALEVEDVDVKDLLTEGRVVVERSALRRMIEEHQSDLVTQVAFSSALPEAAAVSAAAG AE
SAPIO_CDS8348	MSASQVARPLVRGLRQTLVLKPATIRSFSLSSAHLNTSTTTEQA TKPAADDQQFNLDPNTVLGRRAERELAKSGKPPVGSRRRRAALRSSPNVPFEQLPYQC FQEARAIIRADREEKVEAIRSELAKIERLEALDPSKLKGGERMKELRLTSLREHVEKL KILADINDPIVKRKFEDGLGDMNKPIYRYLADQKWRSYDRKVVLQRLSQFHIVPDLLP KFDPVADVQLFFRRVKVPVGTLVNSLVSELPPRLRVQVFDKGERLVSVVVVDADVPDL DADSFTKRCHFMAVNVPLSPDSGSIAFSRIKAPEQLAVPWLPAFSHKGSPYHRLAVFV LEQKPGETLDAEKLRSLYNKGAEGRGFSLKSFRDKFGLSPVGFNLFRTVWDENTAAVM GRAGIEGADLEFRRVRVHSMKPERKPKGWEAKRQGPKYRHLWKYTKRIRGLSSGKKWT KRGN
SAPIO_CDS8349	MLARNAIRLTARRRPPLSRPRIASGNRPSSSFLQCRRYSAASSP ATSPASHVMGAGALAPFVSELDKLAPSFDVKGSDIRILRTPTEFYETLKEKIRGAERR VFLSTLYIGKSEQELIDTLAEALRNNPKLTVSILTDALRGTREAPKASCASLLAPLVE EFGAHRVEIRMYHTPNLTGLRKKHVPKRINEGWGLQHMKLYGVDDEIILSGANLSSDY FTNRQDRYHLVSSKDVTEYFRRIQEGVSSFSFLVAPSTEDPAGFKLTWPSSNGGPSPL SAPSDFVRHATSVLKPLIKPTHPPPAASEKSPVFEIEDTRIYILAQMSQLLRPDISTE LPAITHILRTIARPDYASSSWTFTAGYFNPAPSLTKLLLSTSPGGQNTVITASPYANG FYKSPGVSGLLPGAYTVFLRHFLDAVYASRRTDIQALEWRRGTVGDPGAWTYHAKGLW VSLPRETNPSMTLVGSSNYTKRSYSLDLEVGGLVVTRDEDLKRRLAEEKEWLAEHATV TTRDDLCTSERRVGIKVRIAMLLVKLAGGAL
SAPIO_CDS8350	MSTISRTFRNLRKIGIKDYFVQMLYIGDTKWGRLVGTDLAGNKF FENTDELPLRTRWVEYAKHDYDAAHVDPGWHAWLAYMVDKPPTEDALLQTKAKTAIPN YTATRGAFKTYNT
SAPIO_CDS8351	MLYQLREFTPELQTRARILDFRFLWQSFAPPARQTTALVPRNPK QLQLIRHASTTQSVDATQPDAVLPPTTPYTQLTVGVPRETYPNERRVALNPANVALLL KKGFAKVLVERGAGAQADFPDEAFAAAGATLVDAAGVWKDSDIVLKVRGPSVAEADSV KANQTIISFLQPAQNKDLVEKLASRNATVFAMDMVPRISRAQVFDALSSMANIAGYKA VLEASNNFGRFLTGQVTAAGKIPPCKVLVIGAGVAGLSAIATARRLGAIVRGFDTRPA AREQVQSLGAEFIEVDIEEDGSGAGGYAKEMSKEFIEAEMKLFREQAADVDIVITTAL IPGKPAPKLITKDIVEVMKPGSVIVDLAAEAGGNCEVTQPGQVITHKDVKVIGYTDFP SRLPTQSSTLYSNNITKLLLSMAPKEKEFGIDLTDEVVRGSIVTLNGNILPPAPRPAP PPAPAAAPKEKEAEVVALTPFQKTSREVAALTGGMGSILALGKFTSPLLMGNAFTFAL ASLIGYRVVWGVTPALHSPLMSVTNAISGMVGIGGFFILGGGYFPETIPQAFGALSVL LAFGNIGGGFVITKRMLDMFKRPTDPPEYPWLYAIPAAVFGGGFIAAASTGAAGLVQA GYLVSSVLCIGSLSGLASQATARMGNMLGMLGVGSGVLASLLAVGFSPEVLTQWGVLA TLGTLAGILIGKRITPTDLPQTVAALHSVVGLAAVLTSIGSVMADVTHISTLHLVSAY LGVLIGGITFTGSLVAFFKLAGRMSSRPLTFPGRHLINSGMLTANLATMAAFVTMAPG SPLIAAGALAANTVLSFLKGFTTTAAIGGADMRSGEFKVEGTAVQTNVEDTAEALLNA ENVIIVVGYGMAVAKAQYAISDITRMLRSKGINVRFAIHPVAGRMPGQCNVLLAEASV PYDIVLEMDEINDDFGDTDVTLVIGANDTVNPIALEPGSPIAGMPVLHAWKSKQVIVM KRSLASGYADVPNPMFYMPGTRMLFGDARVSCDAIKSAVESRI
SAPIO_CDS8352	MASINDLAAAAIATSAVQRSSGGRGALIVLEGLDRSGKTTQVKL LEQRFVELGRKVKVMRFPDRSTPVGQMIDEYLKSAIEMDDHAIHLLFSANRWEAAKSI NTLLSDGTTVICDRYYYSGVVYSAAKQNPTLSLHWARAPEVGLPRPDMVLFLDLEEAK ARERGGWGGEVYEKSEMQKRVRDLFWGLSMGTIGTPEGPGGTPQERSAATNTTETRVF RQEEEDLVLVDASPSVEEVAEEIWKKVLPRVEAVDRGEVGRVVRTVA
SAPIO_CDS8353	MYPAGGGYGFPNGPGPGMQHFNNAAPPQHQQPNLHIQQPGQIPQ GQPGPQQMMYNPQQFAAMGGQAPFVPGAPNPAMMSGPGPAGMMQNPAMPHMGGAGQSF QQGAFMNAQFAGGPQQFNPNFMAAQGMAGFPLNPAMLVGQQPTQQQHAAMMQQRMAAG MTPTGTPQRPMSASQNTPNPNMPTPPQQQHTPQPGQQQGQLPQAQAQAQAQAQQLHRQ QQLRLQQQQQQQQQQQQQQHQQQQQHQQQQQQQQQPQPQQQQQPPQQAQGQLQLQQGQ NQQFQTPHPGSQSHTPTTQPQSATTSTPQTPTFPGGQGVGMNPLQIPQSPQFTAVERQ RFSILLDINQELLYESMQLQHTQLELKKELASAGSDGASDVDKKKVEEVSQDFTQCLR RLNANLQYLAALADRKNKLPPCPVIISAPPLNMTLEIKYVPEGSDGVTHVPADPIADR EDRNKYLKELYAKLQALFPGIDPKKEPAFPINARAGNPGQPQPGQMQQKGTMGGQGSP GMGQVQRTPQIANMPAPSAAS
SAPIO_CDS8354	MKATLSIAAALVPLATAATCGLKKFENLVTFGDSYTDEGRLGYF IGHNGEAPPAGELLPTSSNTASGGYTWPHFVSEKSGAKSFNYAVSGATCSNSIVERDF PLIGQPFPSVADYEVPAFKADLEFDELYGNRTADNTVYTLWIGTNDLGFGAFLSDNNK PGTTLTSFVDCVFGVFDSVYETGGRNFVLLNIVPLEQLPLYNLPELGGTLDSQFWQNK TAYNVLEYKNKIHQYSTSVNTMLSYGASANLLVENRWPGASFTIFDVHSLLNDLIANP DELFDAPADVTGYYHHCEAQNNSNCVDSEEASSSFLWYDELHPSARTDEIIADEFLQV IAGTSKYATTHISKKSSSKKLRK
SAPIO_CDS8355	MKSLRSVLLASIAAAPAMAVLPKDLDVNDPGKPDPFSLSLCRIL ERHINFLVEGVASNVASNLMSYYAPENSTVQTIGTFFPFVDFWTAGAVWSSLIEYQHF TGDKSYNEAIIAALTAPDNLGPNKDYHYNESIAFPAGDDVATWGLAALTAAERNFPQP EKDLPSWLTLSQNSFNFLTSLWDNETCGGGIVWQLNPSLSSTGVWKSTLVTGAVLQMA ARLARATGDKDGSLVQWANKIWDWTDEVGFINDEYAVYDGANPELDCSTPSRVQWTHA SGLHILGAAVMANHTGDAKWTERATKLIDHASEVFFRASSKEGGIDPGDILTETACEA GLMCTHSMNAMKGLLASAMWRAAQMVPALDAKVRPLLTASAKAAAAKCTAGKNQDRCQ SYWAMDSLSMVGPGEQLSVLNVVQGLLIAEADAPYAEGQITEVTDPNGSSKPGSGGSG GSDNEDESGAVGKRMSVAVVMGSLMVAVFGLL
SAPIO_CDS8356	MSPFNLAECARPNILALVPYRCARDDYKDDGTNILLDANENAYG PSVAPLASKVDSDLLTARDFLGLHRYPDPHQEALKEKLCALRNTHTHTQKKLKPENLF VGVGSDEAIDAVLRAFCVPAKDRILTCPPTYGMYSVSAQVNDVAIVKAPLLPAPEFAI DVAAVTETLSKEPNIKVVYLCSPGNPTGSVLAKEDIQKILEHPTWNGIVVVDEAYIDF APDGTSLAEWVLEWPNLLVMQTLSKAFGMAGIRLGAAFTSPAIAALLNNLKAPYNISS PTSSLATYAVSEGGLEVMRGNREKLLKQRDRLVEELPKIPGVGRRRGGLDSNFLLYEM LNAKGEPDNEVAQKVYQMLAEAKGVVVRFRGKEHGCLACLRITVGTEEEVTRLLAALR TALEEVRGTAA
SAPIO_CDS8358	MRRFAVCAAFAASVVAQNSTVSLFLPVDPLDPGTSLSASVVNVD SHSHTTYEITCEQCEGATITAIDGPDTAQFAIHIGPETPRPREYLKTMDVTCRLVEED IGSCTVSIVYVEESATKSFNSAMETEAWYAPLTITAGVEKLSATATQTGHDQNTAAPT TRAMRPSLGSLGIPCLLRPPLLLEARC
SAPIO_CDS8361	MASHVPPDIDGLRGIAAFVVVWHHSSLLYFSWAIHHPWNEHETK VFVQLPIIRLFLAGLPAVCIFFVISGYAISLRLLKLSHLGRSTEFAHSLASSLFRRHP RLFMPATFVLLMSAIMSYLGLFPTESWSKAVAQGTRVPPHPDTFYGQLSDWAKHSMSL AYPIRNTINSRDINPYDPNLWTLPVEFGTSMMVFTLLAVTHRFRPFVRMAFNFILVAY FFYRTETNVFLFLCGMSLADIRTYLTLRNEARSELPRRSDDIDDDDNGIRRKKPRRYI FGNRWAQFYHSSLTRRIAAIFGVLFCMYALSIPEFGKTLGEDPFFKPLLGLVPHFYRA THFWIPLGAVGLVAIVDYNSFLQAVFNSRVAQYLGKISFALYLIHGPLIWSYGAWLAP HFIPTAEDVSTARYGFGVALCYLLWWPVAIYEADLVTRLVDVKSVAFARWVYNKLIVS S
SAPIO_CDS8362	MECLQDRLPAVSAAQALEDLNDDPSQCVSTGLCKLDRALSATPE SLRRLRTGDDNVHPAAPSGVHRGQVTEIWGPPGVGKTAMGIQIASSALSDGRGVVWVD VAEAVHSRERSGNKNSGRGISDERLGQNNGDDWPFVHYTCASLAHFIALLCRPVTKSL PANTAVVVVDSLSALLNETFPKAPDGRKGFKSGKGSGLSPRRLQTLQYVIGALQKLAA TRNCAVVLLSQCATKLQSHNRGAALVPSIGASVWDQGIPTRLVLFRDWMWKDGAPTNT CFVGIQRLAGKNLPNPVRRVAAFRIESSGLTEIDYDEADQKRILRLGDAKPQMKRKLG VTRLEVPDSDEDYGWDSDDDAHLPPEPPQWQGSEDLIIGTQRSLDGEDTEDDADEEAD QSPDRLGATAEDTRQ
SAPIO_CDS8363	MSTETKGSRGRGSSAEFGRSRQALKVPPYFVCSRAVPALENEPT SLSNLSRSAIVVVTMASSTNLKPNGPVPNGRQTSDAPTELPRPYKCTMCDKAFHRLEH QTRHIRTHTGEKPHACQFPGCSKKFSRSDELTRHSRIHNNANSKRGNRAHQQLQADSM LPPPPPAPRAIRSAPTSTLASPNVSPPQSYSSYSVPASHAYPGDVALFARDTLAPHMY RTQHYPSRGLPTYPNAHPTRTFGDYDPFDSHYRNPRQAKRSRPNSPMSTAPSSPTFSH DSLSPTPDHTPIATPAHSPRLRPYINAALDLPPITTLRNLSLHPQQKAPILPPMEPQV EAPAQPVVPALKGTRSAGISLADIINRPDFPRQLPMP
SAPIO_CDS8364	MKLATLPVAVRHVPILANPASLSALLPRYYVTQTNPNKSTPPTS KRRAVTPFNDDGRVNWADLSAGEKAARATQQTFNFGFILAGLGLTGAVVYLLFTEVFS PDSRVANFSRAVDLIKKDPACQAALGDPKKILAHGEETYNRWKRSRPIASTIAQDRYG VEHLKMHFYLEGPLNQGVAHLHMTRHPKQGDGDFEYRYLFVDVKGHQRIYVINNEEEK NASGAPGRKTRFLGINWG
SAPIO_CDS8365	MAALASKQESIKIFEKLKSKPANKVCFDCGQNNPTWTSVPFGIY LCLDCSANHRNLGVHISFVRSTNLDQWQWDQLRLMKVGGNESATKFFQQNGGTAALKS KDPKTKYGSNVASKYKDELKRRAARDAAEYPNEVVITDGDTQGSSTPAGEPEDDFFSS WDKPAIKRPTPPISRTATPPVIGRTASPLSVGSNGKDSSRSSSGLAKPSETKPAASRI THSAALRKTAGAGPKKANILGAKKVTTKLGAKKLTGDIIDFDEAEKRAKEEAERIEKL GYDPDAEAEVDTGKAAKTEASNVLSPTPVSPNRGGFGATAAPAKSSAEVERLGMGVAR LGFGQVGAGKAASAAPKKAMGGFGSVGPIKSAATDDEPDYARKKFGNQKGISSDEFFG KGAYDPTMQAEAKTRLQGFEGATAISSNAYFGRPEAEPQEDYGDLESAAKDFVRKFGI TAADDIDNLTAALGEGATRLQGAIRAYLGN
SAPIO_CDS8366	MASPQQIRTPATELFGIKHPVLLAGMNVAAGPRLAAAVTNAGGL GVIGGVGYTPNMLREQIAELKEHLNDKNAPFGVDLLLPQVGGNARKTNRDYTNGKLDE LVDIIIESGAKLFVSAVGVPPRSVVDRLHKAGVVYMNMIGHPKHVKKCLELGVDIICA QGGEGGGHTGDIPTTVLIPAVVELVKGKTSPLTGKPVQVIAAGGIHNGQLLAASLMMG ASAVWVGTRFILTDEAGAPKAHKEAVRTAGFDDNIRTIIFTGRPMRVRNNAYINEWET ERQNEIRELTSKGIVPFEHDIDRLSSGKAAIPKSITEILNKSGEDSEIDDVIDEFRPY LMGKAAAVVNENKSAKAVVDEFVNDAAAWIRSGNKMLAKL
SAPIO_CDS8367	MRPSTLTLTLKRAPLTRFISPSSRSLLSTLSSRQSHHPRPICAA SQANRALRLTSSPRAVTRRCHSTCCGGCGSHKQPDDRKKKAYIALGSNVGDRVAMIEE ACREMERRGLRVTRTSSLWETDPMYVLDQDKFINGACEVETDLEPLALLDELQAIEKH LGREKKVEKGPRAIDLDILLYDNQKIQHERLWIPHAGILEREFVLRPLSELIPGQPID HARPWKVTQDYLNDLPPSNPPLSPLTPLSPHLPPILSFKPDRPTHVMSILNITPDSFS DGGINSPENLVESLLHHARTGATMIDVGGQSTSPGTPEVSLEEELGRVLPVIRLIRKF PATAHMTISVDTYRAEVAEQAVAAGADIINDVSAGLLDDAMFPTMARLGKTVCLMHMR GTPQSMSRLTDYSPAGLIPTIAAELLARVEAAQEAGVRRWRIMLDPGIGFAKDTEQNL EILRRLDDLRRWPGLEGLPWLVGSSRKGFVGKITGVTEPRERIWGTAATVAAAVQGGA DIVRVHDVGEMSQVVKMSDAIWRVNDSEAFQSEV
SAPIO_CDS8370	MATRVNGHAAAHSGEDSSKAGRPSFSWLQPHAIFVVVMVGLDEI PFGLQKDLLCAVSSYFRRQFENTPENSVEHVVKIPEATASVFGLAQNFIYTQEVWPDD GSVPSYEDLFALWQLGIKYEVEGLCSKTLECMEEVKQRTRHIPGASLISKVWKETDEG TQIRRLFLQWAEEYFQSSDAPAEFAKSLPQEFLCELVVEMSAISAPAPVGAAGDGSGN SAAGTDGPASLGTVRNALSSAAAGSKRNAHHLEEEGGSEDENARSSKKNRRASGPATI PLPQSSRSTGGAGTGGAPRRSLPGSAKNPAANRRVSSAVVSDSSFSDAQKVDFCSDLL SRMLSGPGYWTRLVKPFRQPVDPIVDGVPDYLEKIEKPMDLMTIKSKMDRQMYSAAGE FAEDVRLIVENCKTYWKEGHPLYSEAEKFGKSFEEKFAEMPKWLAKMHAAEQGSAS
SAPIO_CDS8372	MTSMQIDDSLSGAKRKAEDSGDGQRPPRRIKALAESVVNKIAAG EIIIAPVNALKELMENSVDAGATSLEILVKDGGLKLLQITDNGSGIEKDDLAILCERH TTSKLSAFEDLREITTYGFRGEALASISQIAHLSVTTKTKDSPVAWQAKYLEGKMVPQ KPGMPAEPKSTAGRQGTQIKVEDLFFNIPIRRKAFRSPGEEFAKIMDMVGRYAIHCDK VAFSCKKAGDASVGLSVSGNATTVDRIRQIHGSDVANELIEFTSADPRWGFKATGYAT NANYSHKKMTLLLFVNHRCVESGDIKKSLEEMYRNFLPKNGHPFVYLSLEINPHSVDV NVHPTKRHVKFEHEDEIVRTICEDISSKLAAVDKSRTFMTQTLLPGVKVTTISPQPDG GDATPTRQTPGLSGSKRRRNSNELVRTDTSVRKITTMFPSASAVATDRTGDNQAGRDA KDTLTASFPEDISYETVDRQPVTCRLTSVKELRAEVRDEMHHELTDIFSNHSFIGIVD ERRRLAAIQGGIKLYLIDYGHACYEYFYQLGLTDFGNFGTIRFTPPLDLRTTLRMAAE TEKKTDPTGQNDFDVDALVGKATEQLVERREMLSEYFSLEVSPAGELISIPLLLKGYT PPLVKLPRFLLRLGPAVNWLEEKPCFESFLRELATFYVPEQLPQLPGDDESAKEEDVD EGIKERRRNVRWAVEHILFPAFKARLVATKGLMNSGVMEVADLKGLYRHDLYDAEDAT GCCAPIRWEDLRKPVDVPALGERGYSGTATFWASPFLGPLLFQNESSDARDHCANERT FLSYLRLSVYMAIVSNAIVLSFHLKTQPSTIELRMAKPLGIVFWFLSLCCLSLGLGNY ITTVNKYSRRAALVQTGWKTQIVLGIVSVCIVGTCVVLLVVTKVSGGHASSYRQLLSW VQPTL
SAPIO_CDS8373	MAPQWRRWFFAPTDEEMGKKDDDRKPSSRSTWVPTSRLTPRRSV ARRLVPLLAIIAIFYLCMDWIRPQPSVPKEYNPIFPKYDGSSASSGNRPWANLYPPAR SPPKSPPNQEKGRSRSGQPPARKFNGDFTLVPLARSLREISITGGNHKYNRNVLFVSS SAKSAAALLPLACEMARERRSYVHYAIMNRSEKPLRELLRLNGVDESCGILFHDARPD RAAESADLRVKMASERAFFYLETYIHPQAVIVDGTDTEEATFLEALHAYFEKSEQSII ELHHNGAQRLGWLAKLDSASLSAWSKVNFEIVIHAPMKGSGSLIRLLKSLSDADLTPF PTPRLTLELPHEIEEATSAFLEGFLWPTRRGSATSTPQMLSLRRRLPLHRMDEQKSVE RFLESTWPKAPEFSHVLVLSTQAELSRHFAHYLKYALLEYRYSAAARRQRWDERLVGI SLDFPSTYLNASDPFALPNLASDSKLSSQDETPFLWQAPSSNAMLILGDKWAELHNFV AYSQEALQTSPKPSPLLSEKLVSKAFPAWVERLLQLSRLRGYFTLYPSAETASALAVI HEDLAQLPEEYSADKDAAGLDAQKTQAQRRKEPALVVSHSALDALRTLPDGGVLPPFG ELPLLGWEGERRSLEELDDEAKGYASDFRRDVGRCTGKDVERVRADTSAKDLFCWVK
SAPIO_CDS8374	MGKARRNRVRVRDNADPLAAPSKPPSDPELAALREQKILPVLAE LRNSDPRARATAANAIFGLLGDDKCRRLLLREQLLRLLLKESLADSNPEVRATGWDII KSVAEKEDSGFCIHLYRQEILPAIEFAVGTLSETLESTEVPFERTTKAQQAIVWKIAT SICSLLGALGEAQDDILEAITNNKNITNFVLSLLAREIIDADTLDECLSCAMTLTEDN PPLAEALASSPAFDLLTRLKNLDGSTSVLVCGVLHNVFAALEWNDNSPGKGGATDEIL IRRLARTIQDYKPGNAANRTGEWVAPDEIASLALEILASIASGVQDTLTGGKLAGPSK GKGRGRGRGKPRGHRGAQEDEEMGDDVMDDDDDMPSDIDESDSEMDDDEMAEDMDLVT GADEEDSGIDDLPTLVSFLDKAFPQVLRLASPSSQSHPSPEIQVHAVSVLNNLSWSLA CVEFAEGQSEGLFKVWAPHAKEIWDRVVNETLDSDTNDLDLATEVTSLAWAVAKALGG KLAIGEGQHQKFISLYQATKKMASTVENGQPAPTDTQDPFQSLGVKCIGALGQLARDP APLPLNRDIGTFLLTTISFLPETAPAEVVEALNQVFDIYGDEELACDEVFWKDNFLKH LEEALPKTRKMLKGIHKNEPRLKELRDRAEEVVMNLERFVQYKKKHRPS
SAPIO_CDS8375	MGEDEKDALDALESEAKEWEKDAEIERILNAFRLDAYAVLDLNP GVPESDIKVTYRKKSLLIHPDKTRNPRAPDAFDRLRKAQTELMDEKHRARLDESIADA RMLLIRENKWTVDSEELKTPEFAKMWRAKAREVLIEDEHRRRRLMKAMMQEEGREQKR QEEEVEERKRKRQHEQDWEATRDQRIDSWRQFQKGKSGGEKKKKKMKPIG
SAPIO_CDS8376	MVRRAASPALSENEVDIGGALFAEDLDDSLKLDKSAEQDGFGFD ADGIFNGGDGSDDDDEAFIALQQAASFRKASNLKGKSVKKGGGFQAMGANLRLNGNIL RAIARKGFTVPTPIQRKTIPLILSRKDVVGMARTGSGKTAAFVIPMIERLKSHSVKVG ARALIMSPSRELAIQTLKVVKELGRGTDLKTVLLVGGDSLEEQFGLMTTNPDIVIATP GRFLHLKVEMGLDLSSVKYVVFDEADRLFEMGFATQLTEILHALPPSRQTLLFSATLP ASLVEFAHAGLQDPELVRLDAETKISPDLHNAFFSIKAADKEGALLYILHDVIKMPLG LPEGVRGFEMGPSKKRKRGGDQGGSGKPTEHSTIVFAATKHRVEYLASLLEHAGFAVS FVFGSLDQEARRIQVEDFRRGRTNILVVTDVAARGIDIPVLQNVINYDFPPQPKIFVH RVGRTARAGQQGWSYSLVRDVDAPYLVDLQLFLGRKLVVGQDASASFTQDVVVGALPR ADMEQHVEWLDKVLRESVDMASIRRVADKAEKLYMKTRNSAASESAKRARELVGSEAW SQLNMKFGIRPDEEAYSKMLASISSFKPSETIFEIGRGAKSVSNEAAEVMRQMRKRAG PRRSKKEEKDDVDEDDKDHDGEEMDVDEKDDDSEEDEGPAATGYNSDSSDDLEVTIST SKQEKKNRSQTTSFQDPEIFMSYRPRTTNMDAERAYGVHSGSQINGPGNSNGANFVLA ARDAAMDLTNDETAKSFGVPARRGMMRWDKKSKKYVSRLNDSDGSKGARMIVGESGVK IAASFQSGRFEKWKKAHRLAGLPRVGDAEKTSLVRGVGDANSGGGGDGRRFKHRQEKA PKEADKYRDDYEVRKKRVEEAREKRIGRFKDGGGSKREIKGLDGIRKARKEKERRRQK NARPAKKK
SAPIO_CDS8378	MGFHFPTLTKKHRLSVTIGISFSFFLLELIFGIRTGSLALIADA FHYLNDLVGFAVALGAIMISERSTTPKAFSFGWQRGQLLGGFFNGVFLLALGISIFLQ SIERFVDLKEVEDPMTVLILGSVGLFLNIISLLFLHDHDHGHGHGHSHSHSHDHTHAH DDERLPEGTGVAPHDHHHGSDDSDEQSVERHTEHRHATVDLKGPGYDLGMLGVVLHVI GDAINNIGVMIAALVIWKSKDEARFYADPAVSLFIAIMIFASAFPLVKNSGSILLQTA PLGVNMDDIRHDLEKIPGIESIHELHVWRLNERKAIASVHVVVSDESVPSFIMTARHI NECLHAYGIHSATLQPELSSASQPTPPVVPDQSLDTQDGAISSAVETRVSIEDKEGKS KVVQRASSIKECQLICTKLCEGDQARNSGHAAITPGPDKQCSTQALIREGTGNAAPFK AASGPKQRLVSQKNSRNRLSPQQAVEFSPTPLQETTSGTGVKQVMGFLPKTASCVVDT MH
SAPIO_CDS8379	MSRNAPPTPSGRRSGRTPHIRQFSRASVDRGSTDAPRSPDTAST QSTARTESAACRKRKLERKCTVTVNDSYSRDEVLLNLDHLGSDIKPGTLVSISVLKPE PDKTSNPQGKQVSTDHGRASKSSPAREAECDPSKHRYVFYAKDMSKEQKTRHPHIEVY VAKHIAGNFGMKSGTPVILAPVDENNPAVEASHVELSFRDQYLSRADMWRMTVGELTE RTVYKGESILFMGSIKAQVTAVFVDGHKVHSAFFSRNTRPIFRSESARYVLFIQMSRE MWDFDSDGSGEIMFNKVVNGFLPAVFKKWALLKVRHLVTIVLFARVEYDTGLSDDLVV SATDGDYYTGVQPSGMKRPYKDFYRVVVNEMTSIEWATILKQLKKEFNVFRRDISLHH QRPDSAFVSHTKDSSGKIIPPSHVKSEPCLAMYGNFLEAISLASSQFANDYIDRDLVR TGISIVVISPGPGVFEVDYEALRRTTESLVGNGIGIDLICVPKIPLHSVPLFKYRNPH YAEMEKERWPHSRSSTPRQGGTPIAGSYQSFGGSFSPSKGLDLIHRSDYFTTHRQADE WCYAVPQWLHVSYWTGTSGASGDSLSYQGIALSVLDAGREEKNNEDFPIRCRMYDLQM RSVLETNEIETVPLMSDPFFPSNGVTEGGGTQRPRQTGTDEVAHIPLQRAPDTLFDHV YGFIKFVPDRMLKPGERSIWKQLQEFDNSRAKLPSSRRVIHHHRNSRELDDSARRQLV EDSGLFGTSLPERKPATSKSKAASRKLSVNLGEKSKVTTSLLSPAKSTSGVSSTTPVV APAQPPTSPTKSQKLMRHISLGNRGFGIAAPKASVAEVHIETVSASSSSSRPELHSSA SSQTTSNHPSLRGRPASPRSIGKRPAPFPAQAFLGAPMESTVGAMPSTPSIPIIKKTS HTAGPLEPATQQKPDWATTSPLARKSRRNEDRDAKFSSVLRAEDAQKVYNSKLRAGAL PDFPPTLSPASAILPWLTVLNPSNPDTHKVDDTMLYSRWQHVFPRTSKMKMMKWKALC CPAAVPLTTEYFPTKAQFEKDYECKPYNIAQNEDDDLVDEPKSREDFLRELVSLRLAQ GFQVIVGPAVAKAFGQKLLKVADIFTRDQKLEDGASVFMSVGNTIHQLSCVNGSEVEV NIYSRKPPATVAHSAASPAMYKPAIRTILDTVYRTRELDLATPRPERDWNYIDSYLAG HTDEMTENLRFWRARFVLIPVELRASLLPRIHEEDSPEEIRLEGIRRLAQFWQKHRYV APSERRYQSMAPRRRRDPNPLDIVFKTEDPSVVIAAELETLPLIEGLEGVPRRGQLLS QRERFRRSSLNISALAEAMQQPVESGGVRMQNRRWHLRLHNNCFIGSDMTTWLLDNFE DLESREEAEALGKMLMVSDEESSKAKDKEKDSSSSKERKEKSSGLFVHVEKRHDFRDG QYFYQISEEYAKAPAISSSWFNTKRRDYTAPSTPAIETPIRDSPRVTRTTAMHDEPQS LPASAASTPLMTPIAGGKQRDKPRVMLSKVMKYDVDRWKRSYRPERINLHYDRLHNPD ACYHIRIEWMNVTAKLIEDAVESWAREAAAFGLRLVEVPIKEACTIMNINPFKRPYLV KLGVPPPAHCPDEYQDPAAHPSQAITCKFYYQKAILRKFDFVLDYESASNFPSNVDVR YSWGYPDFKYCQYIHRSGVLLAEITSEGDFLLMNNSLCNNRGIYNREKDMKDAPTSTA TNNSNGGGGGGSANRMMSSIGSYIPEPIAPPASPLLKAAATSHVNSPLIRPTPAGADM GAGATASITKSADPEAIKDELDHFCRDATRLEAFYKETLEKGPQTAQTPRIIGPITAN PTSAGSHNAPAVFGPGGWLEVVPEANIPTLGLPPGVLREVSPSRTGAGPPPVGLGLAA LRRKSVQDGIGLGGWTGKQIKRDKPQPLPSFQVPSF
SAPIO_CDS8380	MANAYSGQKNGLSGGPGGHDSRNQLTRPFTLQEALPYSPQTSIL PFTPDLIPDPIIGSGSLGAGLTDLFTRDEFDNVNRSGNAQSSNKKHIKQVADVLLHEI KPKERTDYKFKPIPRVPGVIGAERNAAEGLSPLARAIFDRVSNHFTYARQDASPSVMN GRPQSSSRKASSSKSKVKVETPTATPTITPNKAANAQSFNQNRAKIEVAIPLKKPINI NDYIEIDDLGAPSQLEQQPTSIDPAKLQATPQPQTQPEPTISHVPDTSTVNPSDLQIH PPPPPITPIKPPPTPMPMAPSQSPVSATVEKPSITVELGAPILDKDEYIEVPDSPDAP THLSTKKRKRDDGLDGEGLIGASLDQRQRADAALQDLMKITREVFGAVGSAISGDVGV GHLVVLNESNEPTLTAYIHQKAQAAIQKVIGLGVYDQVPIEDLVRYQKLSEGSIKDVD ALELKIDDTWGEPEIASWVQRIPDIETALKAARTALRIMSGGREDKRLYSEETIQQCI DLFKRIMDGIVVPMVELRNSGPPGVLFKLLLPHKKAIAPIFTNSQRLFSLLATLVTNI ELSESVINTLEFLATRLIFVENAHYEKDSVIGVQRFDGLRLVAMDVLCQIFLMNPGQR QGIFDEILTSLEKLPVGKQSARHFKLADGGSIQPVSALIMRLVQSSAGKVDEAKERRR SALQDMDEDGEDSDTPKKSASGGRIMYTVKSEEDGCEQFDTAVKELQDAVTPLVETSK RNAGYVVGFIVNRALKSTKSGDTPYRNLLDLFVEDFTTCLDSPDWPGAELLLRFMMVR MVQLSEGEKTAAPAKNMALDVLGTMGAAISRLRSTVRKAASTLDGGDSDDLGRFLSEL AMTALEQRARVEYAVSWSGPYRVALEHLEEKCANDHHLQSAISLVTCDWANKICAGYN SLEGDDEERDREFGRLAYRLRMMLDDRQWMSKEYTFKTVSATHSRLAYAVVLLRSQLC ESFNAILNIVLGAMASDQATVRSKSLKSVNQVLETDPAILDGDSVVIHLILQCASDSS PQVRDSALGLIGKCMSMRPHLEEQLTDRVVDRFMDAGIGVRKRAMKLARDIYLRNKNR AIRVAIASGLLLRVQDPDESVRDLARQMIEEIWFAPFYYHSEDTPAFQASLTDHVNLM IQVSKAGHASAVLDKVLQSVLGPQTKPAEGPFAVCTKLVATMFELINSLDSDDPAVPS GRDALQVLMTFAKAEPKLFTFEQIKLLKPHLSNFTTMEDLHVFRAAVVIYRRVLPQLP AVHTQFFMEVRTQLLASIAKISKVLLDDVVACVWIVCGVLNTITPLARLSLSSLVGIQ KLRQVPLSAQNIKVFQRYSIIVGMIGKHCDLDSQADVFKERFPKWKGKSVPGLMVDCL LPFATPDRPEDARKAALDAVGLICQAWPRTYVSPNVYTTFQQVFDEKIPALEDMILSS FKEFLLNEERRSEAATAAAAAGNSEGEKKRELTVMGGTNYDDVASATTQRFLKELTRI SLATQDDHAFLAVEVLGSINRQGLVHPKETGVTLITLQTSSNPKISELAYQEYKSLHE KHETVLEREYAKAVQSAFAYQRDIVKDLRGATTNPFQSKLHLLTEILKISKSRNRQKF LEKLCQQVDFDATKLDVRQNPPYHVAFSRFIIENLAFFEYMTIGEVLTTVTAMEKTVT GTGTSIAHIIETEVFNVRMDVDQPPPPRANQQPGVGDQMDCAPLKPALPKLNIDQRKF RQLTSGAIILLALWEARTYLRRLYGLGTQRRDPRAKAAAKDMAKSPVKVQGITGDKFW EEVASHMTGLDSQEKMIEKCRAFVDLLNVDHEFKVADEDDDMDGDMAGTPSEEEDEGA PPERGRKRKGTGTPGGRKKRARSTSRPRPRGRPRKQSMDTDAAGDADQSWF
SAPIO_CDS8381	MSAIGGIVFCVGCGNLLPESMGSKKNILKCECCGLESKDIAQIK TESSTTPNDFPSQLRQKLHTSIQAVERHKVDTMAKTEEKCPKCGAREVRYTTLQLRSA DEGSTVLFFCDCGHKWNQDN
SAPIO_CDS8382	MSPSSDITIASLRESLCSEDTHLPVRFRALFSLKHLAKHSEGPK ALEAIDAIAAALSSPSALLKHELAYCLGQTGNLAAATSLRETLEDLQEDPMVRHEAAE ALGALGDQESLDLLRRFRDREGESVAVKETCEIAIDRIEWENSAERKAEKLKQSDFAS VDPAPPLPETEESVEQLGKTLMDTSKPLFLRYRAMFALRDLASPPSLPTAVPAVKALA EGFKDTSALFRHEIAFVFGQLSHPASIPALTDALSNPNEASMVRHEAAEALGSLGDEE GVEDTLKKFLDDPERVVRESVIVALDMAEYERSNTVEYALIPETTPASA
SAPIO_CDS8384	MSSEARLYTFSQETKDHLRKFRLGTSRANDPQAVIYYIDKSTHE IRQDQDKVVYKSLEEIADDLPDHAPRFVLLSYPLTLPSGRLSVPYVLLYYLPITCNAE LRMLYAGAKELMRNTSEAGRVIDIESIEDLDEIPKKLAVE
SAPIO_CDS8385	MISRLSQVARHLSTSPTSLLRTGHQASSILSRRMASADERNARA IHTAACLIIGDEVLGGKTVDTNSAYLAKWCFSLGIVIADDESEIIEAVRRMSDNYDFV VTRHDDITYQSIGKAFGLPLCLHQQAYERMRKISVPHPSQPNFNWEDDTPAKRARLRM VQLPIDEKRDVDKQVIFPHDDLWVPVTVVNGNIHILPGVPKLFQKLLDGLGPFVLPRL AGEGKGIFRVIISTPLAESLVADYLTTLAARVEPQGVKVGSYPRWGEAHNTVTLVGRD KDFLESLVAEVEENVQGKRIESEDVLDAEQKKNTADLS
SAPIO_CDS8386	MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKRCVIDDELALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSKQSFEEITLFQ QQILRVKDKDYFPMVVVGNKCDLESEREVSRQDGESLARSFNCKFIETSAKSRINVDK AFYDLVREIRRYNREMQGYSTGSGGISGINGPPKPMDIDNGEQEAGCCSKCVLM
SAPIO_CDS8388	MSDLIGSAALLSKIDQLRELNVGSMVPLPQMVVVGDQSSGKSSL LESLTNIPFPTDAELCTRYATQITSRRDDESRVDISLLPGPSATIERKKKLAEFKRPS LTPEEFRAKFPELLREVNACLGIRTDINNESNSTGTVFTDDVLKIEIRSPKEDYLTII DVPGIFRNPQGVTTKSDIEMVRKMVENYIKDRRTIILAVLPSNVDIATQEILSLAEDH DPKGERTLGVLTKPDIVKERSGKNVVCNLVLGKKKPLTLGYYVVCNRGADDDDVYKTP EQRELMFNEAPWNTLPRERVGIAALKAQLTQLLSEITKREFIPLRQEVIELQRKNEHD LGALGSPRETETEQRTYLSSIAGKFQTLLTSAVMGHYVQDDAFDKNPELRLSTIIVNR ADDLVTEFGEKGHEWKFATKKNQTKLEDVKESSASLVAAMIPQDLNGVIGSDFSVISN SSEDTNASSFLEDDGLSDFLHSLPDFNNPQIGIEDWIKDIYKRNRGPELCSFGGSILC SAFKEQSSRWEDFAKAYVSDCIGAIHAFIRRALNLLCPSGLDDEIWSFLADEVIERYK ASLEKAVFLTNVERNLKPYTLNHDYSSEVNKARSIRLGEALRSRSRASPHSENVITID LSSIDQFSDQKASIDHFKDEIHDALESYYKVAAERFLDNLYMQAVDYCLMNGNDTPLK VFSQEWVIGLSSDQLEMLVGDSHKTRAQRDTLKRRREDLAKAMKILRG
SAPIO_CDS8389	MPRPRAWAAFGALAGLLTVATATAEPDFEDDRRPLLQRTEPVNI TLDVGSTDTGIGVEGSVEVGGIVGIDLSLGLPYICKACDKLCGGGGHGGHPPQVSTTT TTVSQIVTYTVTTTEYAASVVPTTVHDTVTVGSDRYSTVEVTKSVPYTVTSYKSCIPS ATAYAYYLAEISEFTVEDDEYCRTVTETLHNTVTNTQTVVDSKTATATVVVPTTEFWS TTEYSTLTITTSIPYTVVDSTTLYSTLTTTEVLTQVSSYPVTVEKPTTIVEPTTVLVP TTDYWSTTEYSTKTITTSIPYTVVDSTTLYSTITTTEVLTEVSSYPVTVKEPTSIFIP TTQYSTETITTSIPYIVVDSTTLYSTITTERIVVEVSSYPVTVERSVTVDKPTTVEVP TTFFVPTTVIETLTIPTSYPVTITIGETVITTVITTELSTVVTTVISSVPVTVTQPGP PGPTVTVPGPTVTLPGAGPTTLTITEASVCPAPTNLASPGSPPPAELDRHSDLTWGCR PGYICSPPKPGGCNLWADSPADNFVCEPQYCIPAPPVNKVHWPENDTDWYPPTDGYFN LDPRAFGLSFDIFEPPEVIVTKIHGKKTTITTGNYESQSTITHYPPPQETSPPTSYSK EHPHYIRRSLVKRDGTIYPEVCFADCNNAYLESTKTGKTDALCRQGSQFRLELATCNA CVEDNSGGVKDSGRTYLDDKFKQFINYCEGDAGTPIQSSSPAGPEPIVTGSQPDVDTR TQDQINTNTAPVPITPSSGGGGASPSPSQGGGSEAGPAPTATSGSEVTGVTTADAISP GESGATSAPGEPSGSAEPSAGTGTTVSTRTGTDGVGGTATPPTVTQALGVSLVPGLVS FILPVISGLIFFFV
SAPIO_CDS8390	MLTTKRIGPVITDNPKGLVLTLNLTQPFSLSQNLTQLFNTLPKA STSASTPNYVDGDLLGNDAQFFTYGGLTTKSDAFPDPDADDVLEYQAYPYNSNDAPSF TAGFINEKLPDDVTRYLAYGAGVSAPSENKAWYFSGLRSPSSGVIYGSFSIEAAVPSN ISDTLVTLTFDHQAQNIEKWENTTLPDSVAGRASASGVFVPVGKNGILVFVGGVTFPE FASRRHKSENPAALTSESQAFIKTIDIYDIGSNKWYKQETSSSQIPPVTAQGCAVVQK AKDLSSFNIYYYGGYTAEDQVNNFSDDVWILSLPSFKWIKAKAGTEAHARAGHRCVAP YPDQMMVFGGFTPKPGTARDCLQGGVIQLFNLTSLEWLDSYSPATFGEYGVPEVVQKE IGGNFQGGATAKSPAASGGWGDEGLKSLFETAYPTERIKTWYPYALVEPSSRPGVGIG DGSGGGKRGGGLPGWVAPTLGAVLGLIAVVVVAIGIIIWRRRKILRAGSRSGSVVGSG KKWYGFEKYRRPS
SAPIO_CDS8392	MPGFNGRIANLIRARDTLTPNRLTFVRTKTTMAADSESQVAPEQ PGPPVGTRRSAIGPLFPMGYKDAAYQWWNSTTPLAAERNVLSFIPYLREASEFFATGA GNGDIFDPFGTRVWKTELVQLSGKNRALNEYSVERVGEEVKETLVMVHGYGAGLGFFY KNYEPLTRIPGWRLYSLDLLGMGNSARPPFKIRASDPKERVTEAESWFIDALEEWRIQ RKIERFTLMGHSLGGYLAVAYALKYPGRLKKLILASPAGIPENPYAVSEKLPEPSEST MASEFTEDQETVTQGHAKISQSDNKRAPSVASQTSTTTNAPARKLPPWFVWLWDANFS PFTLVRMTGPLGPRFVSGWSSRRFNHLPPEEAQALHDYTFSIFRQRGSGEYALPHLLA PGAYARDPVVNKIHMVGRMVISQTETEIIRETGIPIIMLYGENDWMDVAGGFAAEQKL KEARIKALLHGTDEEKRRENGRASVLVVSKAGHHLYLDNADEFNEIMRKEMEETMAMK I
SAPIO_CDS8394	MPTVATPITPPYDDYGRRRSSAALPTPENFDVTTTSKPRPPIIL SPPPTPKAASIRKNNAARLSQRLRSNSGLSHHTNEDALRQYTDYNPDGSPRSPRSPLF APVNWQGGSSGEKLSRKGYRRSLLADYGAPESALPIPDYFGQEVFHMVMNNPSAAHRL LKYAQMKGCGENMGYLLKVQEYSRSVDQLTTLLATISTNFTMVSAASPIHLPGPLSKS LNTDIKHVTGSILPGLENLFLESKTCIEQRLVRDVYPSFVKHQLSLATSRALVSDKCL REAEFPGLGGAFCITDVALEGAPIEFVSDEFLRLTGRRREQVLHRNTSFLQGPLTDLA GVFRIREVLSRDEECIELLINHLDDGTPFWNFSYICPIPAPGGKVRYYLHGYINVSNC IRNSDDIIQIINSNPVPSDTASERSVERRGPYDRRGSTSQESDYEGARGRGENVSRSK STRKPFFRSFRKNSETSTSSQQTQNQQLQRPDTAYSTINGNQVDGALPPLTENLVSDP PRGLPSTPYSRFILLKNTPGFTSPKLAITFATQAALDLLNLGLAAEAIHNKDIFTVLA EQANCVSITKAFRTSVRETVLLDGRQAVADIRVAAPAAPRKGNLMGGLGWGSEDQGSV AGARRTVLSTFWTPLKDGEGKPAWVMLVLLPSL
SAPIO_CDS8397	MAVDKKIQLVRIAFAAYKHKNIDAARAFYEDFGLAETARVGNRT YYRGYGSEPVTIVAEEGDEDEFLGPAFVVESLDDLEHASKTLPQATEIYELKDAPGGG KCVTFRDPVDNWQFHLVYGQTPAEAQPPDFPDLKVNYPLVKNRGVNEKQRFKKRPAPV HKIGHFGVCVTDFAKAYEFYTTHFNFFPSELVYAPNGKDITVFYRLNRGKELVDHHVF FFFEGPKYHVHHSSFETHDFDAQVLGHDWLRHKGYKNCWGVGRHIMGSQIFDYWFDPS GFIMEHYVDGDLLDDTEPTHRNPAAPDNLHVWGPDLPPDFLK
SAPIO_CDS8399	MATDSGASEPPLHKVQSVLDSDYGYPHGHLGYLAEHETEALDAF KKLAEEEELYKPGTPPSHDDTVLLRYLRARRWSPAEALKQFKDSHVWRKANDLDILYH TIELDSYEQSRRMYPQWTGRRDRRGIPLYLFEIKQLDSKAVAEYERVGNTSTFSKAKS DGKTPNGLLRLFALYENLTHFVMPFCSQLQDREFAGTPITMTTNIVDISGVGLKQFWN LKSHMQAASQLATAHYPETLDRIFIIGAPVFFSTVWGWIKRWFDPITVSKIFILSSHE VEPTLREFIDPKNIPKKYGGELDFSWGQLPTVDPAWDGIVEWAKGHSSFPTGPHVWRE IDDGKKMECIGVGQVNGKQREERICIVHKDNVWGGQSLCRTETRDAAPLEADGEVAKL SEEVDDLALSEPEVKEKAAEINGSAGTPVSPAVPA
SAPIO_CDS8400	MPAPSSKSSGKPRQKNNTRTKEKREEKRLKGLADLETLEKAVVE FDPKTSESTKFANLPLSEPTASGLDASHFQTLTEIQARAIPLALKGLDILGAAKTGSG KTLAFLVPVLEKLHRARWTEYDGLGALILSPTRELAVQIFEVLRKIGRNHPFSAGLVI GGKSLKEEAVRLARMNILVCTPGRMLQHLDQTAGFDASNLQILVLDEADRIMDMGFQQ AVDALVEHLPKSRQTLMFSATQSKKVSDLARLSLKDPEYVSVHEKASTATPASLTQHY IITPLPEKINTLYGFLKSNLKSKMIVFLSSGKQVRFVYESFRALRPGIPLLHLHGRQK QTARLEITSRFAAAQYSCLFATDVVARGVDFPAVDWVVQFDCPEDADTYIHRVGRTAR FQSNGRAVLFLDPSEEDGMLKRLEHKKVPIQKVNVKESKRKSIKNELQQLCFQNPDVK YLAQKSFISYTRSVHLQKDKEVFKFNELDLDGFAASMGLPGAPQIKFQKGEDIKKIKN ASRMNMSSDSESEFDEEGNRKRKRKKGEVRTKYDKMFERTNQDVLSSHYTKLVGDGEA VENGDDGDEDNFLSVKRVLNDEDLDEVSKDFGSMPKVLDFGGKEQLIIDSKRREKLLQ SKKKLLKYRPVGERLVFDDDGNPRAIYELKNEEDFKQEGPVEEQRRKFIEDEVVRVQE ADLQDKMLAKQRIREKREKRKAREKEERELEKAERAEGGGGGMVVELGGGDDEDGADP LALLRDLPIAGGQEYSSEDEEEDRRPKKKAKKWFQDDESSADEGDAKKRKKKSKKGGK VIEIDREPETLEDLEALATGLLD
SAPIO_CDS8401	MAFNTTLQSIRSLLRTPLSKRSKPHSANQAVILSAADASDTSVT VVPMPVAAPTGPAESGKEVVVTTTATSISSSNTPTVAVEDDLSAVALPKGAMVNGEEE GEALHDLPRVCAALDEKVTRFLEDDTEDEVLRNVKERVRQSMDVIAEALRRYKPAELA MSYNGGKDCLVLLILLLAGLHRHSQTTNPPTPPPETLQSIYIIPPHPFPEVDAFVARS SKTYSLNLTRSTLPMRAALSAYLAANPRLRAVFVGTRRTDPHGASLSSFDETDGNWPR FMRVHPVLDWHYAEIWAFVRALDIEYCKLYDLGYTSLGGTTDTLPNPSLKANGGFRPA YELMSDYEERLGRE
SAPIO_CDS8403	MAVGTVLVTGGTGYIGSFTALTLLQNGYDVVIVDNLYNSSEVAI DRIELLCGRRPAFHKVDVTDETALDAVFKKHPAINSVIHFAALKAVGESGEIPLEYYR VNVGGTIALLSAMSKHNVTNIVFSSSATVYGDVTRFPNMIPIPETCPIGPTNTYGRTK SVVEMVITDHIEAQRNRLKKQGKSVEHWNAALLRYFNPCGAHPSGIMGEDPQGVPYNL LPLLGKVATGEREQLLVFGDDYPSRDGTAIRDYIHVIDLARGHIAALKYLHEQHPGVK AINLGSGRGSTVFEIIKAFSTVVGRDLPYKVVERRQGDVLDLTADPQLANEILSWKTE LTMEQACADLWKWVENNPKGYRQDPPPELLKALRK
SAPIO_CDS8404	MSFTNTTNATTTTMTSIPDVMPAIVVEEHGDPTVMKTKEIPVPK PSVGEVLVKNAYSGVNFIDTYYRTGLYQAPLPLTPGREGSGTVVAVHPSVTDLEVGDK VVYLSNNGSYAAYTTVDSKDVVKLPQGLDEKTAAAVLLQGLTAWTFVREAGQVQPDDW VLVHAAAGGVGSILTQMLKAVGAKVIATASSEEKCQLAKSYGAQYVIQSRGGSVPEKV KEITGGHGVDVIFDGVGKATFDSDLEMIARKGTIIVFGNASGPVPPFDILRLGPKNAK IARPVVFNYVATHQELQKYANVLFDIVIAGKVIIKIHDVYPLEDAARAHQDLEGRKTT GKLLLKCD
SAPIO_CDS8406	MLQGGHGNKIPYPKHVWSPAGGWYCQPANWKQNTFVLGAAVFGL TCIIWKISAEKEQFAHKPEPGRFYPSRYWTRQLINWEKEDKLKAEQQKSA
SAPIO_CDS8407	MSPASSTSAISAEDANTIIGIVGKAKIVGSTTTPESSDSKTAGQ LAALDALTGKASSSTREAKGAKTRRGLQEVNHISEN
SAPIO_CDS8408	MTDSEERVEFPSGLGLTFEEAIADESNVLEKIRCSREVLEFKQS LASQSSTLESLVAHHLGLRSGISAHQFYPNARRSGPFVFQLTDLHPGNFLVDDDCNIT AIVDLEWMVSHPVDMLALPSCRPQWEEPFAVIYDYFMNLFEEEERLMDTLELETALDR VTLSSIMRETLDTRRYWFNYSLSSVDAMYLLTQFRLFPMFNFPIVPATVYPAPLLWAP DANQLVAKKLRDREEYLAKIAALYGKAPPPVKPEPEAADPKERREMREAMRSILKYMG AEDDELNERITSQVRKQGDRDD
SAPIO_CDS8411	MASECTSFAQSIASASASVQGRERIDPCSTDSAVSAHPNGSPTP GAEAPQAGKQPNEVSVGEELSSNTAPAAPQAVNDPRNVVRRKLTGYVGFANLPNQWHR KSVRKGFNFNVMVVGESGLGKSTLVNTLFNTSLYPPKERKGPSHDIIPKTVTIQSISA DIEEAGVRLRLTVVDTPGFGDFVNNDESWRPIVENIEQRFDAYLDAENKVNRMNIVDN RIHACVFFIQPTGHSLKPLDIEVMRRLHTKVNLIPVIAKADTLTDEEIISFKARILAD IKYHGIQIFEGPRYELDDEETIAENNEIMSKVPFAVVGATNEITSPDGRKVRGRRYPW GVIEVDNEEHCDFVKLRQMLIRTHMEELKEHTNNNLYENYRTDKLLAMGVSQDPSVFK EVNPAVKQEEERALHEQKLAKMEAEMKMVFQQKVSEKESKLKQSEEELYARHREMKEQ LERQRHELEEKKARIESGRPLEGKKKGFSLR
SAPIO_CDS8412	MREAVKRHVLGRPKLRQSWNKYNLYNIHRHEVAVRINQQTFFQQ KWAAKAMTRAYHGEHVGEKRWNRLFNRRLTAAVEMPPEYLASYDGSEQAEGRGSGKQY DPNDPNAPRPVTADTFSVLELRRQQQLAAEDARDAAKQKFLRPGPRSMRALLKRPTQD MTPYMQMAFAPLERRLEVAMFRAMFASSPRQARQFCIHGAVKVNGKKMRYGSYQLNPG DMFEVDPDKVMYAAGKDKNQASLEAAIKRVEAKEQAKTIAEEEAIVELEEGTDVEAAE GAAAEQAEAQAEEVVAAAEEAAEEPDLSEIPKAEREKEQRRRVKALIDSAKAILKEDT DLNAKRKQELRAFVKASKSALGRTEDLTSDDLMEQLSTHLSRFKLDDSGVTAVKPDES AETPKSDAETTPAILSKTEQNKLLKILRANEEDDENPVDPSKPYATPWQPRKFLEPFA FIPRYLEVNQNICAAVYLRHPVARRGLAEVPTPFPYDVNQLAYTWYLRRR
SAPIO_CDS8413	MSEEETKPVPTEAAAPVAATADSETTAKPETSQEVAPAAADAQK DKDAADENAAANAEKEAPPKPANILKTTAQINREDHTKNVKSDPKLLPVTDDPVAIRS QVEYYFGDSNLPRDKFMWESTGGEENKPVSIKTLCSFQRMRRFQPYTAVVAALRESSR LVVGGEEGEETIKRKYPYKPSSDRKKAAEAASVYVKGFGDEVATTQFDIEAFFAQYGP INYIKLRRTPEKLFKGSVFVEFQDEELAKKFIELSPKWKDHDLKIMTKKAYCDEKNEL IRDGKIQPSSSGKPFFEGREGASRGRGRGRGGRGNGRGGHESTDPNDWKKRRDEDRKN GFNDRRGGRGRGRGRGFRGRGRGGRGGRDGRDGRDDRQNGDDKKPAQERKEHDVNGVK PRINATEPPKAASETNGKRARDDDGAAGERPAKKVDVKAEAA
SAPIO_CDS8414	MVSSSAIPSPSDRQRRIIQLANDWALLDLQPSSAPPPLTRPDQA PSFRTPGDDGVAEQLLFRYRQQTASTPPGQPSLSSSFSNPLLKRSFTSKKAQSAKSAR LVFETLLDYIRSGSASPGVVEALVAQLGGAGGGLDVPPRQKSKNLLHSRRKSYENGFE ERGQVLKEAVQRGPVDVVSVLVPFADPASLDASVALAIQLRNIAMTEILLRYGARVCD STVAQDEFRKLCVEGGNHELVSLVLRSNGRPPPICLSQAMVDASRAGCLETVLRLSRS SADGSFNNAEALRIAIGQGRRDIALAIVMSNQPPQRPGLDEAVTLLFTQLNMSPNEKL ALAELLLCAGAAGEALDIGLIHAVSSEFLELVSLLIKYGASPTFQNGLVVRNAVSKGR YSLVDALLSGSSSFSSLYASECVELLPANVSYECRHLILTQLLRRGANGTPLHNALID AVEAGDIESARLLLTPHFPKGKGSELNGSPITASAELYDRHDVASVDHKGGLAFSIAV TRGDVPMTKLLLSAKPSLETLGQIFPAACVLSADDARYTIVEAFLLVGLSGKPLDVAL QEAISKKPAERDERLISILLAHNASVNFGDGAGLSVAIQQGDMGLIRTLVGAASPATA ANAVTSAMRIEDVDARREAMVLLLDAGAAKTGQENISSAVLVTLSSKPVDVRMLRLLL EQAKADVQFENGAAINLAINDSDPTIVKLLLKLSKPSPELMREHLSELMKLPSTQSKT TKLQAFLPILTQGDLDEALVLEVTAVSQTKDDNLSLSTLNTLLSAKADVNALKARALC LAIGSADSRIVDPLLHAKPTKQSLQLAFPHAIHNKDPANRLTFVKRLIDAGAPKLEAT RALAYCIDAHTNDMPLLTLLTEHADTSDGIALMKAIRKESPAIVGLLLDKAKSPIRAT DKSFLQAMKISDRPERLKIAELLLKSSNIVGKQSISSGLLTAVSDVDYELGRLLTSAG ASLADCDSQGIVKASRSGSPEMLSLLLAGMPEPKKEILEEAFQAATEVGDLKVRTEIL EILLRRGVTGDVVNEQLVSAARYGEAGHEMLRVLLAAGANLNYHDGEAVCAAVRSAIL PNLELLLGRELVDLNQEKPSAGTLSQALKASWKLSRETRRTAIEWLFEAGLQVTSELH LTLIEVVNEEDIDADLIDFLLDHGASPLHNRGKAIIDTAARTSTHILGRLLKGVDPSD ELDHVLTSTFKREDADIWFSSDGATVLQILLDNSPGKRTSGATLTTILELASTKPHEL VDSFVMTLVSHGVDANYDQGKPLRLAASAAQLSWVDTLLGGEPSSDPTPETLSIALSH VFDNDELDEDDAIALISTLVDYDKDGTRIDVMYPHPIQSPILVLALERYPRSVEILQL LLDAGYYHDQIVLCRVLPDVEEEPVTLLTWALLQPQKRISTAVLDLLLSRGAKVNFET KITRISPIMLAIRSRRQDVVKSLLEHGAEVDGITDATGASPLAMAVGLGGDVSIQIMT NILAAGASRNDGSLHNAARELNLPALQVLMKYGHDPDFPSPLHGGRTALAEVCRHAAG SNNSLPLDAARERTLEKVMTHLIENGSDIAIKSDNKSALHLSLESSEPVITTRTLLKS GMWKHVNKPFNLYTDGTHTYSPTLYVRRILRTHHSEQLYWLLRANRCEDIFYAHEGAQ PEGAVGLPPDILAQERARKARLARLAMEQEDHAIAISRMRALADIQAQIHRAQADYEA LTRKGKQREELQALEERAKLEESLFEGSMRRKREEQKADVAHEKAVTEEKLGRCRAVS EMELEAEGRKAAALFDWEEKMGIQRVENARALHAIRVAESQDMARQGSIRM
SAPIO_CDS8416	MCHFEARLRSGYAILFSMHQQEQTMPNDPQTSRSGTGTQHEQNP FQQLATEGPVGVGENVPLHAGSSVDPTLDLDDAFRTSPSNVMGLSPSLDMNLGHWPID QSQTAQDSPESLAGGMFRDPGMSPGWLRRNFYPFDASRSENSESSPQPWQANDPWASR GTIMTPDGCRPAGMNDNVANPSMTTGLAGDELNFDLLGSLGVLTRNRITPALDPGQAP MEGYLQTGIPRDNLNLRLDTSARNLIAIDPNLSQEARGDGQGSSTLHDAVKPSYDQTS LTLRGKPDDMTRPSPYPYFKFPSSEELVRIISSYPRIMVRPGEYPPFVHHKLYRCSTG DIPEPLAKAFCCIGAFYSSVPTSKNYVYNLLNEESGALVKEFQKWSGSDGDMLAVVHA MCIYQILGFFVSNSPDQVRLTELQHVFFLKMTRRLIQQYLQSPPGGDSEEANWRKWII NETIRRTLFLVNTINTLSCKTQRQDAYYYEPLDDNLIRNMVLPAPDSLWKASSAEEWL IAKAQLDPNTEARSRLTVQQVLNQIDADRNERHGVGPGMMQQPLAGGSWKISYADLDE FTRLILSTVGSPLAEGE
SAPIO_CDS8418	MADKIGPPTAWYHDREFDHPKGPVLGLLNSIQTVGAMVALPLIG WLVDKVGRRKAIAFGASWTCLGAVLQGSSKHIAQFVISRFLIGWGLAFTVVAAPSLLA ELALPKHRGTILSYFPTAWYTGAIIAAWTTYGTQFIQNSWSWRIPSLLQAAPAIIQIA LIWFVPESPRWLVSKGQGAKAKEVLTKYHANGDATNPIIELEYQQIKQAILQDAQYRR QGSYADLIRTKPNRRRLIIITFCGLFLEISGNGLVQYYLHSVLNSIGITKTIEQTTIN GCLSIYNFVLAVGASFFVERVGRRKLFLISTTGMFLAFILWTTFAALYTTHGTQNFAV GVLVSIFLSNGAYDVGWTPLWAYPAELLPYEIRARGVAYQTGIMHAAGFFSTFVNPIG LQNAGWKYYIAYIVYTFLELIAVWYFFVETRGYTLEEISTIFETEGLTWKQRRNLKPP TSLQDSSSVEEQGETPKKSDVIVSKEQL
SAPIO_CDS8419	MATLVPPTFDDYYDLSSEEEALLTDLASKIVPAPAPAPAPARES STTTSTISKGDTSAGSVIAGNHVTQRYGPTNASAANTAPAAVGYLPTPSSAPQPGSQT QTESVVYPDLSRALSALPPETTEKTAEVEKSEDSDVEEIDASSFFKDERSPLVRFRTF PKKPFSVTDLTSGGAWCELQYYYTLTRLPYGRKTKTAIMREGSKVHKKLEDQVHTTVR VDVDTKEDLFGLKLWNFIQGLRTLRDTGLTRELEVWGMVDGHLCTGIVDSLSYTCPDA DFEEEVLSSSQEARGGKSDSNPSLLVYLCDVKTRGKPWPPKGAALLRPTKIQLFLYHR FLSEMIKGNLDFFRVFRRLDIDPDEPFSDEFIAQVGDLHDEVFYDADAKSSQEKDGDI TSDFVKYRTLRELLPLIKEELQLTFPNGVNSLGKLLSVDYRFRDDGSIIGSYTFPMDD GALNLQIASDMQWWKGERGPRGVDIEDGFKCQRCEFSDDCSWRTKMDNKRVRKAKEKI KNGGGGNFELGSLEAEAQLSSSIDNLPAWRALASEHDSDDTQPRELRKFRLTQYLREI GED
SAPIO_CDS8420	MSQQYQQPGYPPQGQAIPLQQQPQMSAVPTPAPAASTSYVVYPA GDNATKTGVKPEPWQYAVRGIQIVVSIIVLGLSAYIIHGAYMDPFGFAIAVSVLTWLG LGFILASQSVASLQTSWRIFVVIAIDAFLTIMWLSCMGSTAHMRASFKYRVSISGCYN DGSLIDSTTCFKMKRDSFVAGKVGLASMTAVACISALNFILFAVMTVLNVLAFLRSRS SAAPAAPIVDGAEKQQTVVAQPAYTQPAPVAATAPVYPAQGAAIPAAVPVQQPIQPQY TGGFVQQPVQPQYTGGTYGQQQPIPAQHTGSNFTSPTPPTPQGFHEAPGSQPFTPQYT GNYPSPTTSPAPVQAYAQELPTQHTISPPNSPPPQQGYTHHPQ
SAPIO_CDS8421	MTSLLRQIVASPRVRHADTGLDLCYVTQNIIVTSGPSQSYPKRA YRTPLDTLVSFLDERHGKNWAIWEFRAEGTGYPDEAVYGRILHYPWPDHHPPPFRLVP LIMASMRNWLHGGELKRGAVGGVSDLTAASRVGTRDDEGKNNRVVVVHCKAGKGRSGM VTCSYLIAECEWKPSDALARFTQRRMRPGFGEGVSIPSQRRWITYVERWTKHGKRYVD REVEIVEIHVWGLRDAVRIFVEGYIDEGKKIKTFHVFGGEEKIVVDGPGMSTGSSVDG ESGSSAVESEGDASASESVGSSTKSKTKKKAKEVIRSISKRGWDGLKTDSAGVSSSST SSAQTLFVPPSRTESPVNDAPPEITGGSAVILKPNSPIIIPTSDVKIGARRSSKGPTS MGPTFVTSVAHVWFNAFFEGNGPERDGKPEASGVFEICWDEMDGLKGLIKTPRSFDKI AVVWKAVGVEEEVRLPEVVEDVQEVRPADWKGEEDVYQEKIGGEVDGKIDAEVEAGER AAVKCSGPEGEEIGDEIGRGDEVASQEPTKNLKPTLL
SAPIO_CDS8422	MAPPPSPNLPLSERLLTLAKTLQFAWFVGHLTLILSTIRYGFSW IRFNYYGGMARFSYRTAFIAAAVTYGIVVYKTFKARAKSGSRQPTPIGLLSDENVQYL GMAIVWLFSPQYPLAMLPYCVYSVFHVATYTRANLIPTIQPPKVISAPTSSPNSKPQY APNPLSDKIGAFVRTYYDDSMSIVSSLEILLWIRILLSAIAFQRRSWILLGIYTLFLR SRFAQSPHVQSSFQQLETKVDGLVGSQGTPPAARQAWDGVKGGVRRFYSITDINQYAN GGAAAPKKTS
SAPIO_CDS8423	MASTAAELRNGAPPGTLKPRPNPKSGATENNNDASAAPELPIGP HPGIVTVPEQYIFEQNIRQMQRVAGSDPTREDNYRLQGVQLIDNVRKALQLPVRTFDT AVVYYHRFRLRHREVEYNFQDSAMAALFLACKVEDTIKKSKDILCAAYNLKNPDHQTT PDDKLFEQPSRVLVGLERMILEIIGFDFRTRYPQKYLIKVVRSLLGPEEGKSFLQIAY EMCMDMYKTFVPIKQTCLTMVLAIVELTSRVTCQYVDVVGQIDPARWNVTRQSVMETI LDLLDLYTQFHKSTKLGLRYDLARFIDVKIKINAELDANPDLSRHEFSCQPCATRAVA ESQAAAAPGAGPGSITALTMTATYNSLKPGTKSSDNTLRFVFDREEARREAENVSEYF KEDGIVGMATDMAMADEDVVAAVVVAGAEVDGGHIRGTHVTMGIPMIDEAGEVEEEAA VVVVVVEEVADITDNAEYFSSLAKLPGGTERIVTSHDDDTLKMVLRAKGTPESRLVDK DQHLQV
SAPIO_CDS8424	MRRISLSELLCASTIFSAIASAAPNSRADDDDDETPLPLVIWHG LGDSFAGEGMKSVGELAETVNPGTFVYHVQLGQDGNADRTASFYGNVTAQLEEVCAAL AAHPILSTAPAIDALGFSQGGVFLRGYIERCNNPPVRSLVTYGSPHLGISKFKACGDT DFLCQGAMALLRFNTWSSFVQGRVVPAQYYRDPQAYDKYLENSNYLADVNNERPAKNS AYKENLSTLSNFVMIMFQDDTTLIPKESSWFQEVNGTDVTPLRDTDLYRDDWLGLREL DSKGALKFRRVPGDHMQISEESLKKIMSSYFGPFSKKFGGDDETEGHRVSQEL
SAPIO_CDS8425	MPPKKEVVAAAQGDAHIDPDQTLKASKALLSHIKKASKQKSETS EKKNILDEIEDDGSLTLAETPVWMTLTTKRHIVDTNRLKPAKIPLPHPLHTNTHESIC LITADPQRAYKDIVASEEFPAELRSRITRVIGYTKLRAKFRQYEAQRQLYASHDIFLG DDRIVNRLPKALGKTFYKTTAKRPIPVVLRQSRPKAKAKGKRAPKRKGEEEEEVNAAS PKEIAAEIQKAIGSALVALSPSTNTAIKVGYASWNPEQIAENVQVIAAALVEKHVPKK WSNVKSIYIKGSETTALPIWQTDELWLDEKDVIADSEAPQPIEQAEKANVGKKRKALD AGKEMTRKGPLSKKQKLPESNDDTLLKQIAETKSKLRKQKEKAKKALDD
SAPIO_CDS8426	MDSSPQSKHTEPTLPPPAAGTKRPAPTLLPPFEPLSSSPGLPRP AKRQARAGSVGGSAYLKYPTPIPTSSTGILSSSPPGVSRRTATLTRSQSSVSERAPLS AVPSIVLNGNGETLLMGRSSNSSNYQLSANRLVSRVHVKARYIPASSPLEPNKIEIVC NGWNGLKLHCQGRTYELYKGDSFTSETEGTEMMLDVQDARVMIQWPKRDTPSNLSDAS WDDSPRSRVGPGSALQSSPLRRSTRIESPESPTPATTNLASSRRLQMLLPNERDDNGS GDNVEIYVDPSGDEGEVVQQSIETGMDPNLSHTTDMTQSFSSDLSDPEEDEENDPDEE NDPIVHSFGPFGANLSKRLASITASSPKVNRSPKRSRLPALREQRDSAPSSPPVKCEN DRSATVSAEPEEEEYSNPVVSNHVINQLAYSRLSSTPLSTIMHNLPSEEQKNITKVQL QKLIESTVCIGIIERQGKDAAGKPLESEYYYVPEKDTDEQRRTAVVDGLRKPSLRACR KQHKQYYWKRPKTP
SAPIO_CDS8429	MSRQSSRSGRQTPVGGFGSKSRAGRGFGGFGLSSSASSDLSYLA EPPDLSAISDPQVVVSCKNLLKKDSITKARALEELLVYAKAHPFEQDGGPEEPVLEAW VKIYPATSIDNSRRVRELAHSLQYEFMKAARKRMERHVPKVVGPWLAGLYDRDRIVAR VANDGLSSFLNSPEKTTLFWKKCQSQILQFATGAIRETKDTLSDERSTTPEDAESKYF RVLGAALSLVLALLQKLSGEDMSRAQESYDEFLNEDIVWKCINVGDSSVRKSACQLLE ISLDKRKTVLEEKMSRLKKALISEGLKANHTGSAAQFVKALSAFTSVFPGVWQSSPGD KKTPVSRLNHFLEKGSQGSDAAMFWDSLQALLKSLPKEELPLDVTTALAKSMRTGISN REEPRANALIAWRSYLQVTRSFMTLLPSGSDQVSFAGEALFPLVEHYLHPTGASSWSI VGPSAPTIVEACYAITTESAPEAVVSAANERWTQLAKDFCTRIANSLPEVSREFQKSQ EAIAEEGNRWFSLIGQIQKTKSASATGVSGTALDSPASEIISCCIDVLSRRNLKPFGA AKAIRRMGESTMYLGFNESITTALYDFLLQAGREQMDLVLKSHSRLDLIGCLQLYAGK PTLQQKYEVLWKTWASQLLQECTSPDVPHTLAALLSNTQASSLAQGNDAIQKYIVDNT LESLSTGTERWDLLESALTAGALTLASIKALASGILKHLQADNRSVMRALSGLTILSK KGASLLSQDEDLYLSLVTQLLSLAEAGDQNVSSKARELHQLLDLHADGKKPTTSIVRT NLDIASPQSLEIDTVIQLAVQEALDNIKTLPELLPDTWIWRNDLTGLISTGVNPALSI TSILGGAQFLVKASSPGEIRPPGRDRNGYSAPARMGLYLLGLIENGVDIKTLPSKILM DIILLLLVTAELGSEQLTMMEDGRLFEAMSPAVQLTADELSSSCHKWLNGLISQSTGW KEMEKEGDPGHLVFNILQALLNRSKDTTPLAFYCAKAASEIIQALTEEHGPPDNFDKF LSSLYPTKEAPSAIFPVTALLRGVGQSLASPESLNTICNRLVSDAAGAKPVSEKALAT LVLLTCCLGFYEEGEVPVQMNRLVFAVRNVTGWFEAEGPVDPFFAAESCRLLARLLPE IASVYGSHWENTLQYCAKIWEAAGEYPLDISMSAIHASLKLYSILESLPEPNDDLEDS LKDLAEVKAKSLIDLLKLPRERNSQPLSIIDSLLCRQVAKIPLRFLKDLSELYGLLAS DSRDIQTAAFTLMHRALPALQEQLSVDVLLDKESAHLPDEMMSLLLEPPTLEKYPDDV LVQFPLAVRTYLLTWHLIFDSYSTASLGVRNDYTEDLKSGNYISPLLDFIFDVLGHSI AHPINLDKEGFTTEHIRVYDIKVADAEPDERDMHWLLIHIYYLCLKYTPELFKTWFIN CRSKQTRIAVEGWMTKYFSPLIISDALDDVARWAEAQEAGGDDEKELLVKVSRTAREV TAGYEVDEMLASITIKVPPNYPIEGITVLGTSRVVVNERKWQSWVRTTQGVITFSNGS IIDGLMTFRRNIVGALKGQTECAICYAIMSSDKKMPDKRCQTCKNLFHRTCLYKWFQT SSQNTCPLCRNPIDYLGSDTRSRRMH
SAPIO_CDS8430	MASEEWKGSMSASCRYDNIERISNKIISECPEKKDIAQQEAFRI ENDAFDASSTREEYDEACNVSFHPASVPRQESPPSFLIPNPIGKDDNGDSIGVRIGPY QGCVPVGEGLTSTVYRANSPSSTPRVVHALKVIHPYQTFEPHNPQREVKILRSLHHPA AIALVDSFRDQEQRLVLTFPFKPLTLADLFDAGPVSVSRTRSIFRDILSALSYIHARG IIHRDIKPSAILLDTFDGPAYLSDFGTAWHPDFSPSSEPPDSKILDIGTGPYRPPDAL FGNKAYSTQADMWSLGVLLSESASTNPMHPSPIFESPPTHEDGSQLGLILSIFRTLGT PTPETWPEATRFRTTPFGMWRVFEARDSEVVFEGVKEEFKGLVARLLRFESSERFTAE QALQQRCMQQE
SAPIO_CDS8431	MEETSRTEYPAMLAYLQPSQAVHVLNDRVKRVTKLNVEIADWLQ ERRKVEEQYVQGLRKLMQFKVPNTASELGVFQGPWDKVLRTVESTAHSHFLFASRVEK DVEVPLRNFGQKREVQNIHNIAANLASMAKELEDAQDKSERLTKKGTKASTQKVEAAA SRLNAASQQWESQAPFIFENFQVLDEQRANQLRDLLTQLLTHENDQAQRTQISAGETL QVMLDIQTSKEIENFAHRVTDGKAKNERKSAPATRRPSVAGSTSTPPATATTAASHDD ETPSETDTPELHQQTPRLRSRIGTMLGRRRQSIHGGFGPISQKTSGSFGRNLRSSHGR GVSPRASMNDLTSSNKLPSLAEGPDVPRDVNTAEAEEKPKEETPHEGANGVKPSPNLA DLAVLAEPQGTVNGADREIPEFAPPPGPPPQAKTHVDQPTKDSEGFTIPAAMNDPISL AQREAAATEDADQLFKLNIQSTPVAQDDPEATQAALSNVTNALTQMGLPSRKGGTIRG RRDVRNTIYVPSANGAPSPDVGAPPTPPIPTAFTKPPTLAALASEASIAGTSDTQSVR SGNSLGTLNHAKHPDRLGAGLNASVIETIAASFEDGALKTAKISGEIAFSYNPDEEPD SKPLHNPTIRINNFPNLEVIGPNRIFVQNALPDRNDQFILDVSHLSRTSTAFSYRLHS ESDGKDLVVHTPFLIRSHWKPKGDKLELLMHYSLNPASAFTSPIMLHNVVVFATYEGA RASGAQTKPSGTHLKDKHLVYWRLGDITLTSEPQKIVCRIIGAEGAEPQPGHVEARWE YTPGLTATASLGGISVSRLEETKGKEKEAIVVEDPFADSDNLMSPPLPSDHRWVDVPM VYKLVSGKYEAR
SAPIO_CDS8433	MSSRNTQERRPGDDGPRRASISGRLRTPSGSNLPRPNTSLRESR IASYRSGQPTYNLFTGETATHPTTTTTLRPASRHSYAPEPTRTVSRESSKENMAPPDA EEYESHRRCIEELKAELGTLRYTLSTLEQEKEMAEARHRTELEDQKRRAQEDFEKKQA AEAEGSKLARQLESAQTELRELREAAAQGKSTLDKKLRDVEEENRVLAEQMEELATAK DDAARISEKKIMDVQMQLDTTQRTVHELEQESASREAVLQATQAQVAEKDTQIGNLEA EVLRLKAHTGDAETMAVIKRELSEQVQHIRKLEAMNREQLAELRHLRQIHKAVEVVEE EKRMLQRKLEATEVLEAELDEARIQRQRLEDERLAWTAYLQSTAESGGEDMKFESPEE LARALVQERLTSASYVEKMGTLQADVAAHEATIQGLEEEKAHLKAEVEKAKAAAASSS AFDGDKARLRLDRQRALAVKEVEYLRAQLKTFDAEDLTFQPEQHDQVRAQRIQELEDL VDKYKAEVQALHTELSSVESATSAPAPGSKRQRSVEPSGASHEQLGQLIRKNRTLQNE LSAIQTKLALSEKELSVSKEQLRAAKRRGAVRILALRSNPTSDFEAIKMSTLEALKKE NAELLAYMQNPKNSASFPTIPASMLDAAQRQVQEAKAETASAQKSARRLKEVWTAKSA EFKEAIFSTLGWTVTFIPNGKMRVESVYYHSQTDEHENSIVFDGEKGTMKVGGGPRSA FAQRIDDQIKFWVREKGCIPGFLAALTLEFYDEHTKASRD
SAPIO_CDS8435	MASLRVASAARVSPAHLILLLRTPCSASPRTTLAYRYSHLQRRH LTSDSQNSKSKDAQSAAESSRSHYGSDAPSPSSLSSSSSSSFSSGSSSHSETSRPSTA SSTTSHSPTFLDDSTVKDWEDYKYTDVAGFKDLPSRTFGVNQHMLINTELRTAFTHLL REFRAPIMFCFAYGSGVFPQGNNERSITDAEFRAVHPKPPEALRLTQKGNPKMIDFIF GVSHTEHWHWLNMKQHRDHYSGIASLGSGAVAAVQEKFGAGVYFNPYVVVNGMLIKYG VTSINNLCHDLANWDTLYLAGRLHKPVKILRDNAAVRIANQQNLVGAVRTALLMLPEV FSEFDLFSTIAAISYLGDPRMALPTENRNKVNNIVTNNLVNFRRLYAPLIDKLPNIHY IDEIALGSDEWLESSRNHMLMQDMDLVKRANMVRRLPSAFRQRLYFLYQGKFRMTRSE FNKLMEESADEDANGFKRRQGGPFEMRIAEDSSHDLQDMVRKAIKKTISWPSTTQSAK GLVTAGFSRTMRYVGEKLDRYKESSGKKKAESKAESKPEEAAGEKSGDSEKKVEKTNE ANDEKKST
SAPIO_CDS8437	MARTALFSTLLLALGASAQVQSKWGQCGGEDYDGPRVCPGTYHC TAGNRWYSQCVPVPGSPDDTAIANAPDAPLQTTSFQTVVTITVIAEETVAPTVVTTYI TFVTPEPTPPPVTEARTITLIPDTPIVPKRRHEEPAQAPPAQKREPLPAPAPEEAGTP TPTQLKDGQLWIRAVTAPHFHDYLQTNPQNEPGTAILGPKGSAGQYNIVDGQLISGGS EEKLYLHVEKPDDLTQRKLATWFNTTENEFGKFEFSGDAVTWSAPDVKRENLAAWLAC EKNELFINTGAYAYNTPAGCSDHTIHYYNGATTD
SAPIO_CDS8438	MSSQQTQREEFVHPSAGHARKKKVSPYGIEPIKIFYCFMVANLV AAFFAPIQDCDETFNYWEPTHYLSHGYGLQTWEYSPEFAIRSWLYVGLHAIIGNIRRL LPGPTKVAEFYFVRYILAFVCALSQTLFFRAISLALNPRVAIFYVAVLILSPGNFHAS TAFLPSSFAMYAIMVGSAAFMNWRGGIKTSLGIFWFGVGAILGWPFAMALAVPFVLEE VLFAGVSGGQQMFESGLRLFRGAVACLLLIAGDTLINTFFYRKIEIIPWNIVNYNIFS KSGGPGLYGTEPWTFYFKNLTLNFNIWFILSLLALPLFILQRLLADRKVGSAFGLRTF VFVMPFYLWLGIFTSQPHKEERFMYPAYPFLALNAAISIHILLTTIGTQDPKSLVAKI PAKLRLLVVSAVFLIAATLGLARIYGIYSAYSAPLKLYEPLGAGVRGEEGIGGRGDLV CFGKEWYRFPSSYFLPRGMHAKFIRSEFRGLLPGEFSEADIGFGFWSGTWLPPNGLND RNEEDPGKYVDIRACNFLVDTQNPLHEGELPPNEPDYVADKESWEVVKCVPFLDAART HPISRMLWVPDSELVPEEFRRKWGNHCLLKRK
SAPIO_CDS8440	MDHLKHVRQRRRLMEAVKLAARQKGSDAEEEDTPTKKAPVTVVR TKYRTLAPTFTGEIAGYTTIKPGDSKPTPTPTPDRAETTIVDKGTPAQAPPPEETEST PTSLIVAPDADRGVTTPTSVATQLPIATEQSQSSSSSSSNSNSNDASAGAKAGIAIGV IAGVLVLAGLIFFLIRRKKKKGERQSLEDDEKLHGAFSSANYTPTAAAAVTAAAVASS PVTHGNYNEKPVAGGAAAGAAPFNRGQNEQPRSPGVSGWERPMTSQSQSSANPFGPQA ELPGSMPPSPSSGAPIAAAAVAGAAAGATAVRGMQRQTSRNHGHGHGPKPLDLTLGPP APLQGVPPSPVGTEYSVSSISPGQQFPASSSAAAIAAAGGPAGSMVHRVQLDFKPTLE DELELKAGQLVRLLHEYDDGWALCIRLDRSRQGVVPRTCLSTRPVKPRAPGGPNGQQR IGPPVNPSGRPMTPSGRPMTPQNGSPAPLQIRPESPARPGTPSGLSYPPQQQQSSGPR YQPMPPNQRPNTPNSMGGRASPAIPRTMSPAPGPLSAPIPAPVAAPIATPVATPVAAP VPAPAPAPAPAPIPAPAPVSAPVAVSDSPTLAPVASPSPPILAPIPISAPLATIDEPV AAPTTESAAPAAPEPTSSPAPSASTPASPISRPGPKTGSISRKPVPGQAM
SAPIO_CDS8441	MSSSAAPNPGAAALVAAIPADTKPSPAVAPATTTEAPRTAAKSE AMAQVRSFVAGGFGGVCAVVVGHPFDLVKVRLQTAERGVYSSAIDVVRKSVAREGLRR GLYAGVSAPLVGVTPMFAVSFWGYDLGKTLVRNFTPTTSPNTPLTIGQISAAGFFSAI PMTLITAPFERVKVILQVQGEKTLAPGEKPKYSGALDVVRQLYREGGLRSVFRGSAAT LARDGPGSAAYFAAYEYIKRRLTPKDPVTGKPQGELSLLAITCAGAAAGVAMWVPVFP IDTVKSRLQTAEGNVTLGGVIREVYAKGGLKAFFPGFGPALARAVPANAATFLGVELA HQAMNKMFN
SAPIO_CDS8442	MGKPPAYIFVVRHGNRLDVVDKNWVLTSATPYDSPLTYGGWLQA RTVGGKIASIITQAEAEYEAARNGNPEGEPPPGSKKRKPKRRLFKVALHSSPFLRCIQ TAIGISAGLREPPGTPAPYPRQTRSNSAASVHSISRPPPRRSTASPVASPISVDLNLD GNQKFDHRLFPKTVLRLDAFLGEWLSPEYFETITPPPSSSAMLAAAKADLLRREDYTR YSDPGLRAKPAANNNQLWQKKDEDSYAVKGPLNTIGSLANALSDAAQPQTRIPQVGYV APVPHYAISNDCKIPEGYVAHARDACVTVDYAWDSTGPPLNFGDGGIYGEEWTAMHKR FRKGLQELVDWYGSCDNPTELNWSFSSDSAANGKKGAENRVQMINGHMGAKGTNGHTH VAGAATKPEDGEDDEYEEESVVILVSHGAGCNALIGAITHQPALMDVGVTSITMAVRK SDGYEIAEPSWSHLHYESENGGGSAGTPRNTPPVHLAYDLHMTASSDHLRGAPTGPAS PTLGVHHPSRSSSMGGGFRGRVNTMSSTESPILRPFTYADSFNHIGSASRRSSASASL GFGPTRRTSKPSNLSTDPLTPGSGLMTFPSPISAHTRTSSLGLWSPVKDVEDEDDYFP DFDNKRFENRTINMTSTGQESTAAATEDGGLNLDLSSSAILPDTPPSRSLRPSRQASE ASDDYMAAQLGFTSTGGTGGLWSAPRAEPRRRWTVNER
SAPIO_CDS8443	MRISTLAALTALLAAAPGVDAQHTSNWAVLVGTSRFWFNYRHLS NVLSIYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNSDRAVDLYGDNIEVDY RGYEVTVENFIRLLTDRVGDEMPRSKRLLTDDRSNILVYMTGHGGNEFLKFQDAEEIG AYDLADAFEQMWEKKRYHEILFMIDTCQANTMYSKLYSPNIIATGSSELDQSSYSHHA DNDVGVAVIDRFTYYNLEFLENQVQDMSSKKTVGDLFDSYDISKIHSNPGFRYDLFPG GANAARSRLITDFFGNVQSVEVQGATNSTLDEDLLSLSRTIAELRRRADEQDAAEKNI TGPALQPAVAKQNVRVPLAKPLTDDNWWTKKIYGATAVAGCALLWGLSSYLESAV
SAPIO_CDS8444	MSKSKIPPLIPLRVGSRLSATHSLAWSCDGELAVAGDDSLHIYL PHFRNEGVHGDGSDADEEGEEGGAEPAEDKPWFLRLSPMGKPQYSTVPLRLLIPQVTD PRINRKLLAKKGAVIHYKEEDDDFMGAGVGVVTGVGSALNQVVSLQWSPMGVGPNLRP VITVLLTKGYLLTHGEVLDRKTALMDIKARDFRFWKLLWGVGATIPLADASSPTGFSA SGDKITAFSWSQAVEIGRCLLAYKTDGEELVVEAVQYLENVTEENTNNEGDPAWKIDE IVRVGIPGPHDKLSVHDPDYTSAGSGFSIKWSPWSVAEDGSRISAISYLAPHYVGFRR VTLQPGWERGKLPKLELSENDILGICTHLSTDAFTEWEDTVWDAKGTKICRGVVVTPF VPKPFELDLLGQAPVRPQHHPVACKSLYPTDRGVDNLNPISGLVVNLRSSPSTTPTEN PVPAYALTRLSATSTNTNWFQYRNSSSDFAVPTWVTTLRKLVNASLPESTSQYLIDSE SDSDSTHSLPSSFGEESEEEEVKIEIPDEATVKPQRARIWGLAHSPGASTTAVLYSKH STLIPDRACKSRVAFDTPTLSTSRPSTPQTLNGNGNNTAQRTTPLTSAEGRAWEWMYS GGPPVPGFSSEAEPKLAGVFSEAIEALECPLCTKQLEVSGSDFICTAGHMFSRCVTSG LPILAPATFRLCGLCGQPNMLIEELLRIAAEHGLSSDVVASVSKDVCNGCGGKYIV
SAPIO_CDS8445	MTSSYSIPAPPLQHIHDPHHSHCHSHTHSNGSANSIPTLHPHGS ISSYLTPDAQIIPNGRAGRVNSLDREGDDGGGGHLGRTSSGFQSIANGHATRERMAPP APINIAASWKEEGGGGKILMTPATNSTPINLNVSFMVVQAFYGFATDSLGLLSDTVHM FFDCVALLVGLVAAVASKWPRSQRFPYGLGKMETLSGFANGVLLILLSVEITFEAVER IWDGSKPTRLGELLVVSILGLLVNLVGITAFGHHHHGHDHGHSHGHSHSDGCSHSHSH SHDHHGHSHDNENMHGIYLHVLADTLGSASVIVSTLLTHFTNWGGWDPTASVFISVLI FLSARPLVISSAKRLLLSVPDDVEYNLRNILAGISQQRGVAGCAVPKFWLDDRDSAGD EKTEKAEGSVGGRKLLGIVHVVAGKGSSLDDVRDRVREFLLRNGMDLVVQVEREGDAT CWCGFGRTGGPPKINGPKSH
SAPIO_CDS8446	MSNTLAFLFTFVAGIVAALILITFSKYLIAIFPKRYKPAQDPKD DHYQVLVLGDIGRSPRMQYHALSIVKSGSKVDLVGYKETARHPGLVGNPNVGLYPLTP QPEWLRWQMSLFIGLPLKAVWQSYDLFCTLAYTAPAAKWIIIQNPPSIPTLHVALIVA WLRGSKVMVDWHNYGYTIMAVNRSPRNPLVKLYKRYECFFGRRVPDVSVTVTEAMARH MREPPFSLKMPILTLHDRPAATFQPMTSQKERKKFLSELRETKDHADDIIAGKTRLVV SSTSWTPDEDFGILLEGLIKYAAGDPSDESSKGKATPLLVIITGKGPQKADFEKMMKN LKAGGHLPNVDITTAWLSTRDYASLLAAADLGVCLHRSSSGVDLPMKIVDMFGAGLPV AAYSAYESFDELVEEGVNGCGFETAEDLSVILRRLLSGKDTELKKLKQGAVKEGSRRW DDEWDPVIGKALGLVT
SAPIO_CDS8447	MTSSSSAAAASLLRRQLKEMQRSKDIPGISCGLVNDNNIFEWEV MLMINDDCKYYGGGNFRAHLNFPSNYPLSPPSMTFQQPIPFHPNIYADGKLCISILHP PGEDLYGYESASERWSPVQTPETILLSVISLFYSPNDESPANVEAARLFREERDGKHK EFRKRCRKCVRESLGED
SAPIO_CDS8449	MLYLVGLGLGDETDITLKGLEVVKKSARVYLEAYTSILLVDKSI LESYYGREVIIADREMVESSSDEILEGADTVDVAFLVVGDPFGATTHTDLVIRAREKS IPVRTVPNASILSAIGAAGLQLYHFGQTVSMVFFTDNWRPASFYDRIRENRSIGLHTL VLLDIKVKEQSLENMARGRKIYEPPRYMTVGQCAQQMLEIEEEKKEGVYGPESLAVGA ARVGGETEKFVAGTLKELCDADDILGGPLHSLILLGTGTHELEHEYVREFALDKENWD RIWAENYQSKK
SAPIO_CDS8450	MTSTMSHNPNPTKVGDKPADPYTAKVHQNDVPLKVKMDSLLNFI HKCKHGMLTTRDSNTGLLASRCMQLSATESNGTDLLFYTNTASHKVEELSVDPHVNVS FVNTAGDWASIAGSASIIKDRAMIERYYNPVLKTWLGDLGDGKHDGSASDPRLGMIRV KMDTATCSLSDGGLFTRAAEAVHGVMGQPGHMTKLREITAEEVNQWRSGGSATATASS 
SAPIO_CDS8451	MAATEATARAFFSSPLFAVVGASSNPSKFGNKIFNWYHAHDLPV TPINPTASTITLASRPEPFPALPSISALPSPTETALSIVTPPAATLQVLRDAKRLGIR AVWLQPGSFNDEVLRVAREEPAAFKAVVAGEGGVGREGWCVLVDGERALKAVGKL
SAPIO_CDS8452	MSIFGRTTYSAASYAAFRPSYPPALFNRILSFHEQGRQIRSTPD AGTLLDLGCGHGLIARALSSDFRRVIGLDPSAGMVEQARSMTTGKASNVEFRQGKAEE LGFLGEGEVDMVVVGQAAHWFNLDKLWAELGRVVKRGGTLAIWGYKDNIIVGQPLATK IYDEFTYSEKEVAPGMESMNAYWEKPGRDLIRASLDVVRPPPSEWKELARDTYEPNRE TCRVSQAEAESAWLQRRMKLGEFEGYVRSFSAYRGWKDAHPDAKSRAEGGSDGDVVDV MFDHILDAVPAWKELGPERWREAEIDAVWGTVLIVARKL
SAPIO_CDS8453	MSSNQFDSQASTNYKEAFSLFDKRGTGRVPVDSLGDLLRACGQN PTLSEIRDLEKNVGGEFDFETFQRVLNRPGGFREPGEPEEYCRGFQVFDKDMTGFIGV GQLKYILTNLGEKMTEEEVDELLKAVDTSSGQVNYTELVRTILAN
SAPIO_CDS8454	MKFHRPASLKDRLLARSADFGKWSERRSITTSKPLVLVAGEAAK NSEFQLIVKAVAAQLPAKVRGGQEPTAQRVEDSAELVILEDPVSAAARGVALWSRMKI EAGSYCETEACCSGYGEPEEGYEGPWGEPHRELPIQSPSPRHYATVSDQRRSVTMKRD TPVINLAPATKLRSLLLPSLLLFAIIAVILATPRALPRLNSIFLQLRLRPSSSSASAI ATITKSQRPLYTAAVMAPDKYRKPPQAPPLFTGTKESIVADAKSLCDSTRSLLDKIVA DESADKGTFTSVLLPQLLDENEVGLTARILGFYQYVSANEALRGASTEAEKVLDEFSI ECNMREDVFKLVEGVYNRKESATDIDPESLHLLEKEYKSYIKNGLGLPTGPKRDRFKE IKKRLSQISIEFQQNLNEENGGIWFTREQLEGVPEDVLNTLEKGEGENEGKLRLTFKY PDLFPTLKFALNPETRREVFTQSENRVNKNAPLFQEAIELRDEAARLLGYPDHATLRI EDKMSKTPQIVNEFLGGLRTRLAPGGKKEIEHLLELKKADSEARGIPFDGKYYLWDHR FYDRLMIEKEYSIDEIQIANYFPLQSTVTGMLKIFEDLLGFVFVEVGPEERAQISPTG KAEDIVWHEDVILFSVWDDAGEGDGFVGYLYLDLHPRKGKYGHAANFNMQPGFLKKDG SRRYPATALVCNFSKPSGSKPSLLKHDEVVTLFHELGHGIHDLAGRTRFARFHGTSTV RDFVEAPSQMLENWCWTPSVLKSLSNHWETKEKIPDDLVEKLIATKHVNGALFNLRQL HFGIFDMTVHTPKSHDELKTLNIPKLYNELRADISLIKGPEELGAGFDWGNGQATFGH LIGGYDAGYYGYLSSEVYSADMFHSVFKKNPMDGTEGRRYRHTVLEKGGSQDEMKTLE QFLGRKPSSEAFYEELGISQN
SAPIO_CDS8455	MESTDDFVTHEARVASFKVVQKKRASTTGSRTTKTIKWPHPHIS SESLAKAGWIYQPHPEHPDQVICFLCRKGLDGWERGDDPLLEHLRHSPNCGWAVLAAI EAEIPEYLAEDPKSKRMVDARRVTFIGKWPHEGKKGWKCKTKQLAEAGWKYTPTPESD DMATCAYCQLMLDGWEPGDKPLDEHFNRSPECLFFNFVDPNPAPTKKTARGRTARASK TSRASVQSTGMGTFITDAPSTLDMPAEIEDSVMTTASKRGRTKKAATATKGTRKTRAK KEESVEVEETEVTMDEEVPPPPVPAPAPKTRKSRKPANTSVDDSEVTIGEDEPVVAPK PRRGRKRASEAIEDSVLTAKEAPPAKRRTTRAKAAVNDMVAQQDPDVTDAEAPAAKKP AARKRGRASNTKTTTRKVSDTSLRSTASTASLRSEAEGIPDDDEIERQLMADLERPLS DDEDVAMDSDSERRRNANRTTTKRRTVKAVKEEKPHVDEQGDYAMFDPAPVVPDEAEV EAELDRLEAEARTVVKAPEPPEPELEKLEVPKKGRKAAGTRKASRTTKKTKPAPVPEP APQTAYEPEPEPQLEPDVQPAPDPVGEHELEPKPIAEPEPEPEPEFVDAPDAHLEAPG ETSIYEDAAEPEVAQPLAHDARTVVKDDAPEEVEEEKPAPAKRGRGRPPKKRASRGRS SAASVKQRISAVAPPPPAPEPEEEIHQSIELSRHEIVDASPAKPKERRQQSEDLVDSE PELVVVPANDSEPTSPTVRPLPQIPPSAAHVAQDAPSTPGSRVSPAVSTRNGVLSPSQ SPQSSDAENHPPSSKPTASSRAKRTVLAPMLAAARSTPNRILNSPTKRTVVSSLQSMT AWTNVDLDLILGSPDKKDDKENSVDRLLRKGAELTSPEKKMTVEEWIHHNAEKAEEEL KTKCEKLVGKFEGEGMRAMRVLEGLIVEQDCILLVRNWKGNLGRVGDDVWK
SAPIO_CDS8456	MDKDLEAAGAAPNLAIPPFTAFRGVSLPPPPPPPKDEGYRPSTA STKRLSYSTTVSTSQRTIKYAKWGRFAGTELSPQPSDDPEDPLNWPQWRKELNFASLI VFVALVSAMKTIFISVNNVMARRYNVSYSSVAALTAVPLIVSAFSGLASVTAAKVWGK RPVYLVSMTLVFIGSMWNMRTLDSYRQCMAARVFQGLGWGAFDTLVLGSIYDTFFEHE RGIRIAIYNIVTVAVTWGAPLFGGLASRNAGRFTLQFEIINSFFAVAVPLLVFGTAET TFDHIWSVSLQTPGTAMSQFRRPLIPKGPLKITKQDVFDYLKTIPPVSYKGNLETHVL LQAPRAFAAPTTLLLFVVTFLPYGSLWAVSETLSLLFTTEPFALREDSISSLMGGPFM IMTILVSLVAYYKPYQARFDKEKHFVTLIAGTAFFMSGLLSFGLATTPNLLNTVSAFN YPLLAFLLALLAAGFTALDATVSPLIFNSSQFTSSNLYSCLRNVADMSTGVSCLRTLF AGILVQAVPSAVAADRGELARHAVGLSCAQVLVALGAGAVWWVWGDRVRRWDGRVMGL VDLSMLRRNESFFEYDD
SAPIO_CDS8457	METSASLEDLPNEILSLIFAFLPTHCLLHILRVNKRFFTCIRAL FHVRLRHALAEPDYSMVLECYPETTRLTAPTLMCHYLETTGDTRRVSTADTVSGGPPI GDPRRWHLWFKPYLGTPRVLREKLDDAVEGGKYSMEVNLAENEESSHLLTRTILKRRQ TKGLYPSHVTVHEGRLKLSREWLAAASAPILEQDGDYTMLWTGSSKHMGVRLQVAPAA SARMPLLISVDDKPPLAYKLTFKGVAVNSILFLLAYEQSCIESIDVSGRSLTIIHVPA 
SAPIO_CDS8458	MKSFGFALAALSSAAAVAGHATFQQLWINGEDHESSCIRMPRNN SPVTSVSSNDVRCNAGPSPAANVCEAIAGDKIAVEMHEHDTRDCSSPAIGGNHFGPVM VYLSKVDDATTADGSTQFFKIGEFGYDAASEKWGTDVLNDNCGKFEVSIPSDIPAGDY LLRAEAIALHAAGQEGGAQFYMSCYQLRVSGGGGSTPAGVSFPGAYSSSDPGIKIDIW ASDLSNYEIPGPSVTA
SAPIO_CDS8460	MHDAQNNRATAWGNPGAANDRAAMPQSRISASKPLLSKILLFLL PKPVLLRDIRFPLPLQSKESGVRPSDLGFDGGQEKRQVNTTNLHLPSKLSTSQFLADL SQVSGTDLTGQPFNATTLGIADLYTIHIFTCCAQPDHCTAPVLGPSFDPLLHLRLGIG AVDKLPEDLEDALSLYRSVSPMLGAVLILGFVFVLSAPSVTLLSRRLYIAGRVALTLA ALSAVLLLIGCIAGRVMGERLSRALNDAFEDLGITAEEGGLLYPAWAAIPVCFANTLL IFLRTKKESPLDFIEEREKAKEKARERERLKEKEKGKEKEKGKGKEKDKKLAEEKENE RKAKGASDEHISAAEIGQAYGSPSDYNPYQAHATQEEGVKEPVMSKVARTSFAEGESQ WEDGRSARAEQRSGEPPFRTPSVRRHHDSDAWYVNYRGVQVRRSDSITN
SAPIO_CDS8462	MASSSSTTRNWRDSLYLAFFFISFASMFLVDLVHFIPHSLWVPP SSPLHFLQQIRNFYTSTYHDLFLLTPWPQQPTFFRLFTILELVYQLPAVAWILRRYFR DGREGAVAGKTGGTTPALELACLVYGVQCALTTLTCIYDCLEWEGELYTWEVKKELIF KLYMPWFLIPLGMSVDMYLRILNRFRGQGEKTLKSQ
SAPIO_CDS8463	MLSRAVPIALWALSLASHAVAEPTAGLPSFLYGTPLHVECMNRS YETGEHIQNDRQEIQWAPFSLCEETGKPLEFHYGVEGEQNCTIAAIDDPFFHLLEFYI HSDAPLSCRLPARPRPHVEIIGEKPYEQEYIPIVFALAGTLQLSHMHISTHMNVLLHT QSNRRIRPHDTGVLDSGIAYSTSPLGQGRESSSHRIVIGDPLPLRFSVRWFPNPRLPR TDGTVQWQGMGGHVFASTVFYVMVSFIAGAVVSGAYFYGRVLPTRLRGRALGGATPLG HGLSSGVGNGWGYAKRID
SAPIO_CDS8464	MSFPQPTPMRPVPGAFLNTPAIVRSTSTGNDPVRRRLFPDASSA GAPTGPLATGGPAPTASHGTSAGLPLPRSSVDPLVKLPPVSQTSPVAKAARAINMVLQ SDGSFPDLDSYLRPGASSDYDISSVDSPAAPFQKAQTYPIPNQVFDHINAGQLQTLMG LFAELNHAWVVIDNSLFLWDYTQPDPELIGFEDQPHSIHAVALVPPKPGIFVSTITHI LVVATTSELILLGVAAETTPKGTRSVSLYQTKMVLPIRGADVSHIAGSASGRIFFGGT SDTDVYELYYQQEEKWFSNRCGKINHTNPGWTSVVSLQSHFWSAKEPEHLISIVVDDS RSLLYTLSSRSTIRTYHMEAPNKLNKVIDKEKNSCLREISHMINFSPLLNDRMSLVSI SPISSREAAKLHLMALTNTGCRLFLSATSSAAYTIGATSNAAPQSMQVQFIKFPPSER RASQLPPSGLVLTGDSITDTQSESLKTSRTGVRYAPGYFLDFVSKESHPNADLLFVSA PETARIRNISQGSPLRYYEQGNWIELGSRVEAVGMVTKPFAAAKQPLGFGNELAVQFD DAPSEFAILTNSGVHVVRRRRLVDIFASSLKGSSGDEGADSIARKFISLYGRVETIAS ALAVACGHGGDARPGGTRANDSATEGRARAIFVDFGGQPTIAETDGAPLTTDSVKLSS RHDALALYLGRLIRMLWRSPVISVGVAPAGGVAVNSMVPTSKLLSVQDNLERLRRFLD ANRSFIQGLSGPSDLQRVASKKEEVALQAEHQALHALEKLMESISEGISFVLMLFDER VSDIYTRLDDETRQGLKDLTYERLFSTAPGKDLAKVLVKAIVNRNIESGSNVETVADA LRRRCGSFCSPDDVVIFKAQEQLKRASEQPLGSNAARGLLQESLSLFEKVAGNLSYGN LVVAVEEYIKMRYYAGAIRLCLNVAREKDRGNAALAWVNDGRPANDQRASAFQERKLC YDLVHKVLQKLDGEAGQEPDMVDGKLTLTATKRLEAYEVVNGSTDELFHFGLYQWYID QGWTDRILAIDSPHVVTFLEGLARENAAHANLLCRFYTHQGQYYQAAVVQSELAQADL PISIKDRINLLSLAKTNANVSSPGVARQQQQVLNHKITELLEIAHIQDDILERLRVDP RIDPQRLVEIEEKLDGKIQNLTDLFNDYADQAGYYDLCLLIYHAADYRNPTTIAETWT NLIQLEHDEAQEATGDEKRLPYESVSVKIQNIAHRTSLDSVIFPIHTLLPEVCRYACV NGQDATIGADPTWPVQLFLSVGVSHDMIVRVLEHVFDTQAYGFTGVARRTRLVELIAY VVDSWAKEIRRRGASAKGTGLMGPGLVDLLERCEKALPAPPGGNNVGGTDLPELRRII RTLKREVSSLVERIASGSLRFA
SAPIO_CDS8465	MPATDILSAIPTPVQLLLIGVGSISLGCFLLSYLKLILAAFFLT GSNLRKYGKPGTWAVVTGASDGLGKEFALQLASKGFNLVLVSRTRSKLESLAAELQEK FSSKGLQVKIVAMDYSQDRDEDYAALGQAIADLDVGILINNVGQSHSIPVSFLETSPK ELQDIVTINCLGTLKTTQVVAPIMARRRKGLILTMGSFAGWTPTPYLATYSGSKAFLQ HWSSSLAAELKPQGVDVQLVLSYLITTAMSKIRRSSVMIPNPRNFVKAALGKIGTGSY QIAPSTYTPWWSHAFFLWIVEKTIGPMHPITVSINLKMHQDIRKRALRKAEREAKKN
SAPIO_CDS8466	MLSTLSSWLPNPTGYLPYYMLVLSIISIGNSAQNLITLHYTRRM YDARFVRNPKLPPASQGFNPDDSVNKLVHAPAGKDGSDQVTPLAARLFGTWTLLTSVV RLYAAYNLHIGPIYDIAVWTYVVALGHFLSELLVFKSMTLGKPQIFPLIFASTALIWM PSVRDHYVQV
SAPIO_CDS8467	MGGADLTDLSSRLLRVEPATGLCYVLTFAAKHNVTLADMTAQEI LPVVETWTRAYAQHLSPQNSLSRLRALENLPVSPYANFPSPSSQLRYMQIFENKGAAM GCSNPHPHCQIWTVSTMPEEPQSELRQMMKYLGENEGRHLLGDYAEVELDKKERVVWE NEGFLVVVPWWAVWPFEVLVIPKRHVRALVDFTNDERLQFSEAIQEVTRRYDNLFQCS FPYSSGIHQAPLDGTAEEINASYLHMHFYPPLLRSATVKKFQVGYEMLAEPQRDITPE QAAARLRDCGGELYRKSL
SAPIO_CDS8468	MGVIRKKTVVRGGEGGVKYHCDVCSADITSTVRIRCAHSACSDY DLCVQCFASGASSGAHKPESHPYRVIEQNSFPIFDRDWGADEELLLLEGAEIYGLGSW ADIADHIGGFRHKDEVRDHYLSAYVDSSRFPLPERCSPQDMELANEISREEFQAKKKR RIEERKEAQKNAPALQPKTKPTASVPSCHEIQGYMPGRLEFETEYANEAEEAVQLMQF DPGDGINPRTGELEPEMELKLTVMEIYNCRLTQRVERKKVIFEHNLLDYRENTKTEKK RTREERDLVNKTKPFARMMNHDDYEAFSQGLIDEFNLRQAIAQLQEWRSYRIGDLRSG EKYEQEKAQRIQRSMPMGSMDRERLATSQRKAAAAVPDPPSGAALLVAPELPIRSAAS PNGTLGIPNGEVKKEPTTNGKVNGTATANGGSIIVTNGPGPVTRQRANPQPLSGVTPL QLTQDNAPDLHLLTPEEIKLCEVVRLQPKPYLMIKEQILKEALKGNGTLKKKQAKEIC RLDSQKGGRIFEFFINSGWVGKA
SAPIO_CDS8469	MPSIASLRRITAKSLSDMILAESSASETTFAIIDVRDDDHIGGH IKGSMNVPSRTIDAMIPTLLRKLETKQTVVFHCALSQQRGPSAALRYLREVERFKAER STASSEAEAEAEAAKTIGKAEERSQTVYVLDQGFVGWQELYGEDERLTEGYRKELWKN GYWG
SAPIO_CDS8470	MTTEFKTGLATPRQVPHTPPDLDKRAYDSSAVAVCGIGLRLPGG IRNTQDYWELLINGRDARGPIPSSRYNVKGFDGSLGGRGAIKTNFGYFLDEDLSALDT TFFSMTRKEVESCDPQLRHLLEVVKESLDDAGEINYRAQTVGCYVGTFGDDWMLIGSK ETQHQEGYGYLSFGNDMMLANRVSYEFDFRGPSSVVKTGCSASLVGLHEACRAMQNGD ATSAIVAGTSIILTPTISASFTSEGILSPDASCKTFDERANGFARAEAICAIYVKPLG AALRDGNPIRAIIKGTGTNCDGKSDGLLKPSAITQEALIRYVYSENGLNPSDTAYVEC HGTGTSTGDPIEANTVGKVFGASGVYIGSVKPNVGHAEGASGLNSLIKCVLALENKII PPNIKFEKPNPKILFQERKLQVPIQPTPFPSDKLARISINSFGIGGSNAHVVLDAFEP FEPATFSPQQRRMNLLLLSANTPKSLETNVDKYRAFIGQNAEIHTSDFAYTLALRREK LAHRAFALVHDGTITNVSSSTHSSGVQPPVYLIFTGQGAQWAGMAKELIEEDGLFKKD ICFMDAVLSQALHPPSWTILEEINRPAGESRVNLPEISQPLCTAIQVALFNRLSHMGI RPTCVAGHSSGEIAAAYAAGALSMEAAIITAYYRGYAAAKLAADGTMAVVGLSAAEIT PFLLMGAVIACENSPKSTTISGNRTAVFKVLERIKAVWPEVFTKPLGLSIAYHSHHML AIGDAYADLLQREFQRLDTWSGVPSVPFFSSVTGQALDDSTILGPGYWLSNLILPVRF STAISSLLRTLGSGLFLEVGPHGALGGPLRHISKQESQTCSYVSTLTRGEDSFKSFLI SIGKLYQESLNVNVASLYPNAKTLPNLPTYSWDHSKSLWYESRVAKDWRFRKYPHHPL LGIRTPETSGVHPQWRNVLYLGDVPWLVDHKIRQDIVFPMAGYICMAGEAARQIMGGT GGYSVRHVVVKSALVVPDAVGTEIITAFRRHKLTDSNESEWFDFSITSYSGSIWITHC EGQVTTISTIPGTSQPPKNLPRQVSTARFYERLSEVGINFGPWFRRLRNASSSVTEDC STAEVEGPNQGQHDTSYALHPVVIDASFQLAIMAGVRGLGRKLTKLRLPTLLDRVDVL PGSDIIQAVSRAPRGDGSEVVECSSDGLLVLKVSGLHTSIVDDGGDVDDSDPHAAARL EWVPDFDFVDPAPLLKPADFNTDEIRLIEEMAYLCVLNTLERIEGLEPSQPHFHIYRR WLKREVNLSENGGNALVPNAAAFSKMSTDERCRRINDLYELLLKMGKKSLSLGLKRIF DHFDQIFTGKAEPIDLLTQDGLLTELYNAVSFGYGNFVRILSHSRPTLRILEVGAGTG GTTDLLLRELVHNGLPRYLNYTFTDISAGFFSQAKERFSYAPNMEYKVLDITKDPLGQ DFQPSSYDLIIAANVVHATPSIKESLSNLNKLLKPRGMLLLTELCSELRVAGYIFGTF SGWWLGQNDGREFSPYVEVTRWDQELKGTGYTGAETVVYDGSPPFTCCNTILSRKVWD EPKPNHKVSLLSSTPSTGVAQVIRNALEERGIEVTDITIGGEVPENQEIISCLDLEGN FFKDITSEDFAGFQALISHFKSRRTLWLTNPVQIKCNDPWSAPCLGVTRSLRSELELP IFTLEIDAEEENFSALVLQVFDKIRREDPPENLETDKEFAVCNGTVCIGRYQPFSVVD EMAEKSVQTVKSTVALDIGKRGLFETLQWRRREAIDTVPDDSVEIECRAVGLNFRDVL MAMGVIPKTSSHSELGIDVSGVIVQAGPKVKGLRVGDRIFCIAPEGSLATRIVLPSAL VKRIPDTLSFEEAASIPVVFTTALQGLINIGRLEKGQSVLIHSACGGVGLAAIQVAQM VGAEIFATVGDEEKVTYLTRVFGIPRNRIFSSRDESFYEGIMRETNDSGVDVVLNSLS GSLLHTSWKCVAPFGMLVEIGKRDLLEHGKLDMNPFLANRTYFCYDGIEVSRKRPETM GRLLEKFLGLYEAGLLRPVPEIATFDALKAEAAFRFLQDGRHIGKVVISLPRELDTIY SPAHLSCRTLQFEPAGSYLLIGGLGGLGRVTATWLVERGARSLTFLSRRAQSEENAGF IGELEAMGCKVVAVSGAAENLEDVERAIKESHAPIKGVFHMVMALEDALFPEMTLAQW NGAMKPKVDGAWNLHTALLNHKLDFFFLASSIITAVHQPGQSNYCAANVFLEALCQYR QGLGLPASVLSICPILGSGFVAESAQAQRNMRAQGIYNLNEQAYLDYVEHSLLLNTTQ LAPTGPLTTNLTPWKNDAHVIMGLRSETDLEDPFNRTNWRRDRRMGSYHNVQGDRVTN TTTDLDELKLFLSRAQEGKAALLSEEAISFLGQQIGRKVHSIMLRPEGEEVDLAASPA QMGVDSLMATELRRWFRQVFGVKMGVLEILSASSLTQLGRAVAEGLSEKFGGHLGFAA TAKIDGEWTVDRVLEQVSDGPALTTGSTSPLPFFHLLERLKTTQREGWRRFGVETCES IADHMYRMSILTFLTPPALAARIDTNKCMKMCLIHDMAESLVGDITPVDGVPKTEKNR REAATVDFISSGLLGRVHDGAAGRELRDIWQEYEDSKTLESLYVHDIDKMELLLQMYE YEKRGKHELDLGEFAYVATKIVLPEVKEWADELLNEREKFWSSWKHVQGDQGVNGGVA EGTKKQQDEYYSKE
SAPIO_CDS8472	MDERSLPRMADSDRKSSSSAESSSSLSRHYPLNGLDLSFSKYHH TRLTSTDIIAHQLWARFFDPAFKDKWDHCTILSCERGYAEIHKLRDRDLSLDIEVLQR SQVSYGGGFPANDAAYSESILGEPRFSAREITLDVVVLAALRRHVLETSHPEMRHWLK LVAKDYIGGPFDRTSPVYGLKDLDKMLIWDDVYPHYELGVQRDAQLRHLTNYAPHLLL QHVNFLFSKGPVLQHLRIDFTYSHWFSDTKKQVPPVLDERDSRVGRSLLPNRFWKLPP QEPGYEVPGFLPRQLQAIARDSRMARTRRSVSEPSRGEHMPIILQNAFGAPGPLSNLC RSKHAPPLPLQVPSSMNSPAPFVHHVTTSDDMIFFVPCPSLSTLDHRLRRRSLSRRHI ANMFHEPPPLPDVSCDEAVAHALHSSRFCGWCASPEHASSACDYPKHARCKCRAFPIY HTAKTCPILCSRPCGNPFPTGSTRHPAASRSAGAEGAILARIAGGTRRAG
SAPIO_CDS8473	MKIQTLAFLAVLSASAVAVPVGTNTLISTFAVDADVVPKGYSIE CRSEAGVKLCKESDEAREFLQCRGNGEITGVEGSRFEYCARDCQCLTLNGACGNRSTC 
SAPIO_CDS8474	MGPTPSPYPPSYANPQFTGYEGVEGYDNQQKSSDVYRHSQVVTV PSSSWPLPNFRPMTLRWPFLCVIIAVIMGYMAMTEYALQRLPPETGRGEIRPYSDVDP ERRKSIEAGGITSTIGLSTGALSVIQTPAPTAPAVLRRRPQDEISPSVEVPPISDPPA PVDQSPNQGTPSDDPTTPDPPSTPSDPDERGKDEITITGSVPKERHGNLETTIPIVET PVEIPTVIGGKSTTITSTSTMKDVVTTVPPTPIYVTPDVATLTDSNGIPTATVTSTPG PISTPTVITTTDNQGRPTVITTDVLATVSVSTLTDSNGVPTATQTFYPSMPTSQTRVI VIRMKRRDYVVGFFLPTILSVLLAIPIRMIDLNVQLYYPFHELTRADGAPASESMLLR MGGIFGLQTAWRALGQKKMLPFLSTLLVWCSAVLIPLSTEAVALKLYSLNGKCSIHNF EGCMMALAVFTIPARATMALLAFMIVLLCFIMISLRKWQSGVAHNPWSIAGMAALTTN PQTRAAIAGLPTRIKDVKYSRIREYLENKNFRLGYFVNARGEVEYGVMIHSESTPLQA PNPGNEDVWEFDEMTKGKKGRRIQNRLPFLMLSVIGRLVFGLFLAGLLVLILYYRFTG GETGFNQFMSSSTFGVRFLFTCFGVIINFFWSSIFEGLAIMSPYQLLASSPQPAERSI LLAPPSNSFTGLWSAIKRRHFFLVIVALAASFAEFLPILLNNVPFRVTQTWETSIICT YTSIGTLALMLIVIFASFFIRWPAMPVDPTTVAGAMYYICDSRMVWSFSELSMTGKAE RNGRVSRMGAMYEYGAFWGLSGHRRLGVDMVDGSRMG
SAPIO_CDS8475	MASATSSSALGRGRTGRIPANPAKNGHGHPQATRTLVIPSGSSA LAPGTSGPGMKALISPALGNVTPISNIDLFLANLRILDLDLLPDWPDISAHTFASSTA SQGQKRRVQAVEWALYHLFFLWDRDEAHNKLEPFFPAEDQVQSLNLRAALLRCLEQVK KNGFLGRDTVIRKTMLDECKGDRLAEVLSSFSFAVVRKVVSDRSEKTGEHPALAQSLA LENRGYAGERTELTILKFAHQVSLSRALQRKNDARAVYADFSELLDVKERGLARKKEL VKSIDAQEGTQEVSEAKKAEVIRLVRNNWTGNEQWMETLLYGDENSRRDGLLGAPFDK VWRRIQAGRLSELDDRGTGLLEQLESRVRFHRERLQKWQGFRDTLLGDAAGKEVPVHD TSSASKKGLGLQLNAHQDLHLGSRSLQEGDKPNEGPPLSPEYAQLIRSLKEDLTAPGK PARKPLSELIPKPAILPSDRLSVVENSESEAISELEEAEAEVSKPVEPPPPAIRRLPQ RKPSHLARPSRKLVEEELPRRETQTKEFEPRRPRRELRIPKAYPVAPERENAVSPPPS PTRCPDPVPPPLTRSPAKELISRETTRPRCPDLEPPTREPIIKLSKDEEEREPPKSPT QQEADDILASMNNSSPSPTKQPRPRHTLSLAERTRLSMARMSHSSRLFDEEEDGEATL SLQRPRPKPTPEPISEDGAEEYEDLVARTRKSMAGFEAARQKAQLERRRSQRKSRAPA RREGSYFPKVEEHGQEDTSILAEELMQGEQNYEDVFMSRPKIKASPIPSPTKEWSDED E
SAPIO_CDS8476	MKPHVVIILLKLNIKSHERAPTARDSRIERRGLAPPNILTMAPL LSGRLLPVLRSSPTTSLVQPLAARLASGSHFSTSSPAFNKARRGLPDLPPKSSAPKSS QSSQSNDPLADLKSSRSSHPYDATKSSIAQLIGADMTTAFSSLEFSPKLLRVPVRSAP STGRTVHVSGPVNAARAFAMVNQRCTQNRIAAIANQQRFYERPGLKRKRLKSSRWRAR FKKGFHATVVRVQELVRQGW
SAPIO_CDS8477	MSYNPYARMNQFPITMTLAPNTMTDGYSSTAPGYGPPPTYGGFP GAGAPMGMVPPPGLGPPPGMSSAPGMAPPPGVHQPSATQANRPSGLPANFQTPPNLPN INFNAKVIRLGTSTPKPSTPTGSGRRGDDALGGSAGPRAGLGAERGGEHGRSSARESA QLFIPFSHEERLRTVFVHKIPESVSDDIEKILNAVGRVRRWDSAASVLSESAGKKFGF ALYDDAESFANALELLKDVEVPVKRQKPTEPDTEQTDDFEGIGKVKLQISVDENSIKY AESYRENRGEDADATSRLESARAELKRVIRELFYPAPAKKPDKDGDVAMGEANGENVE VVNIPLAQEDELADIPAEMREIVAAEIAAFRERSNKRDMERMKREEELEEMERMRSSR APRSPRPSESAGANNIPLGPRGLQNVPSGPKGQNGTGRGVTFVNGESTFDEDDTDASD EELQRRHLAKQKSEDEQMYLEAERKWVNRERSRAAALGREKDREKQEAESLERRQQEQ LEREKSWDDEKEASRKAHLYYRDHAAWVRKRTGDRADEQARDQADRRAEEEEKRREMA ELERTRGMADSFLDQQAKEMEQRETAAAPQPFKLSLGAAAQRAQAQRAAPQRRTIAEV EGLLDDEEQEQTAKRQLIPIQFEPSAASAMSEEEISNAMRSLAQEIPSEKDGLWAWEV QWDYLDDTIMRDKLRPFVEKKIVEYLGVQEEVIVEVIEEHLRNHGKPSDLVEELTEAL DVEAEDLVKKLWRMVIFFTESEKRGLPS
SAPIO_CDS8478	MNLPFEVEQIQEEIRDVQKHLHAFGTRSQGYINKDRKEMLIQLQ KSVECINNTVEYFETGSTSAFPRLLTCNTIDPSPGLTHETIISEHLRDMDTRFADLHD AASWGLERAELIKKISNDTDGDLCIICAKLGSSSTRIQSALRAAQSQHWEKETELSRA ITRLDSVQHELEILETRLKKYEEMRDDMRREHKAAIGNATNAAQRLQRYCDWLGGITE EISSFVVERVVEYQAIKESTDDLTDWANGLRRHTETMRSWRSSKAQLRRTARKIVDSL LEKDDPRVQTISNQLRRLYTTSTSVRALGYS
SAPIO_CDS8479	MSPSDDGDAPPHEKESSSTAVTETSDPIPDHTPSPATLEVPLPR LQVPSQPKYTRPLPSETATRLAKEPLNEARRRSNNTRSTRHILLHFTGSVPRYTNPEE ERRKAEWETKRKSALEAMERVAEPGKRSRLSHLWRVTTRSEKLRMHHEPEDCDARQAC NFWFPQPASVPIHICDFRHEGGSRYTDTLGHIENWFESKPDDVNVRWIHVPLGKGTLQ STIEDMFRYTGSGEIGKPFLKGSPDPSWNYPELSMLTFVNQERYIEKMDAFRFLSNLE VLANGVGDDPLQGLTRRQINDVTWRADHIGKPIDFWDIVRADFPNPLPERFLGQSSNT NVKGPLPTENDKQAISEHGHFLNSMLMSCQLRAFHRSDGYLLTFSNQTGVDYLGKPFQ EWLQQPDQFMADSETSILAHVAENFTSSGTSRWHFPTVEWLIVYIMTEAATVPHNIRQ GRNSTSLLTAYQDIARELKQRQKRPWERGESPRLVRRYLNCLEELRAIADLARQKVGI LNGMLIDAERFEAEYAKEGILPNDDDERETMKERIEWAIDMVKEQRDDSKFLVDYFET ALTELFQLRSIEQNEMAIVADSQNKAVLLFTGVTIVFLPLSFFTSYFGMNLQGVVDTN KDESYFWSVCGSIAFVMVLSIVAYAFRVDLNRQLHMRSLAKMKAIKGL
SAPIO_CDS8480	MLKPTLLSSLILGFASAQNQDSRVVAKSFTSWDCCKPVCANTVT LRPDILTNRGVAGVCNASNQPLPLQQGLLAQSSCAGGTAFLCDSYQPVPVSEELSYGF AIQVGGSPMADNANCCRCYEAQWLTGAASGKKMIVQIINIANTPGADSDVQLDDLIIL TPGGGVGPYNTGCRLQYGALYAGAWYVVFLK
SAPIO_CDS8481	MNEQPDVIVAIDLGTTYTGVAWMTQRTPIQIINEWPGSGDRAER KVPTILLYNADGTLSSWGFSCADDYDPHNVKVRQEFFKIFIDQDTLEAAQQQGFVNVP RSTSEAERFATDYLRQIYAQLKETVEMQMGLSPYGNWRHLAVEFMFSVPTTWTSHSII NTFKKIIRNAGFGIEGPRHKAAVDLTEAQAAAVATLKYSPVRFQLGTVFLTVDAGGGT TDLALMQVTSTDEAFPKLSQMSAVRGVGVGATLIDRAFMRLVAQRFAAFPDIQRLLPP DYPVRFAQSYAFRMVKHKFGEKAYTFPVYRIAMEGVAADFSHAGLGIEGGKMVFSYQE IQSLFELQIEGITKKIGEQLDWLRDNGLPQQVQFMVLSGGLGSSIYVRQRLQQQFMSF PHPNAAEVAVLSCKDPQLVVVQGLLLNRKQQMDSGNIAPTLATTVARASYGVVVQEVY SPQLHYNEDVRKDMYDPSKMWAINQIQWLIKKGDTIDPNQPIVKSFEIRLGPNDMTRS WDSEIVMSPNEPQFLPRSLKQAGAIKLCEVKSNLTGVQQEQLVLREKRGTCFTRGYKF YICQFDVRVIVAPADLRFELWFGGQRFAGNHEPIAVSWDDLGSKVKSG
SAPIO_CDS8483	MEQIAVGVLLKGLYQTIVFTIELDQVPTALRTCVELVRTCYTDW CDLVELRNEYLALLESQPKILDRMNNIITNAHVSLQEVCVLVEKCRPVGGGSRPSLIR RLEWVLLDSTLFKQYEPVISRHHSSVLAELNFLRNLAFSSGTGGLQQEAEGGSDGDDK KPAKPKPTFENLDILGDIMGRSSSRHNQRNAHPGPKHCTIGSRGRFWATTTTTTTTTT TLHCLLGAPPKLSGNGKHG
SAPIO_CDS8484	MSWKITKKLKETHLGPLASTFSRSPSTSTITDKDEKSQSIAGSV TPTNEGAIPSEAMSQAPVVKPPKPGILIVTLHEGQGFSLPEQHRNVFASQHTQNSLSS GSALNIAGSVRPTSASQHVSGSYINGGNRPQTSGGGFTGIPTNHGRISGKYMPYALLD FDKVQVFVNSVDGNPENPLWAGANTQYKFDVSRVTELAVHLYMRNPLAPPGSGRSRDI FLGVVRINPRFEERANFVDDQKSNKKDKDKDKDKTAEKALGHSGVEWVDVQYGTGKLK IGVEYVEIRAGKLRIDDFDLLKVVGKGSFGKVMQVRKKDTHRIYALKTIRKAHIISRS EVAHTLAERSVLAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQKERRFDVN RARFYTAELLCALECLHGFNVIYRDLKPENILLDYQGHIALCDFGLCKLDMKDEDRTN TFCGTPEYLAPELLLGTGYNKTVDWWTLGVLLYEMLMGLPPFYDENTNEMYRKILSEP LHFPTDIPAAAKDLLTKLLNRNPDERLGANGSAEIKAHPFFHAIDWRKLLQRKYEPAF KPNVVDALDTANFDPEFTSEPPKDSFVEGDLLSQTMQNQFAGFSYNRPIAGLGDAGGS VKDPSFVGSLQDRR
SAPIO_CDS8485	MAPANTLPAWSELQNHRDTVGKSFVLKEAFASDPDRFSKFSRTF NNGAGAEILFDFSKNFLTDETLDLLVKLAEQAGLEKKREAMFNGEKINFTEHRAVYHA ALRNVGGWDMKVDGVDVMNTPGGVNDVLEHMRVFSEQVRSGEWTGFTGKKLTNIVNIG IGGSDLGPVMVTEALKHYGAKDTTLHFVSNIDGTHMAETLAASDPETTLFLIASKTFT TAETCTNANTAKSWFIEKTGGKGEIAKHFVALSTNEGEVTKFGIDAKNMFGFESWVGG RYSVWSAIGLSVALYVGYDNFRKFLAGAHEMDKHFRTAPLRENIPAIGGLLSVWYSDF FQAQTHLVAPFDQYLHRFPAYLQQLSMESNGKSITSDGPILFGEPCTNAQHSFFQLVH QGTKLIPTDFILAAKSHNPISNNLHQKMLASNYLAQAEALMVGKTADEVRAEGAPAEL VPHKVFLGNRPTTSILVGGTIGPAELGALIVYYEHLTFTEGAVWDINSFDQWGVELGK VLAKKILKELDEPGNGSGHDASTGSLIGAFKKYSQL
SAPIO_CDS8486	MGKKAVHFGAGNIGRGFVACFLHNSGYDVVFADVVQPLVDSINA NSSYKVIEVGTEGTEELTISGYRAINSRTNEDELINEIATADVVTCSVGPTILKFIAP PIAKGIDKRSADLPPLAVIACENAIGATDTLAGFIKDPSNTPADRLADHDKRARYANS AIDRIVPAQDPNSGLDVKLEKFYEWVVDKTPFQEHGVPTIEGIHWVENLEPYIERKLY TVNTGHATAAYHGYALKKRTIDEALQDEFILGQVRKALEETTDLIVTKHGIALEAQKA YAEKIINRISNPHLADAVERVGRAPLRKLSRKERFIGPASQLAELDKDVSALVDAAEQ AFRFQNVPGDDESAQLATIIAENSADDIVKKVTGLTESDKLYPKILEVVKKVKGE
SAPIO_CDS8487	MRLDVKRQLFARSERVKGIDFHPHEPWILTTLYNGQAYIWSYET QQVVKTFELTDVPVRAGRFIARKNWIVCGSDDFQVRVYNYNTSEKITTFEAHPDYIRA IVVHPTQPFVLTASDDMTIKLWDWEKGWKCVQVFEGHGHYVMGLAINPKDTNTFASAC LDRTVKIWSLGSPTANFTLEAHDTKGVNHVDYYPFPDKPYLLTSSDDRTVKIWDYTTK SLIATLEGHTNNVSFACYHPELPVIISGSEDGTIRIWHANTYRFEQSLNYGLERAWCV AYQKGQQGIAVGFDDGAIVVKMGREEPAVSMDGSGKLIWARHNEVISAIIKGGDASIK DNTPITLPVKELGTCEVYPQTLLHSPNGRFTAVCGDGEYIIYTSLAWRNKAFGSALDF VWASKENSNDFAIRESATSVKVYKNFTEKQGGLDVGFHADGLTGGTLLGVTGQGGISF FDWNTGGLVRRIEVEPKQVYWSDSGELVAIACEDTFYVLRFSRENYVEAVQSGQVDED GVEAAFEVITDINESVRTGEWIGAVFVYTNTTNRLNYLVGDQTYTISHFDAPMYILGF IQRDERIYLADKDVNVTSFGLSLPVLEYQTLVLQDEMETAAEILPSVPADQLNKIARF LEGQGHKELALEVATDPEHKFELALALNQLEVALELAEVADVEHKWKTVGDAALAAWD VALAAKCFTHAKDIGSLLLLHSSTGDRGGLAALAKQAGESGAHNVAFSCQWLLGDVDA CVDILTKTGRHAEAVLFSQTYKPSLTRPLVDGWKENLEKNKKGRVAKLLGTPGEDDDL FPEWDEWLKLESEGKSALGDNAAGNGAENGAEEEDAEAED
SAPIO_CDS8488	MTTTSTLPTPPSAMEAPPKQAPMDATPQPGTRTATIISQQPTSE PKPEMRSHLRGGGMSLGFDCCGGSCRFYKTCC
SAPIO_CDS8489	MATAEVDVPSSLRTVPGSVNISIATFPVTPNKSAPADVSKVAVD LVSSFNKAIEAGDYQAIADLFLDNGFWRDHFALTWDFRTAKGPDGILNLLKEAAGSRD GFRLKKIEIDDSTDVRAPKFKTLDEEGKVWGIQAFITLETAIGKGRGIARLVEVDGKW RIFTLYTSLRELTGHEEDIYSRRPVGVQHGGKPGRKNWAERRTQAADFNDGTAPAVFI LGAGQAGLTAAARLKALGIDTLVIDQNDRVGDNWRKRYHQLVLHDPVWYDHLPYLPFP PQWPIFTPKDKLAQFFEAYATLLELNVWTRTELVHARWDEDKKNWTVIVHRRKEDGTS EVRTFHPRHVIQATGHSGKKYMPSIPGIDTFKGDVLCHSSEFRGAKENSQGKKAVVVG SCNSGHDIAQDFLEKGYHVTIVQRSTTHVVSSYAITDIADKDTYSEDAPPVDDADIIV HGNPSSVLKALNVTITQKSAECDKEILEGLEKAGFKTDSGPDGSGLFIKYFQRGGGYY IDVGASRLIAEGKIKVKQGQEISEILPNGLRFADGSELEADEVVFATGYQNMRTQTRL TFGDEIADRVGDVWGFNEEGEMRTIWQKSGHPGFWFHGGNLALCRYFSTFLALQIKAL EEGIMSGGRQFHNDLQNFDFEPGFDKLNMSTAGTKKLRIGVDVGGTNTDGVLLDPTQS SSPSRGIVAWHKSPTTANPGDGINNAIVTMFASASIKPEDVASVVIGTTQFVNAVVER DPRRLSRVAVLRLSGPFAKHVPPCVDWPDDLREVILGYHSRVKGGLEVDGQLISSIDE AEVKEQCAMIRQLEINTIVVNGIFSPIDTVEKQEERVAEIIRAEVPGSYVVCARDVAS LGFVERENAAILNASILPFAARTIQSFQKPVKALGLHCPVFITQNDGSILSGEVVAKF PIKTFSSGPTNSMRGAAFLVQGEVEEDVMVVDIGGTTTDAGLLLASGFPRQQAAYSEL SGVRMNFPCPDIKSIGLGGGSLVRKGKSITIGPDSVGYAITTRGLAFGGTELTSTDCS VLADHSIEVGDRKLVEGSLSAEELEEYLAVVKFKLESLIARMKTSPADLPVILVGGGA IIAPKELSGASKVFTPKWSEVANAVGAAIARVSATVDTVQSTESKSAREVLEDIKQEA MKKAVEFGALPSSVKVAEVEELPLSYVAHKSRFIVKAAGDFDFTRTFTTTDHLDLAID QIEIQADTEMPSAISKASPTRDEIDLLSYKPTVKQGVWYVNETDLSFISIGCYILGTG GGGSPYSQMVLLREKLRAGAVVRVVSPSSLPDDALVGSGGGAGSPTVAIEKLSGDEMI ESQRELYKMVGRPATHMITVEIGGANGLQSMILGATTNMDVPAVDGDWMGRAYPTKWQ TTPVVFNERSPIWAPIAMSDGNGNIITMTGASSDAHVERIMRAALSEMGSQVGFADAP VSGAEVKRWVVENTISQSWRIGRAVMKARKTNNLDNVAETIIKECGGHEGARVIWKGK IVRVERTLRTGHVYGECVIEGMGGSQDAQAGVGRENNKGTEFSGFIKIPFKNENIAAI KIPPGAQSSLQDLGAKERQEDVLAIVPDLISVIDAQNGEALGTPEYRYGQLVIVLGIA ASDRWTSTPRGIAIGGPEAFGFHHLTYKALGKFAKPRSVIEEFNEG
SAPIO_CDS8490	MQPLACLLLAATAAQAHYTFPRLVVNGVPEEADWSVTRMTKNAQ SKQGIENPTVPDIRCYQSRNAPNIATVPAGSTIHYISTQQVNHPGPTQYYLAKVPAGQ SAKTWDGSGAVWFKFHTEMPRIDANKQLTWPGQNEYVTTNATIPDSIPDGEYLLRVEH IALHMAMQPNKAQFYLSCSQIEITGGGSAIPAPLVAFPGAYSSNDPGILVNLGSIGPD SYQPPGPAVWQA
SAPIO_CDS8491	MKRQRSPDNSAEEGRSAQKPKVSSSGSREPQTGQSGRVRFKLRI WTSLGSIRKAELNDPRRSPIGRAGISADSNSLQAPSGAGTVSQVRANAGETAQQPMAA AARRGQILTEESLGEDDDASDDEDDKSNGDFQMWLEDIGGHAALQPLGSTSPPTERPQ IVSCEARLIRRDKMRASFWQELEKPSSETSDLAFNLFDRYGRLDREYYEHDFRKGTGV WGNELDRGDLLLFESLQVGYEWRRRGIGRKVVDAVLERTRKKVDENTGFYAVVRPGFL LSEFDRSEDFGEREMRIAQSFWRSLGFRRVDGLRHIFRKLEDPATEEAECVSELEQNF PNDLEDPRWLVVDEKGNTALHIAAMSLKPKPVRFILSKASQLAAARNKQGYTPEEALR NRLEHRRTRGQDGRRLLVEPDEFKGFDAPAIECMATLQGTNAFDLSTLSILDIEAASY ATEDQVRSVPQFDIPGIRNTLRLKYGCTCGKCIGGFLSPRMMFALQSTAKIQYGLLTG GIYEASGPDWVEDNEDSLIYLPARVRDNLRTNKSMRQGFANMFDHIAECLCRKRIPSE YSVLEVLRLYQSEWPPVTKNFLQRGGSVAAVANLVFKRTREADEWAGDGSHRGDFGEE IDELPECRNDHEFGFVSATCGYSDKVESPPHYWLSYY
SAPIO_CDS8492	MFSTLSSYIYYPALPLVSKDLGVSISLVNLTVTSYLVVAGIAPS FMGDLADSSGRRPAYMLMFALMLSANIGIAVQKTYAGLLALRMVQSAGSSDLALSLGP VIGGGIAESLGWRWIFWFLVIWQGSHFVTMLLFVPETQRKIVGNGRRFVDYTYKQTML ELEREDVVSSKESLEFPEFPLERARLRADFFYRLLRS
SAPIO_CDS8493	MESYTLEARFKRMSVSDENGEDQKAKTRGITASLSHNSSKPNLP KIALKSRKQTTPVTSPPKATTRTPESRASPVKSTAEPRLEPKLIEQPAIKTFHLGMFE IGKPLGKGKFGRVYLAREREHGFIRALKVLHKNELHHARVEKQVRREIEILGNLRHPN ILRLYGHFHDNRRIFLILEFAGKGELYKYLRREGRFSESKAAQYISQMASALRYLHHK HVIHRDIKPENILVGIHGEIKISDFGWSVHAPSNRRTTYCGTLDYLPPEMVNPRRSDN SCDEKVDLWSLGVLTYEFLVGEAPFEDSPVMTTRRIARADMKIPSFVTAEAKDLIRQS NNIPGSSNTAEWGDSYDGASIIFNFLKWSAAGSFGTAESEIDPIRKRGK
SAPIO_CDS8495	MIETLKSYLDTYDDHGDGFVRMEDYGPYRSRNSGYWMASYFICW GMGIILSEEDRESIREYDITMGNVFGLTNDYFSWSVEKDQQTDRVRNGVRVLMKEHNI PADVAKVMLLGVIIEQESKAAKLKEERLRQPVSEQVLRYFQAIEFYVGGSCYWHATAP RYQIPE
SAPIO_CDS8496	MATEKLSGSIPVTGVSQAPLPGALSATPVFRTPFACVTMNMTDR LRFINFPKADFEAIRAACEAAWDRGIQEVRPYDQAMEIKLAGNPWRLYYTGDDKVRRL MRCLLENLFNRGWVLQASVDCCMKTYDKDSLIFRHQSPPPPPHEWLAVSFEGNDRLSF LETPNSDVINGLVQTYGDSVQWHGITEGHFEIKFGGMPWLPSGTDTVSTRILLLNLLQ ALEGFGYSLYASIAMEVTTDGKKADVLYFTRQADWAPGLPVWHR
SAPIO_CDS8497	MKSGLSATLLAAAFLPWTAFAVVKLELNVSALTDTVESDWTAVY YSQRQPLLLGNDGGTSTGGFHAWNLNGDSPIPVLGSTVSGRTKLVTTVHGINNKDWAI SIAQPDSIIRAFRLPELVEEKSAQLTALGDWSALCSWQSKTGNHYLYLFGKGQGVQFL ARKSKTAIELLEVQTFEVPFEASACAVSPSAGRLFLSADDDKSVYYFDLAESTKSPKF STLGQAESDVTGLAVYVSKRGTPDYLFVAQEDTIAVYTQSFEPVGTLSLTGLEDIEVQ GLSVYQAATSKYPLGAISYAIEADDDVAGFGVSSLQGVIEELGVTVNSEYDPRHQAAC PSHSPICKKCFGNGFCDKKSDCSCFAGFTGKKCDKFQCTDNCSGNGRCVGPNKCQCEK GWGGLHCSFIVVQPSYETDQNGGDGDDPAIWISPEAPEKSRIITTTKSAQGAGLGVFD LTGNLLQTIPAGEPNNVDIIYGFEAGDRKIDLAFAACREDDTLCLFEMLPNGTLATIP GGSQPVIDDYTVYGSCVYRSRSTGKQYLFVNEKSASYLQYELTATSNGTLETKLVREF TGGSGGQVEGCVTDEENGWIWIGEEPSALWKYDAEPDSTTAGQRIAYVGDGTLHADVE GVTLVLGKNADEGFIIVSNQGVSAYNVYRRASPHEYVTTFTIAKSSDGRVDAVSNTDG VAAVGTALGADFPYGLVVVHDDSNELPEGGTSAESSFKLVSLEKVLGAEPLKHLGLLD QVDTAWDPRA
SAPIO_CDS8498	MKWLPIVSALVAPSQAALRFGCSTLTIQRLDPLVEPGAVPSAHL HQIVGGNAFNATMEGDVGERGTCTTCTFSEDFSNYWTAVMFFKHPNGTYQRVPIMQNT ALPNGINGGMTVYYTQQDFSSNGNQKITAFPPGFRMVVGNPGVKTLAEQQANQGAPGL RFVCLQNKGTRFPELTNFPERPCVGGIMTVHHFPACWDGKNVDSADHKSHMYDTQRGT FVNAGPCPASHPVRVPQVAYETLWDTTQFNGLWPEGSPNPFTLSYGDDAGYGTHADYL FGWKGDSLQRAMDSNCMFNACENGRPLLSQGVNDMNKCSVAQLVNENIDGWLTEMPGQ GMIMNKNK
SAPIO_CDS8499	MRTSLSLSAAMVLALASVAKAIPLCAINCFQGTITDYPPLDCTE PNMYLCFCKSEFLAITYKDCTYTACSTPEDIADAINFGIDLCEQLGAPIDIPTGPPTT QPTVEPTPEPTPEPPSSEPPSSTATSARPSRTPWPECVFTCVNQGVAAGEPFGCTQDN PACFCQHEELVTGYRDCAYAECQSPEEITRVINYGLYLCEEAGIPVTVPTVPPTLVPT DIASTTSAAPTRTRTPWPECVFTCVNQGVAAGEPFGCTQDNPACFCQHEELVTGYRDC AYAECKTPEEITRVINYGLYLCEEAGIPVTVPTVPPTLVPTDIASTTSAAPTRTRTPW PQCVFTCVDQGLAAGTSYGCNSNEDLECFCSHDELITGYRDCAYAECESEAEITRVIN YGLYLCDSVNITVTVPTVPPTLVPSSTLPSGTADTTSSAPTRTRTPWPQCVFTCVDQG LAAGTSYGCNSNEDLECFCSHDELITGYRDCAYAECQSPEEITRVINYGLYLCDSVNM TVTVPTVPPTLVPTTAPSGTATTTAPTRTRTPWPECVFTCVDQGLAAGTSYGCDSNED LECFCSHDELITGYRDCAYAKCKSPEEITRVINYGLYLCDSVNITVTVPTVPPTLVPT TVPSGTASTTSTAPTRTRTPWPECVFTCVEQGLTAGKPYGCDSNEDLECFCSHEELVT GYRDCAYSECKTPEEITRVINYGLYLCDSVNITVTVPTVPPTSQPTVVPSATTSSARP SRTPWPDCVFTCVDQGLAAGKPYGCDDDEDLECFCSNEELVTGYRDCAYAECKTPEEI TRVINYGLYLCDSVNITITVPTVPPTAQPTASSTRRPTSRPTVTGEPQPTGGDDDDVD CPTESAKPPKPTKTPCQTGNCPPGHDDDDDDDEPQTPCETGNCPGGHDDDDDDDDDDE PQTPCETGNCPGGNGSDNGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGS GSGSGSGNDDGSSPVVVAMGNSLTAAPGLIIAAGLAFLLI
SAPIO_CDS8500	MDRGLYVAEVETQPAGRSSGSDNKDVKGVADGDLRQRRGSFDDA QSDRYRRSSLEDIQADQALEALGYKPELSRRRSTLQVAFMSFVLASIPYGLATTLYYP LIGGGPVNIIWGWLAVSLIIALSFGTTLFFVACINVFEKEPGVGVFEASTYQVFLIFL AVTVFQNLVAALGNKWLPILDTVAIFWTFAGVIAILVCVLVIAKNGRHSAAYVFGHFE SNSGWPAGWSFFVGLLHAAYATSSTGMIISMCEEVHKPATQVPKAMVATIFINAFGGL LFLVPLVFVLPDIQVLVSLASGQPVPTIIKDAVGSAQGAIGLLIPIMVLALICGISCT TAASRCTWAFARDGAIPGARWWKKVHTGLDLPLNAMMLSMVVQILLGLIYFGSPAAFN AFSGVGVICLTASYAAPIAISLANGRKHLADAKFNLGRFGVPCNVIALAWSILAMPLF CMPSFIPVTAATVNYAPVVFVGATLISALWYIVWGHKNYAGPPTHDPVY
SAPIO_CDS8501	MALCPVAQAGHPDMYGLGIRLAFYIQWIGLIIVEYLDESELIVI RLLGLALSAAAFIGLLFQAPANHLTLAEAYLVLLLAMSIYIFMIPIYLWKAATRFDPY WDPLRCTAEKRSPAFRMLNFVLLMALSCLGIWYWCAFVANRQQDCDDYGFFFSRVSVQ NKAFIAFNAMISFIILLGSLGLLVLRAGWAMNVFEKRKKGRRIRRVHKAALRGAKTFS NITVAAVLIAASELTISWNELPRANDIATVAQVIPLLVSSGIVIRVIFLYFARTHMEL SEELWLRLGSPAPAPAAAATTTTTRILPSTSTSAGCKGTFYAPSSSAAYNSSSAVTDR KIPVRCKKKAQNVWVGYHAALVTPQPAPSQSKQFNLFELIVPSHHSPDQDHNRRLPPP AHSPALPFTSRNRYREVMPPPKSSSSSAAKDKSKVHKLALKGSARLVAEFFQYSIHSI LFQRGVYPAEDFIAVKKYGLNMLVSADDQVKAYIKKIMSQLDKWMVGGKISKLVIVIT DKDTGEHVERWQFDVQIFGKSSSKSRSSSKAADQENQAPGSDNQPLEKSEKEIQDEIA AIFRQITASVTFLPQLAGDCTFNVLVYADADSDVPVEWGDSDAKEIDNAERVQLRGFS TSNHRVDTMVSYRLAE
SAPIO_CDS8502	MSCQHSEAIALRPPNPTQSVYREDCTQCFDSIDDPDGLNVCLQC FNGGCAGPQHHDKLHSAYRNHPLVLNIRRTRKVVVRDEPPLKMSKLAIAAETEEDKYD TATTVRCLECDTDLDKTSSKFAPIVDGILTANTFSRKEEVKAWEQELTSCEHILLLQQ QDPKTIESGDLGHCSKCDLKENLWLCLECGNLGCGRKQMGGVEGNSHGLAHALDSSHG VAVKLGSITPEGNADVYCYKCDEERIDDALGTHLAHWGINIADRQKTEKSLTEMQIEH NLKWDFSMTTEDGKELKPLFGPGLTGLKNLGNSCYLASIVQCLFDLPAFKSRYYDVSD PLPSVSDPAADLETQLRKMGHGLLSGRYSKPNTDYHTTDQSELRYQRGIAPAMLKHLV GRGHAEFSTMRQQDAFEFLQYIFTLIQRSNKASGKSDPTNDFRFVSEHRLQCLGCKKV RYTTTEQDNIFVDIPKEKITAEPSLTEGGETNADVYRAVTLEECLDRLTAPEIVELAC SGCGSKDGFTKQFRFRTLPAVLAVNASKMTVVNWVPIKIDVPVIVPEGKISFEKYMSS GLQPDEVELPDVPEPGAAAFVPDAAAFEQLQAMGFSKNRCERALHATGNSDANAAMEW IFAHMDDPDVDAPLDLSGGKASAVQVDPAQVEMLVAMGFREPQAKKALRETGGDAERA VEWLFSHPDDTGLEDESDATPVETGAEKELPGSSDLPANYRLQSIVCHKGTSIHTGHY VAFIRKHLSEDQSESSWVLFNDEKVVEATDVDEMSKFAYVYFFKRV
SAPIO_CDS8503	MLSRGLRLAPRALIASSIRPSVSISRHLPQSASTPSVSRSYHEK VIDHYERPRNTGSLPKGDVDVGTGLVGAPACGDVMKLQIRVDPDTKVISDVRFKTFGC GSAIASSSYLTELVKGMTLDEAARVKNTDIAKELCLPPVKLHCSMLAQDAIQSAISNY YTKNPEARPTNLGGTEKKLHEAGGAAASAA
SAPIO_CDS8504	MDSTPAANPVRFTSGDEATNSKQIIQNLEQEAFKDWPNDAGFDG LTEHRGPIEPNIKGDIPIWAAGSLYRTGPGICKVEDTPRGTVYISHWFDGLAHTHRFE IVPIGDGTPNPDSSGPKVRVFYSSRRQSDHVMEDIKRHGTYRSMSFAQKADPCVGMFG KFMSMFRRPSNLANICVTVSPDFVGKKALSASSSSLESAKPVPPVAANGLADLGHRAS AKNVFLATDAAVFSEIDPATLEPIGVVSQKKLHPDLKGPVSAAHGKRDPDTGDFFNFN MEFGRNATYRIFQIVAATGEVKILATISSSHVKPAYIHSFFLTPSYVMLCVPSVHYKY GGATIPFEGNLMDASVFDATQPCRWLVVDRKGGKGLVAEFKTAGAFFFHSTNSFEENG DVICEFVQFKSGDILKAFYYDVMLNRNNATEEFWKEGSRKTDAHPRLVRYRFPTKGVS GGKGGVASHDELIEPILEIPAPHAGELPTFNPEFSLRRHRYVYSVSSRGLSTLFDCII KTDTETREVLMWQGPAGHTPGEAIYCPRPRSGALEDEMEDDGVLLSVVLDGSNRASYL LCLDARTMKELGRAECEFAVGFGFHGRLAKAVL
SAPIO_CDS8505	MRADAAAAMLAFLASTAHAFGAKPSPKPTKVETFKWKYPFEPEA MANYNAACEASQKFDAQEYTLHTLMDKPPLGLGPWATGLKEFFTGREYPGGWGGWDRH LHDRNLLKMEYSDMPLKVREWIEEQERTEGPGKGLFAVFQKPKDDDDTIDSTVEVKES VDRSGDGEKVAIFAPGAIYEILPLWVAEGSNCEEQFSDLSKYQPTHADGAVVAWPSKT NPNSERVISIKIDANVLAKKEGVVEETAEEAKEEPKADKTEESKELPEKNKAKEEKTG GKDEL
SAPIO_CDS8506	MPTAFQSAVVTSSASATGAATTTFIPIIVNAATSSIDAVVESEV PAATVPSSVPPGVDLGPLLNFTTWLMTGLAFAILMLRIYCKASRKRRLWWDDYVLSLA WICLAVAGTMTTVSVNFGYGRHLDAIAKEDLDRMPTIANAAGFTSVLAAMWSKTSFAL TLARISEGWIFRLTWIIIVSINLIMGSSAIMVWIDMDNDTKINYFIFTTAYSGAMDVL LSMLPWKIIWHLRMTKKEKIGVLVAMSMGVFAGATSFIKVSRVPTINSPDPIDSVQLV IFGIAESATTIIAASIPVLRALIHEGKSQRPKHQAPIELDSISSKVPLRRAGSVATDY SIQDDVTHYNVPVRIDSR
SAPIO_CDS8507	MADARRRKQAQANNADSPAPPPTPRTAEPSASHLEQDDVEKAVP RLPDVNGSVAAQWVRTPERPHHRPLANFFRRVDTIKWTRNRLKVLTPAIRKMKRGLLA GAGKPLPAAFIEFTTQAEAERAYQTQTHHSPMYMSPRFIGIRPDEIIWNVLNMGWAQR IVRRFAVLAGITAAIIFWSFPAAFVGVMSNIYFLADTFPFLSWITKLPAAITGVLQGF APALALSLLMAAVPWMLRGCARVAGIPSLSMIELFVQNAYFAFQVVQVFLVTTITSAA SGAVTDIIKDPLSVKDLLSKNLPKSSNFYISYILMQCLAAGAGSMVHVIEIVRHHIIY ACIAPLVLAFATVGLYVIYLVYRYNLLYIYNTEIDTRGLVYPRALLQLLVGLYLAEVC MIGLFALRSAFAPMLIMIIFLVLSVLIHLSIDDAISPLLYNLPRTLPIESEELAGGCM PFEIGQNDVITGQPPSQSSPASSSTLRPHDSFDVVEDDDGKEDIEENITPRTRGGGIQ VEGAEGFMSSILDNTKEKATKRLRTHAEALGLTGVLAYFQYWIKPDRNIQPNFVLRWL HPGIFEDFSTLQKMLPPDMPDPTESYPRNYAHDAYWPPVMTTPLESLWIPRDEGGVSR QEVKHSGEVIEITDEGAWLDENGRVKLDFEKAPYWKPRILY
SAPIO_CDS8508	MPSAESVAVITAEEPVVEKAKKQKKDKKDKKEKKEKKKSKTKDV ETPDVADATNGETVVSDGPEAEEKRKKKEKKERKKEKKDKKEKKKKAKQVEDNTQNGT ETEDTPMVDATAQESNKKSSKKKRKHDQVAEDAADSTNAADSESRPKKSKKEGKARNG VESNGAANGHAASGDTYTQSAALDAVPQSEIDDFLKSKFVAIADPTGKLSHLRPIVQF SHLPTTRLLEKSPFKAFSAPTPIQAASWPFTLSHRDVIGVAETGSGKTMAFALPCVEG LLRGPKKRGVKAVVVSPTRELAMQTQEQVSQLAGLVGLSCVCIYGGAPKHEQRALLGR GADIIVATPGRLKDFMSEGVCDLSNVQFVVLDEADRMLDTGFEEDIKQILAATPPREK RQTLMFTATWPVSVQALAASMMVDPVKITISNGADDRADGELQANKRITQRVEVVDPR AKEGRLLQILREYQQGSKRNDRILVFCLYKKEATRVEETLSRKGIRVAGIHGDLKQEQ RTRSLEAFKAGTTSVLVATDVAARGLDIPEVKLVINLTFPLTIEDYVHRIGRTGRAGK TGEAITLFTLHDKSHSGELINILKQAGQPVPEDLLKFGTTVKKKLHPVYGAFYREVDT TNVPAKIVFDD
SAPIO_CDS8509	MSNSPQGSSALRGASFLIILQVSSRLLTFFANQLLLRFLTAPLL GLSARLEAYYLTVLFFARESLRVAIQRQPISTDDEESERQGELGGDESKRVKKTKSTE PDRNGEQAQAVVNLGYLAVVLGAVVTAVLGWFMHLSSGQGSEERFDEALRLYALAAIL ELLSEPCFVLMQVRLRFGTRALAESAGAFLRCGATLGSAIYASRNGLDLGVLPFALGQ LAYGATLLVVYLGAGYGLATRDHFSIFLRRIGVKTGSKDEKAKSVTGGYLFSYFYQPT LSLASSMMAQSVVKHFLTQGDTFLVSILSTPHAQGIYALVNNYGGLLARLLFQPIEES SRSYFSRLLSTSSSSTTAEPSKSGQNEPAATKPAVEKARSDLHRLLKFYILGSTIVVA LGPLAAPYLLSLVAGRSWSASASPALVAYCYYIPLLALNGVSEAFVASVATEAQVHTQ SAYMAGFSVVFGLSAFTALRVLDMDPPVGLVCANAANMVCRIVWCAFFVGRYFREKGV GFDLSSLSPSGMTVAICAVTPYLVRRALLLAEDVVRGSAFMSLVVIGATAIPIVALLA FAERRFLIECYSSLRGTTSASASKSKTT
SAPIO_CDS8510	MAKEYKLKDLSALSLKPGEMQEVEVEGVESGKVLLINAGGSIQA VGSKCTHYGAPLVKGILTASGRITCPWHGACFKAKSGDIEDAPALDSLSVFPVAERDG AVYISGEEEAIKSGRRKPNFQCRAAAASKPQEQKVLVVGGGSGALGVVDGLKENGYEG PITVISSEGYLPIDRPKLSKALSTDPDKIAWRDGAWYKSGAVDIVDDEVTGVDFAKNA VHTKKGETISYSKLVLSTGGTPRRLPLQGFKTLGNVFTLRTIDDTKKIVGAVGDKGKK IVIIGSSFIGMEVGKALSSGNSISIVGMEKVPLERVLGEEVGAGLQKGLEALGVKFYL SASVEKAEASAADASKVGAVVLKDGTRLEADLVILGVGVAPATAYLRDNSAVSLERDG SLKVNEKFVVEGLKNVYAIGDIATFPYHGPGGDGRPTRIEHWSVAQNAGRAVARDITH PKEAPWSFIPVFWSALSGQLRYCGNASAGWDDIVIQGNPAEGKFVAYYCRGETVLAMS AMGRDPVLAQCSTLMYENKMPSKSQIVGGLDVLTVNVN
SAPIO_CDS8511	MTGDDASEAPSQAESDGPSPSSSDVPPLRCCCGDLNCIVLRHNC SILESVEKDVHMAAKLGQALLVRHEAYMVDTERDRATLTSRIKQLETDKRELEAMNTR TIEENRALLDQLEELNNSVSESESRIQVLEASLQASEQTIRRLEITASRAADMERHIT TLENDLEHAQNTLVNTEEESRSAVRRWRLAERTIADLQSQLDLMERGAREERERQAEV IDRMERQREIERELSTAAGRLKGAAAVKSLGFGPQSSTGAGTSTVVSHFVRDLLQDNA NLQLGIAELRELLANSSDEIQVLRERLSLHQPIHETSPAALVSNLRAELGAPQSPPSR EVHIHHHYHVTPKQENRKPKRRRQGMNSLSGFMPPTPEHSSPTLPPTAREQWQRQAIH DPYSPRSPITNESETESSVRWSRASNLISEFALSTLSSSPQSNPRLSMFDGSMALDSD GPPSPSTSIDPLSPTPKSDGNGMQMPVMPLSSNFQGFMPSSPYPACTTALSLGIVELS QPSLPVQHPENVGEDTVEEVDDDDDSSTRTTTERDSPRAEVGQLEEVDDMSSPPSPLQ PVLRRTVSHESIMSLSGGLDIHTLKNRPSQLTLCHLGSAQAIVTGITAQPTLSTLGGK RGSAVLRDSLAALPSPTPQQTPGSSFGTLGKWRGWRPWGGRASTGGDEGSNNNDDPPT NDTSAETETAVSVRIKPKPATKEREKDIIRTPGINQPGAIPGFQEYWAASQRRRGAPS KVQPDTVDRAALKDILES
SAPIO_CDS8512	MTKQHQQHQPGAQQPQSQHQHQHQPQGGSTQQESRSPSLAYPLG ASSVGAPPSDANSAAMPSTDQGSDEGNPVPSPDDLDHENEDNDSVRLGKRKRPLSVSC ELCKQRKVKCDRGQPACGWCSRNGALCEYKERKKPGLRAGYGKELEQRLDRLEEIQRT HSQILEAYMAATASTSGANINTLASNTPSLRLSNPSVPSVTSEQGTPREQGHSSLFRH PDPMQSSHHGEARVFLQPKPQGFQPANPVSFTGLGPSASVSAPLHDGFQAQHPEQSHT VATATASQQQNQQQHNQDYYSRGDVKVHPSSLLTQPSDTPAAGPEQELPPYDLLYALV ELYFKQIHTWCPILHRKTTFDAFFGGHTSLDEADRVLLHAIVATTLRFSQDARLTEDR KRHYHDLSKRKVLLYGLDNLSVKSLQALVILALDLFGSSHGPPSWNIMALITRAVVQI GLAVESSPLTVAPNLPSISTLRATILPEPRDFIEEESRRRLFWMVYLLDRYATIVTAF DFALGDRDIERKLPCRDELWAKNQKVETRWYLRGQTAGDGVIEQGLGRPENLGAFAYY IDILSILSQIHLFLKEPVDISAGPDVERWQRRYKELDSLLSQWQVGLPAEFGSMGCQS AANKAAACSWVMLQTTFHTTVIRLHSSAAYPTMRSAIFAPSYGAMQRCQVAVENIAAI YEYVNSNGLLDKLGPPFAFSLWVAARLLLVHASTMEHPLSPNIAVFLSALREMGRYWQ VASRYSQLLQRVLDEYRDSERKGDGVTPKSVKILADMRRTAFDLEWLISHQPRHPPPS AYAGNGGGGAGGSGLSGAPSRMPSLTPARTPAPNELEYLDVFNWFNYPRVPPGGENAL YPMAHGMVDAAAAGGGLAGGTAANGSGGDGHGGVIPVTAEYINFGTYAVDATRDWLGV 
SAPIO_CDS8513	MASSSGVREFPTIKAIRSYVISGVGAGGDYHNVKGGHWLIDTPI STPCSRWEEYRKSRTSWGINVLGSFFIEVEASDGTVGIATGFGGPPACWLIHQHFERF LIGADPRNTNHLFEQMYRASMFYGRKGLPVAAISAIDLALWDLLGKLRNEPVYKLIGG ATKERLDFYCTGPEPVAAREMGFWGAKLPLPYCPDEGHVGLKKNVEFLRKHRESVGPD FPLMVDCYMSLNVPYTIEIVKACLDLNIHWWEECLSPDDTDGFEQLKRAHPTMKFTTG EHEYSRFGFRKLVEGRNLDIIQPDVMWLGGLTELLKVSAMAAAYDIPVVPHASGPYSY HFVMSQPNTPFQEYLANSPDGKSVLPVFGDLFLDEPIPTKGYLTTADLDKPGFGLTLN PAARAKLIPADYLLSPPPARILSPPTPESEPEPEQDQDQKLQQEKLQQNGTAVQDGII SELAAKVEALVTDGTNGTNGTNGTNGTA
SAPIO_CDS8515	MDVGAGAAVGGNMLREVEETSLDEILSTLRQNLASLTAASTDPS TTQRTTTTAQPKQTSIAALDALISQHFRDTLSTQVSIAGRALPLIYAIVARLVTSESP HSPSPPVPGEHPGQGANSGNSCGKTVLVVDLEGRFDTTRLSCSEADLKHVYVYRPPRC MSGVEEVRKLVAEAQKWMLYGRHASRRREWWGTIVIGGPGGDINAGWKGWVRVDLDGD GVGFGMGGGLLSAEEALTTRERIQDVVDEAGWVVSSDWGGFKFREGRAYEERQVLE
SAPIO_CDS8516	MSLNQPARRIAIALSSSNISRQQCLTHLSSRTSPLATTLTVLPS TRRSKWSFSNLNPFRSKKTGATPSSDLDDPTIRRRMMEEASTPQLDSSIFAEEVSAVE EKEKEQGKKQQAAPIRAQHLAPTADPDPQSRQRWERKMVIRMVHRNGHETRQEIIRRT EREMRYRSPFLATSIKKLVHLARQIADRPLDEALVQMQYSKKKMAREIRHHLELARDR AIVEHGMGLGQANGEAPLEKPKKIKSKDGKWLVISDPTRIYIAQAWVGRGSWRGQRLV HLGRGRKGMHKKPSTSISFVLKEEKTRIREYEEALAKEKRQGPWVHLPNRPITAQRQY YTW
SAPIO_CDS8517	MAKCKLLVRGLAWALTLGVAVAQLESLDACALGCISGLRDKANT FGCEIGATTCMCTSPEFAASARDCAQQSCGQVDQINNFSATFCAAQAPPTPTPTPAPE PTQAEPAPTSTVERPTPEQAPQSSATEASSSETTSAPTETTSATAPAATTSSATTSSA AGAGGSEPSNDDSDNDEKDKKEEEDNSSNGGASGLSLAAKAGIGVSVGVVGLSVILLA VLLLLRRRRAKNGRRLNSSGYSISGPMPGSGRDYADSHSDFGKEHNGSELEMTSRRYE DMLPRAQPASVI
SAPIO_CDS8518	MVFGTLYTTPGDQPRTIAIKAVAKSRNLELKIIEEPRIPEHLEI SKLGKVPAFRGEDGMKLFECIAIAIYITSQDEKTTLLGKDKHEYAHILKWMSFFNSEI IYPLVEQYLPLVGIRPYNQESVDTFAKMAQAAVSVVEEHLDGRNFLVGDSLTLADIFC AGIITLGFQFFYGRAWREANPNVTRWYQNIISQPIYSAVTEKVEFLEEPKLTNVPPKK AEGVNGHSSGVEAASATA
SAPIO_CDS8519	MADVEELVEKLNTVDVTAFEDDHVSRQKLAMAARKLFHKLETKE EKTMRLALEEPIMFSGLQALIDVGLWEDWAAAGGGEKDVDELAKIVKKDVDPELLRSL LRLLATHHIVEETGKDRYAPTSFSLAIGDKSTLVAPGLTIRTDHVSQCAQNFPAFLAK TNYRKPLDDNNSCYIDAYPEKKNFWGRCESSPHLQESFSGFMTLWARQKRPWPEFYDT KALLEGADLSDGSAFVVDVGGHHGIDLLRVVDKHPDLPAGSLVLEDLPETVAAATLTT DKIKAIGYNFFEEQPVKCARAYYLHAVLHDWSDKVSIDILKQVAAAMKRGYSRVLIND IVLPDTGASSYQAGLDCLVMQASANERTEDVWKKVISEAGLKLVKIWPDGRGYESLVE AELP
SAPIO_CDS8520	MSPEIPTQVHLQDEILQRSLQNPEDFWAQQAEHLSWHKKPESTL RMAERMLQSGAVHPTWEWFPGGEISTCYNCVDRHVEAGNGDQVAVYYDSPVTATKERY TYKQLLGEVETLAGALREDGVKKGDVVMLYMPMIPAALIGMLAVNRLGAIHSVVFGGF APNALAQRIEACKPAVLLTASCGIVGNRPPIAYQPLVEKAIDLSSHKPGRVVIWQRQQ LRWGIRTWWSVPWWRRLQRLVCGGKATGGNQSSWQEFAGSAKARGIKADCVPVKSDDP IYIMHTSGTTGSPKGVVRDAGGHAVGLHLSISYVFNIRGPGDVFFTASDIGWVVGHSY ILYAPLLAGASTVLYEGKPVGTPDASAFWRVIEEYKVSTMFTAPTALRAIRHDDPNND AFSKVGERGGLRSLKALFLAGERSEPTLVTMYQGLLDKYAAPSPHVIDNWWSTEVGSP ITGRALNPHAAQDRTQVRYYTPVRIKPGSAGKPMPGFDVRVVDEQGKEVGRGSMGNIV LGLPLGPTALRTLWANDERFYRSYLRRFDGKFLDTGDAGWIDEEGYVHVMGRNDDVLN VSAYRLSSGAIEQAISSHPLVAESCIVGIPDQLKGQLPFAFITLSVTKHPESAIPDPQ LTLEIQSLVRKQVGAFAALGGIVQGEGIIPKTRSGKTLRRVLRELVENGTHGEFDEEV TVPSTVEDAAVVEAARAKVKEYFQMNWGKHKAIEAREKSSLETTET
SAPIO_CDS8521	MADDLSESLEKRKCLGADCENDAGSLQCPTCLKLGLKDSYFCSQ ECFKKNWNDHKAMHKTVQNKNGSNAAPGYFNPFPTYSFTGSVRPVYPLSPRRTLPKSI RRPDWAETGIPKAERRLNRSKIDLLDAKGQEAMRKVCRLAREVLDITAAEVRPGVSTD YLDEVCHRACVERDSYPSPLNYNHFPKSICTSVNEVVCHGIPDFRVLLGGDIINLDVS LYHGGYHADLSETYYVGDRAKADPDSVRVIETTRESLDEAIKTVKPGTLIRDFGNVIE KYAKARNCSVVTTWGGHGINSEFHPPPWIPHYGKSKIAGVCKPGMTFTIEPILTVGKP REVYWPDNWTNVTVDGKRTAQFEHTLLVTETGVEVLTARTENSPGGPVPMPTGSKDTG KVNGA
SAPIO_CDS8523	MSQKAVDCDAVVIGAGFSGIRSLWELDQLGLTVKCFDAASDVGG TWYWNRYPGSRTDGEAWIYILNFAPELLEEWNFHERYPSQEEIQRYLGRVVDRYNLRK SIQFDTRVVAACYSDSENIWTITTADGSSTTCRYFLPATGILSVPKNPPFAGLKSYRG EWYQVSNWPAHKLDFQGKRIAIVGTGSTGIHLIPKLAPVAKELTVFQRTPNYVLPGRN YAIDEYQAVDIKANHDATWEIAMNNPAGHAFKSSGRTVKGVGDPEKIRQIFDSGWERG GFNFQLETFDDCFMDPESNEEMSEFVRQKIRAIVQDPVTAEILCPKYPFGSKRPPAGH HYYETFNRPNVKLVDISQDEIDLYEKGIRAKSGAEYEFDMIIFALGFDAGTGAMNEID IVGSHGMPLKEYWADKLRTFAGVLVHGFPNMFIVCGPHVPAGNLPTFVEIAGAWIGKT IRHMEENKIATINVTEKTQDAWTDHVNNLWGSVFISEHAKANRSWFVGTNVPGKPARI MFYFGGPQLWNSWLEQESNGGWAGMDFSPPASTNKVNQEILGQNGSVNGLPVTAQALE SIILPLQSDKVGMSPAEKFNHISAASARYIDWAVKEVQERRLAVKQDYRAHWWKVLRD FVNSESGQAIIQQSPSTKQELEQLTSKLGVEGEAIARIGPEIVNLLIGKTHPLVHILR DDLLFRMYLSDEGARPNRYAGEYAKILTSQKKDLRILEIGAGTGGTTFQVLQACSPEG ENFCAEYVYTDISAGFFKTGQTTLKKWQHLLKFQTLNVETDPVAQGFEANSYDLVIAA NVVHATRSLTTSLGNIHKLLKPGGTLALVELTRLTPYFNMAFGSLSGWWAGVDEGRIE SPLQSPEQWDEQLRKADFSGVDLAAYDLPEPERHSALLLSTALATGPIVNGH
SAPIO_CDS8524	MQGHAQDFRDRSADAIRGRKTIPLLLSQPVARWSLAAMIVAWTI GLIALWEPPVVASALFAALGLRCLAGYVLSYDEKDDYVSYCCSGFLAATCSPSFLD
SAPIO_CDS8525	MDLDSPILQATALVAFFGVLAYQWHSSQKGSYAIPPGPKPLPIV GNVLDLPPKGEPEFLHWFKHKDTYGPISSINVMGQRLVIFHDKDAAHAVMGKKAQKTS ARPQLNFARLCGFDNFLITHQYDDKYRKHRKMVHQEIGTKSLSAGFYPVQEREALHFT LQTFHDPDGILQHLKRMAAAVVLSITYGYTIERKGPDPLVDLIEHAMENLSRAFVPLS WAIDAVPAINYLPDWFPGMTYRKTAQEWKAINEAAAELPYAFVKQQLEKSAHRPSYVS NLLEKNMTRSKTNDIKLDGDDEEAIKWTAVSLYAAGSDSTVAIITSVILALVMFPEVQ ERAQEELDRVVGGDRLPTFEDRKNLPYIDGIVKEAWRWNPVGPMGLTHKSEEDIVYGE YVIPKGSYLLPSLWWFLHDPKEYSDPEIFKPERYLEPLNEPDPSELAFGYGRRSCAGR FFADGSVYITLAQMLSVFRLCKDTDAQGNEIPVKLEAIPGMVNRPKEFAFKIEPRSQH HIELLERIEAAQEPETSDARFLDISPLE
SAPIO_CDS8527	MDRLPESFPVDYKPSARIVELSASAPIEEILSVIDRDGGVILND LVSAEELESIEKEIEKYTKGDRYEDDEAFFKGLIPQGTLLIGGLVGKSETLAKICAEN MVLEQLRKEILTDIGTRRVENYDLPYHIDPLLSVSLSFRVRYGAARQRLHRDDGTHLI EHESKPYHLKNSAQFGCLIAGVHTTQKNGATMFVPGSHRWDDQREPRLDEVTFAEMKP GAALIFLAACWHGGGHNSVPGFTRVLHGLFFCRGTMRTQENQFLAIPRSKALTMSPKM LSLLGYKQPTAALGMVENADPMSDLEGFIAAANA
SAPIO_CDS8529	MAQPVEPIQKRRLLRMTVSHYRQPNVSEEEFHRWVTENHAVAAA KLHAKNGIEGFSVYFTPKSFRDATQELNAKRGNPWVVRDYDAQVEFLFRDMETFYKGA SDPDFQALQLEEKPFVSGIHAEISIGWVETYVQDGKVVNVGEDGKSDYPKFKDLSVAP 
SAPIO_CDS8531	MAYSHPPKEPIAIIGTGCRFPGNSTSPSKLWELLLSPRELSKEI TAESRFNADGFYHPNGEHHGCSNVTKSYLLEEDPRFFDSGFFSIAPREAEAIDPQQRL LLETVYEAMENAGLTLQGLRGSMTSAYVGAMSADYTDTQLRDLENFSQYMITGTSRAL LSNRLSYFFDWRGPSISVDTACSSSLVAVHLGVQSLRNGECTISCVAGSNLILGPDAF LAATSLHLLSPSGKSQMWDQSADGYARGEGICAFFMKTLSQALRDGDRIDALIRETCV NSDGRTKGITLPSAEAQAALIRATYRNAGLDILRSMDRPQYIEAHGTGTQAGDPREAS ALSQTFFPPGQDHDDKPTMIVGSVKTIIGHTEGCAGIAGLLKVLLAMRNKTIPPNQHL RNLNPSVRPFYQKLRIPTTPQEWPPVPPAHPLRASINGFGSGGTNCHAVMESYVPEIH DHGPWGKPEALPKALTPISPDLDFTPIPLIFSATSESALVSMLEKYAQYMERNNVSLH RLAITLNSRRSTLPVRIAFPATSKRNLLEGINKQLSKVRRNPGTELGTRSSVIEFSEN RRPRILGVFTGQGAQWPGMGQTLFKRCALFRETIKMMEDSLAQLPDPPEWSLKEELLA PPAQSRLGEAELSLPICAAVQVGLVRLLSQAGITFHTVVGHSGGEIGAAYAAGKISEN DAVMIAYYRGVYAKLAIGEEGRKGAMIAVGFGFDEGINFCSTAQMKGRLTVAASNSPK SVTLSGDEDAIFEAKRILDNEGLFNRILKVDTAYHSSHMYPCGPPYLAALERCDIPVG ESNGITAWVSSVYEDSRIITPEEDPDMKALYWKENLIGRVLFSQAVEGALDDGRGALD LALEVGPHPALRGPTLETIRNKIGSEIPYSGVLDRRSDDLTALSAALGFVWTHLGPDS VDFNGYSSAFDEGYRHINAAPLPDLPTYPWDHKAILWRESRLNKQVRSRADRPHELLG NRTPDDTDYEPRWRNLFKLDEIPWLRDHRIQNQVIVPAATYCVMALEAARVLCRGRQV LSIELSNIAILRPIVLDESSDGTETLLSLRSDLDSTKRKSDLIESEFTLSSGTVEDGH MRTAVTGKIRIFLSGDQSSDSALFPLRASEPQSELLSVNVNQFYETLDEMGLGYTGPF RAMTSMERRMDMASAVVKVDEEVGKSIPVHPTWLDVCFQTFLAAFGAPRDGSLWTAFL PTTIGRMTFSPKARVSPEGPASMIVDAHLTNFTPGFQATLPTITGDMSIYNSETGKLE IRIEDFRLSSIVPATERDDRILYLKTAWQPDILSAPTFETQLTPPDQELRLIDACEKA IYYYLAKLKADKSFNEVAEKTPGLISLVEEAASRSPSEPTKSEFVAILDEFGEHIDMD LVRTIGESLLNNSQGPTPTPDTAQSSGPSLSELVTRWHNEGLGFAQLRKHIVSAAKQI SHRYPRLRILQVGPSSPNLVRGVCQELDRSLGSYTIVDGSGQALEELAAALTSDQLRV NTKVLDVENGAEEVRDIVTPGSFDLVIVHKAFRKQTTALKAIRSLLRPGGFLLMMAAT GNQLRFPFFLSSAPPVNEGDGSMQPKLTNPTREQTHGVLRKAGFSGIDSMGLDNISEK HTFSVVLSQAVDDEINFLRAPLTSALPISSRERLLILGGSSPKIANLIRSIQNRLSRV WDGEITAVNCLDGLKSEEDLKAGTVLSLTELDRPVLEHLSASSFENLQLLLERCNPVL WVTQGARSESPYQSGTIGLGRSFQSENPQKILQFLDLDTLDGSESIIAECLLRLTRGI ALRDDSEKKLHLWSTEPELVLENGQLLIPRLVPDSERNHRLNSLRRKVKTQAHVSSQP ITLVRSLQNAGEVSYAAEEGLHYHSNLAEFSIGSDQISLILEYCSLNPVMPNYHDQEL FCCIGRTQEGTRFLALSASNSSAITVPRAWTIRLGDEELQEHLPMFVSLISEIRAHVI EASMLPGYTTLLYGSDAHLAASLERRNAISNKNFAFIDFDYQARPAGVGLLGNHIEIG PHTSRRELESMIPERTRLLINLRHQTDSRILSAIQQALPANAAVVSVDSLDANGLVPH EVLFKALISVQSLPSPSSTPLDSTIIVKASMLVLDGVKQHPNVEVVDWAGDQIITLTK RPVNPRHLFSPDKTYILVGLSGQIGQSMCRWMVENGARNIVVTSRNPDKNALWRDELE RQGANIAIEVADVTNKQDLENLRTRILNKMPAIGGVANGAMVLSDRMFADMSYESFRK VLAPKVDGSKNLDEVFSADNLDFFLLFSSISAVTGQRSQANYAAANNFMVGLASQRRA RNLPASVIDIGMVIGVGVIQRTEGEDGVSALETNLRKLDYMPVSERDLHHLLSEAIVL DRSAESPELVTGLETYNISSQNRPFWHKNARFSHLLADESSSQTGQSSASSVQKTLKE RLADSPGPDEALQIMEGALLAYLISALKLSPDGVYRDIPLIDLGIDSLVAVEIRNWIF SEAGHDVPVLKILGGSSVRQICDEVISSLSFEGRQVESPEVKVQAAPLPTSRDWNKPT PDTNKGEDTLSSSASSGPSPKSDPLRDGQLTPTDNLSNSSISSEPSPKPDSIEDGKLS SPSHPATAPHVLEEKPPRPTPFRTESMSLGQSRLYFLTQYLNDDTVLNCTASYDLQGK LDVVKFEKALQAVIQRHETLRTIFYGNEQDGQPVQGIIEKSLFKLTIIPGVSDTTHDV KREFDRTHEYHYDLERGDTFIATLLTHGVDAHTVIFGYHHIIMDGVSWQIFQQDLAKF YNDPSSSLSSSKSKPTQYIDFILEQQRALSNGAYTERLKFFKNEFGEPVNPIPLFPFA KVSTRKSLKQYRIRNVVTHVSAEVVSALKKESQASRTTSFHFFLAAFQVLLRRLLDTE QLCIGIVDANRSDQSFADTIGFFLETLPVVFRVGNDQKFSDVLQTTRTKAYTALAQTG VPIEEILQACNIPASMTETPLFQVVFNYRMGAGRTSQMQGVDMRFLEYADAKNPFDLV VSVDELEDGTAMLTFSLQDYLYDQEGAELLVNTYTHLLDVLSKDITRSVGSIPIFDTP LTQLGITLGTGPIVELAPQSAGTLSKIINTWVDKDPEALAVKDISGKTMTYSQLSERA SAISTVLSSAGAESSSPICVLLDPSVDAIATILGILRSGAVYVPLDVRSTDERLRDIL EESDVAILLHHAATSKRAAELHRLSGNAQRISLIDLDVVSLNLTQKVNDTSTLDSLAM ILYTSGSTGKPKGIPLTNGNIRTTILAVSERVPLGREVVLQQSGQGFDAAIFQIFIAL ANGGTLIMGDNRGDPAELAALMAREGVTCTVVIVSEMQSMLKYGHEELAGCSSWRIAM VAGEAFTTNLLDQFRSLNRPHLKIVNAYGPTEASICSSISEVSSSDVKRGDFSIPIGR ALANYGTYIVDEECMPVPIGWPGQIAISGPGVTSGYLNLPQLTETKFIHRGFSNQGAP GWDRLYLTGDKGRMLSDGSIVVYGRMDGDDQVKIRGMRIQLDDVSRTLVQASRGTLVD AAVLGRRDGSGNQRLVAYVVFSRACQIDDKQAYLRQLNHELPIPQYMRPVIAIALDVL PVTDRGKLDSSKLVALPLPRVSLGDEETNEELTEQEARLRDVWRSLLGEVSLAIPIRR SSDFFSVGGNSLLLLRLKSEIRRVWGVEIPLSELFQTSTLELLAARLAGDSKLVHIDW EKETEPDNKTFLVSPPSANGLESPTRRRKEEGISVLLTGATGFLGTALLRQLVNLPQV AHVHCAAIRPGVPGELRQLGVDSPKIVRHSGDLALPHLGMSQEETSDLFNDVDIIIHN GAEVSHMKNYRSLRAANFLSTIELARLAIPRRIPIHYISTGGVARLSGAAVQPESSLA AFQPPVDGSDGYVASKWASEVFLEKVHRRYPGQIWIHRPSSITGDNVPDLDVVHSVLK FSKLMKAVPDLTGSSGAFDFIHVDTVSNDIARCVVASIDRKVKASDNALVYVHQSGET IVPVDQLKEYLEGSAAGSFRVLPLEEWVTGALQSGLDEVLGSFLLASKGVIRVPLLQR RKE
SAPIO_CDS8532	MDKFMDMTKKAGHRPELQRLIDLDAVYDDALGGKLILAPINLEE PGKRILDSGTADGTWLRGVRSKLSAEHQYFGSDIEPELFPDEPDGITYFQHSFNDPWP EEYQNTFDLVHVRGSLAGSAPKGPYEAVKGLASLVKPGGWVQLMEMNAFSPPSNGPAM TDFASMVKQAWTHIGVGDFANELKATLEAAGLQNLQEKRFFVNVGKSAKPELAAASVN GITGPVAPLTAVARSVPTSFSDEQLTALPARVKAELENEGGRVEMIVAWGQRV
SAPIO_CDS8533	MLELLELNQLLAIKRATLLGALTAGLCLSLYTQLRPVLRDIQHL PEEHTVTLSEARVDTEERRSLAKPPYPADVFPGGRLVKTVYGTIQVFEWGPEDGEKVL LVHGLSTPCISLSDMAKEFVRRGYRVMVFDLFGRGYSDAPNDLAFDARLYTTQILLVL SSSPFSWTGTAAFHIVGFSLGGSIAVSFAAYHTSMLRSMTLVCPGGLIRTSHISNRSR FLYSSGLIPDWLRLKLIYGSLEPRHGALSADVPDENLHADIDFDEVPISSDQPRVRIG DVIRWQLKWNSGFVPSYLSTIRSALVYRRHDGLWRVLEDELARRRTEDPPPGLPGGRI CLILAERDVIVVKDELLEDSKGLLCREAVEMHVMKGGHEVAVSRGKDVASIAIGSWNR QR
SAPIO_CDS8534	MGEKQVVLSDEAAAKELFVRRGNKYSDRGAPHAVEYISMNQNPG FRPKDEGWRRQRSMIQNAVSITSIKKYQSMMDDEATFTLYALLKSPSSFHDEFLRYSY SVLTSSMLGFSIRSADDPFLHHNEEFTAEIMKSFRPDCFPSNVFPFLRRMPLWLIPSL RKMESLRKEYVGQMWSLRRKIEKSVEDRSARESIYKHFLLNRSDYVVTDEEAVHTFQT MIDGGTRSPHNNLLTFLFLMMEYPEWQRKLQAEVDQVVGKDRMPSYEDIPNLPTVDPH G
SAPIO_CDS8536	MAPNKKKKKPAANSARGFATTSIASKPRIEQPEPDGPSSKATQA KPGSGTASNQTPGTPSASGQAGLAASVAPQKPELTPEEFERQLEVAELQLLVEKHAPK VRRDAQRQKARLETDRRLQRSSADPINCPRWLPPEIMDHVLDLIRAESRFAAVSMSSE AASTNSSGKMPPEEDLTIRLWTLQHTLISAGIPANRSREAISYVLDMSPNIPSNTKDA IWGLEEALDWLAKECGPDELPAYDARPRPARKPLENVEEAKAQNKTASKRNGSNSSKK PAAKKKVAVFCDSDIEPDDLIPEYLKAREKLFALERPLLDTKRRGKNARGKGPEPALN EEQEMEIVKLQAKIDRIDKDVLFDKGLAEMQWRSRKIILEKEFSEARKLESKAPQPDE NQVPETNKPGGGNENDVDDVNLEAERIAAEILAASNADEDEALADLFSSLPTTEVDQE TGKSQTVVNSSSGTRLVIRDFAKWAGVNPTRILQDSCRARDSSASIAYTLVSDSAFAN RYKVTIEWKTPQDILADNIVPEVSVNVTPTRSTFTMVSVATPEKAKSEAFIATYALFH IFGSSAKEDKVFLKLPPVWRDLWVEYSEIRKNQLDAADRAEVSEMRSLVRQRRDQELE DGVILQEFRGRVAARNQTDNKDDSSQDRGKSTVESADRLQALWAEKSSTRKFNVMLES RRQLPMWNFKSKVLAAIETNQVVILCGETGCGKSTQLPSFILEHQLSQGKYCKIYCAE PRRISAVSLARRVSEELGENRGDLGTTRSLVGYSIRLESTTSRETRLVYATTGIVMRM LEGSNDLTEITHLVLDEVHERSIDSDFLLIVLKKLIKRRPDLKVVLMSATVDANQFSR YLGGAPILEVPGRTFPVQTRYLEDAVELTGYSIGEDRKTAEMIDLDDDIPEPEPEPAK AGLMGDLSQYSPRTRTTLSQMDEYRIDFDLIVQLIAKIADDESYTRYSKAILVFLPGM AEIRTLNDMLLGDPHFGSNWQIYPLHSSIATEDQEAAFLIPPPGVRKIVLATNIAETG ITIPDVTCVIDTGKHKEMRFDEKRQLSRLIDTFISRANAKQRRGRAGRVQEGLCFHLF TKHRHDKLISDQQTPEMLRLSLQDLAIRVKICKIGGIEETLNEALDPPSQKNIRRAID ALVDVRALTAAEELTPLGRQLARLPLDVFLGKLILLGTVFKCLDMAITVAAILSAKSP FSAPFGQRTQADAARMAFRRGDSDLLTIYNAYVSWRRVYQQTGKDFQFCRKNYLSQQT LANIEDLKGQLLVALADSGFLLLADEERKALNRMRYSPGSRSRRQQKFYEIPQRVDLN SDNDAVTASVIAWSFYPKLLVRDPPGSRPLRNIGNNQSISLHPSSVNRGQLDLRWLSY YHIMQAKQVYHAHETTAVDPFAIALLCGDVRCDVFSGVITLDGNRARFSVPDWKTMLV IKVLRARLRELLNRAFRQPGKMPTAQQLRWLDVWQKIFALQETRDAQR
SAPIO_CDS8537	MTETTTDGPVAFDFPAAKAALMSSSTTARQAQLRVIDEKIAQKD LPPATITPLLKVLFWTHEAYHDRPSRRAVQTCLVSIIESGLNPDLFSPFVTAVVQECQ RPGLAPTTAFVLVEWCSLLTQHLSGTSQWNKFGSQILQGHALVLEKCLGPSAKPGVSH SATVITRRGLRKLLFQDGPAGEQVLINAVTQLAAKGSQPTARNGPFLGIIAGVSSRIP TAKPVLEKLKSQYTTFYSREVVNSRTSVPEHIAGAFSDYFSDFSSLEEFKAEVVPALE KGLLRAPEVVLCNVIVPLVSSLPKSFDLTEILAKNLLKPILSSAKSSNAAVRAGVYAS FAAISSRTKASPSLDAAVDEILGPLKSGKLASVDQRLLHAQLLEKVPVSNPKAEQVAS GLALIAPKEGNEACLQAETSTLVSCCLQLSLDIAKPVAEAFVKGLADKKIPNRRIWIL KTGQILATALDDDALTKSIAPFAEATVPKLLDSYNEVIANPLATSQSGLIVGGYVFAG LVPLLLAKLNSGAVKTALSKVSLSKQCLSLEPKPSFLLNPRIFSKLTIEDEYVWLCRT LLSIPAESRQVMAPPAALAWAQALIFLACSSTLNPKVTREARERISAAYLHEPEAISK LLINGLWGWIKSVEVADKESVPIICKSENDALFPVLRSICLNRATAQESGQDTSIQEL DEQLCSLVVLGRTELVGGAGWIDLCLKAERDPGELSRKYEDSLINHMMVAASGEQQVA KVRDAACKAAAELAFVSPATMTSRIISLIEDDLDPARLENIGPVEAAIFKTPEGTTFI DVLANKKKTVPDKNAKDYDIMKWEEELRAQLAEKKGQQKKLTADETAKVNAQLKKEAG IRESVRATAAKLDRGIGIIRSLATGPPTEDIMWIQPAVKSLLSVIDAGACLITGDSAP KAFISCSDRVSSRLGPTRVSIGAATLRAKGVLSLPEELMQEPLDDLITRVLYRLRFAG EQRPFDAVSFIYMVPLLLNVLHTGGASKTEDDRDAQLVLAVEVLAFHSDVCAEEAVPR SDILETLINSMQQYSQHYKAIKDCFADICRCVAPSMTTDEIEVLAKGAIVPQDSVRTA VLQSISSEVDMSELSFSNETWIAAHDDEPENRTLGQEIWEESGFSISPDVPFRMLPYL ESKDAQLRRSTARSLAEAVGAHASTLDKVLEKLKAAYVELAKPRVQKLDEYGMPKKMD LSDPWEARHGIARALKELAPHLTRTQLDPLFDFLIQQGPLGDQNASVRSEMLEAAIEI INIHGKGMLERLMKAFEKTLEGPDKNTEASDRVNEAVVIMYGALARHLKPGDSKLPVV IDRLIATLSTPSETVQYAIAECLPPLVVVYGQKSSRYFAEILETLLSAKKYAAQRGAA YGLAGLVKGRGIGSLREFRIMSTLKGAMENKKDASQRESALLSYELLSVILGRIFEPY VIEVVPQLLTGFADGNPNVRDAALEAAKACFAKLSSYGVKKILPTLLSGLDDQQWRSK RGACDLLGAMAYLDPQQLSLSLPEIIPPLTAVLNDSHKEVRAAANKSLKRFGEVITNP EVQGIVDILLKALSDPTKYTDDALDSLIKVQFVHYLDAPSLALVTRILQRGLASRSGT KRKAAQVIGSLAHLTERRDLIIHLPVLVAGLKVAAVDPVPTTRATASRALGSLVEKLG EDALPDLIPGLMQTLKSDTGAGDRLGSAQALSEVLAGLGTSRLEETLPTILQNVESSK PAVREGFMSLFIFLPVCFGNSFANYLGRIIPPILAGLADDVESIRETSLRAGRLLVKN FAMKAVDLLLPELERGLADDSYRIRLSSVELVGDLLFNLTGISAKDAEEAEAEGEAEE SLMEKARDAGASLKEVLGVEKRNKILSALYVCRCDTAGIVRAAAISVWKVLVPSPRTL KELVPTLTQLLIRRLGSSNMEHKVIASNAFGELIRRAGDNVLSTVLPTLEEGLQTTDV DAKQGICLALKELISSASEEALEDHEKTLVSVVRTALTDSDDDVREAAAEAFDSLQQI IGKRAVDQVLPYLLNLLRSEEAADNALSALLTLLTESTRSNIILPNLIPTLITPPISS FNAKALGSLSRVAGPAMSRRMPHIINSLLDNIVTCTDETLKQELDESFDTVLLSIDEN EGLHTVMNVMLQLIKHDDHRRRAAAAHHLGRFFEVAEIDYSRYNQDIIRALLSAFDDG DLDVVKAAWAALSQFTKKLKKEEMENLAPSTRVTLQLVGVPGANLRGFELPKGINAIL PIFLQGLMYGTADQRTQSALGLSDIIDRTSEASLKPFVTQIAGPLIRVVSERSTEVKE AVLLALNNLLEKIPLALKPFLPQLQRTFAKSLADPSSEALRSRAAKALGTLIKYTPRI DPLIAELVTGCKTSDPGVKTAMLKALYEVISKAGANMGETSRTAVLSLIDMETDERDD AMTVTNAKLLGALIKNVPEEAANGLIKNRVMTGNFSNSSVLGLNAVLLESPDILLNGP MAEDLPELLCRGMKNKINFIAENCVLATGKYLLHDSSKPFETTKQIFDTLAEIIPPGN PSDSRRLALVLIRTLSRVNIDIVRPHTPLLAPPIFASVRDTVIPVKLSAEAAFVAMFS VVDEESKIFDKFMAGPGEQLPPNTKRSMQDYFKRVTLRLGAQARERREAEGGQGGLGL SNDEVEDEKEIWSVGRVDVGEGVANLLTQKRLAASVIGCGKNKIWLDPNEVNEISNAN SRQTIRKLIADGLIIRKPVTMHSRSRARELNLARRDGRHRGFGKRKGTANARMPTEIL WMRRQRVLRRLLVKYRASGKIDKHLYHELYHLSKGNTFKHKRALVEHIHRAKAEKQRE RLLKEEMDAKRARTKAARERKLERAAAKKNALLEDTEE
SAPIO_CDS8538	MSRHALRLPLGSLWRTTPSSSVPCLTRVAGLSTTRSRRSPGGHY YSSVSLDNQTQALSAHLDQADPAIFNIVLKEENRQKHFINLIPSENFTSKAVLEALGS VMQNKYSEGYPGARYYGGNEFIDEAERLCQQRALTAFDLEPSQWGVNVQPLSGAPANL YVYSALMGTHDRLMGLDLPHGGHLSHGYQTATKKISFISKYFETLPYRLDESTGYINY DQLEELATLFRPKIIVAGASAYSRLIDYKRMREICDKVDAYLVADMAHISGLVAANVI PSPFPFADIVTTTSHKSLRGPRGAMIFFRKGVRRINPKTKKEVLYDLEGPINSSVFPG HQGGPHNHTIAALAVALHQTQTPEFRAYQTQVLANAKALARRLGDSKEKGGLGYSIVS GGTDNHLVLVDLKPQGIDGSRVERVLELVGIAANKNTVPGDKSALIPGGIRMGSPAMT TRGFSENDFERVADIIDRAVTITLRLDKAAKKAAEEKGEANPRRLKFFLDYVGDGSSD PEIVQLKSEVADWVGTYPFPWDSRQGR
SAPIO_CDS8540	MSSNSGIRTSPDGDVEMEMDPKISVDSEPAPAPSGNSDRRQSLT PTAVMVTHPRPVDLAHTGLKRSIAVALDYVGFDSADSVAMESFALAVEEYLQSLIEAL KHQALSARREQPNPADFETILRTFNLPIDSLKPHARHPIPKNLLVPKTKNISVPRGSY LRPLPTLSEELSGKPEKESKEYIPSQFPEFPSRHTFVSTPREEARDKKDPNLMREEVS KAAKQGEDALRGLLRASKVRQQKEVRSQTQRYPASRERYKLWEHAMERMMKSRDGLSA LKDSTSEKPLGDQIANASMIVNSATESHRREGVRSTRRPAARTT
SAPIO_CDS8541	MAEQLILKGTLEGHNGWVTSLATSIENPNMLLSGSRDKTLIIWN LTRDETQYGYPKRSLHGHSHIVSDCVISSDGAYALSASWDKTLRLWELATGTTTRRFV GHTSDVLSVSFSADNRQIVSGARDRTIKLWNTLGDCKYTITDKGHTDWVSCVRFSPNP QNPVIVSSGWDKLVKVWELSTCKLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNANDEIHALVFSPNRYWLCAATASSIIIFDLEKKSKVDELKPEFTA VGKKSREPECVSLAWSADGQTLFAGYTDNIIRAWGVMSRA
SAPIO_CDS8542	MATLDMVDADKLSQCGYFLGDVYVTDDAQGDIVLGGVNSIGGNF FVNTTQNPSTGGLKSVSAPDLRTLEGSLRLGPHSTLQRVDLPQLNQVLGSFLVGTVPE LTELNAPALGVFRGSFFLTDAPKLETFTLKANTTYRNELEKAGEVRIGNVGVRNLTNV FNGFSAYSVWLANLPNLNDLTMYLGDVKYFDVAGNGRLNLTIRSLCFEKPAVHINITG VSAMNSLCTQIQGRKFFFENNTAEVLPFWIKGLQQLRIQNNPNLRLAIPGSTPFNDDW SLREVIITDNPKLRLAQSLGADDPLVRNCDDFYKGKSSGYDTRETWVFDWDLLEHLEI HADIEPKFFIPLTDDGFNSKTSVVVDVSIASTDPGFSCDSFNSLRSKTGFLRGEDYSC QNQTLPFGRPDDSYASSTTPRTMWAVAALAWTAAMCL
SAPIO_CDS8543	MRRALSTPLRSPRSASATVQGIRRYASSSDVLANAVRAEAPRYD WTKEEISSIYHTPLMQLVYESATVHRKFHDPSAVQMCTLMNIKTGGCSENCSYCAQSS RHSTGLKATKLSTVDSVLEAARIAKENGSSRFCMGAAWRDMRGRKSNLKNIVEMVKGV RAMGMEACVTLGMLDGAQAKQLADAGLTAYNHNLDTSREHYPSVITSRTYDERLQTIE HVRDAGINVCTGGILGLGEKHEDRIGLIYEVATMPKHPESFPVNTLVAIKGTPLEGTK AVEFDSVVRTIATARLVLPGTIIRLAAGRITMTEEKQAMCFLAGANAIFTGEKMLTTD CSGWDEDKALFQKWGLKPMETEVVVEEEAWIEEGERRVSSG
SAPIO_CDS8544	MKVAATIALAALAAAAPPSATVKESPRAVAAECESAVTLDASTN IWKTHKLHANNFYRSEIEEAVTTMSDESLKTKALAVADIGTFVWVDTRSAIERLKKAV EDVPCEEILGVVIYDLPGRDCAAKASNGELKVGEINIYKTEYIDPIVEILKSKPNTAF ALVIEPDSLPNLVTNADLQTCQDSAAGYRDGVAYALKNLNLPNVVMYIDAGHGGWLGW NDNLEPGAKELADAYKAAGSPSQVRGIATNVAGWNAWDLSPGEFSDATDAEWNKCQNE KIYVETFGAELKSAGMPNHAIVDTGRNGVQGLREEWGNWCNVDGAGFGIRPSADTGSE LADAFVWVKPGGESDGTSDESATRYDSFCGKPDAFKPSPEAGSWHQAYFEMLIKNAKP AF
SAPIO_CDS8545	MRFIKGLLSAALLMAGAMAAKKSSEERFNSFHTKALASLPVKLS DVSYKTLTEAPRDYSVSVLLTAMDPRYGCHLCREFQPEWDLLAKSWIKGDKKGESRMI FGTLDFTNGRDTFLSLGLQTAPVLLHFPPTTGPHAVASQEPVRYDFSSGPQNAEQVRS WLVRHMPEGPHPEIVRPINWVRWISGITITMGVLTFIYVASDYILPIVTNRNVWAAIS VMTILIYTSGQMFNQIRNTPYIGSDSRGGIVYFTRGFQSQVGIETQLVGCMYAIMALC SITLAVKVPRMADSSAQQRVVLICGGALFLTYSFFLSIFRIKNAGYPFSLPPFM
SAPIO_CDS8546	MESSSTTLVTRDEEARRLKLQTVVLRDQKAALEDKLSEKDENIK KLVARCRQFESEVEAGKEAMRKQSVQMKTQTRDFVHLQTELQSLQSVSNDSAKLLAEK LALARELNTLRPELEHLKSQLSHQQTVIAEKLALERQLNSLEVELEAEKRSKQRQEDN SELHTRIQELEKKLAAEKKEKERVRKEGERALSEATAQHEMFEQRLETMKLKLRDTRE ELKKCQAELSDIQTSSINLSDVTEKTVALPAVRKQGKKRRVEEATMEITIPTPGAADQ KGKRALKKRGLDVTLANVGEKSTFSITPFLNRTKILENEETDPFDEEEPEHSFLDSKA TRDITAVQPPAKIASESVSSASAQPTAKPRGRPRKILGEIPSAKNSNTAKASRKKADD KTKTSKLDMVLEKVVEEAGEDGENEPAPVEAENSVEKETDTEPTVEKKASGVRGRVPA RKLKAVPSTEALSGFEPEPKKKKRKLLGGPNKTLFDDDEGETVKKPAPKASVGGPRPL GKLGVSLGVKQNAFAGKAFSPLKRDRRGVQASFLA
SAPIO_CDS8547	MRASLMMIPFQPTAQRCASSSAASSRWKSRQGRDPYAREAKVQG LKSRAAFKLLEMDAKYRLFKKGMIVVDLGFAPGSWSQVAQDRTKPHNHVLGIDILPAQ PPRGVSSIQGNFLSPAVQALVKESVREAYLRREAALKREAEEKKKEAEFAQAEGEANI ASEEERREALAEERSYLDMERLEMRNIKDEGEAENDRVIVLSDMSAPWLFPTDFRRSG MNNAYLRMMNTSGISFRDHAGSMDLCSAALRFASDTLKNGGHFVCKFYQGSEDKEFEK RLKKLFDKVYREKPDSSRKESREAYFVALRRKKNVVDEQTTPQDNK
SAPIO_CDS8548	MAIDLDRHHVRGTHRKAAKSDNVYLKLLVKLYRFLVRRTDSNFN KVVLRRLFMSRINRPPVSISRIAANVQDDEKRTVVVVGTVTDDNRLTKVPKVNVAALR FTATARARIVAAGGKALTLDQLALQAPTGANTLLLRGPKNAREAFKHFGHGPHKNKKP YVESKGRKFERARGRRRSRGFKV
SAPIO_CDS8549	MTTIPQKDQIERKITPNARLYYARDWISQPVVAAFCAGGVAGAV SRTVVSPLERLKILFQVQSAGRDAYKLSVGQALGKMWREEGWRGFMRGNGTNCIRIVP YSAVQFGSYNFYKRTIFEPYAGGELTPLLRLTCGGLAGITSVIFTYPLDIVRTRLSIQ SASFAELGERPKKLPGMWATLIHMYKTEGGFLALYRGIIPTVAGVAPYVGLNFMTYEW ARKYLTPEGEENPNAVRKLAAGAVSGAVAQTCTYPFDVLRRRFQINTMSGMGYQYKSI GDAIRVIITEEGIVGLYKGIIPNLLKVAPSMASSWLSYEICRDYLVSLKPAEETEAI
SAPIO_CDS8550	MSRGGTTLYVTGFSHGTRARDLAYEFERFSRASSSRPVLAHIYA HQPLRLRCRHRPPRVLHSILAPPRAIKTPLLVLVLVLLVVVVRRYGRLVRCDIPAPRS ASSRLFAFVEYEDRRDADDAYHEMHNKRIGRDDILKIEWARTPPSASWRFDSGRDRER NPRRSPRRGRSPSPRRNNRDYSPRKDDRRDRDRDYDRDRRDRDRDRSRSPDHRPDNSD RETKDEREDRDRRENGANGDDRKALESPPPHDDLDVAE
SAPIO_CDS8551	MNSSEDDRITTSRSSATSTPGSTRGDVLCLHALGDSSRAMHTHH VAVSYSDSFSRKAIRKTLIGKKFSFKAQGKFQDLVFTRTFSAFDSHNEAAASTPFRGF YTLFWLAVSLFMFKTALYNWSRYGNPLGTNDIMRSMFCRDVFILLLSDGVMCALTAVT WILQRLVVDDYIDWNTTGWLLQHLWQTVFIAGVVGLTIARDWPWSHTVFFVLHGLVLL MKQHSYAFYNGHLSTLYQERELLCYTRGILDQGTPEEDSSFGYSTSFSGPSSDGITDV VATSHHAFESEHAPAEETAIDEIIEEVNSEVPLSPAKIASYKVILEDEISQLTKELVR NTTAPERAYPNNLTISNLCEYLVFPTVVYELEYPRSESINWGYVLEKTAATLGVLFVM NMVSQTFIYPVVMKAVSMKEMGMPLTERLQEFPWMLSELIFPFMMEYLLTWFLIWETI LNVLAELTRFADRSFYDDWWNSVSWDQFARDWNKPVHNFLLRHVYHSAISSMNVDKHT ATLITFFFSACIHELVMWCLFKKVRGYLLILQMFQLPVC
SAPIO_CDS8552	MNVLKLQRKYPQFQQNEIFSLSDAFRRLDVDDKGYIDEATAIKA TQQSERQPYDVVRQALKEVDLDSSRRVELEDYVGLIAKLRDSSPAQKRLSTGPPPVSP AGIVADRTGGHARKVSQGTGGGRIQVQGSSANITHTINEDERTEFTRHINAVLAGDED IGHRLPFPTDTFEMFDECKDGLVLAKLINDSVPDTIDERVLNIPGRKIKKLNAFHMTE NNNIVIESAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYR LLEEDETLEQFLRLPPEQILLRWFNYHLKAAGWPRRVNNFSTDVKDGENYTVLLAQIG SEYGCNRDPLQVRDLLQRAEYVLQNADRLGCRKFLTPKSLVAGNPKLNLAFVANLFNT HPALDPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPPVQSFFDDLRDGTVLLQA YDKVIKGSVNWRHVNKPPSHGGQMMKFKAVENTNYAIELGKQNGFSLVGIQGADITDG QKTLTLGLVWQLMRKDITVTLSALAQRLGKREITDSEMVRWANDMSRKGGRNSAIRSF KDPAIGTGVFLLDVLNGMKSSYVDYDLVTPGHTPDDAYLNAKLSISIARKLGATIWLV PEDICQIRSRLVTTFIGSLMATYEKM
SAPIO_CDS8554	MAQPPDKKAEKSYLYSAVDSLNPWAANRASGSATPEPPPTPPPG PAPSPGPTDHSTNSLYGISRRNYPPDCPPLKVLWFHAVDVPKRKPAFLTGRRNKPTAK EKPPVLPKKYAAFVPSDSRAIEEAYQKLLEEREDSSRDSSSFRRRAATGSSDILPSTS THVPVNEDFLFDVAILQRELAPVYWLGPVYDVRRGTWFYQEGSNLRPCEENLASQLED GYLKTKPWLISTAQTSTERDDQRDRSDSSSTNPTSKDAMSADASTTPAKSASAVTSPQ LPSYRLFGSYMNSVATYQDENTAWLSSDGILSWVTSTVYQRFAGGGYMSGVKLVRGYS EPGKAKDTAKRPQTPTDSSTTDMLDEKQQKALKRKSAPPSTRAPLIKEPETHNTPSYQ QTSGLGRNSDKLEEEIRRRQEQEISGDYSPEHEDTQGRDIEHLVLVTHGIGQLLSLRL ESMSFIHDVNVMRKTMKSVYSSSADLKALNGEFDFPKQREVKREQDIGVTNVDEDAYP ALEDITVEGVAFARSMISDFALDVLLYQSGYREQIMTIVLNEANRIYKLFKERNPGFQ GKVHLTGHSLGSAILFDLLCRQKEREENTQRKIFGIWPSSSSKTAPEKNPSDLSFDFD VADFYCLGSPVGLFQMLKGRTISARGSPHSRASQSPLDPDLIDDPFSAYADQRLSPVT GLPFSVSSPRVSQLFNIIHPSDPISYRLEPLIAPAMSTLKPQVLPYTKKGIFSSMTPQ GLSGIGAMVGQSVSGLWSSLSAGVTSGLLNRSLGLSSEDVARITDATAVDQDQKPGTR PSASTGGVIPDTSTLGKRTDQRKMELADPANTAGRTSLSGNDATLIDYDLETLYSKFE KAQASGAESSTQADELHKKARKMRAEEAKVRALNRNGRVDYSIQESVLDYNPINTIAS HLSYWGDEDVNHFMLSQLLSSKARTKST
SAPIO_CDS8555	MAAPIAGDPVKAQLVDKLPKRFKEIKFGIQSNQDITNQAVLEVS DRLLYDIENNRAPYRHGPLDPRLGTSKLRRTDRDNTQRVKTCKKINEMCRKVKTCPYC GSVNGTIRKVGVLKLAHDKFSFFNKSTALKKIPPESKVEFDKSFAEAKTHNPELEKHL RKAMEDLSPLRVLNLFKMISPTDCELLGLKPGEGRPEMFLWQYLPAPPICIRPSVAQD NASNEDDITTKLADIVWVSGMIRSALQRGSPVQTIMEQWEYLQLQIAMYVNSEVPGLQ QPGFGKSVRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLGIDQVAVPILVAK NLTYPERVQRHNIEKLRSCVRNGTQVWPGAQGVLKNEADGSYKVNLQWADRERTAKDL RIGDVVERHLEDGDVVLFNRQPSLHKLSIMSHLVKVRPWRTFRLNECVCTPYNADFDG DEMNLHVPQTEEARAEAINLMGVKHNLATPKNGEPIIAATQDFITAAYLISSKDRFFD RKTFTYVCMHMLDGQTHLELPPPAIIKPQMMWTGKQVFNVLMRPNKSSPVLVNLDAKC KDYSSQAGQCPDMDPNDGWLVIRNSEVMCGLMDKSTVGGGKKDSIFYVILRDYGPDAA VGAMNRLARLCARHLTNRGFTIGIGDVFPTKELNEKKSELVQAAYRECDELIETFKNG KLQKATGCTMEQTLENAISGILNRVRQQAGKACINTLSRNNSPLIMAKSGSKGSEINV AQMVALVGQQIIGGERVSDGFQDRTLPHFPKNARQPPSKGFVRNSFYSGLVPTEFIFH AMSGREGLVDTAVKTAETGYMSRRLMKSLEDLSMEYDDTVRTSGGNIVQFQFGADKLD PVDMEGSAVPVHFDRTWTHAENLTWDNSEAPMLPHEITQFCDSMLDQERKRYPRIGLL NEKLSYDDSTDMGIDEHEGARFFLRTVENYVNKRVEKLRKVRRAAGLDEFQRSSDKLR EGQVEQVAKVTPTTLRKFIKLCLEKNKKALVEPGHAVGAVGAHSIGEPGTQMTLKTFH FAGVAGMSITQGVPRIKEIINASKTISTPVITCPLENNKQIEAARVVKGRIEKTYISD ILEAIEDEYRADYGSVILHLDLQALEAAHLGMSTADIARAICSNRKLKVTADDISVLG PASIQIRLRTDVDVPKRTTARTRASANDEVGDMVLRAGFLRRALPAVAISGYPDASRA IIQTDADGIHEVFVEGYGFKACMTTEGVLGTKARTNNVMENKDVLGIEAARASIAVEI GSVMKEMNIDPRHMELLADVMTYKGEVYGITRFGLSKTRDSVLQLASFEKTPDHLFEA AAGMKADQIEGVSECIIMGQTMSIGTGAFQVVRRLALKDSDTEMRPTLFEDAWAKETA EKRRLRKQELFV
SAPIO_CDS8556	MCGRYALAMRPSQYRRYLEDRDMPVWDAPGDDAGDSPRQSYNFA PGSYGMVYRADVPDRGARPGGSSDGAEPSEVSKDAEPSESLEAAVAPSETEQESEDHD WVDVGTETEHGTPKKTKKTPSTKDISSPTKPTHDSSRYKLQAMRWGLIPFWTKRNPGY ASVMKTINCRSDSLSRSGGMWTTMKMRKRCVVVADGFYEWLKVGPKEKIPHYIKRKDG GPMLFAGLWDCVTYEDSGEKIYTYTIITVDANPAVRFIHDRMPAILEPGSPELKAWLD PSRCTWTRELQDALRPTTTELEVYPVPKEVGKVGNDSPSFVIPVSSKENKGNIANFFA KAGTKKEEGAQVKKEASSTSQSHDVKKDVHAVAHKREASPASPAVAPPAKVSVKESPR KAKISATSNRKGSPTKKSESQAGPGKITKFFTKTS
SAPIO_CDS8557	MQLSRAIAAAAVAAASFSSGALADERAGVASATKATQKRAPAPE PQRRPFWPRPPQWDDRDDDEPDWDWGFGGDDDDDDEDDFDWSDWARRNRRPAQPPADV DRPVAGSEDPETPTPTESAAPEPPAEEEEEETPEPPAETAAPEEPAEGEPEEGEPEES ENPPNDPSATECVPVGEDDTWDYVVIGAGAGGIPVADRLSEAGHKVLLLEKGPVSTAR WGGSLKPDWLEGEDLTRFDVPGLCNQIWHDSTGIVCSDMDQFAGCILGGGTAINAGLW WRPHPLDWDDNFPEGWHAKDVEAATNRVFTRIPGTTHPSMDGILYQDEGFNVLADGLA AAGWTRLENPNAQNDKKNHTFGHTTFMFSNGERGGPLATYLVTASRRDNFQLWTDTSV RRLVRDGSRVTGIELECNGATGHSGIIKVADSGRVVLSAGTFGTAKVLMRSGIGPRDQ LQVVQKSALDNETMIAEADWLELPVGENLIDHLNTDVVVEHPNVYQYNYTAAWATPDE SDVQLYLRDRSGILAAAAPNIGPMIWDEIEVSDGTTRQLQWTCRMEGSLDYFGNHTIT MSMYLGRGVVSRGRMGLTPALTSRVMTLPYLRGDEGDREAIVKALDNIRNSLQDVEGL NFLSPADNITSDQYVEDMPVTVSRRSNHWMGTAKMGTDDGRKEDGTAVVDTNTKVYGI DNLFVVDASIFPGMVTGNPSAMIVIAAERAAEKILALPAGGEEEETPSEEEEDPVEEE ESPADEEEPPAEEEGDDECSE
SAPIO_CDS8558	MKFLGLLSLLSTSLLPGALAHTIFVQLEVDGTTYGVGQGVRVPT YDGPQTNVQGDAMACNGPPNPTGSTDKIISVKAGTNVTAIWRHTLDSGPNDVMDPSHK GPVLAYLKKVTDAKSDKGVGPGWFNIQREGLQGNAWATDKVIKNQGKQVIHIPECIED GQYLLRAEMIALHGARSPGGAQFYMECAQIEVTGGTGTAKPDLVSIPGVYPANDPGIV VDIYSGLRGGYKTPGSTPLAC
SAPIO_CDS8559	MKSVLGIAAALSLFAYEASAHYIFQQVSVGSQKYGVFEGVRKNT NYNSPVTDLASKDLICNQGGISGASTVVLNAKVGDEITFTTDTAVYHQGPISIYLSRA PGSVQDYDGSDGWRKVYDWGPTLGGNGQSSWPMFNTYKYTLPTCLPDGEYLFRIQSLG IHNPYPAGIPQFYISCAQLNITGGTGTLDSWEQPVQIPGVFKATDPGYTANIYDPNMK TYTVPGGEVMGC
SAPIO_CDS8561	MIEASRIDHAGHANDAVGHIHDTLMYNSVMAYVKEYIDVHPDTQ LMSAADHECGGLTLGDGYNPTVLQKATKTPEYLGALFSAHTGDKTAHLKSNFLRHYGL ENVSNDDVKLLLSIADERNVPAMRIAAGNMLASEAGLHWSTEAHTAADVLLYGYANDQ ALKAMKDLMGKSNDNTELPRYIEKVLDLDLNNATLALRQGGIDWVGKRDELPALKSLN YPRSE
SAPIO_CDS8562	MLLLVISTLLGIVASSPTHPKARNFIYIVPDGYGVASQVLARDY QAILHGHGHALRPNSPQLGADPLVIGTVRTQASDTLTTDSAASATAFACGVKTYNGAV GVDDDGVPVASVLEAAHLSGFKTGLVVTTRISHATPACYASHVLDRGAENDIAAQEIG YSHPLGNVVDLLLGGGRRHFLPTSEGGRRGDGVNLID
SAPIO_CDS8564	MADYEDGYDYDDYEEDLSITAEDCWAVIAAFFDNKGLASQQVDS FNEFTTSTVQHLVNEYSRITLDQPSPPSHDGRTYAVRRYEIDFGHVMVSRPSITESDG REATLLPYECRDRNLTYASPMYIRITKKVTLAVERQVPLHEMDDEQRETYAQTKVEPT EIRWEDEDVDPEPVDGKTDDQKNIVFVGRLPIMVKSEICHLSHETDENLFLLNECPYD QGGYFIINGSEKVLIAQERSAANIVQVFKKAQPSPYSYTAEIRSALERGSRLISQLAL RLYTKGDKRLGGSGPYVRSTLPFVKSDLPIAIVFRALGVVSDEDILNHICYDRNDSQM LEMLRPCIEEAFVIQDREVALDYIGKRGQSYMLSRERRIRAAKDLLQKETLPHISQSE GSETRKAFFLGYMVHKLLQCALGRRDVDDRDHFGKKRLDLAGPLLAKLFRNIMRRINQ ELSSYMKMCIQQNKKFQTVNGVRSTTLTNGLKYSLATGNWGDQKKAMSSTAGVSQVLN RYTFASTLSHLRRTNTPIGRDGKLAKPRQLHNTHWGLVCPAETPEGQACGLVKNLSLM CFVSVGTPSDPIIEFMINRGMEVVEEYEPLRYPNATKVFVNGVWVGIHQDPKHLVNEM METRRRSYLPNEVSLVRDIRDREFKVFSDAGRVMRPVFVVNQEDNAQTGTQKGTLVLT KTHINNLASEETVPPPERQYGWDYLVNDGVIEYLDAEEEETTMICMTPEALDEYRAQK AGIAIDNDISDDPNRRLKTKLNPTTHMFTHCEIHPSMILGICASIIPFPDHNQSPRNT YQSAMGKQAMGFFLTNYMRRMDTMANILYYPQKPLATTMAMEHLKFRELPAGQNAIVA IACYSGYNQEDSVIMNQSSIDRGMFRSLFFRSYTDCEKKVGINLVEQFEKPFRSETLR LKHGTYDKLDDDGIVAPGVRVSGEDIIIGKTSPLGKDTQELGQRTTQHSKRDASTPLR STENGIVDSVVMTTNQDGMRYVKVRVRTTKIPQIGDKFASRHGQKGTIGVTYRQEDMP FTREGITPDIIINPHAIPSRMTIAHLIECLLSKVGALKGLEGDATPFTDVTVDSVSAL LREEGYQSRGFEIMYNGHTGKKLRAQVFFGPTYYQRLRHMVDDKIHARARGPVQIMTR QPVEGRARDGGLRFGEMERDCMIAHGAAAFLKERLFEVSDAFRVHICEICGLMTPIAN LSKQSFECRPCKNKTKIAQIHIPYAAKLLFQELQAMNIAARMFTDRSGVTIR
SAPIO_CDS8565	MSRASKITLGITTLATLGTVVIVHFQQKMERSAMHEGVIRDMEQ RRLKKERQLDFDLQQALEAEFKKEQTVKDTTNSSDAGGLSNG
SAPIO_CDS8566	MYVNPTLPVPKVRTKRVKQARDEARKEIEQHRENMEKKYRAFEA EHTEGNAAAEQEALKDAEIKIKELQSRALKNKDKVIGDLLSAVFDCEPTPPPTILG
SAPIO_CDS8567	MASVDDIFKNTQSSLPSKRRIDAVRDPSEVYKAAKRDSNAHGSR HATVEDEAVDHDRGEAPGDDEDDYGPELPPDDEEGGRFFGGGITKQESEILDYLEGRD EDVAAEKIDAGWLRKTVLNFEKRITKNAELRAKYEDDPQKFMASESDLDSDIKTLSIL SQYPELYQEFVKLGSATSLVGLLAHENTDIAIGVMDVLDELTDENVTADDEQWGALVD AMLEADLLDLLVSNLARLDEGDERDREGVYHAMSIVENLCSREAVAKQVGGEEKLVKW LLSRAQRREKDVSQNKQYAAEIIAILAQSAPENRGRLVKLDAVDTMLELASAYRKRDP DRSSDEEEYMANLFEILTALVDTPEGKLKLLEAEGVELCLIMLKEGGQSKPCALRLLA HATALSGTGEAATSAAAAVCKQLVVAGGLKTTFTMFMKTHDASTVEHLLGIFSSMLRL LPGDSSERIRALAKFVEKDYGKLARLLKLRQGYVARIRTAEEEFAKFAEEEEEEEEDE DLRRAELFSRRLDAGLFSLQSIDLILAWLVAEDDGARKRIIKLLKDTDLGLDAIKASI QEQLDDLDDSEDVKDTREMLSTLVEFL
SAPIO_CDS8568	MPPTVILIRHTQVLMLRIQDYALHDPLITEEGVEQCGKLRESLK ERLNGIPESDIAIVVSPMRRTIQTALYSLDFLIEKKVPITADAAWQETTSKPCDIGSP IPELTKEYPMIDFSPVDPVYPDKLTPAGAKYFPFKSALLARGRACVRSLYRRPEKYVI VVSHSGFLRTSVSGWWFFNGDYRIFDFADGNGADVEDDWADGPVPRLVQWEATLSGGL GWSWTDPVPLGEGLLEEGEQLPPKA
SAPIO_CDS8569	MPYQQMTPPAPGHSRTRSRGGSDKALSSTRQSRSSQKAMLSKAL QKANTAVQLDNAQNFEGARVSYLEACELLQQVLQKTSSEEDRRKLEAIRKTYTSRIDE LDQLAQEELMMVDDDKALPARPDSDSYPPSTTTTLNLEDEVAEVSEIQTATVTRIIRN PENQNQTPPNNIVHPPRWASTRAAVDAESYSAPPPGLNANWSPERNLHLPRPDVDRDM PPPLSPRRPSEPTGLGPPHTPSLIPTSHFSSMQVNATRGSMGTSHYRGPSQESNSWLD SKADSVGSTSSSVHSRTSSLGIRRKHIRAPSGDTEAEFDAALDAAVEAAYDDGFVPMD SDEEGVSIVAAALKKVELAKERVRQSELESLRLEQEREMRLNNPQIVQNAKNAYGSPT VPEDFYDDESSEDEERMLEEMTRGYEIEDFAFGRRPDEFEEIPPRGDSQTWFGAGGAG GAGAGGADQPPVTELSPPKDVGAGVAINSRTAATPPPTQALPDLPMPSIRPPSRSPDQ GVRSRRLSGQNMKQLKIETSKLTRVPLRESMPEAAVTAPPVQSTRARGTSSPERLGGG VTSLAEESPIIEERDENEAENALRRATSPLARSLMTKNYSSSSLRSARSRNMSLSNLD EDLSPGTPSSNPFASTGRLPSFSTSSTPMISTFDEQFPTDSAESLYLFDGGLQGRSRP GSPDSQWGDAPVALEPCPNDFMLRPFWLMRCLYQTLAHSRGGYLSSRVFVPQEVWKVK GVKLRNIEDKISTCDYLTAALSKLAQVDTCDADAVLEEMQALEGVLEQMTANLTRKLG HEVGVQSPSTLFKDSLSGLDGETPFANPPRSASISSKSSAPFSWRRLRAKNSSAGLAS SYSNKVNDNGLDSPILETLPMTLNPTSRPPKRLVNQAQFSGPHANYMESLARLFDSAQ MIDQIARQVEDPGLRHADKTQDKLDVERERGITVKAQTCTMIYNHKGEDYLLHLVDTP GHVDFRAEVTRSYASCGGALLLVDASQGVQAQTVSNFHLAFSQDLSLIPVINKIDMPS ADVPRVLEQIESSFELDPESAVLVSAKTGKNVAAILPAVVENIPHPVGDSTKPLRLLL VDSWYDNFRGVICLVRIFDGQVKAGDNVVSLGTGQRYTVGEVGIQYPNAVPQKVLRAG QVGYLHFNPGMKRLQDAKLGDTFTVVGKEDAVEPYPGFEEPKPMVFVAAFPVDQSDHE KLEESIDQLVLNDRSITLQKDHSEALGAGWRLGFLGSLHCSVFQDRLRQEHGASVVIT EPTVPIRIQWRDGTETVVENPAEFPENTDHRLKAATLYEPFVTATITMPEEYLGRVIE LCESNRGEQKSLDFFHTTQVILKYDLPTAQLVDDFFGKLKSATKGYATLDYEDAGWRE GNLVKLQLLVNKVPVDAICRVVHKSQVERLGRQWVTSFKEHVDRQMFEVVIQAAAGGK VIARETLKPFRKDVLAKLHAADITRRKKLLEKQKAGRKRLQAVGNVVIDHSAFQKFLS KT
SAPIO_CDS8570	MVSRTVLALLIAGSASTALAASGYWDCCKPSCAWSGKANVLQGP VRSCDKNDNPVDASVKSGCDNGSAYTCSNNSPWAVNDQVAFGFAATHISGGNEASWCC ACYKLTFTSGPVAGKTMVVQSTNTGGDLSGNQFDLQMPGGGVGIFDGCASQFGQSLPG ERYGGISSRSQCDSFPSSLKDGCYWRFDWFKNADNPTHTFEQVQCPNELVAISGCRRA DDSSFPAFQMPSNPTTTPPGNGGGSSTSTKAPTTTTSPPPSGGAAQWAQCGGIGWTGP TSCVSPYTCTKLNDWYSQCL
SAPIO_CDS8572	MTKPSNRNLMPGASSEDLEDSYQEYHDVNRTYNDVAQALSLYPS LSPRTDVHTFDNGVSALLVHLSGTLPVVFRGATYRFPVSLWVPHAYPKAAPLVYVKPT ETMLVRPGQYVDPQGQVYHPYLAAWANFWDKSTLADLLTILTDIFAKEPPVIARQPQP PQAARRASPSTAQSPQPGPASPPFPRPPSIQQIQPLGGSSQAPPPPPKPGAQPVSTPT PPPPLKPEPLPKIPPKPTSPPEPSSSRASDQPPHPPPPPPRPHSTYNQTTQVPVSTLP AEPSRESRFSRYESAPPLPQQAAPAPHPPGLPAHSAAPPHFVQTPQHPPQWPSSTSQP LPQAFPAYQHGIYPGGPPQQPVPQQQVPILGTPQQLQAPKRPPPPDLLDEPLDMKEPE PSNVPPPPIPPNPERDALLRQLGKALYQEREKSRQRNDAYIAGLEAQRAAMINAYNTL SSERQSLSQVSALLNSNATILREALRKADAVIESSRQHPQPDIDELLVAPTVVANQLY TLVAEERAIGDAIFMLGRAVEHGRITPAVFAKTTRSLAREWYLKKALVRKIATGMGLS V
SAPIO_CDS8573	MDTFDPSKQSFDITTANGAALSINVSSLDRNYHEMFGISINYGS QIGASFIMLIVVLTLNPKVKFFKMCTILHIASLISNIIRMVLLSIYFPSKWTEFYTMF SGDYSRITETDMRNSVAGTISSYIVVVFAHASLIVQSWTIVKPWSLRVKWIVCTLSLA VCLTTIGFRLAFCIFQVRATLAMKSASDARWVAGYSIILDALSICCFCALFNVQLVTH LVKHGKFLPSKNGIKPFEVLIMANGLLMIIPVIFACLEWSTFVNFEAASLTYTSVVLI LPLGTLVAHRLTDRSAQRLPNENESHQPHRHVHWIRTWMGRPGDRHLSIADSDPTSRS VTPLHKTREGNTLEDTGLKYVEAEGKVFNHHALEAGNLSIMGSGSRAD
SAPIO_CDS8574	MATKENSLRDKQVASIKRILNLNHDLETNDSEDANANGGVRATS AQILTTEGEPIWKVLVFDDLGRDVISSVLRVSDLRSMGVTMHMHIGASRHPIPEVPVI YLVEPTSKNLQLITGDLQKGLYSPAHINFLSSLPRVLLEEFAAETAAAGTSEHIAQLF DQYLNFIVAEPNLFSLGLQKEHTYWALNSAKTSDAELERVVDRIVSGLFSVVVTLGVI PIIRCPKGAAAEMIATRLDRKLRDHILNSKDNLFSPGARPTSSASGTPTSRPVLIILD RNVDLIPMLSHSWTYQSLVHDVLNMKLNRITIETPIDENNPSKGTSKKSYDLTANDFF WAKNAALPFPQVAEDIDAELTRYKEETAAITKRTGVADLEDLQNDTSASAQHLKAAIT LLPEMRERKSILDMHMNILAALLTGIKDRQLDSFFEIEENVMKHTKAQILEIIKDKTK GNLPIDKLRLFVIWFLSTELDVSRSEWEEFTQAFSELGVDVESLAYIRQVRATTKMTQ LTTINSTSAAQQSSSTDLFQRFSSISNRLTDRLKETGVPTGLSSNFESLIGGIKNFLP ANRDLTITKIVESIMDPSTASSSAIAKTENYLYFDPRSANARGTMPPPSAIRSGGANP PGSMPGATGLGAHGAGTGASFGQRRQGFTDAVVFTVGGGSMDEYGNLQEWVARTGGDR AKKRVVYGSTELLNAREFIKEELEKLGKEISS
SAPIO_CDS8575	MESWNPNHPNGSTSDDHNDWAGYGFPDQSGPLDDGSQPWAQSIS DQAMYSSMDQPTPNGTGFYPATTHAGGHLISDGLGGTPSLPAGDFGAGHVGLPQYQNS QEFLDPSFDSLQQDLFNAQNKLDMVPGLGDFSPVQPHQRQPGAQAFQQQGFPFPSGNE HAFQQPLSFSPSQPMGRPQGHQSNAQQAYEIGHPQPQQPQPQQPQPAPQAQPQHSQQQ PQQSYQQHQVYTQPAQQPTRQPMGGGQIYGGPQAATYPQAMPPQQKLGPASQNTLTQP QPQYTQAYLQHHPSSFTQTTSSPSPASTPQHGNLTQAGRNISSQISSPSSTLQSPASS ANVQGTKRTVEQAAAPSPSQASVDSNISSEPAVKKRKRSIKKVSDTDAVPASLHTDVS IKTTDQPRPLPPPRMTKEDMDAINDFLKVSSSGKTKFPGVQGAFYLISPRTIKLPTPK SYDKLAPLVALPSQSRNPILPGRTLPCEIQGQFTDRFKPSGNGAGLEDRRRDAKDLLA QFEKSMAGLGSRRPKYTEYPHAFKEQLKADEASKAKAERLARKLAEEERNKPVRPETR PDDPIEGAAWDIIGIVHIDASATRTTALLAGAVQQAGEYIIARRAEMMRARQDVDQAT REKKLEEVPKLQANADLKQQILCRTLDAANEVGDEVVLENLGGHSKLVLNLMNLLIAC IKAGDFSGQMLKSALRLLANFRITQKIASQTNLETIRRRLSDKGDAEVKEYLAAIMSK IKKGKEPDSEASPKKSTTTSSTKAKLASSKMATDSAPGKRARPDEPDSRSAKKQAIDL GATASSSQASSKSGTVAGATQSKPALTKPRPSAGILPGKSRAVSKPAPKIEPTKSEPV KSDTGKPSTTEDKQNVKVEQKKATVKPESKPEIPKPPKPSSVSASLGGIASLLDSINA PKPQAKPPPKDPKEDREKKETPEERAKRLKKEARRRLRVSWKADEELEQVRYFHKEDE EDTGFDSRMTRDAADDKGEGMVLKRRGKVLDEDEEDEEDELPYRPWLDPTPMDFSNIP EDYRKKTYTTRGGDLIVNTKEQKFMAEREQKVLIAIYQDISDIPPTPKSPLANRAEPM VETKIGHLPRDEPRFEEIHRRWKEVQQLGRDSALQYAMKRIDSRKAPANQVNSILDTL RSAAASGQVPPARVPELHQGAVPAAVQSLPRDEQIIALLTSDRAKQWKDPEPTPTTHR RHDYPDPRVQQAADIVEEVTTKLAGHPYPPVEPPEWLKDNKEAVKEWWLGYNKDAAAK AKKEAEEKARAEAERFTAMQNQAGADAWAAYYAQQQAYAPYMAILQQMQAGNVPQATA PTSAPAPVPAQAQSGQTGTNDQLQAVLAALGQSQTQPSTVAPAVASGAAPQIHPNDTT YQQLMMLTQIASQQQQSTQQQPQQGQHGQQPPPHHHRSGGDQGLTLNYDDAPPREWDR ERERDRDRDRDWDRDRDRDRDRERDRDGRHGGNGRGKHKTSGNLPPHRPVNRALIGTK PCIFYKQGTCARGDQCTFRHE
SAPIO_CDS8576	MSHGKRYSISKRYSWSIPASLPAPTSNDSNSLLATSGRIAWLAN LDTTRTPAKNYRRTSIICTIGPKTNSVESINRLRQAGLNIVRMNFSHGTYEYHQSVID NTRAAEEAQAGRQVAIALDTKGPEIRTGNTKDDIDIPIAAGTILNITTDEQYAKCCDS ENMYVDYENITKVIAPGRVVYVDDGVLAFDVLSIKDDKTIEVRARNNGFISSKKGVNL PNTDIDLPALSEKDKNDLRFGVMNGVDMIFASFIRRGQDIRDIRDVLGEDGAHIKIIA KIENRQGLNNFADILKETDGVMVARGDMGIEIPAAEVFNAQKKIIAMCNIAGKPVICA TQMLESMIKNPRPTRAEISDVGNAVTDGADCVMLSGETAKGAYPELAVREMSEACLRA EASIPYISLYEEMVSLPHDGPISITESVSMAAVRASLDLGAGAIVVLSTSGNSARLLA KYRPVCPIIMITRNAHTSRCGHLNRGVYPFFFPEEKPDFDKVNWQEDVDRRIKWGVSR AIELDVLSRGETIVIMQGWRGGMGYTNTMRIVKADPEHLGIGDHP
SAPIO_CDS8577	MSTQENKASQLATAPESGTWTFASRRSVVHSTKGVVACTQPLAA KCGIKVLEKGGNAADAAVAVVKEKKKKKKKKKSSQAKKTKIAAALNMTEPSSTGIGGD MFVLFYDAKTGKVSALNGSGRSGAACTAETIRKDLGLAAGDKDRKIPMSSVHAVTVPG AAAGWVDTVERFGSGRVTLEDVLGPAAELGEEGFPVSEQTAHYWAKSENSLRKASPNF AEMLKKDPNAQDGVRSPKAGEIMKNPTLAQTFRTLAKEGKKGFYTGRIAEELVNVVQD LGGHLTLDDLKHHLETGSEPVEPISIKFTAQGATGDNGIEVWEHPPNGQGIVALMTLG LIQELEKAGTIPRWGPQDFNTPEYLHTIIECLRLAFSDASWYVTDPNVTKVPTTELLS SSYLASRAKHFDATKAAAPPVLHGDPSTGENKATFVSPALNSSDTVYLCVTDSEGNGA SFINSNYGGFGTCIVPRGCGFTLQNRAANFSLDAGHPNVIEPRKRPYHTIIPGLVTNL KDGSLHSVFGVMGGFMQPQGHVQVLLGQVVAGLDPQQALDAPRVCITAGTPNNKGELD WVVSVEDGMSEETIEGLRKLGHNVKVVKQWGRALFGRGQIIRRSADPVEGTRIWSAGS DMRGDGAAFPL
SAPIO_CDS8578	MAARFSAVSLLRTATRTTLQTTLLTPRNRPLLARLLSDQTRQAI DKAVATAPVVLFMKGTPEAPQCGFSRASVQILGMQGVDPAKFAAFNVLEDDELRQGIK EYSDWPTIPQLYLAGEFIGGCDILVSMHQSGELAKLLAKNGVLFEEGESEKTDA
SAPIO_CDS8579	MPLVVPRANNRIILGLMTFGPDPDTGARVTDVGEFEKFLDYLQS RGYNEVDTARMYVGTKQEAFTREAKWKERGLTLATKVLYPAEPAGNTPEKVVDSVEKS LAALGTDTLDILYLHAADRETPFAQTLEAIDKLHKAGKFVTFAISNFTAFEVAEIVLT CKYNNWVRPTLYQGMYNCITRGIETELVHACRRYGLDIVVYNPLAGGLLTGRIKSADD IPTEGRFSDTSGRIGAMYRQRYFRDNTFKALHTIEEAVKKHGLTMTETALRWLVHHSV LNIKDGNDGILIGASSLKQLESNLNDFEKGPLPEEVVEATDRAWALVQSEAVPYWHKE IKYGYDTKEVLFSAGSK
SAPIO_CDS8582	MEKATFNSLPTELHIQILSFLDVDPPSYAKFTNRPTPHILDSDR PLKNASEVNKKWRSVAIPFLFRHTIWKIQPKDLNSLRQCRNPSEIDILRFLADHDICD HVDSIVLFVEPPDDEDDEVDLMSTEPAYFQNCEALWETLFSTIDPLRFSIIARPARLG PLLSIPVDFSNYWCYGSYEHILSLSRTTRFITPQPHRPAGDSPISIHSKLFTIRPWTA ALLNEGCNMGPYRTYEHHRKTPPSILPALVGSTQSLHTGDGGLFGQPALLPPSVQDFS YIAEFPVYNHFTKVVRNLPRLHRLYIQLVPKPHILKDEFEMRHIDTRDLWMERNACYA TLMTHIFSWSPGSNWKHLHIFESGDTADRQAWDMASHFVLTSQTKKWTIEREGVFVWK KETDSKKASNEGNLERLAFRGLEVLPFPTIAPFPTASNYI
SAPIO_CDS8583	MKATFITSALTLAASAAAASVGYDQGFDDASRSMLAVSCSDGSN GLASRFPTQGNLPKFPYIGGVPAIGGWNSPNCGSCWKLTYQGKSIYILGIDHSTSFNI ALHAMNDLTNGNAVGLGRVEASAEQVDKSNCGL
SAPIO_CDS8584	MTSAAPKRVAIIGAGLTGLVAIKECLSEGLPVQCFENLGHIGGQ WAYTPDTPEDVHSSMYHGCILNSARDTSSFSDFPLDPARYPDYFSHTLQLRYLKEYAT HFKVEKYIRFNTKVLECVPASEGGWTLKVQENGQPEEQHHFDALVCASGLLSKPVTPD FAGRDSFKGEFLHSHYYRTPGPFEGKRVAIIGLGSSAVDIACEIGPQAKELHLITRRG GWILPRYVLGKPLEAWDDRATQVWLPHNVSEWLQAKLLDIVGGKHPKELRCDHGLLAQ NPVIRGDFVEKVRTGIVKVQRASVEAVTETGLSLSTGTQLDVDVIICATGYNLTELPH LPKDAVASRELPAPHLDLYKCFVSPYYDNLYVLGRVEVFGPVTSASEAQARVMAAMVS GKLSKPSHEEMMKSIRKRRAKQSHLIKTPRHFLTVHSVEYIDEVLAPLGCTPSVGKLL GRMFRGNPIRALSVFKAVYFGIPSSSQWRLLGYGNNEKLAEATVLRIAHGKEKLSKVE EEILGITTIP
SAPIO_CDS8585	MVKTAVGPGVYGATYSGIPVYEFIFGADMKESVMRRRHDNWINA THILKAAGFDKPSRTRILEREVQKDVHEKIQGGYGKYQGTWIPLEQGEALAHRNNVYE RLKPIFEYQAGGESPPPAPRHTSKPKQPKKPAVPKWTAPPQVDYENEVGGDDTPDNIT VASASYMGEDDRFDMGPSTGHRKRKREDLHDAIEQLHSVYGDELLDYFLLCKDEKARP ENRPEPPANFQPNWPIDTDGHTALHWASAMGDVDVIRQLKRFGASLTVKNIRGETPFM RAVNFTNCYEKDTFPLVMKELFDTIDERDNLGCTVIHHAATTRNERITGQSCSRYYLD HILNRLMETHDPAFVQQLIDARDNDGNTAIHLAARRNARKCIRSLIGRNASTDIPNNE GIRAEELIMELNTKSKERAPQRSSSPFGPESQRHASFRDAIGGDRLGAKKTGALYSSE AANTVHSRISPLIFDKIQDLAQSFDEEWAEKDTAEKEARQILANNQMELSVVTQEIAE LEAQLEAEDVANRISSEANRAKYDVLSLISHQNRLQVQAAVDQEISMTNGDVADEPYE VKLGLARDLSTLLKELRQSESEYVEALGMVGTGEKIEQYRRLLKKCLDPTDAETLDSN LDSLIEMMEEDKDVVDMAGPGSDAMDVVAT
SAPIO_CDS8589	MTDKGCYPPELIALAWNGHGNGSLVPSYVFGDCRSTEGSREVIT ISQNTSCADESWVAIHFIGAFQSITATVSIDEHSLWVYAVDGRYVEPREAQAVTVSNG ERYSVLIKADKAGKFAIRVASVSDPQIIAGYGTLDVTIAGAGGDGRNESVPYINDAGR AVSDNVTIFNETSAKPFPPVLVPEAADATFVFEMGNTEPAYIWMMNSGPLESSDLEGR SPFLLDPQTTTNLTISTQNNTWIDLIFVTHVSPNPAHPIHKHGGRMHLLGTGYGPWMW NSVQDAARDMPLSFNIVDPPLKDSFTSLRVGLEPGQDVAWMALRYHSRNPGAWLLHCH VLQHLVGGMQLVILDGVDVWPEMPEEYRALAEGGG
SAPIO_CDS8590	MKRLATHSIWRRPLTPILRYRAPQFFRSASSDTTTSLPPALLQR ARNLASEHDRLSKSLSDSFDTKTARRVGELSNVATALQEFDEAQDSLAELHSLLTSQD AELRQLAADELEPTTERVEALVHKLTAALTPKHPFADMPCLLEFRPGPGGLEGRFFTD TLFRMYKQYLSRHGFRVRIVKYEIADSAGDSTGAAGENPVQEAVLEVEDVGSYDLLRG EAGMHRVQRIPVTESKGRTHTSAVALWVLPSFPESNAETADYDDPESIFYINPSDVKQ EVMRARGAGGQHVNKTESAVRLTHIPTGTSVSMQDSRSQVRNRVAAWQLLRARVAQQR REEREEMAFSLRNSVLAKDKITRADKIRTYNYSQDRCTDHRSGLDVHNLPDVLEGGET LDRVIQSVREWMVARDIRAMMADEEAKATAENGNDKKA
SAPIO_CDS8591	MHVLRTLLTGLTAAASIPPAAAQPDSGNSNNQADNYVTFNNKII FTPSRNFTDPRVLYARTLELSDGTLLATWENYSPEPPTVYFPIYESLDHGQTWTEISR VHDAVNGWGLRYQPDLFELSRPVGEFPAGTIICSGNSIPTDLSRTQIDVYASLDKGRT WEFVSHVAAGGVARPVNEEDPVWEPHMIMHEDTIILYYADQRPQNHGQVTSHQTTTDL RTWTPVTYDAIYDNPASRPGMPSVARLPDGRYFYAYEYGGDPSFSNYQFPIHYRIAED PTQFRDAPDFLVSGNGRRSPTTGPYVVWTPWGGGDNGTIILSAYQGEIWANQALGDPR AWRYYSVGQPSAYTRSLRIFRDRPELMIIIGAGYLPPSDSNRVSLSVVDLNQVIR
SAPIO_CDS8592	MAPGGAPGGGGNIKVVVRVRPFNGREMDRNAKCIVEMKDNQTVL TPPPDYERTGKGAKDTGSKVFAFDKSYWSFSRDAPNYAGQSNLFEDLGKPLLDNAFQG YNNCIFAYGQTGSGKSYSMMGYGKEIGIIPMICQDMFRRIGEMQSDKSLRCTVEVSYL EIYNERVRDLLNPSTKGNLKVREHPSTGPYVEDLAKLAVSSFQEIENLMDEGNKARTV AATNMNETSSRSHAVFTLMLTQKRYDPDTKMEMEKVAKISLVDLAGSERATSTGATGA RLKEGAEINRSLSTLGRVIAALADLSTGKKRKGGGHGQVPYRDSVLTWLLKDSLGGNS MTAMIAAISPADINYDETLSTLRYADSAKRIKNHAVINEDANARMIRELKEELALLRS KLGGGSVGAGSGGAGAGAGVGGAAGAVVPPEEVYAPGTPLDKQFVTITTPDGASKKVS KAEIAEQLTQSEKLLTDLNQTWEQKLAKTEAIHKEREAALEELGISIEKGFVGLSTPK KMPHLVNLSDDPLLAECLVYNLKPGLTTVGNVEANSEHQVNIRLNGSRILPDHCAFEN GPDGTVTVIPKPEASVMVNGRRITEPKQLHSGYRVILGDFHIFRFNHPMEAKAERAER AELGQSLLRQSLTANQLQNLDLSSPIPSPRHGHERSYSKAISDFGDSRPASPSPFLRS GRDSDWSMARREAAGAILGTDQNFASLTDEELNALFEDVQRARAERVNGRENEEDSES GTSYAFREKYLSTGTIDNFSLDTALTMPSTPKQGEVEDKLRDVREEMQSQLDKQREEF QEQLKSAEAANVEVEEIKKEKVRMEEALQTIKEDMQRQLEVQRKQFEEKLEKMDPLKR PRANPKLSEEEIELAKKVVAQWRSRRYVHMAEAVLQHASILKEAQIMSNELEEHVVFQ FTIVDVGHTLCSSYDMVLNGLTGDSDDVALTEAPKPCIGVRVVDYKHSVVHLWSLEKL HDRVRQMRQMHQYLDQPEYAKDLPAENPFVESCMPQFTLVGEVDVPLKAVFESRVQDF ALDVLSPHTSHAIGIMKLALEPSHARAPTNTIKFNVVMQELIGFAEREGTDVHAQLFI PGFSEEDGITTTQMIKDFDEGPIRFESMHSMSISLFSSESAMLRVAVYARVSAMHLDK LLSWDDMRDAVPMVQGQSKAARIAESQFYTQEKHDLLARIQILEMSENGDYVPVDVTQ VGDMDVGTFQLHQGLQRRIAINVTHSSGDTLSWDDVVSLRVGKIQLVDRTGKATDKVS EGSSTPLDISLRLSTKPIFRENANGTRSITLFGQWDSSLHDTPFLDRVTAEKSQVQMT ISWEISSGKLAEPMKFSSTVFCRILSRSHVRQTSMLSALWQTTKVVHSSPSIFTLTMR PAPIKRVGDLWRMDSQHDYIKGEEHLTSWTPRSVSLVLDHVAAGKKKKRAAEIASVQS FLRKIQLPKKEEEPAAEEEDDEPLEPPPTKYCDDDLLIDTPEASQTLATCATEEAEIN GDKPQPNGVNGKNANGEKEVERPEESTESEQRNPEESLYSDTEKLLLAKCVKLWQKYP DPLRRILSPENTAPPADGLTAQPAPPPSLITTVIGVPRNPKMLKSGYLLVPNSDSTRW VKRFVELRRPYLHIHSATDGEEVAIVSLRNSRVDSQPGILALLQGGTIHDGDMERSQS STTDFVPGHRRTASGRVISTIWTGTGGGSPSKGQGLHRLSERLQSAVFAIYGTDNTWL FAARSERDKMDWIFRIDQSFLSNGENSRSVSPMPGQVSGYPGSDAGSNM
SAPIO_CDS8593	MGVSVRDPNTLSNYAAWLTKHTTANLTIDFDEHALKGRVDYRIL SRTQKESNEIILDSSFVSVKSVSVGGSPAQWELKERHKAFGSPLHISVPEGAAEGDEL DLSIELQTTKECTALQWLTPAQTSNKKHPYMFSQCQAIHARSLFPCQDTPDVKSTYTF NITSPLPVVASGVLESETIGENGTTYVFEQKVPIPSYLFALASGDIATAKIGSRSKIA TGPEELEGAKWELEQDMDKFMEVAEKVVFPYKWGEYNVLVLPPSFPYGGMENPVYTFA TPTIISGDRQNIDVIAHELAHSWSGNLVTSCSWEHFWLNEGWTVYLERRIGAAIHGEP ERDFSAIIGWKALEDSITHFGADHEYTKLIPTLKGVDPDDAFSTVPYEKGFHFLYYLE KLVGRDNFDKFIPHYFTKFSGKSLDSFEFKETFISFFDSLGDEEIKKKVAEIDWEGRF YNPGLPPKPDFDTSYVDQCYSLAEKWKDSSYSPSKSDVESFSANQKLVFLESIQKFDP PISSERAKLLGDAYDLLSSQNVELKAAYYHIAMGAKDTSSYEGVSDLLGRVGRMKFVR PLFRGLNKVDRELALKTFEKNKDFYHPICRGMVEKDLGLE
SAPIO_CDS8594	MAHIISCMADADLGPGTLNSYIAKPASPQPPNESITSPTQLPSA SAEKQPFAWRMHQPETRKYQLFPKGAQTPTTKVLDPEQAFAHAMAQNPKTDKNDKLAA AGNGLRIRIKEHNLTRRRKISVPELGPMTTVQEVAMDSPTIPGRPPLHERSVSAPGQS WKQQNQILDLSYHYSPIEEINLPRSITPVLETRAPTPPRQPLSPKQLAPLVIPNHQGT LPRLAKQISLTRLRSGSTPVDPLQVRSARTDDSPRTRTPFTPLSSSSTQMTNSTLPTP ISAPIIESRASPKPWEAPRVTTPTQCIIERAGTPKTDEPRSANAVLYGHRRGVSESGS IMDRGRPKKRNDVRNNNGPLLKRSDSKKRSQSAERRAFEQLPKGWRAAEAVNALTSNE VSELYRQAVGQAARFEVLRKEDVEALSRELRHLDERTEYLRRTYTSLRAGRRNLHSRI CQYLRSPRVAKFSHDSMLKQEEALAELDASIDDWVTKLEQAENRRTRVRQKLLEHVAA AATLQGTGAAAASESLQLAMGIRPPQQQYNGVGNISTPPRSPTKTNFSTTTATATATA TATAAPTHTISTSPHRTTAHVPSTILEQPLVEEAAAISDDRKSTMTALSRRDCESIRV YADSDVYALLADVESEFTKMTIPIDSPTLSDAERQELHRARSHDMLCGAEDAAAAEAP LSQSLPEEATTTTTTTTTTKTPSAQAAAAEPIYLTNAVFRPNAPRRSLTAS
SAPIO_CDS8595	MSSEAPIEFCPHRADLEHNVQYQELGEVQYFEPEDSTDELNGNG VEGQNGGEGEEPAPFTVKLSKKAKKEKKKGKGKARGFEPEPEPEPELQPEAEAVAESP KAAEPENGEDLWAEPVNKKKKGKKGKKGKNFEDPEPEPAPVPAPEVEAVVEPTEAAGP SEDPADEWALPAKKKKGKKAKKGKVQEEPEPEPEPAPEPPAAAESTEAVAPPEEPEDD WAQPTKKGKRKEKAKKGKKSKGQEEPPPPPASRSIAAAEDDGATAPPPPPPPAEEPVP PEVEAAATPQPTAEPEQPAEEATEPPALTEEPKADKGEPVPTEESKDSAPEETPAEAA AEEPKEEPAPATVAEEAPATQEGEVVAEEAPIAKEDEAATEEAPVAKEAEAVAEETPA TKEAEAVTDEVPEAKEAETVAEEAPAAKEDEAAVEEAPATKEAEIVTEEVAIKDAPEA AAEGAPGVDAAPTVEEPASESVEPEAPPSDAPNAVTDAPAPAAEVEAPAVSEETPGEV EEVEAPVQTAVDDEEEEKAVEEPAAPAESAVPEPTEVETPEAKKEEPEEAAASKEEPV AAPDPTPEPTSAAEPETPAEDPKTTEPSKETLAGVSEEAPAATAETAEEKPAETTEKE GEEAKPEESPADPPADEKEETAAPVEAVAEKPVEPETAPVEEPAAIEPEAQAPAPAPA PAEDGKKAAEPEPEAPTEPEDKGAEAETAEKAPEEAPEPVVESTDAEEEKAPEVPAES DAPTTSDEKADAAVGEPESSGNSQSEETSAPEPPKEAVEEDKSTPAPAAAAESQVEES QVAGQDEEKPEEADKKAEPVSETPDTDAKPESKEDAPVAKEPQEEATPEPAIESTPEP AVEPTPQPRAEAAAEPAAESTPEPAAEPVAEAAAEPTPEPVAEAAPEPAAESTPEPAA EPVAEAAAEPTPEPVAEAAPEPAAESTPEPAAEPVAEPTPEPTPEAAAEAAPEAATEP TPEPAAEPAPEPVSESAPVEAKAEPESVEEAAPKDTAPEPESAPVVAPSDEAAKETPV EEASAPAEEIETKEEPVSAPTEEAKEESAAEPEKEAPAADEAKEVEAPVSTEAPIAST EEPAPVEEAPVAKAETESEAAPKAEEAKSAEDASGGAETTEPESAVPAEVEGVEETAH VEPEKPEEPEAKPEETPAVAEEDKADAEASAPPAEEKADNTEPAAQDAPKEAEPAAKE EPATEEPEVKGEPPVESDAENKAPVEEKPAETEPETKDEAPAPEVDVDEKPAEVEKSV EPEAKSEVTPAAVEEDKAAEEPAPAPPEEAPPAEEKSVETEPEPKDEAPAVEADSKDE PAAEPQPEAEDTPAESEPVPEAAVKEPAVEEPACKPAERADEVAAPAEDKPAEEEKAA EEPVAPAAAEKTVEDAPVEVPAEDTPKEAEPAAEAAPIKEKPSEEGKPAEKPAEEEKA IEEGKPAEAEKPVEEEKSAEEEKPVEEVAEAKDEPAPEAEKVAEEEAAPAADEAKEDE KPTEEKPVEEEKPVEEVAEAKDEPAPETEKAAEEEVAPAVDEAKEDEKPTEEKLVEEA AAADAEGGDKAAPSGEDKPSDKAADAAAEAAKAEEEEESKDTVGPIPGEEKKRAEAKT GLTERDVIEDDETVRSGSGDDGNDNIDGGNVELETTPEEPPTYAIVDDNDGKLDDTTA PGDAITAGSAELVSETTDDKVDDNNEATATTDEVQATPAQTDEAGTAGDTARSDDITS EIKAEDETSETQVRGTTADEHVYQQPVEPTPATVETGTQRNEQVTEETAQDETIKEVS PAVELEQAEAEIEVKAPIESTPTQESTEEPLTDPASVEVLNTPEGESKESAQVESVAE AHAEPPIEPTPTQQAVEETSTEPIAVEVSNVPEEASKERAQVEPEAEKAVEVEQPKEE PTPEDKQTEAAQPATAADEAVTPEVETAEVTGEVVEQEAAKDDKEIVAEPEAAEGPVV EEKPAESIVEGQPTVTDTPADDEPEKEPTTESVAQPAPEPTRATSIEEQVVEGEKNLT QETDTQDEPEVVEPAVDQVQEIEDQPAKTRAEEVVEEPVPTPAASPDDQTPHPESESE KALDTETTPTDAVVPETVEVLADPVLVEVTEEASKVSDEPAEAVVPEVETPSLPSEVI EATDATPVEEARAVEEISEPGVEAAESAEPEVPVSVADKTPVVAEPEVSQIDSQPVAE ALADTPAAELPETEPEAPPVSIVTSEVAAEPEPEPAAAEKAVETEQADEPAVPDAVAP AETEPEPTSPEKVEESVTSDAAVTPAQAESEPAAAEKVVEAEQAEETAVVTPAEPEPE SAASEKVVNTEQTEETAVVAPLEPEPELVAAEEATKIEQAEEPVAADAVTPAEVELAS SEEAQEAVASDAEVPPAQLQPETAVTEKVVETEQTEELVVSPLESEPATPEKVAKTEH AEELVPEPELEAVSAEDAVETKSPEEPVASDAAAPTLPEPESAAAEKAVDSERAEDSV AVTPAEPRREAVPAEGAIETKSAEEPVASDAPTSTLPELELAAAEKVVETQQADESAV VDPTEPEPEQIVADEVVETKSVEELAPSDVATSTLPEPTAEQAVEAAQVEKSVIPTPA EPEPEAVVEIEQAEEPVVADPVTATAAEPEPTSPEKAEEPVASDAVTSTVPEPEPAAA EKVADIEQAEELVTSDTVTLTEPEVVPTKEAQLAAEVPNAEELVAVEQPEAAAPDAPQ ESGVEPAAADKATEQLPAEEPKTEATEETLVADVASTVPDDAATAKETPIEANVEGAA SVEATVTSTSLDVPTAVEEAPSNEAEAAGPDATITEETPVQIKAEAVSPDTTPTGQPP DQTATTSDATTINEEAPVETETEAAAPIEALATSPPDTATAIGDATSTAEVGAAVPDA IGPIEETSVEVVTASAVPDASAVVEKTPVEADAEIVAPVAAATVEETPLETVAEISSR EATTIEETSVEPEVQIPGSDATATVEEVTVEAGAEVAAAPDVAAAVEDTPVKVEAAAP EETTPDHQIPVETAAPEVITADKEIPVETATPSVTVPIEETPDEPAVPKTTPDEKIPV ETAPSVVPDAPVPVEGPPVESQTEPSAPDTAVETAPVEGSAKVEVAPDTTREETPVEK SPHEAEADTPVSAPIEEQPAAEEVVKTVAEEPGDDSPATLAVNEPGPISAVEVSATEA VVEEIPAPEPTDGPVIDDQPVTPQPTNETPEERLVDSDLAAAPITDKQPSEAAAQEAP SPEEDVLIAADSANAPVAIASDEQPAVEKVDTETVPSVADVAPLVSSTINEEQPAEEA ITEAQSTETISKDIPATSEPAYAVAPTALNEQPAVEGADKEILATVPDADPVVAELSS EEDTQELALPVDTTEDTVAESAAAPTDTAPVTVEPQLGTEEIIEAATKERELAEAEVP VSEIAVEAPAEIPTPAQEQPPVEEPAQELPVIDPPATVPAPVEEAVKEPVAETSFEVP PEEQPSIQETAEEHPSDTLASATVAVEELTAEPVAEASSIEDQPSKEAAEVPTAADTP APVPAPAEPIEEPVTESVPKEPAVEEAAEVPTFTDTSTPVPAPVEAIEEAATESASEA PVQDQPAVEKSPEVPTTIDTSGPVPTAAPAENPIDEPATEGVSEVLTRDIPIDEQPAG TTPQGAVAPVSDAPAGETTVENLAEEASAEVPAEDLASEIEPAAVITPEEAAKEISTK QVEEPMPAAAEVQLQEPVLEDSVEEPQPDDIAAIIASQISSAAADEATDSPAGIVEEE HPATTEVAAEETKELAEQASSESLDSIPKEEDEPVPTKQIDDTPQAGDATTEEPVSSI VASSDVPALDTLPDESPAREAKEAEVDIPDVPEEVAAVQIQSTDEPTVESETTEPVPA VVEEQPVVEAEAAAAVETESRDVTTEKSPEPAVDVPIDDQPVQEEPIPEAAVDIAETS KDLPADNEVEDTRDKEVDAAGATDISTEIALAEAAFAEEQPATDVATEQALALDSTSQ VTDEEQAPDATAPAETVAKVASSDEEPMPESVTVVSEIPAEESIGEPAVAATVSTSAA PPDDLGAVAEDTTPYPPAEERVVAEEEPEAPVQPEPESASAESQDILPPAKFEAEPEQ GASTTAAESTTETSPESPVEKPLDTSLEQSTLEAATISEPLDVTVQPASQPVAEAAEK SEEPAAELKPEPTPSVEDSRSADPAPEDAPTETPENDLAVVETANIAERGPPEAEASV ETPAEPELKSTSAAEPGSLADSVPQDAPTEPPENVLAAVETPSTIPEPTPAERAVEAD NPADATLDHSQNETPEETVPATIEAPTAVSEPAIIEAPVEIPAVAEPEASPPVQDDTA DVAPEDAPHETPAPTDTAEDISAAAETTPDSDPALVDASVEAPVEIPAGTELVPEQDQ SAEPAAVASETEKLADVEPAVQVADEPVKEPVEVSTEPEVSVAPGEVSEVAQAAVEAP AEVSTSVVEDAASVAVPISEEAVEGAPVQQAIEDQQTVKIEGEVAPATETSSDDPVAS MELVPAADVQAEQANSAPEITGSDVSAETAQPALEADEPIESTSQDVETSEKAPVPTA EPESQLVEEAVPESQPQDEPVQEPVPEEDQVAESVDPVVSTASVDEVALAGDVPTTEV PSTAAEETAKEVAQPIQERSVIQETGEVAPVGDLPATESAPAATEVTVEEVIEPAEER SIAEDLPVQETVDAIPVPDVPVDEAPPTSEAIAEEPSEHVQELLVIKEAPVQDTADPV AIEAVGAGEEHTKPVESLVIEDRPALDLEAAAEAEVSKSPDEPAAASPEPSIENVSAT VETVTDDRAIVREPEAAAEDVSVPASDVVESTAPVEEVPADKPEVLQIVVDEPSAAPE TAQDAAETLQPEAEENTTTAKVKPQEPVASQQQTTTADEVKPEESIAPQDAGEQVITE PVLPEPDTVVEVATAEKVVGADATESVEASALTEETTLLPEEPVIEPVAEVLDAAESV VEPLDISDNVTEKDKPDALDVDVAEASELETPTPPRELIIEDVEGITAETQEESEVPA EPQTEIVDKSEEPERIKVAEPETSEIETRPVTASTQRELPTAPQPEAVKPETHTTEAE PTPTADEEPDDVSDHEATQEPAALEIKVPQSSEAVLTEVESVTDEPAVPVETVERAIN EAVAIVAVDEPAEPVAEETTKPAEVSSEVVIPKSEGPVAVLEVDEAPVAQIEESVVPQ EAEPVEVKEVADTVVSEEKPPAELEALEATVDDVAKNLEVVEEPAVAEDEQQPAIIEE VAQEATQPEPTPEVVADDLARNLEVAEEPSEDKQPATVIEKAAEELEQPAEVQPEPEE TPATPLEPQIETPEASPEAPVEKAKVLKDEPVVEVAYRSIPQDDEQPASEDVVVVSKA AADDEDEFVLVSPSETVGPKDSLDDSAVLADVVKSVDDLETPAEEPAATAPVESRELK SASEAVVEDAERPLETVIVSEEAISPEPVTEDAPLPSTAREEPPQEIEEIVEPTTTKS PDLADAQTSVEEPTEATIVESAEVLASRDIPAVATESTPAEPVHVVSEEVTESQVDDR DVVAEEPVLVVQSDSVNVSPSTEDVVVREEVADAEEPEPTPESFKPVESPEPVESPEP VEISQVVEKLEDQPVIVDHRGLDEKPADAAAETVEEPPVLARDQTGLSDDVVIVSQPE TAEPDVAPTTENEPAAEEPSGIEESVVLVSEQPSTEKPAVLETSTEREISVAAVEQSP SEPAEPEQIEKLAEIEQPVVDVTPEAEDGHAKPDAVPSALSPETIEVPADTEQPILEK EVTAEPADREVPIDIPAQLEDEQAPASSLDVSKDSPLDIPEPTDVSRLEEQPVIAKEA ETIAPAETEDETRALPADSIEPATAEADKEIATTQPVISASPESDSAAPEPLDRSINE KEVDAAVDKSKESEDRKGWIAGAVAAGAAVAGGLVAAHTLSSKDKGKDKAPIEAEPSR SLPQLQDSPVIVERQAPVLAPLPVAVAQDKPAAAAEPFPSLDASNDRAIQIDINKSKG ISLKDSKSPLAPLLTGVERAPTPPVVIPSLDDAPPMTPAARTKNLRRARKLSIARAEE DIAAAVVIYATVEALSPSGSPTREKAPPLLMGDDLEAGKKEDSTTTPGHVDSQMDSSQ VVADDDAGRPSVELALRRSVADLFTDKETSADDTKDKERTREERRRRRRSSHHSRSSR EGGEGSDSRRHSSHSHRRRSHSESTTRSFKTPPETPPRTPKSGGDSSERSRRRHHRTP EEQAAHEKRREERRQREKEREREKAEDSPQAPRESKGKSVENGDRERSHRRSRPGTSR SQAERSTAPEESKKFLDMKDRGILDGPKDLSTVPPSASRETVPKRSNTTRSRLGRSSR RSEDVSRTKLTKPRDEDSKSRRKSEDKLRKSEEKLSRSKTDIGEMSKSEEARRKARQE ERMKAKEKEEEKKGGFRAAIKRFFTSTT
SAPIO_CDS8596	MSGIWGWFGGASAAQKRKDSPKNAILGLRSQLEMLQKREAHLQK QIDEQDGLARKYVSTNKNAAKMALKRKKQHEHSLEQTQAQIGTLEQQINAIESANINR ETLAAMEKAGEAMKQIHGKLTPEKVDETMEKLRDQNALSEEIVAAITSNALGETVDED ELEKELESLQQEQLDEQMLLTGPVPVADAVHKMPAVANGKIPDKAIEEDDEEAELRKL QAEMAM
SAPIO_CDS8597	MSNIPVPSHLCSLADLPNRSIGDKVRFLGCVVSYEAETATLMLQ HKLPEAAAVRVAVDVGQRLGPLKSEEIRPGRWLNIIGYVTSITSDGAGERVGIQAIMH WSAHALDVDKYERIVRLESSTPAPTNPPLS
SAPIO_CDS8598	MYSLTLLTTILAAVSTASAQLNQLAKAAGLLYFGTAVDNPGLNN QQYMSIARDTKEFGQVTPANGQKWDSTERSQGQFSYGNGDAVTINFPAGNVAGHYKGQ CYAWDVVNEALEENGQYRQSPMYRAMGADFIPFAFKVAAEVDPGAKLYYNDYNIEHPG AKATAALEIVKNIQAQGARIDGVGGQGHWIVGQTPSRQDLMSVLASYAALVDEVAYTE IDIRHSRVPASQSDRELQARDYVTVVDACLQTPKCIGITIWDFADQSCEPLPECGFTA KAGHYQHEHEHYIDNIFDDECRYTIYNNSSPNLSRDSYSSLNSHRDEHHHR
SAPIO_CDS8599	MCGDDKFINTSANTQNPSQEQEEAMTSILSTSNAEQYYSQGLRG RFQVDALRIPTIASPSQKFADIDYEFTEEDYRKRTEAVLRAGGLETHLPAGFPRAVDG PMTWSGADFPNEDAYVVHLTDEWKAEIKSALDHFLSLGLPNTVVGPANFPLPTLGPRL IQIRDDIYYGRGFSILRGLDVDSYSNEDGITVCLGITSYVAPTRGKQNQRGDMIMHVI NTDEDDVVANKTVEKPFHTDTVCDVLCLFTKACASVGGRSVMASVGKVYNEIAATRPD IIHALVKPDWPFDTYGRSPAYYKRALLYHQDERLLMNFSRRLLTGSAPRDPRSPGIPG LTERQAEALDAVHAISRAHEIRTVMMKGDIRFLNNMGIVHRRESFEDGEGSRRHLVRL WLNNEEHCWKLPAPLRLAWARVFEDEERETHWAFSPFGKDGKYLRPTVSCD
SAPIO_CDS8600	MDLVNIEDWASTEDQIIEVSQVFLKAPYKLRVRQFIPQEGDMLE EIWHDGYIQRRFPIPPYAIVDMEEAAQSIAKMAEDTQHLYWESIIPQNSKSEGGDFIW DTFFFAFGYIGDVKIGLWEDYANPQSVKDHQRGVTTLLAHFHVVLGGALPFRLTSESR PAASKRKPDFTPKEEKFVKRTYAYVSSMRPSFSYVRQTQDPKNVWYWLSQLYDEDWTP DKMD
SAPIO_CDS8601	MSLDNAKSAKSARTAFTESSLLRTLAAILLCFLFIYLVHVWTPG GLDLVGWKPEGNIKQPTLWEDLVQGKRDDDLAKIRSEWLLELSFIEKASLLPSIAGEF IESLLDPETLSITSMDVPDLLALTTSGSISSEVVVTAFCKRAAFAHQLNKSLLEINFE SAIVQAKKLDAYFKREGKALGPLHGLPMTLKDQYHVKGMNTTMGYVGWIDTFEGSKDS PLKGATESEIVRELESLGAIIIGKTTCVQSLWYGETNNNILGYNFNPVNQNLSSGGSS GGGSVSMPASYNGIFSIKPSANRLSFKNVANSSKGQAHIPTVPGLLGRSISSIRLLFE ALLSTEPWLRDPEVIPLPWRENQAQNYTKRKLSFGVYAHDGIVRPHPPIERALNIVID AVQAQGHGAVDWNPPNHTEAEILHDKIVNADGNYDVPQQLALSEEPFIPELIPYFPNG KPQKPLNAIEVEQFVQRLHAFRNDYNEYWLSTKALSGTDRPVDALIMPITPTAAVIPG RSFYYTYTSIINTLDYVAIVIPVTFADRAIDKLDVDYLPVSEVDRRNWNAYDADMYHG APACVQIVGQRLQEEKLLVIAQRVVDAIEEYKYKQERLSGKETGLKVQNTDING
SAPIO_CDS8604	MAAQTPTEALKKLSVNDAPGAAEKAGSNGAPSGANAEHDEDSDD DADEVAAQGGAGGESGAAKKKKKKKKSKSKKKKPTAQSDPPRVLVSQLFPNNTYPHGE EVEYLDENRFRTTNEEKRHLDNINNDKTADFRHAAEVHRQVRQWAQKNIKPGQTLTEI AEGIEDGVRALTGHSGLEEGDALKAGMGFPTGLSINHCAAHYTPNAGNKMVLQQGDVM KVDFGVHVNGSIVDSAFTMAFEPQFDNLLAAVKDATNAGVREAGIDVRVGEVGAAIQE VMESYEVEINGTTYPVKSIRNLNGHTILPYSIHGTKSVPIVKSNDMTKMEEGDVFAVE TFGSTGNGYVHDDMETSHYARVGDAPNVALRLSSAKQLLNVINKNFGTLPFCRRYLDR LGQEKYLLGLNNLVSNGIVEAYPPLCDKKGSYTAQYEHTILLRPTVKEVISRGDDY
SAPIO_CDS8608	MSEPAVPVTEATPALAASAPATATGAITSPTPPRTSGDQRKSPT PKLDTSKPQDFDGEVGTNDVPPPIEVVKALDDYLVLDKEGKSHTFKSLYNGKNSARRV LVIFVRHFFCGNCQDYLLALSEAITPDALLSLPVSTSVVVIGCGDPALIDMYAEVTNC VFPIYTDPSRKLFDSLGMIKTLQLGARPTYTRKSMTSTVVTGVLQGLKQVKSGLATKS GDQRQVGGEFLFEPVDVVNSPTPTVPSGDETKDDEYGLEEKTIKWCHRMKSTRDHTEI PELKKLLGLTS
SAPIO_CDS8609	MHALKSLVLLGVALTSELISVLTTNPILPEFSMRFIYREFHETV VVSEKIVTFNYTMEHLSLSLLERLQRADEEPVAIAPPECDPQPGLAVHDHCDNERADK MKEIATMLKDQTKADEKNMDDLSLKLMVDFCTWGGTLFGDTMDNLKAASDALGAKVEL MSVSEQSVNDKLADAQKTFAYPLCDSLVQDSNRQTGEPIGDSKRTLSDAATTFQARVE ASGKDLGDL
SAPIO_CDS8610	MISKSPEEIANGHARNGEKRTGKVWATLITHESYLPGLLTLNYS LRKAHSTFPLIALYTDSLPEWCLAAIQARDIATRRVEYIQPSSGRNYSEDPRFIDTWT KLAVFSLTEYKRVVLLDSDMLVLRNMDELMDLDLDEPGVAARGGAGSRRVYAAGHACV CNPLKKKHYPADWVPENCAFTKQHRSPDKAQVSGGGCDLSPLGNINSGLIVINPSEEI FAQIVEYMEKHASRMLFPDQSVLSELFQGRWVALPYIYNALKTLRKPETHQAIWRKDR IKNVHYILAPKPWDELDRHGRWTGTEESHKWWIDTNRERQEVERREAIADGF
SAPIO_CDS8611	MSRVGNPVSKITRSIGSTASRPVVEAQYASLAPKYAELLRNRRP RVLDNSQSDAHSHARHLMTQHVPAPHQPNLVKLPSNAPSASVERRTPVPILFNPQTAT QRQTSAPTRDFVSTPEVHFIAKNPKNPDPSIAGIEHLDVDEVALRAASS
SAPIO_CDS8612	MAGLFSTGTSSSTTAPSQTLGDLSKDVALNNPPEDSISDIAFSP AQGNTDFLAVSSWDKKVRIYEIASTGQSEGRHIYEHAGPVFNVDFSKDGTKIASAGAD NQVKVCDLASTQTAQVGAHDQPVRCVRFFESGNGPMVVSGSWDKTIKYWDMRQQQPAA QIQCQERVYTMDVKDNLLVMGTADRYINVIDLKNPTKFYKTLQSPLKWQTKVVSCFTD SAGFAIGSIEGRCAIQYVEDKDSSSNFSFKCHRDPPSNNVVTVHAVNDISFHPVYGTF STAGSDGSFHFWDKDAKHRLKGYPNVGGSIVATTFNKTGNIFAYAISYDWSKGYQHNT TQYPIKVMLHPVDADECKPRPAVKKR
SAPIO_CDS8613	MMPKSASATQSAFAFFGSSSKSRPGTSSGESVQQQQPPTNGFLL QQPNVLTKDRKNSFARKTSFSSPTKSKKRSGSSSSAGARSLGSASFVTDSTAPPALPD FALAAAAKLSRESEAVAMTPVTGDSFSRILGRTAPTATSFHTGGLTPVQPLGQMWQGE GAVMHRNMREIARKRMYTLDYLRKAHEGQVFWFNTYMFDRPNQSRMSAVMAQKLARRA TNYLLLGLSLPNVIDLNSSTPLEFLRSFNALLSEFDSFQQLHGEGGSTGSLSRARLPQ MFRRGTASKGRRSSAAAEASLYPPDTDGGVNAAAAPSSVINFAGSEAELLPGETYTYL LTPALPFDPDFYETFATLCDALIDCYKRLLILIPTPREFSAPVAEQFAKADTKVRKLI IQGAVKEFEENSKAHVKMELANISKVVLGGLM
SAPIO_CDS8614	MAPSALATPAATAAAPSNGVAKSTPAYAELDASKLTYERTKSPR PVPTVEAATASSDSICTDHMITATWTAGTGWAAPQLKPYGPFSLMPTASVLHYATECF EGLKVYRGHDGRLRLFRPDCNARRMVMSSTRISLPGFPPEELEKLLIALLAVDGPRWI PKSRPGTFLYLRPTMIGTQSQLGVMASREAMLYIICTFMPSLDSPAGGLRLRTSPEDM IRAWSGGFGYAKVGANYGPSLLATALAKDDGFHQILWLYGPEGLCTEAGASNFFILWK PREGGKPQLVTAPLDDKIILDGVTRRSVLELFRERCPEIEIVERKYTIKEVEEAHDEG RILESFAAGTAYFICPISVVNHRGKNLDAPMGPTGEGGEYTLKVRGWLKDIMYGGEPQ HKWAVIIPEEGEEA
SAPIO_CDS8615	MTLPNLEGGSVNCGDKRSALGQEASLGRLYDANSDKVLSNKFLR TEVPPPGSFETSEKSSISFKHAISDSLQERYSNLGASADVSASLLCGSINAGWRADYL SSSRRKSRVLQASVVCTVHTRYEYLNLGAHGLEGNLNFSALDTAGATHVICGIVWGAQ TSVTVRVTSAESSESRKFTSDVGTGPKGEAEIGRNKSTQSLLSRIVNCIGQVNLDGKL SLDDETRSRITELDFSISGDAIDDFETTPRNVEEFIEFLHKIPSSFKAAREGKAVPIE FELRPIDDVAREFKRELTQEIISHRLETSCVNDCVELLEELEMVCQELQDYYDRLEEH SYCIPKDHIRKVKGMLGSSKKQRSEFLKDMTGILCRIRKESADISELEDCFAQVNDYK KPTNYNETIDKYSTKMAMASQLRGLGAIYVKNDQFEIQSAIHKPHEKDLFVLHYNEET RGMSDWPEHFRLAMQLLYERELRLMIVDHDVGVPDILEKPLLEQFRGGRLLVDDVVAS YKELSDKCIIRYQETTLQELLKDLDESEVYNVLVMGETGTGKSTFINAFVNYANFESL EEALNDTEVVRFAVPSALAHEIEGGEMVDVTLGESTESEILSRNGQSATQRGTIYSLE MDNGKSIRLIDTPGIGDSRGIRYDDANIKDILTTLEQVDKLSAILILLRPSQARLTPT FRFCLIELFRHLHRSAVRNVIFGFTFASGTQYKAGVVERPLNELLRSLNVGLILGQGN KYFFDSGWFAYLAACKKKGRELPGKDCHEEMWRRSAEETGRFIATVMQLPTHNVGETL NYNRIRNVLERMAKPLTSFSSAMKKSQVELQALREDLAALDETDKDLAEKLKKFKLTM TVPVRYNLKRAMMVCSEEECSTYMEDEEGRRQRVFNPECHSDCDLRAPTEFPGHSDMR GCYAFRGWLFWFNNEKRLVEDKDIKGQIQNNEDAKHQLKVKLDLAQDTIEEIEKEAAQ IKDAQAYFGFYLKDNGLVTYNDATTAHLAYQIRNAELEGRGADAAELRQQYSEYGSKL EELMKLIAEGGERRPGALKMDEIIEGLKAMKTFGQYLSAALDQSSPVVLENRLRTVRG TPILKIPSSVRTGIRMTVQPPPGDAAPEILDDRIWIDGCFDFFHHGHAGAIVQARQLG NELYVGVHSDEAILENKGPTVMTLQERLAAVDACRWVTKSIGHAPYVTDLGWISHYGC KYVVHGDDITSDSSGEDCYRFVKAAGRFRVVKRTPSISTTDLVGRMLLCTRTHFIRSL EKALDGTEGSGTEEEKKEAGRAMTERMRLYATDETAKEPGADVYFWAASRVAKASDSE EERGTFRQLFEGPGPKPGQRIVYVDGGFDLFSSGHIEFLRLVVEAEEELARGKGWYDE KAVAERVASGGDYPPAFVVAGVHDDDVINQWKGVNYPIMNIYERGLCVLQCKYVNSVI FGAPFSPTKSYLTSLPSGTPDAVYHGPTSFMPLTYDPYTAPKEMGIYLEIGSHGYEDV NAGTIVQRILRSRDVYEARQRAKGVKSEVEEAHKQRELLEQEQREKEAARKA
SAPIO_CDS8616	MTSLPEAGQQKQSGLLDPVEQKLQNQPVDNSPEAKTGADVVARS ASSDANNAEIGPQLQIGLSEETKAKVYHTGWRLHALTAATALVPIVDALHGFDRSGWV VTSYLLTYTGFLVIYAKLSDIVGCKLMLLCAITLFTVFSIACGLSNSMLMLIIFRAFQ GMGASGIYSLSTIMVPLMVPPAKYATYVTVITSVFAISSVLGPLLGGAIADNTTWRWV FLLNGPGGFVAAALLAFSIPFGFPYGKSANFFHSLVAERMWRRIDYFGGFLSLAASIL LIFALQQGGVAYAWNSGAIISIFVISGVLWLIFVAWERQLSLWDIVCEPLFPWRLACN RFVLGLLVNGFVTGFPFMAALINIPQRLQTVNSMTAVDAGIRLLPLLLLSPVASSLSG LLISKLKVPPLYLLILGGSLQTIGVGLYSSIDSSDHRIPPAQYGYQAIMGLGFGFNLS TILIMAPLVVKEKDMVRVLGGTIGLAICSALLVNYIKAQTSRFLTPDQVAAILLSSEN IASLPPELQTQTRTVYATGYSQQMRVMLFFSIASLLSLFLLAEKHPRRIVDLKTGQMS GSR
SAPIO_CDS8617	MSEDIEAGKKANLVVPDAKRAGSQYSLDASSTEDTIIPHGALDP VYEAKARVLNRAIQDIGMGWYQWQLFIVVGFGWASDNLWPIVTSLIFTPITNEFSPRR PPLLTLAQNIGLLAGAMFWGFGCDIFGRKWAFNLTLGLTAVWGTVAASSPNFAAIGVF AALWSFGVGGNLPVDSAIFLEFLPPSHQYLLTVLSIDWAIAQVIATLIAWPLLGNLTC QQEEENCTKGKNMGWRYFVITVGGLTLIMFLIRFLLFTIYESPKYLMGKGRDEEAVRI VHEVARRNGKTSNLTIDDLKACEPEGYVAQTNTTAAVKRHLEKLDFSHVRVLFSSPRL ALSTGLVMAVWALIGLGYPLYNAFLPYIQATRGADFGDGSTYLTYRNSLIIAVLGVPG ALLGGWLIELPRVGRKGTLSVSTALTGVFLYCSTTALDSKALLGWNCAFSFFSNVMYA VLYGFTPELFPTPQRGTGNALAATCNRIFGIMAPIVAMFANLQTSAPVYTSGALFIAA GVLVIILPFESRGKAAM
SAPIO_CDS8618	MATMFQSLRSSSMPKRLLRYALSRLELLDADALDMDNLDLAIGR NTVFEFRDVGIRLKKLEKILQLPSSFELLKAKVLLLRITIPVDFYTSPITVEVDGVDV RIRVASRDTISHAKPSRLSRTSTSDVVPNTADLAQSFLENQPEAEKKRLEEAIAAETQ DLGASVTISDDGSEEEAAFGTGQPLSLPAFLADFLQGIVDRTQIRIRGVTFQLDIEVP LEATTSPGSDTVTFQIALEGINVEGVTAPDLDENGVPLIVHREGKRHVSLSNIRAYLV SEANVFSAFARSPSVASPAIPRSPVTSNHSSFRQGSLSRESSSLSANDQYVDDYLASS MTFGQDEPPLRDSEVALNIPYDFSNSDEESDGPEEEAVEEEEDSPPTPRASVHPDFLP QQPTITVSQSTNIAEVAQPWASVHRGVDSTPALGNIGLPDSTFSERPRTPEISTPSPG SDAHLDPEGEEDLSKSHIFSHEEAESMYASAFSELPSQPSRSMPGSWDTYSSPSNSPP QDTKNVVQPSDQPGDEVEDKFEDPESPSVRPSHPSEAVTEVEPEETGDNGTLSESVYD PPKDLLVDATEDKSDDEGDIRGQDEDLESSRIRQSLYSSHHTDNSPLEEVPQPEEDAS VDRPPTPPQDQTPRGPTRLVKELITLDTISIYAPLHHKHIHVHPTPDPSDSEPSPSLK KSTSPHLPGAFSVYSQTHDSFISSRGPPPQPQPQAQPHQSTLEPDDSLEIVLSPIDIR FDASIGFLLATVISKLLEVFNTPTQEPATPTPAAAQPNTEGAPAMPALKVMFEKISVH FLHHLMGVADTSERHLNPAAFEFDQVTLLRCDLEELSVSVKNAATVSTTLINLGKFRF GYADSDILSFDRALKLGASVRDVFPPSGADVSVKLTRAGKVTKTEVTTLALVVNLDLQ RLDETFSWFGGLSSFLNMGSSMSSVAPASVQTVAPVTPSARGVRFETPINPNDRSAAS DNKMDMRIAGFYLRIVGKECEMSLETSAVKMVKRNEGLGVAVSAISLSGPYLSNLDAS PPIRVEVEGTRLEYLAYPQDSDLERLLKLITPSKVQPDLGDNEIMVDTLLRQRRKGPV LRMTLDSVRTRVHNVPLLACLPGLGEEIARLSTVAKYLPEDDRPGLLTLGRIKNLAFS ADLEGNIGMVKASLREFELAHITLPSLVALGLESLVVTRNETEELVTSPKSSATADEL VLIARMIGDEIEPIFKLRLQGLAFEYRVPTLMDLLGLGKDATPQDFEAELAASVANLG EHAHAALLRQQTQSPALSKSQAKQPAAKPLAVRIVFRDCLLGLNPLGLQSKLTLALTD SRLQMVLPKDENVSAEFELRKAAILLIDDITALHDARPPSRPRVTNVPSQQVSELCAQ GFVEICFISSAKASVAITTLEDGEKQVDVSFRDDLLVLETCADSTQTLIALANGLKPP TPPSKEIKYRTNVMPVEDLLSSISAEAFGNPEGEYDFDNDFAIAQELAGDAGDDEASD VSQLEFHDDYYGEVDVGEKLLDATASSLGSLPASQGTNVSDLHNFNSKASTDSDLEDT HLVVHDDWFASDASEKGTAKVWNSARNTYDRAPPELVKRSPLKVSVLDVHIIWNLFDG YDWVHTRDVIAKAVHEVEAKANERRARNDGLNVYDEDLEDEEAVIGDFLFNSIYIGIP ANRDARDLARAINHDLNDNATETESIATTAFTTSTARAGGAQRSGPRLKLNRSKRHKI TFELQGVDVDLIAFPPGSGETESSIDVRVKNLDIFDHVPTSTWKKFATYDQDAGEREM GSSMVHIEMLNVRPLPDLAASEIVMRVTVLPLRLHVDQDALDFITRFFEFKDDDAVVS QSPTDMPFLQRAEVNDIPVKLDFKPKRVDYAGLRSGRTTEFMNFVILDEARMVLRHTI IYGVSGFERLGRTLNDIWMPDVKKNQLPGVLAGLAPVRSLVNVGSGFRNLVEIPLKEY KKDGRIVRSISKGATAFVRTTGTEIIKLGAKVAVGTQYALQGAEGLLVQRPEPEPWEL DETDSEEPRQISLYADQPINVIQGLRGGYASLSRDLNLARDAIIAVPAAVMESQNAQG AAKAVLKKAPTIIFRPAIGATKALGQTLLGATNSLDPHNKRRVEEKYKKR
SAPIO_CDS8619	MDRLEKQKSLHGRNTRPHVGIIGAGLAGLRCADVLLKYGFKVTI LEGRNRIGGRVHQAKLQNGRLADLGPNWIHGTKNNPINDIAKKTGTETGNWDTRSYMF DPTGTLLSLEESERYASMMWDIVQDAFTHSNKNGNEIDPKESLWDFFLVEVAKRIPET EENYRHSRQLVLHVAEMWGAFVGSPIQTQSLKFFWMEECIEGENLFCAGTYEEILQSV AKAALEKAEIKLEKVVSQISYGKGCQDRVRVQTKEEEVFEFDDIVVTAPLGWLKRNLA AFEPKLPPRMVKAVNSLGYGCLEKTYITFPTAFWLGSDDTGRSVQGFCQWLAPRYAPN NPHRWNQEVVDLASLSPPSAHPTLLFYTFGDASRYLIEEAAKREKKAERDAFIFDFFK PYYSRLPHYSESSVDCQPTGCLATNWLQDELAGNGSYTNFQVGLEDGDEDIKTMRTGL PDVGLWLAGEHTAPFVGLGTATGAYWSGESVGRRIAEKYGLAGTRPFLEA
SAPIO_CDS8620	MPAPTESFTREEVSKHITDDSLWCVIDSVVYDLTDFLDAHPGGE SVLRQVAGKDATREFYNLHRQEVLTKYARLAVGTITGEKSKIVTQKIGDLSKVPYGEP LWLSKPFQSPYFKESHHRLQQALRKFVDEHLYEEAQECEATGRLISQEMIDLMASKGI LHMRLGPGKHLHGVNLLDGAVKGEEFDYFHDLVVSQELVRANARGFQDGNMAGMTIGL TAVLNFARNEAWKKKIADEVFSGRKKACLAITEAFAGSDVAGLRTTAEKTPDGKYYIV NGTKKWITNGVFADYFVTGVNTGKGLSVLLIERGEGVETKSIKTSYSPAAGTAYITFD NVKVPVENLLGQENKGIHVILSNFNHERWTMVCGTVRMCRTIVEETLKWSNQRLVFGK RLIDQPVIRQKLAKMISLVEANQSWLETVTYQMCHMPYSEQAKHLAGPIGLLKMSSTR AAHEIADEAVQIWGGRGLTQTGMGRVIEMFNRTYKFDAILGGAEEVLADLGVRQAMKS MPKVML
SAPIO_CDS8621	MDFSGRACYTCGATNHQARECPNRGPAKCYNCGNEGHMSRDCPD GPKDSKTCYRCNQAGHISRDCPQGGSAPGGSSSAQVECYKCGKLGHIARNCMEAGGNS SYGGGYQSYNQGYGSQQKQCYSCGGYGHMSRDCANGSKCYNCGENGHFSRDCPKENTG GEKICYKCQQTGHIQSACPNA
SAPIO_CDS8622	MASWLTNGRRAIFESLAEVCDKIEDDLKAELDNNQKELELLKSR ASETEKLAQENRELRARLQHLERDRTTPETPQNIKALAAGRALESSERPHNNPKLRLP PTPQSAGLNTASPSVLISEGKVDWKLEAAKNAAKYTRLQAELRTAIDTLAKRKEERDK WKQFAERLQKKVEALEARLGQQDPQKLDSPASTTPRPRLVNAQLPAVKQNFRNSAEPM LPPLPPTVDKGIPPATFPHVGGNDHSHDDSPTGSGTTDGTKEFPNPKLPDLPRENGPG THIKIKEEPSSDTPVVVSERAVKKRKRDEPEVDQPRATRVKEEAISQSEPVVTADVCD FSPATSVDLDESGTRVPDQVSRAALQPLNPNVQTPRLQRPPRKGLAHAVGVLAEDGAS YELSARDRTPLQRTEPNTGRLTSLLSGASKASESPIIRAFRKPETPRSNLEPRPIAES RASATSVWLQTPQPRELPFDKINRDRSRATPKQTPRGTSGGPTPSTASKKESGGVLSS EPKLRTRPVSVLKVDDFKINPNFNGGFDYAFSEVVRNKDDRACLPGCTDMECCGKSFR AMALAERGNGRRTAEQLAEDKKLLEDYLGEEAHRLFSMTKEERDELWLEAKTKELANR IGKHRHRFSRMKTPPGFWRTDFPDTQELHEDRVQALRREKEMIQERHREAMRPGGKWV FRDE
SAPIO_CDS8623	MNNRHLPAGPAMQGAGGPSGSVGSEMPTGPSHGGGGGGGGGRGR RGPQHFAHQYHHQPMHHFTNYVPPYPAQYYPAMHPHPQYQNGAMQSPAYMPYQTPYGR SPPPMHQYVPMVGVSVQQNYSSRQSQNSPILSTPYQPPPVPAPIPPHTPSSTHSPRVP PARTTPPKPQAVEAIKPQPAASQVESPPPIVEERPPFRAPIPWLSRPDEPFPKRTLKK SRRKQMQPLSGGNSVELPVEQHEGATTEAARVASEHSEKPNEAQSKEPEVTSAVETVS KLSAAEEAAALKAEPAQPSDIDTGIPSTPASTHQPSVSISAETSPSAKSTSRPTVPAV PVIPAVPKPGAKEVKAPASVGGDEKQAEKPKIEEVKVNGSSVPQTESAADTTAQAISG LEGNVPIPAPASAPIPQKPKSWASLLSTPASAARAPSATASGVSSASSAVGATASAST LRNGSISGLPRANSESLAEALRSYRVGATSTISQIEPRGLINGGNMCYMNSVLQVLLF CTPFYDFLDQVSKKAAHSFKSETPLIDAMIVFMREFRVIASSTSIDQLQEAIKGKDRT YGDPLTPNFLYDEMKRSKWFATLEQGHQQDAEEFLGWLLQALDDECSKVMGVGTPAKA PSTSGDSAHDQDDPSSGWLEVGPRQKSVITRTSGSTSSSPISKIFGGLLRSELRVPGL KPSITTEPYQPLQLDIGSPNVRNIIDALKGLTSQEKLHGDFNSLRGKNVEASKQVLID ELPPVLILHLKRFQFDAAGGTLKIAKKVGYPLELDIPREVLSRQKVASLGNKMPKYRL FAVVYHHGKNASVGHYTVDVRRQDGAQWIRIDDTKIEPVRAEDVAKGGTEDDMAKEMR REANANGGSSNRFGGMNDEDTGDEEGWKQVPSAASGGNRRWSSIVNGGGKPGSKSKSQ DTNNDKSVAYILFYQRI
SAPIO_CDS8624	MSAPNTPPKYLYKILDVEPPVPLPDELPLSELDANDGFIHLSVA EQIPSTGGLFFDAHKTLWVLKLNFESLGTVKWEGSDDDGRAFPHLYGGFGAKEVVGVK IVERAGGEGWRGIFERDEWLE
SAPIO_CDS8625	MANRFTAFGASPDPSTPDRSARRGGKFSFGTEPSTTPAGPPPSS SNSFTPAGAPSASYLGSSMMRGLTGSKPAAPSFSSGGSGSTSRNLFARKGSSPLTRGG RNAKERGPSGLSQSIRAESIERETPPKKTAGTFRLAFDDFDDDDDDDDDDEEGDEDER EAEQDQSEEEDADGEEEEEEEEEEEEYEQRLPFGRSGHAALDAEVERYINRDIDAEEE SEEEEEEAVGEADGEDYDPFLTMHDEPRDSIEGSDMDEDLMVLTTPAADERARREAEN IFRASSIRKAAPGPRVDFQFDAISREHYKAAGPAIINETPDLILDTEDLVSHLYDEGI GAKDDAEKLDSSLAIAAIGLTQIWNDYAQELPDPEEEHATEIGPPPTAEPFKKAAFIA NLVIRMHHTRSESHFDEGKRVPLPQVLFEWMAKNHNVYPDQFREVVEQRPNPVCHSLY WPTVRTTLLRGEVGETARLLKSADFKHVRKNRGPASYSGKALQNIEYAVKQTCDMLEN CPGANGDWDIFGSDWTLFRVKAKASLDQLQRFAEGKDHQQFGSSDASFGYGNSVVDLA RKAESQIPWEVYEQLTSIYDIVIGDQNAILETAQDWCEATVGLCGWWDEEKDRPKKRR LGQSLNLLGPTHLVPLEDYFERMARAVHAAVESDFYFDPRNSVAVAVASAFECNFSAV IGILRAWSLPVASATAEVASLGQWLPKPQAKALLPMDTLDDDDLAVLGVPQQGPDEVE GIKDTTLVQYARELAGVDQISADREGWEVAIQVLGRMDSVEKSEETVGELLHDLLETL QPDSSITVDKMWRILGDLGMVSFAEETAEAFADILGKDSHRYGEAIWYYSLAHKPAKV REVLNLLISYSLLQSTAYPTPSDLDDHLHRLLTDRGSALEDLASKDIEAAELVGRMLS GYATLRKFYEIRDTEATTTTTATSTSAINTGLRGVANKKRAATALVAVIASSDDNIRG GVYDETRDAVVSEDFLLALLGEAMVLVGHEPPVLTLEQMDILLKAIEDLETVGTRVYD ACDEFFKLVLASAQGLKGSTPADLMKSISSSGGLGGSYVLSGSSMLASQLHRSISGSS SSGGLARVPKRGWDWRAGLRANSTAEEVLRILRLGLSKELARLWLQDADNVALF
SAPIO_CDS8626	MADTVGKTITCKAAVAWEAGKELSLEDVEVAPPRAHEVRIQIYY TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTNVKPGDHVVALYTPECKE CKFCKSGKTNLCGKIRATQGKGVMPDGTTRFKCKGKDILHFMGTSTFSQYTVVADISV VAVQQDAPMDRTCLLGCGITTGYGAARVTAKVEEGSSVAVFGAGCVGLSVVQGAVMNK AGKVIVVDVNPAKEEWARKFGATDFVNPTQLGNQTVVDKLIELTDGGCDYTFDCTGNV NVMRAALEACHKGWGQSIIIGVAAAGQEISTRPFQLVTGRVWKGSAFGGIKGRTQLPG LVDDYLQGKLKVDEFITHRKTLAEINNAFETMKQGDCIRAVVNMRE
SAPIO_CDS8628	MMGNQNCHAEITFEDDVKWLARFRLAKTISPPREVRDCILRSEA ATMAYLQEYTHIPIPKIFDWACESDPGNQIGMATPAQREKIMQQLVDLFLEIEKHPFE VMGSLISSAGDTTEFDVQGLAYPTTFRMGGGGPVGPFSSSLEGWRVILELYLAMIAGG EIGVDNPVDVYLAHRFRLDILDSLWGHVPSEGQFFLKHPDDKGDHILVNGSFDIIGII DWEWTHTVSKAEAFCSPCMMWPVGEFYDGSNELAKDELRLADIYRERGREDLAKCVIE GGKFQRLFFALGPDGAFLDRKAFVDLFMGLKRTFGFGDVGWEEWKGKALEKWESDDVL RGLLELELNGE
SAPIO_CDS8630	MFARIFNIILRAAELGFSSIVAGITAWILHKSNSHTPGLGRFIY TEVIAALAILTSLILLIPCSSSFTHWPWDFFLSIGWFVAFGLLVNLIGDSCGYIFDWN NVSVRGDQCGKFKADMAFSFLAAICFLVSGLIGIFWIHRHERRAAAAPPRRGWYRRNY RV
SAPIO_CDS8631	MSSFVNKIKETVGGHESGTQKGQKGQGREGRYEGGTETGAGSEF GRTGGSGVGGTRSSEYETGRTTGSSGGGYGSSETSRRTTGREQGFEQSRSGAYGSGGT YGSGESGAYRSGESGGTYGAYGSGESGGMGGTYGSQTAPGHQRREQYGEQDPEREREM RGGYGGTGGQAGSGEYRTQGSGREHGERGW
SAPIO_CDS8632	MSAAPSIPQALWAQRIPLIITHSSAPTTQFITSIPRFSYLALLL PRLGVYFRLPCSSFHHEEVLLRNLAVGLLVDLYQPTLPWKLTVSDGLGWDIADTFLNS VKEADFVRNGNANQIMKMSRTDTTALWNAVQDNDFATFSRINNRLLNPPTPLKHVPIR VYIPSSPPGDGSASSMSAASPASFKIVQTLVPTTTPANAGRMSMSILHSARQPHAPQT LGMALKDMMPELFPSSRDPVLANVILNGVSVPFDAPLVELMKEAAYPDGWLCLVVVLL 
SAPIO_CDS8633	MNNSENQYDGLQVVPNNNMPDKQAYGVYPQSSYQGTTVTSSYIG EDAAPNPEKRIAGMRRSTLVLTSTVVLLLIAIALIGGLFGSKIGSLEDKFKQVQTPTP TTGGGTGTGTIPNLEATVTDIAVDGYQYLGCFEDASDRTLSNTSFDRDTMTNSQCAIS CSRFRYFGTEFGSQCYCGDQLTRTKAVAPWHCADHCSGAEAGAENCGGFFYLSLWQKS S
SAPIO_CDS8634	MQDRLFSREVGSLNPRDFAKTVTTDLLRSFALAQNHRFDGTSGR RAGDEEAQGEKIHDTSIRAHNGGANSLAIEKFDGKVMVSGGSDGSIKIWDLEANPNPH QPCLHRPVGTISRQRPQLFMEGHISGVTHLDFHPLKKDHFISSSFDKSLKLWSCTTSS VTAHYDIKAKVFAHAPSPISPIVACATQESNVRLVDLRTNSAARSLLASGGAVFSVCW SPRHERVLASGHSDGKVRVWDIRRALNPLGMLDMEDSLGIVHRFNHAMASGLGWSYMP KVREAAVAHADAVNGLTWTDDGNYIISAGLDRRIRVWNAATGANTLSGFGSTVRNSQF APLHMIVPPSNLTAGCRELLFWPNEQEILVFDLHEGYVVSKMRVPGAYTTFEASPKNR ITCMAWRGSGGRRRMLGPEMGGGTASGGIYTSHADGHIRVWMPRIHNPDELDEGDGLE GDDEETKKRKRQVLDDAYRSLMGKQITFT
SAPIO_CDS8635	MASTSSRGNTPAAGHPTLPTGDERKASGPELRDMTGLRRRQATV YDAVAGRVSTLRDVAWNTRTDEMPVRELSAARHSTKNTTLSPEEVLFRRKEAPDRWPH YDIYMAHEHDLPEAGRGILPSSDLLKAVHSYTSHFYDALGDHGKRESHYVGRRNINEA SMDETALLALGILLEEAGKEVLGRRGDLVFTEGEEVFAKGEEPEPEEHLRADSPRQPH GSLNQELSIRISDRRKRRRLSGAPSTM
SAPIO_CDS8636	MVQVVRKLPGLLEWEGRQHRNSCACVDLQDNSAVEAVHQEHRPA EEVDEVQNSSVRVVAAALDEHSQDEALRCCPSIELAGEQYAHHRDPSLWQGPGLDTDY GTRLEEDHGKAEGGEGVLVSEGGLELVRAEVVESLAWMGMALVHDDDGHRGEEDVGPV YGGVKERPGQEAVVVDEGEGEDGDENGDEVRSCEEGNGVDIDPAAWAVRPGWRTEPER AEARLDGRPERKEGNDKNET
SAPIO_CDS8637	MPHQPPYDTIYPNEPYYNISYTTTAKRKATRASQACDSCRALKA KCDETKPCKNCREKNVECKYREPAPKATDKAQADILEGVAAIQKTLEQLVSQHENMDK RVSKIEKAIAQAFPQIDFKTENDAEESEKGESEMVDVNDDVKPFAPSDEYSDDAELKP NFGYSSTHPGYNMPDEEMEPEPGPVLPPGELAIPTNHTTGAGHLLNWPCINAMVQRVL NRESLRFPAEFPIREEEQRGILRVYGRGEGRDPIPRDRDVRAEHGTTDIPEDVAYSDM SSPTPGDASGHIGGFSPVNISEYTGSNSRPPVLTPSGVPDFSEGKVWKYVKSFEENIL NMHPIIQPKDLHAMVQIFLNSIPKPPAKPVAPAQVAKFVQASPQPAAAEAIGSKRKRS PAMDAPDPPQIQYRTGRPPRTINNAVVLTILALGKICLQRDRVPDVVHDYSPPNASYN RRNGYPSSPGHASSPEAYTPAANYPASMAPSPKESERPGLGRRSSFQGSKSGSHRPRR NYDVIPGLEYFAIATDIIGNQLGGNTMNHVYAGIFAGLFHGQLGRVIESHAYIAHACN VLMNIMRPDIVAEIPRHQSGILAQEDHLPYPNPYFWQQQDPSAEVLQDSVLTSYMAQL YLRKHLNQIHRMLYGSETAASSINLAQVNDAQARVADMTWVGPMYRFSEDDPPASDIL SARLRAKYWGSQVITYRPCVKEILDLSYSLRVETDPALLNASYAELPEHIIQRVKSLP QEVWAHARKAIRSLIESTQAFHGLGDKRPIITNVFGTAHAQWGNLVVLAACYCDPFLR QEIDEKQLRDLYIKTIAFFNKVACPTSALTFDKKLLEALYRDLFDQPGANANLSFSSG SSGRTPIQRHAGVNVMAPVQDQEAMMVPVQTPESIPNIPNMPNLVPMTQGEMMPIPEP RMTPMQAQSLLPAHMVTTPIDAGMMAPPPHPTMAPPPHPTMM
SAPIO_CDS8638	MAPQTKKAGKSQKQTKKFVINASQPASDKIFDVSAFTKFLQEKI KVEGRTGNLGDTIVVRQQGDGKVEIIAHNELSGRYLKYLTKKFLKKNQLRDWLRVVST SKGVYELKFFNIVNDAEEEDDE
SAPIO_CDS8640	MVRKLKYHEQKLLKKVDFVTYKKDEHRDADVIRRYMIQKPEDYH KYNRICGSIRQLAHRLSLLPPDSAVRRKHEDLLLDKLYDMGILSTKSKLSAVERAVTV SAFARRRLPVVMTRLRMAENVQAATKLIEQGHVRVGTDTITDPAYLVTRGMEDFVTWT VGSKIKKTIMKYRDELDDFELL
SAPIO_CDS8641	MPPRIPVKMGFKPALPVVRALSSTVQPKNPRGTRNNTATNLLSL DEAPKRSSPQKARARTSTEEIQRLISRTDERYSRIKSQRADIQQRLEAQRISDEYLAQ MPRHWREGDVFTPHDLSGNEMKKWRRNAPKTVDVFDVTGVNPLDHYRVSFSSYAPVPK TWCDWSRQCVADSLGVELYLDFRLYFEYGPDSTLKSDWPPTSKPTQDRQSYPKGNGYG SSS
SAPIO_CDS8643	MAELYRETRTYRERGRDDDSSDEERRRTTFRRYKVTSPSNYDDH DDPRYPSTALVEKRVVEREREADPYDDFVYEKEKEVKRERRHDRDRDRDHFDDDDRAR SYTYEREISRGHEHDHGHSHHDHSHDRSRDRDWGRKAPEETDMRLEKRVERTSDGDLK IQRRYEEYHDIDGDGRPDIERYRKETEYYAPYEPPNPLVLRQRAPEQRIIVQEAPPPA PIIVPRQDPNIVVIRDEPSRELVRRKPHRDDEYYYRHEKKEIGPYRRESEYKVERRGR RRNYASDGDYSDDGYVVHRRRRTIIRDRSSSSSDSDRHKLHLAEGALAGAGVTALLAS RRDKYGELPEHRGRKVVAGAALGALGTEAFKRARSAIDERYDIHRSPSRDRHSRIKTG LGIAAAALAVAGATQYYKANKVEKEEAKRGRSRTRGYSSDEYYASRSPSRKRSRSRSI AKAALATAATAGVLKHLRNRSKSKSRSRSRSVKSQSRSRSRLRTGAEIAAAAVAGGAA GKLYQRHKEKKEERERSRSRSSDSHYEPRHGSRSRSRSRSTTRSFHPEPGSADRELGL VEYGHDPLRPEPPYPDDESDRAARRRRRRRNRSRNTGDSSASEADTKGSKRSRSRLRE MAAAALGTGAAAMGFKEYKDRKKSKSRDRDMPSDREAESADDRRERRRRERERRRYEN DDYYDDDGYPPSPRHASGGSRPRMSGANSDPNDFSTYPPQTYYPVPPDATATYPPPGP PPADAPVYTSYPPPQEPNAYPGPPPPPGAVPGYPPPTAPGPAPGPAPGPSNRPPPIGP EHNM
SAPIO_CDS8644	MLLKRAALLLFSLAQLVLCAEDYYKASLVLGISRDASDKAIKSA YRKLSKKYHPDKNPNDSTAKDKFVEVSEAYEALSDPELRRIYDQYGHEGVKSHKESGG GGGRRQDPFDLFSRFFGGGGHFDSHEKKGPAVELRVAVGLRDLYNGADTEFHWERQHI CEKCDGTGSADGIVETCPHCQGHGVRIVKRQLAPGMFQQFQTPCDACGQRGKIIKNKC PVCQGQRVVRKPTPVDLKIPRGAPQEFRIVYENEADAHPDHIAGDLHVTIVQKEPDIN DENPDKVDGTFFRRRGDDLVWHEVLSLREAWMGDWTRNLTHLDGHVVQLSRKRGEVVQ PGHVDTVVGEGMPKYHEDGDSVYHKTEFGNLFVEYTVILPDQMQSGMEKDFWSVWEKW RGKIGVDLQKDSGRPIQEKASHDEL
SAPIO_CDS8645	MDSHITSGGPPPRPIPTVGAARHIAQQLYRIAQRAGQQASPSVA STTNPKGVANKIKIVCISDTHNYKPELPNGDLLLHGGDLTENGTFRELQAQLDWLKSL PHKHKVVIGGNHDDLLDTDFITAHPEKEMGSYDKTPGRTKADLDWGDIVYLQSSVTTL QIEAGSDEKEVPQTRSLKIYGNPGTVRCGPFGFSIEPEVAKYYWEDRIPADTDIVLCH SPPRYHLDNGYGCSSLLEEIWRVRPPLMVFGHAHADHGEGIMKFDAVQYWYEKVMTSG SWASLAMLTIHVILSRARGLFASRRPLPRGQATHLVNAAMKSGNTWFNVIEVDF
SAPIO_CDS8646	MVHADAANFASDLSKEQVYDLVLLQAESLMSDQRNWVCNTANIA SLLWHAYKSLPEPSSHVNWAGFYTLDRSDPSGKSLILGPFQGKIACQAIAFGRGVCGT AAQTQKTQLVPNVEEFPGHIACDSESKSEIVVPITVDDPNNEGGRKVVAIIDVDCAVE NGFDEVDAKYLGELAELLARSCDW
SAPIO_CDS8647	MTSSVPKFCAKCFQGTLRGDLEPTGTVEIIHGLPTYVARPDPPA VATGIVVIIPDAFGWELRNTRALADSYARRIPAVVLLPDLMAGHAFPINLLPLSDEIA AESTTFVRRTFVLKPKFYLSLAYHYIPFLTSLRPPVTLSRLQTYLSALKADPPAPFTS SIPPKIGVAGFCWGGKYAILLCHPPDPGNENPVTCAFTAHPSLVDVPREINPIALPLS LANGVTDEWMTGEKVAEFKRVLDIKGNCETRLYDGAKHGFAVRGDPNDERQARFGMEA EDQAVAWYKKHFETVAEGSN
SAPIO_CDS8648	MSLKNDNFPSSAAFDAINAALTASDADRKDAIKQGGAVFAFTLK NKAGEEESWYIDLKEKGEVFKGVPAKPTVTLILSDDNFGKLVTGKANAQRLFMGGQLK IKGDVMKATRMEPILKKAQSKAKL
SAPIO_CDS8649	MKSAVLLSALAGLASANPTRTEKQLPNRAASLPAVTVSGNAFWA GKDRFYIRGIDYQPGGSSSDEDPLAEPTVCKRDIAQFKKLGINTIRVYMVDNTLNHDE CMNALADAGIYLVLDANTPKYSINRKEPFPSYNGAYLQNVFATIDMFANYTNTLAFFS GNEVINEEPGTTISAPYVKAVTRDMRKYIKTRGYRSIPVGYSAADVSDNRMQTAHYFN CGPDDTRSDFFAFNDYSWCNTDFVTAEWDKKVQNFTDYGLPIFLSEYGCTTNGRDFNE IGALMSANMTGVYSGGLMYEYSMESNGFGIVQINKDDTITDLKEFDNFSKALAKYPAP TGAGGAASTTHAVACPTQDSIWEVDPDILPAMPTTAEKYFKDGAGTGQGLAGKGSQTD WDSGVSSTNITGSSKEGAASEDAAGRIDLGVGAVLVTGGALAFSLFGTLLL
SAPIO_CDS8650	MAEIESLPTFGAELKDGFKPACTWVGHEIAWLDEIQQFYRERSA IEKEYSAKLNALAKRYFEKKNKRSAVLSVGETPSMTPGSLESASLTTWTTQLTTMESR ATEHDRYGNDLITRVADPLKTLGQRFEDLRKRHIEHADRLEKERDSSYSDLRKMKAKY DAVCQEVESRRKKTESSFDKAKAQSHYQQQIMDMNNVKNTYLICINVTNKQKEKYYHD YVPELMDSLQDLSEFRTIKLNDLWTLAAQLERGMLEQSRSLMDNLGQEITRNQPHLDS LMYMRHNVGSWQEPPDKTFEPSPVWHDDATMVVDENAKVYLRNVLTKSKSQLGELRRE VDKKRREVESLKRVKQKVRDGTEKKDEVEVVRQIFALQEELHLLDRKRLTAEVETSTI TTTVGDVTLGAKNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCEMK VPADCPGEKSKEERKKLKAERQEAANALLSPPTGPPAHVAELPDLTRSNTMTSLSSGY AASANRSVSISGTASRPLSSSEENIPELASPRTSLSTTTTTATGGARKNRVVAPPPTS YISELPGSTDFNSKKPEQKGKMLFAFQANGEGELTVPEGREVVLLDPDDGSGWVKVRA GYKEGLVPATYVEFSAATPIAPQTTGPSGRPSSAYSNSGSSIGGASLQPKKKGPAVAP RRGAKRLKYVEALYEYTAQSESEHSMVEGERFVLIKDDPGDGWAEVEKGGVTKSVPAS YVKAV
SAPIO_CDS8651	MADLASRITQPPAEGDATGAAPAADAQEATPAGGPGLWDSEQNV QVTLSDLQADQNTPFYSATTFKDLNLSPEILQGLLALGYRAPSKIQGTGIPLMLKDPP HHLLAQSQSGTGKTAAFVIGMLSRIDLTQPDVPQALALAPSRELTRQIETVVKQIGRF CEGLQVAAAVPGALPRGEAVKANIVVGTPGTVLDTIRRRQLDVSQLRILVIDEADNML DQQGLGDQCMRIKQLLPRTIQTLLFSATFPDKVMRYADKFAPKALKMTLAAGEQTVKG ISQMYMDCPGEQDKYDILCKLYGLMTVGSSVIFVKTRESASEIKRRMEADGHRVTALH GAFEGAERDALLEDFRSGKTKVLITTNVLARGIDVSTVSMVINYDIPMKGKGDSEPDP ETYLHRIGRTGRFGRIGVSISFVYDKKSFQALSDIANQYGIDLVQLKPDDWDEAEKRV QAVIKSNRAQAAFVPSATDPRQPAEA
SAPIO_CDS8652	MALRRHLSALFRTTTILLILLLPATTTAHYVNAVTNNDDPVVAS LPQCARECFSSFLVANFAGAHCSSDSALQCVCERTGESGFTAGEGALQCLAAAKTAGI CTEAEADRTALARAYNMCNGQPNAVKPTHSTIQATLELWGSSQLAVPRPSSSGVTTTA STALVTMTPPAYTPPATSTPKTTAVPAPLPTESNLSSTPVTDGNGEQQKTPPKSTGLT RPQIAGLAAGLVAAFAIAFLTICISRRRRKKYFPDTETGFFMKKERLRALSRHFSMQP KHRNERIYEISAPIHSTPPPRFPMGKFAPEERRPAPAVPRLSLPVEELVSPVVIAQAR PVVLAAVAKSNNSSEQPKTQKRPTLSLAIPKSKTSPQPVLVNTAQNNIPKREDGKPKL TVNTSTAMNGEGSSAAKPTIRPVSNSENQSQESRDVDDSSPMTEFEEDGSGDISPTQI WRPPSAVPQSATAVYVADKFGNWVLADERQKRRISRVELDGGNPVTRNLTARRASNVT PRNTESKTTVPTQPAPAPPTTVAQNYSAQGTLRPPAEIYRQENGDVQRVASVASSAAY PPSSVYPPSATYLPPTIYTDNAGPWNISGRTPPVPGNPLVPKPLNPRQKGRNDQLLGQ NLAPRQQQPPRASAYSPVLNPFLDASGVSPVRTIAPHSGPWAPMSRMAPPPPAQSYRP PQQPSPTLGFQRAPVGPQNPTQVTSTPVTAAAAQAAYVQQYTYPAEAQQMQTTRGTPP SSQPSALLEKRLGPDRATSLSNLAISSAAGDAASEPQDNQPGPYRRMVRDAESGEYRS RLPSTPSWRPLLTPERRGDALYLSLR
SAPIO_CDS8654	MSTSPEVDIEVKVAWWYSRENILIAWLDRDLRPSGHCLISLPLR RRPTTHSIDLATAMKPYIAAPAILALIYRAWSHKSLTLGGIITAAVTAVAHASHPWSL PFALLAIFFLVGTRATKVKKDIKATLTIPSKGTSGGEGPRTHVQVLSNSVVASVLSVL HAYQLHRRSQTLAGLSTSPDDFCYPWGGDLLIVGIIAHYAAVTADTLSSELGILSKSP PRLITSLSFRQVPPGTNGGVSLAGTLAGALGSAIIALSSTFLIPACTDTNALGSYVDG VWTLERRLIFAAAITLWGFLGSLLDSILGGLLQRTVKDRRTGKVIEGEGGERVLILSE STQSVFAPIESAVLSGEAEYAVEHTDATATAGYKPNKDSRKNRFDAKKRHRRASFGDE RPSRIVESGHDLLDNNQVNLLMAAIMSFGAMAVAGYFWGIPLSTIVPPVIV
SAPIO_CDS8655	MLAITQSFLHKALEIHRGGINGFQKSGYPSPGNFNFIVLPPNKM LEYFLRSHVHSLSSYFNLVIAGCVDPNEMLINNQASTLLVLLMIAQGASSVPMAEARY LAAGLTETCRISLFDTIEKNVEFSADPVALRCALLFTILGAWSGDKWLMDIAMGQRGM YLSMLKHAGMLEPQPPVLHPMNGQTNPELQWRTWLHRELQNRLVYNWVMVDQELSLFH DTAPTLAISDLRCPLPGPEVLWMSTNSEEWCSNVQKLFGGEVNVNPQVLNSPSLTPSL CDLFHDFLHDNLARRPGSITPMQLRLLLHPLQSLLCHLGQMLSCLWEAPATRGSSSRT VTKSNTMTRLEEVQGLLQKWYELSVALHKQNPGCYLTQCNLVLYHLISLNAITNFPEI ERLARREGFDGSIWELSQRHKRCISNREEAIFHSGQVMRLVRSMSPNRRPAWWAAAIY RATLILWVDSIGRLDPEFQKRQTHRASPMLTPQSSPVGKSRSERMETPTPTNNGPPTP LQGKGNSDLVAIDRVTPEDPAIIAYLWSGDGVAVLTRQDGTTVALDKASSVLSYGVSA LNEGVSTRIGDGIKRKLVMLGSHWAVDSMGTIPV
SAPIO_CDS8657	MAPTASTFPLSQTLMTGSSSIIDAPVNPAKPFAGKVAVVTGSGR GIGKGIALELAKRGCDVVVNYGSSAKAAEDTVTELRSFGTNPIALQADISKPAQVADL FDRVMAHYGHIEFVVSNSGTEVWSSELDVTQELFDRIFNLNCRGQFFVAQQGLKYCSR GGRIILTSSIAASMTGIHNHALYAGSKAAVEGFTRAFSVDCGEKQVTVNAIAPGGVKT DMYDENSWHYVPNGYKGMPMEIIDQGLANMCPLKRVGVPADIGKAVAMLCSPESEWIN GQVIKLTGGSAT
SAPIO_CDS8658	MADRMAFLLFGDQSLDTHGFLAEFCREGNPSILAKAFLERATHA LREEVDGLTRLQQANIPSFRTLYQLNEKYHTQDQKHPGIDSALLCIAQLAHYIDRAEK EPEDWTADDQTFFVGFCTGLFAASAIASTPTVSTLIPLAIQTVLLAFRTGSYVGALAE TLSPHSSQPEPWTLVFPEAGEEAVSNALSDFYKSNNIPSTSRPYVSAVSSSNVAVSGP PATLRAVVEKRLFGSNPLPIPVYGPYHAQHLHSSADIEKMLQLDSPGLSAVLEKAKPR SAIMSSNGTWFNETDTKELFKAVVWECLNEPLRFNRAFESCVQAATSFKGHSCLVISF GPTQAADTLAKLIQNQTKLDVVVRKSLRIHKESIGSSIGNHGSQLGRCRLAIVGMAGR FPDAASHEKLWELLAKGLDVHRVVPKDRFPIETHVDPTGKTLNASHTPYGCWIEEPGL FDPRFFNMSPREAFQTDPMQRMALTTAYEALEMSGYVPNRTPSTRLDRIGTFYGQTSD DWREINAAQEVDTYYITGGVRAFGPGRINYHFGFSGPSLCIDTACSSSAAALQVACTS LWANECDTAIVGGLSCMTNSDIFAGLSRGQFLSKTGPCATFDNGADGYCRADACASVI VKRLDHALADKDNVLAVILGTSTNHSADAVSITHPHGPTQSILSTAILDEAGVDPHDV DYVEMHGTGTQAGDSTEMVSVTNVFAPAKRKRPADRPLYLGSVKSNVGHGEAASGVTA VIKVLLMLQKNAIPPHVGIKKEINKTFPADLSERNVNIAFHMTPLVRKDGRPRKIFIN NFSAAGGNTGLLLEEGPKYEPASADPRTTHIIAVTGKSKAAMIRNAERLVAWMEKNPD TPVSHVAYTTTARRIQHYWRMNVAASNLAEAQVLIKDRLKENFVPISTEQPKVVFLFT GQGSHYAGLGKDLYAHFGVFREAIDEFNRLSLLHGFPSFLPLIDGSESDVQNPSPVIV QLGLCCFEMALARLWASWGIRPGAVLGHSLGEYAALNVAGVLSASDTIYLVGVRAKLL VNKCTAGTHAMLAIQGPVPAIKEVLGVRGDTVNVACINGPRETVLSGTAEEVAEISQL LTNSGFKCTQLKVPFAFHSAQVEPILDSFEELSQSVVFQRPKIAVISPLLGKLIENEP INPPYLRNHARDAVNFLGGLVSAQEAGVIDEKTAWLEVGPHPVCANMVKAAFGASTIA VPTLRRNEPTYKTLHASLCTLHSAGLNIDWSEYHKDFDSSVRLLDLPAYSFDEKNYWI QYTGDWCLTKNRVGTKPAALLEAPKPKISTSAVHKVTKEEIKGDVCIIETETNLSRDD IRPAVTGHVVNGAPLFPSTIYAEMGMTICDYAYKLVRPGTKKIGVNICDVEVPKTLIF DETLDAHILRCTATCNPAKGTAELVFHTLESGKKTDHCYCKVYYEDPEEWLSEFDRVN YLIKSRIDHLTEAEKVGKASKIGRGLAYKLFTALVDYEAPYKGMEEVILDSDTCEATA KVVFPTSGKEGNWFFNPYWLDSCCHISGFIINGTDAVDSREQVYISHGWGYMKMAEKL DGAKTYRSYIRMQPVRGTKMMAGDAYIFDGDRIVGVVGQIKFQSIPRKVLNMVLPPRG KALSGAAPAPSAKKPTAAASMRPAAPAKAQQVTPANISTVNAKLAGSVVTAVLDILAK EIGVTEDELADNIAFTDFGVDSLMSLTVSGRLREELELDIDSNAFVDHSTIGAFKKYL AQFETSQRKESISSDGSGDSTSTRSDAETPEMESESDITTPLEYSDNGSIKGGDASSD IQEIVRGTICEEMGVEVDEIIAAPDLAALGMDSLMSLSILGTLREKTGLDIPSDLFTA NPSLLAVEKALGIGPRPKPAPAAAKPAKVVEPRPPRVINTHPGNTTATIVKPPRPATF VDNYPHRKATSVLLQGNIRSATKNLFMVPDGSGCATSYTEIGAISPDWAVWGLFSPFM KTPEEFNCGVYGMAQKFITEIKRRQPTGPYSLAGWSAGGVIAFEMVNQLVKAGDAVDH LIIIDAPCPVTIEPLPRSLHAWFASIGLLGDGDSTKIPSWLLPHFAASVTALSNYTAE PIPDDKCPRVTAIWCEDGVCKLPTDPRPDPYPTGHALFLLDNRTDFGPNRWDEYLDYN KIRTMHMPGNHFSMMHGDLAKKLGDFIRAAVTEA
SAPIO_CDS8659	MRGPAFGLLLPGILAGVASAATVEYDWDITWLNAAPDGFQRPVI GVNNQWPCPEIRATKGDTIRVKMNNKLGNQTTSLHFHGINQVSTNWMDGPSLATQCPV PPGESIVYEFLADEAGTFWWHSHNMAQYPDGLRAPLIIEDPNDPYKDEYDEEYILTIS DWFLEEALILGKRLLSSNNTFGAPPAPNSILLNDGQGADYPFEVGKNYRFRIINMGAS NNAMIHFDSHDMNVIMNDAAYVQQEIAYQLHVTPAQRYDVIIKGIERDNRNFGILVSL DRNRDYTAVTPKPVWPLNATAYLVLDPEGERRPDVVDVWRPFDDAHFKPLQGDILPPA DKTLVFNFQTCKDKYGIVRHCVNGSPYVPAKVPTLYTAATTGNFNTNETVYGAVHPFI VSYGDIVDIVVNNHDGSIHPFHLHGHHFQVLSRPQTNGGDWPGPDHAGGYNPKPPRRD TVNVFPKSHAVLRFEANNPGVYLFHCHIEWHVEMGLTATIIEAPDMLRDITIPKDHIE ACKKAGVPFEGNAGGNVEDPLDTSNIDYEPPLEYVGAQWTPTSPPACRVKRSRIGRLL 
SAPIO_CDS8660	MSKLLTRAVMAPRPPRRRPLTRPFLLAVAFCAFIYYFLLSDNSS NRYGRGTNTRSSPKVFGAVSLATLDDLGLTEKECRDTFPGLMDEIDRAVANGPFDVNV ENSKALIGTVRGGDLYIRRPPGKGQLSRHMKERQLAALHQIYRAITSSPTPLPNITFS LSIHDSPIAKSFVYARPGHAHSSSTYSTSKAHSFPMPHFSAWSWALPFLNSLPAASST ITNLESTLPFSKKDSRAVWRGTPWFSNPLGPNPNLRQDLVRVASPSKVSSWADVQSLN WTTNSASAANGLPIQDFCRYKYIIHTEGVSYSGRFSFHRMCRSVILTPPLEWFEPTTH LLRPVYSTVLLRGRSSLEREIKENPRAYPSERTREVWPADIGPETANIVFVKPDWSDL KQTVAWLEDHPEVAEGIAARQRDQFVGGGYVSKAAETCYWRSLLKGWNEVARVDASGL PDGVAFEEFILRPEAS
SAPIO_CDS8661	MAGIVSKRQQARNEKVLQELVQKVPGNNVCADCAARNPAWASWS LGVFLCVRCASLHRKLGTHISKVKSLSMDSWTVEQVENMKKLGNVASNKIYNPQNKKP PIPVDVDEVDSAMERFIRAKYISNSLSGGNKKGGISASISIEETPPPLPPKGKFGLRS ASSLFPLSSKSKKQVPSPDVLSPTREHYRRPPSPRLVNKPSQVFGATIEYETGDTEHK LGRLRDMGFMDNSRNAMILKGVHGNLEKAIETLIRLGEGGSRSPSLASPRESSLRATR SLTPLSPNPVATTNGRVAPATPPAASTNPFDMLTPQPQTAQSTGTLQNRNANLASTNP FGHPTNQLDPFSQAFQNMSLAAPQQPLFPNHTGGIPPQPAQVGYNQQASMLAPAPAPA PAPAPIAFNNNQTYPQLQPLQIQQIYPQQTGLSPASAQSTPGLPYNYNPFFSDQAQVQ AQTQAQAQAQAQAQAQMASQQPLAVNTMITPGFGSNPFTRSPTRIQSPTLSQIPERSQ YVTTPSQPTNPFFSQAQYPQASPAMQPTQAYGQKPDKASILALYNYPQLAPQPIAVAP QEQQPVPAAAVYATRPAGLDPQQPRSVSTPLPGNKNPFALSNGTTSPSAASPLPTNDI FSNGLGPGSRESIALGMDMASWSKSGRHSPDAFASLSARHALDLVSRVDIETTMATV
SAPIO_CDS8662	MEANLCLRALRKPLTPAHSSQFFALYSLPLRARRFSTRSSATPP ETTDLTTDDGINPPRTTLPPPLELPIRNPTDGTFSHLFKLGKAYVGFYKTGLKNVFVN RRLLKNKVEALPTEDRPSIFKPHHIPRAFSRSDWILLWRVRHDMGRLPVFAVVVLLFE ELTPLVAYAFEGIMPHTCRLPQHLEKSRKKAVARRKHAFEELGWKNPDGVSQASVAQV HILRSLNLVSTLWDRVGLIPPGLWQLRGRSQVAFLEVDDALLRKAGKLSQLSAEELMR ACSERGIDLEPSLEEADERKKEHERRRLLEQWLRLTDAQESAERRRRMAVLLTTRPEN WPKKPDFALPSWSI
SAPIO_CDS8663	MDKVNGTPRAPRPVGFANRAYESPLVGNRRFGTPTASSSRKPFN GNSLSASTMSQKNFNDVRNIFQTSSLGTSTGPRFDPSIPTTTRRKIFAPAATPDSKKI FRDSTTKATPRRTMAGTSSSELFKMVIPSPPRELTGAALTKEVPKDLDQHGSVFADQY LAHLCPKEFDDLQRRQFFCILDLRRLKHAADEIFAKKDWKLNIMNFAKEFEKSRSLIM LRYGLYEFKNVRPSAEVLRKWRAAHGLHDDDDAASRPQPAKTSNKRKADDDLDHGVSA TIESTGNKRRAMDKGADSEQADALFTNKRKASLSGDSQQVSKQQKTTPSLTKSVFEKI ASKPGTFAAPSITSATPKPAAAKPSLLAAPSGDSNLARSVLDKSVSGTASGNIFGYLS DASSAKNSGVDADAESETESEADEDTQEAGSGTTIAKSQAPSAAINSFGSKTSSISGF ATGASSTTSEARETTPGRSLFDRVTKGSDGQPLRVTDDEEPEKEPTPEAAPAPQAALS AAKDLPANKTWTPNSPLKFAPQPPQGASLFGNAATPSSSLFAAKPSQPTSSIFGAPKP AKAEDKAQDVSDVDKSGGESDKENVSQPATKALAAPFDLSKPTTGAQPSPTSVFKSAP SELSKPTTTPEVKAPAKADEARKSTEAGPSSASSIFGAKSQGATNMFASQAQTSTTAA SPFQSSTLFGAKPAEPTPTAEAPKPSSLFGAPSKAPEPAAAETPKPLFGATSTAATEA PKTSSLFGNSAKPAPSSLFGASSGASNLFGSSQGQPTGTPTFSFGASTTTPAAPIGSE TPKPKIDKATSGNALFGSPMKQDGPSPGKRGFGDAMQEDNPSPVKKPFGANAAPSLFG ASQGPAGSTNGNGTPLFNFGGTPAASNASAPSLFGANSTPAAGGMNFNFTPGGQTSSG STGFQFGADKPAAPPSGGMFNFGGSTPNQPPASGGFVFGGASNTIAAPAQATPSGNQG GANPFAFSGTPAASTPSGRPIKKPNFAATKARARMGGSPAPQAPTFGGNQANPPAPLS APTFNFTAASPQPQQNLFGGNANGSSAPLFSGLQAPPAGASTNGTNSPFNFGGASSLA TTPATGTPEPTADSDTAGSSTATGAAAAAPPTATATATTKPTTTTDTAPADGDAEPRQ EQINLVEGGPGEEDETVVHDVRAKIMKFEPPGKGESEDGEEKKAKSPWSTKGVGPLRL LKHKTTGAVRVLLRREPTGQVALNRVVLPDFKYKAEEKYVKLTTSNDAGSGLETWMVQ VKTKDLAKALAEALEKHKSANKKD
SAPIO_CDS8665	MGLLEDLNLKPGVLYGDDVLSLFNYARAKGFAIPAINVTSSSTV VAALEAAKDAKSPIILQASQGGAAFFAGKGIADSSEKREASVAGAIAAAHFIRAVAPI YGVPVILHTDHCAKKLLPWLDGMLDEDERFFKEHGTPLFSSHMIDLSEEPVDWNIETT AKYLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNASLYTQPEDIWKVHSTLSAISPY FSIAAGFGNVHGVYKPGNVKLHPELLGKHQAYLKQQLKTEDDKPTFFVFHGGSGSAVS EFQTAISNGVVKVNIDTDTQWAYLCGVRDFVTKNIDYLKTQIGNPEGEDKPNKKKYDP RVWIREGEKTMTARVKQALVDFYAAGVL
SAPIO_CDS8666	MPRAIRGVLIECDPAVKSIIVNIDSENHDYIIEDLDEQRCVVKE TMVAQLKQKLDEKLRHTQDQQDGSGSD
SAPIO_CDS8667	MTSLTNYKYAHFIVTSPGPYVAHVEINRASKLNAFHRNMWLEFG DIFDKLSHDPEVRAVVLSGAGDKAFTAGLDVKAAAEEGVIAGGGASEDVARKATALKR YIFEFQECITRMEKCEKPVICVLHGVSIGLAIDIATCADIRIAAQNAHMAVKEVDVGL AADIGSLARLPKVVGNSSWIKDVCFTARPFSPEEALAVGFVSRVLPDKKSAVEAALQL AGKIAGKSPVAVQGTKELLNHARDHSVDENLRYTAIWNAAMVQSEDVKKALMAGLTRK TPTFEKL
SAPIO_CDS8668	MSKPEDLPPMQYRFLGRTGLQVSVISLGGWLTYGGHVDKDGTLA CMKAAYDCGVNFFDTAEGYASGESEIVMGEAIRHFGWNRNDLVISTKLYWGGAFGKNP VNNTGLSRKHIIEGMNQSLKRLQLDYVDIIYAHRPDRHTPMEETVRAFNHLIDTGKAF YWGTSEWNADEIMSAWRVADRLGLIGPVVEQPQYNMLERQKVEGEFAHLYREIGLGLT VFSPLKQGILSGKYKNGIPDDSRFAQTQVEFVKGFWKATGKEKWDGIIKQVNSLEPIA ERLGTKQSSLAVAWAIKNPNLSSAIIGASSIEQIYENIRALEVLDKLTPEIMEEIDEI LKNKPPAITPRY
SAPIO_CDS8669	MADQQWEWGPSEEVQTREKHEAKYDFENEGENDRNGGTQNGRNG DDAASAFRDADNGDARRGCFNCGEEGHNKADCPNPRKFTGTCKHCGVEGHMVKDCPTR EPIVCRNCGQEGHGRSKCENARVVDRSHIPDVCPDDAWADLATAIRERDLDDVKEAVA KYCKACPTMTYRDFQEALMEQNMNLFLIAMERPLLPSYTNMDLQGNLYKKYTVSFRFS DQPSRPREKDGWPVDREELLARLQDAGEVVETGIPQCSNCKEMGHTRKHCQAEPVENE SRTVIKCYNCDGVGHRVRDCPEPRGMKNACRNCGKPGHRSNECEEPRSAENVECRKCN ETGHFARDCPQGGRGPRACHTCGSTEHLARECPDKQGGGGSRSCFNCGSTDHLARECP EKENADNESEKGPRSPVADNDADDGYNASHTNDGW
SAPIO_CDS8670	MSRPTSGVTTPPRSTRSRTHSISSDRPSLFASGLMSPPLSVSPE AAFIAASAASQIVTNDHDAHAEKWYDDHGMEMPRETALVTLTALQLVNNFLDQLLFNI LSVSRSTALNSLRPAVTEVLKPKLAKDAINQADEELREYLGGGDEDELLLPHGSEASR DWDLELVWKRTRLRCMVYSSLGDLEEEDEDYFMEQEGLEIGSDEQPDDVISPAVAIFL TSILEYMGEQALVVAGQGAYHRLQARLEKELKDGARDVNDIVDRVVVDDLDMDRVALD RTLGRLWRGWKKRIRSPTFESRPFSRGSMRSSHFRQSSTVPIEQPVPEMGPDEAETDE TDKEPPEQELDPEILAPSIPLPITERDIDEIEVPGLAVYSDDEDEDEAGYDDEVMTKR PVSMVSMPYRIPIGLAISENFYVDVPVAEPLKRSTSNPNLPSVALWARRRRLALDLDL GTVDNTANLAEDADEPETGLETASPTSQASDVYQEETREYSATETSSVPEIQGRNDEA GDFEILTSSRVSISPSLSGSDAAHSRSSSRQATRPASMSSPRLIEVVGPKSPVTRSPI EAEVAEQYPPTNNYPNQQDRPQAVETIFRSPIASPMSTVGRSSRAGRTFFNSSIDEAE EYHDALSIPAESTLRSAGLAQAGETTEAKHSPAVFGSSKRHPKVPSPTQEQPHSGTKV TIIGTSNSDGRFIQTASPEVPYKEPVPNRSYPLQSHDRSVPIAKSPAAPASIGMVSVE RPTTRPDTSDLPQLATSNIAPRQIHTSGSSVSSGTSRLKPVRTSEESGSARPEDVARN FEQLIHSDETIQYTLTPEGVRDIDSSSLHSSPVLASKSRKSDDVKRINTNRSRSSSNS GSAKRVGGVSTEVSRSNAASSYPPNGSAPKLSGPVPRAPALGLVSSRGSNAQAREARI PRESMAEFADFIRSTGPIGGDNGPVPVRPAAGSVTSAKNSIDTRRPSTTSTRNRPRLL ARDATADTREDNSDLIDFIRRGPSGPGDHRIPRAVAPFRTTMDSDQMSGAVGGKAVDA TLPNIRYSQASTSVTDMAPSMHSSINSQSALLKSKPSYQTSSFDEEDSMPIPKRKTRR VRDPYAIDFSDEEDDQVFAQPARPAKREESLAEFLLNYEPPPEPVQNIPPPVQTKLAK PKKKSSTSNLMGRFTRSSSSHSNNHHAANNSSTLPPLPRTNSTSSGKGYIPIQVNMPS GYDKYGPVDSSAAKTSSSPGTVRVPRKRFEPREAVSTHSSSATSDLAAFLRSSGPPSA SGPRYASGR
SAPIO_CDS8671	MNPGYTVRRALFVIGLAWPSPAYFVQESVIYASLNQWNQNPEDV RRQALNVFRMRLLGAEVIPVEAGTRTLRDAVNEALRHWVVHLETTHYLIGSAIGPHPF PTIVRTFQSVIGEETKEQLREKRGKLPDAVVACVGGGSNAVGMFYPFSKDPSVRLVGF EAGGDGIDTPRHSATLTAGSHGVLHGVRTYVLQDTHGQIGDTHSVSAGLDYPGVGPEL SSWKDSGRAEFRAVMDAQAFEGFRLISQLEGIIPALESAHGIYGGIELAKTMKKGEDL VICVSGRGDKDVQSVADELPKIGPVIGWDLRF
SAPIO_CDS8673	MFPPVEPSVLENNPDFATLYATLTKSILNPDGTTRADPSAKSRE AVHDELQGHRLKAAKRHLLASAVSSVPEPTRTSSRDPPLPEPLLDLLVLLPPLLSDPP TPDSTARLFAHPPLSHLSELLPLLGPLISRSLHDSALAIARVVSPSTNPSYLHRTIPT LPQTIASLSSSLALTPPPPQPPTTTSRKSSISTIAPPTNLPGTLLTHRQTLTSNLLLP LLNTQTKTLTTLIHALESKHASPSRSLQLRATHLSLASQTSHLSAQINLILLRATVFY PPEVRRALVNYAAHLRDARVRVEERVRDLEAELREFGVGVEGGEGKERVLREMARVYG GMRGEVEEVRGDLERLKGR
SAPIO_CDS8676	MASPSSDHQYYLDSSKEMSPDSFQWIEPISIDDDDIMFGGKSLS TWYEEDRSRLSGMYSDEEERRGRSRHRTCYYSSSHGSSDAQQCSKKN
SAPIO_CDS8677	MSHTESRHHTLRHSSTSLDPGGRIADWIAPARKVHEDHQSAPHG TAHDSAEESEEDAPPPPQSMRSGPEDHRPGSTHRRISSATDDESTRELWLRMVELQQR YGCYKSARMQAAASTGEVTDFMPSRVCLDLLNDGLETADLPPEGWKILGKFVADEPQE PRERQRRWKVWKNHHHS
SAPIO_CDS8678	MSYYDIDAILTEAEKVPCKFELDVPDLGHLDNNPSQPLKSGTNL TLPLWLAEMLAISSTGEDAKPPVTMSPPAALSTEVVQALKADPRAVPLRDQSAHFYGL GTRMLDLFEDKELAEVLRKTFVVRAAEVGLHAGKVGDDVPVGGAGEEFLRGLDEWERG LFRKAHEGAKGTKEWMENVKKS
SAPIO_CDS8679	MSFSLLRTTPALRAALRAGAARPVAALSGTSFARGQATLPDLPY DYNALEPYISAKIMELHHSKHHQTYVNGFNTALQAIAEAESKGDLTKAAAQAPLINFH GGGHVNHSLFWENLAPSSRDGGGEPSGALRSAIDEDFGSFDALRKEINAALTGIQGSG WAWLVKDKTTGTLSVVTRANQDPVTGNLAPLLGIDAWEHAYYLQYENRKAEYFDAIWN VLNWKTVAQRFEK
SAPIO_CDS8681	MGGQIRKPETTAMEIGRRSTCIPVPEAHSRDEGEDTESPKSITP SAKVMLLGKAGRSMGHVVGLMTTLKGLPSTYNKDLQESVEPMLDHVKTVGDSIQIAEG VLATLKIQPEKMRAALDPFMLATDLADYLVRKGVPFRETHHISGRCVALSQQTGIPMN ELSYEQFKGVDERFGEDIKNVFNYDTSVEMRAAKGGTSPWSVLEQVKVLKRPPSHQWP LFSPLFS
SAPIO_CDS8683	MGNTTEDPEKSQWEPVAPRARDTPDSEPSVSPQSSSAGRERQRP YGNERGSDQAAGALDEEAILENAEDNLHVTEDDLLEARAFAAQYTLEEVKLLMQKVHK VHRHDPNLPLSVVKRIEEFIGNDSVFTHPQKHQALIREMKLEAALITNSSPYAEVRAI VESADDPNTPCSTIRAWFIGLLLSFALAFVNQLFSIRLPSIIVLSNVTQLLGYLLGKL LEYFLPDVGITILGVRHSLNPGRFSKKEHMLITMMANVSFSPPYTNNLIWIQYLPTYF NQSFAGTFGYQILVGLSTNFIGYGLAGLARRFLVYPSYCVWPTSLVTVALNTSLRREL NNKSVEGPGGIRFRASRRFFFATSFSAMVVYFFLPNYLWTSLSNFSWMSWIDPLNRDL NTITGFNTGLGLNPWPTFDWNILLWDNNVDPLMIPFFSTFNKFIGAFCSMFVVLALWY TNAYNTAYLPINSSRFYDNQAFQYSASRVVGEDRMLDHEAYEDYSPPFISAGNIVLFL SYFALYTALLTWVILYHRREIVTAAKSLWASIRGKSEAETVRVPDVHNRLMESYREVP DWWFIVILVVAAALGIAGIAAYETDTTPGVVFYGIALALIFIIPVGLLKAMTGIEVTL NVLAELIGGAMVEGNAISMNYFKTFGYVTCAQAIRFSNNLKLGHYVKVPPRQSFAAQV AATLLSTLVCTGVQVYQMNKIPNVCDEIDAPMKLFCWNVDVIFTSSVFWGTIGPRRIW GPGGLYTATLVGFPLGVVTVLVIYFLSTKFSRWTWLRQVHPVVLVYGGILWAPYNMSY LWPAVPISWLSWIYFKKRFLDLWSKYNFTLSAAFSTGIGISSIIIYFTLQWFEVKIDW WGVSVNSRGCEATPCVLKKLGELTTEYFGPRIGEFH
SAPIO_CDS8685	MADSEQPTWNDTMRTFMEPLPILTRVYYASVMSAIQGVGAHGRW YKGWQDWFSPPQKGPNIVKTYDIRQNLPIRIFFPSNYDQTSPQTLPTLFSIHGGGFCL GTPAELDDWNRHFADTNNMLVISLNYSKAPWYPFPTAVTDVAALYKAAVEDESLPIDA ARIAVTGFSAGGTLALALCQVDPVRNHARAPPGAVVPIYPCATLALPPEEKGKRRRYK VGSRLGGIRGQKKDWVLPLANMFDWAYIRVGTDLRDPLLSPYFAPRADLPKNIFVIGA ELDFLACEAHQLALRLAGKQDDLARYNSIPGRPEAGTEVGKLELEDERFAWEVEDGKG GSVRWLLVPDQVHAFDVAQGRSVMGDEEGVRDAVGKTEEYVRLMGEWLVRRWG
SAPIO_CDS8686	MFWRFGGYANISTIDTLLEKPDFTLENLLDEADLLHEIKHHNAK LIEYLREEPVLEQLLDFVVAPKLEPVASPKNETPFDDDKGKSTSFPFARSRASSRAMS EPDEDETEKRRNRYAYIASEVLASDTWSIYEALMENGNLIRNFWKFLKRPSPLDPLQA SYFTKVNEALFEKKTEEMIELLKSFPNAVADLLSHVECPMIMDLLLKVIALDRMEGGQ GIVEWLYSQDIVPILLSCLSPERNWVVQTAAGDFIKAIITISANASQNEQQCIGPNEL TRQLVSRPCVQQLIKYMLTGGNPLTVGVGIVIEVIRKNNSDYDPDVGADEGSTPSCRD PIYLGTLLRLFADHVPDFMNLIMNAPAQKKHMNSTFGEKLEPLGFDRFKTCELMAELL HCSNMGLMNEVGSEELIAARDAERHRLRREGRLIPRREDEASISADDLTMRFPHGAAH AGGSDNRRLEVTNNAEDDGFEEVEHSREMNEDTSHEFVKAEEEIPPPVPIPSFFDKDD DDLVDEPLSSPRLQIKDDGDIESPQFDDPDLMVAPLSPRKKTAASVDAAVAAGPAKDS DEPVESAKPTSEAPAKDETEGSKDEEQELVTKPEADTSLTPAEQPDDASDSSAVYTPT TTTNPPESVADPEATSRAAETSQVETTPETKDDAPSDQPAGEPSAADQPDVPEPPKPA AEATAEGEKSADDTSAAKETSAVDESLPAAPAPPPPSSGFAPKTGADAEKGDVDEDAE QPGAESIIIRAVPDPPAAESDPNAIRPVVGDYLKMQFVEYRVVPTILTFFFKYPWNNF LHNVVYDVVQQVFNGPMDRGYNPTLAVSLFEAADITTAIIQGQITSDESQAKTKTRMG YMGHLTLIAEEVVKFTERHPPELLSETVLERVMSPDWVNYVEGALAETRERDNAILGG VRPEVALSNRSAAMSGLAGVGLSGLGGSMGLGNGLQSGGSTALAEAGLNGSLDLNNDN GGNGIGPFAISAGAMMSGFGSSSDEEEDENEETEEDINNEFRSYTDPLNNSNGSSLNP PSIPPPPPPPPPLNIPPSRARLQLAARLAMHQKNLPAASAAATTTTSSEEPARENGDS ERREEMPDFSGSAFPAPLRNPFADDADEIDSDEEDDQALDAETGWGSSGRGSWWRGVV MNRRGDGFGDHDSEDDVDEDDEDEEFGDFAMPEVDSGDGNGNTHNNNNSDSNSTNNFP GLKLVRPLAVHPPSSGTQKSAFGGLWPFTSPGFGSGNNKASTGEETEGGEAKKSAEEE KPVSETKPAVVGDEVKKDQEAASGVSDVKKQTSLDDTASEDEVVV
SAPIO_CDS8687	MMHLKSLTLFLGAFLSGALAAPASGPRGVSPQVLPNKYIVTLKN NLVKPQVDNHLSWVQNVHRRSLGRRDGEITAGVEKVWSESFKGYSGEFDATTIEEIQA SDEVLAIEPVAVWELYSLTRQLNAPWGLGSISHRTANHSTYVYDTSAGEGTWAYVIDT GLNTEHETFEGRGHLGYNAYPNVPFEDRNGHGTHCAGTIAGKDFGVAKKANIISVKVF DTGSSTTDIVLDGFEWAVSNITNTPGRADTSVISMSLGGSRSEAFNAAVEAAYQQGVL TVVAAGNSYDDAQYYSPASAPNAVTVGAVDVSNTKPGFSNYGSLIDVFAPGVDVLSAW IGSTTATNTISGTSMACPHVAGLSLYLRALEGLTAPGDVAARIVELSTKDVVLSAGTN SPNRLAFNGAVDNDRPLKAQYKRVEKPVNSIEPSEPTGEGVGRGGEEIDVSNPISESR MHGISCPSPSPLIQGPLQWSVRMK
SAPIO_CDS8689	MSERSCPVSGSFAGPGGVCPAGSRASSRTRGPRGCSFSGYAQAG DLHAAFDIPRGVDVDEFLRTRERKAINEILYSKIPSADYIKQVKNVDTLTADDRDLLA VALGAPARQVMIRAEEIGARTGWKDGYLSAEYGFFPPDANDAAGALANSPGRVWSDLC ERMPGCVGRGRVRESIAALPMVEGTEDVIPDRALWAAIVALGMLCSIYRYEDKNDGYD GVSINKVSTRPQVEMADYLGPELIGIPKVIGLPYWQISRRMGRAIPHLTFYDQATYNL KVRDPTSTVPYVGRFDNTDLRWPMFGERTEIAFLKGCGDTDASFQHGPDAIAACQEAV MNRNIEGLLREMIRLKEILERMPNAFHSISTNPSSGDNYVSAMEWVRWGKFSAPLSKR CPAASGLQFSPYLLMDAFLGRKKYDSFLGNEGLHLRAWLPSNLRAFIAAIEYHYRIPE FVVESGDPRLMGVLDGIIEAYTGERGFMGTHRYKVFGILEVASKTGRTETNGNSGASD NAGRPWEEVHRQFSDAMKERLEPFRGANLVDPNEMRGTFEECRYKSRILSRQFVDSDP HRSLAMVTLDLSDTGVTFQPGDRLAVMPLNSWGECAKVAAALGLENMLDSRVALDRKW TRFAEHLDSVNHGINLHGRRTGAIRQLTVKDILRRGHLAPLTKDLVLKIHAMLRASSN TVLQVLATTEWPVRGSLGDLLQSAVQDTPPSIWDRAFDLTTDLFWLSDLVQVEVPRTY SISNYPEELLPSSVDLTVSRAEYKLCPTFSHGHEISRCGVGSGFLNPPIDSPENALSG DEEVLIGVSRPLTFQLPLDGAAPCAFFAGGSGIAPFRSFWQARIGQTVGRNILYLGVQ SRDKFCYEDELRQYVSGGYMEVHLAFSRDSRGLAYDPVQRDLVEKRMEPRYIDALIAE QGATVCDLVMSKKQGGLGGYLYVCGSVAVFDSFMSGIRKAIYNHRTATMDTTEFIINS AFAERRIMLDVFMTPKPLPCNLATIPLSQLAVHTGHRPGGRIWLGVHGSVYDVTDFCP MHPGGTLIIKSNAGVDCSKSFDALAHTNNPEVASLLTKYFVGHLTPKPDYHGCEELSG IYDMWADYLRTSVETLVAQQFEMDDLMGSNNNKLWYQETMINMLGVRIFYQYQSRLLQ GGFSALFGPKFQELVLKLSFTVANTSGGADTKLPDILGTIARAKTSPDAVATSKEIAL VGQFICDSESARFQERGIMDYANKSVQLDIELLEDIREEACRGMDAFDSIMNLEVSSD QQRTTAICSFLMQILERMAKRLELFYSKLAQHSIYKPELERNPARTRWNFVRRRIRDG SFFMLTDSPVMGAQPTYKPTEKTVEFDNVLFQIQQTIKDAPRQTAPRVHVPLNERHTI RTQKPTHGASAMESHENSVALNRMSQFIDKNMKAMRRLSKMPPMPLNFDQLAAKMEAQ NQAQARIPGLMTPPSSRGSSRSPSRGGPPLSSGMPNQMPSVLEELAGQRIPLHRRNTG SSDGSLHSNNGGYALTGRMMPPSPATTPPLDSASAIQTMVNKLNLRSRASAASPSPGL MDPGQMGHSRNTSISSYQAARRSRALSSTGSLRTFKLRELVASRIAVSALSAVAESTQ ALININFDFTAVKVSPPEEFLDLGKSLTASRRQKAEEGALHLTARQLGAIFKSILPDT PNLIKSYGRRASEIAKAATESGASPLRGTDNRNEFVSSLLAGHVGVDGASIWAGATSG SGAIQVHLLACLLARMWDGPEAVSIWVELLKSRKAEVQERFADLGQVDVGTAVALHKE VSRSQLAEWDASARAWLRIADIVKMPQQKQLLLIIGDMSKSVNSKQALYDSVIQTWKS GLEGMESLLSGCPLQMNNGEILLALSSWHLYPDLNVLDVVTKIVPQGDPLVPASGILT LGLQNSGIGEESESGLRWSLPLAHLRYYGDPVQRTGAIRAEGKRLSLAEFNMAVLGCV LGSWAIPDADVEGSMSWLVKLSKNLVLLRNLYAKGVEQTWLEILGETAQNYLSSKGLD RRVFTQLLRLGRNHPTFIGGPSEPYFGLSAPERAIALAKCTEEKVHILRRLATRAKIP CNHAIIRYISEETGREEYALATPAEQLVTKQTESGEGKAITGYRRWVQKEAPMFDPTH VDGPDSEPMRLGAFAGAASSQTAFSTAPQGYQDYHMYYLDFPSKDHPSPSSDTHEATK DIVDYEAEFKRQKKRYEALGEEVLVAEQEPFVTVLKANRPMRVVRGYVGLTPSQSLHP YEYWDRPVRHYEKWLGNEESAALFLRSDISPPEKIPLQLADMKLLFETGNLNTATLAQ TMVSAVDSLDDGFIMSMRALSTFNCLYKHLKQSTIDVRVFSGSLLQTNAFQFLATHTS YLETSDDDEDDDGGNAEFGFGPSPPPNETDSFQEAAALSESQTQTVPPTLHVTFEVAE AITTIATSIKWRPKRLTIQESFSAILYLESNFRIHPNQLQNVMAISSGNSMFISSALI SDPSHGPSHVKIRHVMGNVGRPGTALLVPPLEPRIMKLKLDHWHLVNLADWDGGARDC FADTTLHLWFTGKTQEVDIGFSGAQDTELYILESVVSVHGRGQWIADLDIVKALTDQS SLYRWRGIPSDGKASACRIRNPAQSVDRCAGGHDMQYRQDDIPLTALENWSELLSLPA NSCVFLACGNWQARLAATVIAVALRKKDETFSDSSEEDKLDQSAKVMWQYGNGPRSDS EGIVGKERLRELEHLHIDGSPWWRLLESSHSEGPSSL
SAPIO_CDS8690	MDPKVQSPGAEYQMGYVTPQTTGQVVPPQPGQPIQYQQGVPPPV YQQQPQPQVQPQAQAYGQPNAYGPPQHQATGFGAQYGIAPDSYGVPLVSLAAQPAPVQ CPNCHQRVMTAVSYESGGFTHLMALLACCVVCLGCIPYLIASLKDVHHKCPNCNAPLA TYHRSGRTEVHFQAAQAAAASQAAAQQAPQQPIQQQPVQPAAQHPPTQ
SAPIO_CDS8691	MGDQEEDYSSLPLTDRWTHKIWKVRKGAYEDAAKQFAATPNETD PVFRPFIQDAALWKGAAADSNVAAQQEGIAALCAFLKFGGPDAAVRSRGHTITPIVEK GLASTRAATKASAVEALLLYIEMDTPAPVIEDLLPGLSHKQPKIIAATLAALTTIFHN YGCKTVDPKPVLKALPKAFGHADKNVRAEATNLAVEFYRWLREAMKPMFWGDLKPTQQ NDLEAQFEKIKAEPAPKQERLLRSQAAMESASGAQGGGGGDDGGYDEEPAEIDAFDLA EPQDVLSKIAPDFYDALGSSKWKDRKEALEGLYAVLNVPRIKDSDFNELNRSLAKCMK DANIMVVTHAAQSIEALAKGLRKGYGKYRSIVMGPIMERLKEKKQAVADALGAALDAV FLATSLTDCLEDIVACLVHKNPQVKEGTMKFLIRCLRTTRDVPSKAEIQTIVDSAKKL LSESSEGLRSGGAEVLGTVMKIIGERAMNPHLEGLDDIRKNKIKEFYETAEVKAKEKP KPAPAPARAAPGGPKKVMGGGPPGLRKGPAGLRKAAPAAAAAAPPADSAPLAPQPTAR PASKLGMPKPSGLAGMKAPQKRLGGPGGLASPRRVAAAPPPVEEEQPAPPPQPRLGPA RGGLAARSLAKPAAAPAPVAPPSPVPSSGLSALERAELEELRAANERLTRLSEELRHE RSKLLSEVQELKNQNASLIEDHTRDVLSIKAKETQLVRARSDAEATEQTNERLRRELE RLKRALDRAEGHGPGFASPGLSSPTHDEAGIYRDGGYGSAGTRNQRMSFNSTMSEEKE NGDHPYPSAKANLELRYGGASGRGSPARGYRSNLAGGEGSYISRPQSTTPHDSRGDGV ESWKRAAEVTSQLKARIEQMKAKQAFMRP
SAPIO_CDS8692	MLEGLVAGLLNRFLGMYVKNFDPTQLKVGIWSGDVKLRNLELRK EALDQLRLPVNVVEGHLGELTLVIPWSNLRGAPVRVFVEDVFLLASPKEEAEYDEEEE ERRRQRIKMEKLESAELLKERSREGLSAEEEKKNQSFTQSLITKIVDNLQVTVKNIHV RYEDSISAPGHPFALGVTLGEFSAVSTDGKWQPAFLHGEDDITHKLATLDGLAVYWDT DAELLGSGREVTTPQEELPHEEMVARLKGMIQSTGEKEEDDGDYDDVSSTSSTDPAAN HQYILRPVSGQAKIELDKSGDLRKPKYKAALLFEEIGLVLDDDQYRDGLMMVDLFHYF IRHQEYKKYRPKDVTPKEDPKAWFLFAGNAVLRKIHERNRKWTWDYIRERRDDRLRYI ELFKKKKQNQLLTPIETDDLNSLEWKLNYEDLRFWRSLARNQLRRENAAALKAPQQQP QEQQGWLAWVWGSKPTAEQVDETENTTITEEQRKELYEAIEWDEKAALAADIDVPRDS IKLQLETSLSTGSFTLKKGTHDKAIDLISLHFDVFKSRVLQRPDSFLADMSLGGLRVN DGTTPNTKFPEIVRVKDAPSRNKKRLSLVELEQNQQEPFFFFEIEQNPLERAGDFALV GKLKPLEIVWNPNVVVGIVDFFRPPERHMESIVALMETAGATVEGLREQTRVGLEFAL EEHKTINAQLDLQAPLIIVPVSVTALNSTCLILDAGHISVTSELVDEGTMKQIQEKQR QSYTDEDFKRLESAMYDKFHVQLTSTQLLIGPSIEETKMQLESDDDKKMLHVVERINV GFTVAISIIPKAPNLTKVKVSGHLPVLHVAVSDAKYKTLMRIIDVAIPKFGNEDEKRP PTLRSQPSRSSFSFGSPPSNRTRRRSTRRLSTAFPFTMTQPSFITDEDITQDEDKFVD ALSGMDRLKLGMKQRIFQLKFTVDTLRGSLYRSDPARKKPDQLLVELVAESFGFEFYN RQYDMAAEVSLGSVTVDDFVENPPAEFKSIVSSGDSEDREQNRSLVHVKYVKVNSQSP EFMSVYEGIETNVDAKISTINLVVTRKTLLTLLDFILITFTNNNNNQNRLPAPRSDFG DFDEGLDAVAIQEPQNENGSIRVKVDLKSIRLILNNDGIRLATLSLNHAEVRIHLHNQ TMRVSSRLGNLTLIDDVDAGVSEDSSLRQLMTIQGNELADFRYETFDASKKETYPGYD SSIFLRAGSVKINFVEEPFRKIVNFLVKFGKMQALYNAARQAAAEQASQLQQSNSRIK FDVVIRTPIVVFPGAVSTSSSQRDLVTAYLGEIYAQNKFAPLDDSVDSEMAMKISAGI RNTRLTSDFHYSRGISEELEMIDHVDLGFDITYAEHKTGVKRPDIEVAGKISDVKLRI TPYQLKALLEISKSVPAAFAGDNELEAEQAQNGGDGGTLQTVKGATSPALADSEELIV DQNPELSLHREAWAKLDLYFNIPTVGLELITAHEDQPVGSQDEASLSQFSLDDSQVKL RMLSDGSIESELLVRSFTIYDSRPRDNNKYRRIMTSSNKDVQQLMASVTLSGGAERHM IAIVTVDSPRVIFALDYLFALQNFAMTALKNDDEPMVIEEEMSEIPEESDAESVALST RRLPLSPLSPESSSHFSTRTGTPQTQNQPSAMTMSFRVNVVDAQVILIANPLSTSSEA IVLGTKQVLLSQQHALTFQVSEVGMFLCRMDRFEDSRLRIIDDFSIQLSMDNSSPTVA SLSINIEPLILRLSLRDILMALQIITKASELSDSMDKDSKPTGSEEKARQLRQAEARR RTPSGHGTSTVAGTVRPATLATTATHGSGSRKSVDKTPIRREDLTATVEGIRIILIGD VHELPILDVGINSFSATGADWSSRLRAETAINMYANVFNFSKSAWEPLIEPWHVQFGV YRSPSEGLSIDVVSRKTLDLTVTASTIALASKSMAFLSQEQDVLGKPRGVETPYRIRN YTGFDVTVDALKRADEDQLSAHLTDGQEVPWSFEPWEKMRETLLMDSSSNMVEVRLEG SGFDPVKSIRLNREGEFLYSLRVKGKSTVHRIMVEIQLGTDNVKYVTLRSPLLVENHT QIPVELGVYDAEKGDLLKIEKISPGESRPAPVGAVYVKSLLVRPDSGFGYSWSSEALN WRDLVKRPIRTMVCKGDNGDPFYFQLYASFDKGNPLLKSYPYMRLKLSAPVVLENLLP YDFKYRIYDKNTRKDWTNFLRKGGLSPVHVVELSHLLLLSVDMQDTPFKPSEFAVINS GSTADFRKEEKLVCRDEQGLTLNLRLHYHRISDSGGAFKVTVYSPYVVLNQTGLELDI RARGFMQGAKAAAGRFPLVDTSEDGRPKVHPFMFSFAHEDPKNRVQLKIGDSEWSKPQ SFEAIGSTSEVVLSSTANRNREIHVGISVESGQGKYKMTNVVTLTPRFILQNKLREDI LVREPSSSGSLTLKAGSLHPIQFMQRSRVKQLCLCLPGLDNPWTAPFNISDVGTTHIK IARSGQRQQLIRAEILLEHATIFIHISKETKHWPFSMRNESDTEFIFYQANPNVEEEE VEDRSGWKPIRYRLPPRSIMPYAWDFPAARFREIVINAAGRERHVKLAEIGNQIPMKF ATQSGQQKIIDINVAADGPTQTLILSNFKQSKSMYRQRRKSAGTGAGGSGFEIKDLNT DTTFKAQLRLSGIGVSLINQQLRELAYVTFRDVSLKYSESPLYQTVSLSIKWIQIDNQ LYGGIFPIVLYPSVVPKKAQEIEAHPSIHAMITRVKDDSYGVLYVKYATLLIQQMTVE LDEDFVFALFDFSHIPGAAWEQNESDGMLCAEVLGIPEPKQQHSGQDMYFELLNIQPM QLDLSFMRTERVNVESKTSSKNPLMFFLNVMTMAMGNVNDAPLRLNALMLENVRVSTP VLIQNISNHYSQEALYQIHKILGSADFLGNPVGLFNNISSGLTDIFYEPYQGLILSDK PEEFGLGIAKGATSFVKKSVYGVSDSFSKFTGSLSKGLAAATLDKQFQDRRRITRARN RPKHALYGVTTGANSFLTSVASGVGGLARKPLEGAEQEGAFGFVKGVGKGVLGLATKP AIGVLDMVSNVSEGIRNTTTVFDGSELDRVRLARFIPSDGIIRPYNQREALGQYWLKQ VDNGKYFDESYIAHLELPREDMVVMVTYARILMIRSKRLTSEWDVPLRDVQTIAKERT GLSLTLRDGVNGPFIPVGDEDSRRFLYRMVAVAVEEFNRRFRSL
SAPIO_CDS8693	MSTKETRAATPPPTAAAAASRPVSPSGSSLRRYSDPALDPKNQH VHSHTHHGPTAEDGTHIHATYTRGTTFDPTLIPPQSLIHRHDDVENIAAQGQVLPEYT KPESDDKEKRDVIDTSAGEDSHHQDPYRTPSKFGLFYRRHRIFFHLGIFLLFTGWWIA SLALHHSDKNWVVPFLVWLAISLRLLFFHVPISIVSKPIRFIWQHTGVFIHDRIPAKL RTPLAALVTVAAILLGAFVSEESEDNTRENRAVSLFGLAVLIAGLWVTSRNRRAVQWR TVIGGMLAQYVIALFVLRTSVGYDIFAFIANRAGDLLGFSRKGTEFLTTKEVASLTWF FISVIPAIMFFISLVEVLYYVGFLQWFVKKFATFVFWALRVSGAEAVVAAATPFIGQG ESAMLVRPFVPHMTRAELHQILTCGFATISGSVLVAYMTLGLNPQALVSSCIMSIPAS LAISKLRYPETEETLTAGRVVIPDDDEHRAENALHAFANGAWFGLKIAGTIIASILCI LAAVGLIDGLLTWWGRYLNISDPDLTLELIMGYICYPIAFLLGVPRNGDLYKVAKIIG TKINEYVAFLALSAENLSPRSRLIATYAICGFGNIGSLGIQVGILSQIAPSRGGDVSR IAVSALISGVLSTLTSAAMAGLVVTNQASLIPQPS
SAPIO_CDS8695	MRVPRFSAVVTHLPLVCAWRLFNSEPAAKQPVVPNQILDAIDSS SFVPNVSLNVAGIKLYPAAPNDGKWIFTVANGDICSLQYGSVCCNSDCIDVPDTTSKV RLGNTEVSFEPLPAQSFPGTETINLASFQSLLGDFNSDILDNLNKAVAKMFDFALSVI ARSASEDQSRNGTKQLDHADALSEAIAAIDRILPAGDQTTPAGSKSLPRWDQLMDRLI LQMGQRNKKRSSNSPSLFNPSAVRAMTNRLQWFKSLDTHLTTRDVSGPSKDLQVAAGL VLYDLGADAEFLGPPISQFNLTSQGSILTGMEAFANQTDFHNRLSSNDNVTTQHLGRD MRSSLIVLEDDTSPALVNTFYHTLDRANGRQYDGVMGYLYHTNLSRRHRALVRNMGSV SHIYEQELAEDVIPIRKYPLPPGEKFTTTKPGKKSRPKAPRGKPDRQGPPRNRKLKSR MPPDPLEPQPDDENEVATQLEAPIQLRRVSEKRGGPPVNEQDYKFHESAGGDDIIVFV ADSGLAHDKLRVREELDLPNTGYETYIWETPLEGCVPPDVNPAHIVNAPDVCLNYQNE GHGTPAAALAVGSEFGIAKKSTIFSLKVMCAWYEPEEHNEDLVVMQATTPTAMLLAFQ RIGEIVRGNNLAAKAVVVLPQAITAEPGTQYDRNLETAWRRILTMTREEGIVVVGTPG SFAVEWVLGENGNCVWSDVPKATWNRYPEIMQVQFPEIVIGASTTPRGAFAHLNSPHM REWSGEWVYAQGWGILSMDADGDDKLLEGDGFGAPIVAGLAAYFLGHPSYRSHIWDGI NKQVVAPRIKRLLRLAAWERLPNGDLKTRLGFVGPVGQCNNLDEMYDTYPYDVPEWIP MVYNGVHGYQGDCIRETTRRAKRSPQDEGEVPDPNDPFGGDDLVCKRRPGNATATTTS TAPLPPSTQLPDWEDIGCFDNTAEGLDAVQGQPINDPQLSLEGCARHCPCANMFGVRN GNECFCIQEQIHIVAGVVDGAQCNVPCGGNGQQMCGGQSATRLYLNLVGFTAEDQAEE RCKAG
SAPIO_CDS8696	MSAVISSAILAALLTCPVVASPLDLSIPGGLIPAIPGVTEPLAG IAPPLPVLQVPTPALPSEPFTASNLKPKKIGYFWTGAGDNKHKDFLVATSLDDDTFGT IIDIADVPTSGNSPHHLSPSLDGKTLAGGGLLSLLKTQDTAFYFDVSNPYRPKFLKSN RGILSSIVDEIRAKPDGGFFITYMGSAVGTSPGRLVETDANFNIIHEWPEDVEGTLNI LGEQFSPHGLTIDFEKDLILTSDFVVPLSILKPSLGTKYANTLRLWNLSTRKILSTIT IPNGGGIQDVKFIPGNPESAALATAVEPGQVWIIYPFRTGPDGKPGVAELLYDLGEKA KNSIAIYSDITDDGKYAYFTITLGNHVAALDISDLNNVKRLDNPDEQQPIIGPHYVKI SPDKKNLLVTGYFVQGGSISIFNTPGDYKAHWIDILDDGSLSFNRTIDFESIFTRDRG GARPHSSVIFDLTDPENPKYY
SAPIO_CDS8697	MVMCSKILGGLLLLVAGASALPGAQIVNKRTVDDQVPQFEDGQP IGHGKGAPLVGGTDEYIDKSNPDNLGQQATDGGLVPNLKWRFSDSKSRNYPGGWLRTQ VIQDLPQSHDIAAAQQHLSKGTIRELHWHKNAEWGFVYAGSVLISAVDEYGVHQEETL NYGDIWYFPKGTAHTVQGLEDKNEFLLVFDNPEFDNAGVTFNIDDWLSHTPKDVLAKN FGVPESVFDDIPKPNPNIQKGTVSNRTVTSEGAATGDHSFVYKTLQHDPEPVPGNGGT FYKIDSTNFPISKTIAATFVTLKPGGLRELHWHPNAEEWLYFHQGEARATVFNGNGNA RTFDFHAGDTAAFPDNSGHYIENTSDTEDLIWIEIYKSDRVADIALGQWLALTPADIV AQTLNVPIEFVEKIKREKQVLVA
SAPIO_CDS8698	MATSPFPSLTKVWHSKPYPAISPSRSELSAKGKTVVITGGGTGI GASIAKAFAAAGSTKIAIMSRTQKNLIMTKQAIEAEFPEVEVLAVVADITSTAQVDEG FDKINQAFGKIHVFVSNAGFLPIPKPLLAPDFDIQDWWTTFSTNVLGVLHSVKGFVKY AAEGACLLHISTCISHIPPLEVGISAYAASKAAANKLFDYMAMENPDIHVVNVHPGLI ESAMSRKSGHGGLDDVELPGRFCVWLASQEAKFLRGKFVWVNWDVDELKARKEEIMGT DLLNTGLWGVSFMGWGGIDV
SAPIO_CDS8702	MTSIAGNSRDAVRARANTFHECELLERIVMTPNSSIYRFKIPLD LNLDIPTGQHVRLAGDVVLPDGSSQRCVRSYTPVTIDHEAHYFELLVKSYPLGKLSNY ISSLKVGQTIHVCGPQGNFIYRPNMASRIGMIAGGTGITPMLPILRTVACGRAGGRDS TTIDLIFANSTIQDIVFKDELDEMARTDPNFRVHYVLSTAPDGWTGGTGYLTSDMITR WLPAPGDGVKILLCGPPAMVSMVKKTMDSLGYGKARVVSKPEDQVFVF
SAPIO_CDS8703	MAKLKELTPEEVARHCTEVDVFIIIQGNVYDCTEFLKDHPGGED IIMEVAGEDATEAFDDVGHSDEAREMLPKMIVGKIKQ
SAPIO_CDS8704	MKLISSVIFCLSIASAAYAAPQSDVPTHQGQIMKRDDGSFFLHV PMLAGEKRGCPTTASSIPAQRSPELHSELAGPGSLPIKWSCLDDGDSGFPLAVPRKVS TGRLNPGDTLARAGHHRDFGNSPTT
SAPIO_CDS8705	MHARTSAAALAAILAMLVPQATAEQTTLQVWIPMVPLDNPGFYD EVEAIVETITNDQTLLGIRYKGTGAPEDYPIQTITVGQSTFRFSATADPEHYISSTVV DCTLTGCPTATTGTCVLIDSTSGEGLATTTETVDASRLSFYAISLVTPLPDAAAPECG TENADRTTLTGTRLPSSTENGSGAGATETGAAGPDSAASKVVGSWGAIAAVAALALAA 
SAPIO_CDS8706	MDTISLLDFATEIDARTTFDANHIAPDEPRTTAELQPEECPDCG EKHIPRRIVCCVDGTWMLEDGAEGYFQNNPSNIFRIWMAVKPGRVKAQNGTEWEQTRE YFKGLGTKQPKWKKYWQGTTGDGYEALVAEVYEDELFLFGYSRGAFIVRAVAGLFSYI GTLKRDADDWEEKFSQALDLYKSTRLEKAVNPGKHSAFHFLTRFTKESPRITFLGLFD TVRSIGFLDPNKTPAWLDAAKSTIALKRLFEDHSYDIRQAENTYHVRQALALLEKRDM FKPERMENGQGEKLPAGQAERTCLEAWFLGYHADMGGGSLHDGLSLWPLQWILSEASA HGLVLDFVAPQRLVKDIQDPLQLVMPTGKGPHQIPFKDHIAVNMWDLNKEFDLPGLKP VPNPPTDATTKLPTSERTVFTSSSSGSVTIIHPSVYWADDVTPLGIRFLSPMSFSGQI RQRISDIDIRPESLYWNQSHTRIVNVQRGRPRVLLCGPSGVGKSSLINEILDKAVTAE NSGPDTVQHDINFELGGNISVEDGNDFIFHDTCGFERGAEETVKRVQTFLKNRRGMTT FQDQLHCIWYCCESTGRVEEADREFLRSTGFHGIPVRLVFTKYDVLEMDCLREACRQY REKHPGAPKFKYDRVPVGAQDDVYSCTDSMLALRRAQREAIAGELFPENAETSFVSIE DDETIRELCEKTQACLKSDVLIRIHNKAMLAHIQQTLPVVVGQVTQRVVVICGARPRV LFDRNELMAESLAAVENFPADLSRILPSFYGLNIASEVNTGSYLELFSEIQLGEEATN WKDTLWETFNVIGTGHPAQAWNDEKFAERWFVKTRRALMLQSIFGILTHEKLFWLGIR DIPTKEIPARAVIDVLKHAVEIDRILDKAGFDVSGGAENFERVLGEMVERMRSSLRIT ES
SAPIO_CDS8707	MAARQPPTLYLSRGACSLGPHSVLAHAGIPYKFVVMKQSPNGNS TNSRYEGADGSLSHEQFLAINPKGFVPTLVVGDGPDAVVITETPAVLTYIALLVPDLN LLGTGIIDRAKVAEWLAWGSNTIQNNGFAAFWRPGRFADDSDEATMQNIKRRGREVIA KAFTTIEEKLEGKEFAVGEALTVVDFLLLVYWWWWSDEEPDRKTKFPNFARLMEKVRE LDGVKKAIAAEDIKVELIGVMKMLQRRYAYEAMSRRDHWRPNYVCFGRLEVSKSRAGF GRLISTAGLAQLATALASPIWNPNLLLRLALISAPNFAMSLDENGE
SAPIO_CDS8708	MANNWLARAQSLITQFEQNPSTTAQDRTALIYFLEASPIRNVND MALIDNVQTRVDLAQQFWQLTKRGPDLVLTKPIEDPLRIGAGIEAESKDESVRRSRSE ADKCLLRDAGRCIITGARYPDACHVFPFSSLKEPHKTSNCLQATLFSLWGRHQEERIS HLLVGDQNIVDTAQNMLALSPSLHRLWGKAVFGLEPIAQLDNGVRLRFRWLKKTGLAL GQRMPEIFDPDELLASPDGPGSVNVRHLVTGRPILDGEIIDVTSSDKSSRPNYEILLL QWDLIKMVSLSGAAEAMEDPSWDPKPDDPFSWFPVSGPSGFEESRAAQESPESRTVPP EPEPRTPSF
SAPIO_CDS8709	MRFLTGLLAFPAVVLATGPPADQITISSVTHSGNGCPQGSVSSI FNNDKTIITLGFDQFQTYIGPGTSIQDRSKNCQIHLNLKYPSGFSFAVVDSVYHGFAL LESGVTGDFLSTYYFSSDAASTCTTRTSIKGGGVWADGQVYTQQDFVPATSVIRSPCG GSSDILNINNRVALSSTKSSASGMISNDDATLALTQQINIKWYEC
SAPIO_CDS8710	MLGFSKLKRDFPWVQFPLISNAPMGGIATSKLASAVTRSGGLGQ IGFTGAVRSMQTDMEEAKNTERFALWAQGIRKASPDTKVWIQVGSVSTALEAAKMCHP DALVLQGIDAGGHGHEEGASIVTLLPEVADTLQDNGLDDIPLVAAGGIMDGRGTAAAI TLGAAAVVMGTGFLGAEECDLEPEIRDVVFQASDGGQSTARSRVWDEVWGPNPWPETY NGRCLKNAIYENMESGLSIEEVRMKLREDYEKARSDPTKVRDVYSMWAGTGIGMVKKL EKAADIVGRVQTETTKLLRDTYGCD
SAPIO_CDS8711	MLPKFLAAVLLAHGVGFGLASPIASRAENANSSFTHEVRWSTRV QIAPAFPIHESCNITLQRQLSRALDETVTLAKHAKEHILRWGDESPFVQKYFGNGSTA VPIGWYERVVSADRGAMLFRCDDPDKNCATQDAWAGHWRGSNATEETVICDLSFQKRR WLDSVCGLGYNVAESALNTFWATDLLHRVYHVPQISEGIVDHFAHSYTEALELAKTDP SKAGIDSNILQYFAIDVYAFDIAAPGEGCSGEVAE
SAPIO_CDS8712	MAFSYILPRHDLTPNYLFPRQENNTDVSSDPGLPPPMTPEEIAA APHPNFGPKLVTSIWVLIGLSAAFLALRLYCKFSRHRGTWWDDYLLIGAWVCITAESA CLTYATTLGYGKFWYDWSPIYEEVITMVKLINSAGSLSLTAAIWSKTSFALTLLRLTE GKIKWLIWFIIISMNIAMGLSALFVWIQCTPLPRVWDRLVPGTCWEAHVLPNYNIFSA SYSAAMDITLALLPWRLIWGLQMKMKEKIGVAVAMSCGVFAGITAIIKTTKIPAMASA DPGPGVDLFVWGNAESCVTIIAACIPILRVLIRDVKTSAGRYYLSNGRTGAGHSTHHQ SKNRDNSNTITITGGRKDNGYYKAEDERELTRESNGKIMQTKEVAIEYQSADNWTEEH ELDDLQRRPRS
SAPIO_CDS8713	MAPPKPVLVITGGLPHPSQPLSLPALSLRHGEDGMRDNIRKIVA PKATLKLTWYRRHTLSDDEKTAYLDAELCHLTSPAKTKLPGAKTMYDDIVANHQLQTI VIHSTGTFLPFHRFYIHLHEMMLKDCGFEGAVPYWDEVEDMKLDDLTESAVFDPDTGF GGDGAGGCIQNGPFVNVTVNIGPGYQTTPTCLARSINRRANPLKLMNARVQSTTCMNY TTYEEVWPCLYVTPHLLGHAIMGSLRGDTHTSPSDPTFFLQHTYLDKLWWDWQEADLE NRLYAIGGPNNQDPEIGFIEIPGTMKDEERTFGSPSEEQLEIMPTGRDGDPGDVVTLD HVLTAFGYIPDVTVRDVMDTRGGYLCFERLRHTATDITMLRRTRPNYQSDTEAVLRPN LPSRQPLYGPVSQRRLNFESARPQWLRECAAEATGVFFYVFPGLASVASQMIESNNAT GTASVSQFQVGWAFGIGIVFAILTCAPVSGGHFNPALTLAMAIWRGALVIVGVMWPQI RALNASFVAAGKPLVAGGAPASIFCAFPAVTHPSIGLIFLIEFMADIFIGIIIWAAMD PSNPFVTPVCIPFLLGMAYTDMIWGFGSIALSTNLARDLGARVVAAIFYGPEVFTYRG YPPIAIFVNVPATLLATTYYELLMRDSATLISQGHAVQRDGSPVLPLHFEQLQHTQQR EPERTGSLS
SAPIO_CDS8714	MVSQSTASSSTVWGSVYSRSVIIGIYGVPGSGKSYLLGELKSAP KSPFTFYEGSEVIGDLVPGGLPAFKKLGEAMQTTWRGLAISRIQRECQEVRATGVVTG HYMFWDEGKEEGDRVITKADLEVYTHIIYLDIPASAIRDSREGDTKRERPIVSVEHLE KWKAIEKAELRSLCWENGIIFSLVSGPPRNFVDEVTALLLDFKNRDERSNLDLALEKV DRFATLMAASRGPQLDTILVVDADRTLAPQDTGALFWQAVAKSDRIWSEVGPDILKKL FGSKMGYSYTAFRQAALLYHEVAENGAFEALCDEASLGVTMHPEFVQFLRAVGTTPHV AVVVVTCGLSGVWERVLQREDIPRSVQVIGGGRTLDSGSLVVTPQIKAAIVDRLKGDG DDNRRVWAFGDSPLDIPMLLEADEAVVVVGEEHLRSKTMDATLSSAIKGQGLKARQLV LPKGSPPRLDTDILPLVNLTDDVIVNSLIRRHPQSRICHATERNSAKLLMTPTRNAKI SGPSLRRAHHQVGRYLATEFLTEIIGVESYDIPHVQGGVTKGHRLLHEEKTVIAALMR GGESMAFGVSEAFPQATFLHARGLDDIDSSYVGRFETILLGNSGASSYD
SAPIO_CDS8716	MDGFSVASLSTLSSSVSHRSLAAAAELTDLLRKLPSDRHAEQLS SLSKALQAFSTTVNQLAISIISASAISQRLQAQLSRSLGTCENGLVSIGKQVMRLQPE TITSLNEAFLAIHDDMLLAYTQLFGYFEQVLAMADKEDQDASLEGQEGHRIMKQVDDM LKLVPKVAGDILIDGSNSAPTHTSAEAGPPEIEGSLDSPPAYEPPATEPSTLSPSGPS TSSPPAAQGSSFWDLTSIKQSFSALTAGLRSKPDPLVSALCEASRRGDVSQMAGLLKH GANIDGKNEDRETPLHCAIMANQVQAVRLLLASGVDLKTWSKMPAMFLAASVGHISIA RMLLQRGGPGADVKAKNVSGQQYFVDVVGSGNIDGVRFLLEHGADARVKSISGRPVVV QAARKGSLGMVKLLFDFGADVNSADVAGASLVSLALEKSDMDLMELLLTRGAKPNSRR GDGERVLATAISKGKIPFAKRLMQAGADGNVDDITGQKAIISVVKGSKIENKEKVELV RMLLQNGAKATSKDIFWPHMRALPLAVDNGVDGEVIAMLLRHGADSTNTLHNGETPLT EAINKGRVDQIEALLANGADPNLANKNDKTPLILALVKQDLGLVRLLRQHGAELDSPG QSAREFAMTLGQPDILQVLGLGPAPGSDRDW
SAPIO_CDS8718	MTVPSKTDHVDVLIVGAGPAGLMMAAWMATCGIKTRIVDKRGTK IFNGQADGLQCRTLEILDSFGIAERAWKEANHMLEICFWNPDETGAIRRSGRIPDTIP GVSRFQQVVLHQGRIERFFLDYIESKSPIRVERGVLPTKLEFDESLAEDSDAYPITVN LRHLSEEEATPKQASTAANGSCVQDGLFRSNLAADDTAELLRTSELNAKANTEEVVKA KYVLGADGAHSWVRKELGFSLVGDSTDYIWGVLDIVPITDFPDIRSRCAIHSANSGSV MVIPRENKLVRLYIQMTTTEKIGDGGSRADRSKITPETILASAQKILAPYKLSYRKLD WWTAYQIGQRVGTNFSAKERIFLAGDAVHTHSPKAGQGMNISMQDAYNLGWKVSSVIK GLSNRSILKSYERERSGIAHALIQFDHKFSRLFSGRPAKDVMDEEGISLEEFKDAFEK GNLFASGTAVDYEASKIVAKSPEATNGANGVSAPASLEGSKTTPAVTSTQSLASNVKV GMRIPSTKILNQSDARPWHLQERLPSNGTWRILLFVGDVSISEQKQKMETLCKSLSGS NSFLKRFTPSGARYDSVFEVLTVHAAKRTDVSVLDFPEVLRPYDELDGWDYNTIFVDG ESYHEGHGQIYETFGIDPKVGCAIVLRPDQYVSYVGPLEDYETVDKFFSDFMIPQN
SAPIO_CDS8719	MASQQTTNGQSTISLGQEFTQMVIDATGPKTNPRLREVMSCFIQ HIHDFAREINLTADEWMMAVQMINRCGQMSDEKRNEGQLLCDVIGLESLVDDITYTTA VNSGQSVTSSAILGPFYRHDHPIRPNGTTISFDTPADAEPVFMFGRVLSADGKPLANA SVEAWQASTNGLYEQQDPNQQDLNLRGKFITDAEGKYSFYCIRPTPYPIPNDGPSGQL LEMLDRHNWRPAHIHLVVQAEGYKPVTTQIFDKDSKYLDDDSVFAVKNNLRVEFVPRK GDPQAALELEYNIGLAPLDK
SAPIO_CDS8720	MSNTTYSSLDETRRILDFVLSHVSLPLDAEHIRKNVTFTAARNY PYFPIPFKEMEVTAALKAIEGSLGAALADLRKPSEETRHVTVNLEKTTAFLFQAYLAT VGGLGKLDPQVKTLLKDTDLLQAQSNPYRRMSANLYETKRPGEYYHIHGSLEASTTLQ MLGLEPFRPDLVDHNAIVDTIESKVKLHTIEELEELNLQNRQAGIPALKHEEFLRTQH GKTNINLPPWSVDNIEATTPPFAMPKLGDNRRPLAGIKVLELCRIIAGPVMGRILAEY GADVLKITSPNLSDVPFFQVDGNMGKHAAELDLKSPAGREIFEALIEDADVILDGYRP GALAKLGYSPESFAERAQKRGKGFVYVNENCFGYEGEWAQRPGWQQIADCVTGVAWEQ GRFMGLNEPVVPPFPISDYGTGCMGAIAALTGLYHRATRGGSWHGKASLMHYDLLLFK VGQYPEAVQSTLRETAGKELLLLRHSHSVDQISGTALRQMRKIFPDLFNMHKVGEEWY SDAYKANVAVVSPVVQIDGVKLGFSRASRPNGTDKPTWDFSYDKDSLKNGVTNGA
SAPIO_CDS8722	MVEVSEESITSIRETLSHFVSGVTGGRTIDSDALTLTPIEKLRA AVVSELESSTLGVHLDLINAKNQFTFACYVAADFTCSHPLEYQVIVAQLTIFFFHAED ILEGKPEVLRQLQLNVATGQPTGDAVLDWYARELTPKLWKHFDPLVANMIVIACYDFV NGIGIELLTKHAEVHREAPNFPDWLRFKTGLSPMYALLALARVSDPQLPTGGFEKFVQ TIPDVIVFTNMVNDVISFYKEHLAGEKGNYIDMRAHKDGVDVVVALRTVADEGICVRD RVLAALADEPEYRDNFDAYAKGITHFHTSSPRYRMVELFGTPTQSSCTISESLSCGDC GI
SAPIO_CDS8723	MSRSASEQLPALPSDLTPEWFGSKLGHKVKSVENTRNIWGTASK LFYTITYEDESSDERPTHVCVKGVFDPKMIEAQPWTVSLAQREAAFFTKIAPTLDHMV FPKGWWSGTTETQGIAIMNDLNSEGCTFPAEVASYPVEKVMNGVEQLAGLHAKYWGQS QEDHPWIWNNYDPAMKFMCTPWDEVVRTPGRPQLPEYLMDGTRCNEALDRYYSERNPR FRTLLHGDTHIGNIYFTADGRIGFLDWSAFHFGSCFHDVVYHMTAMLSVEDRRAHEME ILDHYLETLHRLGGPKFDRHNDPEVMIEYRRSFMTNVIWLICPDGLQSKERVAALCER TVATYDDHKVIDVILNHSKPTI
SAPIO_CDS8724	MAKDLTTTVYEPIQPQGLALSLLAVTIAFTILSTIVVVLRFYVR LSLHAFAVEDWLMLAGYVVNIGHNAAVIVLAYSGIGSHDEIITVGMQYKMGLWTIIWQ FLYVLDGALIKSSIIWTLLRLAKNLKKIYTRILWALFALTWAVWQISWPVAIFQCKPV SAAWGKPGDCASGQTVILNVSYFVSAANIFTDISTTLVPIFLLRHLQMPKKVKLLTMG ILSLGVAASVATTIRITYTWAYTAPSERFYTIGYVVLLTVLECDLGIIAGSMPMLRRL LRGILPSYNASNKTPGRSRDVNLVTIGGTGGNGRRTHMKLSNAGNNRNDGDQDHHFQD KESNGDGESTRHIIHITREVEQDSASAQGIPINQFHTSVSGISRCNHGQGYEEAV
SAPIO_CDS8725	MSYDCFCAICGGPNIMVHISTMPRSEYFQRRLERTMAERKAQNI PDHEHMYLVEVENPPSPVPRDGEGHEEENTYDRDIVTEEDIAWTKTFYALAIRTHPDE AHQPKRPFIAGPGKYSDQGDVHMDDPWGAFTCYNENHDGPICFPFHLDCFQLLERCIT GSSPASPALQKRTLFDVMRGLINEEMYPSALNIDYGQPMPPIDQYWICRPGEELFVAQ PTATLKSMDYLRSVISGKAFKLKDSTPSSTPLPGDGDNPLCRLPYDIIHRIVYFLPTK DVVNFTMASSYARGLLLANRTLWWSRMADDMPWFYELPGIVKEVEETRGEKVDLRRVW VWTQEVSRPVQFIRGPFMGLANRRRIWTPCSVLADLYRAKVPDDWDGVSEQSEEPDET DWEDEEDEEEDGDEDGNIPDSGYEERVARVVWAAHILSYLTQKRNHIELLQPGAAEEE EEEKKEEEKEQEEEEEGLEYLDAETERTVILQGSRDSIRSKFLDCIAQLLSPSKGWES VTATALREHEDSIEIDVTRNDCFGIARSCRPDQRVCDSGTAKTDYCRELKNYLFTQQA PSSEFERLAITYTGRRVDHWVEQLRAILTLLDHRDWSNHRWYKGLLATETWTNLTELL CRGDSEVTTFREQIVRQAYECVVSTEVRRLLHMAFESRIGSKLWRALKFVARPVVDCR MLWYLANQYPQFRKAQIFQVPPRPKTSISFEYQIDVSNAWAQLISATPPNSEVRMIAA FAEQFWQDCAEPFSLHAEIQLFMHYEDNPELTPTFSYFGCSKKACLLCETFL
SAPIO_CDS8728	MSTSNTGIRPIDRVALTPSAVDTIAQALIHASEPLVVTDFTGKD IRAQAELVKLATAVKGLRVFGAGGSEMSFPSAATEPTAEGYFGAEHLISQVRKAVPED SLFMIEAVTDTQLVVEKIQETLPAELLATDYIGAKKFVRQIVGDETYLFSFPGSVYWI AKRYNIPILTIVLNNNGWNAPQNSLLPVRPDGPASRVSNKALNISFTPTTDYSGIAKV AGAGKIGTFRASTADELVKTLEYAVEFLKENLCNFGCTFGRPRRKHVD
SAPIO_CDS8729	MRAYDPSVETQVLTSSSPEYEQYRTRIFNSRQPNRKPIEIVLPR TTEDVVVAINRAGEKNIKVGVRSGGHLFAAPSVIDEGMLIDTKYLNKSLEYDAATKVI SFSPGHTVEDLANYCTSIKRFFPFGHSRSVGIGGFLLAGGQGLYCRGHGYTCETWVTQ IEVVLPSGEVVIASKTQNHDLWWAAWGAGQGFFGVLTRIWGRTNRFRQQFDVTYILDS TECFKPLLKWALDNSERIPRHGVDLMIGTLYADAQAPGDGDESEAKRVFMVLNATMAC DSIEEAKTLSAPLGAIPEEFKKFVVAHMPVQERGWEDMWDLQDKFIPSGQGERYRVDS IIVDNGVSNDEIVEACSPALFELPTRKSTGTIIIVDWNPDEADQALSLPQRMTVATMV CYHNPELDVKMDKWSYEVYKKAEKVGLGQYIADFDAQQRLTKLQVMTDSAMTKWLKIR EKYDPEERFIGYRSFKKSLELKWNR
SAPIO_CDS8730	MSTALAGFCMLGFLSACQSLYYTIPSEVLQRRHRALGQAVVNIS SGLGGVTGILVGGALLRNGNAENYRYYWYMCIAIGALGLAGAYFGYNPPLRELQSSLT LPQKLQRIDWIGTALFSSGLTLFCIALQWSYNPYSWTNSHVLAPFVSGLVLIACFIVY EWLIKKDGIFDHRLFTDWNFTIAILAVFVEGLAFFAVNSYFVAEVSMVNRTDFFDSAT RFVLFFVTSAILAPIAAYYTTVTKQAREPLVAGFICLALATSLLAGTIKSDSSPSLFW GYIVLAGAGMGAILTNLPVVAQMATPAEMISVTTGILMSSRSLGGVVGLAINNALLNA ALVKNLSRKVAAAVMPLGFPATGLAQLIPALESGNQQVIAQVAGVTPEIAAAGGLAVL DSYVLAFRHAWIAAASFSGLGVIVVLFLRNPKSAFNKHIDAPAEEELIILQEEIEGRL HEEIVSNEKKA
SAPIO_CDS8732	MVGDTAKLTIQGYALTQDVGQRNHIPGKDEKKIQLWVVQVNLLV GYFGAYTDVWQLRQSSFKAITFLPSLLADALAELKPVQSEAYHEWVQRECLNRCVAAG MLIEASFSSVPTNRPLYLTNMDPIFALPSSTRDWLMVEEEWNQPPEVLNFSEALDSIN MGQKPERQVSNFGFLTIVAAVLYQICSFERATGARYVDLYTSFASRLDRSINVLLEMF NELSDDSDISYSRGGQ
SAPIO_CDS8733	MVKFYEDRALQEKHDSTYQTLPKPVRVLPPGLDEAAFDRVLIEF LAIVGKENVASGDEVINFKDPYPLDNEAHQPSAGVCPATLEEVQAILDVCNRYVVPVW TCSQGKNFGYGGPAPRVDGSVVLSLHRMNRIIEVNEKHAYIVVEPGVTFFQMYEYLRE HRSRLWISAPALGWGSIVGNTLDRGHGYLPSGDRQHFIASMEIVLANGEVMRTGQWGL KDGPATHLCSNQFGPQIHGLFLQSNLGVVTKLALHLDIAPQAMISVVVSCPEVSQIEG LIDSYEELYRERILQGHPSIHNVNHFATRFSRKYEQQTDAGPLSKTSLEKLAAKFGTG YWRSNFDLYGTKAMVNLRWERVQEVLSNNIPGCKVEHTFWEGENGGPVDQTKIGTVGA GVPAMFAAALADYNLPADGTGAGAHTDITLLLPSNGKIVHDWFVRMRKIMEDAGADPF IGCHVWDRHVLFVQEYVYDKTSASARARGREIMTAIVDEAKRSGYSTYRSHLMHMGKS PSFEAIEASIDPRGILSPGKNGIWPSKLSENFGRLKI
SAPIO_CDS8736	MSCPDCFSGHLREGTPQGKILEVHGLPTYVAAPTDPTPRAYIVI APDVFGWTTNHTRLNADQYARRTGCQVYVPNFMTGDGAPQWIAPVMASLLDEKGFAAW LRKPWQCVQCAYALIPNHLKNSPPKCLITMKRWLNDFRCNEAKDMKVGFIGFCWGGYA ATKLAHGDTADNGKTLIDAAFTAHPSALEIPPDMERIKLPYSVSVGDVDFALPKQEVD KMIAALDDLKDVPTEVVVIPDAKHGFAVRFDPLEHSG
SAPIO_CDS8738	MSDQVQDILDVPREFLKDGMQFINRCQKPDRKEFIRICQAVGTG FLIMGFVGYIVKLIHIPVNNVLVGAA
SAPIO_CDS8739	MAVGKNKRLSKGKKGLKKKTQDPFSRKDWYSIKAPSPFNVRDVG KTLVNRTTGLKNANDALKGRVLEVSLADLQKDEDHSFRKVRLRVDEIQGKNCLTNFHG LDFTTDKLRSLVRKWQTLIEANITVKTTDDYLLRLFAIAFTKRRPNQVKKTTYAATSQ IRAIRRKMMEIMQREASSCTLTQLTAKLIPEVIGREIEKSTQGIYPLQNVHIRKVKLL KQPKFDLGALMALHGESATDEKGQRVDREFQERVLEEV
SAPIO_CDS8740	MAQEPLVDLSAVLEVLDDIRQVQSRLSSSVDLLEDRLNRADRED KQQESDDESQVSPEAPASQSLQAPSSSSSRTAFTSRIILTGGSYSIYHALAVASKELD ANHRPDFTNAEPAVNIGPFPQWNDVKKIVSMDPWGHMAPWIFKDLMETENLDIRPTIA ITKAHMKLPELEESVKSGRLVPDGSVCLNSTGDLAVTKVAVEPVWYLPGVAERFGIDE ATLRRSLFEHTGGSYPELITRGDIKLFLPPIGGLTVYCFGDPQKMSDGQARLSLRIHD ECNGSDVFGSDICTCRPYLIFGIEEAVKEAQNGGSVVYNARKRGEDRASDYFRRTENI AGVKDMRFQALMPDILHWLGIKKIDRMLSMSNMKYDAIVSQGIPIHERVELPEERIPE DSRVEIDAKITAASIIKSGDLDGEGYW
SAPIO_CDS8741	MGKRKKSSRGPQGPRKKDPLPTKFTCLFCNHEEAVTVLLDKKGG VGQLDCSVCGQTFQCAINYLSAAVDVYGEWVDAADMVAKEADSRQDGPEPTSRAIRSR RTPEDDVDADDEE
SAPIO_CDS8742	MSSSTMGLDDQSKTYQRQNPASKRSYLDAFGHKRPDSSTINDHH SRLQGRAQGTLSPSTTPLQPSLKAEKRKQSRTRGAVEGGNESNGVHHGPDLDLYDLTS LDGGDTARPQVSSSPKLRSPRARPRAPVIKTKPENEAKTRNVPSSPEPQTTTNRKPKL ARTVYGAATRLKHNRERDLTGKHQPSASRSSSSAIALDEPLAHRLSLVPRASSGSTRR ERLIDNLAHQGVADSQDAFDPQDSRSPSRSHAASNPEHATANATAPRHDRMTKVSTTV KFTYGSRRSMLRETSSEDQIQPRTSSPVPMALTLPKENDLFSLDAQFLSDHSDDDTSH KGAVQSIHELRQAGANNRFADAIDDLLDRVGLPQNESPSLRRGALLDLAVKLHQKPFL LQFRDHGAPRTLFNELHKERDMVNAFALISSLLTLLLGNAPLPSYKSLQEEGICPLLE RMLHHNEDICVIAGQQRLSVPRKNQPALSTLRAAVGGLSVWDSPPKLISPRTLALKFM HLACQSPEGTDCIKTSEDITEVLFSLLKAYLRSNRLKNDASVRGRDYLMVVSILEGLS VTTSTSEVAVQWTQEYLHTISTALDTALACAVADFGPSENSVLKLAMNATNNNPSAAV IWQDRKHLQNLTKASTTYFRLVKDGVAKGSWNGEFYNRLLLILGVMINVCEHISSSHS LLEGDSLDDLISTFLENSSSTKEADSVEKSQLNVIVGYIAIVLGYLCLSLPIRRRFES MNSPNGVVCLVGAIKEFISFYQSIDHKKDSSGQVTRLQGLADALQEEGTDLVAAVSIP YSEG
SAPIO_CDS8743	MLDVVDFISERGGNPETIRESQRRRFTNVEIVDEVIALWEDHRQ TLYNATQVGSRINEVQRQIGARKKAKEDATELIEQKLALDKEKKALLDSANEKEASLK AKVSSIGNIVHESVPVSNNEDDNEVQKTWAPEGVAVEKRACLSHHEVLLRLDGYDQDR GVKVAGHRGYFLRQWGVFLNQALINYGLEFLAQHAYIPLQTPQMMLKKYMSKTAQLSQ FDEELYKVLDGEPQNDKYLIATSEQPISAFHADEWLVNNDVPIKYAGYSTCYRREAGS HGRDAWGIYRVHEFTKVEQFQLTDPEKSWEAFEEMMSISEQFYQSLGLPYRVVSIVSG ALNNAAAKKLDLEAWFPFQGEYKELVSCSNCTDYQSRALEIRFGAKTQTDAKKKYVHC LNATLCATTRTLCCLLENFQTEDGFKVPEPLRKYLPGSPDFIPFSKELPKESTSQKTT KGSK
SAPIO_CDS8744	MTSAEAKKANIPLTPVVNFITGNSNKLREVRGILESSIQVQSHE LDIEEIQGSIEEIAIAKCKKAADLLNSPVLVEDTALCFNALNGLPGPYIKWFLRDLGN EGLSKLLAGFPDKSAEAYHLEALKISVGFNNNIASLPRDINVTPSTGWDPCFEHKGQT YAEMRPEEKNKISHRALALGKLQEWFVEHQP
SAPIO_CDS8745	MRDSPNIIITGTPGVGKTTHCELLSQRTGLRHLSVNQIVKDKGC HEGWDEEYSCWIVDEDRLLDSLEDEVPTGGCLIDWHACDLFPKRWIDLVVVLRVDSST LYDRLNARGYPEAKLQENLDAELMDVLIQEAREAYDDEIVVELTSNTSEEMEENIDRI EEWIKRWKLDHGSS
SAPIO_CDS8746	MKAVASKVSEKNDTLLLPAVDLEDSGPYEIAEPRVITALETYTP SWLQTPRLKRLAEIVSAQALAQQERLEYGDEDGDYGEQDAEAMSTVDEGEMVTTQ
SAPIO_CDS8747	MHAARLHLVLLGLLSGPGLDLVSAARRPVIARRGVECYFDIQAE SGETCQGMASSWGISVDEFTKINPGVTCPNLEAGKSYCVVGEYTDDGGSETTPPVPPT TTSTTTTAPPTTIRTSITTSTTTAPAPSNSPAMPGIVDNCDSFYKVQLGDQCDSIAQK HGISVAQFKAWNTEINASCSNLWADYYVCVRVPGATTPPTTTTTTTTGPAPSNSPLMP GVVANCNRFYKVQSGDGCEIVAQKNGITTAQLRSWNTEINASCTNLWTDYYICTGVPG AVTNPPTTTTSAPSPSNSPALPGAVSNCNKWYKIVSGDTCDNIAAKNTITVAQLRSFN TQINSSCNNLWVDYYACVGTPNAATPMPGIVSNCSRYYLVVSGDSCDVIASKAGITVA DFRKWNTSINSACTNLWLDALVCTKA
SAPIO_CDS8749	MVTPPWLAVALAAISVLPGSVQAKDFCPGRCSDAGPDTSKWSVY PNFNLMKRCKETVFYSFNLYDPVDDRDSNHRISACTSFGADFDNMEAEPATARLFSTA ETEPVHVKFELGWWEETFGLAAGGIISLSRELGEYIDKGHADTTDRPVILYGRAGLAS IGVYIGQGLLRQGLGQSALRTFEDNFNNLNVTSPSMAMQLCDDKSLATHIFGVVVTSN GTFSPIQEAIRSWTEARCLSFSGSREFPGIVTYTTPPIDGSLANPTTANPTTANSTTA DSTTASPPTIRRGDANVLQARAECRSVQAQFGTGCPELAVMCGVSANDFEKFNPDLKC DSVKPKQWVCCSTGTLPDRRPKPDANGQCASYTVQDDDNCDNLAAEYGLTRKELEDFN KKTWGFSGCDPLFSKAIICLSEGSPPFPAPIDNANCGPQKPGSKPPTDGSNIADLNPC PLNACCNIWGQCGVNRDFCIDTNTGPPGTAKKGTYGCISNCGIDIVKGTGDGAIKLAY FQGYGLGRKCLYQDALQIDTSKYTHLHFGFGTLTPAPNFAVEVGDVLSTYEFQEFTRI KGVKRILSFGGWAFSNDPPTYRIFREAVKPANRIKVARNIADFIIKHKLDGVDIDWEY PGAPDLPNMEDPGTAEDGPNYLAFLAALKNLLPGKTVAIAAPASYWYLKQFPIEKISK VVDYIVYMTYDLHGQWDAHNQHSQEYCETGNCLRSQVNLTETRQSLAMITKAGVPGNK IVVGITSYGRSFQMAEVGCWGPLCKFTGDRVTSHATPGRCTDTGGYIADAEINEIIRG GASGKRQNSRVTTQFLDTSSNTDILVYDNDQWVGYMSESTKAVRTRLYASLGMAGTTD WASDLQEFHDPPKPAKDWDSFISLASAGGDPKQSTVRIGKWREGDCTSKYVTHQYDYD PAERWRELDASSAWNEIIIKWTGTDKGRLSFTQSVEQTLLAGGDLGCHILGGIDDNCD SQWECPAGANGADSGPAAYIIWQSLIKLHRMFHTYYDGLSDMRGGVQTTIRRMENVFA PIPEPETNQWLNIMIDFLTIGALGGMAPFFNSVLKNLPAFKDPNSSAFDNTKDTALNM VGQGTTLAKDMLQSPDDDEWTPDAQKDFSDYAAQAVFGWMNLTTIGLKKLFNGERASL DALTGPSSRTARCCPTARRTFFAYAIPGLWRRSKQYAFILDSGEGCHGNPSSKYVDDD TASATSVCHKERMYYLVAPEGDARECKCQSSGGPGPCQVTCVDHKFSAPKGIGSLKDN DFGKLTKEDIVKGAVATWVHNGRENKPINITAISDDKIMREKMVELDIETPGLIQLPV CSPSRAWQAWHTGTKGGTDHYPCDIFPGKSHCGDSTFENRRSDASPLIDDCKQIITNI EEDASTDWTHGIVGHREILKHGSCRFGIERTGGTGGAVQFKVGGQDVIDIINESIKQF GSGDRVGAMGVMSCSGTTVNTNVDVEWGIY
SAPIO_CDS8750	MSFKTVARIWTGRRLEKLRKWLASQTTIQERGSYPLQEQNSSDK QTDACDGKSQGSTLSAASYDSGYHSLTLMPSIRENKEDSEPTPPVRKKFRVYEKEIPE AAQLRLYDWKVLFTRQLRELLDNYHSPGADLSMKLKYLGPSEAEAKLYLIFQCDHCVV KPLKKFLDQPHVKVQFQSQFEYLIVSREPKRLAASGCVNVYSHIPNLEDRDTLCGTPI LVRNLDGPAMATIGGVVLVTTESRKYLCCMTAGHVVTKLFCSDHRSSTCRHKAASSSV ETRIAIQDENGEIDLNLDDFEAADLETANQEWSHLVGHVAHLPSEASRQSTNLDWALV ELLHIKYLPNFVQLTSASKLPLLSMGVLAPHLSNPVVVVLTSRRGNLNGSIRRRGTSF LSSGSEAFTTVYDLILDSGLRLSPGDSGSWVVDFVTGQVYGHVIAIDIFGEAYVVPLD ATLQDMKQRLPATEITLPSEAEFQALSNATLANGMSEDNSPSADSGMQQLATQSEASR SGHGGSKFWLSLIFVYTQPLFCLELQQDELLF
SAPIO_CDS8753	MAAPATNAAAPFDEKYDLPHSQTDDLKTEEDGDDVVHDLFTPFP PLKGLPPEDNPLTVRAVIVGIILGSLVNASNVYLGLKTGFTFSANMFGAIFGYAIVVL VSKISVPILSGFFGPQENSIIQAAATGAGGMGGVFVAGFPAMYQLNLLSDDPKKDFGR ILTITIVCALFGLFAAVPLRKFFIINVARDLNLVFPSPTATAVTIRSMHAVGSGAQDA VKKIKVLSATFVGAVVHTVTSQYALGILREWHIFTWFYIWSDYTNWAQNIDNWGWYIL LTPAFFGSGMLVGLNTAFSWFGGTVVAWGLIGPLLVKYGVCVGQQPYEDEKWSVWTSF NSMTNIGKPGWVSSPRYWMLWPGVMALVVYSMVEFLIHGRVLYDGIKFAYREGCRSLN DKLEARGKSSPYLSRQAGKLTEGDSLVEDFAPPSQQVPTWIWLSGTIVIACAACVIGH FQFHMNAGLAFFACILGLIFAFLSIHGGAVTDVTPLTASAKASQLVYGGITQPIAHHD IAGAQRINLIAGSIASGTANVASDLTSDFRTGFLLRTPPHLQFYAQALGTIVSIFLAP GIFVLFMAAYPCIWKPELLGDPNCPFKAPSVFAWRAVAEAVTNPKIPIPLSSAIFSGV IGGVTVFQALFKNFYLVGPREKYRAWLPNWMAIGVAWVLGVDSGYANAVLFGAITAWW WIKKFPKNFDTYGYAAAAGLIAGEGFAGVINAVIVLNGKGGDDVGSSIAMPGGEW
SAPIO_CDS8754	MEALAAIAFAGNIAQFVEYSIKAILKTSRLLESANGAWVENTEL EGIVDSVKKSLQGVHESRDTSNNGAVLDPILENLTASCLAVSGEIMAILDRLKLGDPG AGFFQGMQKTAKSLVKSEQQEDHARNFQRFTSSSDEFHESMLNKLHEITDYLSSISEQ KATEEVPARPSRRRGLRPLAQKTPKPAKSQQTLWNAKPDEVQRLLRPEIMLSVDKYVS LMVEELEEQKRKTILDTLHFSQVKEREFAIPDAHKNTFQWIFNEDRNNFVAWLRQSDD IYWITGKAGSGKSTLMRFLTDHRDTRLYLKEWAGEKSLLVAKHYFWSPGTAIQRSQEG LFRTLLLQILNQRPDLIPIVCADRWEAPFADAFNPWSRGQLMAAFAKLGSLETLDCRI CLFIDGLDEYDGDHTQLVSIIRQVGSSDNIKVCASSRPWLEFLDAFQHSKWSLRLEDL TYDDVCKYVRDKLNDDVRFSRLKQDRKAAAEGLGLEITKRANGVFLWVFLVVRSLLRG LRNEDDISDLQRRLRELPNDLREYFDRMLDTIDSVYKERTARLFITMAYARTTFPVIT FYFMDLGDGTARGEFLRNWPDVDIDETEVLGTKRRQLIAQCKDLISITPDPGAGILFS ERVGFLHRTVVDYIHTPEIQSRLIPIAGTDFSVNKILLETNLGQLRALIHLHARTYIY PHLCQWILGCLYYAHILEVISGNPETDALDELETIITGAFVKWGFSHAMVTFFKKPGI MSFLQLACQCDLASYVCKKYPQLTPSRLDTLAPGWRILLEIQRQSTFKLCEREMTEDL YSDWRLGRQLKVPFLSQQEAQSLEEKVQAPIQGQNGAPVKSRKVSWRALLKSLKYK
SAPIO_CDS8755	MDPSETPGPATAPVATTAGGEKPTKERMRISRACDYCKKKKIRC TGTQPCERCINEDEVCEYKAPYSRGRKKQKRKPLHLATPSSDASPMSPPATIELDISP QTQQEQQSLPQPPNEGVESSQLHPTDAVAVSVPAINAHAQPSGPLAPASRSSPEPEES DRQGHFVGASSSVAFLLRLQRRLRRDAGGSSETSVFTLGDAVLPEFDELGFIPPSRQE AEGLLKTYFELASPTYRFLHRPTVERWLRQLYDNGSISGSHSRSKYAVILTMFAQAVR YTGVASNSPNANTGVEYFQAAERQLSRETESATLTSVQAMLGSCFYILTRSRLNHCWS LFGTTARHILALGLHRKKAKFQGGSGSATDLVEVECRKRLFWCAYNLDKYLSAIFGRP CAFHDDDIDQDMPALVEDEFLTADSITVAGRANMNVMLGPLSHQRLGRILSSILRRLY GIKPLDLVTQYETMSELGAEVEAWRQRLPAFLDPEKVDSRLLIPLFQRQSNLLSLAMG HARILIYRPCLFNDYQQIDAAETKANIQKCVEAAMGIVEVIDRMVEANQFYAASWFAH YQAFCAVVVLYTYTIRSRAEDTSTWMRYFRAAERCQGQVVTVAGFDSLAYRFFVIMEE FRSEVVRLLQHCSAGPGASKHHSNGSRGSSGGQEPRDMPAAFLPAESSSIQWPGNETQ VDQFGLLDLPNWEQLDSLIGIFQAVADTRRSAKEAWSRLSQFADLVGVGVVTREGFIK KSQEGGFDGVTAIYRTFYSVEITGPFDKDLIQVLPSTVRFICHSGAGYDQVDVDACTH RGIRVSNVPGAVNDATADTTMFLILGALRGFNQGITTLRNGEWRGSPKPPLGHDPQGK TLGILGCGGVGRNLARKAGIFGMKVIYHNRIKSADVTEAEFVDFETLLKKSDVLSICL PLNANTRHLISVAEFDKMRQGVIIVNTSRGPIINEDALVDALNTGKAWSCGLDVYENE PLVHPDLLAHPRAMLLPHLGTYTVETHLKMEERCIANMRSALEKGVLLDNVREQQNVV FP
SAPIO_CDS8758	MSRTRTLEISCIMNIREGLSTEGLGEKLVADFSTYCEDPFWDSI FIYADHRGVCKFDQRDSGYEQVIDKLRCYVEMAKEWNRARIERLKPHTGEAQRMVVLQ GPGGIGKSSIALAYAHSAVAQGISVFWINASSKDDIVRGLRNALLALKIKVLADLDMQ SNALRVKKWLGNKDNGSWVLVLDNADDRSIHFQDILPQSKHGGVLFTSRDKRLASAIG ATEVPVSVMTAAEAVELYWKYRSNAGEPPIHEANPETESTDSQSPVLVQDSQGDVERL VQKLGFFPMAIENAAAYLREANLMPGPNLTISDYTHALDEAVSLDQSAKDQIETILGF QEAFSPYPQSMLSVWELSLQMLMRESERGPKLLELLGFLGRNVSEDWIRAPMTTGSAG LAFADGRKHTLSREPTTLLRSKMSFLRSRVTYIATAAKLCNLSLVRRVYLSGQGRWYL DMYPLIHLWVRCRLADRPAYRASLFRRTILLSEFSIGSPFVYHALESAKSDNQQPSTA FQSLVNTREYASDLPVECITFYLFMALDNYGQSLCFNETTANALLASVAQQEREIAGL LARLGSAVFHLYRQLEGKEKNTEDTHGSRDYNLTFWADVEVTADALGSAPYGFTEQRI QTIVDILAYLISCWWKTVPSPGLQELYAIANGENRLSPQTSATLAFMNRFCKINMAED MLHSARGPALAHAIFQYLPLDQSVSLLDSHLNTAVHTLPAFKAVEYMDGLIKNLNNLV ARKHPGPWGEKAWETFVHCIRHEHIHDALYSTTSYGWHQGEFLRDNPQSVYAVHSQRK LRETHELLAKLLWVCGLRDKADCVLSHYILFVSDFKVMTHAPSSSLGRPTTPLPAHWY CVAEDLQSLMYRFLWEISEDELPQGDRDNNNAVEFAALLVSGYLTLMRMHFEWVKTHG ELRCYYEALPDFEWDNAKALSLLAEFLLMRKDLVGRIEVFEAVGDDETWNHLKHQLAT RAEVFESLAEFSCVMPYKLLLGASADTTRPLSDWLEIFDEIFQTDYIGNVEMGNIIVE NTQRPSESEESADTLPNPSAAPLEVDPVVFRKMTAFWLALCSGRKDLAMREKDSHRLA TWLRSAEDRMPIPARFKSSDPARSLYCGLLQTWEGDLEDLTQTLAARNLDIGQSYEDL PIMRLLGVTEPGTDDNTTERQYTYKEVRLLIKEQGEAFFKMPNLHYVQFDSATVHEDL EGGSDPGNAIVVSMPAFHLSEFGNLNLVPLQENGDEQDDDEEEEEEEEEDEGEDEGHR 
SAPIO_CDS8759	MLQFNNVYVICSIAAIGGGLFGFDISSMSGVLGTQAYKRYFGNP KSYTQGGITCAMPAGSLVGALASSFIADKFSRKIALQISCVLWIIGSIIQCAAQNVGM LCAGRVIAGLCVGIASSIVPVYQSEIAPKEIRGRVVSLQQWAITWGILIQYFIQYGAA QGIGGGPDDPQQPTAAFRIPWGVQMVPALVLLIALFFCPYSPRWLASKDRWEEALTVL GQLHGGGSTSHPKVLAQYQEIQEALRFEREDAASSWKALTNRRMLKRVVLGMSIQAWS QLCGMNIMMYYIVYIMEGAQIASPLLTASIQYIINVLLTLPAILFLDRWGRRPPLIIG AFLMMSWLFISGALQQHYGQPNTEETQTDQNKDISWIVNGKPAVSKAVVACSYLFVAT FATTWGPTSWTYPAEIFPSKIRAKAVSLSTATNWFCNMVLAFAVPPLLWNINYKMYYI FATFNGLAFFHMFFAAYETKGYTLEEMDDVFDSGVAAWNTRKKASRLEELTKEIEQGN IKVEANVGPATT
SAPIO_CDS8760	MVAIANAGGDAANAARGDAPQFERVKWTKEPHLRKLYLMSVFLL IASATTGYDGMLMNAAQQMDKFKEYFEEHHSVFTRDDKGEWQKDENLLGIMVNMFNIG SIISFFITPYMADLLGRKPTIMIGCTIMIVGAFVSAFTNGYGMWLAGRFLLGFGNSPA QMCSPLLLTEICHPQHRGPLTAVYNCLWNLGSLIVSVIGWGTAQISNDWSWRSITLIQ AFPSIFQLCGVWWIPESPRFLISKDKPDQAFNVLVRHHAGGDASNSTVQFEFREIKET ILMETQANRNSSYLDFLRTKGNRWRLAIVISLGIISQYSGNALFSNYIDDIYSNAGIK QQNQKLALTAGKTILDLIVSIGAALTVDKLGRRPLFLSAITGMVVSFVCWTITGAVYE NSDETNTSSGYAQIVFIWFFGIFYDIGFSGLLVAYALEILPFRLRAKGMMILNITIQA ILALGNQTNLLAWNNLPNHWNFMLFYTLWDFLELVFVWFFYVETKGPTLEEIARIFDG DDAVAHIDLEQVEKEVKALSTPQAEFGSAIVRIATAGVLPFHREVCNGDRPLIGGYSE IGHIATVGPDTAVYVDCVVRSRDDPDSLFLMAIHEGFRGGSRKLMRDVWRDSGTFAQF AKVPLENCTPLDETRLCKDLRYSLHDLVYMTHLLAPYAGLRDIGLEPGETIFVRPATG AYSGAGVQHLRNGNQGADIEIVVMSWDEGKDAAALKVFGLVDAVLDFNTLKTAATSTH IRGAIPALLRNGRVSLMGATPNIWVREVLSNSITLQGKLMGEKEDIIHFVKMLDRGLF PRGKHFVDVKKFELEQWSHGLEVA
SAPIO_CDS8761	MLASMLPPPLNSLRFTKIDFGKVPIHFVNIDVHKTDAGGIKLVM DLDWDGVCDIELNAEKLPKIGVQHVKLNGKLSILLCPLTNVIPLIGAAQVAFISPPRL HLDFTDAGGIGNIGLVDRAVRKIALSIISSMAVLPKRFLVKLDSNNDYFKTYQHPLGV LRLTIESGQNFGEEKKTRNILKKLVHDVPDCFVKVIVSPQDQWQTSTIKNDRHPEWNE THDFLVSDIEQVIELDVKDDDTVSDDNLGVGSTTVKQLLLDGGKQEINLNHEDQPTDA KVTLSGQFFRFVPDATSFSEQGSGICGLLTVLVASAFGIKGRRQELKPSVKVSWGEQV FRTAIKSDAPGSDIENPSFDQAFNVEIKAGMVPGPPVRIALMDKEEEIGAVEVGLGDV LAASDLALQQDFDIAQGTTVRAGIWLRGIRQA
SAPIO_CDS8762	MTGHDLSVLVHAQSLCLETDTFGKVKYKRLPLLLPEVKEGRPVT STSPLFSLPLEILASIIDFIADDKPTLAKLAQVSRDCRQLAGSCQYADLCLDYSQTSW HLLHSLEREARIRQSPGIILNNVVSPTFIGPCIRTLTVHSHPSKVAEFHQDFFDVLRA RVPSCHITPEQIIELGEKALEKYLTKYRAPLLAAIEYAMPNLEAVSWYDGVCLEEDFF RIVTNLSIRHLKLSTAHIGGAYLLRPPLTPVSVPLKSLYFDAELCQRGLHKAKQAESV SPLLASLLKRCRATLERLTIGPMLAERLLSFGCEPMMFANLEYLDLSATIALPIGTED YFKNAISTCQTLPNLETLVVPQFYRHPDLATSLEVVNFISRNSHAKKLSVGQASPELM SSLVVPLLTSGRWSNLTSLSLSWAGPGTDEATRPNIASIPVESLAVIGSLGALEQLSL SAGESRGWRHQWLIDHDAMRWALRGLPMLKRLAFSRDTYVAPGLEISTHLEAYYERYL HIELTPAQRELALDRLELGGINSSLLTTIRHRRRGGPPEPDHPELWERYHRNRMLHEA EEYATVLPSLEWVYCGQWPISIKEEQKPPNGAVRVALPLHYKRDSCWTVLKRMFGMEP GDDSDE
SAPIO_CDS8764	MMLISLFSLLLAAFAAADTCEIIDSTTEIEIHRRFELAYTVEQS EYWSTACSALKPSCVLYPTNADEVAQIVNILKTNDEVFAIKSGGHNPNDYFSSVDGGP LISTEQLNEVTLDRKARTVRVGPGNRWEDVLGALDGTGLVVVGGRVGNVGVGGFLLGG GLSFLSAQYGWAANSILEYEVVLANGTIVTASRTQNSDLFSVLRGGGNNYGIVTSFLL QAYPQGDIWGGTMVFLDNEKTASALLKAVRDFTEYCDDEKAGLILTSVRAVGLLDIWV MFAFYDGPTVPSDVFANFTSVGPFLNTCKTQAYSALLTGNNAAVVKGSIYNIGTETMP LPNSTHGTEVMETIHRHWRGISGSVQSVPGVIANIAYQPFPKKMARIARAKGGDLLDL DDDVDRIIIELNYSHWFKLNRDKIDKAMMRTYEGINTLVQGFQASGKLPNAYLPLFMN DGYYRQDIFGRLRATQRELAQSVAQSVDAEGMWKKRTGGFKP
SAPIO_CDS8766	MGSSNSKLILPQISEHTAKNGMPPVIRVTQKKSWKEIICFLSEP GADPLYCINLPDGLWGNMTLHDGPDPTSPPLAHAVGAEGDPTANKEILRWRVGKKETF WFAMDVGQGADRRLERFEWRRSHGDEVKSIGESSWGWKLVRLGATRAGAGSHDDSDHG DVNHTTGRASDGKEVVAVWADSKSWKTLTKVGELHLCGSGSTGELGTSWALMVVMSCM CIWQKMVQLASGGLGTSTYTHLLKNVPGNKVILISRFPDKVPNTYVQDGVQLPVINAA VRAGVKHIFYSSLGFAGNLTDHSCAVVMQAHLDSERHLASLAASHLGFTYTSIREGLY SESFPIYTAFFDLQNPSDEIRIPHDGSGPGISWVKRDELGEATAKLIEKYASQPEAFQ YVNNKVLLTGNRAWSLTDTVKCLGQAIGKDVRIIKVDVDEYASQPQVVGRFGSVEMGK SWATAWEAIRDGETEVVTPTLLEILGRVPEEFDKTIRDLVTKAHE
SAPIO_CDS8769	MPVAALQAPLSSASTPLFEWETQQLTSEILEGLSKSEGVWEHAA LFGFDDGSEKSLPASGSCRCFPGEDSWPGREVWDAFNQALRGALIPTVPIGAPCFRDW DLYSEDECAAIKANWTNGYFHENHPTSIFFPIFQGRTCMPNDGQTSTCTLGGFPEYAV NVSDVSQIQLAVNFARNANLRLVIKNTGHCYLGKSSGAGALSIWTHNLKDLEYLPDYD GPGYQGPAFKVGAGVTVRELYEAADRVGGTVLGGICQSVGFAGGYVAGGGHTPMSGYY GMAADHVMALEVVTASGEYVTASPTNNTDLYWALRGGGGGTYGIVTSLIVRVHPKMPA VTSTFTFSTSEKLSNERFWAAVRKYLAMFIPFTDAHTYSFFWVYNTNGTYTFDMKPFF APNYTIESFNALVKPWFDEMKALGVEFTPDTQFHENFYSAYSSTWQQNAGLNSAGGVV SLPGNRLFPRSVWESEEKFSKLITSLRTLSESGQRWGGYHQAPQNRANADNAVSPAWR NVIAFFISSATVSPDATSEEMSTASRRLTYDILGPWREIAPHTEGGGSYLNEANVMEP EWQADFYGEEIYQRLLGIKKKWDPRGVFYATTAVGSEDWEVRDGIAGVQTQNGRLCRV 
SAPIO_CDS8770	MEHQSRRSSLEAVTSHASGLTTIGLIGGGNGSDSKTAPRLSIDL QHGPRPYCSDMPVPTRKSGGNYFPNAELFRPRGQTQSPSLHPIPQSGNLEGNQLQMSE DPFDDDYRVETTASENPFSDSNAVPREGVEEKLRLPAPEPDQPYHVFSKGRKRLLVGI IGVAGLFSGLSSNIYFPSLDAISKDLNVSLDAVSLTITSYLIVQGLSPLFWGSLSDTV GRRPIYIASFTVYIISNIALSFSPNFAILLVFRGLQAAGSASTVSIDGEGNGVIQDIS PPAERGAYIGFYQAIRNFSIAVGPVLGGLIANFFGFRSIFIFLVILSSLVIIMIVVFL PETLRSIAGNGSVRLTGIYQPLVARFLKEPDSMQELDGTVQRKKVTPMTFIEPLKLLG EKDILLNLLFGGVVYAIWSMVTASTTGLFKSRFGLNELLLGLAFLPNGFGTIVGSVIV GKLMTNDYKAAEVAYKITHNLQMTHKLPNKNLPADFPLEHARLRSLGWVTGLFTASTA LYGFSLADPTLTSKPGWIIVPLALQFLIAATSNAVFAVNQTLVSDLCPGKGASSTALN NLVRCGLGAIGVAFVETMIRDVGPPAAFLGLAFITVAVAPLAVVNWFLGQGWRAARLE KQKKMEEEKKIAQKA
SAPIO_CDS8772	MSPARLPFHADQIGSLIRPASLAAAQEQADAGRITQEQLWEEQS KAVADIVKKQQEHGVRAICSGEFDRKWYFGGFFEKLEGFRQVIPVPWELARLSAPPIA ALKKAGKQYPMAAICEGKIKYNQSPYLENWKLLRSNLPLEQWSEAKFTMPPPCYFHLR LGPGKCYSSDAYANDKEFFADLAKAYQQEFKTLHSEGLRNIQIDDPTLAYFCSDSMLQ ALKDDGEDPEALFDTYLQAHNDCIANRPEDLHVGLHICRGNFAKSMHFSEGSYEKISE KMFSTLNYDTFFLEYDNPRSGGFEPLRFLPKGKNVVLGVVTTKDPELEDAEVIKSRVK QAADIIAQGQGVTIEEALQSIGISPQCGFASVAVGAEGMTEEKMFAKLKLVKDVAREL WPDRA
SAPIO_CDS8773	MAGTVVLTGANGSLGLGFVKCFLSSYPNHTLIATVRDPSTSDPN TARLHNLISLNPKAKDKVIIERLDLGSLDEVRSFTSRISGRVTKGEIPRIDAIVCNAS SWSLRGQKFTVDGRDAAFQVCHLSHFLLVMALLGSVNEESGRIIMLGSEAHYTEKDNM VARLRAKIPDDINTLIKPLADSPGLEHDRGFQRYATAKLANVMFMHDLNKRLQADPHP SNITVTAMDPGGIVDSRGHVEQKLLLRAILATVNVMMPILRHFTKAARTSADSGRDLV ALTVGPEFQGKRGYYVGTKAVQSSEGSLDEESQWRVWEACWNWAGLTEGDTVLRCHPR TRTYPITLVGKSASITEEITDQRVPTLHDELSKIHTENDGKLSIGRSEYSLKAAYEKA KAAALAGGRLEALCKALRRDIEQIEALVGEVSRDSGRVDHLALSTSLVGLDQLVQDIS DQSTRREQNQRDRCLTEASIIPRPESVGTEGLGKNAAALDLSTSRERATTDSQEGHSR IRATAKTIGSPPGPQNISRARKTGKKRPISALDPADIQLELMLLEQENKKRLMMARQE ANALPVQAEPVGGLFNPIMPVPPDYGLQLAPLEQKNKKMLMMAHHGLPDNPPLPPKPT DSPGTTSPQDGHDLPERTDALSGPKEPRRPFTIDELAPTP
SAPIO_CDS8774	MKWLSLAFLFAGGVLSAPAAPAADEYDYVVIGSGPGGGPLAANL AKAGYSVFLIEAGDDSPGQGFGVYTPTVHWDFYVKHYPDDDPRNELYSHLTWLTPEGR YWVGQTGAPAGSKLLGVYYPRGATLGGSSMVNAMVCWLPSDSDWNHHYNVTGDESWKA ENMHKIFEKIEKNNYADPGSPGHGFNGYFQTNMGAKAQARGGNLQGNKVMEAYAKDFG VSDWSMSDLLTRDPNEMVPDRDQISSIFGLVNHQYANGGRYSSRDYIQAAVRDGEPLT VSMTSLATKVLFDTSGDCGDKPRAIGVEYLEGKSLYAGDSRRQEGATGTKKTVRAKRE VIVSGGAFNSPQILMLSGIGPKEHLEEFDIPVIHDLPGVGQHLMDNQEMPIVGTGSAG SGTTGVAMFKTNFPAHDERDMFLMGGPGFLFRGFWPMNPVAQPREPQMPYGVSMVKGS SVNNKGWVKLRSADPQDTPEINFNHYAEGSEADLNAMKDTVARIRRIYKDIGITPTEP PCTAGLDENGSCGQEDIDWIHKQTFGHHPTSSNKIGADDDPMAVLDSKFRVRGVAGLR VVDASAFARIPGIFPSAPTFMISQKASDEMIAELEAGEAIEVCAAPLPE
SAPIO_CDS8775	MPEFSATPATNIPAKQKAVRNHDDSNDRIAANIPVSGLVDSPAT KPKRAGFRGSPYCETHKCGAETCPAPVMSKIDCFCDHHKCRYNGTDCLGGWTKTPPYC QDHTCAHDGCNGPIRRGGKYCIEHTCALRLEGQPCTLERKPGGQFCVEHSCSKCTEPR ESPDTKRCIRHRKDDIHFVYAPRHSKADVKEAKETKEPKKKPMAARDGSGPEKEHRHK DSKTRHLSPRRAKAQAQAQASTVAAAATKVKHIQPPNMELGVKGTPFAALAAGPGGKH NLGLIPQQFRDDPNHSLAYLYGFRDACLHMAGVTTEQIAMVRPLSPSRHIADIDGPRF TNLDDEKE
SAPIO_CDS8779	MAFGLWKSKREARTAAEKETIQDNWRCFVACGIMLLAPFQYGLD FGLIGGLQAMVGFLKLYIQESSPAKYRGLFLTGFQFSTSFGSLIGTIIDWATAKRPDR SAYLIPLGLIYVFPLFITVALWFIPESPRWLVHKGRVEDGRKALQWLRPKGTNVDPEV DDIANAIQKEKELGKGVGFVDMFNNSVDRRRTIVAVGAVTLQAATGSMFIIAFKAYFF TMAQTPDAFAMSCVVNAVGLFAIIVNTCIVVRYGKRRILLMYGMTICGILQLIVAVVY DTVPNTKVAGKVLIALISLYMMTYCGMVAAYAWVVGGEAPSQRLRSYTFGLAAAVGFF FAWLTTFTAPYFINPDSLNWGPRYGYIWFPSATIAAIWVYFCLPETKGRTLEEIDEMF LAKVSARKFESYKCTTHLADLEKDKVSIEEVEVVEKERSG
SAPIO_CDS8781	MKLLATLLALGGPASAHYIFQQLSIGGTQYPPWTYIRRNSNPDW LQNGPVTDLSSNDLRCNVGGGVSNGTETVTVKAGSEFTFTLDTAVYHVGPTSMYVVLE TRGGGPLVETNEKKIHVQGSRGCCGLRWQRALVQDPRLGSDRNKLGIEYIPACISDGE YLLRIQQLGIHNPGAPPQFYISCAQVKVEGGGSTEPSPTVSIPGAFKANDPGYTANIY NGGANNYVVPGPAVFSC
SAPIO_CDS8783	MRDGIELCADVFLPPSASKQGQKVPVICSLGPYGKDIPVLEFGL PQTTMYADMYRQIKPLGPHACFELLDPLIWTKEYGYALLRVDGRGVGGSQGRLDPFSL ERSMRVGTDAEGQDLYDVIEWAGTQPWSSGKVATSGISYYGMIGYIAAMQKPPHLTCV VAYEASHDIYQSTRRGGIGGENFHAHWYKNVVIPYQSGNADRRLDAAQLAANRADYVG LIRDYEYPDSDLWKIFKQRRLSDIDVPLYTAGNWTDSEVHLPGNILSFNKSSSKEKWL EMHTGNHLAWYHHPEHVALQRKFLDYFLHGIKDSGILDIPRIRLIQHHSEGSFYREHE AAFPPPDAEERSFYLTPDKKLSLARQAGREQAFEYEGLTGTVTFELDSTFREPFEILG TPFVEIQVSTEAEDSDIFLSLRALDANGKPFILGGNHGEPNEHFAKGYFRLSHREEVE AGFNEYERVPFQPLAPRSKVEKGKVYTLTIPFNPAAFYLKAGQSISLEIGAQDTASTI PPMRHDGGDRVARRFEGKNTLLSGGRLVLPQVQRPPPPSI
SAPIO_CDS8785	MALYKNNMFISPTVADPNKTTFLAVITIVIILWYLLTKFDRHLY RIPGPTLAGFTSLWKTWDTLKGESQYTTINLHRKYGPLVRIGPNHISVSDPAAVKVIY AAGKGFTKTAFYPIQTTVHNRKPLFNLFATRDEQYHSRIKRPIAHTYSMAALTQLEHK IDYVTSMFMTKLREDFAERGRVIDLGQWLQWYAFDVIGNLTFSKTLGFIEESRDVDNI IANIGSFFIYAAVIGQLPFLHNLLAGNPILPYLYPEIEQFNPAVKFALKCIEQTESSK VDPQLKAMERSSLAFGAGSRVCIGKNISMMEMSKVVPQLFREFDVSLVSPKKDLRTVN HWFLNYINYINA
SAPIO_CDS8786	MSSNASITDPPALKDVYSGVPLRVFQEAKEMKTRLYSHPGNTPR ESYLTQALPPFTSASQFNIAIDKLREIVGAANVELVGGQKVQDGWYMEHPKTHDAFSI FDRGDLVPSSIVSPASTAEVSAIVKWANEFKIPIYPISLGRNWGYGGASPRVRGSVVV DLGRRMNKVLNINKASATVLVEPGVTYFALYEKLQEVAPELSMDCTDIGGGSVLGNAC DRGLGYGYGPYTDGIFSQGNYGIVTKMGFWLTLKQPAQSFLVSFEREEDFPEINELVK DLLRRLFFSPIVPNGEKYSRLITNIIDSIVEKHGFDNSKSWCALPRETRVVVGLLYNV EDKKQKKNAFACMRELIESCRIHGFGEYRTHTLLADQVARSYNWNDGAQLKFHELLKD SLDPNGILAPGRCGIWPAIYRNDKEHKWAITYDDGPDVESSVRPRMVGSQI
SAPIO_CDS8787	MPFPIVYPKQDGPGRLLELCTFRKSSLPDKEWNEIFYDTTGISL TFPISRQSLYASQIEDPQIAVLIADWTSAEEKDIFEPSERYQSIKPAFGKLIDTTNPS FTCHHLILPGAAKTPASPFLSASHIRIPAKKQDAAAVAFEEYLSSGTALDGLEYLVVA PSREDANTIVCIVGTRDPEAAKAVGATLEHDKLKDALDALKESGSKYMAKVISAKDAN PQALPAP
SAPIO_CDS8788	MGSSNTIDWTQVRSEDRWKILKFQKRFFLWALYTSIGSMMLGFD FGIAGTATAFPAFQQKMGIPWPSQPSGYLIPANVQAAWSGVVTAGDAVGILVAGQLMD GIGRKWVILIGSILTAAGIGVQVGSNNWKEFLAGRLVNSLGFGMVFIISPVWIGENAR PELRGFFLCLMNGSIVLGQFVLALVAYGTDNIGGKWSYQTLIVLQFTFVAAILLGYPF FPESPYWHLQHNREEQARKSLGRIHGKKEKALIDAEIVRIRDVITVSEEMARLASVDG PPVIQMFKGTNLKRTIIAILPAAAQQLIGAAFVLGYITYFLSLLGIEEYFKVSVALYC CMLASNVSAFPLIETAGRRPLLLMGIVSLTVIELIMGIMGVINNAASLWVTLVCIFLW AIFYQVSIGAVGFAIGSEVASPPLRPTTISALGLTQAAVGWLIGFVSPYMINPDAGNL GAKVGFVFFGLGVPLCIAFWFLIPETMGLTYEDMDHLFGEKVPPRHFKREALRRRQEA GEVASSENEKRDGSIQAVETAA
SAPIO_CDS8789	MFSPKTQYAIASPINVRPAGGSPLLKRSRSGAPKTTYPKKRVNV ACEVCRSRKTKCDAARPSCSFCADIGAECVYRRSEQNVRPDELPDARPSVTNHQPQEL DGLDVVSRLERIESLLRQVTSPRDHVSFATGALGIFHDEDQHLIPSPRSLDESLALAR PAHAPNFTIGFDLSGLSRLSNQESPEELLPLRNDNFERLLDLQLCHDGDIFRGGFVEL ESLDLGRRRCWQLLQFFLKDVLPWCPIIDQADCSDIVSRTVESGFDAQSLDTCLVLFI LAVGSFAQDSLHLEDDPSMFPGVGYFRAACQIVDADRLNTNTIRYVQCQILMSFYLLY CLRPLLAHEAIQKASMKVIVLLQLHSRLQADPVYRQQCLRAYWTCYLIEHELQIYIPW SSQILQGFNEDMPLPLSDYDEPGIYWFLAEITFRRIFSRPGGCLGWNQMFVICEPVVA REVSQQLSFWHAGLPHPIKFHLDERPHMRPLLDPHKVFLRAQYYAIQATIFWPYVIRM LTSPPPYDLPIPTPPATAAAGAPEEEEAYRIEGLARKSLSFAVLHQYAVEPLMQNRHL LLLADLTGLGSISMLLLCAYGVDRLRSIQPEAMDEAILIGYKCLRVWEANPELKSRVE KMERLMRAKGIGDFV
SAPIO_CDS8790	MPAARAALQFQEPHVVRLSDKERTSGIITEEHIAEAISALHRDG LVVLENAVDVEHCDKLNEILSSEAEAMAELPTTHFNDNSPDGAPTGNMSQGPPLTPDL MYTDIWANQPAATVLSFMLGPKPRVNYVNGNTALGGFNGARQRVHADLTFNHAQFPFA IVTNYYLIDVSPANGSTELWLGSHRDTSFHDHRNGHPVDPDADNGDGRHGIYDNTLES EFGIRDELLEQRRAYAPPVQPTVKKGSVVLRDLRLWHAGLANPTPDPRIMLAFVHTPS WYQCPAKVVLPEATSSLVDSWANREVSPVQYWAHFVPADVDHKTIKFNPNFSSDNKGY LAMLPKDVSMGFVFKADDE
SAPIO_CDS8791	MTIGIAILGAGIFAREEHYPAIEACEFFSLKAVYSRSQKSASTL ASSAKAKVDVYSDDSSADKTLDALLSRSDIHAVTVAVPIPSQPSVIKKAIAAGKHVLS EKPIAKDLATARELIEWYKDIKAPRPIWGVAENFRFLESVTYATEQIRAIGGEIVTFS FSLYALVKDDDKYFNTDWRKIPDYQGGFLLDGGVHFVAGIRSFLAAAGQEVKQLSART SLIQEKLPPVDTVHGLLTTGSGRSGTFCVSFGTEFKSDFCIELVTTKGAVRLTPVEVT TTKQGTDGEKAEEKKIFEFSVGVKPEIVAFAKSIQKGEVDPRQTPEQGYKDLEILQGL LESGECSGAIKTFN
SAPIO_CDS8792	MARKQHYLTAWEWRAGDTNGICQADSLKEWKAVASFPSVVQMEL AAHKLIPDYKIGENERAIQWVGEVDWEYRTSFATPEVQGNIDLVFEGLDTVATVTLNG TEILKSDNMFIPARVPVKEHLKPAGEANELHIVFESPVKAGKVLEGKYGERKSMMRDR KRMHLRKAQCHWGWDWGPVVLTSGPYLPVYLDVYETRIDSVQVRTTLKRDHSASLATT IIEAVNAKEGTSAKVTVTEGTSEIGTGTTTLDASGKGLVSLDIKSPKLWWPSGQGDQN LYTAHVALVSSDQQTVDETSTRFGIRTVELIQTPLKDEPGTTFMFRVNGRDIFTQGGD WIPADNLLPTIMRERYFDWMRLAKFNNLNMIRVWGGGIYETDDFFDACDENGLLVWHD YAFACGDWPIHDEYIESVKKEAVVHTKRMRNHPSLALLCGGNEDFMLNDWDKVEYDHD DLVGPFDNTNFPQRKIFLDVLPKVAKEHCPDVAYWANSPWGGRTANDTTVGDIHQWSV WHLEQLPYQEYKNVSGRFVSEFGMHGFPIKRTVDHFTRGARPEERHPQSRLIDCHNKG HGAHTRIARYLAENFRFDMTSLDNFVYSSQLMQSEAYGYALRSWKRLFNGEGEQKCAG AIIWQFNDIYPVTSWAYVDYFLRPKPAFYTIRREFAPVTVGVERKPATRWVDEDKANA SVVPSFEIFAHNTSSEEVVCDLVLRAYDFASGQYTELGDATKRTVSLKAGRNTEIRTL KSVPSWTEDTLVVLEATLVSGSGKELARFVDWPEPYRYLYWPTGTNLSVTVTDAAENS EGWESIVTVSSEQALKGVWLEPVYDGSEKEEDPEPLWEDNMVDLLPGSERALRVKGLK GRKVHGRFLADWEVKRE
SAPIO_CDS8794	MAQNPLKIGYVPEHFSTPLYFAKAHFGLDAELVPFPSGTGHMIT SIRSGEIDVGIGLTEGWIAGLGKEDAPGDGGYRLVGTYVKSPLCWAISTGSSRPEITS VESLKGGKIGVSRIGSGSYVMGYVLADQQGWLTPSNSEPFSSTVVLNNFQNLRNAVNS GEADFFMWEHFTSKKYYDSGEIRRVGEIYTPWSSWKIVASTKLVSDDGSTFDRRVQDL FEKLDEGIAHFRANQEEAVKYISTNLDYSEEDAREWLKTVTFVEKTEGVEAKVVEDCV SILQKAGVLEAGKGRQPAAMVASRSS
SAPIO_CDS8796	MSGFDMSPSSTNVHAQTNYGYMVGNQHGATNLTFITGGNTDASD AAFIQALRVSPDDSRTNAIESVSDRIVSACWDWILDHATYDAWLHNDDSRLLWIFGGP GKGKTVLAISLVAEIAASSDAIVCDFFCRHMDDKANSASAILRGLIRGLAQNQRRLIG VIRDKYKAPEDLNGAQLRELWGLLRAMLANCIGSKVVYLVIDAIDECRDDRPVLQLLE YIKKEPFKLPQIKVKWLITSRPSSEFERITGTDPGRCIIDLAMEEKASQSVEVFIEQS VNGMAKWNQKTKNDVLSFLRKVAEHTFLYVSMVWKELYQVPEWEISARLSELQSNNPG SILHGMYRVMLDRTLAMDKRSGNTYRQDILRVVLLATRVLNWAELAIAAGLPRQSYPY DHSSVGIGPIAELIQQCGHFLLLQSVCSHGWKQWPT
SAPIO_CDS8797	MYGGAFAEGTSAIPMYDGRHLVSAHPDIIVVTFNYRVNIFGFAN SPAVPEKNVGFRDQRLALEWLRDNIAAFGGDPKRMVLGGQSAGSMSTSMYPYAYPTDP IVRGLITESGEATNPRPDDGTRWTALADALGCRSSNSKEELRCMQEVDAYKLKRTLSP EELNPLSSPADIHPTLDNVTYFGNDAYPSLGAAGKFAKIPALRGIMNNEGRSLTPFTP EGLVNETIADWLTEIAFNCPAAGEARVWAAHRIPSWRYRYFGEFPSLAVYDWMKAYHG ADIPLLFGTINSVHLRDLEPYELEAQKYFQQALVTFVKDPHDGLKNFGWPVYNPNTTS LVELFKNNSPIATFTEASTYDTICFQF
SAPIO_CDS8799	MSGKRNCMFLSRFAKPSAGRANLPVTFVLLDRMVVTRTADTVSD QIQNVLTWRNGNPAFTAHGIGISTMAVDVEHRPKIEYDQKESSGDEDFEEDLELEKRL NRKFDLHIVPWLFGIWLFSFIDRSNIGNAKIDGLTTDLGMDTGTQFNVALLVFYVPYI LVDVPSNWIIKKVRAGIYLPVLITCWGLICTFMGFTKSFGGLVAARVLLGLFEGGILG GVIVYLAMFYRRHQMMLRCGLFYCAAPLSGAFGGLLASGLGQIKHGGYNKWPWIFFIE GAITVVFGIVCFFFMPNTPADSKFLTPEERQYVLRYMRLDASGATSTDVHEERFSWYW VKMSLLAPQTYFCAIIWFFLLVPLYSFSLFLPSIIRGMGYKSTIAQLFTVPPNIAAFI TVIATTYYSDKVKLRGPFILGGTILGAAGYVMLLASNSNPVKYAGTFFIGIGVFQCSP ILMVWSISRDVTSLS
SAPIO_CDS8801	MAPSGRSRRVPPHRRGGNRVPAPDNHRGPDGNLSKRNVCRQFQR FGSCRYGPDCRFSHASATEDSRPVDPAMDLRGEDVLQTQYYDFKRLLRTGGTPRGVPL SRRISQLWANALDVLNGDNQELHHRLVRDLVSDELRGYDFISFTMKMEDADNAVTFPS VNDFLKVITHPSLLDPMSIDSFVSTVYSFFGGSNGDRAIDFFSCLCKRLRDSPPNPDP STEEMILQMVRAISTLQARQPKSGFNDSFPQLLDILQALIAPSEPAANKTSEASVRIN FLKRSITRGRSRLVTADPQPPGPSNTQPGRSVYPMDVVVPGGRHDNDFADISQIQILP TYGEITSTAAECLPSTNLLQPHYLGDMVPRHIDSAFRLLRHDIFGPVKDVLRDLLGQD ISNTSQKPHIRADAGARLYRKAGIAQVFVERNGLEVAVSFETPPQLGGKSVAEQRRWW QDSSRLEEQALVAFVYSHGEDKKLLFLEVTAKKTENTKGGRDNISLVSKDHPPKIQAK LASFTQDNVSVLLKIHTEHLKGVLVEFNGLIPATFAPILANLKQMMRDGEMPFQQWIL PTTGPDGSNTTNIIPPPAYARKRQLVLNLDPISKVPGLTITPSTASIPGEVDFPKLEE ATGLDHGQCRGLVAALCREYALIQGPPGTGKSYLGVKLVRLLLHNKLAAGLGPILVIC YTNHALDQFLMHLLAEGITKIIRIGGRSQVQDLEGHNLRVVSRTYPKTTVENTTLGQT YEQLEKLKSNAGYQLRPLHQLKNGPTWEGLKDFLKKESMRIYLQLAPKDDEGFVVVGG DRVKTWLGKRPRSMPESGRQTNVKDVEGLFHRAEQNIKSLSHRERWILVDDWIAKINE EQNERLFEALEQTNRSQESIQRVYDEINRRVLVGADAIGITTTGLARNIEMLRRVRPK VVICEEAAEVMEAHIISAFMPGVEHIIQIGDHRQLRPQINNYSLSLETATGLQWQLDR SQFERRAKGEPGMRPAPVAQLDVQRRMRPEISRLIRTVYPNLRDHEKVLEHPPVVGMR HNLFWLQHNHPEESRDDDSRVKSHSNDWEVGVAAALVRHLVRQGAYSNTDIALLTPYT GQLKKLRAALRKDFEIVVSDRDLEKLAQDDEEVTDESEEFASGDGKYHKQLEKKQLLQ TLRVATVDNFQGEEAKIIIVSLVRSNQKRKVGFLRTENRINVLLSRAQHGMYLIGNAE TYLHVDMWADVHSQLSEANAVGDAIPLCCPRHRDTEILCSTPDDFVRKSPEGGCNLPC VNRLEPCGHRCQASVPVRAAGADVLTVDLPSLNIWSVRKFVTDPSAPAAIGVLGDAIK RTRNVELVRTNARSVVLTLVAHPSAVHPAFLVSKSAPGLAAIKAHARCLAPLHATDSP ATSAAKSSSHVAINALPTAAKNVDLLEGKVYSEIDLEESPVVFLSCGHFYTGENLDML MGMSEVYALDSAGRYSALLDIPSALAKRVPSCPACRRPILQFSTKRYNRAVNKAVMDE TSKRFVTKGLRDLAELQKQVEPLRDNLSSSFAIFSLVNWSKARLSARYSGATKLIHDA SKTGKRMSEEHQPAKKLHDAIVLRRREMDETLSLNERMEGLRLSPGPQEQPTERYVSA LDKQLTLKAQLIQIDIREVMIRDVARLVQKSPTLQTLAHQFQPPVSDFVKDCKHLIDE SKLASLPRIVISVTLSLVRISLLQRRDAKVPQEEIRERLDEALTLCNMLPDNSEIKES IEEFIRLTEGPRYEEVTPEELASIKMAMVTGPRGIATHSGHWYNCVNGHPFAIGECGM PMELARCPECNEPIGGRDHQLVDGATRATEME
SAPIO_CDS8802	MPAGIDSIDSTVALKASVPKSSRASPPTLNNIKHQAGVENLYII NLDKEVLTSNHVIHWKLGNIPHKDNLWVRAAANNIYLEHPTISLGICPDEHIASPAMV LLEPNMSIDYNVKAVAPRTDIREARKLFLTRVLAGVFLEYKMDILQLGAEWDVDSFPF RELTFAQISIASGQVIFHSFPAQQCNPWTCSSPSCCRKHLPKSAGWLDKRWVGDEEPL LQFRSMCHRSGDPPRASPTETMYWHEGVLVSLALLLADGAAIMKAVTWGLIQVQNNFQ IVVLSLFDVAFAEVSSLDDEPIVKVTRAVDLSPLRTRYCSTSTHPRERPEWKFGIQSK SHHGKAIMEANCATSPEKLRDCFPGLTALVNFFDVAKSRRARFVLRRAKAVQGSAVEG LTGLEENATSVKENRLAAPRIRRRIVLLRSLFRWIITLAIIASVITAGIHNPSRPNMA ATEHRFTNRVCLKRVSLDLRDLASTSIALRGFTDPTTVDGTDILHAVYPAETYIGFPR KGSTSDMARLLSQFSIGVIAVTAESNDMEIVPGNEPKIGTNLKIEHWRLINLIFILTA AIQLSLGLANLFFAHMVVIPDSGLVDEARILRAMMKEENLQSVSCMRERKKEKDTSQW IYRNQDMGDGVYDLYFEER
SAPIO_CDS8803	MAETMRRWGFQGAFIDDGGDRIGHEQEIWVPWASIPRNQQFVRV NYDSYDYMVGTPVITTGNIDNGGGEQVKTRRTMGKRDDLYPRNHANKAWSKRCDRNIH LKLHHDGDFMVLWDAYQSDCVVYDFRESEEKKQE
SAPIO_CDS8804	MGDSAVTAQGSTSPKSAKSPKSASSPIEPGAATAGQALVADENP DAHFEGDGDSTYDTEGGSDTTSLRSSIMKYRTENGRTYHAYKDGSYVLPNDDVENDRL DVQHNIFLLTFGGLHVASFEKEPQRVLDVGCGTGIWSIDFADEHPESHVTGIDLSPIQ PPFVPPNVNFYVDDLEDDWNFSSPFDFIFARFCTGSIVNWPKFFKQSFDNLNSGGIIE LQDIIFPTFCDDDTLPPDGPLKRWSDLLLECFESVGRGITSAYLYPEQLAEAGFVDVN VVREKWPTNRWPKDKRYKQIGIWNTDNMINALPSLSLALFTRPKEEGGLGWSLPELEV LLAGVRKDIRDPSIHGYWKIHAVTARKP
SAPIO_CDS8805	MGANDEQQNESMDINHHTPTVALDGKLYLAPLEKEKVKKAIDIG TGTGIWAINFADEFPDAEIIGTDISPIQPGWVPPNLKFEIEDCTQPWTFAPDSFDYVH LRWLVGSIPDWEALYKEAFRACRPGGWVESFEPESDVVSDDGTVTEHTALGQWGKFFA EGEKKTARLFTVVRRGLQRKYMEEAGFVDIKEFDFKRKQTIQPWGGNLPCCHGPKDGC VAHNSARTPTFWDSSLPSSVKPVTDSFLETIFGDRSKNKPSQGQGRAPEPEPVILSVL PRESANLDNLPLVHPSVPYTISFYHIHAAMSAVADGEDPSALLNFLTCRGTSGSRPKI AWSVTPLVANGTFLLEHAYEFPINLQHEAGDTSYHTKNWFVSKGFRSFAPCSHCVYVF RSIRETRKDRGDRHVCVEFSLRNVLGQEFRDEWSCEAGRRQPQKMCCGMCYTDFCLSF QPQWRAVQVRLWSHRDLGAGVDPSDPKWIAAMGGQAIQRVKKDFGRIRSAFRSPAELG QQETQNDMNWQSPAMSSQQGSQMGSYALQDASNAPSPPPYSPKDIY
SAPIO_CDS8806	MPVTTIKVDRRFTPDKFTEVWEQFTSKQWQFCPLIIRSGQGNEG HRRPLSRSIGGSIDPRQILPIRQRQTIPGENDNKWVEIVELDEGCSDVKHAVFKVYSG SDNPRGWQKEVEMYNELQRNRKIHDNIVRMLGSFQQHNLSYIVLEYANGLTLEDHFKG RPEIHSAQDIEEFFKQLVLGLYSALNSVHRDIKPSNILLFRNPGGGVTLKLSDFECAS TSHPRDRLSSEYQYDDGSKTYSAPERLTCVPLDEEAPQKQSTKFNVFELGTVNSEALV WINLQEGGRKGYENDRKEYIRRHNRPHAAAGYGNAFHNGRVVLPCVKEWHSKAVACSQ SFDYISGVVKEILERDILVPESERQSPKQIGNIYLSCTDLAKTHPGESPRAIYEMATS AKSNSRPTRCHQPGEVPMCIIDERLAARGPLRIPPQVGRDRRSINTSGSMRLNFDHKE RNVATTGFGNHEPGLCNEVKAPQQPLNLANSSNLKRRQQSHGGPSSHHASTRSWCGLQ SLHLSQYTDRENDGESQVAGTLPDTNSRDEYYPSAREWSRPKQDSHPGPVVHNDTAYA QNGYQGGIGYDRNRGSPKAHRNSRKSTTQGTFQLRVPSEMRAQSLIASKSSLEHNTTT NVFVQDILKHKNGGIKALDTLVGKCVHRELGRRKIVILIDDSASMRASHGRDVLDTAE AMLWLCKPIDPSGCEIVFASRPKSPVRPTLSRWIGRTPLGKIRRQLETSDAPELCNME KAVAAVLQRIMGPTKKHFRETTLLIFSNGVWDEDFPANGRGVENPIVKTVNEMLCRGI DRVDLAIQFVRFGNDPYGISRLEHLDNFLRTHRDPNLHGMDIVDHKAHISPVHLILIG PLRASVDRQPHTSHPNDASRSGPSTPCLPGYEPEAVGIDQVPGSDRVRSHPSLPTA
SAPIO_CDS8807	MTAPIKHWTTSSTPHLPVVTAGAQDDFFSIYHVGSDNLVYQHYT SDPKLQDWKPKDSVHKIPDSGQIFSNLTAVSLASGRDHIFGRHFHGGVRHLWYDGQKW NSEDLGGQLIGDISAVSWGPSRIDIFGRGMDNAVWTKFWNGSKWSNWASLGGKTPDSP TAVSWGPNRIDIFVRGLDNVVYQQYFNGTAWSGGWGSLGGETVEEVVAVSSGPERLEL FVRDFKNGIQFRSFNRQARWSGWSREADAGSTVSKPAAVGFGGNKVVLARQSQDNKLY RKVWNGSSWGVWEKFGDKAITGSPVLHVKGGDKAPAIVVLGDASLAIFA
SAPIO_CDS8808	MLTQFPLELVELILNNCEKSDLSSLTQVSKTYKKIFKGDSVELG VTRSTWTRETHIDVVVKKAQHVLLRLEEGQLSSFSWDLVSCVDSKILGRTGVIPLKQP QLKSLSLVTCYTCDSGDFGVDCEIDLTVFHELHHLSWRGLNRSHQRAVSTAIQRNSGH METLELDFGNWRRLRGTLMSDDADEEYNPQEYFSREVLCLNEKPTHLLFPRIRSLSLS HVALSSTVSHALSLRTLESLTIRACPGWDEFLDCLLLQNVGIRLTRLEIQESDDATVG ASEETLLRFLSASKALNELCVLLAGSTNPLPLWNHISRHLGSIERFVYHQRTKIERHS VDVEDLRIEDPSQNPLGTLDLDFLGICCSPCTLKPLLSPFTSNGSSLTVLHIRQSADD VENMHDRASWAFDESSVRRHRPEAMETSVNKGSVKDHLDLYPLETDFVDFANWAFGPH GIPSLRFLLCGDFAYNARQADPVILRRHKGDDMLFEILYENGPAWRQVFGTYGDAVKS CRIEKDLTWTSYNRIDKWRLRGLFRGQPRTTRYAFRPIRN
SAPIO_CDS8810	MSQPHHCISSDPHDCIINPVIHYFACVRFTERENYLNSLSPSQK DRINDERNRVGRLRQFIKSSAIPKSSSRSHGTTPPGAWDGESLVTNVEKSLKKWQEDF KKRQEEYLKKRREALNQGQRTVPNHSHREFISEIQSQGHDGFRSLSASISRTPLAESG GSNSAGESGTATMDYDPDKDIKAYLTLFTRVDGEDHRVVYRGVKLADQDGLQGSIADS RASEDIKRQRRAVWKGEFPAQKAMTYDLLSKPDVGEGRNWTNYLSCNFKRKESEPASC LRYFHFPANNMEWVEKAIARFYDEPDPECQYFIGTPTENATPLLKSLISSQQKSATER ESRPESPEKPPPLWNIQSLPGAVLAHSAQSKRNPSINTLKRDPTGRLKFRKPLAQLLY DVSRLMETMENYRDTMMFEKYLHRPAPLHPRRTLDQAYYCSLKNTRTRDRDQVVYRET TAKVDGFHRWEYTDQDEVRWTCWDLHEKDGNARKPIHSQAEDQNLQSRNNTKLKKRCR DCGSARRHKRRWLGKEACPGKHEATTDEEQVPKKKPERRCQVCRSAAFGCGYQCKLPD GGGEAPLGNTDPVKKIMCFRCRDNIRKLSRLIMVDQLWMWILDGHTILTFFPRRYGFN RHDLSGIHRSIRSRFETMRDGQIRTIYDLALIILTECTKTFFDRTSTLDRQPRVLDLF SEAIGRVTYKQTLAFQHLWDSAEKLRKATSAPTQADLSELHIPLLNIAPEGILQKEIK DILDELNMMIHFVKQQKSMLEKFKKNASEIMGVEVENESERLTAILEGAEGAATPPNA DRKKILEKKQNLKWFERSAKELLSEIDNHLEELNNLRESATSTSQSLDQLLTLKQQQA SVIQAWQSIRHGEEAVIQGRAIMIFTTMTIVFFPLAFISAVFGMNNPELDPDVAEKEG REAMSLSSQLKWIFAGAICTSALVMVFAFSNLMRALFLSTLHRFMIWFVTRFWIYRIW VKLRQKWASESQWRQTEAYISKQKAEEGNAARRRFAMKVEEAQHSFAVAFVHPVVETA ADVYDYHLLFN
SAPIO_CDS8811	MSASRHRNPSTAHQSISRPLASVMESTIKFKRSSGKANLRKRRP AFSTASSSDSDDSPSSQDDTKPRGTRVKRLKTNPSAQGSTTTSSQDLFPTLFAADRTV PITNKNDATNSARAVGPVKRPTNVRTTVTTDFAPDVCKDYKQTGFCGFGDNCKFLHSR EDYKQGWQLDREWEDATRGKKKVPGTVVASIDGKKAKEEEDSDEETSLESIPFACIIC KASYKEPIVTRCGHYFCESCALKRYRKDPTCAACGAGTNGVFNSAKRLEKLLEKKRER AAKRRLAGTEARDEVSDEVSDENEG
SAPIO_CDS8814	MTADIDAHDQPPSYDDGASNDVNALVSPTIHVLAGQSIHTESAH GAPLYLLDRGIATLSYATSEVEFMRVDRLVMQDANDEPTIKPRTRHIYDIKHIKGVYG TLSSLESKSPPIFIQATSKKNSVGHLGLKKSHMRSHWKVLPVDVSGKSSKYGQPAFFK DAKPVFELRHKRGRYEWVDPEGNAIAVEDEGEDQYREKEICACKGA
SAPIO_CDS8815	MKLSTVLLAATALVGTSSAYKISVYSKDNYQGTQKSWSSNGSHS VGFTVKSWIWESSLGDGCCVAFCKGSTNVGRYCGSARKPVSSAGVNKVVTGCGSAVLN C
SAPIO_CDS8816	MRLKRGIERASCDFCHRRKIKCDRASRAAEGIMTCSQCALRQAA CLLDDSDDIRIQRRRRIGTTDMALASGSPKSRPSQRRQVRRGEQQTQTPGAIAVENEN DTSHNTPGGRQTVDSSSQSPPQFSVDFGSSFTSPSEVINGSISLQDEGPDFLFLDSTL GLSADSVSFLDQIFMRDYELPLEDNQLPRVVDQNQSSGATERYAVDPSQATPTSASQF DHGMHEARRLNLNLDSDTFEAALHAYFDFAALCLPVLLEDAFWEDYRAGRCSFALLFA IACRGIPFTTVVNKWDVQQQLAHQFREAFFETQNSATNQGSIRLDDLEALALMVNFEY DNAQNSCVTQYGIQDRFTIEGEMITCRVGYETLRAVYDIVDISVWAKQDRNQGAELGQ KSLVDLAPQVTFHLGHSDFGDFVGNTSSVSITTMSTEDSEEIPFFNFHFTSPFLNETA GSTTNITEDSVYRIGSISKLFTVYALLLNFGRKHWDNPVTDYIPELREAAQPGMENPV DLVDWESITLGAMASQLSGIGRDYANGDLASQNFPWEQAGLPSLPMEDIPSCAGNASL PPCNRQEYFQGFTQRQAVFPPDTTPVYSNAAFRILGYVLEALSGTSYSSLLRSSVLEP LNLSQSSSHRPEGKGPWVIPNGDSGWFQDAGDEAPTAGMFSSSRDLAAFGRAILASKQ LSALDTRRWMKPNSHTSSLVFSVGSPWEIWRAKTNITEGRVVDLYVKSGSIGNYNSLL ILVPDYGVTVSILTAGSSSGSPITIAAELVMQKLLPALEMTSLHQACKKLCGTYTAAD PKQNSSLVIVADDKNPGLLIRRWISHGVDLYATAQAFADETGGGQLTAIRLQHTNLES TSWGKKRHHARNTRVSTYRAIFETHNPDVAHTGPRIFDPDAHQWSTIDSLMYGEVAAD DFVFYLDHQGFATAIEPRVLRETFHRVL
SAPIO_CDS8817	MARPEHTDDVAPDDNTQPVRPPLATSTPRTSRAPSTASLNTSVY EDVAGQIRRVPSIRLRRGSATIPGSVGPSLPDSQQTGITAANDETLRGRPRAVSVPEV AMREFGRPQQARHSTVAQTPMAMPRLTEEGPRPSMAEITRTLSSSSLPATQPPAGRFS MTPEEPDGHALRRPFPGMRRLSRFLRPGQSVGNLDTQRRSQNGNEDKEFNDSLIDWLD VFDPEIQTLSTLTNVQNSLFVPDLGNLINRRPTYVLSDYEGGPRRPGAAPPGPEAERV KEEEEVKEEEERPRLERLSSISSRLTESHYAALPHGTTLDGWTEAQKLELEDRVRHML HSRRSRFKRGLKGFGQYVRTPLGFFVTLYAVLITLFGLAWVLFLIGWIYVGDKQVYTI HIIDSVLVALFAIVGDGMAPLRAIDTYHLIFVVRYSRMIEKARKSKASDLEMAMTPLE SPDGKEAKPDIDINNNGNVQTEPQRSISPTSVSPRSTSPITAANRSSTNVNSDTADPE SGDCAKKTGRDYEGEALALTPKQQKSFCHHQKKLAKSHSFYKPEETFTHYAFPLSYLI AIVVLLDCHSCLQISLGATTWGIDYHTRPVAITTVILCVSIICNITAGLMISMGDRKT RKKDVVALIDRQKLTAAAIKRVEKKRSR
SAPIO_CDS8819	MVLRTKTEADIMETCDETTGCSLVYRGARNPFLNQLLYEDDPDT VEDSEDKTYQPPSGSEGDGDPLEYDSDASGDCSSSLDADSDGGGEIEAGDERQWTFTV SGPVLPTHDTDFLPLSEVKGPPITAGTPLYGMAAIPRRDVYEHIADPGCKSPMEYSGH RISAEEMRGCTTVQCLIPKTDDWEPEDGDCDFEADSAYHLTGLASHMPSGGWGLIFGP RRHGVEHRDADISAFTIADAAEIEEIAFPFHPSYFELFRQASLIILGRVDVDGLMLLR DLTANKKRENILERNQPDVHEAAEQVWQCIEGAEYLAANPILIQPWSPSLPPLRSQRG STSGVDVFLKLPKELIYEVVGYLGSTDIASLRLASRAFTHLPISLWHRLVIEEMPWLY EAWSNAVTPYPWVTKDGVEQVEREEAQWKASREYSLSLHSRADVIRQDMPDISPPHLL AFSRASTAANELFLLIDQQSKIDPFSDCGSKPEKTDGAIDLQGVNFAYPTRPNIPVLE DFTLHIPAGKVTALVGPSGSGKSTIIGLLERWYNPVSGSIKLDGTEIGALNLRWLRTN MRLVQQEPVLFNGTVFENISDGLVGTQWDFSTREVKLRLVEEAAKTAFAHGFIQQLPL GYNTRIGERGGLLSGGQKQRIAIARSIISQPKILLLDEATSALDPHAEAIVQQALDRA SKDRTTIVNAHKLKTIRDADNIVVLSKDKIVEQGSHDELVAAGNIYSHLVKAQDLSTS APDDATKDGQEDEPRQEAENMQSLARYNTSEARNLDSLKDREDFSLYKGPGLIVSVLK LIKITWDLRYWYLIVLMSCFVGAAVYPSQAVLLGNVLDIFSAPDMLKRSNFISLMFFV MSLDCLLAYFVMGWATNVITQTLNQRLRNEILDHTLRQDLRFFDRPENTVGALNSRLS SYLESIYELMGFNIALIVMALINVVASSILGIVTSWKLGLVGVFAGLSPMLLAGYTRN RVETKMDNDADKRFSQSASIASENILAIRTVSSLAIEPTVLNRYTEELDTAISKSKAP LFHMMVYFSLTQSIEYFIPALGFWWGSKLLSQGELNFYQFIVSLMGFTKATAAAGYYF WISNLQPTVRETKGNQERGLGARCSQYDFENVQFSYPLAPDNRVLKGISLKISHGQFV AFVGASGCGKSTMISLLQRFYDPTSGQIIIDGTHDLKTLNPWLYRNKVALVQQEPTLF PSTIRANVSMGVDFDLKGENTTGTYGLPTAEDAALEGALRAANAWDFVSSLPQGLDTP CGTTGSQMSGGQKQRIAIARALIRNPSVLLLDEATSALDTDSERVVQAALMEASGSGE RITIVVAHRLSTVREANCIFVFYGGRIVEAGSHKELINQGGMYKEMCEAQSLDRAA
SAPIO_CDS8820	MGGFSYCCCQRVFKYGQHADYVLEAVGVSAAIASGVALALVNLV MGQFMNVLSGASLSEGVPPNFMSEVTKYSWVSYNITYAGTFFIAVGVFQASPIIMPCP PHSLLWGHLRLMGETLAKFPPNMYLQAVLTQLKEEHNLPDIWYLDLWPMGPEFIIVTS PDAAAIPTTVTAFPQCRLVKNHFSTSLGESFIEVTNGKEWKDLHHMLAPGLTPAAVRS YHDIIIEEAVALRNRFRRLATSEKVIDFGLEFGKFPFEVVARVFFGERIGTQHDNPGL YARVRSLADILGRKSGPKDPFTALRIRFQEWKVIRHLWRDILPYIERRFEALHQEKVI PNRTTATNLVDRMLAPHAQNSQPLSNSAITPIVHNALGFMAAGFGTTSDTISYAYMLL GAFPETLAKLREEHDRVFDRSFDKTLELVRENPALLKDMKYTAAVIHETLRLFPIGFV VRDPPPDMKYFEHNNKKYPIKGQAIGICAHTMHFNPEIFPEPTRFNPDRFTDSNPSYS RHAYRPFERGLRSCLGQNLAMEEMKIALLMTARWFDFELTDHQVAEGSKFLQSDLEAI LGIHAYQTMSVTASPRGPVRMKIRALF
SAPIO_CDS8821	METLLAGLPFHMPCTYDYVLALALAAEYYVETCRPTLAWNFNCT AARMSQALGFHKTTMQDLESLDAARRRVRLFAVIYVNDKMLSLRLGCASMRRDKEMPP DHKAMMRRGLVYDKLYSSAALRQTPEARHDTAVGLAQELDALLNDGCDIKPDFDKDVR NASGDEIFGLLLQGDRVSQLALKCLIYRTLLLTAGSASTFSAECISVARRALLEHQVV TNGLVQHESRFLELHYNWALLMSPFAPFIVLLCNVVETYNLADLELLGVVIESIEAIR QSMPTISQHLRLFKPLFEVASKFVEVKTAPVPRTENFNAFLLEANMDLSFEPALLSTF SQGHQPDVVSGQPGHRGTSFLDVWSYLDSSPPC
SAPIO_CDS8822	MRPSLVALLGSFAVVNAMALPSEALTPRSFVPGKTFDRFVQIWL ENQDFLLAILNSDLIHLATKGRLLTNYKAITHPSQPNYVAAIGGSTNGVNSNDEYNLD PSVKTVIDLLEAGGISWATYQEDLPYSGFTGSSHEQYRRKHNPVMCYQSITGNPSRLE KSKNFTSFFDDLNNDVLPQWIFITPDMNNDGHDTGLAYGAKWARNWITPLLDNPKFNT DRTLIILTYDEGVLGNTVYAVLLGGALSSKKIPSVDNTKYNHYSLIKTVEENWGLGNL GKGDVSATAFF
SAPIO_CDS8828	MKPSANHHPPLGLGEASEIKLRNCHPWLKGRLPVRFQPPVEEQC VEQFMEKYIIYPRNQAPSPGFLEHLPSMFKEVNVEGRCALRWAVLAAAYADVSRDQDG NAVACKALQCYGRALSALGESLSAPGKVPDDYDLMTVVVLDIFEQKQRLAFNLPQTDE TSDWLGQLNDSEAYVRLEKNTHDISETCKRARSLLALVSAGGLPTSILVDMIKELHSL DQAAVSWRQTSQWSFTTLEVSERQDLSPAARGITDRIQLHSDVWMAYEWNYHRTARII FLQQLLQCSKAALETLDLAEVEKQTLNNTVTECILTIQWLADEFLATVPQSFGDVNHI GQPHDGKDGPPRCRAIGGYLLLWPTRTAKDEKSATSMEQKERAQRVFERIREYTGMKD LLGDKSAI
SAPIO_CDS8829	MSGSRPRRLRASRACDYCHKHFDLACVYERPLRRGRTLAGQSVS RLGLSRSSHVWPGHHQQPSTANHSSLPSTNNDVPRPTPAAIPPVQSRRGSFGGVWNGA DNTLSLGRGWKSFARASTPLLAPVLSVYFETVYPIFPLFDRESLELAVAAGEHTRNRA FFCSVMAACALASSRVRDGALVSPGRHSPDLLVLPSEDFFAAAEEALPSDPIDAHDFN YVRGAALLALTSIQDGKIDQMRKYIGTYFTIMAIRQWHDETHWPEGLSALAMEEMRRL YWSIYTLDIYLSTVWGGCFHFQEAHAHVDYPQGISSTPQTGDSDWIVGWNFTTDLYRI LEHALTRLRTRNSKFNLFCVRDGISGSSPAGIVGSGNGNILERVDGLYLSLPSIFKEL RPATGNISTDIYGFQAANIQATLALLRMVLFSLEIDADMEKKCAVAEDILTIFHHVPK SFQRAISTPLIYHIASIGNILGSVMEGPLSETSYQRVRGILLSMASLLESLEAFLRRR TGAGKHLRELVERIDVYMASRREIPTSATTQEADPGELPAANVDGDVIDNLSPLFQLP DELLQDWNWPLMILADTEQPFI
SAPIO_CDS8830	MALNILIAGGGIAGFSAAIALRRAGHAVRVYERSSLNNEVGAAI HVPPNASRALLAWGLDPVGAKFVTTKSSYRAYAKTLERFHEGTTEAEIPIQCGAPWYL AHRVDLHEELKRLATEPDGQGSPVTVLLKSEVVKYNPDEPSITLANGDNIKGDIVIAA DGVHTVAVEAVLGRPNPPEPSKDMYNFCYRFLIPVSDVESDPSTRFFNEGDDGRMKFF VGDLKRIVSYPCRNNEVHNFVAIFHQEDVEMMKKEDWQASVDKSKLLERYSDVHPDLF AVISKATEVKQWALLFRQPIPSWTKGNLALAGDAAHPMLPHQGQGGAQGIEDGVVLGM VLVGASRENVAERLKLYEKIRKNRASLMQIFSNAGQDEPELIRKDASRFMPIEKVPTN PEEYFNHNFGYDVVQDTLNHLKDLDPSFELPEMFFQKKPGRGMYP
SAPIO_CDS8831	MGSVGMPRSDEEKVVDATKPITSSASDEGNNKQATDSIDDEPVP HLHAKTFLTVFAVCFIYFAQLVNVVGAGAQTQNIIAAIGGSSESVWLTSTIAILTVVL SPIVSQAADYWGRRWFLILLTQCGVIGSIVVARANSIGMAIAGFTVTGISYGAQPLLH AVASEVLPRRYRPWAQAVTNLSAALGGLVGLLVGGAMTRNHNVSGFRNYWYMTTAIYF VATAFTTILYNPPKTPNQLNFSNAEKLAKLDWAGYFLLSSGLVLFCMGLSWSQNPYSW SDPHPSATFAIGVALIVGLIVYETWFKRDGMLHHGLFGNRNFPLALLCVFVEGLVFFA ANNYFAFEVSVLYETDSLRTGLRYGINMIVYGLSAVLAGLYCSTTKNVRWPTVVAFVS MIIFFVLMATATPSATSSRNVWGYPVFLGLGLGICLCALVTVAQLSTPRELIAITSGL MIGMRSLGGSVGLAIYNAILTGELSNHLGPNIASAVVPLGLPESSLGSFIAALSTQDN TALMAVPGVSPSIIQAGAGALLQTYSVAFRWVWTAAGAFTVVAAVGAVFLVDPKKEFN NHIDAPAEKEEELYRN
SAPIO_CDS8832	MFGPPEVQLVLVLSSFFVGLAFLTVIARLCARIMIVRIIGAEDY LIIGSMAASIGFLIVEMLQIKYGLGLHLADIAPEFQVKFFQCLWATIPVYNLSLVLCK LSIVFQYKRVFDVPTVKKICRVLLPVLVVYGMWTVLGSVFMCVPVKFFWGEGEGSCMN RLAFWFSNAALNITTDIIIIAIPMPLIKRLQIPLRQKIILMVVFAFGAFVCITSIVRL RSLLDISTSPDTSFDGVDIALWSNIEINLAIICASVPALKPLVSKIFPKLLGLTGRSG NRSGTGYAQHQDSHALESFKRHANTATHASAVTSSAIRGNKGIYVEHTFEVREDNDGK NINSREGSERNLVPSDNEPYAKSREIV
SAPIO_CDS8833	MGYTTIWKGWSPKQLNLAIQIFSLVSIFFEGYDQGVMGGVNAAP YYVTEVGIGDPDGTVTDTVHQGGIVSVYYLGCIFGSFAGGWAADRIGRVNGLFIGTLF AMVGGALQAAAQSSDFIIVARVVTGIGTGALTGITPVLISETSTANHRGGYLGYVFIA NYLGISVAYWLSFGLAFVNNGYSDVRWRFLLAFQCFPAILLFLGIKMLPDTPRYLASV GRYDEAREVLEHVRGRYDEEVEREFLEIKAVAENSSLSSPLEFVKILIGRGGKAGENL GQRAWLCLFLQIMASWTGITAVTAYSPVLLSAAGYPALTQNGLAGGLNTVGIIGTIIS AQIVDRLGRRKCLMGGSLALFAVNLIAGAVYEASRSQPEKATQYAPAAVTMLFLFNIC YAATWGTVAFLIPTEIWSSEMRAQGNGFGITGWAIGVGMTVLVNPIMFDVLENRTYFL FAGLNLLWIPVVFLFYPETANRSLESIDALFSANSFFNWNMEHNYREHGDVLAEHAHS QMGVAPKVSSSDDISNQGAFPTQSEKFLDARDISPPTSVRVDTRELQPSAASPAASFT ESALGTALMDFVDTIVAADQEDDANKSNRSSREGLANIT
SAPIO_CDS8834	MTLNGAAISNGTGHGKPLDILALGMNSGTSMDGVDIVLCRFRQE APEAPMHFELLKYGEVPMDLELKKRVLNIIYHDKTSASELSEVNVLLGEIFADAAIKF CKQHGVDVKTIDVIGSHGQTIWLESMPAPGIPRSALTMAEGTIIAARTGVTTVTDFRV SDQSAGRQGAPLIAFFDALLLHDPTKLRACQNIGGIANVCFIPPEGPEFAYDFDTGPG NCLIDAVVRHYTNGEQEYDKDGVMGKRGKVNQELVDKFIASVPYFSWDPPKTTGREVF RDTLADKLISEAEALGMSADDVVATVTRITSQAIVDHWRRYGPKGKQVEEVFMCGGGA FNPNITDYMRENLPGVKIRMLDEAGIPAGAKEAVTFAWQGMEAVVGRSIPVPDRVETR RPWVLGKVNPGDNYREVLTKGMSFGQGRTKLDWVKKMINYVDGEVFDSRLI
SAPIO_CDS8836	MSNQVEPKAVEARQDPQIFRTETVHDEPEKAVPTVDKVDYSGAH AKTDPKEIALVKKLDRWIMPMLWSMYWLNYLDRNAIALARLDDLEKDLNLTSTQYQTC VSILFVGYILGQIPSNMFLTRTRPSRYMGIMMMLWATVSALTALAHNYTTLLVTRFFL GVTEAPYYPGAVYILSIFYNRKEVATRIAILYTGNVLATAFAGLIAAGVFHGMDDHLG LAGWQWLFILQGTVTFGIALIGYFVLPDFPLTTKWLTQEERDLAYNRIELDTVANQGQ TSTKAGLMQAVQDPMVWIFALMAHMHLAANGFKNFFPTVVETLGLSQTITLVLTCPPY LIAGAITIAVSWSSGYFNERTWHITISKAVAIIGFIAAPLAPNLPGRYVAMVIFTIGT YGVNSLILGWCGSVCGQTKEKKAVAISIVTTVMNVSFVWTPYLWPKSDGPRYMIAMMS SAAFSAATAALAWLAKAIMIRRNRKLRQSDDETAVFYVY
SAPIO_CDS8837	MPDSRAVGFSFQADVVNTASLAHLLTGRVLKAMSDGGVDVYAVT VSMCLGNLIPIQPSLESAVFQHIRSLKSCQGIVAKALSIGWGHSLIPCEMSRTKAGTS LLLLVGALATGSNAFSAAHCLSELLTLSGCEPGSVPNIDVLKNMITYLAPFVQELGFC KVLDHVTTTCQKQILQSNKDEAGLVACGDSPVLAGVIHQLCLTAKREESIYLIVRQRG AWIASFASYLLGLSVEVLLEDTVLWASAGSSGKVSLQLAPGFTSSGAVQLHGRHTLTL VPEPSDPAGRTPLVIYYDPAMALDAELSQIPEIPASRYAGIQTAIARLCLAVLEKLCV AKPTPGLDSTQSSTLPSTRNRLLSSQPTIRLLSVFGIPDQLIEIGKKDFRTYESRNWD YEDDSGANGLYYLDSDQKSWLKSVCPSAACIHLVGSLEVAGCLCARVGHIIGGFASTI IALGQCVADVKDLRLRADVLNGSVITEWSRELLLSASVIHDLIGHLGQLVTWSLSDVD GTIERSSQGDNLVLGVSADAHTITYTALLGDEAFDDHGRLLTITSGRATVDGLFRNYL IERKIGFSSSSEDTPSLLAHGSLIEPHYYPGRLRVWIETGVIDEGILVGAFLGHSEQS TRISLVRCAESMKYIVVPRYRPVATTLDITIQLRAR
SAPIO_CDS8838	MSDSCLLCNASGVHPKCACGKVFCAECLVAHRKKAHGENPNKEW SPIAWIRGEYPSLIANWKAAFATDESAKWFGFYFERKGSDASAEYDDPRDTHGRGRHG EGKMALEAKNFRHIIETPRFSLLVDQSRHLREDSPRRQFPRIVTFVGKTGSGKSTMIR ALISLTAKGDLERTQAPTPADPKEPARPTTGEVNLYPDPGTFGTESPLFYADCEGFGG GQPLAADYQSLWQQSKGSRLYTFQGQHAQSVDRKHAVEDVYPRFLYIVSDVICMVVKN TKTLPSVVARLLEWSQIGAGNTVNRYARPAALIVINMDSTMLEWARSKGKNLTEAFLM IGENELFSDRRMKILAENCGAKTMRELLNVHFSEFHIYSMPQTDDGGKRLTTASDVWS KVGEISRCLQQASWNVHRRREHNWIRLDSHQMSIVFDRAFQHLVTSRSGPFNFNHTQQ EVPTPPTFRHYLVRFCRITFSGGMQEGFAYCASLIAQSLVIERLRSNGYGRWAALVDR CDVHALTGSKDIAPTPDAVFTKAIREDCTVAARALFYRYLTCAYRDPLTGARCLNTRS GHEGGHQLDRGPSNTTLIRPGMFEFGDYTPGEFVRAIEKSTREFTSSCNQFASTGDRK VWAMQRHKETLQTAPRPDFWATASDRDRPYVDNLPCIPCLFRRPEYRMPCGHYLCHVC IEQLQDPQLSAEPSAVAMHWSCPICGMNEPEDAWPVVIQQVPPLAGIRILSLDGGGVR GILEVLILQRLEKTIGLEIPIRHFFDLIVGTSAGGLIALGLGVHGWDIDTAVSKVDDL SQKSFMKRSGIDSFFFGWYYRRKLQSIYEPEPLEEALKRAFPATTTLFGLRPRESGCL SHLPRVAVTTNRNGVTHYIANYDAGDGKRHLESRRPTWEAARATSAAPYYFTPFRSAQ DGDLLIDGGLQDNNPVDIARLEARNLWSENVRVDTLLSIGSGQSYRDNTIPNISYVPG WVGKLAKNYFSSVNGEQRFWQCWNSWDERQRQKARRLNVHLGQERYDLDDNSTNTINA LKEWARTYSFTPDWRTLRFHEAVMGVENKDSLLEVATRLKASLFYFRLSNIEYSTANR TAVFISGSIGCRIRTTEDGDAQAVGLDGARSWSLLEEQTKIFVVRVGGEITINVTPCW KRSTSGNPETVVAFECAEPKTKSISFEVGFERDDETQQAPISGCPYVFEDLEAFWKSH LSGATPWKASVGNDDHDE
SAPIO_CDS8839	MQPNGPVTLLVMILPLLGNAELAGHDSAKNRRGEITPALVDHPR RETPRAGMAAAIWNSTFAICPGDESFSFSFTCRGEGCDLLYDFPTTTCVMNGDTLSCS NGVECKGTPDIVSTAEWIISDENVSFREHTTSEDCEISMSSADASSPVMVDKDTCGVL ADGNTGGQTDSSTPTGQTITDSTGTSTAGPSQPATISSARQLRVSPVSVFLIAFIVFT DLVSGTHAIDQSTTPARGEPVSIPRPEKRAVAAEIDWAKPLKAAEHIDKDSPMWIQRE SFVEELKDSGGPHAGLKIRKTYNPGNIFRDVCGRPATLLELGLKPAPGLNKISSLFCP PSCADNSDFRLTDPGNCGKCGIKCKSGTCIHGSCVADACDATGLFPYFQKCGVGINAG SCTGASGNMFSGFCVQYQSSALGPNGEYYESHRDCDVGYACAKLPTGERSVCMDASSC LINRVPDSPSENVPHFDLDENGDRLGPPPHRVWVFRSRTPLSGVAEGGDLVYTQHAVT LHARSPNYLVVDNGGKMTANTGCVKVVDTSQPLDTVGVVNTAVIDKLPEGYSFYFGYT SEEYLNDEASDSCCLTFYNDDECSPGSAIGDVSGCGIQANDFTEDVLSWKVDKCEMLY SEI
SAPIO_CDS8840	MEAFTEQQSPVIHPKNTTAEEVPTSQGLPLILIYIGLLVIPGIV FGALKRFSKLDRGDAKSIKDGKFPGPKQYPIIGRVHDLPRFGLWLKFKEWADEFGPIY QTSMLGQKFVIISDEQMATELLVKKGNNFAGRPQIRALINHKAGPVYSALMDRHDMWK YQRKWVHSAMINAHSNHFYGHIEKEVKRFLTTLVLDPEKFHTNVRELTGRIMSRLAWD DASQGKTNGDQAIETLTQMSVSGPVVNTVTPLWHISDFVRYNPWRKFEVQRERNLKAW WLSLLRSARARYLRGELPEDTWTYRYFEQLKEAGNTEIEMSPTDEETASCMLGFQCLV GVVTISGPMQFFLMCMALHPEWLKKCQEEIDRVCGDRIPNINDFPDLPTVRACLKETL RWRSGVPLGVPHQCEKDSEFMGVKIEKGTIVLACEWNINRVPSQYPDPETYRPERWLS PSWPTYQEPLTKYPNFRDGKAMHTFGWGRRTCLGQTLVDDELFVAGAGVCWGFDLGRK VCACTGKVVEFDTQATNSNVILEPLPFPIDIRPRSNERAARILDEYKEVRSSLKV
SAPIO_CDS8842	MAELDQSSSQEQPTPMPNKVEAQSDKQHKQEDPTKTRTPPAAQQ ARTGSSPEQPLHTTFQNLTPPIFVVSLAIVFLLLGLLLSPLVSSRSSPSPPIHTVTTT VTSFQTPTLSPKPPANMSSAEQTFIAIKPDGVQRGLIGPIISRFENRGFKLVAIKLVS PSKEHLETHYADLKEKPFFPGLVQYMLSGPICAMVWEGRDAVKTGRTILGATNPLASA PGTIRGDFAIDVGRNVCHGSDSVENAKKEIALWFKEGELVSWKTAQFDWIYEKA
SAPIO_CDS8843	MLFCRITRALRPRLHLPSAPFRTSRPSSTTPNTRASKILSKLPP SLQKYTTRLRNAPVSHVVAFLILHEITAIVPLFGLFGVFHYTQYAPVGYITDHWGGVG VGYYEGDPAAEDIGEFVGDAVVCGRSRPWPKNIYEENITSAKF
SAPIO_CDS8844	MGLFSGAARILSFLARLGAFISSVIVLGILGRMFWRLHRLHRHY GRKLIYVISLATLSIPLALLLLLPFMFTFWAFPLDFAFFVMWMVAFALLTNVRLISHF PMGFLADRKCICFLINFLLGMYKTFTRKKEKRHGTEQAMAQTAA
SAPIO_CDS8846	MWSSPRARAMPRTWTSLVYLLVAFSCLFIPVLTVKENDFKKCHQ SGFCKRNREYADSAAAAGSTWAAPYNLNADSVSFKDGQLQGTILKSLADGAESIRLPL TVSFLESGVARVVVDEEKRQKNDIVLRHDSQARKERFNEVEKLAIVGGLTLDKDAKVT SQDSNGMVIQYGGSKHEAHVNFSPFSIDFKRDGVSHIKFNDKGWMNVEHWRPKVDKPE PEKKEGEENKDAEPESDEESTWWEETFGGNTDSKAKGPESVALDITFLGYSHVFGIPS HATSLSLKETRGREDGHYSEPYRMYNLDVFEYILDSPMTLYGSIPLMHAHNMDSTVAV FWLNAAETWVDVTKSKDATNPNALGAEAKTNTNTHWISESGLLDVFVFLGPTPKDISK VYSELTGTTAMPQEFALGYHQCRWNYVSDDDVKDVNRKMDKARMPYDVIWLDIEYTDD KKYFTFDKDRFVDPIGMGETLEKQGRKLVTIIDPHIKNTNDYHVVSELKDKDLAVKNK EGNIFDGWCWPGSSHWIDSFNPKAIDWWKSLFKYDKFKGTRENTFIWNDMNEPSVFNG PETTMPKDNLHHGNWEHRDVHNLMGMTLHNATYQALLSRKSGELRRPFVLTRSFYAGS QRFGAMWTGDNQASWEHLGAAIPMILSQGIAGFPFSGADVGGFFGNPDKDLLTRWYQS GAFYPFFRAHAHIDSRRREPYLTGEHYNTIISSALRLRYSLLPAWYTAFFHAHRDGSP IVRPMYWTHPTEEGGFAIDDQIFLGSTGLLVKPVVEKDKVTADVYIPDDEVYYDYFTY DVIPTQKGKTVTVDAPLESIPLFMRGGHIFPRRDRPRRSSSLMRFDDYTLVVSVSKAG AAEGELYVDDGDSFDYEKGQYIYRKFALDGTSITSVDGDGEAKSTVKPGTWMKQMKDV AVDKIVIVGAPASWDKKEVEVESRGKTWKAPVQYFKGKGGKASFAIVGRVGAAIGEDF TVRVAMTRTAFVTGATGLLGRQLVRGFEDPGRNWSVKGTGLSRADGVSVLRVDLQKVG EVEAALDEVKPQVIVHSAANRFPDKVDKDPEGTKALNITATRTLARLCAARSILMIYI STDYVFPGRPGEAPYDVDAEPSPTNLYGETKLGGERAMLEEFERAGKRGWGVVLRVPV LYGEAEVPEESAVNVLLNAVWGAARGGEKVRMDNWAVRYPTNTEDVARVVADVATKYL DTQDKSTLPRILHFSSEDKTTKYGMCQLFGRIMGISTENVIRNDEGNDSSASVQRPYD CHLNTRALKELGIDVRTVEFEHWWRRELTFRK
SAPIO_CDS8847	MEDAGTRSNSELTATAAATATTNMGTTATATAIAPAPGSIAPAA SAPAYFSRYRSRRHQNKNANSNTSSSANTNANANANANIHANAAPSHFSDAQSPTLTH GPMHAMVPQAQAQAQTRAQTQEIVPSSDSSVPVSSTAASSYSSPTAANADPSAAHTDT ARITPSLQKAPSRYRRRSASFAGTDSSGETSVVDTSSNINPNHLKPRPRHADALGSGS APSTRDPSHAGEPLLPPPVPPLPFPASASSALLPLGPRSANLNSFVTRSAEPLYYRNG NENIVAKNHHHFYEIDPSNHDDHDNDNDNDDNEELKRTLTVRTRDSGKSFRERTTETI RSNYPSQSTSTSTSKPATVAVADNKMTTSTTRWVDAPTSFGGSQPEIRRYHNNHHHQE HTTSPLASPKSKTRSPIFAFLSRSRKADQNSASSLPPSPASLPTSPQASRTNMAPANY IAAGGKGIVPQIDAPRVVVRCMNSSITLPVKTDTTPVDILHSAANLITHKIRPDTSIV VESYLQYGLERRLRRYELVRDVMNSWDRDNLNALVVLPAGITNTTNSKNPDPVPDNDE QLEIDAVPRTYDAPYAFTLQLYCCPRVGKWVKRIVTLLDSGQMYAAKKPGAKPSDKDS VSLCHLSDFDLYTPTEHQLRKVLKPPKKYCYAVKSQQKSNVFPTGENFVHFFCTDDER TARLFKEQVHGWRSWYLVNRKIDTGRPPTRDASGGGGLARKASTGRPRQSMDNSPYAI GSFKPLMDMDIFDKAYDDPTQPTQQQQQQQQQQTPNSPSRERKRLSKGSVRGRSASNY SGPNANANANGLVNLDQEPDFDSKGLLGEKYEERKLESLRRTETQRRRKSSEGPFTEG PSLLNSIPSAQEQHSDPLRRSDSRYRPSTARSTAAAEIHRQRSLRRPGSPTSIRTTNR APSDHHYQQQQPPMPKPLLDFSENGEEPATSWRTQRGGQGVRAPVGVPLVDLATGRPA NKYDVPSSPRSSTRARAPSSSASSSHNAAGQHHQHQQQQQQQQFHHYARSPQGNETLL GALARSQSVASTSSRYHGRYNRYEEEPAVPPLPGMVGGTLVGKIEARQAGTSRGRSGT VR
SAPIO_CDS8848	MARKTNLKEFEDVFPKLEKVLLEQAASYNLPKAHLEWYQKSLQT NTVGGKCNRGMSVPDSTSLLLEKPLTEDQYFQAATLGWMTELLQAFFLVSDDIMDSSI TRRGKPCWYRQEGVGLIAINDAFMLETAIYSLLKKYFRQHPRYIELVELFHDITFKTE MGQLADLLTAPEDNVNLDNFSLEKHSFIVIYKTAWYSFYLPVALALYLLDIATPKNLK QAEDILIPLGEYFQVQDDYLDNFGLPEHIGKIGTDIMDNKCSWLVNVALEIATPEQRR ILEENYGRKDVEKEAVVKKLFDDLNLKQRYEEFEEKRAKEIRELIDRVDESEGLKKSV FTAFLDKIYKRSK
SAPIO_CDS8849	MERADPIEFQSNKPSAPPGQASGVVQEPTGSQIPLSSIIGDGSQ GQTAISNPDSETNRASTLQTRLTRPDLSISRDLWDVAYDALQESHPLLLNEYEKILSS RLRGPEDDARGEQPINLIDRTDNDRRRQQMIDVIRLGIQKLEETLKTALETNHGKFIA RMKDDIRSAIQDTPELKQELPRRGWTEWTRNPDQRRGASQMLDLCSTMNFFCDLPKVL LQNGLAEYNDRFSQALRTDIRELILNQYRAILLFQIQLLYSCYRTSGFQLADLGNISA DWGPVALARESLTHGQRDLLPHSVGLAAVGVCGICDKIRELLLLAILTLGVRTAHLHL SQGGGNDDDTKATDSTFIDALSEFVEQFISSHPPAMDKTLFEIHWSFSSSRRLRPPTS VITTTCLRVAANIIGLESLLRQIQQHYASTEISAEIAEITETLLQSLLPQGKQAVREY FRIYIRERTSMAYTLDPVIKDTSVTTEVNSPCRGGPAALSADATQPDAAKHNVIGLVI AVDGDGMTAADGRDFINIFGPGDWNQRLEAVDNRRVVNHPNVPASDQFSLPHPIVARV DS
SAPIO_CDS8850	MDGSHREFDPDGDVLLILRNPNAPFADWSEQEKLSFTPSFGLGK RKKSRKGKRGKAQPLSDPLPDPLPDPVDVEEPSPKEALDRPIETPATEDTLEEDTGVA IGEVRLRVSSRHLTLASAYFRNLLTCAWKEATTSADGCRYIYFEHWDEEALTILMHVV HGQTRSVPRTVSLEMLAKIALLVDYYKCHEVIEVFSDMWIQKLRNQLPAHFDRDLVLW VFISYVFIQDDIFKEVTRTAIEQSPGEVSSLSLPIPSVIGK
SAPIO_CDS8851	MSLSDNEVFQLRAALQDAVVKCFERCLYQSAKWAAELLNSIPES TGQQPSSQDTITAQSASISGPNPDPEEARLEAQEFNKYLFAKSLFDCREYDRCAAVFL PESMLSGIVESKAEPASGTPKGKGKLKPSATAATAAAESSKTAALPKISQKSLFLALY AKVISGEKRKDEDAEMVMGPHDVGTVGNKQLLLVSKCLNAWFEERSVGDEEAVGSQGW LEYLYGMVLAKEKNNEKAMEYLVRSVHLFPMNWGCWLEMASLISRVEDLNRIAKHLPH NIVSFMFHLHTSLELFQQGPSLANSLDQLLSIFPTSSFLLTCNALLSYHAKDFVAAEQ HFSRLLSLHPYRLDSLDHYSNILYVMNMRPKLAFLAHLCSNVDKFRPESCVVIGNYYS LLSMHEKAVQYFRRALTLDRTCLSAWTLMGHEYVELKNTHAAIESYRRAVDVNRRDYR AWYGLGQTYEMLEMHTYALWYYKKAAGLRPWDGKMWLAVGSCLEKMDRDRDGIKALKR ALLADAYYDVGSSFGSGGELLGGRNTTGHMDPETLLQIANMYNRLGEIDEAKSYMELC LAQEDGGVQSSDDMRFQESITIHNDSPPPSDDGRGGESAAVGAGDHEGGTGVTAATSK ARMWLARYALDMEDYVTANRLATELCEDGVEVEEAKALLREVRARMDVEGV
SAPIO_CDS8852	MSETCEDGCTEWGAGKRYFLCGHEEIIETNSDAIIPLNGHVFKA RLLGMGAYGLIYKLSDLVVVKIPRRKIEDAEEEFANEQKMFKILDRKSPYIIPCIYQT PSATFMLRAVRDLRDVISSKIYSYVQRKTVRWMGQLCGGAAFMEKQGYAHGDLRPDNL LIDQNGNLRIHDLGSSLPVGNQLPVATEPFGRLLSKEEGKGRGYGLAGPYTENFAIGS IFYSLTRGHYPYAREEYDVPTLMKMFQRKEFPRLSDSTEDGIISRCWNGEYGTVAELE QVFKDMEGGGEWYLFPAESEEWVAERRRECERWAAAGGVDELIE
SAPIO_CDS8855	MLPQSAISTLLQPPIVRTGLRAHLTPPPSSGHKPPTAKDIPPVT LTNIQQIDVSEFKPYLTQMGALYEQLQRAKESEDDTRSAHKGSRPDDGIDFNNDPRFK PGGSRAPASRKSSISSIASLGSIDSSGTHTRRGGSSRKTGHNPPPLSTIPTVYFEEDF HLENPRTFDVVSERSEVVPQTQKNSDKDVGNGAAPAPRKALATNAILQEKLSWYMDTV EVHLISSISTASTTFFTALGSLRELHTEAADSVERIKTLRKDLVALDEEIVTKGLELS KKRRKRENLQQLSDAILQLKYIVDGVGRCEALVEQGDVEEALTEIDGLEKLMIGERDD SIPTMKNSPIAHIQLRDLTGASALQGVHNDLDTLRFRIGKVFGLKLQELLMNDLRKHV DTVSIREVLQRWGSAAVRARGGHVKEPSVFPAYLGSTDQLRAALLPNIIGLHRANHIA TAITAYRESVLREIRTIVRRPLPSSNDDDADSMMSASTMSGGGRSRTNQEKSAILARN LRALDAEDGEELLARIYVGVSETLRRVTTQTKVLLDIASEVGDPQGTANAKSPSVRSP IASPNLGRIQQDLSGFEIQEELHKALDLGNLLGQAVDGGHDKIIRILRVRSEQSVNLE LTQFLRYFTLNLFFANECESISGRSGTALKTLVNNQIKEFVKAHGAREIQILAEGMGP DTWNAKDFGDKENRILEEILQSSTRDPESWGKPSRIWLPPTVDEELNGADDKPSDTNG TKEKARSATIDSETFLLPNSALLCLDGISHFLRLMSGIPSMTADIATSLIAYLHMFNS RCTQLILGAGATKSAGLKNITAKHLSLASQSLSFLATLIPYIREFVRRHAGSGPSAAN LMGEFDKVRRLLQEHQDSIYQKLVDIMNSRAVAHCKSIRAMDWGSSTASTPVHAYAET VTKETATLHRALTKHLPEQSIRLVMVPVFTSYKDQFGKAFQGADPKTEAGRESMLRDI EHLSARLNKLEGFGDLDTYLTKIVKGKDIKVEVKPEAPKVEERKSGEKNGESANNGNS KVSEEKNDSEKRDEGKSEDPKPA
SAPIO_CDS8856	MDRQSVFSTHVFAANFNESTEDTPLQIQAQLENFILNFRLDNRF IYRDQLRENALLKRYYCDVDINDLINYNEELAHKLTNEPGDIIPLFEAALKKCSMRII FPLEGKVELPEHQLLLHSDADNTSIRNLDSMTISRLVRVPGIVIGASVMSSKATLIVA QCRTCQHSQTIPVLGGFTGVTLPRLCERKRVPNDPTPKCPMDPYFVIHERSQFVDQQI IKLQEAPDQVPVGELPRHVLISADRYLTNRVVPGSRCTITGIFSIYQNKGSKTNATSG AVAIRTPYLRAVGIQTDLDQTAKGSAVFSEEEEQEFLELSRRKDIYEVLTDCIAPSIY GNRDIKKAILCLLMGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVERVAPISI YTSGKGSSAAGLTASVQRDQSTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHE AMEQQTISIAKAGITTILNARTSVLAAANPIFGRYDDMKSPGENIDFQTTILSRFDMI FIVKDEHTRARDEKIAKHVMGIQMGGQRVEETAEAEIPVDKMKRYVSYCKSRCAPRLS AEAAEKLSSHFVSIRRQVKSAEKETNLRSSIPITVRQLEAIVRITEALAKLTLSPIAT EAHVDEAIRLFLCSTMDAVNQGSNQGSKELNEEVHRLEGELKRRLPIGWSTSLATLRR EMVEGKGFSEQALNRTLLIMQRKDTIMFRNQGQQVYRNAV
SAPIO_CDS8857	MGRDLQKKKNRSGKQPIRQPQRKKKLLNPLGNSIIAKNWNKKET LSQNYRRLGLVARLKGPTGGTELSLSEATRLREARTSTSSSLVPSTASQPPRQPKTPL AIQSIEAAVISEVKVERDATGRIIRVIGSSAKKNPLNDPLNEFDDDSEEDEEEDGDEW GGIEDTEEEKREMKKTQVVRELEELAAQPVVKKPRHQSEREREWIERLVERYGEENTG AMARDRRLNPMQQTEADIRRRIRIWKEGRD
SAPIO_CDS8858	MVSLSNFNPDRVPSAKLAVHGLQILLVFVAWCLEIAVFRDKDAN INGQNGWVFGVCFLSIPAWVFLLMTPRWERTRHLADPRAMLMIDVLFTIIWLSAFAAQ AAYNGAGRCGGACGPSKAIVGLGVIVTLLWILAVVISFFTYHHWKLDGYLPGYDHRKL PNSNNIDPDKAAFSMAPHDEEAYAPVNMDERDPGRNDDYASGAYGGAPYGSNVGGRTD PYDDDETRYDGSNVGSMSGRHSARPSALFEADTSYASPHHPSSSIGGYGTPTPDPYEQ QTGPVKFPAGNYDRVH
SAPIO_CDS8859	MTVPENTGSSPLPAATETTPLLADPDQPKTVTEDEITIVADELP TARLAVIMGTVWIGVFVGAIDSTIFATLSGPISSEFKSLSVFSWLATAYLIANAACQP ISGRLTDIFGRGPGLVFSNIFFAAGNLICGLARDKYSMIFGRVIAGIGGGGLMSISTF IGSDLVPLRKRGVVQGIGNICYGSGAMLGGVYGGFVNDHTSLGWRLAFFSLVPPAVVS AVLVGILVKVPPKVSNKSYISRIDFTGAFLTVGFLVSLLLGLNAGGNYVSWRHPLPIT AISVSVVLFAGFWFWESRARQPIIPVRLLLNRTVLAACVANLVCSMALYMALFYVPLY LQVRGYTATDAGLALLASPVGTSVFSISSGLIMKRTGKYQKLAIIVLSIFTAGMAVLT TLDENSPLRLVPTTFFFTGGSYGGMLTITLLACIAAVDHSQQAVITSATYLARSLGST IGVTIASAIYQNILKERLWERFGDRPGAEDIIGRIRDDLGEIGKLPPGWYEGVIRSFM EAFRGVWLAMLALAIVGLISVSLMKQYTLHSTLSRS
SAPIO_CDS8860	MSVPPHRSCTTIHTPGFSALTIEQRGQDAAGITVSQGGRVYQAK GNGLVSKVMSDGARLQRLPGNLGIGHLRYPTAGTSSASEAQPFYVNTPFGICMSVNGN LINIEELREFLDVEAHRHINSDSDSELLLNIYAHALTELGKSRANTEDIFTALRAVYE KCKGAFACTAMIAGFGILGFRDANGIRPLCIGSRPSLTLEGATDYFMASESVALKQLG FKNIRNIKPGEAVFIQKGCAPIFRQVVEQRTYSPDLLEYVYFAREDSVMDGISVYRSR QNMGIELAKQMRQVLGDKVIDEIDVVVPVPETSNTSAAALAAQLKKPYSNAFVKNRYV FRTFILPNQGLRKKSVRRKLSPIECEFEGRNVLVVDDSLVRGTTSREIVQMVRECKAK KVIFASCSPEIANRHIYGIDLADPQELAAYGRTTDEIADYIQVDHLVYLSLDGLKTAC LDAAEKDSGVDDFEVGVFNGKYVTGVPEGYFERLSALRQGGKKRKAAAAGLTIVGATE PAEDSAVVANSGPVNTASPDYREDISIYNFANELPEER
SAPIO_CDS8863	MTAQEKRNIIIIGGGIIGCTTAYFLSHHPLYSPTTHRITLLEAT DIASAASGKAGGLLALWAYPDSLVPLSFRLHAELAARYDGPRRWGYRRLKCGSISATV SSEKIASFTDKSPRGSVSTEATAIGAGTGDEKDWQKLPKQDEHAARLLEEAGVPPDLN WVDPETLEGYAEMGGPGTTDTAQVHPLEFTTAMAELAAEKGVEIRTGALVTLIKTTKT AVERIEYIDRKTGETHTIEDFTDVVVCAGPWTGKLLPKTRVEGLRAHSVVFEADVTPY AVFTDIVLPSDYVPPHRARKGQKRRHKGSVDPEVYARPNNEVYACGEPDTTIPLPETA DLVQTDPSQCDDLISYLSTISPALRAAPIKARQACYIPRHMRFGDERGPLVGPTSMKG LWVAAGHTCWGIQNGPATGCLMAEWILEGRVRSADVVKLDPRTFKV
SAPIO_CDS8864	MASATAFYDFKPLDKRGQEVPLADYKGKVVLVVNTASKCGFTPQ YAGLEKVWNEIKQKNPDDFVILGFPCNQFGGQEPGTDDEIQSFCQVNYGVTFPIMKKI DVNGDHADPLYKWLTNEKPGLMGIKRVKWNFEKFLIGRDGTIKGRWASTTKPESLEQP ILDALAEKA
SAPIO_CDS8865	MTVPMAQQQQPPSKDAAVARKRRRRAAAGGAADDCFSCSKKNLK CDRSRPYCSQCLEVGQECSGYKTQLTWGVGVASRGKLRGLSLPIAKSPPVSGGTQKKS PQRSRSSSAATGPQWTGQISTSPRRITSHAEHGITASPTERHASVSPPPASLYNCGYL AVSTPSDSNLSSSSPAIQGHWDNLQFASAMSIPEGAEYRKLSPHHHLAPHAITPDPRL SPSLDSTSDGYLSPMAHSFPRVDDIPYIHSPTLMYDSCTTQNSPVARSPLPIVMMDQH HQQHQHQQPQPPPTSCPSLVYASSDHSSSYPSHADSFETQLSQRLMRECDNLSVPELD TLSTSCGSTGPVWPSPSDQDIISPRSNGDWDSTSSQWPTVYEPCNVHVSSELIAKMPF FMDYYKNTMAPSMVFIDSPQNPYRDHILRLAVSSQSLQHAICALSACNLRMKRRLSLG QGTRELSEKLMAEKAVGEGIGGSTDQALTEEHQHRNLAVNLLNQQLNDPAKATHDSVL ATILLLCHYRMAESGIARFHTQFAGVKKILAMRQAQHVAPSGETAWMEAIFTYFDAIS ASINDRETQLGGSMYSRFHDIPLLPAGAENLVGCERGLFKTISRLARLNLLSQNRLIQ TQQHNEGQRQQPVSPFMSGNTMNVFGYNHSSSSARSSPTDAQPHSPFSLPLGHSYKSN LRKVHARQLMEAYGSSSSSSSSHRFDSNGNFDSSSLEDEELLNTLVTSPSSFDDNRAS FWQEWKEVRQALQSWEFDAMQLQAMLPSETMPAQLRDLGCLSEAFRYAALLYTERLAN PTSPSSSANFQNLVSQVVYYATSLDVGSPAEKFLLWPLFVAGSECVNDLQRNIVRAKC RDIMGRSGYMNNLAALEILETLWAEDAGGDKKNGDAGKMGAFNWTKCIGGRGGEVEWI MF
SAPIO_CDS8867	MEQYLREWRQDALNKAQYESAIFIGDKLLALTGDDNDAFWLAQV HFATGNYTRAQTFLSKQDLIARNPSCRYLAGHCLIKQNRFTEALEVLGERNPTHLINN ASNKRKMANPSAHTRAASASNHGKNATSAKDRAAHHLQVHDGGRRPHNVSVSVSVSVS DEEAANRRYEAAMCYLRGVCYAKQNAFDRAKECYKDAVSIDVQCFEAFQQLMQNSLMS PDEEWQFLETLDFDSIRAPGDVSSSQEAAEFTKMLYTTRLSKYRNPAEFTTAYESLST HYRLASNPDLLLARADLLFTQCRYRDALEITESILKNDKYNFSAYPLHLACLYELKMK NVLFLIAHDLADTHPEEPCTWLAVGIYYFAIDKIAEARRYFSKASTMDVHFGPAWIGF AHTFAAEGEHDQAIPAYSTAARLFMGTHLPQVFLGMQNHALNNMTLAEEFLKTAYGLC KTDPLLLNEMGVVKYHQDRPKEAVVWFERALKVAEEINSEPSAWLAARTNLAHAYRRL GFFNRALAEFDEVLRLGGKDAAVFSAKGLVYLEQGRPEEAVGALHEALAIHPQDGIAT ELLNKALDETAALDAAREEVAEDLGVFEQELAQRKGRASERLRAVASGGARAGGSRSG GAGGKGKSRAVGEGILVHESFVEVDELEEREASMMEMTDDE
SAPIO_CDS8868	MSFNCNPCRYSDYLKAVNPQWVHQTQLVIEAPPDAASSEPNGET APAQFALIIGNGPSVGVPGNAQGDPVVESPTAIDGIDEGDDADTEGGHPFRKPRVSRR ATGGSVKHGGRRRHESAEAKGSKGSKGSKDVYLRVNEMVDEYASEAEMSGLDDYYREE NRRAKRTPSWGLEERSHSWSRRPAAQSMRPGSDSDDSDGNLGGGGGRMEVYDSDLESV VSDVGKAAHAKKMRRFKALAKDRFEKMLLDCIVNTENIKDNYEDMFIDQTTIDKLEHI TRMSLLRPLAFSRGVLAGNKVTGAVLYGPPGTGKSLLAKGLARKSGFNMILASTAELW QKCHGDDEKVIKALFSMGRKLHPAIVFIDEADGLLGSRKAGEKRHIRAMLNQFLMEWD GLNSGKNSPFILLATNRPFDLDPAVLRRAPVRIHLDIPTTAQRFGILNLLLKDETLGP DVSIDRLAKMTTRYTGSDLKNMCVTAATECVSEQDEDSAKRVLRLRHFQAAMISIKAT GLSKTVENEFRNFERGANQGARRQEEE
SAPIO_CDS8870	MTTEDERTNKRDVGSASLREDSAVVLETGGHPTGNETLSEEHLE EKSSRTGTSELVRGDEKADEAEDFVVVDTGAPQTTPEASLNEVDTEDSTAPIETKVHE STSPQTNVEEGETPSGTSSGGDASDELNKQPRKATDDEGLEAAKSIKTEEDREESTWE VQPEEIKHTRDEKNEGEPSEEEEKEEKEEKKEKSEDEDEKDNESVEEEDGDTDDDDSD DNSDNEGTDSDPSSSKSYDDTPEEVDDNSAARLEWLRQKPNIWRANRGLDRIMCMVGV ERAKAQFLSMKASIEASQRRGEKLHGKSIDINVNGGDGTGKDSIREHFRAFKDDLYDW SDDDIHVSKSHCTTRTINLDNFTDAEIHALLKLLFKKRNLEVEGGVGGFYTEILARRI GRGRDQEDFRNFWAIKDAFVEACDRQADRLYEERLVWEKNGSKEGEEPDDRYLTKEDL LGKPPPDLRKTSEAYRELQAMVGMENIKKAVEELMDRARVNYRLEMRGKKLIETHLNR VIIGPPGTGKTTVAHLFGRIICDLNLVSKKEVVAKDPSDFNSKWIGGPQANTKEILEE TQGKVLIIDDAHTLCPSDNVDRSSSKVDTNRFEILDTIVAKTSAKPNQDRSIIMVGYP EQMHDMFFKGNRGLRSRFPPEEAFVLEDYSLPHLMDIFDKTLQEQETEATPVAREVAS QILGRARDRPNFGNGRDVENLVNRARSGYRERIVKQRAEAKMEAATMETNGEVAGNEK DVIGPLEVKVEPPEADGSEENPAKKAGEPKARESEDNPPDIELDPFELGDHVVLEPED LDPNWKRASGAGASCEDLFKEFVGFDQVVQQFRGYQQIAAGMRLHGRDPRPNIPFTFV FKGPPGTGKTSTARKIGQIFYDMGFLASAEVIECSATDLIGQYLGHTGPQVQAIMEKA LGKVLFIDEAYRLGSGTRGVSSGSSFEEEAVGELVDSLTKPRYMRKMVIILAGYSEDM DLLMKSNRGLRGRFATEVIFPQLSPAQCLLFLGQLLGKMDITIRDRTAPSSEDKSKVQ RLLAKLSATRDWSNGRDIETLSQKIIGEVFMMEGRKGRKSARLQVSTRELIGFLQDML RARLAGELQDRE
SAPIO_CDS8871	MPVNTISSPFVGHARKKSVAEKLATRLYDLARRVDDRPAGQPTT AHPGATPIVVVCISDTHNTKLSDLPMGDILVHAGDLSQFGTFAEIQDQLSWLASQPHP HKIVIAGNHDLLLDVDFVATHPDRELDRPGKGRGDLEWGDVQYLEHEAVDLMVDDRWI RVFGSPWTPRCGSWAFQYENGNTPWEGAVPDGTDIVVVHGPPKAHLDDGGKGCEALLK ELWRARPRVVARLWPPCARKKSQAKLPDVAQNVQDDELLFPSWPPPDPCELISRRDFY LERLRRRKYRAPEGVFTDTPLYALYRLYEWLLLDHTVNLRNELELFWWARWPVSSIPD PGDQGSNPERYAVLSCIPALLVESFNERIRLGLRREEPNSILSLEEQLAWAASPEKFE TVPEWTKNVPPLENVLHIPLSGVMVPPLAGMDDPDADPAFKEKNILMRKPHIHFI
SAPIO_CDS8874	MPHRAGYAPPKPPDSPADDSDSDLDLDLEELDPTTSSTHRSPDR LHPDSSTTPERKSPGIALRNLRMSGLRRSGRRGAGRYGELGENRDGVGEHGEAILGDG GGAGRRYSEGSGDDAPLLAERSPRSRRRSFTHNIGSAIRIPSFMSSSSNRGDSDDEDS QDEDDPSASRHVAVGSTQTTKFPPNIVSNAKYTALTFLPITLYNEFSFFFNMYFLLVA LSQAIPALRIGYLSTYIAPLAFVLMITMGKEAWDDIGRRRRDNEANAEEYTVLHFGYP GEMRGASVRSRKRLRSEMIRKPGKRPLSPRDRLSDIQEEEEELEGSGQPSHPSSTVQE ISRKSRDLKVGDVLKLSKGQRVPADVVILKCYTADLAKASPEDEDEDGRGDDGVAEAE NLLVDTGSEDQKAGDGSASVSADAEDSDDSSTGETFIRTDQLDGETDWKLRLASPLTQ NLATEEFVRLRVTGGKPDKKVNEFLGTVELMGSREEAQDHHAKLQNNAGDHSTSAPLS LDNTAWANTVIASQGTTLAVIMYTGPQTRSALSTAPSRSKTGLLEYEINSLTKILCAL TLTLSIVLVALEGFNNTEGNRWYIKIMRFLVLFSTIVPISLRVNLDIGKSAYSWFIQR DPGIPGAVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMEMKKIHVGTVSYANDAMDEVA SYISQGFHIQPTLDPSSKTALITPSASYSASGNVGGATRTRREIGSRVRDVVLALALC HNVTPTMEEEDGKMVTSYQASSPDEIAIVRWTESVGLRLAHRDRKGMILESTGTRRRV VQVRILDIFPFTSEGKRMGIIVQFYEHASHKTPDLSSGEIWFYQKGADTVMGSIVAAN DWLDEETANMAREGLRTLVVGRKRLSYQQYQEFSSAYQEAALLISGRDAGMQRVVSQY LERDLELLGVTGVEDKLQRDVKPSLELLRNAGIKIWMLTGDKVETARCVAVSSKLVAR GQYIYTVAKLSRKDGAQEHLDFLRSKPDSCLLIDGESLALYLTHFRIEFISVAVRLPT VVACRCSPTQKAEIAKLIKEYTKKRVCCIGDGGNDVSMIQAADVGVGIVGKEGRQASL AADFSIEQFCHLTKLLVWHGRNSYKRSAKLAQFVIHRGLIIAVCQTMYSIAMNFEPEG LYKDWLLVGYATIYTAAPVFSLVLDKDVDENLANLYPELYKELTEGRSLSYRTFFIWV FVSIYQGSIIQGLSQILTEVDGDRMRAVSYTVLVLNELLMVAIEITTWHPVMVACILG TFLMYAGSVPFLGDYFDLAFVITWGFLWRVLAIGAISLVPPYAVKVISRTMKPPSYRK VQNR
SAPIO_CDS8875	MSNSKPADVGESSTSASKAALARAANGNPTYELPWVEKYRPIFL DDVVGNTETIERLKIIAREGNMPHVIISGMPGIGKTTSIHCLARQLLGDAYKEAVLEL NASDERGIDVVRNRIKGFAQKKVTLPPGRHKLVILDEADSMTSGAQQALRRTMEIYSN TTRFAFACNQSNKIIEPLQSRCAILRYSRLTDAQVVKRLMQIIEAEKVEYSDDGLAAL VFSAEGDMRQAINNLQSTYAGFGFVSGDNVFKVVDSPHPIKVQAMLKACYEGNVDAAL DTLRELWDLGYSSHDIISTTFKVTKTIPTLSEHSKLEFIKEIGFTHMKILEGVQTLLQ LSGCVARLCKLNMDPKRFEIPTK
SAPIO_CDS8876	MSSQTGSGEQLVDQWRRLPPLARNISTASFVLSVLCYTGFVGMW RVFWDTGYITKFPPEVWRFITSFLITNPQFGIILDPYFLYMYLSELERGNSRFPRRED LVWYLIFVSTTMVAVTELAWRYTPLLSGPYPAFISGLIMSLCYTVHQDQRGRMASFIF FTVPAQLVPYLMIVFSFIMGNLYIIPLQIIGLLIAHAWDFGRRLWPEFAGGRVLLPTP GWVSYLIDTPRVLRRDYGAVYTPSSGAQPSTGSSTGADRGPLPESWKSRGPGRRLG
SAPIO_CDS8877	MASFRLSSTLFLSFLFLFFSLRPVLSLEVTPGSSCASLCMDEEN GDPFSPDSSRIKYKACLECLQKSQKTNGTESDIFWLIHNLRYALGTCLYGFEDQSKVI SSPCVINWACEPLKDAILDGQLDPKSDTFGYCTASNSAFFGKTLKSCVACLKSSDDET YLGNFMVALQVGCTQKPAAGAILGISGSPFTRAALEVTDGKSDENEDPGASPNSLTTG TIVGIAVGVALFVFGGLALWFVYRRKKRSTLKDQDQNGGAEDPNSRSSSRTMISHAQP WAAPDHKKSSSVSSSRASNYADPYAEKDEGARNKRTINNNHHTQQYSQYSHNYNHSRS FSGHSSSIPTHPAYNPMSRDGRSSATPSPQPNRNHPGAYNLNTSSNHPLRNQVQNFSR THSREPSADSRASTPQPTHPTEQAAATLPEGFTMPPPPPRAAQVPSIAIPSLGRARGP KKYTPPTLTLEPATPDEASSSSQPRYLKVDASLDTRDAA
SAPIO_CDS8879	MAPKMAVGLYFILFYILFIEACFPLDQANWSLLNLKRKCDKKTS SCDYSFFINEETNKLFPCSFHVVSMLPQIPASNLTFEGVKCTDTDKYNISLDHNEAGH HNYLSVVKPKEGLLSYFDFEDDEIKDGAEAKTKTSEVYPLTPPEKGGQPWTQNRQGNE TNKELEYAKKWKVFSPIRRIRDDVGTNVLDLAFLLLAEERGVTPCNIHLTFPKGKDAR NESFFHEKCTNSDWYVSWGYDDDRDSAVMTVINPASDRHAWFGWDKVNKRAELFGSCG PSKTETRREE
SAPIO_CDS8880	MRIACLQFNPQVGDVNNNLTRADRVLSRANPENLDLIVLPEMAF SGYNFKSLSEIHSYLEPTGAGISALWARTTALKHNCHVVVGYPEKADVTHKWPTSPEY FNSAIMIGPDGDDVAHFRKTHLYYTDETWALEGDGFFGDHVTGLGQTAMGICMDINPY KFQEPWDKFEFAYHVLDSDANLVIVSMAWLTVENEREFGALPHEPDMNTLTYWAMRME PIIRAESNDEIIIVFANRCGSEADALYAGTSAVIGIKDGEVNIYGILGRGDKKLLVAD TNQPPIAKLVLTQQGGSIRPLTVETDGASVHSTTVSTNHKREYEEVAGPRRRPSEDLT ADWVATQVAKSIGAIDPKGKGKEKVPSSAHETTIVPAEVASTLPRAASSGAGSNFTYE SSRIGKMAPPKLTIPETPSRTTWHKTDSAKVTTPIHRSSRTPIHKRPNGDLTSRDGHE RRSKLPDSAIVVSAVEPSSIANALFSPHDSALGRATPEVLASHAHRKSSRRSSKPKDG DSRNHRSSSRLQREKGLKLKTGSTPAVPEDEGDGGLTQAKLDARFKDSPVSRRPNSSI LSYWFETLPESLPLVPSGSPTEPRAPSVSLAEFERGRATPAVAPPTPAAVHVPASASS VCHHCGQSVLSDKGRSRVDSSQTQGSPDEMKVSTWGEVREDVHMQGTSERSLSTEGKP GTATAEANEGLILLAPTVYHAEAKGAVHSEDIPIKVDIEGAVTVHEEPKKEDPERQED PTKLEEPAKLEEPAKLEQPAKPEESAAQEVPNKQGGIHGTGDNNNHESPKHFTVNIAD ITTSLEKHDKAKVNGEREEERERDPMAPRMRIVPPSVKGMEKPAVVIVRPTSVYW
SAPIO_CDS8881	MRTSRVVALAALTIQTSVVTAIRVATGSPCSAKCGNVLDQTSSD NIVCDEGAYSSGDGMVFKNCVTCEARSTYVTEGTNGKESDLQWMIYNVRYATATCLYG WPDKHAEANPCLTSTACEPLEQAVTFENLAANASTYDYCAAWQPQQMPKCLSCLSIGG QHHFLRNFLTVLSAACEQQPLPGKAVGIKGEIFSTDIVNATNPTAIPTLSPDYFKEGS FGLGAKVGVALGSLAFLLVLAGFLVVCLGKRKRRAYLRQIESAGSGGGFFSPTPGGSA AANAHKSWAGQLGGSSGASGSGAGNAASAAAARGLFDDTPMSQKPLRGWDDSPLSATT EKGFPKYFSPYSSQFSSPVSASESTPQVPWPPGGSLSPPPQHGQSHGQQTHTIGLALG GDDSSLEIPSPAKGKERATDGFEEEDLYGGGAYGGRVEAPVLQHPGFGRSSESLPRRY RLTAEDARRGDAI
SAPIO_CDS8884	MAALAQEVVASLCAIEAFPVLEFGDRLSNFARNAPAVSTLKRDI RLRPEFGPPISTTSISGRDQSDALNRLAVQSVVVAITGLNSTADKSRFKECVKGADAS YGTFVDLSKAALILYEEHINWRLEADSLPTLEELFQTPDFLTHFNKKITDPRFVADVV VLDLVKPQKAICTTLMFVAEYLKQGPAMTPILGSDNFEKSASGQILASWIQTMLIDSA AGVEYSPKDLFNFSVEVASLVAVPVDAQGKHLESSGLPMRSLVMSKTFKGAAKAARMR KAKMGKAVSPNGDASGPSSEDSDFDDMIDEGQKHFKSIVTRLQLRWPVIQDGWEGAVK KNATVSSQTSRTVTRTTPGHGSDNPNTPFPDRQIPKAEQTKKYTEVTTITGQPLAEWT AKARSIYPDVFTNRAPRTSDVQLIPIRSGGGGGCFKPGTMIATDKGNVAIETIVEGTR VLTHAHGQRFGVTSDEDVTVTAEDEFLVGFNNEGVFATKGHMFHTTTGLRAVDPNAAV EENAWVEVGKLRVGHVLYRLSPDGQSYDLVPIESIQIEHMPDLKTVHGLHLREGDRSY HADGFLVAVNYPEITIKSVARALLQLDRPERVKILYGLRELWPLFGKLGLKGVDQLLH KELRTARHGRMKTKRHMPRLNLQDLRRTLKLEACESIVKTCSAPDGYQLPSVEIFDGV LVLDGRPVERTTFDTVKNTLQWSREVPGVGFEHGLIEFRQHGLDVRGAVLISDDENPT NNCELDGSCVVAFKTRSAPTSKRPATKSQGGPADAIAAAESRASLPIKNGKGPMPIPR PKLTRPPKNDKGPMPIPIPIPTPIPDIDENPSPNPSPISNGDNAEGNEVSLLDLEDGE LVSSWDEEWYFDTYVDSVVWPPEAETRETCVDPHFFSKFGLGIYYSEKENGLPIPSVL LSELDQLVVDYNATVERYKQLPSFYNSYIVETPDKRMRFKIEITSPAVISALSDQHVG PATPTELGTFPTKNLTFTKIGSSVVIPLLFVEADLEFDRFRSELHGAVYEFNGEMAGF LGDKYCMEGYEPEFFFSAAEVNEKRGALSMSMARPAATEAPGSETLVEKRATSARNTQ PAAVTGSLARAANTPSNQVVETSQTVFEDLMFYYMDDKDVENFTTSKKPTSDKFPDGL LNSMTSDMQGWLKNVYGKAYITYSLSQMNSKTEEGLRKKLTEAEKQKIWYFWEGNGPK CLANQDMYNKINERVSLFVTRDILDKVDDDWRKDFLDTSPEKPWAQQMLEKLDNESYL RQKIVPGLSTGSGNILNRWCIVAQALSPNFLQEDERDFAGELYLSLHSQFLAISQLAA TDIPADILGYESGEWIIDAIGHLMDLVEDGASELDDEVRAMFLEDLNGLYEDMNLTDE TAEERRIQVLQSFAVAVTQISQILQGLGSIMMTWGPSDRIHKAINLAFQNMQNFSLKR LAKMRGFLTVGAGVGVLILTLNISSEWKNMTPEQRVACALDLTKAYADLLAGATTIFR DYQVVKQGHAAAMESDVLDQLFTKRLRKTKLNIRLQRKLNTTLNPATGAIDVIDAEKQ ARLGHMEALINDEVRKGKYLNSADLRVINNEKLSARKDLLPGGKRAEQLRRSNCSVTT FGAICFALGVASFIASTISLSKDMKNMTTRGRRLARAQIAFMALGVVLELMGFFVSSL MVPFAGIVIAIVGLILGFLFAREEEPPLTPTEEFIEKEAKPLCAGLDDPPGASLKYAV KTPSSAWKGNQEVVVVVVEGRNTTSEPVSFKSASVLFSTGDDDVCLLKELGLEENGVQ EQLNASGAFSRGNMWIEKFGTLDSKLVETGLLKDQFSETFESYRYSLYPVDPPEESEG GDGDEAEGEDGGLPEDPVIVVPGNSGFRWVVKGTVNPVMTVGGKKQDGESTIEIIESL PNGDKTRVMFKIQRT
SAPIO_CDS8885	MDFGVHFSSAFLLASWVPDWSSELSIPYGYLNSNTPLFSSGSDK QAGIWAQLRPKRVDGTTALVIPGFEADSVELTGQHVRRQMSTSEDMGVLCNYFISDIL YFHSLVIRKSNAPTVRHGRGDEIYPGSSQPGDVRFHRQAKLKEWVGYIRSLHDIRHNP LFNGWRKAMGLYYYVPRWLRFGDSWAVYDFFEKYDAWYKSFGPEFRGPQFPEDGAHLD EDIRKAALAAMGTFNVALMRSWDGGAS
SAPIO_CDS8888	MDTMEALRDYARDVYETEAPSVDGYVPVEQSISAFHGVLDVDEE VQFYTQNSAFGEGNTEEHIKSIIINRITNIARYTNGDDIRRAMRLFGKEDWEDRVINT NLPNFVPNYHQILDAATMWERINSPQRFVLLANDCGAGKTIALFLLVHLSMIQDWTGN APKGPPLLLVPPAIELQVLTEGVNFFRGLLDVRLVRGNSRRNNSTPANLQDLVISPEE FEAEISVYNRMESRKLFILSFYTATSVMTTRRPLEDDGDNDDNQPPTPTLGPGEFTAR KTYYTVRAPFMATCFSTILVDEAHVCRNPKNVISKLIQTLPSRSVVAATATPLQNRVK DILGYLHLIWKGDDFPSDKDFLELSDAEFKVRYRNYDCPRSIRYSVDYRDSRVFESPQ LWLLNPRLLERYGSLCRWDNRVCETLLPEVLREVQLRRTLRTPLRLPNGALEWPADNI PPLSVSTEELCFPNGWEERVIALTNKLIPRFGKWGPQDSEGSESREGTDVVPMDPTIS AQTQPRAIPRDVLSRFRLAQRINFDARQADVSADSITSPIAGSDAAVADRIREMLCGS PILARVFVACLDHSVAGEKAVFLVDSPYTLDAVKSLISLSGWDAETIEAKHSASQRVA ILRRFNDPHSGCQFIVTSFRIGGQGLNMHRGAAHGYILTLPFNYSTIQQGIGRLARIG QRRPVKWTILVVRNGYSMYRESAMTHKLVSEYDTRINLGRICNFVKGESRQLLIYEAV RVAFGQTTNKYLWGILEPREIGSFSRGSMVWLAQVYSRVAHLLLHRCRHWTPSEREGV EWMIPRVPYYIMPELYPEAPGSDLDRLERFIMNEWGKERTRRQAEEMESEGSEASDWE EWEESEDEDGDDGEDGVGVADDVDDIAI
SAPIO_CDS8889	MTMDIVKAGASNEEDEQIIKPQASTPALDTSDWPLLLKNYSKLL IRTGHFTPIPHGCAPHKRDLKSYIQSGVINLDKPSNPSSHEVVSWIKRILRCDKTGHS GTLDPKVTGCLIVCVDRATRLVKSQQGAGKEYVCVIRLHDRIPGGQPQLARALETLTG ALFQRPPLISAVKRQLRIRTIHNINLIEFDNDRGLGVFSVSCEAGTYIRTLCVHVGLL LGVGAHMQELRRVRSGAMGESKNMVTLHDVLDAQWLYDNTRDESYLRRVIMPLETLLC TYKRLVVKDSAVNAVCYGAKLMLPGLLRYEDGIEHHEEVVLMTTKGEAICLGIAQMST LEMSTCDHGVVAKVKRNIMERDLYPRRWGLGPTALEKKKLKADGKLDKYGRITENTPA KWKADYKDYSAAPGEAPAATPATPSAAVEATPKAIEATPAAAEEPAADEGDSKKRKKD EETPEERAERKRRKAEKKAAKEAKKASKGKKDDSE
SAPIO_CDS8890	MSLALARPPSADASKVIRKAYRDFESIVNVSDARRFRNTTINDI ASDYIEAFEVLIKSYARIGESLSRFELLGTVFVHNHGFQRILAVFYADILQFHKCAYK FVTLNGWRTFFLTTWGRFDRRFKSLLDDLKYHADLVDKEAMALNVANIQSILDKLESD RLENLERIEQEEKSETDKQYQAVLTRLQIDDSDQKTLWEGLLEALDFQGTCSWVLKHD KVASWLHDKGETRSIWLYGSAGSGKSVISACLAKFRVGDERMVVRHFCNSLYDSSTNY EKILKSIIRQLLQWSDDSTAYIYKTLAVERKMLSLSSLEIAVQELVTIVSGSFQERGL VWIILDGLDACEPSSLARLVALMDVITSKETGMFLYARLIIDFISVQLFLTGGEFKRA IDELPPELKGFYEKILSSIFHGLDPISTQRIKRVLGWVAFAERPLKRLEVLSAITFSE GVANPERLAPYFFLQDCSTLVEERHDKTVGFIHVSVKE
SAPIO_CDS8891	METFAGGSAPPYKRKRVGDLEPSQEIASYSGRLDHRPSATLPGV SSLLTSLQVAVCFGVVGEVKGRCERPELLDLSKPVRVVLESSELFVLDGETNIKGHIA SDYSFMLDNLIKSDLELHTTCSFDAEKQGKAASRKTGLLACKLDIAVYGPHAMLGEIR EWFEGIEVYLQDPRICLRDAKYCNPQRLFPSSLDGCAMVSSVVSHASRRHIRLRDITD DDFLDKYLGSEIVLEETDQPSAVRTYLKRHQKQALTFMLRREKGWAFQGPQPDLWSIV DNANGRFYVNAISEAVTEDPPPQLYGGIIADPMGLGKTLTMIALAATDLLDKNPFDSY YESEKDDIEQTVDATLIIVPPPPHIIRNESSRMAKAICSLESTHRWAVTGTPIQNQLD DLATLLKFIGAYPYDKKSRFDSDISDYWKEGEDQQAATRLQRLSSCLLLRRPKATVQL PRRHDTEWPVEFTQAERVGYDQLKDRTAMAIDEALKQAHRVSRSGAYVNILQQIESLR LFCDLGLHYHSRHEDGSLASNTATPWAAIAQQAFNRSLEMGPILCSQCHSVIDSTQSL YDDPTTQRGAGASMDCGHSPPCPAAAVSTSISAMEDIPGEQTNSLALHQTELPSKVSA LVADILAQPRDVKCVVFSAWRLTLNVAQAGLERAGIGCLRFDGKVAQKDRNGIIELFK TDPSRRVLLLTLSCGAVGNPTLEEQALGRIHRIGQEKEVTTIRFFIRGSFEEHVMDVQ QSKKDLARIILSPHEGGATEDSLERLQKLRSLL
SAPIO_CDS8892	MAHLLHYFLSSFFFLFLLVPSTALRVATGSPCASLCANDDTPAS SATNLSSVPVCDDGEFSSDPQGQKIQKCLACLEESTFVNGNENDQMWFLYNMRYSVGY CILGLHNATGVGSNPCQTTTACGALQGSLLEDDVNPENESQYGYCESGGRPVIPSDEF SLCLACVRTGGTHGYLANFLIALEAGCDQKPSPGQKLSLNDTIFTNTTIHRLEVAPSK PEDDNSGLPATTIAAIAVSAVVGILLIAGCGFILWRKYFRNREGRNSSEKKFTKGWIK RTKFGNFGGRKTTSSLDFRCRTRVTPLASRFDFRGVGLEEDDVPAVRSPDMVNADEKA ELRNQEEERRRLEEERRMYFVHPGDALGSNPVLAQPQSTTSSPSAQYPYPPPQQPLPT PPTSSKPSQTRTVTTTPSSNTRPPYTPPLRPRMGSLNVVTTTPPTSSPPQFPRSTHSS PLQRHSPSAHSTATPSSATSQAPLLPVKLFPPPQAATASSNSPSEAMGPVVRWLQEQR VARDSRGGSIRERYQDDEKAAAERSRKISSPQATNWTPVDRSAGAFQTQRAEYEDPGR QWDISNVRGGNHRTQHSRSNARLMGQPSETRILPTSFAPPPGQ
SAPIO_CDS8893	MSTFGGSGWPAATQAQSTSVFGGGAFSSASKPLSFSPTPFGSKS TTAGVQGNGNGRLPNPFSAMSGGAAPSNPFATTSTTTTAPEVKNPFQTTSQTPSPSLF SAKNTGTFGATSQPQANRSPSPFSMPSNQGRPNTALNAQASPFAPSTTKLNSGAKPTF GGTQFGSSKPFGAASGPEQLDAGGDSKRSKRNKGDWGDAERRNGVRRGTTPDGPARNS RGAQEAPKNGYGPIKGALANGPTGHGKKVHFDEQAPKGPSRTAKQQQQHSSQGFNRQQ RPPPPFALKSNNNHPSHIHEKSSDEYANEMHAQLARDGIRPPAWPANPGKPSSKNAMM QFREDYKEYREKARASLIRAGLIDNPEDRKRLEDAIPFKGICEDMCPEFEKITRITEF DVKQAEKDPATSYADTSRMVKKLARSAAGQEAPLPMDVRSVAALRRTLDYLIDDLLEF DDNLPVLHGFLWDRTRAIRRDFAFFSSMNDKEMRDQVYCLETIARFHVTALHLLAQEG YTPEDFSEQQEVEQLGKTLISLMYAYSDCEAEGITCENEAEFRAYQLIFRAFHPDILE NVQREWDPKFWRDSDEVRTAVSLVEALQNTQDFHGPLKGAPSFAASSAYNSFFRIVED PSVSYTMACFAEIHFGQLRRSILAAVKKAFSRPKQTPKDITASVLNSYLRFDTVKEAV EFAKLHGLDFAPDANNPNDLNKSYLILEYGKGLEYPRLHHTYSANIVEKKRGTHSLPD VIHQTVSEDTSLKPQDGASATDEDSLFVGSSWSEGSKFTPAPAPIKFTSPFAAPITQT ATTHGELAATAPATKPAAAPTFAPAALQKTPAGAGGLGTVPATAPSHFAQPGLKPSAG PSLTWAPSQAMSAQQTTAPTPAPTFTFKPTADSKLAQPTTTPTLGATAAAVPAPSVLT SGNKPSTSPFNFLSSAPSKPAETTTPTIKVTPPTPAFPPPRPSPAVPAPGATPAAAFK PPSAPSPSISVPPKVPQAPTIEKAVAAPQVSAPSQPSAFIPAAQPPAVVTPAAPTKEE KWTSFTKWFVSGDHGLMDEFERYAVEEAVRKAFGQFVREEKERIRKEEDEKSWAEARK FRTYSLGVKYFYRWRETARQNRASALRRSDREQMRAYRESKRVEKLKQKKEAERAQRR LALEAAELDNVEDLKTLLLRKRSLSSREEAEEALLASGVLSGVRNERDAAARIVGRRS SLPGPLSPVRKSSMPDFMHKIKTGIADSVNRSIGKVVRPRTSSTASVSSISGGGAKTR ALREEFSGSALRKTIARSRSRYSLPPSEDGSTSSLSRVSSRWRLKAMGLVTMPDGSAL PEYLADQIKYEGKRFPELGNFGMPPPETPRVAYAGADAEVRPATMDGTTVPRPKEDFR SLGSHKPHASVSGVNGVEGLGHALKRKRTAGEARDEGDDEEEEGEQEKNKRILLDTQK TIREMRRLREEMEEGTGWFKEQNERLQSEMSSRPGTPWGH
SAPIO_CDS8894	MAKGKWIDKKTATHFTLVHRPQNDPLIHDESAPQMVLNPTQTKG SKSKALGDLASELGSEVESIRDNEGEAANYGVYFDDTEYDYMQHLRDLNSGGPGEVVF VEANSSGNKNKGKNKMSLEDALRQMDLENKADDLLDEVVLPSKNLQHVSYQAQQDIPD AIAGFQPDMDPRLREVLEALEDEEFVDNEDDDLFQELAKDGREIDDYEFEETAFNEEY PNDDDDGWESDHTAKPTREYKDEEADEVPELVSAGANGAQGQNWMEDFKKFKQDQKSG RPTGGITGSVLESTWTTTTNGGRRKKRKGALTNASSYSMTSSSLVRTEQLTILDARYE KLEQRYQNDLDDLGSVSEISTASSVQGPLRSDFDNVMDDFLAGYSKGRRPSKKSKGGQ SGLDQLDEIRKGLGPARIPGRR
SAPIO_CDS8895	MGLPKSKKSVGLGSQLMKDRLGRGKGNDRKRGSAIARIDHTTGE EYLTNDKKQAGWVKMRSVTEQGALDEFLATAELAGTDFTAEKTNNVKIIHTDQKNPYL LTSAEERRLLGKHKQHRGRLTVPRRPKWDASTTPEELDALERASFLAWRRGLAELEEN NDLLMTPFERNLEVWRQLWRVIERSDLVVQIVDARSPLLFRSEDLENYVKDIDPRKEN LLLINKADMLTLTQREAWAQYLRQAGIKFKFFSAHLAKEANEAELTDEEEDEDEDEDY AAPSSKGKQTERIVIEGEESTEEEDSGEEEEGGDNGNIDEDDLRILTVQELEQLFFDT APDIPADSEPDRKLQIGLVGYPNVGKSSTINALIGATKVSVSATPGKTKHFQTIHLSD KVVLCDCPGLVFPNFATTKADLVCNGVLPIDQMREYTGPCGLVAQRIPKPFLEALYGI EIKTRPLEEGGTGVPTASEMLRAYAKARGFQTQGLGQPDESRAARFILKDYVNGKLLY CHPPPGDYDAKEFNRELYDEYHLPEKRRTRLPESRRAALEAELHGLSLEDDDGELSLA SADIGGLGPLPEGPKSRNLDKRFFGPGASNAGHLSMPFNYKYSEQGSRQLVGRKAKAM YALENGLDPKDVRMGSGKKHFKGGPRNGKKKKAVALDD
SAPIO_CDS8896	MSAKIPSIVQERVSERARKALDTVAKFVEEECIPADPVFEAQIG EGDARWESYPAILENLKARARSLGLWNMFLPKGHYKESPGFTNLEYGLMAEWLGRSHI ASEATNCAAPDTGNMEVLAKYGNEAQKRTWLAPLMDGKIRSAFLMTEPDIASSDATNI QLTMRREGNEYVLNGSKWWSSGAGDPRCKIYIVMGKSDPSNPDPYRQQSVILVPAETP GITIHRMLSVYGYDDAPHGHGHISFKNVRVPATNLVLGEGRGFEIIQGRLGPGRIHHC MRTIGAAEKALEWMIMRINDPRKTTFGKQLKDHGVILEWVARSRIEIDAARLLVLNAA IKMDDLGPKAALKEIAEAKATVPQVALTVIDRAVQSFGGAGVSQETPLAYMWALIRTL RLADGPDEVHLRQLGRNESRRGKEVTDKIQWQKEKTEELLKALGLTRRQPGTKIVKSK I
SAPIO_CDS8897	MSGILSNKDVNASMLATEQQPATKSIKSMEYHRQILHSKMEAEK SVTALSSTKPSLSPPSHNHHQAASSEATEHAPLPLPNPIIHNKTTCPDENNPEISHGN DGLTNTALSSVALRRLRQNKQYISPSDNIMSPCTAKLNALRNKQVGKVKPKSLFAQGV AKKLDASKKDTAL
SAPIO_CDS8898	MVNITDKIKEIEDEMKRTQKNKATANSPDSERNYLNPDRDPAGA QERDSTSEVASYAFTTLTAIPGVLEYDGAEIQLLDLPGIIEGAAEGKGRGRQVISAAK TSDMILMVLDATKRAEQRALLEAELEAVGIRLNRTPPNIYLKPKKAGGMKITFQSPPK YLDEKMIYNILRDYKLLNCEVLVRDENCTVDDLIDVIMKDHRKYIKCLYVYNKIDSVS VEFLDQLAREPNTVVMSCELDLGVQDVVDRCWKELNLIRIYTKRKGIEPNFEEALIVR NGSTIEDVCDQIHRTMKDTFKYALVWGASARHVPQRTGLGHVVADGDVVYIVSGWRA
SAPIO_CDS8899	MSDEEPQVEMTAQAVVTESAPQQEDGAGALIPANGSTEVAPPKK VKKIIRKKRRPARPQVDPSFITSEPPPQTGTVFNIWYNKWSGGDNEDKYLSKTHAKGR CNIAQDSGYTKADRIPGSYFCLFFARGICPKGPDCDYLHRLPTIHDLFNPNVDCFGRD KFSDYRDDMGGVGSFTRQNRTVYVGRIVVSEDIEEIVARHFAEWGQIERIRVLNTRGV AFITYSNEANAQFAKEAMAHQSLDHEEVLNVRWATPDPNPMAQAREARRIEEQAAEAI RRALPAEFVAEIEGKDPEARKRRKIESSYGLSGYQAPDEIHFAVGANAVNPLGRQGYE LEEGQHQQHQPENGAAGPPLAIEAAPEQGAGIFSSSTIAALNSAKVAVASKRKAAAPA GPLVAYDSDDDE
SAPIO_CDS8900	MLGWVLRRGLEPAKGAKEDGDDTTQIDAPDTPAPVFAARALKTA IFGEGDDRRRGAVDRRGRLEHAHSDGRTPPSKPPGILLTPGTGTSRPKRVSFGNDVFE DGSKAAGTKVGMRAGRDSTRRRTRLTELMASSRQNKAKSSTAEKKQPMPQVEENEDDD DDEWEEEDDDGADDDEDDDCCSHDITVDLNEPHSRSGKYWKSNFESYHKDAKAEMEKL LKYKQLAKSYAKMKDTEAIDLNEKLKEEQEKVLEMEKKLSEMAAQIAARRLKGGDHES PDLLRNLAKQTALAVQYRSQVKELESLLRNRQDDGRHRRTGAASPRTHRTLLETQREL RRARSELREMGDLQDEVTRLKAELKAAEQRATNLAEENKRLARGSGDDGSSYVKDLMA QLRDAKAETRNKDVEIKGLQQEFDDFKKEAVAQQEDTKAVLEKATEKISELKRELRIL KASKDERGTRPKSFHGLASTGTRKPSADDKPDIHVDLRDLARLTNSASPPAERQIKAS SNLASELDAKRVRVTQASRALRERFLGEPDDLKLGLKTDDAPRNLLTDRVNLERPKWQ PYIPRSPRNRAYLRDVGRRNGSLDLDVADAEPKVGQVDLDQGDDDQPQLDMLKERFKH LGLPDMNGSSILAGNTSRCTLPPNRRAAALARIEQRKAERRQAIAGTLKALDKENVRP TATATRDHSINDPSHHFLLLLSDSALPLGSFAFSSGLESYLAHTSTAATPTTSFSTFL PSSISSFASTNLPFALAAYDNPSSLPELDDILDASTICTVGRRASTAQGRALLSLWEK SFAPPLRDDALRSFAAEVKGTCGGRAHGHLAVVFGAVGRAAGLERERMAYVLVLGHVK ALVSAAVRAGVLGPYKAQGVLAGDEVRRMVGEAVEREWETWVEDAGQTVPVMDLWVGR HEVLYSRIFNS
SAPIO_CDS8901	MDFSSMAWKKPTNQPKKSDAGFPGLKSHRGSTTKYEELQQNELI ALDAIYGEDFKRLKEAHSAWKKSEPSFDIRISASDEGFSCTLRVILTATYPKSPPLIT LLGDLRDATRFKIEKFLQAKPKELASEEQEMMHPIIEGIREILEDDAQAKASGRQISL EEERARHEAMLARQAQEEKEELKRKDLEKSREEQRAMAGAVQEELRRHEEAARAYEKS QGRRASEALVDADASKPDKDDNIIMFDRICRVVDISGREFSFTTVECGSVFGSGPLSA VHHCLPSVSKASSCPPLALKKTVLRFPTNQGESVRNQMIALEGKLTMLQGIHHRHLVD VVGFMIHEELAVEKNFLHRFWTVQVLNQKAQMTSLEGFLDICDRLHISKVRSFARDLL DAMACLHNHGIIHHDVHPRNVLIFKESTGEATQTVAKLSDAVYQHMLYDIAKMSDSET KFTSSRSSFWLAPETTAEDGKDQYTHKTDIWDLGVIIMQMMFGFDIVQQFSSPYAFMK AHSLSTPLKEMMVGFFDPDPKRRPKAFDLGCTEFLTTDAPIFAVGTLVPQRSRLQSFT SSVQQLPSRLGLDGTKEGRYTGRYEAEFIEEGRLGKGGFGQVVKARKKLDGGVFAIKI IRVSTSSTLTEVLKEVALISKLNHPAVVRYYDAWVDTVTDSSAITTDDEDDDDTDSTE VTESGQGPVTASGGLDFISSTGYPGIEIEFGYDSGEGADEDVGTNGRSGSSQTLDVGE TEEDTQEEDQSSTSHNQLQRTNSQPKVQRILYISMEYCDRRTLRDLINGGLYKDTPEI WRLLRQILSGLRHIHSLSIVHRDLKPENILITQGLKGEDCVKIADFGLATMGQFMSTK LGASSSDPDQITRSLGTKLYTAPEVRSTASGAYSSKVDMFSLGVILFEMCYHPMLGME RVLVLEGLRRPDRVLPPDFKPGDRNQTEIILSLVAHNPEDRPDSATLLRSTKIPIDGE EEITKSILAGGVEPDSPYYREILATFFSGFAGKARDYAWDIEMPGPAVMKKIMTDDLA LQALVKENLETVFRRHGGLAINRSNVYPRSRHYGDNVVKLLNADGTVLQLPYDLTMGL ARLLAKHPETVPADKVYSFGPVFRASKGMGQPVAFGEVDFDIVSSDARELSIKEAELL KVLDETIHTLPPTVASKMTFWIGHSSLLHAIFDFCKVDRSLRRKTADIISKLHIHGCT WQKIRQELRSEEVGVSATSVNELEKFDFKGPPSETFSKLKDMFKGSDLYSRVASTIGR LEEVVEYTNRMAVKTTIEFKPLCSIRESFYSGGILFTCTFRGKAKEVFAAGGRYDSLI KEFRLNVGDREQEGRRAVGFSFSWHSFVHLFKSGSSSSASQKRPEQEALQAGRLDVLV TSTDDSLRRTIGVEILGTLWDNGFTAELANSSGSTDVLLFNVKDDDYSWVVVVKPDKS LRVKTMKRNDIPDEEMPTNHLLTWLHSKVQERDSLVSRSRISVQREQSGPLARRAHGG QEVSVLVTHQKNKKMMRQSVIDQAQTSAAGFLDALTSGPILGVETSDGALTLIQSTPL SSVEGWKKLEQAAGSGGKGYVRQIHEELSTWRAECEEEKRSRHCFIYNFRTGKIIYFD LAI
SAPIO_CDS8902	MAEKRLMSELQALQKEKWVYIEVNEADIKKWDVGLMVVNPDSVF SGAYLKAEMTFPSDYPYRPPTFRFTKPIVYHPNVYPDGRLCISILHAPGEDEMSGEQA SERWSPLQGAESVLRSVLLLLDDPEINSPANVDASVTYRDKRVEYNTRARQVAEQSRA GIPVGVDFPTSEELAAPPAKSGLDDDDFMNETDEESIGGSDSDSDIDMDDFDEDFDEE DDD
SAPIO_CDS8903	MSREPSDEDIQSFLEFAGLGESDRPLATRALRQSNADANQLIAE FYDDEVAFRKKYSWDESAFTADRDGSEGPMSFHIESAPDHGSGPQILHGVVPGQDTPY YSGAAPSRPPTPTKRRSPLGPTAADDEDEDFRRALMESATEAGLKAPPQVSGVMGDLP MFGPANREEYDESQWAIVRPDNVSGSTPTPTSVYASARKRDSNTPALLLNDATTADHR IGSILTILHEIPLARNTLLEVGPPATSYGHNSEWWAGKPILPPHILARMQTSGDDGMP DLPEVVAFNHEVHRLMSFLDSSERSFGSTSVLSELVVNSWDYDEALFANLVENYGPDC IKPITHDACNFEIGPAGRLPTEDDRQVNPVNYLRLGLTEDTYTRVKTIYDVWDYELWR PALVDELDTPLEKISMTLFAEMREVLVVSVTDPFRSSKFEIPETFSPQRYLWARRKEA IGIQWMRRRAKAKRDEVEKRESPPDQPSIQDRLKSLAAEAKRAEILMKYSETSARFRA FEQSGYDRKTYPNGAADAPCDFTAEEEERYKGLRKIGEEMNRQMEQLQKEIEEIEEEK KRCAEVDKFIGSLLTDPNKSGSLTCNKYTLRGIATGKDIVYVCRRAEPTLIELADSPA APKDQWWRLEYTGNRQMPVKAETVDFETIHNAMWDESNSLMLIYATEEAMSTPKIPLP DALQRFIKVDNRSFQKEIEQEEEATAAATAGGDVPMETLESFDMRSRSGSVDSMATNR ASIGSMDSREGDFRGDEFGGMDVDDDDDLITEASQPGAGEEDAELINVESPVLGRGEQ GPGLSAPLLPPPAKVDGDNKEDVPMQEMKEVSTKPIFVREQERGRQRPDQS
SAPIO_CDS8904	MVKETKLYDQLGIKPNATTDEIKKGYRKAAMKWHPDKNKNSPEA AEKFKECSQAYEILSDPEKRKIYDAYGLEFLLRGGAPPPEPGAGGGPTFTSTGGVPPG FSFGTMPNGGAGGFAFGGPGGSYTFRNAEDIFADFARSDGGGGFEDLFANLAGAGARS YGAGGAGGPRTPRSRGSGTFMRAQQPAPEVSTIEKPLALTLEEIFHGVTKKLKIKRKT YDESGKLSLVEQILEVPVKPGLKKGSKFKFHGVGDQVVEGGRQDLHFILEEKPHALFK RVDNDLVRDINLNLKEALTGFSRRVDTIDGKQIPIEKGGPVQPGSEDRFPGQGMPISK KPGERGDLIIKYNVQFPTTLTAQQKAKLREIL
SAPIO_CDS8905	MSKINTLLFDCDNTLVLSEELAFAACADIINTICKGQEVDKEFT GESLISEFVGQNFRGMLGSLQERYGIKLTPEELEKYVLAEEDAVIAKIKAHGQPCPGV MEQLEKLQEEGKYTLAVVSSSAKRRVLASIEKVGQSKYFPEDLVFSAATSLPTPTSKP NPAIYLHSLKVLGKTADEALAIEDSKSGTSSGYNAGIKVLGYTGPYPAERKAEMAKVL TDAGAVLVIDDWSEFPEALAKIQAL
SAPIO_CDS8908	MGSTINAIKTLIVPAVISLIIFLTSRFVIVPLWRRYRQRYSQYL PIDTISNHTMTLRQRLQGAYSGMLAPTWRRRFRSRVVVGGDEEVVSDDEYTSEEGEEL ADVDVESWSSAAHGGSRTDIPSSDRRLSRDLEEGFRSESDDDDNRNENYRR
SAPIO_CDS8909	MASADKSRQSSSGRSFFSRNKNKHEKHYPTSDDGRHLSSFEYHD SSASTRASSHHHRNSSVVSIDRADTPDSGLNMMAGVITSIPYDGIRNDNRSPVHADYH HHASPDSHAQAHARREPMPHHLNKGFGDFHQYPAFDPSTMPNVSTSPHHPVARLPHHP AVNLAMASSSHQQQPSPQQQSQGHLQQQQQQQWAGPGSGRTSLGSSTINTRYDSYITS TGRSSGDNASIFSGNGGAAASYDIGSARSSRLAPLPSASSQSSYSSYLSNRDSNRLTK PPTTSHHEGFHFPRPDDDRVIEQMFVQLMQKRGWTNLPEQARRQMSAYPADKKWTLLH QDRLTEWQGEQKRRTTARPGQYANVDITTYSEEEGAPEWYVRKIMEDKLDSKGFGSLE VNLRTQQIGWVKRFIECQGQVALTTLLLKINRKTATGPAVPEAARGDKSLDREYDIIK CLKAVMNNKFGADDALQHQQVLIALATALTSSRITTRKLVSEVLTFLCDWGQGEGHLK VIQALDQVKTQQGENGRFDAWMRLVEVAVDGRGKMGSLVGASQEVRSGGVGMENLLME YAVATLILVNMMIDKPVKDLQLRVHIRAQFTACGIKRIMNKMEGFQYEFIDNQIDKFR TNEAIDYEDMLERENNSIKDNIEGEIKDLNDPVQIVDAIQQRLNGSQSQDYFVSALQH LLLIPNSDNEERLRMFQLVDSMLSYVAMDRRLPDMDLKRSLNFTVQSLLDKLHTDSEA RQALDEALEARQIADAALAERDEMKAKLELGADGLVAKLQRQLEEQSRFIEAQRRQAE GLKAELENIQTVRAKEAQRYELETRELYLMLRDAQDIAASNAVKSSSGGSAGGSKLGD DDPVRMQGILDRERLLERLQMQIERQKTQYKLEGRVWGEAVGPSDRLRALREEMDGYG GGSSDDDDAGVDLSKPALSPGMLGSVRRQTNRVTRKPLPGIPLGEDTIMEGDGEDEDG DVVYEKPRMVEIRRPVVDPKQQAGLLNEITGKVKRYEGSDSEDGDGVTTGPSHPSLES QSPLTPADSEPPKIKVTAAGSTPPPASVLPGQVGAPPPPPPPPLPPPGLISSGGAPPP PPPLPPPGLISSGGAPPPPPPPPPPPGLISSGGGPPPPPPPPPPPPMFGAPPPPPPPL PGAISGHFLSQQAAYAPTTQSIGLPVMRPKKKLKALHWDKVDSHMTTHWAAHAPSAEE REEKYQELSKKGILDEVEKLFMAKEIKKLGGKSRQKDDKKQIISSDLRKAYEIAFAKF SHYSVEKIVQKIIHCDDEFLDNSGIMDFLQKGDLANIPENTAKSMAPYSKDWTGPDAK TEDNREQDPAELTREDQIYLYTAFELHHYWKSRMRALALTRSYESEYDEINAKVRQVM EVSESLRDSVALMNVLGLILDIGNYMNDANKQARGFKLSSLARLGMVKDDKNETTLAD LVERIVRNQYPEWEGFSNDIASVITTQKINVDQLQADARRYIDNIKNVQMSLDSGNLS DPKKFHPQDRVGQVAQRCMKDARRKAEQMQLYLEEMSKTYDDIMIFYGEDPRDENARR DFFAKLAGFVTEWKKSREKNVALEETRRRNEASMKRKQQLKSPLLADHSNGSGAPPSP SNTGAMDSLLEKLRAAAPATRDDRDRRRRARLKDRHRDRIASGQKVPDLNEIPSVEAT LRQQEQQQQGSNGGVTNGTTNASSIAAGDTRNSAAGSDGNLLSPTLSSPREGGEDDVA ARAALLLQGMRGGGDGGPGEDLDPEKREALRRQRTEEERRARRRRRETARSARSEEGA GEETPPLPPPPTVTLSVGEE
SAPIO_CDS8910	MTILSRACLVALLHDHRKILKSYRPIVANKRTNHSFTNPWRFRN RRARPSPSTLSPSTIRRRRPPRPFAACCRSLSLQRLPERHEDYLEGILTPVSLSPPRT PERNMRTARPERDRVRRRSSVVADRHHPLDSSSLQDNAGSFSEGMADPKEATGFASPS PTSSSRNHRHPHHHLDHRRNNSNIHTSPEFPRPGTASSFSSQRAGDSMGPPSSAADDE WTRSSRSTSSASRNTNRLSITLPIAPPTSDPSRPLPSSAASSVFAPSVPGTPARQTQS ALPTPSDANEFIIAIAGQERRVMELREELKTAEDELKRLKRQWTMHEAYKKSTANRAP EPAKPVVPQPESWSGTPYDDDLAVKRSIELDRRKLLLQTQQQGNTPKDERKRVFQGRH ARTLSLLSPTKPTSSESFSVLDDEVDVCKSPDVEQQPIVRRQSVMNKRASWQPQSHHS AAVNAHHHHHYNHNHNNGNAMSQIVEDFKLGFKTFYEDIRQITVGDEPVTGATSATST RTTAVDLSGRNNASSNTNNNNNRGYADDQDTIRPSHANTRPKLASAFDFPAKNNTDDT ETVETPSKPKTSSRPLAAARDRNARSRNKHFSWTPLSFEGIDGEAWLNFDSPASTGKS TRWSGSTMNEEENANVQSTSANKAQETESPLTKKTTSALGLVSPVSISPQRLEELTAQ VVNRLSPSNIKRTATDFMTQWEQSLGEAAAEGRTNKTNAHAAAALENPPIAKEQKEDK ENILV
SAPIO_CDS8911	MPDPSNSAISDNILAPILAPQASDICKLLDTLYDQCIAGKPDQN RNTIQRWFAYHRDLINSLDTSAGAALLSTLLPARRTDRVYYIQETRLEKIFARSQRLG ASRVRELCRYKVPGSGVDLADCIYTSLKATPNPTRRPVSLLEVDNLLHAVASRISSSC LKVRRTATQTASTLTESDRNELEAIYMLLSPLEAKWFTRLVLKDYRPVEIDEALIFQS YHSLLPRILKVLDNFPAAVNVLQNILKAERAEYGDGGRGSRPWNTQVVLRHLRPVLGT KVGRPFWRKGRSIKHCLDIGHGFMSVEKKVDGEYCQIHIDLSKGRDCIQIFSKSGKDS TDDKARLHGSEESSPSTKFETTSPDQDVHPGYAELVERRILDFNKPQAASDLRNMFSK AIQAREEGLVLKPDEPFLDLSGEPRADFTGSPIKFKKEYVGNFGEIGDFAVVGARYDA RRAKSLLIPNLEWTHFYLACIHSKASLNRANDNNTLPEYTVVAVVEPDAPHLKIVKSH CLPNPVPFKENRSLKLNMPEGICGGKNPTFVFTRPLIFDVRCFAFEKPGNVGFLTPRF PAVTKVHFDRDVEDTMTFDELQGVAEKAMAERPWEDEDSQELLEWVRKLENADPKGVA VDWVTQTTASEAPTPSPGPRKPERVGCEGEKMMPPAPPPSSEGSGSSQKSATSSVIIV ATTPPTSPIDPRPSSPSRSQGGAGDGGRHVAPKGKRKMQSPDGSLGDIYGSSPPAKRR GKSPVSKASPLRESQREPLGEISNCNGSQHTNSFRGSFTSHSQVSSEDLLQENRRVPP ATEPSSPASFTTARATASAVPSSPAVPPASTPVTEIHNKCPEIIEIPDSEGEDEGKDI SREKQRVQRLCKILGSNCWFYNRTVLLSPRVARRKVITERLLPRHGIRNYVADHEAWL PDDPDSRPKDDGATRICFVDMQHAEGRAETMEFLGKIEARPLRLRGGGREYIEVYDWR VLEEAADMEEEGAIGTEDDWEGRRDRWRQWRVGLV
SAPIO_CDS8913	MIIETSITLATIRLSDQTPATAILEDRATTRRHERTNIHASDHD VQTPPEEEATPSEAQARNATGVEALHRQTLDGRDLDGLAVIRDGRNTLPNSRGSNSRV EIEIGIGRRAGVVGRSREDRKKDSSQPAATGANSVEVSMSSRGGGGSFRGGYPSQPGP GPFPHKGPFGNDPRTNYSQSSTPNSSYHNSPTSQSSSYGTGQARPGWNGQQFSPSHPQ QQHPGPFPPNGPNSSSFSQPPPTGPQGHYYSNPSSHSPPHSAPTGPMNPHPPNYRGGY RGSSGSYRGGNQFGSARGGHRAPGFKSGQWNPPTGPAQSPHSTPSSAATHDAAPGPDS RRNEASDANEGNSHPQHSDEMQIDEPAPESQPDPASQQPAPPTGPSNNNSTTPGTARA PPTGPSSSKFSFSFKLASKQTTTHPKPEISQKFNAMPTAKQPPTQPQNHNQQQQQQQS QKSNKDRDRDFPKGVPTEPASSRAARRNQEPPQKPIVRTRKVKKIERRLLERPKLDPA FATSKSVFFRKPGNESVVGSGTYGKVFKGLHVYTNTAVALKRIRMEGEQNGFPVTAVR EVKLLQSLRHVNIVNLMEVMVEKNDCFMVFEYLAHDLTGLLNHPTFKLDDAQRKHLSK QLFDGLDYLHGRGVLHRDIKAANILVSKEGILKLADFGLARFYAKRHQLDYTNRVVTI WYRSPELLLGETQYGPACDIWSAACVMMEIFVRNAVFPGDGTEANQLERIYAVLGTPS QAEWPDIVEMAWFELLRPQFKVKRTFEEKFRGRLSPAAFELLESMFRYDPAKRPTASE VLAHPYFTTEDPPPRQAIELKDIDGDWHEFESKALRRENERKEKEARKAAAKETYLRE KEGRDRERDLKRTIHDQGDDHARESKRQHTDRPPSRSHDPA
SAPIO_CDS8914	MQTFWTATKQHGPAVYYRRKVFHSDNKAVLGTFAVDFVDEPFEE NDPELPPRTVYFSEEELAGLGSDDSKPMLVVLHGLSGGSHEIYLRHAIAPLIESGDWE ICVVNSRGCAMSPITTGVLYNARATWDIRQTVKWIREKYPNRPLYGLGFSLGANILTN YCGEEGANCLFKAAVVCSNPFNLEVSSKALQRTLIGKEVYQRVMGTSMKELIKRHQNE IEKYTALDYNRLQSITYLYEFDREVQCVLWGYPTEDAYYRDASSTDAILAIRIPFLAI HATDDPIAVDEAVPYAEFKANPYTVIASTSLGGHLCWFEVGGGRWFSRPVCNFLNYMQ FQIEGLSDDAPKAGNGNINPEVPNRGINFHPMRRKMEISLYDFTA
SAPIO_CDS8915	MGPSRTKTVKNKFAAPKVRKVQNDGVVKARKTKGTPPAKGVHAS TVSTLLNRQKKKKTYTAEELGIPKLNSITPVGVVKPKGKKKGKVFVDDPESMRTILAI VQAEKEGQIESKMIKARQMEEIREARRIEAEKKEQQKRDKLEEVKDSIRKKRKRKGKT GAEEGEKENTKELSSTGTKAVKPKKKKVSFA
SAPIO_CDS8916	MDNLWTRRTVSSSNGGGDSNTRTTPFSKRSGNDGSSFGKSTNSA TTPGGGGSITPSPGAAAFGLGSGAFASFSVGGSGKTPKSPGNPFDFAMGQIGAKNTGS DKSSGGKEAPGKVASKAPSMTSISEKKTGVVAASAPAAAPAVHPLMNKWVFWWRPPIS KSQGFIDYEKTLRPMCHCNTVEEFFEVYSHLKHPSKLPTMSEYHFFKFGIRPIWEDDV NKKGGKWVVRLKKGVVDRYWEDLILALIGEQFGDAGEEVCGAVASVRNGEDIISIWTR NDGGRVIKIRETMKHILNLPPNTRVEFKSHDSAIQQRTAIEESRQSKGSHHHHHNDKR HQTHQNKTSDENHRHNTQTS
SAPIO_CDS8918	MATKQPLKTTFDVGRVIGPLFTGGSFAIDNGASILATTLGEDVV LTNPSNGEHITKIEGDGELVSTMTLTPSGSHLIVCSRSLSMKIYAVQKSEDDGSVDVT LVRTLKPHSTPIVVLAVDRTSTLLATGGTDGVIKVWDISGGYVTHTFRGPSVLVSALR FFEVAGRTRDDTKKGKKSKKQDALVEDEEAGDDDTAINFRLATGFQDGKIRVWDLHRR SCIANLDSHVSDVQAIDFSPTQQALVSASRDKTLIWWDTRSWKFRKIVPCLEMVEAAG FIDDGRLTYSGGEKGNLRIWDTETGRELTKGQEAKGESDAIVGSLYRPEIPFIICAQM DHTLALYHPPTKNDTAAASAVQQPFRRVSGTHDDIIDLAYLTPDRTVMALATNAEEIR IVSTTDPKDRSTSESWLSGNTPFFGQDVGLLKGHDDIIITLDTDWSGYWVATGAKDNT ARIWKVDPSTNTYDCYAVFTGHAESVGAVGLPKTVPQETSPAFKDPLNNPPSFLITGS QDQTVKKWDIPRQSQQTQKSGSRAVFTRKAHDKDINAVDIHHTSHLFASASQDKTVKI WSVEEGEVQGILRGHRRGVWSVKFAPPKLPALQGEEGSIAGKGVILTGSGDKTVKLWN LNDYSCIRTFEGHSNSVLKVIWLNVPTKEEQSTRPVLVASAGGDGLVKVWDANSGEAE CTLDNHEDRVWALAVHPETNTIVSGSGDSTVTFWYDTSSATQAAATEAAQHLIEQEQE LENHIHAGSYREAITLALQLNHPGRLLNLFTKVVTTDNPDAKSLTGLAAVDQVLANLS DEQIFLLLLRLRDWNANARTAPVAQRILWALMRSYPASRISNLSVKGARGQKSLKEVL HALRVYTERHYKRMEELVDESYLVEYTLQEMDSLAPSLDVEVVMADV
SAPIO_CDS8919	MGRQGPLTALALGRSPYDDVVYGEGSGDSQPSTQEYDEKGRPVN QRTKRLNRDIVRSHNEVMHVIGVAEPDPNSNESEVQSAHRYLRYENIIGDRMEAIGKG LSDVGLWGFTGIRQRILVYKPFSYEPFWKLASVCRREIPRPLPDLFLAGLPTELAWRI AEWLQSMYLEEIPLPGIFWMIRDTLGGYLREHVELYIFLQRVDVISPFALPTLGFFIP FTSSSPFPAPPPLPDFSPGSIGKWCLTTALRAAPMLLFAMWRKHFARVMKWLAIKIYL KLPHIDAILDPRTHPDMFPGQSTQSMETSSPVNNNVFGAEEPSAQQNSVETSEEDASF PTSGVSRRPSAFSGRGDDYGSDEEDNGAISGTLISFDVEATEATDAPPGIWSAELRPT MGNDSRSQQGNQAVYLKNALTQQPLIHAATMLGRAATTLFLFPLEAIALRMVAQSYSL RLGLPIDGIWEPFLLRTVTWRWVANYLAVDFLHFMVQSDIWAAVTYLGRSYHLTPEEW TSMTAEEHAELVRERE
SAPIO_CDS8920	MTDFNPMASVDLAMIEERMRATSLDQLRGYAQHNYGEVKQYRTV EYIPESQALAYQVLREPIWNRGLSFTPEERVSKNLTGLIPHVMESLQTQCERAMRMIA TRQTNVDKYLYLSSLKDQNIDLFFRLLIDNVKDLMPLVYTPTIGDVCLQYSTLYTRPE ALYISIKQRRSIRTMLRNWPYPNPEICVVTDGSRILGLGDLGINGVGISIGKLSLYTA AAGIHPSKTLPIVLDSGTNNENNLKDPFYLGLRQKRAPMTVQQEFMDEFMEACADVFP DMVVQFEDFESEKAFNYLARYRDKYRCFNDDIQGTGAVVLGGYIGAVNLSGVPIEEQR LVFMGAGSAGVGVAKQLVEYYTRRGLSEKAAREKFWLVDTKGLVTKDRGDKLAEHKKY FARDDNNGHQFRTLEEVIEYVKPSALVGLTATFGVFTESVVRALKASVESGGLGRRPI LFPLSNPLTKAECTFEQAVQWTDGTVIFASGSPFSAFKAKFGDNVVTYNPNQGNNVYV FPGLGLGAILAKATKITDDMVYTSAESLAGCLNAEEVHKGLIYPKIERVRDASLVVAR EVMKAARRDGVSGLPESQWVEWEEWGDVALTQYIKERIYDPVSFGGKGRL
SAPIO_CDS8922	MEQEQQQPQQQQQPPQQPATHAGGVHGPAGRRLHIAHRRSPSEL TPLMSMFTNPQMDQLAIQQQIELLQQQQQQLQATHQQYVNMGMIPPTQQLGPGAFNPL QPALANLAPQGAFQFPNQMQQQPVSLGHANQPMTHRRNQSAIPNMSMGPPPAPSSGAS GTAFGNFDNSASHGRGENTSGRGGRGGGGGGHQRRHSLALADAKKAAELAQQKRTTTG FQFPGPGATSDKAGNEENKAGAQPGAPESHHPQGPPTRGGRGGHGRSQSMAVGRGGGG QDNFRRGGGHARTGSRNFEGNWRNQSQNQSQDQGGQSQQGFQPGHRSRGSINQSISSI GAFQYPSQTPLVQIPGQMMIPQLYAGQQLTPMQLGQLALQAQMNGQQFAGLQNSQHAG GLGGQQQQQQQQQRKTLFTPYLPQATLPALLGDGQLVSGILRVNKKNRSDAYVSTQDG LLDADIFICGSKDRNRALEGDLVAVELLDVDEVWSQKREKEEKKKRKDITDTRSGSTN QGSQHGANGDDSKAADGGIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEEEINDEQKP LYAGHIVAVIERVPGQMFSGTLGLLRPSSQATKEKQEAERAARDGGGSRHHEPRHQEK PKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYADRIFVACIKRWPITSLHPFGTLV EQLGKMGDLKVETDALLRDNNFSSDEFTDAVLRSVGLQDWTVAKEDEAAISARRDFRD ERIFTLDLSGSGELGNAIHVKTSPDGKIDVGIHVPDVAHFVKANSLVDREAKKRGTAV HLLNRFCALLPPKLSSEVCALVPDAERLAVSVVFRVNPHNGTVAEGDAWVGKSIIKSG GRITLDEVDAALSEGQPDFKHESVQLKDLQILNAVAQKFREARLGVGDAPIAPLRLLQ QLDDENVPVKTNIFDSSPATEMIEELMHKANGYVAQKLVQALPEKAILRRHGAPNPRR LRTFSDRMTALGYDIDATSSGSLQNSLFKVDDTDLRKGMETLLLKSMQRAKYFVSGKT PKHLWPHYALNLPLYTHFTSPTRRYIDIIVHRQLEAALSEGKIEYNDDLETLVKTIES CNTKKESAQNAQEQSVHIESCRKMDKVRQETSGDLVVEGIVICVYESAFDVLIPQWGF EKRVHCDQLPLKKAEFRKEKRILELYWEKGVASSAYVPEDERPRAALSQRYSNAAEAR RQAEEAERVRKEQEEATRKQTETGTMSTDAVEALFDDEDDNASDLTEAMAGVSLAERP TQSVPGSPTRSTSNAEATGVLQRTRSDSKVPPAEAVETRLSDKEKYMKLFKLREEDGE YIQDVTEMTRVPIILKTDLSKSPPCLTIRSVNPYAL
SAPIO_CDS8923	MKASVIACLVAAASAFPAGNIMKRQANPEAAAGLTDLDILNFAL TLEWLEATFYQQGIAQLGPEAFRAIGLNDQQIQALVEVGQTEFSHASFLQGAIAQAGA SPVQPCQYNFPFTDAAGMIQLAAILENVGVGAYLGAAQLVADAGILTAAGSILTVEAR HQTLTRTIQSQIPVPQAFDAPLTPRMAASLAIPFIASCPEGSNLAIQPFPTLTQVQPA AGAPVTINSQMVFQADTLAQSTHCAFLSGGLQPNGVAFAELDAAGACIVPQNLGGITY VALVNNAPLDGTVAEESIMAGPVAIVVS
SAPIO_CDS8924	MTTAATETAHIPVIDLSAPGKSEQDIAKELCEAAIEHGFIYIRS SGVDVSLEAVDRAFQLSKELFGKTSAEEKERCKILKNNRGWSGIRSETLDPKNQKVPS RAFNFGEFINGKAQQVLPDTISPHEDEIHNFFQSCDALARRILYLLGIGLEVNPPDFF ASAHLREKGHSGTTLRFLHYPSLQGLDISADDSIRAGAHSDYGSLTLLFRLPKQAGLQ ILPHSSSPWLPVPVQPHGTLSDPSPPILVNIGDLLSYWTDGLLRSTMHRVALPYSSSK EEKNPVGVPEGEGFEGDRYSIAFFCHPVGTARLERVPSEVVRRRRREVEVGDGDGEGE EGERRVVTADEHLQMRLRASYLDLYKD
SAPIO_CDS8925	MPTLVEDSWISTQATTHGLDTISGCSIAISATYYLHRFLDNPPF HEPLLPALGGLTGIEAHLTRDLDKFKENGVVPFFIFDGQGMVGQAETTVKRGRLANIK TNEAWDQYFAGRAEDAVNSFGSNAGAFRVQSLYPLLMRLLNEREYHFLVAPFSAAGQI AYFETIDSDQVGGIMGSNELLLYPIHDCIIKSINWEKKEVTAISKKGLIKTLNVTEAM FIDALLMSGTPFLSTFPPLQDSTITTRQPFIIADAINILRTSDKVVANACASFNDILQ ARDKDWLDKYQKARLAVNHYIYIAENGEVKVNDYEHLTGDNHEYLGLQLPAELFYYIN KGLISARFCSWIAHSQIVVLPTLDGVSSPEYRKLVSSQLVPIREQALALVIPRLHRGL QHKEITMKAWYDEKFLYKTNFRSIQPPPDQVVNTWFAKPEEIEKFPPPAQSSSLITFE VLSLANQDFVKQTFNKERLIKGLDNKRTIASVAVWRFLHLRGYVDDDHSWTRWGTALA SSLLAIRETEKQLGDKVSLAEAVLMAFELIRYDLLNGKNKHEELHGLPLKGSEDDKTS LLLISRCATLLKLRHQTNGYTGPLNKNLLAFRSLSATVREANRDLVEAIVASMFINGQ SKRDRDDFLEIGQSLPFLTDPDIALGIAVKTFLDDDNVNDSREHRAKRVAEFPETYVP FATHFVDDMRTCYGFVNALCTGVSTLDKEVAAADKFVWQRAGEYLAARPF
SAPIO_CDS8926	MGVLSAIIVILLTLFFPPIDLLINICLTILGFIPGTIHAFYVLY VYYDRREQSRIGAPPPSRAAGIFSERVQNGGRYGYGTMR
SAPIO_CDS8927	MSNQPAPQLNIDRYVVIHVATTCDEHGVYVTKDSAEVIELGWIL LDAKSLEEVARDSVLVKPINTPITPLCTSLTTLTWEHVRNAGTFRDAINRFDAFANEH LTSQNLDFVFVTLDSWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHHPE SLPFGPSMLSNICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEAITLARVLR GLIRKSQPPHEHPDVLTRPMDARADVRAFLSERSKVLHMSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPEQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAGPSGDVGYGYGYG PPAMMPPPQHMGHHGPMGHGHGGGRMGGSGVVPFRAGDWKCGNEVCGYHNFAKNVCCL RCGASRAGAAVVADSGYPSPMDGGSNYPMSQASMGNAPGHGPFASPAASYASGGNYGG QHFGGPPSTYALPSGIGGGAAPYPSLNTHFGPSPGSHSAGPFDSRAAEAAFQSASNGP ASAGPSNNFYNQGENDPFSFLSSGMGGLSVSNESRQNGGAAPSKSPA
SAPIO_CDS8928	MAPEPVEDVPMYDEDENENEENRLINEEYKTWKKNTPFLYDMIL STALEWPTLTTQWFPDVKEPQDKNVRIHRLLIGTHTSDDKPNYVQIAEVEIPKAAEPN AEDFDEDRGEVGGYGKAGEVAKIKFNITQKIDHPGEVNKARYQPQNPDILATMCIDGK ILIFDRTKHSSQPQGKVNPQIELHGHKKEGFGLSWNPHEAGKLASGSEDETVCLWDLQ TVQANNHILKPTRKYTHHSHVVNDVQYHPFNKNFIGTVSDDLTLQIIDVRRAETDKAS LIAKGGHTDAINALGFCPTSEYLVATASGDKTIGIWDLRNIKEKVHTLEGHNDAVTSL SWHPTEPGVLGSGSYDRRIIFWDLTKIGDEQLPDDQEDGPPELLFMHGGHTNHLADFT WNPNDPWLVCSAAEDNLLQIWKVADSIIHVHDKDLPMEELQS
SAPIO_CDS8929	MGVPKFFRWISERYPGISQLIAENRIPEFDCLYLDMNGIIHNCT HKDAGEDVAFRLSEEEMFIRIFNYIEHLFGKIKPKKLFYMAIDGVAPRAKMNQQRARR FRTALDAEKAREKAVREGVELPKEPPFDSNCITPGTEFMAKLSHQLRYFINKKVSEDS DWQGCEVVLSGHEVPGEGEHKIMEYIRNAKAQPEYSPDIRHCLYGLDADLIMLGLLSH DPHFCLLREEVTFGRASKSKSKELEHQNFYLLHLCIVREYLELEFQELKDPETLGFPF DLERIIDDFILMAFFVGNDFLPNLPWLHINEGALAYMFRVYKRILPKCEGYINELGVI NLKRLELLLTEISQVEFDHFENDVANEKWFQSKQMEESLPNTKKKTPKGQLIITSAQR DLWKKKIKPFVTNRSSKPLNLGSGLKAEDREFVKTLADTLRLEWTTQEDESGNRSLVV SFPPKPAGFEDEDDDDDDDDEEANMAVFRVVKQYDRAMVVDVTSEQAQANYDKVYQEK YQGWKSKYYLQKFEWPLESYDAELVKLCENYVQGLQWVLYYYYRGIASWPWFYRYHYS PLMSDVVKGLGADLNFKKGEPFKPYEQLMGVLPDRSKSIVPKVYWELMTDPNSPIYDF YPREFELDMNGKKMDWEAVVKIPFIDEERLLNAMAPKNKLLTSDEDARNQFGVALKFT YSPDVDYVYPSSLVGVFPDLPNCHCVENIFELPDTEGLEYRVGLVDGAKLNIEALAGF PTLHTLPYEGLLVEGYGINVFQSESRNPSMIITLTDSDARSKPEYAIQKLGKRCFVGY PFLQEAKVIKVSDSHFNYQLDANGHVSQTPQSGKELNEFPKEANYIENWHARRLGITI GTVETLVHVHMLKGLVKTEEGALIKEYGENPSLRSTYASQTIVDEVVNEDERFIEKAA VPIEEEFPVNTQAFFLGDFNYGRPLIVSGHSNNKAEIRLSVLKQKEPEFAKAIIHEAF RSNRYVPSYAVAKQLGIDALVLSKITSSYRVNTGAGLKVNLGLNLKFAAKGQKVLGYS QKSGTGWEFSNTAIQLIASFMVTFPDFFAAMHRVAVKSDVADTDLWPDPTTAGTRIKE IGAWLKQQGISKLERVPLEAEQLDSNVVMKLAEVGEEVSQLNKEPAMRSMKGVPRSAI LKPADTELLLGNQKFALGDRVTYVSAAGKVPLSVRGTVVGISRTATALLLDVVWDQAF MSGNTLGDRTPPFRGQTVPASSVLNTTNKQVVTGSKAALGRNPVRAVPALTTSSYDTT GMPQYRDATPPPPLRGGWSGAVNGGRGRGRGGRGGQPGAVVNLSHRPHPNASGTNSPR GGGSAHPGVNGRGRGRGGPRGYSASPHTPGSGTESPGLPGKTQYNMVPPPSNLDTPPS GERGGRGRGRGRGGHRGRGGGFNRGRGGASSGAGTPSS
SAPIO_CDS8930	MHNSLTRLQNTFSFFTTVVFTLGILIALSDLLAPRTPSGTIKVE NLSVTKGRVHYYSPKKEEYASIKFSLDADLSSLITWNTKQLFVYVTAEWPDSPGSNAT NSAVIWDSIITSPSSDHLANVGPATMKKLRRSAAGKSIDPSRGILKLRNQRPKYQITH PSGKVAQVSDVKLKLHYNVQPWVGLLTWNQERAYGKWEAMKGGVSKLFDFPALKNKN
SAPIO_CDS8931	MKVPALFALLAASFKAITAHPLDAIDLDNSPNAAQVLEAAGFKI IPTTFTGPWGSNGEDLALTGTIQEVVEQVKKLNPNYDFGLPEHHSTSQSSSGGDPDPD DDMVDLQPEIEVANADCSKGEWANLDRIKQGIDYLRVVPKDHAVMKGKGCGRVSCSNN SAIYLCWEPRTDRQEYHRTWNYVADYAVTVTDIVCPRLGGKHLQTKGKIWDPMGMGVL VTADKC
SAPIO_CDS8933	MYGNMPPAGTQFPDMSYAMGGVGHQGHQMQGHPQQTQTHQHHYH QQFQQQPPLQPRPLQRQHQQQQQHQQQPQQLPSYYTTNMLAVNGNMHTQAQLTPFPSV YSPTLPQQQLSRQQQQPQTLQQSPSIMQFDGQSQSHLVGRSTPVYPHQQLHMQQAQRI HQAQQLPRPIQYSPSPVLAPSLPPITSPMPAPSPVPAPTPTPAPVPSSPAHQVVTLDS IHVATPGPPPIQSPVAPIAPKPPRQAQSPAIGQSPVFTGSGYTEAQQQRSHASPKTTM TNSPALSARSSIISKRSPSVSGRSLVADTNSMLICVAEECFAKARGNLQDVVSSSSGA AVSEYQKLIATGLSCLETSLLSSRLTPRQEAKVRLRYASILYEDTENLMEAETALSKG IKLCDKHRFLDLKYSMAYLQAKLLFQRGHVKGALHAADRMITEIASRHAHWNYAFRFL KASFYLQSGMPSDGPALENLRTIASFAHSRGDYALEAFASLLEGLALLKTTKEDTLDR VQECIARASKYQLNPLLRIPQVDILILLLDLACSMQQKNPDIMIQKLKALQIRMDEAT DWQTSAASLRLPIKKASGTPPISEDTAAIIEAGRPEDSNDYLVLSYVAKAELYILVMT FSGLAFVSRSTGSQHRTLDFWEEALDTLARWNKERSPSYTNPLPSLQDAVRRANWRNE VHCYLHILIALYSATQSRWGKVRESLDKVQAAVVTSANSAMEIISLYVWGIYYQGTGD LEKAVIIYNDPRFEIGDATTQQRNIRLDMSLLAKMNLLWIMEHPQYRNTIESTRLFDR IRPLCQDHHDVEIRTAYNIILATITMQPPPPLQQVKNSMYTALNASKMAGNTHFLAIS LNIMRCRLFENVVGEQALKSAKAASTQARRNGNYLWMSVADGMLAHSHEVQGQTEEAN QAHNAATGFASRALAANNA
SAPIO_CDS8934	MDGSQPPPSSAAAELLQMQQQFLTQHEEPLPSLLTTSSSVQPSA PDARVPESQPSPMRALQDPMLSGGGTQPEERTNVLGPPFRPDTEREIQPSLSSAPLFH PSPAVPTSHDIVSQSQPPLEPATIAPLDVHPLTLNPAAVFPSNLTTGTEIPLSGRRLP SHQDLFTQPPEFHIGAATDATNESGDESSDAEDLSRVSYPTEVKEYAVTLPFNASRRP HYDDMILEARPDIEEFCSVFHNEVLRTPKPSSVHKIEKLFLKLLDACDYPAELNTQSL KTMSPKEIQKYAFESNSKYAFIWELLHLVQDVLDMKILIVARSEKILFLLQSLLLADG YAYSRIGLRDMQEGHAKWPINVVLSLPNQALAERPSDFDLIIGFDYEFKRSNIASRLA ETNSGEIKQPMVLQLVIAHSIEHLDLAITERDPDMPSFDHKNAIVIGLTKLRRLILNP DTGRELPHQTAERFANQLKHYDEDFVWEPISLPDEILNFYLESSQPSQPPLELESLQS RKRKLGDTESIASKRRRISKTLGPMVNAERVEALAPIIRCLGPEVLDREDLSDETTVP AALLAAMEAKVNDQRMALQESRALVKELRRLVESLETQNNGYADSVNAIQTKHLVAVE ERAKFHRERDSALLEVKRLSERLQAAEEAKSKLSDEVTKLKAALPQKKSTDNPGATEA VPSSSPSANPPQEGQEANPQDEKLKQATAEVQRLTRKVENAERDLDYTRQAYQNASQS ASDLGNENRELSARIQDLEHKASENLRQIHETNLRNQIDQLGKINDEQTTTLREREWE LDRLRDEVRVLKAARRETRQSSVPRSPRMGMMSPRTGGRVSASRGSSPAPISEVGGGP GVPLFNQQPGNGRWGHLRD
SAPIO_CDS8935	MSSFALLRVTRGVSTLARASLRQPLASRVVVPGRIVSSASFTTT VRRNAEHEETFEDFNARFEKEFEQVQDVFELQRNLNNAFAYDLVPAPSVIVAALNAAR RVNDFATAVRVFEAIKAKVETPEQYKQYLDELKSLREEFGIELKEDLYPEEKK
SAPIO_CDS8936	MDTPVTPPAEAMAYPVISQFGAPTLDRPFGIALWPIFDKVFTRV VGYSANDFRFEAGVTPMSTLKETGIFIVFYYLVIFGGRELMRNREPFKLKGLFLIHNL YLTLISGILLALFIEQLFGTVVRGGIFHAICHREGGWTQPLVVLYYLNYLTKYLELLD TVFLFLKKKPLTFLHCYHHGATALLCYTQLLGSTAVSWVPITLNLTVHVVMYWYYFQS ARGIRVWWKEWVTKLQIIQFIIDLGFVYFASYTYFASTYFPHYPNAGKCAGEEFAAIA GISILSSYLVLFISFYLATYRKDAKAPSGRKAVRRMSQAPLPDPHDIIVGKSAAPSTN GKAVSTGAKANGTSTRSRRAL
SAPIO_CDS8938	MKFFRRKEKKKSSYLDIPGSTSSFSGRRGSQQDVDDRFRYQRSG TTPPPTLASSRALAQLAPTVLERIFYFVCPQSLDESYETCEQSAVEDACMLCDLRDLA HCVQVCRAWHPAARKLLYHSIRIDSVHYCEREAYLAERRRRRTFDRNGEPEDTAHARL KLLCRTLRDDPTRLGARVHFLKTPYMLRESCQADLARTIAVLPNLRYVDLPEGLFSDD PAFSTLRLEVQARCADLRKMTYMSGSERSLELLARGLLWRNLEVLELIRLNVDPIILR HVLGALANLRALKVTEMRSFSDDVFAYNENLPHFPAVSELILKKTPRVTEAGLVEFLS RPDASSALTVLSLWKTGVKPTRVQDVLEHAPNLKALSIYDTVDAAIPSGAGLKRMASR SLETIRFEVNNRSDDGPYSTAAASHYTYFASSILAGGLPRLRAVYVRDPTFPDQLLGI DLPPVPTFPSSGRPSSSHGAGSRQYPPPPNSYHNLSLSPPQKRALDPTVRFSSNNPFA GTGALTHTLEVFTKSEESMDWSFIKVQPLNGGGSSFGPQSRFSGGARRGSQSQRPTSS YGLGTDVTGMGWNTGGARRSVMVGNGTGGFLAIPNDSGGRQQDEPELWPRPRSSAGEK GDRDLWR
SAPIO_CDS8939	MSSPTHQNSVRGDTTRDMLNHLLFEVSTEVANRVGGIYSVIKSK APVTTLEYGDRYTLIGPLNKRSAAVEVEEIEPTNPEIKATLDSMRERGVHLVYGRWLV EGAPRVILIDTSTGYQWLNEWKSDLWNSAGIPSPPDDHETNEAIVFGYLVAWFLGEFV CHEKKKAVIAHFHEWLAGVALPLCKKRKIDVTTVFTTHATILGRYLCAGSADFYNHLQ WFDVDHEAGKRGIYHRYCIERASAHSCDVFTTVSHITAYESEHLLKRKPDGVLPNGLN VTKFAAAHEFQNLHQASKEKIHDFVRGHFYGHLDFDLDNTLYFFTAGRYEFRNKGVDM FIESLARLNHRLKSAGSTVTVVAFIIMPAQTTHLTAESLKGQAVIKSLRDAVSVIERN IGKRLYERALRWQEGDSLPEEKDLLSNQEKVDLRRRLYSMKRHNLPPIVTHNMVNDHE DPILNQLRRVRLFNDRSDRVKVVFHPEFLNSSNPVLPLDYDEFVRGTHMGVFPSYYEP WGYTPAECTVMGVPSITTNLSGFGCYMEELLDCGPEYGIFIVDRRMKGVDDSVNQMTD FMFEYCLKTRRERINQRNRTERLSDLLDWRRMGLEYSKARQLALRRAYPDEFPEDDTL WDWVPGVKQKIGRPFSVPGSPGARKGTMTPGDFASLQEGKEGLSTEDYIAWKLQYEVP PPKEASLVKADPLFVPPNSLTNTLSRDDHEDDYEWSVAVRPKPSGPASPIDTPKAVDA ENGE
SAPIO_CDS8941	MGASSSKLVFKKGIFRLSEERHIPADDPYWTSFWELPESSEDVF SLFSASDIRRTRDKALENLETLILAITSRLFILRHHPSFPDPEFAPDRDALNCIRVLT RLLPYLYEAENLQQWEERFFWGARRKRTRKSVVANEILFDGGTGDGLDGLTSPRPKLD EFEEAKPLAEELIDTLVDLMFFSGLTLPRQANGKPKVTYAIWQSGVGCNASVATTKEF ESNRIEILRLLLTLAGKSMYMTPAVLPQRGVRVLTHLCTCPDKQIVLSVLCSLLNTSL KYNPASWRVPYNALVFKDAKQVLVTYTLQLLLTLLLYPIPEDQDSTGAPKRNYYRHFL GRLHRPQDFQFIVDGMTRVLQQPLQEKTSYIPAGTHSTGTLVPEMMMLFWEITQCNKR FRSFIIDTERVYDFVILTVFHALEYKSDPSKQGVVRMCAFLLQTLSVEKNFGVNLNRY FETQETLPPSIRIAGFSGTYADFLIHSIYNLITGSQGKLTAIYPALVAVINNIAAYIE GLSSASCSKLMQLSSSMSSPSFLLANDTNHSLLRSLLEAMNAIIEHQYKKNPAFVHAV LKNRKKIEALRAFTLESGQEEIERRNRQRKEAAEHGGEHHESVRSSVDSINSHHAATA LHPHSPAVSDVPEESGAFAIGDDDSDDDEDDHRPTPAQSTTSENPSQASSAVDVNDAV PTQLRGMSEKARGKMPAGTPTFSRQNSTTSLLESHHRSSTTMSSHPGGGGAFEPTPQW IESWLPELPLHTMLTVIQQLTALLPRQALAADHASRETLARIQEIEPIGIDPSPIRVH TFEWSPLSLGWYESLLWGFVFSAEMQPAKGTVGVWNGTAIKLFRVQETARTGPSLTSP RGAVDAVGDSIVSRIGAINLRTAGDAAQRLLGEGVTIHGPGGGSGAQAGSRGEGQQHR EGGQ
SAPIO_CDS8942	MASPNSSDLEAPSSPVRAEKSFVSHVEDDDTRMMDATPEPVEKV SRSKGKASHAKDQNTQSVIGKIRHLKKEDGEPLWRADIQYDWLKAIFDDETKVFTNSY EPEKLGKQCFADLYIDTMARSSKTSKVLRDKLLSDRDAAKGMAMVCLLVNIGRMNTTL NFFPEMRAQLRTYHAIPSLQARQDPHAYKQLQDAPRLKSILKGSMEDREEPNSLVGIK EANIPRTNPVALLFIICAAAQKIAELHFPQGQEFHDLVMKTNFTSHSRARAFLWLMWF YLESDFTEEGCEENPFGPGVDYGLDVANQGVPVLEEMSEEEIALENVDTAEEIEFGNE KQKMRAKILEADQAYIADTQVKRGSRSRMLADEGPAILPRIRPSKHESDIDSTRSTPP PSRVMARQAAIGSASRRGMPPKYTILEASSPAGPGQLDGVVTRKPRPPTAHQLAVERH RKEQVDHILDRGLRKHYHKVRKLRRQDGAIYRAAVRIKAMSDELAFQNSDGEDEPGRG IFSSMKKDDFPFRSAGPGGLCQLKTEPDDFGEETNTYFAAVRRAVRRLNRWADLEDKS VIRPIKRKRVQQPESQDLGDDELDSFREDIDIQINGHSTKDHEESSSRKGKKDKAASE DVDANGDVHMDDADEHDGDKLTPRPEEDDEIEETPRPKARRVSALIDEADAD
SAPIO_CDS8943	MSASGTRVVSARTPQRPITPAPVAESPGNWSHPRLREITRRRNA TTFTDKNVRKIAYNIAALIGLWALQRVTESAVTSFFSPDIISHQTKNILSWAYLLLQA LPILNIGLALLPLFRTEDDISDIPLTPGQRKLLGLPPSSAPPTPNAVYSTPPRYSRTP SITGSPLSARGSSSSLNKASATAAKGSPSPYSPSASPLNGKSGFNPSLNGGNGRRSSF GSPSPLRASGSSLFSEPATPSPSGTKKTSVGLNNKWLYEKGRRSSGSWMQ
SAPIO_CDS8944	MDYARLRATALASTDVEEAVTVDTRALIDKVLARYSGEWTTLRE LIQNAADAQAESVVIKFETIPSVQVPLPSTSNQSELLKHVVVNHTLKRLVVRNDGQPF TQTDWGRLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFVSSGNEAMAFYWKGNALFT KKSQLPADQCSPHTTFVLDYRNNTTPVPNLLSVCQFLATSLTFVALQRMEFWVDDWKL LSLHKKISPSVEVSIPRNFVKTTSEGMMTVATVERMSTQIDASYMVGIGWKAKTSRGK TTETFGGQIADAPLIKSFFAKFSSSAQSAQKAKAQAQAEEAAAQAAISEDITAVKSST IFLGVTSAQLQTKVSKTFSSELERATKKPPPRMAKLAILTSSYDEAMASANTNKTGTA LNSADVFASVLPNKKPGGRIFIGFPTMQTTGAGLHISAPSVIPTVEREAIDLNARYVR SWNVEMLRAAGIIIRLSFADDMDKLAQRINASIPQGGKLTSQVVSKHLPEAMHIFKTY TFDDSTPSAQVGEIIEQSFWTSYKDVKFNIYSTRGVKLTSDVRIPSEELGQFVDGLPT VPKELMETQFYKKLSDFGVLSRITLQDIRDELSAKAMTKDQLTSFIKWVSKMALSGEL DKGVTKSLVDAAVATISDEKGEKGGIVALSVIKNFVTANRIPPTLPLPPDTLPFAFTN HCSNAELAALGWEPLEIFPWLQFLIRTASQRPDNLNMTKSPDFSKQVLIVVSKSWDNM SPGARAGIAPLLQQYTVIPTKLGMKKPEESFFPNVKLFDDLPVIENLGQVKEKLLVAL GVRKTLDLETIFARLLSPSSGEQKWSHVELIKYLASVRADIPTGDMVKLKNSAICPAE AGPPGMGRFKGTTKLYKVSELFEPQDSLRGLGLPILYWPGALPPRGAESHFLSVLGLR AYPTAAELVEVMASPDLRTRENARTYFISKHVVNGYGNTNIAGTNKAILPIEGDENTL VPPSGCFTEEGASTFGFKVLRRGLVPHALKFGVARSPPIDECIKQLLAHPPLDATRAT LLFGYFAQRLPDMKEPNVAKLRNAAIIPINPPNEKGSVRFTSPSLCYLGRDSSYADIF DFVDFGSTANAFLFRCGARTEPTKRELAERVCQEPARLLGMLQVDRYLNLLRSLAESS AVLKSDKTLWTKLQNSKCLLASRVTTATTNGASDDYEDETEMRQYHLEVPSKIVVSDD FISFRIFKKFLLCAPEEETLENFYLMLGARRLRSLVQENLNMGPPVKMPEKAEKLRKH VVERTKIFLHEYASDKKDIIKHDAKWLEKNLTVTLVQSISLRRQLENHAETHTERRSA ASKSDRGSCVLYVAASGTPDMYQIGQALCQVILSRHNHQSYTFFEPLLTLDLLGLRSR GYNVERILRAKAAEARIAEEERRKALEEEMRRVQEREAKWRESQANIIAANERQQREL KEQKEQMPSMPGAFGDDGDLPPSPPHVKKRRSRGLFSNLTKRLGFDTGSQDDDDNNSS SQAGPSNAPTGGQQPQQQQQQEGQVSNPALVHENLLSAINASRPYGSNELFSEPTMNQ VQEQGTYCDSTHGKNLTFIAEASSGMKVFADRGVEDPQSFFSTNSGAVNAFANLLREM ADIYKVAPRVLHIFYDTAGGTIAFNLNGSLFFNFRFFMQLHATGMAQPQAKAEAGIWW WVVMAHELAHNLVKTHSSDHSFYTESFIQEYYVNLMRRIARWDAAAGSPAPLPPSQSS RPRAPANPPPPYTEQTVHRPPNNLFD
SAPIO_CDS8945	MDLVSSIRKSGSRGGVNFSWDEVASSSHRENYLGHSLKAPVGRW QQGRDLEWYAKGPGGPAREGETDEERAERERKEELRKVKEAEEDAMARALGLPLPVRD ASGANAVEVGEGRGLGGGEDADGEEKGEGRRERRRDEAGRRERRRDDGDRRERRSDRG AEKDDCIGGQIEIEAMGETGHNRETGRTAIRGLQDQINEQDDSNRGS
SAPIO_CDS8946	MPSEALAIYGLTVPPNEGPIPASTVNFPARFRITMAAIDPTAQP QADAEGNLPSVPRSTVKLIRVPSSDSDDEDSDDDEEDEYLKALINASSDDEDEDDDDE PNGGPSDQSKSKKQKRAEALASLIKAVQEEEGDEEDSDDEMTDAKPNGVKSKKGKEKA TEEDEDEEEDSDDEDDILAAEELVICTLDTERTYQQPLDITVREDEEVYVLVTGTHAV TLTGNYIESLNEDSDEYDSDEDDEDDDDLLIAGASDEESDELDDVDGPRVTELESDDE EAPALVPTKKGKNKRQAEEAEDLDAMIASSKDKKAKKLKNNKGEAVAAEEKKKEAKGD KKVQFAKELEQGPTGSATKEKAAAKSVRTVQGVTIDDRKVGTGRGAKNGDTVGMRYIG KLQNGKQFDANKKGKPFTFKIGKGEVIKGWDVGILGMAIGGERRLTIPANMAYGNKSL DGIPANSTLIFDVKLLEIK
SAPIO_CDS8947	MSIFAWATRRSGGLAMIALLALSYWVISKEATAPRHPFEYKDRN IEPGDFSKLHGAGNWTVLFAYYCLLIHILVSLFPLRACWSILDLTKNMRKARAKTLRE LKMTGQRRGSSTSLSSSDTLSASSQSASSSSSEAGDFEVEFPNAENNVVHAIIIPNYK EEMDTLRETLDVLASHPFASTSYDIYLGMEEREPQAATKALQLVEEFSAKFRFIGYTV HPGDIPGESAGKGSNVAWAARKLSERYPIEKRKNVIVTGIDADSHLSSNYFALVTSMH ISSPETATKTLYSAPIIFDRNAHNVPAIVRVADILWCAAGLSGLYSGSTIAPPTSVYS LSLELIDRVGGWDCDSEAIGEDLHMYLKCFFALNGNLTSRTVLSPVSQSNVTGGGKDG MMGIYMDMNARYKQALRHMWGALDTGYALRKLVELWRERKRTSRAFRPLHKTIGDASD SYVPDHHPVAGDLEAGPDGGIFSDTIVDNLDGPHWERIFYLCHRLFEAHFLPVQMTIL VFTSALYMWFTKDALDVHNLGWIFSVCNVLRAAGFMEVVVYLGLYERFHRICVKSRQQ EMTDAGLAVGMRFSHRTLKKNLVDYVMAPLVAPIYGAIPCAQAQICHFWTVDLVYAVS KKVTRKRAASLMAEKV
SAPIO_CDS8948	MAIDQIVTEPSLGAVLQIAGHARDQAAELLTLLADAIQADDGSS LTPEAQAHLLKQQKLMFTNIAHLRGLHRAANLSARETKTTTAEARQEVDRLHLQLQNI YYEQRHLRGEISACESYEHKYTQLPLIPVEEFLAQHPEHADADENDLMVARIDHERAE REALEQQRQELLKRKAKLIAENKKRKDDLANLDKDLERFIDAAKPIQKRFEKVV
SAPIO_CDS8949	MDLPTEFSTLPSGCVSPPSEDAVATTVTSTDLDTALSAPRRRRR HSFFIPRRKSIVHQIMDTEEGLLLRVSIFLTELERRLEQIESQADLKVDLSISKAFST LQEIRAKCSFASGEVIGAGRRRLHIMIETLESRYYEGLAAAESLNEKACIGIELLDNM LTEFENRAYKLREQGLANAADTASALLDEGCQVMNEGLERAKEVMEEGMERAIRAAES LEEHIQIAIIRARETRLIHYDDLPVPWRINPHIRQGYRFIESKTDCVYSAFSISNELV NIWSHALGFIVVLSVALYFYPTSPNFSTSSKTDILIAAVFFFTACLTLACSTVWHTMN AVADINAISLFACVDYTGISVLIAASIMTTEWTAFYCDPFSRWVYLSVTAFLGIGGVI LPWNPRFNEANMAWLRVAFYVGLGATGFIPILQLYFSHGPDFVYEFYSPIAKSIFVYL AGAVVYASKVPERWCPGMFDYVGGSHNLWHLAVLGGILFHYTAMQEFFSNAFQRAQGG CPVY
SAPIO_CDS8950	MNTLSLLLLLLFNLNFANADDLLSPNHFSTTIHKRTLQSCEESH GSGFQQCGPAESGYCYNPTQGQSESLQDCATAAGFDIPASASASTSNSNGSFSASTFG RHHKNKNSTTTVRPSGTGATGIARPTGTGSVGRGGNSTIVPPVVSFAGAETGGVALSL AVAVVVAVFLV
SAPIO_CDS8951	MDDQGGSRLQPAPYEGVNPPLSHYTIPWTWWTNKRIIDEHVPPP LYQRTEEDIYKMSADPRLVLPISIPQNNIVAALSSKINDVLIESFNNTTLQGWSRKDS SAGLHVEFGNSPRPDLKFVRELGGSNAVVVEVDCNGTRLAKKTYDPKRLGWLRSAKVI DMKTEITALKRLRHRHVIELVGSFTHNRGHPPEPVLEVLLWPVAACSLEKFCEAIEEV SDRQLSQRYDYSEESLRLLRTIVQLPDETEELEVATLLKRAIRRLYSSFGCLAEGLSY IHGQEMLHKDIKPSNILVYPNRKKLCESDEVETLDGIRITDFDGAKDFSRLGYSTTGD TFGTRTYLPPECTRGKRQCGRAADIFSLGCVYFELLMLLPHFYGRPDRRKYDLYYLSI QAISEQMDGVCNSDSLVMGELAWLIKNMLQNEPENRPIAREISLHLRLINDRLRLGST DVDDLHSLFGRCCQGARVSPASGWVGTPSPWLPALPSLPNLPHTHWNKGNERVDAPVA TVDPRFVTQVLKEKAGGVKVCDNHFLRGTCRFANNCEFSHDASLSQYDLELLRASKKR IPSVSTYYYGITG
SAPIO_CDS8952	MPLPGEASRPFMPPLATELQPPPSPRTHRTLRRLQSAHTLGSKA SSQASLITQQRLREQHQQLQNLPQRSMSPIKRDVSGSYANRSPQRTRERANSDAANKH HLNAVAATGAGASKQLQQQQQQQQSVRKTPLAHANLSMQQLFRDGPPDGDFAGALEAT RYKILDEGIKSDSDGMSSLRIYVWLILLDAPILSTDDYLALIHRGASPAYSKIRNDTF RTLTTDPLFRRRVSEASLIRLLNAVAWKLHDARESQRRSRPPSSRSSLAPDNVSSSNN SPARSVNVNSSPAAKNRARALTLTTEGSESSVAPEPGTYVQGMNVLAAPFLYAARSEA EAFVAFHTMLTRECPGYIRGAMDGVHKGLALVDKVLAIVDPKLSLYLTSRGLKAEIYA FPSVLTLCACTPPLPEVLRLWDFLFAYGPHLNILCIVAQLHLMRDKILKSPSPSNLLR SFPALQADLIKRVSMTILQRIPRDVYAEIVAHAQ
SAPIO_CDS8953	MTSTGTKVGHFLARILGIQLQEPQNLLIEDFHRGSTQFAVDENT FTEDVPTTAEFLESLVLSRDDALAYFKSLFPCINWLPAYNLHWLAGDVVSGVTIGAIV VPQGMAYALLANLDPQYGLYSAFIGPVLYWLFATSKDITIGPVAVMSTLVGGIINEVK LTHPDIPAHVIASALSVVAGAIITSMGLLRCGWIVDLISVTSLSAFMTGSAITIGVGQ IPSLLGILSVNSREAPYLVFLNTWKHLDETSLDAAMGISALVTLYFIRWFFTNSAKKS PKHQRLLFFLNTLRSVFVIFLYTMVSWLVNMKRRSEPAFRILGAVPRGFQVIGVPQIS PSLISSFAKFLPATVIVLLVEHIAISKSFGRINNYVIDPSQEMVAIGVSNVLGPFVGA YPATGSFSRTAIKSKAGVRTPASGLVTGAVVLLATYTLTSLFFYIPNSSLAAVIIHAV ADLVTSPNTVYQFWKVSPIEVFIFFVGVILSVFSTIANGIYATVCISAAILLFRILKA KGAFLGKVRVHSVLGDHIIGEDHRKVVGSYGTFGGSSDYAARNVFLPITHDDGTNPLI AVQNPYPGIFIYRFTEGFNYPNASNTLEHFLAHIFARTRRTTPMSYDRPGDRPWNDPG PSRSSKKTAQRMRGGEEEIWESFYEEEEDGLPTLKAVILDFSSVNHMDITSVQRLIDV RNQLDRYAAPGVVDWHFACISNRWTKRALASAGFGYWTDGATGESRQSQWRSIFSVAE IGVDDEGDEVEDNEKEWATTIGDGPQQRPNGRHRGDGRRDSASDSKKGDGGDLIDIEA GESSPEFRMAAGDASSGGAVGQRQTRSRMKRKGAVIHGLNRPLFHVDLTNALQSAIAN VEARYEAEHSSGVVMTGPSGL
SAPIO_CDS8955	MALQEDAATKALRGLCIAPFFDAKKFPADAGLANWLSVAGALCC VFLLLSYAFLPVDKTLRHYLSISIVSAVVFMNLGFIIPLAAQPDQCFNEITPHGMSTS TVCAASATSLLFGGFAGVMWVFLRAVSLHLQICWQQNVGRMFMIFSHATGWLIPFVVV TLALVFSGVSFRFGATCHINHKNSLADFWIPLLIFAGLTVLFQFATFGYCIKVYLASL ADNSASTEGSTIPYTNSVVTLSPRQAYRRVRRVIQLQWKGIAIVLIIITDVIFFSVVF VFQDNTIQDVKNHPEISQAWLACLAGAIAKGGSKDDCLKEASKLVADEGTIIAVLFLL AINGIFLLFLLGRWSMVYGWIDLFKGLFGKKSNEFVSVDARLDFKNDQRSYEMLSNDE SGVVTSLPSVHLSSMSPTPSGGFKTPDYYGQTARYNPPERSFSSPRPRTSQTPWDSTT TYARPADSSHNYYMHHPYHNRDVERNGDMNPLGMNKI
SAPIO_CDS8957	MSDLQKAWAKAKLSALEPFDEASEMGEAEEEADGLGELPEPIDD DSSSASSASSTGTVIPSPSQRLFARPQAVDRGKNLSQIPWTTYFERELFLKAPDSTIT HHAYLNSPSGKSPLFVFHHGAGSSGLSFAVLSSEIRKHMPSAGMLSLDARGHGSTTDS SGAALDLSLETLTADLLFVIRAAREKMHWAELPPIVLVGHSLGGAVVTNLARQGTLGN AVLGFAVLDVVEGSAMDALQSMITYLSTRPAGFPTLQAGIDWHVRSRTVRNLVSARTS VPALLVRDEEEDGEDGGRRPWKWRTDLAATQKFWEGWFVGLSKKFLEARGGKLLILAG TDRLDTELMIGQMQGKYALQVFPEAGHFIHEDVPEKTAMMLVDFYRRNDRSQLVLPPK VSELLKQGKRV
SAPIO_CDS8958	MRLTSNIFRAACVAALTSSALAADILQTVSFSSCNNKTSTVQVQ KIDIQYNKENQTVTFDVQGSSSRIQNVTAELKVTAFGAEVYKNSFNPCDRGTFVEQLC PVPAGTFGARGVQEIPKEFADLVPAIAFQVPDIAALATLELKSLETGQEVGCIQSQVS NGKTASVPAVSYLAAGVAGAALVVGGVSAVSAALSGGASALGGGAAGGSSVGGTGTIS PSFTEVFGWFQGMAMNGMLSVNYPPIYRSFAKNFAFSTGLIPWTQMQESIDDFRGKTG GNLTQSSVAILRNTTLVFPDGSTANANGSLFKIKRALEGFAVLAARQIETSIDTTTPV AETAGEDDSMSPVKQAVTGIQAYVQQLSIPESNTFMTVLLVVAIVIAAIAVGILLVKV VLEFWALFGSFPKALTGFRKHYWGSIARAITSLILLLYGVWVLYCVVQFTKGDSWAAK TLAGVTLFLFTGILLFFSWKIWATARKLKSAEGDTSGLYENKDIWVKYSLFYESYKKD YWWIFVPTIVYMFVKGTLLAVTDGNGKIQTSAQIIVEAVMLILLLWSRPYERRSGNII NIVIQVVRVLSVVCILVFVEELGIAQTTQTITGVVLIAVQSALTGILAILIIWNAINQ CCKENPHRKRRKEMEKMVRDMDNLTPLDARNSLLLDRSQLDNKGSTTFSMASAVEKSD YEKSGYTRSPSPERYLGYDSSYNKPQQQQQLSPNNDYTSPYRPITPNTPLSYGGDQTR EGLIRNAAPIGQFDSRQPTLPNVDGYGQQPYGNAYGSGYNNQGYGRAYY
SAPIO_CDS8960	MCGIYASISRRDGLVPSSALQSRLVKRGPDHLGTTRVSVKSGED SQVAEIFLTFQATVLSLRGRGITEQPLVRATSGAILCWNGEAWTLGGKTIAENDGAAV LSTLDAASGEDAVLDVLQSINGPFAFIYYDPRDGGRIYFGRDRLGRRSLLVHDGEDFI LSSVADPGLSGWVEVEADGVYSLDVARLGDARSGSVTMPMVKRHVWLPEDLGEYSLSL RVLNIPQPPRVPAVSDYEVDTRVAVLFSGGLDCTVLARLASELLPPEQGIDLINVAFE NPRIAARLQPAEDDEFAIYEACPDRITGRKSFQELRDCCPGRRWRFIASHRSEVVALM HPHNTEMDLSIAYALYFAARGIGIDHTDPETPSSARLVSTPARVLLSGLGADELFGGY VRHATAFARKGYHGLLEELKLDVGRLGKRNLGRDDRVMSHWGREIRFPFLDEQLVKWA VEAPVWEKCDFAVPGKESVEADKRVLRLLAAQLGMKSVAREKKRAIQFGSRTAKMENG KTKGTHLISG
SAPIO_CDS8961	MERSHRPPPLNLTPPGSQRNSRQSSVSRITISVKGQSPTTISVF EEDTDDWNTGQYVTALRVFSDFVHILTCMILLLIMAFFLNYDDGAISGPFGAPAVFLL VLLGLDIILDFQSIGRYDKMWKGWALVLRLVFGMGYTSTFIAYIAMGRAFPAEYSYWG LTAQYATPMVYIFLWILGVWNLVYCAISRRQFTNEIRRYRAALSGDEQTSNTRTIKFG SARQWDAEARAGMPRPAVIRS
SAPIO_CDS8963	MAPNPRRTTRTAVTRSCNDNKGLSLNTTVTLRKSPTFHSPTSPS SASSTFVPPSIRRSQTNLDDVVDAHRRRAALIVDDVDKSLSKNEPESPSSSSRRTMRD RSLPLPPGLLDLPVVDPAMADSERRVLRSRNRRASGNHPSDSGLGTSITETSAKRAAT QGSKKIGVSAITRSAATTMSENLPGLSQRARARIQEHTLRPLLAKPSLKDFRPIVLDI PRRISDREIICLRDLEKTLIFMAPVSQLMNDPGVWGDTYRFLRLKERTKTPELYLDFC LTSVRCIQTTAEFLSEREKTRPTDRLYTNAYFMDLEDQLRQYASQLAATKQQPATATS GEKHDDMELDISDEIRLLGGLAKNGRPAELVRVKKDGRAFSMATGELVNLDDEDAKGG AFRIKRSSSHVEEEEEDILRSMARRKKNPTPEELAPKKCREPGCNKEFKRPCDLTKHE KTHSRPWKCPVKSCKYHEYGWPTEKEMDRHHNDKHSDSPAMFECHYKPCPYKSKRESN CKQHMEKAHGWTYVRTKTNRKKAANAAESSSTVHPTPQLTNMSTPESHLGGLATPGSE HSEQFMDLPDNSLTFPKFIPNDDLFNINQPPIHIPELDNMHMEVDLSPVEPFNVDTPS TGPFEHYSRFQDGTGFTLDDDLYTATAQMPTPDPALYAKVMPQQPFLAYPDAMGNAQP EYLPTPHISPIGQPSAMLYTPKSLQEVVDEGFEDFTQCDGGFDHDFALFPGATKMDSF EPLFNNEMPSAGMGYSQQSQDMLTGMDWTAHDFGAYNE
SAPIO_CDS8964	MGPPRGPRGGSAARPSRNVAGARTTDRGGIQKRRAAGPRTDMDG DLDMDGEGRRAKRPAVGDAKTSRPTRAGRGGRGSAAAPPRMPSKQAQIIARHLNGGGP LASRIANVTTRSQRTPPTLVWLRVKGLKESKAATNPGGGVKDLLHFLERKATSLANRT SNRPVAIKQHREQGEFILVAATKEDSEELLKVNTFTFAGAALSVTIDEQQGPKEAETS ADAKLLRDHLQSILSNRYAGAAKLLKLDSLSSDPAIVQAGLLASSERAEKMFKALMRV CDDLFKTRKEKSEAIESISVANNSIDNVNQVAVLGDTFPDLLNLDLSGNKIPNIDGLL ALKGRFKRLRALYVANNPIETNQPDYKTTMLEWFPSLQDLNGIQVRTPEQAAAAQAAL LPKPFPQGGPDFRDANNIGESFLLDFYTNYDNHRPELVTKYYDDQSNFSLAVDTESAR DPNQPPPLPWAAYLKFSRNLTKITHPPARIQRLFKGAAVISELWKTLPATKHPDLKQE VSKYIMDCHPLPGLADPTGQVKRGVDGLIITIHGEFDEFDQKSGSTGKRSFSRTFILG PGIPGKNPIRVVSDMFSLRAYNPLPNIFAAPAPSPATAEAAVTAEQQQQRAAMVAELC KQSGMTPQYAELCLSDPSVNWDFQKAVAIFNERKTNLPPDAFATRSS
SAPIO_CDS8966	MEPSFEAVSNDQKSGAYVAIKVCTREQEDDFNNQAKNEIAVCRV LQAGDDQHIGKNFVRLLKDSFVVEDISPNNILTGVTDPSLFSELEEAETNHPAPRKVL ESRVIYGSRTLPITNGPPVLSDFGSARIGAVHRGDVMPDFYRAPEVILGMEWGSKIDI WAAGVMMWDLFQGCRLFFGLKNGVVDDEQHLAEMVALLGPPPKSFLERSEACKKYWDS EGNWIASTPIPDVTLEMRERYLEGVEHDRFIEFARGILQWLPEDRPTAIQIVESDYLM ELHRTSAESKLE
SAPIO_CDS8967	MKSAIIFTTFTAALGFRIPQNTLGSASQWEAPGENDFRGPCPMM NTLANHKFLPHDGKNLTKEVVVAGLAAALNFDPALGSLMFDMAVIANPQPNATFFTLR SDAFFGNNHIFNQTIFDQARAYWTEPIIDAQQLANSKLARQIESRAYNPEYTFTAMTE EFSLGEVAAPIIAFGDMDAGTVNRTLVEYFFENERFPTELGWSRRDDVVTQEGVQKVA GMIREATSLITASDRGLRVRDSHFGASF
SAPIO_CDS8968	MEAQTTGHLSGDPWVEKKDILHRLYVQEKKKLTEVKTIMEKEYG FPSAPISTYETKLNRLLGLRKNLKRSDWLIVEKRLKARGQKPTEVLLCGKIMEPQKLR RKITRARAKAALLDGSYITSQKPFLDLSSEIARFYDTDTASGLGWQAQRGFTRRSVGI SVIAVAALMKDSPFSCFRQDLRQLSFVDNPPRFDSTSIIRRLIEQGVSPYAEIRTALL FKRAPFHIAADNCNHSLLSYLLDAGIEPHHRDSKGHTLLGLVMMAKADQSDKVACIQL LFEAGADAQAPMKSARMDGFEPQDPSALDFELSHVDWAYLNVPELYHSCFEKYESTPN LTISGILSASVNGPEALKSYVNSKSFFCRPRRDLMLEKALYFLCTLSGIERRVKAARE LLSLGVDADAVRFRRSMSSRLCEGAFFPTYISIPPPVERILSRMEPDTLDQDLELLTL FICPGATIKFRVALTQAASTNQIRALELLINTMNDADIPIHGVPALVCVARRNNADAV SLLCKHGVSINSQLHNRSVLAHALGPGRDGRFPSTRANAAMFQLLLDLGARIAPPADR EILEIILESDTKDKCEKVEIMIRQGVDFSCWNGLSLTLAIMTWEEWYDSDTPDPNSWQ PRAFGLLLDHNFPVTQTEFCDRRGEKECALSFIILMKADVRLVRRLLDAGASINPRRE ECYRTPLQAAALVGNMDLVNELVRRGAYINPPAPGPHSDPVLVCACRSEEAKLDLIQY LIENDADVNAVSPKLQRTALEVASRYGRVDVLTTLLDAGARWNRSGQKMSALDIAARW GHIEAVHLLVKIGVTSAKPGKTGYDYAIELADKGDHWTVAEVIRRYAKEKRA
SAPIO_CDS8969	MALRISPRSPFEECQVGQILVLKADDAHPALSSPSSPIFVRIRQ LHSHTLSCNMVFDILDKEGSVLLDSSPAFLKLFDRRFAIQHRQDNGVELWTREVEVEY LDALKTDTIPQFLDDLHNIENFQDDTEEDWDKTQVEAFLIDELFPLYETETKVYRTLQ EYQGRLIPKLLAAVTLELELDPINLDVTSEDKDRPPEALELLHIKGILLQYIQGFDLW SMPDHAPRTSWQNIIDQANDYKVFMIDFALCRFKGENESDAEWADAKFTKDEEGAVGL VMEKRLLNGYGFELHYETSLQYLEEVERGGL
SAPIO_CDS8970	MSMLEENLYWTRMWILQEFALAQRQPLLLYGGSTLKSSLACIAW AKKDGGLVSEMKRTLQELGESPPSDYMTSMELEKIQDSVSHFGESVRFYHGASLHLGT REQFQQGDMTMSWLMVNTYWLRSSDVRDKVYALYSMVPDSYKLPKPKYDAPVDDILRS VVVRVIKTERSLRIYDWISPSRLESGFPSWTPDLSDVDECYKRWSYCFLRSSTFRRDG EEACGGMPASVSVSSDGLELVVGGFELDRITSVADIPSEDEPSQCPGLWDFVSKFQSI YAKPSKEDLEPDAARGIG
SAPIO_CDS8971	MRGSFRRTGREFLGVFTAALREAVSPSHPSPIGTTESNNGPFHY TPLASTTSFRILHLKRVMRFVGEDKDMPLCGSLIEASIDAPPEYYALSYTWGDPTLCA SIEIDGKVLGITANCASALRRMLRGKAERYIWVDSICINQADTPDALEERGGQVAMMD RIYRSAIQVNVHLGEGDPAAEVAVMALKSLGTYCTGAMLPGPQQSFFRRKYESLADDV LTITPEYPYGKLHGMFRLPWFRRTWVVQEVVLGRNVLFYCGKHLVHWKIVVAGADFGV LPYSKIDLDVSHRHWKSYLDYHDAMNEFIRRKEEGEPVSNFRLTLSSVLIPPALMLEA TRPEDKIYAFYGMCKRFGFELPAPDYQKPLEVIYTEAARAIIRYEPGLELLSCVCESS GWERGLPSWVPDFSGCIRRWSPSNPPHMAVFGKGKPDLSGHTQWEYELTLDGQALNVK GRRLDVISASGLPWMTDASTNLLGDARMQTGQSITSLIDCIGSWFDVVQGRSDHQEAE SAARQLTQLLTQHASQSKPLPAGQLDSFVRCVSVLVARSRSYPGSGQVILMDPQENLQ NDTRILRTGEHVLSLGMHAFLSTVAVNHWKAVFRTVGGYIGLGTHTVQEGDVVAVFNG SSLAAVLRPWGDWFRYVGPAYVGGIMDGEFWNARSPEHDEWFVLI
SAPIO_CDS8972	MVRIQTIAGLLIGQSTFYVSAASIQPLALWQADSSATNLISSRN LQGTDDVSITVEPAAPMIMTPLRINRGGNTKRDRREALAPQAEESLYWSAQDGTVAEL TLSMPGENENIVNLERIDDMVRNIECLRNGTGQVKIQFAEEADFDDAEDIWQWVNQEP GNHFTLLVGAGACGWNENQRVLYTVTGLVYNDESETVILEAETTTWKDSVHTFSLNIG KPAVVNGAPVQRRTMAGVRGRRGFFDKVRDALKGATDKVVDTASDIVGAVGDATDKAV DTASGVADKVVDTVTDAAGKAKDAASDAASTVGEGAGQAADKAVDTATDIVNNVGDAT DKAVDKVVDVVGDATDTVADTTGSVVDVIGDLIDPDHSADFSIPFDSDFTGKSLTFSV DGVDVTASCTECTTTGSFNIRGSFRVNQFLTEEAWIELSTGGVTAKAVLGLTLKGALT GKLAEKSVPIVKFSPAGVSIPGVLTIGPTISVNLGAEISEVRGSVGVTLGGTATIPAS SSRLDFLSEDKTTATGWEPTFDVAPFKADASVEAKATAFLKAAVGLEISAVETGFSAE LSANLPALTASLKAVTSATCTVCGDHQSGIQGSLTLGTSVGVSLKKKVLGDEEPLWSL SFADAKLADLAAFCLGIGPSGDQCLAKRFAEEWDESAVY
SAPIO_CDS8975	MSKFGVMIMGPAGAGKSTFCANLITHLHLNRRSTFYINLDPAAE SFEHQPDLDIKELITLEDAMDIGYGPNGGLVYCFEFLMENLDFLTEALDSLTEEYLII IDMPGQIELYTHIPIVPNLVRFLSRPGALDVRLCAAYLIESTFVLDRAKFFAGTLSAM SAMLMLEVPHLNILSKMDLVKGQIKKRDLEDFLTPDSALLDTDPIERARMREEGAEED EEEAEFGVNPAERKAVMKGASFRRLNRAVAGLIESFSMVNFLTLDLTDEDSIGAVLSH IDDVIQYHEAQEPREPNDEIEVDEE
SAPIO_CDS8976	MAANNVNGNGVHAELSSWQHYNQGTFLFTSESVGEGHPDKIADQ VSDAILDACLRDDPLSKVACETATKTGMIMVFGEITTSAKLDYQKVVRDAVRDIGYDD SSKGFDYKTLNLLVAIEQQSPDIAQGLHYDEALEKLGAGDQGIMFGYATDETPELFPL TLLFAHQLNAAMSAARRDGSLPWLRPDTKTQVTIEYKHDNGAVVPLRVHTVVVSAQHS ADITTEKLRQEIKEKIIKKTIPAKYLDDNTIYHIQPSGLFIIGGPQGDAGLTGRKIIV DTYGGWGAHGGGAFSGKDFSKVDRSAAYLSRWIAKSLVAAKLCRRALVQLSYAIGVAE PLSIYVDTYGTSEKTSEELVEIIRNNFDMRPGVIVRELDLDKPIYLQTAKNGHFGTNQ SFSWEKPKALKF
SAPIO_CDS8977	MANMPHQAYEDGLNQGTSFDDIVVGTANASDAPSYPPLGSSLPL NNNNNNGSGLSEAFQRYLRTMAATQAQAAAMEATQPRYAHTPSGHQHHATHALQAANG PGHSPAIRISISTYASVQTDDNIVETRADPHVAARALLGDVFRGLTALRAPIIDTAGR PRPIDIDIQAYTNVEGNTNIVGGSELVKEAVKTQAVRGRAASAMIQAEGLRDHYIDAI NALALREGELFGAQVDDSEPKVGSPEI
SAPIO_CDS8980	MAEATVPKTCKVVLAETIARNLLAEVQESLSAMRAKGIEQPALA AFLANDDPAAVKYAEWSKKTCEENGFKFDLRTVDKELLEDEIISANQDPSVDGTIVYY PIFPNNPTHDKYIQETVAVTKDVEGLCHTHLQNMYHNIRFLDPPQNLKKSLLPCTPLA IVKILEYLQIYNSILPYGNRLFGKTITVINRSEVNGRPLAALLANDGATVYSVDITGV QLFTRGSGIKQPRHQVLDKEGWTVENCLPMSDVIIGGVPSDKYKVNTELIRDGAVCIN FSSYRNFDGPAVKEKASIYVPSVGKVTIAVLLRNLLRLIDNRQPSEAPKASES
SAPIO_CDS8981	MLQRLGYTALLRAAGPLKPEIRLAQAVSLFEADLSPEQKRTFRS RRDNSLKSPPDIQDVMHLTAEIDRHRLGSGPCLGPRLTNMLQAIQQFAALGDIVIGGA QNIIASGVWTLSLVNFSSCLEKMSTLFMIVGRSAPRYESMALLYPRSRTLQSHLSEYF IVVVRLCHQMLKFTRKSVWGQLASFLSDSDMNGYQSELDRWANMIKEEVSLLTAQNIK EQGAHLKVLSKFSAAESHRQKLKAHVRVLNYCSTYDYQTTWKEIRKIGKSTLLEKAPE YQQWKAETDSQTLVCTGKLGTITIPEDNSDIGTFITTELGRRMECTGSTKLNIGDPML ILEIRDALLRGAQGMFLWVSLQIESLCAEETDEAVRQALANLPKDLPTTFYRILQKWE GQGKKYQTRIFELVLAARQPLTTEALREALSVVPGDAVWRPASLLNDVYSVLSYCGSL IIVDEEELTVRLMHHSVKQFLLGEFKDASGVGFTMERVNKTMASVIVTYLSYGVFDTQ VSTMVVPQVMMGMGGAPSRIIHSTTETSGFVRDLALKLLKSRGQANYNMAVEEGHDEI VRLLVEKGADVETTGSSGQTLLATAVAGGREAIVRLLLATGRIDVNSRNHSGQTALWI AAERGHTTIVGLLLNASFGARLVDSGDGYHHTTASSFAVDKRLEALNVLVPRGPILVN FRDSCGRTPLWLAASRGYTAIAELLLNRIDVDVDSADEYYCMTPLSVAALNGHKAIVE LLLDTFGVDVNSRNYFQQTPLSVAAEMGHTDIVELLLQMLHAVDFSSSGESRMTPLSY AAKNGREAIVKLLLDTGRVNINWRDSSTWIPLYWAAKNGHKSIFKLLLASDQTEFDLT PEDGVYGQTILVWAVRAGYWDAVEELLATGTLDLAWGGSGNKQTLLPWAAENGDEYIV KVVLGTGRADVNATTFLGWTPLLCAVIKGHEAVVRLLLTKKTVDINSKDRFGRTPLWW AASGGHEGIVKLLLSSDRDVDVNSPNPDRQSPLWWAAAKGYEGIVKLLLRTGRVDVDA RDSLLQRTPLLCAAVKGYASIAKLLLDTGKVDVNARDAENRTPLLWAAENGHFSMIKL LLSVPEININVTDTYNRTPLWWAAENGYEYVVRLLMALGKDRQVDVGTMDSIFRQTPA SRAAKKGHDTIVYLLLRRNY
SAPIO_CDS8982	MADFSQLALQFVLTDDSDARAQIADKAAQLIKSSPKNTHPVARW VESIGPWMPGKEDPSMADATEGSKGDIIARAKGEVLREISQLYEFNIDFYLSALEFLA ITLERLDDDVLIAAHIKLLVTFFGAMFSVDHKAGILASAKALTTISSMKAFPASSGYD IIKNVCAMGNDFTKQVADTRLAIFNLFQRLVTDPRVSKDLRTRHSDCDFMTDMLELFG NERDPKNLIAWFSILVTLSSEYDPSSDLTEKIFSAYSAYFPISLRTSRHPSGITAEDL KVALRRCFAADDRVASHAVPYLIQKLNQGDGITVDVKLDILRTLKECIVNYKNPTASV DPYVSKIWNCLKYEVRNGEVTETINATLDVIRSMTTRLAGEPLRNFVLTVLRECTDDL SNAAYTEKAGKLLVCTASASPGAFSLIIAPTVKHAVENLRHAEARDHKRDILALLNSI LGVRSLFVEGGLDLGKEDKDAIESSESVLQLLYDDAYKQSLHSDPTVSKKAVEGMGLL ASQASVSSIHALLLNDEALHIIASALIDLLTDRLSDVVDEVVVALQRVVMVWPPALDA LLARIVDVTKSLDGTEDSVDYLVRLTSRLAFIACSELPRSPESTFDYLTKLASQLVQS LDNLLTTSERPSRLWTVYPAALQSALRYFRDALNNKFEVDTTSLEFPESVSADTWAEQ QFEQGDNTPEQLYNRFFLISLHFIRLLYRRSTRLVATDGLTRLALSEEIAKQGPASDQ WRDQYLHLISSVATLTVQQMSQAQQSQLRLYEDVATLFRGRDKDPGGGDLEYRDVVLK PVQDLSVYGTGDLGNLPPASAREQRFPIALSLGILQPLYPKVVESLFETGFGQEFIVS KLLVSADEGDHGQQRLGYFLLILANKYKIETIPKVLALAEHQLGAITSGGEVLGASSQ STNTRASLAKEIYGLIAGVLQRYTGSSLEGVFAHLLKGPANTELGHVLARDFDVLFRP HECLTKEFRATVKPLWPQKAYVKLVKPMLPLAWPKKSDSQASDVVLANYSIAILSAIP HLDYTIYRDDAADLVRLILCVLRNLPVGVDVETALRVLETITKESTNVLEPFLKSIID SCTSIMTSSGPQPDDRRMAKVSARCRNLAILLLGYLPGRYEDRHLLGSVPRMERLLSV ACGDRVREVRKTALAAKLVWANVK
SAPIO_CDS8983	MATERISVYSLAGKRSQIPISRKELPGDLTDLNADLKNTTDDAI PNYLNSLKIKQVHTTTDVRLALGTSAFLIAAACFGWDYKFGFESTKYLTAGAVVLYMI LNGAMTLWIWYVEKGLVYEGVAPSGDKIRIASSTKPYTPTYNLTITQTPKSAPSKPQT LKVSRPFSDWFDEQGRFVTVPFQQMIATSVPLVAALDPKRAAKPDEVSLDTASPEVLD AILAASGSASAVGSSSAAEKTVPKSSRRRKA
SAPIO_CDS8984	MAQVPVQMIHRDPQLLYWILFPITIVMILTGVLRHYAAVFLANA PKKLEKPAMREQRSLLHGISVKNNFHVLSRRSFEARRDWLIPAFESGAFLKDPDRKGQ PAPNPLTDPGAMDGMMGMMKNNMAMIIPNTLIMSWINAFFSGYVITKLPFPITIKFKS MLQAGVATKDMDPRWMSSISWYFLCIFGLQSVFNFLLGSDNAANQVAAQMGQMGPQAQ MFGPGQDPDKQFQAEAENLAVIDHYSVLDDIEDRLLESVRV
SAPIO_CDS8985	MAETSICSLEKRTKIADLVQIAPEDFSKQSAVAIEDNINAKYSN KVIQNIGLCICFYDLLWASEGLIGHGTGLVNVNGNSTPQGIHLRTDFFDDIFVPYDQL PEGCEYNHSDQLWIWNVEEDRLYFDNHEMARIQVIDEEWHDQTPVGPLQSSEDNPPKP PYKITGSMRKEGLGPCLWWDG
SAPIO_CDS8986	MSNYSYGPPPPPPPPSQAGHQPYGHHPNGYTQSLPVRGGGSHSR GRGGGYSYSAGRPEYSQPPAQPPYQYSYSPQTPAAAYPTDGSYQSTQWQGEHGPAPHA PSHAPAPISSANYHPNYAQAGYAQPQYGSHPGYAPPAQPPYAAPYGAAPPPASPQWSA QPNHQPHSGYQGGRHRGGYQNDRGGSRSASSARSGSYRDDSAQAAGAATGYPAGAAPY PDPRAQAPYATQPAPQQYHYAPPPPAPAVAASGAVAGAPGHRDPYFGHGHGNNRRGGR GGSFRDGNNRGRFHGAGDKHRHKKPNGTTQQQSNNANKHAEQPAHGKKKKRKVNTLGL TPGDASGSEEEEDDEGEEKKLSELMGNEVIEIPDLAAYIAERKKRYPTKARVEAKKAA EVAQKQQEDEETSRLEREADKLRRQLKKVESSIKRKREQQDEGDEMRDSTLEEDSDND KPEVQSSRAPDSATAAAAAVPSQPAKKADITRHCKYYSTGGSCGKKGKCRFVHDPAVR EAAIREKEANNGQLTIKQRLILNDKDQEDLTVLESIQYLREKGLVKTTSASKPGSATA ASPSSQSSSNKPSKPPKPVGSTLSTLPAPPPSLPAPPNRRENGAAAKSSNAAPYAGWN LGGYGGTGIKPEDLP
SAPIO_CDS8987	MRGFFEQGDARTKVFVTAATKGATGLQRHCLRTYRHGGEFQPEL TIAQACHAALAASGLVDSCSIPAAGFSYTEGLPAWNNPVQQVLDEANELWPGCPIWCL LSLGTGIPQGDSLRSLPRPMARLISEFAIEAAVTERVFETAHSDLVISGRYTRVSVSR GLDDSELHPGMPYPLVDQATGAYIDAEGSATRRRVALILRPYEPPRSSPPPPPVQPDQ QEQPGDVASPPYVEDELGPESYASVMGEKEGPSNEKRVWSDEKEC
SAPIO_CDS8988	MASGIGNLLAQITGEKPSSSPARPVPRPFPVPKRKPDEELRRDP AKSLKTGSGNTPASRPLARPSLPMRPDDKPSSSLTRPLNSSRPLDNKASSSSLSRTPS RPDASSSRYNGMAGSRPSSAPAKPVGVTKPASSTTPARPPKKGSFAEIMARAQRAQET MGQVGKIQHKKVEKPSLKKEKDEPKPDPRSAPRKPISGRNAPTSSRPNAVPSSSAPSS RDPRRGSTSTNTAKRKPSVAAAAPEPEPTKKIRRPPTTGYAGTARPRPNAPSTEKKKP TTGGVILNPTMSRYGSDKRRRSSRYEEEDDDMSDFIEYDDDEDEGGMPGRHYYSDDGS SDMEAGMDEIDDEEERAEYLARQDDWREQQLEKRLKLEKEKRKREALDSLRRR
SAPIO_CDS8989	MANSLRLGLLAGLYATLHLVAGALAGENFQQILSSDPPQHDATR PSSSGQTGLRGKFLHITDFHPDEFYKSYTNVDVEACHRRKGNAGPYGAELSSCDSPRS LANATLEWVAANLKDEIDFVVWTGDSARHDSDELLPRTAAEVLRSNKYLADQMFRTFA DDQNPHRLAIPVIPNIGNNDFLPHNVLLPGPNQWLQHYTEIWRSFIPEEQRHSFEFGG WFSVEVIPNRLAVFSLNTMYFFDRNAAIDDCVNPVEPGYKQLEWLRIQLSILRSRGMK AILIGHVPPAKTDSKELWDGTCGQKYSLWLRQYRDVITGSIYGHMNIDHFVIHDTKEI DMDFIGGAAEEVSDESIDLDFGDDDDGDDDDENEGDDDEEDLEDVVEPKKKDLVSATS SKGKYLAELREAWAKLPPVKVLCQVEQEAETSDVEDQGKRRKGKGKGKKRKSKKQRKK QHLKKLGGRWAERYHVSLISSSVVPNYFPSLRVFEYNITGLEDVPRWSPPNEGLPSAR QYTEEDADLVDLHQEIELAKAIRAANPGKGGNKKKGNKKKGKGNKPKDPSLVIPDPPS QTAIPGPAYSMQPLTLTGYTQYFSNLTDINNLPADGDPKRKKKPEAKEFNFEVQYSTF TDKVFKLSDLTVRNYVRLAFRMGLAAADEQKAKNPSRSVQDEPDEDDFEDEDDDDEGA DSPDDDDVEEESELVECESIDGTALKKKDKKRRKKESDRAWRRFVKYAFVSTGVEETY NLVGARRDGDDE
SAPIO_CDS8990	MKRKADSSSAGGRHPVKRRSKSNLTAEEAKQRFRKGLFDKSVLD QYTKQYATSGPYKHAVINKLVDDSLLRNVRGEIRDNVSFTPKETDIYKIHQSGDLANL DGLDDEALAKLPSLLALRDAIYSETFRNYVSHITGCGPLSGRKTDMAINVYTPGCFLL CHDDVIGSRKVSYILYLTDPDKPWKPEWGGALRLFPMKETKGADGQVAKTTLPDVTKI IPPAWNQLSFFAVQPGESFHDVEEVYHAETKKQLEADGGRIRMAISGWFHIPQIGEDG YVEGAEEEQVKNSGLMQLQGNPDQYDRPLPQPVSVSAAEKARGASAAADFDEADLEFL LKYISPTYLTPDTLEQIAEHFDEMSSITLPSILGQKFSARLREYVEAQEKLALPEDSA VIEKKTPWKVARPPHKHRYLYQQPTGPDELRTSQEESPITELLDVFLPSRQFRQWLQL ATNCTIEDHDLIARRFRRGLDYTLATGHEGKPRLELNLGFTPTPGWGADEDEDEDEEE EEEEAPSSAKKSNGSSSKSNGASSKSKSKGKGKEAAKPTPAATADEDVGGHEVYMAGD DDRDQDAAIYKTAGENDEDDDNILFFQPAAWNTLTIVLRDSGALKFVKYVSRSAKGDR WDVSGTYEVEEQDGEGKSGEEESSEEEFNGFSDSHDSDSD
SAPIO_CDS8991	MTTPDIAAIRANFPSLTQDQVYFDSAGGSQILGSVVSSLVTHYT VTNVQLGPTYTAAQAALRNYKAGWAAAADYLNCKPEQIVFGASTTQLLRNLVNALQFD KDDEIVVSIIDHESNIAPWLDLAERQGLVVKWWKPETLTGDVSGLLPLITEKTKLVAV THASNVLGNVNPIKEIAKVAHEKGALLSVDGVAYAPYRPIDVADLDVDFYVFSWYKVF GPHISTLYASPRAFPRIRSLGHFFHDTNTLEGKLGLAGAAYEFVQAIPVVTAYLAPEE TRRAIDANHTAILRPLLEYLASDPDKFSVYGGTELHAGRLPVVSFSVKGWSSRKVCEG VEEVSNFGIRWGHFYAPRLVGEVLGRLEDGLDGVIRVSFAHYNSVDEVERFIKVLDSV LSKSPEA
SAPIO_CDS8992	MAPPAIIAPSILSADFAKLGEECSRTIEQGADWLHVDIMDGHFV PNITFGAPVVTMIRKHVAGPEGKFAKGTFDCHMMIAEPKKWVKEFKAAGCNLYCFHYE AATSTAAESPAETSAFKTNPRDLIRYIHDCGMLAGIAIKPATKVDVLWDVLDSPHAKE RPDMVLVMTVEPGFGGQKFMASELPKVQELRKRYPDLNIEVDGGLGPGTIDQAADAGA NVIVAGSAVFGAKDPAEVIRLLREAVEKRR
SAPIO_CDS8993	MTTETSPRTVRFTGGEDDARPEKSKAPRPSSLIIDRSIDDDDDY ADPRPRSLGSESQEDLTSLARYAESAGIPTPKSSHAPSVNGSSRSSRSGTTDADGQQL YSNGSTPRPIRPTAPARTPSSTYAPVRRPIQGNSSSSFNDHARSSSKTRRGDRFRDRA YLQRLRQDFGSEYFNSYSNGGISHGDSDSEGETPSSEGPFDERNEEIIMFYGNDDIQP TEEDIKDPANRERLEWHGMLEAVLTGDVVRQEKKRLINSTDQSDGKSPRRNEEIWLGI RSKVCGRHLPVQRRMVEDARSVLDRTLEEVINFQIKGETEAGKPPHEQVKGIVEEIEK CGNYYPSWKALVQKHKAAESPGFVEAYGAIMAWHNTNELLNTELSILKKWVGNDDLDF TKPREPSLSNTSLTDDSSFIDRLMKEDGLRTLYSEEDDPDKRGDVLDEDRRGGPEPEQ ALAGDLDPHPLPELERVGMHYSWNGSDGKKKRDKGMLVRISSVMRKSKRTLIENYSAF EKRHLPLYIEELLTLISFPSRLVEEIIKVRLAYARRVKETAQQNPLMLDQMISQFQLI LKLAIRIKQEYLAISQPEPGWELPPCIDESFDQVVLDALKYYFKMLNWKLSGNKNTFK EAELLFQEWDFGNHIGGHLQNGHIEVAEQFSSLTFKAFNRLSQTFEKELQVKPKESAA ELSKRYKACLDSVRVRQRMLQRFSRMLGENYETASDLSISFLAEKMQAFYDRLIETEH FRVEVPHFEKQGIFLIASPSLRYRHDDIQTLLAVTSRVQFADDDRKPYLLLIRPETPP HWFGDTVQLPIREQNIDLKRGYIRLCASGSLGRLEDTRKLFLDRLNMHLDLVVEQRSN IHKVNSRLTETRKVAYKLSNTFMDSAETIRRQTKGKDCQELVQTCFLFATEFGQRSLM YLDSNRKQMNMLKLTKLALDWVSFITDDCIASDKRTFRWAVQALEFAMGMTRGRHILA LGEDEYSKLRLKVSGCMALLISHFDIMGARSMLAAKAEKERSIATLMGQIKKKLDQNR LLDDNEAAKYTLEHRLEELEKVDELRRQTEAARSATGRVLETTNEVDRSLAYLSSSAT YVTMRWQQGSFIGGGTFGNVYAAMNLDSGQLMAVKEIRLQDPKLIPAIAGQIKDEMGV LEMLDHPNVVSYYGIEVHRDRVYIFMEYCQNGSLAHLLEHGRIEDEQVIMVYALQLLE GLAYLHESGIVHRDIKPENILLDHNGIIKYVDFGAAKVIARQGRTLVSDLKSTKPNKS MTGTPMYMSPEVIKGENPGRGGAGDIWSLGCVILEMATGRRPWANLDNEWAIMYNIAQ GNPPLLPTPDQLSEEGIDFLQRCFSRDPAKRATAIELLSHEWMMAIRNRVMEPATPSE AGSGEKYRTGRRGGGAGRWSGSDELGSVEEERGEDMDGEATRGRLELRGSFDDDNDGL CEGLKRSELAPFGPSLSTGLTDEQDEIYEGLFTMMVEKQLDPVRYGDDNAGEMGSGAT KYQSESEARVAGPNDPPPQDMERERLKLSGCDDDLSEKMFAMKKPDLPTIQEDDDETC SPKKKTK
SAPIO_CDS8995	MLVSRVLKFLGSCHSHLARLSVLSSPVRLVFLTCRLGHVESPDG EDDTEPTVFHIAITPWTPNSSAADTPWSIVPVVRSSEISASTIRFSPSSSALQTFAKT LQKVAPSKLSSHIRSGIDILALDVVALELDTVYVKLESELTRRLENGEGTFYRDRSAI KGKSAASPNQPPLETALRVALGGLTVIHSGDLFSLPLPPHPVTHVPPNPGEILLCEPV SQGILSPNTRIILSRGRIHAPKHSRPTISSSRALVDVREDDEDTNEFYSASEERYKTD ADGQTDGFVSVTENESDDTGLSNDDEDDLSDDSMDDMISLHAPTLPPTLASGVSTIQP GTPTTIGRGRRVNGISTPGSVFSNFTNFTATTARQDRHRGRLFKANGLIAPIPDDLLH PKPAPEDDEEARVYVDVTSLSKIGCFSGDWVRIEASEEPPVNGFGAFGLGSFNHNETE VSNWRPVRVYSLPESHSRRPMTRIPSSQRHDGRRPSFFDSQVPKPTSPAAYISPILQA NLDGASYVRISAIKRGSYQGKGTLPKFTNASRPPDARDIRIHRVKSPITTEGAYQTAV LGGIKRYFARKIRLLRTGDLLAIPVDARLGKAMRESSDGAEVDDVMALSASAAGKGTS TVTAVAWFKVDHIQVSKSEEGEHGTFEELWGSVASVDSLSVMLNVSGFEISRIPGTKD NHWQYYLGLKKGAKPLPDAPSTSSLSEPEVRYISPIRRQLRELIATASSQRAIHLKMP PVAILLVSTHRDIGKATVAEAACADIGIHSFTIDCYDILSESGGSGGDVKTEAYLTTR ADRAIGCGPDCCALVIRHIETLTAERIATSLKDILQSVRVLIATTNEVDKIPDTIRGM FTHELEVRAPDETEREGILKSVINDRGVSVDPAVDLGNIALKTAALVAGDLVDVVDRA VIAQQSRLEQITNGRPPTSSSLKLPVSVRDVQVAGGPFSRCLIKEDFDAAVDAVRKNF SDAIGAPKIPSVTWDDVGGLNNVKDAITETIQLPLERPELFAKGMKKRSGILFYGPPG TGKTLLAKAIATEYSLNFLSVKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDEL DSVAPKRGNQGDSGGVMDRIVSQILAELDGMSGGEDDVGGVFVIGATNRPDLLDPALL RPGRFDKLLYLGVSDTRDKQTKILEALTRKFALHPSVILSNIAAQLPFTYTGADFYAL CTDAMLKAVTRQAAHVDTRIKRLNASSASEGRTISTAYFFDHIATEEDLAVQVTEVDF LEAQKELVPSVSAGELEHYERVRRTFEGDRAAGDGGKGDKGKGKVVDKGKGLASPVAA GKGKGKALALPGFSDGDDDEDEEDGGFGRVNGRSKGKGKAVAGFQDGTASDDDGLY
SAPIO_CDS8996	MAPTPPQPLPLVPRLDEIVPIAEEAISQIRRTRKQILETVTPDT ATFSNTIQPRAELRNTIQAKFGMIWVLGYASPDREVHNEVDKVRELMRKANAEWMSET SWFKLVKAVADKDEPLHPEDKLWLRDELLNYTRCGHGILSQEQIEKYLKGRNEIDAMR TQFAQNLRTENGGIWFSLEDLDGIPATYLDSWKSSEADPDKKFVHFTNGGTKTVITYA NKGETRKQMYLANDKKVPGNVPLFQDIIMRRDIQARMLGYASHGAFRVERRAAKSIEW VSEFLGKLRNDLVPHGSEELRLLRDRRKKHLQDQGIDLECDGLSPPWDQKYYQRLAEE ELNIDRAKISEFFPLEYTAPAMLDVFAEFLNLQFSPVPQGDLESTLVWHESVRVWSVW EGRNGETSFVGYLYFDLIWPPPAGRAILLKHEEVVTLFHDWEELGHGIHNLLSRTTHA QYHGTNLPPDFGEMPSVMLENWCWMKDVLKKLGCHFSKLSPQYREQWHEKHLSDAEPP TQVPDELLDSLIKGRKLNLTMWYLHQLAVSIFEITVHSPKTHEEIQGLDAQKLWYDLR EQIEGLDMSEARLEGHDHVTFGHLLAGYDAGYYGYLTAHAWALDVFRSVFAKDPHDQE AWEKYRRGILEHGGSYGDEVKMLDDFLGRPSNSDALVEYLSGSS
SAPIO_CDS8997	MESKSEGKKTPAGSPGKERASQSPPKRTPSPQTDDLLPGHHWAQ APLKVVDIGTGTGLWAVDFGDKFPNCEVIGTDISPIQPTWVPPNVKLWARYYYDCTQP FTFAPDSIDFFHMRYMTGSLSVQGWYDLFKEAFRCTKPGGYFESFEAAPFFTSDDGTV TETSALSQWGKLFVEGGKKIGATFSMVVDGTQTKGMEEAGYVDIEERDFKVPMGRWPK DPKLKELGTFAYAAIATDIEGYVLYMANLQGWTKEEVGVYAAHLRREMNNTDIHGFYK VKVVWGRKPE
SAPIO_CDS8998	METTMVQHVTDFASGPPPESQLLKFSCLNRTPAPTSRSIFDNNA AAPRTLQDGAAKPVNLSKHLHLGMFEIGRPLGKGKFGRVYLVRHRPSGYICALKVLNK NEICHDGAEVHVRREIEVHSNLKHPGIISFYGWFHDRRRIFLLIEYASGGELYKTLRK EGRFSERRAAKYAAQVAESLRYLHSKNVMHRDLKPENILIGMHGEMKLADFGYSVHAP SNRRETLCGTLDYLPPEMLRPGRPTYNRAVDQWTLGVLTYEFLTGEAPFEDSPIMTKR RIVKGDMKPLPSTISAEAKDFINSHVATLILASAVALATASDAPCSNEACTSLCNATP SCMSTLFDPALGQCYTFSCVLNNYSRPSKYLGYQKPGQTYPCPADQPIPGEPQTPPPA PPITEPTANEQPPAPEPSTPAPNPTPNPGSEASSSLVTVPRPSSTEGSGSNGGSSTTE VQNTPTAGASDGGSDGDESNSANSNGPDNTSNPVAPTIILGGAAAKSMAPVSLLAIGL VMFLL
SAPIO_CDS9000	MGGTAPKLPPVEGPTKAAIRCAENIALLRILHEIPQKALKNSPS SLAFRHDEYSLPFDRERHLTGVLAFLSCLKDDPGRIPATSVRESGKGSSLKLNVLVAV NRKSTEDGRSALQKIVEGFDRIFGHLHFIDQKEEGEIRDRVMDAIISMCEVRILERLN LGRKKTRKARNSANAGKSIIELLEEAVDELRRTDPTKSLDSETQSFVTRARSVIHQAN AWKKHSVPAEMKALIREIFILRSLPKWNIITEGETGGSRMEKMIKRVSRYFEAAIFLC QTAKKFPIARKMTVVAVDLPADMLNQVRPQTNPSDFGTAFSRLGEGKKSRAFIHPLIE TTDQIAEAQFLEYTSAILEPTTVHAEIQILAYCDLYPRGRYPRVVCSSKHACFLCNQF ITLHAKMYTPSSHGRLYHRWRLPNFGTVNMKSGFNAQLEKFGMDSLKTMRQTNKRIKH PDPNESALHSLLLSETSLLNGIVAGSTGPEEINPNEGTEEDSVPQELENTKRHGVDEP DSEDEGSSTSDDVESVTAGVITENDAETSALAPSETKFDAVEERSEERSENQDSATSR TGKPDGNCEDGSCGDDRPEVAVPPSVVVVPPTPVPDETRPSPLAEVEWLPPLCDKGAL NELKRGDVMSHSRDTETLGLLVDYPDAVAGSSGIENKSQCVRYTLEWLDSREAREIRL DQDIPIVDVDTLDTEVSIPLERGQRKVFYLSSGKSVLRVHLLPSS
SAPIO_CDS9002	MPSCNLLPAFLPEFQALILAQYFQCIRLPSRHNKPPKKSGSNAP QKEVALPARLPNEIPRSEVLDVDLSRPFGLVLEPTLPLPIPETANIIFNNPHPTPPIS YDFSLPCSSPAIPPVFPAFLKPLPARLDATDTQYLQAKGALTLPSLEFQNALLKAFVE YVHPYMPVLDLGDFLNIVYHRDGSKGQTSLVLYQAVMFSGAGFVSMDEIHRNGYSTRK DARRALLQRARLLYDFDVESDRFLLIQTLLLMTYWYEGPDDQKDTWHWLGIAISLARC MGIHRDSGNRMTQSKRGLWKRVWWSALMRDRLLTLGLRRPTRIYEKDFDLPMLEEDDF DIGVIDRHHSGILPNCELIRNVEMQRDLALLCIAKVKLLVCTGHILDAQYAMKPRETL KPGRTAVESTMMIFPTKALEAEEINRADEELKEWSNSLPSCCEARPLQSSDKRDGYAS IAVQRALLHMTYGATILALHRCRFLPNLPAKASSSSPAEVSTHKAREAAMRITQTAAD LLEWNLEKFLPASGITAFLPSAIVYLQQMRHSDPEVRSNAIHSFRVCREVIEKMRVLY VTGDFAALLLDAGLRKFGLHDLVNGSETGKGVIETASGMPTPEDSLSNLRCESDSMEE GMEVDKPEATLANPGHISVMDIFSPPPVDFVVGDTGLLEVGNHQPDDDFGVLNLCNMD LAMLGELTELDSNALFHQTAGTEEWWTESGSK
SAPIO_CDS9004	MARVTRRQAAAANASQSAAPTTPPASTAAANGADPSLPLDGCVV ALSGTFPSLSHATLQKQLTALGAKFARSVTAATTHLVSNKEDFAKDSSKVVAAKANNV TIVDIEWALKAIEDDARPAEDDYSLQAVKKRPIAIAKSDPSADASGDDSTQKPKKRKT AKDTKSGKAAKAAKVKDETPEPEEPKKKAKKEKQPVAEGQIAKSANLVVPVDELFHLS GKTGFVVYIDSDGVIYDASLNQTNASNNNNKFYKVQLVVDAGKKTFYAWTRWGRVGEA GQKALLGSGSLDDAMKNFEKKFKDKSGHRWADRAEAPKPGKYAYIERSYDPDSDDDEA AGKAGKTGDDSDDELPQMADCTLEEPVRELMELIFNAKFFSNVMESLNYDANKLPLGK LGKSTITRGFQALKDLSTVLTDPTSAASTYNMQSGAAIEHFSNLYYSLIPHAFGRNRP PIIVNSAMLKKEVELLESLSDMKVANDIMKAHTQSGSIHPLDRHYQDLGMQEMTALDK SSNEFKFLVDYLHGSKGSTHYFNYQVMEIFRIERNGEKSRFESSRFSSIKSDRRLLWH GSRCTNFGGILSRGLLIAPPCAPVTGYMFGKGIYLADMSSKSAGYCFHSSSQGNALLL LCEAELGDPMQKLVNADYNAGSSAANGNMSSTFGMGRTGPLKWQDAGVVHPSLKGVKM PDISSAPTDTNVQGASLYYNEYICYDVAQVQLRYLFRIKM
SAPIO_CDS9005	MHIEFGIATVIGLLASPILAGLLPSAAAVAACIELSLVLPAQVY FPNLPGYDQLNEYWSNRQDDLTPTCIITPDSSTAVSKALKSIRTWKAPFTVKSGGHVP FPSSNIEDGIVIDLVNLNTLQLSNDKSVVSVGPGLRWRDVANFLLPEGLAVVGGRVGD IGVGGFTLGGGISWFSGRYGWACDNVRTYEVVLANGTIVTATSTQNADLYKALRGGGG PNFGIVTRFDLETFEQGDIWYKESLYAATSTNDVIEQFCDTSVNGIAADVDGHPVMFA AYQPALGGVVTQVFRWHAVPPSDPASVPAVFQPFEAIPDLQNKNATLTVSAMLDVYPD PAGFRKGWWDTSISADAPEVLQQVIANYGTWVANNFADKPEVVAVLLIQPVALSTIQH MQKNGGNSLHLSPSIGAQMKINTYITWSNASLDAFVENSATQLVSETEQLAIDGDAFD GGFRYMNYAERTQDVYGRYGAGALPELQAAAAKYDPQQVLKKQWKGYFKV
SAPIO_CDS9006	MTTTVTLDSLKSSDTALPNIVQSLSNQVLADESKADDLVWETWN SLFAVVAKTPREEQGRLVNFVDLLRKSPVKNAADQEVTVEGGALWADLPTFGWVARDL WNWDIHDPEATPEDHQDWDNKTAFLARLTSHADLSNDEDPLDFSIFGLWALRSAFEEN PESSTTNIPAVRNAALWIIYAGEALRKLASEKKDMPGNSGVAGNRFPQKTWKGFNEER WNLWKAGFEAAANSVDEAKEAAKIIQNLH
SAPIO_CDS9007	MVSLKSIVLASLAAFAVANPIDLDKRQGTGGITSVTCGSKTYSR SQLNSATSKGCSLYAAGQTLGSNKYPHKFNNREGLPLATSGPYQEFPILSSGVYTGGS PGADRIVFNPSYNGQCVYVGAITHTGASGNNFVSCRTN
SAPIO_CDS9008	MTVESAAVRPSEAEDGVLGRFDRDKDEAIAMVGDQQNEIDPVTA AQAVRKTDWFLIPAMIVGYGLVYYDKAILGSAVLFGMTGDLQLAVVDKSTAPPTVDTS RLSWATSLFYFGMLAGLYPMTYALQRFDIGRILGGVVIVWSAICLSTAGVTSYQGLFI QRFFLGFIESIIPTGFMCIVSGYYTQAEQSLRQSWWFSSTGLFTIIGGALNYGFAQIK GGGLKPWQYIYLLAGSLTFLFGLFCFVIPNSPVSAWFLTKEERFAAVERLRHGQTGVR CTKFKTNQLKEAVLDIKVWLIAIMMAAAYTMNGAVSGFGPLIVSTFGWNTLQSILFQF PLGGLCFIVILATGYLGSKFSNIRIIMLILTCLPVMAGCAIIWKSEWTYHAAAPVVGY SITGFFGGTVSLIITVGMSNVAGHTKKSFMAATIFVAYCVGNIVGPQLVKSQSKADHY PELWLGLIICYIICIVASVALYFVLWSENKKREAIAVDESERAKLAFMDLTDKENPYF RYVL
SAPIO_CDS9009	MSSSKGHNGATKAAPSPPIVARLNRTSPGGNGVKLASSRPANEE TNPEKTCDQDDYTVLEQHIRFWDSDGDGIIAPWDTYRGFRELGFNLVFSILAMIIVNG AFSYPTRLAYSFIPDPLFRVYVHGVYKAKHGSTTGIYDSEGRFVGETFDNTFAKWDDD QDGALSLHDLFQMMRANRDVLDVFGWAAAVFELGTTWLLIQKDGKVYKDDLRQVYDVE PPLNDAERKIVKSYGGWTNFMLSMGLKPWNPEDAEEGKAILEAFVKADEEGN
SAPIO_CDS9011	MADALTASIRYVERDDHPNPDEKGYILHYTAPPGFPQNNFTIKA RSGIKIHNLRTAGLSWSEHGITMATIDSSQMRPENFDDDEWIEKEFLPELHSCLCTAL GAEDVVVFDWMLRKRAASFPRRNPGEENDEASQPSLSAHIAAELDGRLDRYFGEDREK LMSRRYQVINIWKPLTGPCRDFPMAYCDPKSVDRAKDLYIVDEVFPTVANEVFQVYHS PNHKWYWIPDQLDSEVAIFNAYDSEKGQELAVPHCAFDLGEAGSGIPRRSIEVRAFVF Y
SAPIO_CDS9012	MFPANVMVVLFCALLLLSLILRWLLLPKPIPGIPFNRQSANRIT GDNPDLKKAGRMRSWLRDQFTIHNSPIVQVFVAPFGKPWVLVSDFTEAYDIMTRRTKE FDRSSLTTDSFGGVMPSSHINMKSADPQFRHNKQLVRDLMTPVFLNEVSAPKVYEHLV SLVELWSLKTRYADGAPFRADLDVFMVALDITMALVLGFPREKSMVTKQIADIKIHSQ QTSSHQQGGTFSFSSLPLDPELDACVYLINSIGIAFQSPLPSVTNWLYLHKPRSRRAL SLKRRLIEESIRRGLERTEGQDAAEKMKPRCAVDQVLLREIDGARRCGVKPDFHKRAI YDELFGFIVGGHDTTFTTIAWSVKYLARYQRVQSRLRDHLHAAHASARSGKRLPTVSE ITEARIPYLEAVTEEIFRHSHIVPVVLREALVDTQILGYSIPRGTQVFFLSNAQSYMQ QAFEIDEQRRSESGKLSKDRFGVWQAGDVAGFFPERWLEKKDKGEVFNPKAGPNLPFG AGPRACFGRRLAYLEMSVVLTMLVWRFEFQEMGEQLNNFEVVDRATEVPRDCYVKLRM LE
SAPIO_CDS9013	MAQEVPGIDLKHFEIFAEEFFFSSGTAEALTPKIPPGTPLSQAW VAFDLLRGKIMAKVYFMPILKWIQTGIPTRVLVSRAVQKCSGKYGTYDAPMKLLDSYL ESFVPGSGPVVEMVAIDCVDSPDSRIKVYLRTDVNTLAKAKVIYTLGGRLSGEAIDAG LEALTELWPILFRLEGSDFENTEVFPRGSYCGCAVEMKAGHAQPGTKIHIPVRKINVT DAQLCQSLSAWFAKRGHRDFAATYEENLRAAFPDHNFNTTNGTHTFVSFAYTKKTGVY MTMYYSTKIFGVQVNKDYWKGYDNLWSVAAGSSIPGRD
SAPIO_CDS9014	MRPSSAFVLSYLSGVAAGAFAGMGGTIQEPVCAYACRSVISSSQ LACTPPMDHSHGSSHTDMTSPDCRGSDAAFLTTLAYCIASRCPDVPIWQLERYWAAQA SGDPTVQAKWDYHSALEQVTTPPNVTYKAGNTLNSTMLVSQESWDIYNKFIPVLDGGS VLMYRYALIIVLVGFATPIAITLLSRLPFVSGFVDLVNPYLVWPSIIGRYHVRPLPWL LGNPPTMGQAMYIVGFFILNLVLSFVGYESAQPHPWGYNHRGEILAYAGYRTGEFAFA LLPLTILFSGRNNILLWLTNWSHSTYLLLHRWIARLFALHTILHSVFLLAARIQTGTY STDKDLPYWQWGIVGTVFVSAMLVFALLWFRRLSYEVFLITHIIMAVFVVVGSWYHLI LRFGYGGDHEYWLYASAAVWGFERLLRILRMVKNGVCRATVIEVGPNHVRVDIVGARF SGKPGYHGYIYFPTVNRLRPWENHPFSTNSTGLLRSYKTTSLSNSPVRSSDKHEHETA IKASEVRPMGSLITNDGITLYIRKSTGMTRSLRKAASLPVLLDGPYLNNRPDAILDCD RVLLIGGGIGITGLLAFVPSHRNVKLAWSVNETAQAIVEDLSPVLESIADREIRIGDR LNVEALLRQEVATGYERVGVVVCGPGGLCDDVRALVSRLGRHEKMVFELEVDAFSW
SAPIO_CDS9015	MNFFNIPEYPFPPFVEGDEHLNHTEAPPPHTGPSPSADFDPMTL DLSEVAFLQEADSAVVQDFMDLDSNSNIPTLEDPFIESNTEAPMNYLGPQAMHPLPFS SFPFPEAGGSWLGSMNALDVGMLAAEPMDIGEADLTFMPLPPTYSDIAGHAFQPPVDS FDPTLVLNTGPQEPVVAQHLEIVECGSVFSDIAQSQSGILVNGWKAEITAPDSAKADF IDRLKRVRMALWIYISISIDKLPAWTNFWQSSLPKELNALHLTTLFQDSLTEFDTEVN NIGETAANRLIECGASLSLAAALGERPRQHIDTVSRDIPETMESDNIAKAVREIAHMA TFYPKQLSDLGMRCEGVLSGGVITAKTEKQQRIAALRVFGFTAFYAFRHVEHSTVLIT RTLLSLLLMVRTRYRDIGEYQTSRTAGSEELLTNDTSQIGAALNTKDDLIPLILDVIA KCPTTSDNIQLDGTAKERLLELRISKPRSGKRWMELKSTLGEGILLAGSPLAWGALPI SRSPFLQFDILPAVEHGTDDEFSQLKDLLLSTKFSWLKTMCSRFGGLVLRFKTLDPNL GLAEWQALQGEVSETVERAFGGLSLLREAAAESSARRGHAGQALPMLLDYLPQPEELS EQARRMRDMLVTSIRDAILQLGEEDEMGDWDAELGLMKEALDKASDKFLEAYRSSLNE GKVSEECAVNITRVRLRRATDMFCEIVLDIWKSAFLSSSAEKEGGFCAQAERIKSDLV PAFTKIFF
SAPIO_CDS9019	MLENDACREAGIYPAQVYHTQGSVWGGTTTYSVDDSTTTWECAL GGGLGAKEGIRATCSKTIERGGSIQTESTSYGNCYCIAHLLPMVVTANADLLHDEPFT PYTGKDGVVTVIDASWIESNDTYFQYGNKRVCRKCRDKGHSGAL
SAPIO_CDS9020	MATNEGEPLPEKARMSDKNLHEVSSDAGEVSPEINERALLRKLD GNLLPAVGLLYLLSFLDRSNVGNARIEGLTTDVHMTGNEYLTGLTVYFIGYVLFEIPC NIILKKTTPRIWLPTLTVAWGIVATLMGVVTSLPGFLVSRFFLGVTESGLFPGVVYYF SMWYKRRERQYRISLFFSGAALAGAFGGLLAYGIGHMRVVWDNGWRWIFILEGILTVL VAIGAYWFIQNYPDTATFVNDKEREFIRARLASDSDATLDEKFTWASVVEALKDPKCW LYGFGFHTTSLPLYTFSLFLPTIINNLGYKAAIAQLLTVPPYAFAFITTLTTAVVSER VGRRAPFIMGSALFAIIGYAILLSNTDPVGRPGVSYLGTFFAAGGIFPAVALVLSWPA INISGQTKRAVGNAMQISIGNLGAVLGTQLYRAGDGPRYIVGHSFALGYLAAHIVVAG LLWHILKRENERRAEIAEEVKAVGDLDDWRGDSDPRWRFQY
SAPIO_CDS9022	MSDKPNEKSPPSFDPEGSSAPTEVGEEKPVSELNPAPDGGLEAW LVATGAGFVFFCGLGFANSFGVFQEYYMSHQLKEESADKIAWIGSLAAFLQFFAGAIG GPLFDQYGVWVIRPAAILYVFSVMMLSLCKEYWQFMLAQGVLMGVTMGLMMFPAMAAV SQFFDKKRAAALGITISGSSIGGIVLPIALSRMMRSSLGFAWSVRIIGFIMVPLLAFA IITLKSRLPPRTGKFFLAAAWKEWTYVLLVASLFFMFVGMFTPLFYLPAYAVSRGMGA NLASYMLAILNGASTFGRVIPGILADKFGRMNMLVIAGISNGIVIFCFNQAKSTAGIV VYSIVFGFSSGMIISGGSAALTTCCKDPRNMGTYMGMGIAVGSIAALIGPPFNGMLVD RYHGFSEVSMFSGAMCLVGGFLAVASKLVAGKGVFARI
SAPIO_CDS9023	MHKSRLFGRSHWINGVVMWFRDVFEMIEPHALKEDSKATSTMRK CKVLARIIKARRSPSWPAPPTPDLPPRNVADKLVDGYLSTMETVLRILHIPSFRRDYE ALWTPGTKPDPAFLVQLKLVMAIGAVLYDNTFSLRPSAMQWIYEGHTWISGPEFKRKL TVQSLQTQLLLVLARDLVGVDEGSLWISIGSILRAAMYMGLHRDPACLPKRTVFAAEM RRRLWNTILELALLHGMSSGGPILLSLEDFDTAPPGNYDDDQLTGENPSPMPGNYFTQ SSVAIALRETIPIRLKILRFLNDLSSHVTYEEALRLDAQMRSSYKILRASLQKQDSKK GVPPPQFAIRIADLFMNRFLLSLHVLFFSPLLNSMTYAFSRKVAIETSLKIWHSLVQP SPTSDGTSDSWDLGDDLTRLVTSGSGFFRISVNLVSMLIMAELKLQLQEDDGLTPVPL RQDLLSVMDAAKIWTIKAIERGETNIKGYIFTILVSTQIECLIDGVEREEMVLRLVST AEAGEERCLKILEEQVAEGQPEGIVDDGMSHMALSTPADFMGDWDFSDFSMLDTQFSL GSMEPLAWAFGDAPQGMSS
SAPIO_CDS9024	MLLSNLCALLAYTSLAVARPSKHHARQVSPSNILESYEYVIVGS GPGGGPLAARLAMAGKSVLLIDAGDDQGGSIPYQVPALSLQSTEYEPMRWDYYVNHFS NLTVQQQDSKMTYRTPSGETYVGLNPPAGSTPLGILYPRAGTLGGCSAHNALITVYPH KSDWDGIASLTGDSSWSASNMRKYFERLEKCTYLPNGIVGHGFSGWLSTSLTDLTLVA TDLKLLRIVLASAIAMGQGGLLSWLITTVGGLTGVLLKDLNVDSPNRDSTEGVYQMPI ATDNGSRSGPRQFMLDVANAQNPDGSRKYHLDILLNTLVTKVRFDTTDSKPRAVGVDF LHGQSLYRADPRSNGAGSGTPGSVDASSEVILAAGAFNTPQLLKLSGIGPQDELASFN IPVVANLPGVGTNLQDRYETTVIGKTTSDFAVTSDCNFYRTPDDPCLTRWKNGGSVST LKGVYASNGIALAITKKSTSASSSDDPDIFIAGAPVSFPGYYPGYSADGTADARHWTF LTLKAHTRNRAGTVKLRSTDPRDTPLIDFNNFADPAAAALDSRAVVEGMKFTRSLFTS IGGFTEVWPGASVSSDAQLDNWVRKEAWGHHASCTCPIGPDSDPMAVLDSKFRVRGVD GLRVVDASVFPRIPGYFLVVPVYMVSEKAADTILGVA
SAPIO_CDS9025	MGLLPLTYSRPAYVPPEDSRKSLESFSTEKASLRSGRSGLSAGI PDALAFDKIISGETCPPMSVRDFMNYLLFVEYSAENLQFYLWHRDYVQRFAQAPRSDL ALAPQWTRAMEEETVSRLQREAAEDKRRVCTGAAEMFKGTDFDKKRPIETVTEIDPFS PRATTSYSNKESIYALSSMASNTSTLRSPTSEAFASVGAKTPFTIQPFREEIDRIIST YIMVDAPRQLNLSDRDRRTLLHALSYTTHPSALRIAFEWVDYSLRFQSHPNFVRWSVC NGNPPRVSFARMLGFSGIAMCLGFAIMLTLSTSARGYRAILAIGWAIGICAVVASYRG MCICMYAMHHRHIRPWELFLDAEENPEAVGKRSFDSFGSSNSFEHEPWLVKYSEKNLM RKIFDKERWIEEPMLRQIQDTIFVQSLLIGTAAAAVLALIFVLIPSGNLFSF
SAPIO_CDS9028	MAVTVGIAGITGKFARCILANLLKQPSVTVRGYCRDPTKLPPSI QSSPRIHLTKGESGDVQALQSFVRGTDVVICCYLGDNQLMTDGQKKLIDACELEGVKR YIASDYTLDFTKLEYGQLPSKDPMKAVKDYLSTKSVEGVHVLIGAFMDTFWSQWFGIW DPVKVPFSYWGTGEEAWESTSYENAAEFVSAVALDDCAIGMQKFLGDRKNIFQLAELF ERVYGVKPKLERLGSLDELYKRMHQLKTQDPQNIFSYLNLFYQYYCTNGQTYLNIDPE KEEHPDVKRVTFEDYLRSHSMESLATAVQSVGSTL
SAPIO_CDS9031	MSANFLSSYPSPYRTTMAVDIPAVCPSGWTYYDMASDWNPGAST AYCCNSGYTSVDWSERHDDMSKYLRRHWVQGTDMTTSSGDGELEVSGIVDSDQTLMVH RPWLVTWASSDRATLTPEPPTLTSRMVVPTWTPGEIIPDGKYDPEPDPPQEHPNFKVF DLFMFLVVGLPVIGIGIIIAGFCIWACVFGSKKEREEKRQRALAPAERAGATAMAGGP SAGKPS
SAPIO_CDS9032	MPSLSIINPEPVKLPGPSLLHHLVSSTSTSASPAIDALLASSLR VSLSYAELHAESDSLAAKIRHHLYIPSCLGADFIVPVLLRQSPELYISLLAILKAGGA FCPLNLDAPSERVRFILDDVKAKVLITTKELEPILPTGLDGIKVLVLDDEDAVVDSEF NSPGVRECSYPFDIDTPIAVNEKNLAYIMYTSGSTGTPKGVGVSHQAATQSLMAHDRH IPSFLRFLQFAAPTFDVSVFEIFFPLFRGSTLVSCSREAMLDDLPAVIRSLEVDACEL TPTVAGSLLRKRENAPGLKLLLTIGEMLSEPVINEFGGTSETETMLWAMYGPTEAAIH CTLVPAMQAGASANQIGIPLDTVSCFVISSNYDHKDPSSFAVVPVGEVGELVVGGYQN AVGYLNRPEQTTSAFIDSPFGPVYRTGDLARVRDDGTLECLGRMSEGQVKLRGQRIEL GEVEHAALRVRGCHGAVAAVINNILVLFCAVDPGEDIPSIEAAIRQKCSEWLPAFMVP GDVVIMHHFPRLQSGKVDRKHLKAEYLRSIAEGQSNGEGTEEASPELSKKILSAIAAI VFVEIGPKTVLASAGLDSLGAIRLASRLRKENIAITANEILKARTAEDICRIASATVD PSVIGMTRGSPLPAPSSPYKDISTIIENHNILQEIRDEVVSILPCTDLQSSMLVETSY NSTMYCNVVELGFPESMRPSDVATEFLKLSAANEILRTGFIHHNGEFLQVVLKGLQDS QVAIVDNFEPNFELREDLDFIRPLRLQILGNSDYAPRALLHLHHSIYDGWSFDMLLSD WASLLQGRGLSPRPQFSNMVAFVHNIPEKDYEVSKRFWAEQLTGWEKTPLPRLSGRVP ESDTSVLISRANLDVNRDAVMAVAKDLGCSPQVLFQAALLWLWSAVIGSADVVIGSVT SGRTIGLPEVERIIGPCIASMPLRANLANAATVRDLLKFVQASNRSIIQHALLPLAEI KKLTTLTGQQSLYDVLFVFQESLESNSADEDAVKQISHADMLETPILIEVEPHCDGYS IQLTYHPHVFEPALAEIFVRELDQTVQSLIRDTSVSLTSVRDCFTADLLSIHNPQVQR YAGSQDLAGVVEATIEKTPDKQAVHFARSISGDQGPSDVETLSFGELNSLANQIANWI RHVDPDGQDSVAAIIMDKSALFYASVLGILKTGRPYLPVLPSTPVKRIHAILEQSQAS ICLTDDAYYPTVQPEYENIVFNVQQADLGTFTRDNVKRPIDGSRPAYVIYTSGTTGTP KGVVLTTLNIVSHLDILERLYPVGESSRLLQSCSQAFDVSVFEIFFAWKSGMCLCSAT NDVLFEDLEHAIRVLDITHLSMTPTVASLVKRDAVPNVQFLVTAGEAMTQAVFREWKG VLYQGYGPSETTNICTVKKMSDGDHIEHLGFAFDNTSTFVFYPESLEPVPVGTVGELC FGGDQVAQGYLNDPALTAAKFISHPSFGMLYRSGDLGRMLPDGSLLILGRLDDQVKLR GQRIDVGEISGILSQTGFVSSSAILPISRNSNASKQLVAFYVKAPISGSQFRVLELSR EDKEHQRSLFAALESSLPSYMVPSYLIPISVIPTIPSGKVDKRELVVAFQALSRESLE EMSPLAWRTADGPSELTANEALIADAVSGALKVGRPLLGRWTPLASLGLDSITAISVA KSLSDVLQRRVAISAILQNPSIAQLTKTLLDEPSANETQQCATEAAWMPANTITESIS AKFREANLDIESILPCTALQEAMLASTLDGVYYNSMVLRLKIPLHTMRSYWEAMCARH AILRTCFMSTDDPDHAFVQVVLKTSQLRWRTSTRSSSTVDECLEHLSAVVDSPADTMT PPFALEVIFQDDFPYLCFFCHHAMYDGVAMSTLLREIEQLAGGEVLCPAISYDSFLRE ALTLPANTDGFWNDQFRNFQPATFSCNEISDGGPTAHTLSFRLDLPLDTLEARSHSLN VSLLSVFQGVWANVLSIITEFEDVCFGNVMNGRTVPVDGIDRLVAPCFNTIPIRVDLF PHDQASGLFRHLHQSNPELMRYQFTPLRRIQKLLGHRYGLFNSLLLLQPAQQRLDEDI WELVSDAGGMDVPLVCEIIPDSTANEVNVTLHYDVSHYTPIFMDAVGDALDYLASFLT QFPSSALRQRQDLPLHTRNGLDHLTVKRSTSPIDRPALANSSHDDMWSDAELLIRESF STLSSVSEKAIGKKTTIFQLGLDSINAVQLASLLRRKGLNVTATDILNHPSCGSLAAK LREKSSTAFAPADIFDIAEFQKKARPTLSLIDRAFESILPCTPMQIAMLTEFVQSQGR EYFNYLHLELENGLLLTEALSAWEGVFQAHAMLRVGFVSTDSSDYPDITYSMIKYSPH SIQLPVSVIQPGLKLSVAKWRLDTAQEVLDSLREPPWRVALIESDGRISMHVAIHHAL YDAHSLEIILGGLARCINGGHAFESAPLEKTVGSLLGLVSRDNQKKSEIFWNKLSDKA VVNPFPVMTPLRVERGHLQSHSHNSSLSFKDIAHACQTANVSVQAALQAAWTRVLSSY IGEEVVIFGTVMAGRVTDSMQKAAFPCITTVPVIAKNSLANRELLDSMMAMNRDLYQH QFSRLADVQRWLGHPGRSLFDTLLVYQRSSLDHVPRPWTVEQDEGIVNYPVSIEVESH DNSAVSFRITFKTDILPQEQASMMLRQLDAALRHLILDPQGHADELWPNEPQLASLAP AQSVELPTNASLLHQLFEAQVIRRPDKVALEFVSGFAGGEAVSQTWTFSEFNSVGNRV AALLSRHVTPGSIVAIHFDKCPEAYFSILGILKAGCTFLALDPSAPIARKEFILEDSK AAALLVRSATELDIKPATFVVEVNEESIASEPTSDFEPPFIPPDTTCYCLYTSGTTGE PKGCEITHENTVQAMLAFQSLFRGHWDDNSRWLQFASLHFDVSVLEQYWSWSVGIALV SAPRDLVLDDLEGTISKLRITHIDLTPSLASLVRPEKVPSLWKGVFITGGEQLKQDIL DAWGPKGVIYNAYGPTEATIGVTMRQQVPSNGRPVNIGRQFPNVGTYVFRQGTEVLVP KGGVGELCVSGKLVGKGYLNRPQLTEERFPVLKAFGERVYRTGDLVRLLHDGSFDFLG RADDQVKLRGQRLQLGEIDHAIRSGVPGIRDVATIVSKNSKTGKDLLISFIVPLRNSD EEPATGLRILEGTESRTACSNARDACKARLPGYMVPTYIIALPYIPLSRNNKAEVKEL RAFFKNLSPQDLSKLSSSSTTQANLSLNKTGTLVLEILKEFDGSAEDTIDGETNIFDL GVDSISIPRLSRLLKQRGISGASPELILRHPILGDLVQALDNAPILSSKSKITEAKQV MRACDHQWRGLVCRELGVKHEDIEYIVPCTAIQEGIISRALTGVAERRSVYFNNFQLS IAEGTSIEKLELAWKETIRRHAILRTSFVATPDGFTQVALRHATPQWFETSLSPSEFR VEERRLRTAWVEKNSPHVVSPLELLINRSDAAIQLNIHIFHGLYDGNSFGAILQFVSS LYHDETPSSGPAFVELLPLGPLWKHDSSKEFWVRHLQEWTPTEFPPTEGLSTTADTSI HQTIDAEPLDAMRKSLGVTFQSLVLALWVSTLQGYLNDNVTTGIVVSGRTIDAENVEN TIGPLFNTLPFFVKYANGTSWRSLVKQCHEFNASVSSFQHVSLRDIQKWFSNGKPLFE NLFAFQVDNSDDAISSQSPWILQDEPPTADYPVAFEAVLKDTELCLTIVASGEAFDEN QATDLMQNFLGNVARLLADREAVISNEATEVRQAAPAESTAPMLDNNTTGEKAHLSLS KRQDLSDESRVLLRELADACAMDLDELFGHLSLPNLGLDSIDIVKLAARLRSHGITLS ITDIVKCPTIGDIIELLQNRGPTSVDQSQKESEAYEQLRARLKKSVQLNGIQEDEIED ILPPTPLQESMVAQMLQSDCQQYFNHDLLEIGPTVELDRLEKAWETVFYHSKILRTVF LEVPDPALDFSYCQVILRSSHPAISRVDLNEVGQIQDLIEATKTEATSSKGQANLFRL HFVSVGSKNFVLLSIAHALYDGWSLALLHRNVEAAYNGEKLHYPSEEPYLRKLQSTSS QGQDFWKQYLVDANPTLVATNGAPEAAADSSTPYRAESTSTTHAQRVSEFGKKYGISL QVIGQACWAAVLASLQSSLDLTFGVVLSGRDFEGAEDVMFPTMNTVAVRCVLHGKVVQ FLRYMEENMAGLREHQSYPLRKALLAAKGVASPLFNTLFLFQKSPALSTREGPEREPL MTSVESSSAVEYPVCVELEAVEDALVWRTACQDTYFQAHDASLILHQLDRALQYILES PEQDLLSFGPSHVSVCGLATAQLKEDVSDDGPAQVNGTTDKQYEEMWTEPEEKIREVL CQLSGVSVEDVPKSATLYNIGLDSITAIKASANLRQSGIHLTVRQLLTASSISHMAEL SKAANSARASLAPAYGTEEEYTVDIEEKQYALAGVLPDEVECTLPALPMQVYMLSTWQ NSSGSVFFPTFYCRLSSSVARESLGSAWSLLISELAILRTYFVALNDSAGPLAQVIVK GGSKPALRRAHIKWDDDLGHIDKLEPESGDLVQLHVQKDVDGSWLLALHIHHALYDAV SLPAILDRFSSLCTGCLVEPQPLSQWKGFTELHSSSSSTDKRRQFWTAYLGVTDAPAS QSEWPETTGKTSKFTRSAVSDVSSLRSLCSEHGISLQALFLAAYALTIGNTKTSHDGE KTVLFGTYLANRALLETLPETFPTLNLVPLKVTIKEEDTAVSLASRIQRDIHAVTEAP NSTVGLWEIASWTGITIDSFVNFIGSSGQSRSPSEAGGISLQVLDDSSSLVNGDVSNA VPFTLPQWLEENPVAKYFPAAIDVEVAVAGSGLDIGIFAPAFRLSDSRADDMMETIIR ILNGV
SAPIO_CDS9033	MSPQINENFAAVDAQSNGLNTSNGANGVNGTNGTNGVNGTNGHS ELNDTANGNGHAAQEESLAVQPPAPPQQEETRIQAPEAPAQAPAQAPVEQQPASETPA ARTVKKSQFLLPTDSTDVHDLLCVGFGPASLSIAIAMHDKLAAGGQIGSEGRAPRVLF LEKQPTFTWHAGMLLPGAKMQISFLKDLASLRDPKSQFTFLNYLSEHDRLIDFINLNT FLPARVEFEDYLRWCAAHFDDLVQYNHEVLSVTPDPASPDSTASGVKTFTVSCRNVKT GTVSTYRAKNVVVAIGGQPQIPEVLSLNHPRVIHSSQYAKVVPNVLTDSHKPYSVAVI GAGQSAAEIFTNIQNLYPNSRTHLIMRSDYLRPSDDSPFINGIFNPEFTDVIHSRSAQ WRQNFFADAKPTNYSVVRLELIESIFERMYGQKLVLGPDETQWPHRIRSGYIVKSVEP KSQNPDDGLQIRIAPVPESAGSEEVLDVDFVISATGYQRSAHLNLLKDSFSLLPAVSA KTAVDANSKSLDGWQVSPPSSDGVSQTRKLEVGRNYGVKFDNGAVQPGSGVWLQGCCE GTHGNDSISFIFVYD
SAPIO_CDS9034	MEAPIRELTHRSVVSCFIFKTLSPTSTDAPKVALFKRSDKVSTY QLAPISGSVEIADPTPLDTAWRELHEETTLTKKSLRLRRQGKKYSFIDERLKREWTIH PFAFDLIPIEKGGKGEAGLTIDWEHEGWGWHNPRDVNDSDAFGGVPRLAVSLRRAWIE YDIGEEAGKILASGLEDLQEDHLNGARILAAKALDTFTNVVVALDAESQEEWWKQARM VAWNVWKNGRESMGAAILNVMLSSLKLIESRVLSKWKDLSKADRGKAIREALEEYGRQ RLDLNKNISSSFQSLIETNYSKDRPVRVLTLSGSSTISRCLTDTMEAANFPFDLRILE SRPRFEGAALAAKTVDFIEKLNANSAAPNPSTVTVFTDACAAIAAKDVDLVLLGADVI SSDGDVCNKTGSLPAVLSAKHVSPSVKVVAIADKEKVFPFEPPAREENDPEEITGTWY TVEATVAAEATIIPEKEPEKKPVGDVKNLYFEWVESGLVDDFVLEDGCKTAADIREIA STVEKEASRFFDEL
SAPIO_CDS9035	MLKSTYKPSTHPDALSPLPPGWTEHKAPTGHTYYYNAATKESTY KRPAPSVSQPTPAAPQPFAPGIFPGAFPQRHQAIPNLSDPAVANAWLARHQAQTRPSH VRDQRDSRPKPRPNDKPRSKEPIPGCEPWILVSTKYGRRFVYNPEKNASYWRIPEKLM PGILELDKARVLAKAGKVEKMETQEEGAPQESTSKSQEAVAPIATTKAADELYDSSEY EEVEVTDEEAEEGDEVELVEDEEADEHRSKRQRTEDVADEEMADFDEEELALQLQLMA QEQAMEQGNYGYEEEIPEFSEEDASELFKDLLNDFKINPYSSWDKLIEEGKIVDDPRY TVLPTTKARKDVWEEWSRAKIRELKERKEKEEKPDPRVTYMLFLEKNATPKLYWPEFK RKYRKEACMKDFALSDKDREKWYREFINKLKLPQSTLKAELTTFLKSLPLAVLNNSCD RSPLPPEVRADTRFMALPETVRNPLIEAYVQTLGPPPGGEEQAPGPSAEDAKAKEARE KRERALDQRNKAIEQQKERDRRNLGAALSRNAPPNPASQLESRRIKFLDHLQFSPQVS DGIPSSNTKKARAFPPVNPYAERAWGPGAGFGPAGGPPAGINVNSGFNPEKAARVRNA HGAVAAITMVGIFPIGAILTRTRGKVWLHVGIQAFGLVGLLTAVALGISLGRDMRNPR TGRTVISRPNIGFHLVIGIIVFVALLLQPILGLLHHRQFKKTGTRQVWSRFHLWVGRA ALTAGIINGGLGLWVSGAGKTGTVSYGVVAGVVWLIWVVLAIRDETGRIAGVRRETSS RNDEVMG
SAPIO_CDS9036	MAKKVSSSFSLHSLGRSRTNSSPHRAEAERRLPWAAECGSVAAI QFLLSIKPNPNVNCRTRDEFGQTPLMKAAQSGCEGACKLLMKSAAYGHDRVVSLLLEI GVDADCKDCYDRTALYLAASNGCEGVVGLLLATGKVDINLECLNGRNPLGEAENAGLA TTVELLENAGATDAAPVSQTNKGIQYEYPPTKLEELVTRQGDDPDCDGEDSSDDGLET HPDGKSKRAQALVRSLVDQFMARIPAWIWWLQLVTATVAGPTNGKHASSDDLHHTRVL PWTLRRTHIRPPGTSHSLNVVGGSFVEKCDEKRPSLELLLRNTAHVAHKSYSEDTADN DSDVGMNDSDTESEDNSVLQAARDRGLMSSIASLKYTETIVPPPRKGPSIPPFDSYHF PRHGDAVLLVIPTANKQKTRILVEAFEKQKPPGTTIRQISIPAESDVGEQPYNERGAQ GAHNRISNALRALADTTPEQQAMFEEEGIGTVIVASIENYIQEAGVPRPVDYGMVIVH NATTGRTVAAVTHGVTVPQAYLKHARSLGFEGDDESCGKVTVGQILAANVPTLDKADW HVVVAGRSRYDLLSDAIDALQIPW
SAPIO_CDS9038	MPSKVLTHDDYTVGWVCALPKEMTAAELMLDEEHELLDKPPTDS NAYTLGSISGHNVVITCLPEGRTGNNSSAAAATRMTSTFPSIRVCLMVGIGGGVPKGA PQGVRLGDVVVSSPVGKFPGVVQWDFGKATKDGSFERTGALNNPPTSLLTALSKLKSR HLRAGSEVPNYLKEMQVKNPGMDVRFLRSDALKDVLFKSRYHHVDRSPTPCTGNADLD DSSDDEEDKDECQWCDETMIVKRKPRNMMVHYGLIASGNQVVKDGAFREKINKLLDGK VLCFEMEAAGLMNDFPCIVIRGICDYADSHKNKRWQEHAAAVAAAFAKEFLTMVQASE LKQEQTIKNMLGEVVSRQRDQKRQEILDWLTPTDYTSKQADSFGRRQPGTGQWFLDST EFKGWKGTSGQTLFCPGNPGVGKTILTSVVIDHLTTQFSADSKTVVAYIYFSFKNNNQ QKLGDILAILLKQLSQGQPSLPEDVQKLFTKHRDQRSQPSVEELRQALMAVSTTWRKL FILVDGLDECPTPDGCQPRPIPEIVKNFQYAVSLEIKAAKADVGSYLDSQMGRLPECV KGEALLQEEIKKKIVEIANGMFLFAQLYFDALTTMTSLFAVKEALRNMEQGSDAYDCA YADAMDRIEGLAASHKMLAKNALLWIAFARRPLMMEELRHALAVYPGDSALNEDKLPN DKQILSVCAGLVTVDENSGIIRLVHSTAQEYLKRTQEKWFPGADARIASICVTYLSFS TFESGFCQTDEDFSERLREYHLYDYAACNWGDHVRNSSCLGQDVRSFLSKKPQVEASS QALMASRASFLMPGYSQDVPRQVTAMHLAAYFGIGNAVAELLSGGQTADPKDSYERTP LFWAAKGGHGGVTEILVRVGKADPTLKDREGHTPVSLAAWSGFDPVVEVLLEAAVDFD SVRVALLGAMMNGHESTMRLILGSARPDTKATLYSTALSQAVRASRSSAVEFLLNESV ADRDCKDGFIGAPLLDAVKWGHEAITKLLLGSSKIDPNWRDARSGGTALSQAAEFGRE AIVVLLLSREKTDPDWRNAHGQTPMSLAARYGHAGIVRVLASLKRVDAGSVDGYNRTP LIYAARQGHEAVVEFLMESGKLNGAAHDAEKQEALSEAKKNGHDKVVRLLQGHGVAED SVCRGEDLSSPLGLNSGTGSSSDCGTDSDVESSKLGD
SAPIO_CDS9039	MATASAVPVFGHTSGIPVGMEKRDKHAEYALVVQAASRETIESI KSKGILKGSEWASPLATAPAAVGTMAILIKTASAKAAAGLQVETVEVKDETGQTIGKL PSRYFHSNLQNCSDIGRSAFLEAQATMNTIREVAARMVQDGGSVANIIELLENPEIAQ YLLPSEIKAIRDSAGKCLKNVQDLTDRFQYWYWVICCLKSNVLAGQGSNQDKANKNLQ QHKETESQKMEQIEKEEAAKKAIKELEERLEEAKNKVARAQQEVERLQKLPAIPEPDF AEAMLHAETAVPESAVPQREKGFFGGLSNKIFGESKEDFEARKAHHLEIERQRNEYME KEKARRKEDRKVAASRLKAAREFERKLEKDILKAAEALTGNRNMLAEAKANLEITEAQ FKRLGEEKLELDDILKILEESSIQLGELKEQVSHLVKFFSTLLGVVNASMDEDVENFL RPIQAIVVVSAVADQSTINKLRDASKKRIITKALHIQSSFTAIKDVAGTYVSISDSYI LPAINKMEKLSTVTGGEWSRESQAFTHWCKEAVGKIEKMARDASDEMEPNMAARVLYL EGCAIKAEAASGR
SAPIO_CDS9040	MPPTRNGSAGPPAKLASVQGLWQRTYAADYIGFAVLLFLYLMMV FFVEPFHRMFFINDLNISYPHAEVERVPVTMNFVYALFIPLGVLILYNMIARSPVHKH EVTYLSFAIALILTSFITDLVKNTVGRPRPDLLSRCKPSPDTPSNTLVTIAVCTETNH HTLHDGWRSFPSGHSSFSFAGLGFLSIFLAGQLRIFRHAHGGRDLSRALLCLTPLLAA ALIAISRCEDYRHDVYDVCCGSLLGFVVAYWSYRRHWPHLTARDCDQPYPKPEVAPPG WNRVRDEESGVAGLNDENH
SAPIO_CDS9041	MARRYDSRTTIFSPEGRLYQVEYALEAISHAGTAIGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILITYARQVAQRYLLTYD EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGWDPRRQFQLYHSNPSGNYGGWK ATSAGANHASAQSLLKQDYKEDCTLKEACALAVKVLSKTMDSTKLSSEKIEFATVGQT SDGKIYHRLWSADEITALLKEHDLAKDESTDDK
SAPIO_CDS9042	MSATALGNRVKRPARDTPDPLYASRPLVKRRRHADLQPLLLSTG EPEPRRSVRATKGQHTKTFDQLDQPIEAPKRRGKRSKKASVPDEKQEEPEEEIIRCVC GATEQDEDSGEPWIACDTCGAWQHNVCMGMSVFTEDLPTHYYCEQCRPSEHAELLEGM KKGEKPWEARRAAYEAEAEKKKKRGRRGRGKRVSDSKDGKEQTEEATPGAQRKSKSPG LELKKEKESAAKPSTGKRKTRDDSQDDESKANAPAQKLQKVSESKAISSGYKPPEDLA ASLTDLPDNRHGPVKVLLKSLTHALGVAIKKGNYVLKEGETVDVKAEKHALQIERAVF DSHPNHKEYAGQCRTLAFNLKNNEDLSSNLFNNKLSPTVLAVMTSNELASKELQRELA EMKAKVEKQSILIAEEGPRVRRTHKGEEFIESDNEMQADEETPNFAAKPREGSGGPSR KASESAAVPDSTGKPPLTIDTKQSPRQSEFDIGKVFSSVKSPTLANQIRRPSGGTFGP AGGPGVDAEVDRLLQDETESPPYSPTEDNDPDVVWRGHLVMNSLADIPATIKYMGGAN LAADRIVPWTTLIPKRLSVAGRIDQQKAVEYLCSLRYNQFTDVVVTSISPSSETYKAE FEAVYNYFVSKQRYGVIGDKGVANVRDTYLVPVVPGEGNHPEFMLNLAVNLIPAKRTE PMLLAVFVYRDDARLLRAGQAAAAAAAAAATPTTGPRGAVSAISPQIPQGQFPTPSAP GTPLTPRPQAAPPTVPPTSEQAEVARQKAQIQGQQMASDILGPLHSAPTVQFLLPMAY QMTQTEWEIIRELLESDPKAREDLQHLSMLLERHGNARKEAQGIGPGPRAAGALPMQG LQHPAAATAPANGQ
SAPIO_CDS9043	MGDPQDSQQPTRSALASTWPNPPPFFEDFTEEKLEQFRQFVESQ PKAGDQSENAPVARIPNIPTDLINLQPPAEPEDGKWRVFGDQYTLDDKLPTLEEQGMP KLGAESASQDEQGKEGKHQDRAFELKRLAKSLLLNFLELLGILSIDPAQAEEKANDLR TLFINFHHVLNEYRPHQARESTIALMQEHLDRTRAETAAIRESVDRTKRVIEGLGSIE ISERPESAWVMRGKEKEEVKEGGQKRETEVWAAADELIL
SAPIO_CDS9045	MDVTGETCGLKLVNQVYDQADKCRLCYDIDKKARRLTKMNRDIE RWIKEGNRTATIERTAAEAQHVEAQMAEMHRQHWKRVQGTDY
SAPIO_CDS9046	MEEQRKGPTLAAAEASRNDDRDNDTIDDMSVCRRNGLDARVPPA DDIVDLTSHQLPSPHPTPPPSTQQSIASTVSSNVGTPLPTIHSDEDYSSDVSSTRTRA DPDPNDASSFSSSSSSGSMPPPLSTDDPAKREINGTNTVAQGRPMRASKSQENVRRLS AAEIHQLTDSPHSLPIAVVPDALTTDHRRTGRLSPSELQAIRESILGNSASDLRSDLR DGDRDSTTATLRIPTNARTLSTPPISRNRGGSVHSSPRRNSFHPVGPYPNLHSLPTTP LVHQNPLPFHRPERRDSAPKNPALAPGTPITPVTPIPVPPVSLPTHLQLELAAQKPSP LYIQRPATIDVPYESSRIKIERLINVILLPPFLERTLTIGALACLDSWLYTFTILPLR FFIAVGILFQWWGHVFAKEVKWITGFVGDRLLGSLGQDILECLFSAETLARNSSGRSK VLMPLGMFVLALVYNVAHAVALYFQCITLNVAVNSYSNALLTLLMSNQFVEVKSTVFK RFERDNLFQLTCADIVERFQLWIMLLIIGMRNVVEVGGLSVPGAGTGDLEPSNTQPLH SPTILPHSFTILPTWILSGEVLSPFFIVIGSEILVDIIKHAYITKFNNIKRTFYSRVL DILCKDYYTNAFTTPSLTRRLGLPVLPLSCLFIRASFQTYHMFLSTRVSPPIPPSTHT SLTENTAIPTSPAILAALERFDTLIRDSLGRSVYGDPFGPGGRKKRPWWEWTKDDAIA AVTMVVFFFIVFLVLLIVKLLLGMVLLHYSRQRYAKMKHREHQIAQGKLERESHLAQG KRSGGYGHVEIGDERSKWLNADEKEGLKRRNKKDKVQDDDLERVSSFGEPNVTNQDDD LETQRETEALQRVVAKTAENMVDIFEVAPQGDGRHSAPAPFAYAGQEARMMRYQNLLS KLAAHDEGDEVDNVEGVQVDWLTDEQDTIELQRSETTANGEDDKPLVGTFADAAAAMS 
SAPIO_CDS9047	MAANITATHPYYPLGVDIPGYVANTLSTQTILAIFSTACAGALL PALFLIRRAAPKLSTADLTTAMWFVLCGCIHLVLEGNFAVTHVASGSSVLNQLWKEYS LSDSRYLTRDAFMVCMETITAFAWGPLSFILVYLITTNHPARYFLQTVVSLGQLYGDV LYYGTFFHEDIYRGLEFSRPERYYFYGYMVLLNSFWIVIPTFLLVQSGKATVAAFAKA GKTGKRD
SAPIO_CDS9048	MAAHLDGIVGNSSGQNGHVNGSAVPRTNGTVGSQRNGVNGTRQA AGSGVPAATPIAVCGMALRLPGGLENPQQLWEFLLAGGDARTRVPESRYNVSAYHSES GRPGTVATEYGYFLDESIKLGALDTSRFSFSRAELESADPQQRLMLEVVRECLDDAGE VGFRGRTIGCYMGCYGEDWLEVQSRDPQQSGPSKVDGYNDFMLSNRISYEMDLRGPSM TIRTACSSALVGLHESCVALQRSDCEAAVVGGANLIFAPGLTTFMTEKGVLSPEGSCK TFSAEADGYARGEAISAVYLKPLDAAVRDGNPIRAVIRATMTNSDGKTQGISVPSAVS QEAMIRKAYEAAGITDFSQTAFVECHGTGTPIGDPLEAKAIAGVFGGDEGVYIGSVKP NLGHSEGASGITSLIKAVLSLENQTIPPNIKFSTPNPKIPFQERNLTVPLEPIPWPET RHERVSINSFGLGGSNAHVILDSARSFQTERAGLSANGHSTATTVASPDIPRAPSGTG PQLLVFSANTASSLQKMTQSFQDWVSEKLNDPEPSDYLQHLAYTLANRREHLPHRSFM VASTDQSGTPSPGRRAADQRPNLVMVFTGQGAQWPRMGRELLLRSDLSFQKSIRSLDQ YLQEAQYPDIPPWTLEEELLKPAKTSRVQTAELSQPLCTAVQIALVDLFAAAGIKPDA VVGHSSGEIVAAYAAGALTSKEAIVAGWRRGLAAAKQTRPGAMAAIGLGWGDVREFLS PKVVVACENSPKSVTLSGDTAEVEEAVSNIKKAYPHALARLLKVDKAYHSHHMQEVGE EYYATVRSELVAKPPQKSFFSSVTGKLHEAALDPVYWQTNLESPVLFKSAVSSILGQM ENVAFLEIGPHSALAGPVRQILTEASRSAPYVSAMKRGEDCVESFLTAIGTLFELNMP VDFKALILPGRCLPGLPTYPWDHEGDYWKESRMAHEWRCREFPSHPLLGIRQLESTSL EPSWRNLLHVDKDASWLRDHKIESNTIFPCAGYLAMVGEGIRQISGRQDGFALRDVVI AAALVLNEGKPTELVTTFRPYRLTDSLDSQWWEFTIASHNGHLWSKHCTGQVSSTTET SRPAQTQTADLTNLPRKMDRHRFYRIMSDAGLQFGPRFQRLRGLRTGTLECLATADID DVTIGDEKHYHLHPTIVDASLQSAALAALRGRVEAKEYRRVPTKIDWLWMRSSDPNVN LSVTTSATLVKGSRDVVGQVQEILAEGKVVFHMEGLKLSPLEEAEAPETDSLSATARL TWGPHIDFLDAANLIRPSIPRHLYTDAMNELVRLCVVYSHRHIQTVEPTLHHMKKFKA WIRDQEQVVRAGPYPDTIALGDQFLIDRVNTLVQQLSETPMVGCAVAMQKVVTNIVGL LTGQTEALGILLADDTLTKLYVATDACDRSDFMRHLTHTKPNIRILEIGAGTGASTSS MLKHLKLPGPTGQALFSKYTFTDISSAFFVAAKELFKDVPNMEYRTLDISKSPAEQGF DGEKYDLVIATNVIHATKSLRESLENVNQLLAPGGRLLLHELFSPSKWPNYVFGTLPG WWYGEADGRPDEPFVSPERWESELIGAGFAGLDAVALDAEEPHQVNAIMVARAQTVSS RQNGEGGKKTVTILSDRDGQPELAGALSQQLHARGYTVQRRQLGDELPTSQDIISLLD IERPFLADIDEERYQAFQGLINNLGGSGLLWVTHPCQVRCHDPRYAQIIGAARELRNE LLVDLATCEVDDMVSSVDRIIDVFAQFQTREQDGSLNADMEYAIVDGTVLVGRIYPIS LKEEVAEDNLDHVHLEMAKPGRLTSLRWTPRAARPLIGDEVEVEIHAAGLNFRDVLCA LGIVAFPEEGLGLEASGTVSRVGPEAKDLIPGDRIMFLGKGAFSTHTVIRERYSERIP DGLSFEDAVAMPIIFATAAAALISIGRLQKGQTVLIHSACGGVGLAAIQLARMVGAEI FATVGSEEKVRYLTDTIGLPADRIFNSRDTTFVDGLMRETHGKGVDLVMNSLSGELLH ATWRCVAEFGTMVEIGKRDLLGKGRLDMDVFLANRTYSCFDLDELNAKKPEACKELLR SVMEYFEKGHIKPIRPIKAFDASSIEAAFRYMQKGQHMGKIVISMRDEDGTVKVDTSA LAKRLKKLELDSSASYLLIGGFGGLGHSVARHLVEHNARRLIFLSRKGGERPEDVDLV RELESMGCEVGVVKGSVVSADDVARAVKLAGPALKGILQLSMVLRDEAFPRMRLEDWE AAVTPKVRGTWLLHEAALAAGVDLDFFVLFSSLSGILGQPGQANYCAANTFLDAFVQY RINLGLPAAAVDIGVVGDIGAASLDKGLMKRVKQAKAQMVTELELLETISAAITINGT NTTGVITSNGSAPSGTAGFVEKRQFMIGVGTSIPLSHPENRAFFRKDRRLAIYHNATN LSSSQGDQGSSNADALQAFIAKVRSAGVAEEGGANAVLREDETAAFLSREIGRKLFTF LLRNIEDLDACLGVPLSQLGVDSLVGVEMRNWWRQAFGFDITVLELLGMGNLELLGKH AAEGLERVLG
SAPIO_CDS9050	MSTFVQSWAKAQAQKSQIHSSKNAPIFFRNLEAELDTLRENQRC LSIHSYPGLGKDMIDFASADMLGLGTSGRMREAFMEELAQNPDWWVSASGPRLTFGNS KYMEDLEHDIAEFHGAETALMAVNGGMANAAIFTAIPRAGDALVYDEYIHATVHDGMK NSLALVKKAFLHNNLDSFRDTLESVRNSQPLIRNGKRCVLIAVEGIYSMDGDVCPLEE LVSIAKEIFPDGNAQFVIDEAHSHGVIGPKGAGLVSALGLEKEIAIRMHTLPKAMAAG GAVIMCNNTVRNMLLNFGRNILFSGAPGFPLLAAIRVGYDFLQSGETQQAQDRIKYMV QLFKDKLTSHPVWDAANDAKILRVPLWDQDEQEQRFWTPIVPILTRPNTKHNVYLAFH LQLNGFIAYPVYFPVVPKSAERVRIIFHASNTDEEIEAIAASICAWAEEMLEIDEEGT AGVRVPTAARQAYAMMEKERLNGSA
SAPIO_CDS9051	MASHPPAQCCTIGVKHSGEPVGQLIKVAGKWDAYLATPPPDNTR TGKGILFCPDVIGIWQNSKLLADQFAANGYLTLVIDEFNGDPLDLNRPADFDFTAWLN HGSDGKNPHTKEAVDPIIVAAIKTLREEYGIERVGAVGYCFGAKYLVRHYKDGIDVGY VAHPTLVEEEELAAITGPLSIAAAETDPIFPPEKRHRSEEILKETGHPYQITLFSGVA HGFAVRGRADVQVERFAKEQAFLQAVTWFHEFLA
SAPIO_CDS9052	MTPSPGSQPTDSRGPYSSQTVSVSWGEGDTTPGSRTFQFGVSEC IETKTVTTTTTTKRTFPPLFVREPRPLESLDVKEYPLASKSTPPQLAQFTFDVPGFDL FAEEDAPSKQPSHNKTRPASSHAASDRPRRSTTLVNRRESERLARQVAGVLATPDAAE FAPLEERPTRIRKVSTTPEPIVSQVPTLYDAASPIASDTQTIFSGNVATPPITDADVE PFMDADETLQQSVRSLHQSRHPLESAAAQDADASLPSPRLSPKLTAAQPESDSLVDEE DGLPITSQALTQHQLSWNEASQSTSTDDSEMLSPRPLVTKSRGYLARESATPPQLIDP RTMLESFDAMPSDMKTLMLYQFLRRCPRKTLRIVADVVNPSLQCDFLKQLPLELSYHV LSYLDYRDLCRAARVSKHWRNIIDSNETGWKELFDRDGFKLPPGELEKAIRQGWGWQD PYGPAGCEVDLSAQRRLNSTEAELTQVVCKTEPTSARLRSSKRKRGLNAMSGVDRSKR RASASAALKDTSGQLEVKQHKSEGPLSAANAAALAVPDPQLGLPSLRRLHLFKSLYRR HYMIRESWTNGKVQPSHVAFTAHPRHVITCLQFDDDKIITGSDDTLIHVYDTKTGKLR KRLEGHEGGVWALQYEGNVLVSGSTDRSVRVWDIEKGLCTQVFYGHTSTVRCLQILMP SPVSKDRKKQPEMMPAKPLIITGSRDNQLRVWRLPEAGSRRYIQTGPPANDSDCPYFI RTLAGHTHSVRAIAAHGDTLVSGSYDHSVRVWRVSTGEALHVLHGHTQKVYSVVLDQE RNRCISGAMDSLVKIWDLATGACLYTLEGHNLLVGILDLRDERLVSAAADSTLRIWDP ENGRCRNVLSAHTGAITCFQHDGRKVISGSERTVKMWDIASGNCLQDLLTDLGSVWQL KFDYRRCVAAVQRQSITYIEILDFGAVRDGKPPEELGRRILLDEPEVQRLMEEDV
SAPIO_CDS9054	MSRLQESITIINNSGKIISTGKQLFGIFKEAKACYHEKKAAIHQ ERSLQRSQTFDVSQQASFHYQEAQYYEQYDYGENPYYAGDDGRSYVSHRSHRSHRSSA SSRRYGPSASRGRPALTEANLRTHSEVSATPPSAPPQNYRSPYAETAPRDMTVSRPTL VRPPTEYYPPAPAYAEPPVPPPNYAAPGIPRSVSDPALLGKKKKKKSIDMNLAYGDIP PDLESRTDLDPENPDTQHAKTLIGRVENLLDEAQCVQHSASGIITHLQRNPDAAAAVA LTLAELSTLLTKMSPGFLSIVQGGSPAVFALLASPQFLIAAGAAVGVTVVMFGGWKII KRMKETKENEAMQREALAFQAAQVPMHPPPPPPMMEQQQQVIYDDMPGPEYYPQEMQL HPIAGDGIDEALVIEQELSGIESWRRGIVPFGEDESADLELISPEAQRAIKRSHKDRR RRHSDGEYDDGDDVSRSGRSDRSHRSHRSHRSSRTHTTTHRSSRDVDIPDRKSSRNGT TVVGGDDGYSEAGSERSRRSTRSRRTMKTIEAKKDDDENSLDLVLRPKEKKGTNMLKQ LFKKKKDKEESSRRAVSVMV
SAPIO_CDS9056	MAVADLGYIAGHLGLEQDGLTSLAADPNLASLFKAVEAKAHEFD TLYSEKLRVDIELENAVVGSEARCQTFKATADKALKEVEELRQKLKDEESTRQTLQNE LQSLRSRSTEYDSEIGTLKDQIESLQASNRTNMALLESRNARDDQLSEELSKQHQKNV QLNKEITTLQQTVQAAQAASNSAKYRAESLQQQLDLARRSGEWFENELKTKTDEALKY RKEKGARIAELQRQNEEAKADIESLRRGEQQLRQRLDAAQSKADEALVKVQQQQEAFA RTEESYKKELENQRRLVEMSDQLTRKHQDRVQEMELEKERVRDNYENEIRRVRLDLER ERQTVTELEERIQQLEGEVDELQARAQHEPPAPASPSAPQTPRANGSVLGRAFSPFTT PGSIRSKTTITATQALDEVFKLKGQLAGEKRRNQQLSDELDQVLAALEAKAPQINELQ SENESLRVELNQMSHLSEQSHEERDVAKRAARKAEGALSTAQTEAKILRTQLRDLSMQ IQMLVFNMHAREKGLNELTDEEKYRLEQLSKGEITEDALADMSDTHQFITHKFVVFKD IKELQEKNQELLRVTRELAEQMESEEALAAKHKAAEDHKAVQRLEQDLVNLNEEAQSL KTTMESYKAERDMFRRLLQQKASAGELASVLGSPAEDGQRPPLASIETGADDQEAVSA AALRQLEATFDNYRNEQNMIRQTMREQADRLSNEKTSLQGEVVKLSSQLTLASERYDM LHANFVALQGEQAELQKRNQSLSETTAKQDIRTQQVAEELVETRELLESTRNEAANLK AEKKLWKDIQDRLSKNHETLMEEKERLSSLLASQQSLMNERDIAESEARRKFQARIDS LESELSETKRKLNTEIEEGKKLQLRKEFDSQQSQKRIDELTASLSQVREELVRATTAR DHLQARTDELTVNLRNAEERVGRLQPRPTPRPGMPVEPDTTDEEREQELQNLADQVSD LKRDLDLAQAQLDNAKNQADRFRELSQTHEEALADLTASQEQAQQEIDNILGEKDAQI KELEQRVEELSSELANSNNQLSALRDSQGEVTRRFEDEKHILEDEIKRLKDDAERYSE SAKFHQQDLRAQAEIATKAQQDYEQELVKHAEAAKLLQALRTEHNLLKSEAASFKAAA ESAKVTLSQNEASWEERRQQLEREIQELNARREDTNAQNRLLHQQLEGVTAQISALQQ NRLQTYDETEDVTGPVPDIDGLRELNSYLRREKEILEVQHDTRLQECKRLQQQLEYAQ SQLDEARLKLDQERRSHAESGKNSLSHQDLMAKLNELNLYRESSATLRNELAQAKAQL TEKNAKISELEARIQPLESQIEALKTEKTFLQDELKQIQEDRDRWQKRTEDILTKYGR VDAAELEQLKETVTTLEGERDALKTAEEPLKAKITELETLVETERGNWQTTRQKLVEQ FKDRSRKLTGEKNEAIQRGNELQAQLDAANEQLTVSKQQVTIVTTELDASKQEKFQLE KQILEFQHTVNSLREQAAANAQAPIETVAVGEQQQPTDGIIQELEQRLALVKEELDAV SSQKAEAEAELANLRSQLQTTIAERDQALEQARAGIEAVEGQPVAQPAAPGGELSAEE RKAFEEKIQAAEAKVAEYEERAKEAESRIEQTIKQRSDKMRDALNNKLRTARAEMEEG FKAKEAEFEAQKAEWKTAEGELRLKLEQERIIKAAEGGPTAPAAPDAADGTQQVPATP TTPAMQPPSTPATKGTPAGDLTQLSDADLRKLLTTHATAKSIFANNIKKRLEVESAKL KTELEQTLKGEYEAKVAQAREQGQMMEQKKSTVKINMTENKFRAAQAKLGVVQTAAAE TPQKPVGEVWEIALQAKPAPPAAAAATVQPGLGRQNSTAGVPPSPVTGTPGPSPAVNI STPSSAAGQRGPGSMVKPGAPQGQVSAPVLPSPFDASPANRPGGPNPFALPRPPTLPQ APPPQQQAPPQQRVQAQPQLPPAQQQQQAGAGAGAAQAGPVQKPQQQQQARPQQQQQQ QQGRPSSLPQPPPQQQQQQRGQSGLPVPRGGHRGGRGGGPYVPPQRNASGGAAERGGG HARGRGGHGRGGLNPGASDFQPGSKRPRGDSEIGAGAKRARGSH
SAPIO_CDS9057	MKPARLFLLSQAITLAVAEVVYVTDLTIFTLLAPCAKYGVSVAV QAQTYSSCGTEAADLQSCVCSKAGKMDNVLGIMSSSLSWSCGETATDDHASASVVLSQ YCNQDSSYTFATPTANLVEEYPTDYPEFYNLAPCAQYGVSVAMQTMTYDLCPEPASLL APCICGKNQNSLRASQTINASVKYSCSNNEDITSGQQFLAAYCAMTAGTTAFPQPSNP PGDMTYFISALPEYKSLAPCAQSGVDAALGVHSSEFCPPGPKAFASCACLKVGMSSKA TSMMSSSVRWYCDSTARDDVLSAVSVFEYYCSAARAEVTPTGVTESIAQTTNGTPRQT GGSNSGDNPTNPNGSDSGDDTSSGGVSTGAIAGGVVGGIGALAIIGAGVFFFLRKKRA NKETAAGAAAAAYQPPPPNDKPELAGTPITGPIPPPSPAISHTSAHTPTPVYDPTNAP QIPELHGGKSYMGTPPPQPMQPELQGNPVMPPQEYQGQAYGQAIPMQTYPQGYGYPSP QASPYPSYAGQPSPQSPQAGYYAPQQAAPVELPTSWQAGPVPGYQELPTQYHQTR
SAPIO_CDS9060	MKVSSAVLLALIAGEGSGQGVTTRILPVGGVADGCDASSDGTFQ FAVIDLDGHAQEGTLALKKRDDCKTNGQLIVKLKDGVLKDLLGRTGYISSSFQLQFDD PPQSGSLITAGFSICNNGSLALGPSTVFYQCPSGTFNNIYDRKAAPQCGPIHIQAVAC DDSEGDKAQDDAVSTVGVDNVPTTLVTVQKGASDVKTQTPIAICQIGDGQVQAHTTPC TALTPVATSESAAVQTVTETVTEVINVCGTQEPTQKPTPMAPFVTSGAHRRAAALIAP PFLAAAAGTGVAYGICVIL
SAPIO_CDS9061	MSTRQTPGPNRNEDGKNHLRHLILPGDASIKVAVALGPVKSTPL HQFLARESTHTSPPSDTIIRSSSSSAGYLHKDNQIVDTATDPNSTTMTGAIFPDSPPD WCNVKVLHRNTLPPRSSFFLYDSEVDALSRDTAKAKSQLLSGKWKFHLSTSPFYGPQR FYEPGFESSKWDKVDVPGMWQCQGYGKGPQYTNLDFPFPVNPPHIPLDDNECGRYLTT FRLDKHLADHQQRLRFEGVDSAFTVWVNGHNVGYSQGSRNPSEFDITKLVKYGEDNIL AVEVYQRCDGTYLEDQDQIWLSGIFRDVYLHSFPKVHPEDFHVHTLLDAHYKDAELRV NVKMSDTSVVSLKLLDADGKVVAEATEKFEPKGHFSVSVKKPHKWTAETPYLYTLVLT FNGSTSLAQRVGFRRIDLIKGVFTVNGNPVKFRGVNRHEHHPESGRAVPYEFLKNDLL LMKNHNINGIRTSHYIDDFRLYDLADELGLWIIDEADLECHGFGVVGANAEKFATDNP EWTEAYVDRARQMVMRDKNHPSIVIWSLGNESFYGRNHKAMYDFIKSVDTSRPVHYEP DYQTRSADIFSRMYSSAEEIIRMAQESHWEKPLVLCEYVHAMGNGPGGIKEYIDAFYK YPRLMGGFVWEWANHGLKTKNAEGEYYYAYGGDWGDDPHDGHFCMDGLLHSDHTPTPG LTEYRKAIEPVQTLSLKGSELTVVNRYDHLDLDHLDCSWTIVDEIGTSDETPCDIPEG VKPHTEAKIKLRGLPTKFDGETYVRISFKLRKATLWCKAGTEVAFGQHQLHGPVSLTK IQSLLPALSSVPRAQQTSPGYLEITSPDGSSVWGINLTLGSLSSWKKNVGPFTELMTK PLTIDFYRALTDNDRGGIFGQQWRQRRLHQTKHHVRQVRWKTGSTGLIVEIQGRVAPP VLAWGVDTTITITFGHGVHLSLNAKPHGPLLPDTFARFGLTFGIEGVEHVRWFGRGPG ESYSDKKRSQSFGTWQSSVDDLFVDYDYPQDGGNRTDVRWIEFLGATGKKDAGTGTKP RKRLLRARFGDLDGASFSAMHYTTADLDEAGHPYELRKKKRKDTVVRLDWAHHGIGSG SCGPATLPQYELKTNREFEYELLLD
SAPIO_CDS9062	MHFILLSTTFLLAFTASAFTITPRDEPSNPVTDLDLTDDYSTLL AHNLITALALHQQQAGEDDDDLSTLSVRDIPDSQDVEIDEDLLEALLNDDDIVAALAA RSLPPDSPAHDLIARDPGFLDSVKEKARKAGKAIGDFVEDTKDLLRDAKDGLIGKCNV VSCGSALGPATVACLKAAAKRGTSLVADLSCLDKALKAQKKVPKACGGCPSAIKKHYS 
SAPIO_CDS9065	MQLITLVAILAPVLGTVHAGCYSGGESWGSEKGKATSAAVQVCD TTVAGDFTGGQLKSACRQLTDTKRVEFVVQWKGSGNAFISDDECVLRLTNEIGGCSNG GESDIGQWFFRSDPNAGTC
SAPIO_CDS9067	MSSSLSPATKRVTIDSLLLQFLDTHPVNPNAAISYYTSPSNAPN HNVNSNYYASTGTGPVPGALPAARPPLATADANRPVSALLTSTAPVADRKRKGGPSAS PEESNPASKKARKSAFSATGPFYLDVSSVSLSGEATHSVPVYDMCDTVRRKIRAALRR DGVTQAAFLRAIAATYSDGRKIQNKQLNDFLAKKGPLSGNRSCIYYAAYVFFEKVRIR DGKEKDKDRLGVEKQWPGGVDTVRDCTYVWSRADAVHMGRYGGIHSVHYGKG
SAPIO_CDS9068	MTPTHLSAPQPDLRFSTCSTVKVSSDVDDIGPKKVSSEAVQDPH TSAPTPPPARAEMSRLPPTTRPTVAQLLYLLGFHGIGSFIVSGGVNFGIACAMYLHTP DKITLFHLPNTLAGDAGLTLIIQPIVTWLIEALLVNLDLRTSSVSPLAYPLSPSSPVR KFLGVPSSSPTNPESQEQPSSSNRRMGLVGQILRLFFCFILPSFLILWPMSVGILTAV GEKQGSDYVFQNQWAPQIFKLVLGAVQGLLITPVMAAFWVMREGLLMTEGGLEG
SAPIO_CDS9069	MSVSSLKRPASVEASKAPCPRSKAKASTPNSIGQWSTTHQASRK ASGWGKDKPTTGKQTNRPSLSERTSPARPSQKITERNSGAAGRHRLDTNAPTHPSHKP SANIKHEDDSLETARGFETSEEAADTTSHCSSLFEPYSRSTFTPDMTPGDLGTEDYAI YRQPFQQARQGLGSIQDAFIAQGRKIKDLNERLQQFPTKKDMDQLQSKIRGLEERLRR ESSVRSRMEAEEVFKKLLKEERPRWETDLRNQTREVIGELLKEERPRWETDLRNQIHQ LQLTGSICGHHNSVEGGKQKGYDEKPETLSTGAADSEVSTPPTVDEIVHVSEPQQELG MLKKKYGELALENYQTPHTILRLKEEIKKLEIENTRLKEEINRQQNGDSRLVRGNSPD LQAALFDAIQTVLQNFSGLQATPQNPQQPPPQNDVQHAPNIAQATDKNGDDEASSPPE PDNKMLQESQSSYLVPLVTETPLESPGPLGLPESPGSSNALGEIEPLRESESPTEPDL TEERAQPEQPEAAKEAEEAEEAEEAEAEPPKELELRGEPAELEQPAELEQPPELEQPP ELEFSGELESPALPGPIGSSEPLGSPPPPPPTHRRSQDSGGSKPPIGGGTRERKPKRA RDQVVKPFLSRKKGSLEDV
SAPIO_CDS9070	MQFTSRVATTIVTAASLWQSCQAQGPLATVYTDPETGIIFDAWS ATTSQTQGGMTIGMAMPSNALSTDATEFIGILTCSSPNGKSTGWCGISLGGTMPQNLL LMAWPYGDEVLTQFMWASGYDTPVPYTGNAKLTQISSAVNGTNYSVIFRCENCLAWDQ DGETGGISSSSGFMLLGWAQAYSSPGNPSCPANIRLEQHDTQNIFPAIPDSNIANPSY SSWAALATKTVTGDCGGGPDPTNTETPPSSTSSSVPTKTGVPVPTDTAYDYVIVGGGA GGLPMADRLSAQGKKVLLIEKGPPSTGRWGGSMKPAWLEGTSLTRFDVPGLCNQIWHD SAGIACTDTDQMAGCVLGGGTAVNAGLWWKPYSQDWDYNFPSGWKSNDVAAATNRVFS KIPGTTVPSTDGKLYLQQGFDAVSSGLRNAGWSEVSANQEPNRKNRTFTHTPYMFSNG ERGGPLATYLVSASQRSNFKMWTGTAVKRVIRSGGHVTGLEVEPFLSGGYTGTVPLTP VTGRVILSAGTFGSAKILLRSGIGPIDQLQVVQNSTDGPTMISEDQWIDLPVGYNLED HTNTDTVITHPNVEFYDFYEAWDAPITSDKNLYLNNRAGILAQSAPNIGPVFFEEIRG NDGIVRQLQWTARVEGTFDTPDGKAITMSQYLGRGAKSRGRMTITRNLNTAVSTVPYL RDPNDIEAVIKGIENLQAALANVPGLVWTYPPPGVTARQYVTDMVVSTSNRRANHWIG TCKTGQDDGRSNGSAVIDTNTRVWGTDNLFVVDASIFPGMVTANPSAYIVVASEHAAD KILALAPAGEQPRYAQCGGLTWNGSHQCAAPYTCQKTNDYYSQCL
SAPIO_CDS9071	MEPNLKKRKLQTGKGDKPRPGKRHKPGSKPGKKTGPKVPGAGFR KLDAKSLPWTQDVDGILGLQAIDGVDVIKNGENVQFFVPDSVATGAPGEEKEVGDKDE EESEEFEGFDDLPTVEEEPVADEPAETSKSDGRKEKKKKKGKAIEQEDEPVAEGEGDE GSDAEPETDVSAWASLNLSPSLLSAIARLKFSSPTSIQAGAIPEILAGHDVIGKASTG SGKTLAFAIPIIEKWLEAQNDEGKKEDEDEDDAETKIPFALILSPTRELAHQLVDHIK ALCMGLSSSPYVCSVTGGLSVLKQQRQLAKADIVIATPGRLWEVINSDIPLLQAFKEI SFLVVDEADRLLSEGHFKEVEEILNALDREELGFDADEEQDGSEEELRPRQTLVFSAT FNKALQQKLAGKGKYNLMSQEQSMEYLLKRLNFREEKPKFIDVNPVSQMAEKLKEGLV ECGPLEKDLFLYAVLMLNPSRRSLVFTNSISAVRRLAPMLQNLNLKVHPLHSQMIQKA RLRSIERFAGSKPNESTILVSTDVAARGLDIPNVDQVIHYHVPRTADAYVHRSGRTAR GENSGVSILLCAPEEVTPTRRLVAKVHQEKAVSQKYLIRTIDIDRRVVSKLKPRLTLA KKIADATIAKDKDKKEDNWVKAAAEELGVEYDSDDLEQVKNWGGRGSGKKQKEREAAQ LTRAEIGALKAQLRELLEKKVNTGVSERYITGGRVDIEALLKAEAKGDFLGQVDGLMP DD
SAPIO_CDS9072	MHIIKPSWLSHSGEQKDFEVYSCHVSPDGKRLATAGGDGHVRIW STEAIYNASDATYKKPRQLCHMSHHLGTIHSVRFSPNGRYLASGADDKIICVYYLDKG PPTTTFGSSEPPPVENWKTHKRLIGHENDVQDLAWSPDSSILVSVGLDSKIVVWSGHT FEKLKTILSHQSHVKGITFDPANKYFATASDDRSLKVFRFTPPAPNATQHDMINNFVL ETTINAPFKSSPLTTYFRRCSWSPDGNHIAAANAVNGPVSSVAIIERGQWDSEINLIG HEAPTEVCLFSPRLFHTQNPADGQGGNLVTVIASAGQDKTLCIWNTNTSRPVVIFQDL AAKSVVDLAWAPDGQTIFAVSLDGAIIAVKFVVGELGWPATAEENDKALQRFGGSRKG MGIAEDVDGLKLESHSKAGESRAAESRMGALMGDLQPSAKDQTSKAASGTKPSTGKNA AATNGHVEGEKDKEKEKEKEKEKDKAAEESAEKTAERVKELKSRVTIGKDGKKRVAPL LVSSSGTGHSSLPQTQLVGSTTKKAAQTEAPQTIIDIAKPFEGLPKGGIATLLLGNKR KVAAAEVDEDEEPVAKKPSGGPTPILADGGLDGVEPAALTAAATGTIPTPEYLRPAVL NPSIAFSKLRLGVPSVRTHILRPLEKGVLLSESTLEEASRIPENIIFEARNPSLARDP ARISTSKRGALIWQDFLPRAVLLVTGNRNFWAAACEDGSLYVWTPAGRRLFGAIVLES QPVILECRDHWLLCVTAIGLCYVWDIRTQSSPHPPVSLGPVLELACTSLSVHSPSPGP GITAATLNSAGNIVVTLTNGDGYFYARDMYVWQRLSEAWWAVGSQYWNSTDSSISALQ STAVGAVTPNPKDGSTANVSGGILPFLERHTTNEFLLRGRAYNLQRIVKMVLAKDGFE GFESSVSIAHLENRMAGALQLGAQDEFRLYLFMYAKRIGAEGLREKVEELLNSLLGGV LRDKVPRRGGKEDGRGWFSAEGEICGWDRKELLKGVVMILGKFRELQRLTVQYARALD ITPQDEDDDEMSVE
SAPIO_CDS9073	MPINRILAIHHLGWNLCLVALAVITILGTTHYYTHPFHEQLDQP EELDKPRDDMELVRSVMASVVQECQLQPMIETMAELQAALMNQRSPYDWVFLSNEDLT DDFKETISKFSRGSKVLYQIISEDMKAHPGPGPESLNDGNHTNVNSTRIVTSQMIANY EILQDYNWLMMVSPGSKFPTVFDYDIFYEMQNRGIVYGYGKPDYIDDGDNHHAGPIDQ QRCQPVEYPQNVGSIPWTSELAEVDDLDEAGDFDDEHVLGGKRNKVIFNGTMRLGGHE VPLNGADTDAESLWSTGLVRGLGMPGASFEIAFLDIFRDPSYSEISKVVGRITAGAPG VAARRQRTSGVFIVLDDSSRSLSALHAH
SAPIO_CDS9074	MIVRESSSRSSDSLLGVSTKSISLLTLTFQHSALILIMHYSRIM APAGDQRYFASTAVFLNEVLKLAISLTFSIYEVSRTLAPSTPATVIFQQIYNSVFRGD GWKLAIPAALYTLQNSLHYVAVSNLDAVHFQVLYQVEILATAIFTATLLRRPIGMTRW LSLILLTIGVAIASLPQSGTPLDDKLLFHDSSDHYFPRSAHELGQAGNGAGEVNHHLS KRSATYQGIQEDEFPDPSSVNVNYSIGLTAVLVAATVSALTGVYFEKVLKDSPTPASV WTRNVQLSFYSLFPALFVGVIYKDGAEIARHGFFDGYNWIVWTAIVFHAINGILTSLV INYADNIAKTFSTSFSILISVVFSLWFFDFTLTPSLFLGTGLVLGATYLYTTSTPPRS RSHRPSPIHVATLEKTTIDRLNTPRPTSTPSSLTPSGPFAGQAPPSYASRATLDPLDA AKAIGLSTSRPSSPMFPRPSPRKDRDE
SAPIO_CDS9075	MSLPHRGKEQPSTKAVILVGGPSRGTRFRPLSLDVPKPLFEVAG HPIIWHCVSALSKLTTKHLQEVYIIGYYEEQIFQNFIKDASLEFPGLSIKYLREYEAL GTAGGLYHFRDAILKGRPERLFVLNADVCCSFPLVDMLKLFVEKDAEAVILGTKVSNE AASNFGCIVSDAHTRRVLHYVEKPESHISNLINCGVYLFSTEAIFPSIKNAIKRRTDR PRLVSYPSSDNLESSMIFDDDLGNQNGVIRLEQDILSDLADNKQFFVYETKDFWRQIK TAGSAVPANALYLQQALQAGSKELAPRSANIIPPVFIHPTATIDPTAKLGPNVSIGPR VHVGAGARVKESIVLEDSEIKHDACVLHSIIGWGSRVGAWARVEGTPIPVNSHTTSII KNGVKVQSITILGKDCAVGDEVRVQNCVCLPYKELKRDVANEIIM
SAPIO_CDS9077	MGRIKQEKPVFYEELDDRSFRTQESATQPSLYSGYRGERANAPT PSERRFDEPPLMLQVNDRRMARPQERPVNPTEPIPFMNGSFERIAKNLQYLNDTLGDL HSLGIQHDIQLPELVLVGDQSAGKSSLMSGLAGINLPRSGGVCTRCVTHIRVSRHHTW QCRVSLRQKYGFFPPENGLVSPDNVTPGDKFPPWKPVHETTLDFKTLDRPDEIEETLR WAQIAILNPGKSHEQYIPGSGHATIGENAFERAAESTEAKFSPNVISLEIKHPDFADL SFYDLPGVFVRPGNPDENYLVQVVRNLAETYIRHREAIIIWAVPMNLDPMNSTMSKII EDSNALDRTIGVMTKADLLPKDEGALRQWLTILKGESHVTGHGYYVTSRVPDKTLEDQ NRWEDHFFDQNKLWPREFSAYRDRCGLKQLHFDLSDKLGAAFAKSLPTIKKKIVNKLS SLRHQLESLPELPANVELEVRTSLRTFIDLVLSSLRGNEFSSTYQRLGEAFRNCLIAM KPKINVKTDDQIIEIIDDDDTEDQSGPAPNSKRKAAPNTLPSAPTTPSKRARTGNPGP IKREDSYGRMGSVTPGPSAGGPRQGMEAPGPFAEFGPPPTRKLREIRDSIIRHNRAGQ PGLVAGAVYEDLCRQALTPWDGPVRKFLHLVMKEISAVVNSALERAFAQLKKRLIYKR AKAIMSLFLKEQKAETEKYLIKTLRIHTRQLFTLNDEEFKQYRRTEENILRRHRHYVR WQMATNELPPKAFAPLETLSAQERDQDEKRRATQMEKMGKDPYETEVDVFGYVRGYYR LASARLTDAVGLILHSDLIPNIEDQLARDLHLDRQLQVFPSGEAGIYDILMSEDEATA RQREEVRADVKKFERALESISQLEGRSSLEARDGEVDDDHTVA
SAPIO_CDS9078	MVAIVSKATAGGGDVPRFERVNWRKEPHLKKLYIMTVFLLVASA TTGYDGMLANTAQQMDRFKKFFSIENGSMNEVFIWDPVKMEWGADANKLGIMINMFNI GSIISYFMTPYIADFFGRKPTIMLGCVVMIVGGVISAACNGYGMWMAGRFILGFGNSF SQMCSPLLLTEICHPQHRGPLTAVYNCLWNLGALIVSVVGWGTSTIGNDWCWRSITLI QIVPSVFQLCGVWWLPESPRFLVSKDKSEKALEVLVKHHGGGDINNSTVQFEYREIKE TITMEANADKSSSYIDFFRTKGNRWRLAIIISLGVISQYSGNALFSNYIDRVYDGAGI KEENKKLGLSAGKTIMDLTIAVGAALTVDKVGRRPLFLAAISGMVASFVCWTIVGAIY ENSGESNQRAGDAQIVFIWFFGIFYDIGFSGLLVAYALEVLPFALRAKGMMILNITIQ AVLALGNQTNLLAWNNLPNHWNFMLFYTLWDFCELVFVWFFYVETKGPTLEEIAKIFD GDDAVAHVDLHQVEKEIRMAEHEEDIKAPSLPSEKSAV
SAPIO_CDS9079	MKFLKAILAVLAATASHADAAFSWKNVRIGGGGGFVPGISFHPT TAGVAYARTDIGGLYRLNPSDDSWTPITDSLATNDKWSYWGIDAMALDPQDADKIYAL VGMYTNSWDPNNGAVIRSSDRGNTWQTTELPFKVGGNMPGRGMGERLAVDPHDSKVIY LGARSGKGLYRSTDGGVTFAKVSSFTAVGTYVPDPTDANGYNNDIQGLAFVTFDDTSG TASNGRTKRIFVGTADNTTASVYVSNDAGETWDAVAGQPGTYFPHKCRLQPQEKALYL SYSDGSGPYDGTKGAVYRYDITAGTWKDITPATGDDLYFGFGGLSVDLQKPGTVMVAT LNSWWPDAQIFRTTDSGATWSKIWEWAAYPDQNQYYSMSTPKAPWIYENFVSVDTKRL GWMIEALEINPHDSNHWLYGTGLTIYGGHDLAKWDSGSGRNVTIQSLADGIEEFAVLE VTSVPGGSELLAAVGDDSGFTFKTKVDLGTSPQTAWNNPMFTSSTGVDYAGNSVKSVV RVGNSAGSPQVALSNDGGATWNLNYAASNDQYGGRVAYSANADTILWSSESSGVLRSQ YQGSFTAVSSVPSGAAIASDKKDNAYFYAGTTKLLVSSDIGATFSPGGSLGAATSIRD IAVHPTVAGELWVSTDVGIFHSTDHGATLSLISSTLTNTHRIALGKGSGSSWILYAFG HGPVGARLYATADNGASWQDIQGSSQGFGAIDGCRLAGSANEPGLVYVGTNGRGVLYA QGTVQGGGGNPTSSSSSSSPSSTAKTSSTTTRVSSTSSVRTSSTLTTSTRASSSSSSA QPTATDGPTAPRWGQCGGIGWTGPTRCESPYACQKQNDWYHQCL
SAPIO_CDS9080	MRQLLALLLLYVVGVTSTAAEYHEQLNLRPLPLSSLLASFNFRA TTPVSDFEAQNFRLFPRSLGQILQYAGTRELHLRFALGRWDAELWGARPWDGTREGGT GVELWAWLDAETDEEANEKWLVLTNALSGLFCASLNFIDSTRTTRPVMSFEPEGTLPN ATTNSSLRLLHGVLPHEVVCTENLTPFLKLLPCKGKAGISSLLDGHKLFDASWQSMAI DVRPLCPEDGRECVLEIEQTVDMVLDIDRSKRPRDNPIPRPRPHHELKCDTTKPYHAE GGCFPLDHALGQEWTLSQIFGKPLKGTCPLTNPDTPPVCMEVPNSRHVFTSVGAREIK SSQGNSRCYELDADADFDIILPPLNNGEGLDGPEEDFVKPATPLIYADRSFMGYGQER GAVQTILRNPSPDTEVEFVYMESLPWFMRVYVHTLDARIDGVSGSQRSLIKEIYYRPA VDRARGTQLELRMVLPPSSTVFLSYDFEKSILRYTEYPPDANRGFDVAAAIITTVSPR RLNLRTTSLLLNLPTPDFSMPYNVIIFTSTAIALAFGGLYNILVRRFVGVDEAPKPPL RRKIGALVERVKGLVRAKRGKEGNGKG
SAPIO_CDS9081	MAAEQRKLLEQLMGGSSMSRAAQLSLNDPKVCRSYLVGTCPHDL FTNTKQDIGPCPRVHNEALKAEYEALSDKEKQKYGFDYDYMRDLQKYIDACNQRIDAA QQHLKKTPDEIRQTNLLLKTISELTSSINNGLLEVEILGEIGEVHKAVDEMYRVHQAR QAKAERERELKELSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHLGYAQ MRRTYDAFPKEMRGRIRPPMDLDGAGPGPAAGPRGPRGGGYRGRGRGYRGGW
SAPIO_CDS9083	MANITDLAMDPLWQDLDRVIGQLFIMGWDGTEITPQIRSLIQDH HIGSIILTAKNLKSAQETAKLVQDLQTLAKEAGHRQPLLIALDQENGGVNSLFDEDYI CQFPSQMGVAAAGRVELAYEVSKATATEIAACGINLVLGPVLDVLSNTRDQPIACRAT SDDPQEVSQYGILAMNGIKDAGAASCGKHFPTYGSLDFRGSSVDMPVITQTLEELSLS ALVPFRDAIATGNLDAVFVGGCRISNPSMNVQHACLSDQVVDDLLRNELGFKGVAISE CLEMESLSHEIGVQNGVVMGVEAGCDLILLCRAYDVQLEAIRGLKLGLENGIVTKQRL FTSLERVQRLKTNCTSWVKALNPPGISLLAKLHPTHSVLSRHAYDDSIVVVRDKEKLI PLSSSMRQEEELLLLTPLVKPLPATKLTKTLLESKNLQAAAPTNHDKWSHRDRGTAIL SGEEVFRELGRSLARVRHGKLLHTSYTANGVRPVHENLINRASTVIIVTADAKRNLYQ TGFTKHVDMMCKILGSRGQKKNLIVVSVSSPYDFALDKSIGTYVCTFDYTENAMHALV RALCGETTPRGTLPGTMRRSRKNMKSKQHWLVEEYDSIRDESALDELIRSVALASAPD HPYLKTSSAASFDLANPRITEAHFVVRNSSTGALYGFVATYRLGSTGIIGAIIVDPGK RSLSIGRSLHRRAMRSLVQSRNVKKVQLGTVFPGVFLGIPVDEGCAVKDWFANCGWDV QFPRRLTNLVINNLEAWTAPEGLLQSIQRANMSFDLIHGLENADMVLSHVSTESNPEV VELYREALAKSCGVVRAKDAHDNLLGTIIICREGNPLSTFVPPLLSPSAEVVGGILAP VVPPSSQGNLILQGLALMGVRQIKSQKKASVAVLSWILDDMYESLKAMGFETLQAFEE ITNSPENWSELS
SAPIO_CDS9084	MPAAVSDQLKTGGVGITKFTNCRLVRGNSLVHRDLWVSSATGKI VHSQASFYDHLLIPDEVIDLGGRIVSPGLIECQLNGAYGFNFSIKPDEVADYAKQIKE LNKQLIKTGVTSYVPTVTSQKPDVYKTVLPFLRPSGHLQVAEDGAESLGAHVEGPFLS PTKNGIHDIDVFKQAESFKDLEDCYGAENLSPSESGEPASVRMITAAPEIGNMEKLIP EITSRGIIFSIGHTEATYEEASAAVEAGATMITHLFNAMKPLHHRNPGVFGVLGNPSD QRKPYFGIIADGIHLHPTTIKIARNAHPDGFILVTDAMHLVGLPDGSYQWVNGNEINN IVKKGSRLVLEGSDTLAGSSTTLLECVNNLISWTDTSIPDALRAVTSTPAAMLSLEGI KGTLADGADADLIILSENAGQDVPKLTVDEVWKFGKRVWSRD
SAPIO_CDS9085	MESFNPMPALQTGADNSAGDAMSLNHAQGLGMDSFVQDIPFDDY SLIQKDVTLKIYVWVYDGERGVSRTRDHDRGTQANDATRAACRDAVNGSMAPMPFTAS YDFDNFTTFEDPFSYPARPYEEVVPDSEVINETTTPDQLDHKLLGFSAPILKASLIDD SGQFAEISMTAELYGMFFVAEDVFAGENTGRPLELTCYRRNLWQCSGQVSVSRPVSQV INEQGTREPITELVASITAIESIDGKPTEIILIPWKSAPEDSKIAGAPPNIPLDMGSL LDAETGAPVGLPISWKRLQFKHATANNGRRKGLQQHYVVQINLLAKLNSGEFIKVAEV QSGPVIVRGRSPRNFDSRRDVPLMGDKKMERRGTNASSSDASVKAEKESLVQTLKRYH PLGNPRAEDWPQPYTIPNQGPHPAKKLATSPNLQRPPIPAWSTDAPARNSGAGATPAR HSVSTAQRNNSLRPASSVPLSLSLSEDERSPGRGSTETASPQQPKPSGKNAQNPTQTP MEEVDMLYEYFPLSVDDWLPPVEAIYRPHIVHHTIVPPEVKAQQMRTKKKLYFLAE
SAPIO_CDS9086	MRVIIRDEASEASEYVANYIIERIKEFNPTPEKPFVMGLPTGSS PLGVYKALVRQYKAGKVSFKNVVTFNMDEYVGLPRDHPESYHTFMWTNLFSHIDIHPR NAHILNGNAPSLPAECTRYESLIRQNGGIDLFLAGVGEDGHIAFNEPASSLSSRTRVK TLTHDTVLANSRFFGGDVDKVPRLALTVGVGTVLEAREVVAVVFGRRKALALQKCVEG GVSHMWTLSCLQLHPNAMIVCDEDATMELQVKTVKYFKSIESGSSIPSPLHPYPPKLP EETLPIPETTLDAVDTPAILQPEPVSSQLLHPDGAVVGNGKLSPVSIARSASPDMDLV PDRMASRIPGGGFAGRLSPQPGAVRNSVTV
SAPIO_CDS9087	MAILPKAFIAAIVRSIVRGKSWISVLFTFWSSPTVITASSSSLS QRSIDDFLREAEELILGPFTHNADLLKMSKGLKKQFQTALTDSEISMLPSYSYRLPSG EEKGQFLAIDMGGTTLRIALVELRGNGVGSSEKPCEVLRANILKAEEKVKDLVGMAFF EWMAENILDTVSSHLDRLDAKPLPIAVTWSFPLDIRNGIIHDMGKGFNAANGLIGQDL GDVIKKACKTKGLDVELQAILNDSTACLLSQAYVDPSTRLGLILGTGTNLAAFVPVSS IPISKYGGRPSSWFNDAKHVVVNTELGMYGKDVLPLTRWDMELKKTHEKPWFQPLEHL VSGMYLGECCRIPLVEAIETTGILGGVVPEGLRKPYSLRTETLALIAADSTPTFETAL PAFNTLYPSPTPATPSDLQAISSLASFITRRSSAIVATSIYTLWKLRSEASLTHRQVL NFRDDHCTTKYALKAAIEREARRTTVSFNGAVIESYPGYLDMCQGYLDGLVGEGGKVE LMEARDSSLLGAAVAVACEGR
SAPIO_CDS9088	MESLHSLMEPLQDSLDSLVDSLHSHFTTHGGITETILTFIRSNW LALTAVASVAYLAKNRFHNGLNRYPGPFLASLTDWWRFVDVYGRRPELTHIALHKKHG DVVRLGPNYLSFADPKALKAIYGLNKGFIKSDFYIVQQSVVKGHRLASLFSTTDNSFH AQFRRCVNSAFAMSALVQYEPFVDNTTKLFLDRTEQLFAGNPEGCDFTRWLQFYAFDV IGEITYSKRHGFIEKNEDIDGIVQYLSKLFLYVAPVGQIPFLDLLFLKNPLYLKLSQW GLFDSTFPVARFARDRMAERLPEINPNGAKASTTAILPVSTSTAPPSKKPQRPDLLSK FLAAHDSRPEFMTDTLVQTMAVSMAFAGSETTAISLGAVFYFLLKNPSKLAKLTAEID AAAKSGLFSDGQTGLVTFHEAQKLEYLDACIKEAFRLHPAAGLPLERIVPPQGAEIAG HFVKGGTLVGCSAWVIHRRPEIFGEDVDVYRPERWLAREGFDKAEEERRIKGMDGMMI QFGMGPRTCIGKNISLLEIYKLVPSFLRRFEVELQHPEEEWKLVNAWFVKQHFRAKFN RREIIQADEKAAA
SAPIO_CDS9089	MADNESFEVVSHGDAAPAASAPAEPSQVPSSPPSAANGVSKTRT ATSGSATKPTTARRPVTASAATKTTASSAARATGGLSKPPTRPPVSSTVRRTAAASVS STATHRSRASISASEDESHKRTLTSSARRTSTVSGSSLSSSSPGRTTERRTGTATAPS AASTARRAAAGTAAAASPRTATSSTSSRTAASSSAAPTSATRTRSTASTGVVAEARKR LSLASGSTTAAPSAARPVSRSSASGAAVSSKELEELKAKLAEKEAEIESLKTKVQTAE DRVTELGQAAQNEEAGAKAAEEHTVEIDGLKAEHETAVADLEGQVAAASERAQAVEAE LEQQRAQYNDIVASKEAVEAELVSLKQTIETLEAAHAEKLGESQAALNKAVEERLREI EVLEAKHTAELERISSNSSESEQAITALKASHEAALAELQNKIDELTASQATLEADHA ASIAAAGEQLGSTVAALEAQVSELTAKVDAAQKASETAEAQVQAKTAELEDVRASLAD FESQLSRAKSELDASLEKITALEEKAATIQQESVAKDEALKEVLRKHEEQLKQVSQDY EDEIESLRGDAFFKRKFQELEAKHNDLTENHAAVVESHSLALEAAKKEHEAAVAALEA REEEFQRDLAALRASHAEELHSARHTAAGELESTIDQLKASHAKQIEVLRSESEAALT KELEALGASHAKIVEDLQREIEEEKQGIFAAHEQELAKVREAGDSAHATEVEGLKKEL EAAISSSEALKAEAAASSSAQVAEIEQLKKDLEVAKAQIESGASAHGAEIENLKKDLE AAKAEVEKAQADLVAAVEAAKKELEASHATEVEKLISVNAEAIDALKKQSAEDSASHA ETIAILKDTQAKAFDDLTAEHEARIGALTDEHSSKYKTAIDELESTKETLAKVQADVE ELGQQLANEKMERATAQADLDAARNAKPDTTELDALKVELETQKETYEKQLEASKAEL ADLKSDVEVAQKELEAHRLDAEAKAKTAKADFEDMHHTMTELIETEQKKTKELQEKLE EVEKKIEALEKTVEEKEKQIEEKEKKIGEKEKAVEELQAQLKVKDAEIAEAKANAAAT SKDKSDTDADAENETAAAEGEENDYSSAALASLAKARVTAKQMDSVEADIRERNREML NSITDITQSA
SAPIO_CDS9092	MAKSQQGTSTSDRTILLGVFVAWKAFLLAIALGSSTTPSYDTST SLALNLPPDHVAAAAASSASLLPPSPSLTDIIAARLTRWDAIYFTQSANRGYVFEQEW AFGAGLPFLVSRVVLPLASRLGLGPHGQLTVKSAALAAIAVSHLSHLLTVFLLYSLVR RLLPGRGNLALTTSLLHVLSPAGLFLSAPYAESLFACLSFAGYLVYVSSEGGSAVRHG LGLIISGALLGLATTCRTNGILNGIIFVVELLATLHVFARSPRLTNAFTVTSTIVGGV LVGLGSVAPQIVAYLRYCSEASGDGGLKRPWCTKLVPSIYDFVQEQYWNCGFLRYWTL GNLPLFLLALPMLGLMLTSSYTTIVPMIPSVITDLRSTTPSTRLLLSLSVGQGLLALL AFTNYHVQVITRLASAYPIWYIWVARSLQSKSRSSPPRNIVMYMVLYASVQGVLFASF LPPA
SAPIO_CDS9093	MEPPAPPRQAASFPTLAVLDPTTPHTFTKPSKRINEGPDVETFL VSKAYRDIGIFIMQLNRAMCPRKMPGPARRETARTWTLDTLAESSLPASVKGLRGLLK KVEAMVEEAPPDPGPRRFGNVSFRKWHKLVEERTDALMDEFIDEAVLSFPSSSSSFDG EGGKAGPRDELRAYFLGGFGSEQRLDYGTGHELNFLAFLGCLWKLGAFKDGKEGGDLE RSLVLGVLEPYLHVIRRVILTYTLEPAGSHGVWGLDDHSFLPYIFGSAQLTRPITDDE PMPLEGSVRGAPKTGDVVKSDVVERERERNLYFSAIGFINDVKKGPFWEHSPILFDVS GVQDGWGKINKGMIKMFNAEVLSKFPVVQHFPFGSLFSFDEDPEASIPIQSVHMANQP TAMACPLPSGGMGLTGAPWAQAGGMPRPTGPGIPYSRIPPGGVTQRPGPARGPPRGGP A
SAPIO_CDS9095	MPGIPLDALDNLVGKFKTVFKKKKPAKPAEAKPAEAQPAGPAAT TTTPAATEPTKTEAAPAAAPATAPAPAPGAEAPPAAAAAAAPAAEAPKEAPAAAEPAA ATTAAPAAEPAAAPAAAEAAPAPEAAKPAEPAAATEAPKEAPAAAPAAPAAPTEAPAA EAAPAPAAAPAPAAAPAPAAAETPAPAAEAPKEEPKAEAAPAAPAAATTS
SAPIO_CDS9096	MPRLPTSALVGALLLSGLGAAEPLTPKHEADNGLAEDPDEAARK ELVQLCGPKWSTGPVCCTAEQITSLKSELATANQIISSCPACKDNFFNLFCTFTCSPD QSLFLNVTATAQKAGKTMVAELDQLISDEYREGFYDSCKEVKFGPSNANAMDFIGGGA KSPNDFLKFLGDEKAIGSPFQINFPTSYSEPGMAPRDMTPKKCNDEDPNFRCACVDCP AVCPELPPIKKNKSCHVGLLPCLSFASILTYSLLLLSFAGTVVGRVAWRRHTTRRTER LRLLQDAAPSDDEDEGALVHNGMLFDRPQRYYRINTWCDKAFSKLGHAAARFPAITIV TSLIVVAILSVGWVRFDIERDPARLWVSPTSAAAEEKAFFDSNFGPFYRAEKIFLVND QSPSGPGPVLSYDTLIWWMGVEESIRKLQGPKLGAALHDVCLKPTGKACVVQSVTSYW SNEPSLVGKETWKDDLRACAKSPVECRPDYGQPLEPTMILGGYDTDVVEAQAMTVTWV VNNAAEGSPEVMRAMDWEAALRDRLKEVQVEAQERGLRMSFSTEISLEEELNKSTNTD AKIVVISYLIMFFYASLALGSTTLSLRHMLRNPAVALVQSKFTLGVAGIIIVLMSITA SIGLFSWAGLKATLIIAEVIPFIVLAVGVDNIFLIVHEFERVNVSHPDEMVEERIAKA LGRMGPSILFSAITETVSFALGAFVGMPAVRNFAVYAAGAVLINAILQVTMFISVLAM NQIRVEDNRADCIPCIQVKSARVHLSGGVNGHIGRFYEVPEESLLQQFIRKYYAPAIL EKKAKLTIVGVFLGIFAAGVALIPEIKLGLDQRVAIPDDSYLIPYFNDLYDYFDAGPP VYFVTRESNFTERAHQQEICGRFTTCQQMSLANILEGERKRPDVSYISSPTANWLDDF FQWLNPDLGDKCCVENRRACFKNRDPAWNITLSGMPEGDEFVHYLEKFLKSPTNEDCP LGGEASYGQAIVVDSERDTIVASQFRTSHSPLRSQDDFIKAYASARRISSDIKANTGV DVFPYSVFYIFFDQYASIVSLTGTLLGSAVGIIFVISSILLGSILTALVVTITVVMAV VDIMGAMAVFGVSLNAVSLVNLIICVGIAVEFCAHIARAFMFPSRTLMERAKNRFRGR DARAWTALVNVGSSVFSGITVTKLLGVCVLAFTRSKIFEIYYFRVWLALVVFAASHAL IFLPVALSVLGGEGYVDPESEGGLEDDLASRRYRALLPEEDTDSDDSEYDDGHNFGRR G
SAPIO_CDS9097	MSTTAKFVNYPEPQRGPDVPYKPEKKSNPPMRGTSLMVGAYFME SSEWLRGYIWRNAGFGNLRSIRSHIEDYEPRYDPTVVPIIPPESSEKKSETNGVKKEC SFSSKRGARLSGYYSIADYHRLYLSGEISPVAVARAILPLIRRDVEKPTQYSVAWFDT KVEQVMKAARASAKRYKEGKPLGPMDGVPTAVKDEYEIDGYRTCLGSRNDYTAPVTDG ASITSWCVQKLEEAGAIVLGKLSMHEFGLDTTGNNPIYGTPRNPYNDEYYTGGSSSGS GYAVSSGLVAFALGSDGGGSIRIPASFCSVFGLKPSHGRVSFRPGPNHSITCAVNGPI AADIASLAALFEVISVPHPTSGFPHPTAFGVPDNAQRNRVLGIPEDWFADADPGVQSL CRTMVDRLVKEHGYREVPIKIPFLTEGQIAHALTILTDGATLLPETKNLTYANRILLA IGRTTPATDYLLAQKLRGLLMKHLAYLWKTYPGMIIVTPTTACAGWKIKTQSELKEGF SDGDKTLRSMTYVWMANFCGLPALTVPAGYVVPEGHPDAGSVADEKVEGKVPVGLMGT GEWTQEHNLLQFGVDAEAVGADVRVRPPIWVDVVAKAREEMKNGDAGDLWGA
SAPIO_CDS9098	MSEFKHFQAHPLHPTFAAELKGIDWPNITPETVSEIKAAVDKYG VCVLRDTGLDDEGHVALSRQFGDLDDIRRFIHPGRKMRYAHYELFDASNVDENNELLD LNSARAHANRGNGLFHSDSSYNPRRASYCLLRAAILPPPNTGGATQFADSRAAFASLP EPLKSTLLTEDYVGAHTYAQSRKLGSPEFFAELAPETFSMARHRITQLHEQSGRMTLY VGAHLHHIEGLGAKESDELRDYLKEWLAREEFRVTVEWKQPGDIVIWDNRSTVHRAMG GEFEGKFKRDLRRTTVHDDGLGAWGLNESGEGFPSFNMAEKKLGEVPQAAARIVAA
SAPIO_CDS9099	MVEKLFVTYNDVHKLCQEAAPRILEHIQPDLMIAIGGGGYVPAR ILRSFLKRQDGPNIPIQAIGLSLYESLPSLIGTEHVIEIPGTKVTRTQWLDLSSLGQM ENLVGKNILIVDEVDDTRTTLDYAVRELEKDVEAARVRMGSKAPQTKFSIFVLHNKDK EKKGTLPDEVINDGRYIAARTVKDVWICYPWEATDIDEHDRQAAEAAATKKSAQA
SAPIO_CDS9100	MATPNEIKLISGSSHPELTAKVAHWLGVEVAKTMSIPYSNQETS ITIGESVRDEDVFILQTTAPGDVNDGLMEMLIMIHACRTASARRITAVIPNFPYARQD KKDKSRAPISARLVANMLQSSGCDHVITVDLHASQIQGFFSVPVDNLYGEPSVMKWVR ENLDISNLVVVSPDAGGAKRATSMADRLDVPFALIHKERPRPNVVGRMVLVGNVKDKI AVLVDDMADTCGTLDKAATTLMDHGAKEVLAIVTHGILSGKAIEILNKSVLSKLVVTN STPLGDKIERCPKLCVIDISRTLAEAIRRTHNGESVSYLFHHVPM
SAPIO_CDS9101	MDYPRTPSSNDILTNPNSLTPLRSIIPTIPSSFLLSLNPFSPSS TEMAEPEPSSNSEPAPSSIMGPRPSSSDDALKNGNPTSNASQFDAGSATTALDSGDSS GASTKATGTRDPIDSKAGAAETSEKPAAMDPSAIADAEHEGEKVDEKADEKPVPPKKG ILASAARRAGIGGADPSSSKAQRRVGFSDEIGIRIIKEPKPDLKERWHDFRVKVKEFL KEAYGPIPMPPPEEEDILFLNRPGNCQPDYDPPRRAAIESLSLEELAARIYPLKFLAE GRFCRVYSITDPKDRPQILKEFIFWGKWLLEGPKEDQALARLIATVPRPRGLLSDRDL KKFFPLVFNDPEVPTYTPVNGIVLDRIRPTSEEYRVHIIKRLVAPDLQASAISDPANQ YCLLDLWLGALDAGNPTNQTVGQRSLGLNQIRAEIGDNGAINAAETMAIALAILHWGL NFDGYGVRFLVGCQLSPDHRPVYLSHVNGFKTFVPTVENVREILVPLYIRNRAWPRPA IEGFEGEMWRAFRRLYIDFSLYIIYRERRTMTVKDYAARWWLAGFFIRCIDRNMIPEP RLDNKHLPLPHKPYRRSAYDQYREFGPKPQIGSGQETEGEPSYKPTSKDGPPRKWGSR WRCGPDGQGDVPKSIDFVLPDPGEAQQREEDAGKSGNGKRLQIEGVNADNSENDNGEE ENGKEENGKEEGGKENHDQENGKQENDKAKNTEI
SAPIO_CDS9103	MDSHASKRRKTSPRTSIPIPSANDNDNHATDGTAPPPPNGNDAP SSQSPRKRRPDFASPTKASLSRHNPEILARRRQSAREASQAQQQQKPSRGNTTTTRPQ TETTRPPDQPASTPGSPDSDISLSELLTAQLEEEVVVGKVAAGAARPRRSPTKPVLFT RPLPPPAPEGEEELIDPFKRRPRIRRSNVAEEPVEEPELPPTPSQLGIADPTVTTPPR GIHSSSSPTKRRLANRDRRIRAIPMKKSPLKKQFVPSPVQEQEEVVGEDNEAIDALAN PQRAEKGKEVEVETHPARGVPSDEEIRGMEEHLETLRTEEENLWSDLQMISGLGKEWA MQAQAAKLIPFIKKHPRLIRLPDLPDATSSVQDEIDALLRGAMNPSALLPFSNPTTEL TTTTSKKTSNTEEKSLPTSHLPVSMTAQEELPYLQIFSPLAFTSHNTPLTTADMESNI QRHDISIRALDAPHLFSARLTMIVDLSDFSITDLKVPRLDPNAQRELGPFIEDVLTRT DRAIARNVSLITWAMGEWYRLAVKRAQFWRSLAREFEDAKRLDAHAEKIWKNRARQYN REVGETIDDEMAKEDEGLSAGDVGGDMGRAAMMVGLGRWGEEELMVRVGWRIGFDWTG DARSKVSVAVGMPGRWHRGDRNGVLAKVPQIFDDYVRGTSDVMAAVRRVVALVGYDAD APEARDVVAA
SAPIO_CDS9104	MTRAQQTVSIGLLVTSLYLALYFNLIPIPATIQTEIVPVLPFWI LVSFGAYLLFKLGWGVLTFNDVPEAHKELMFEIDEAKKDLRTLGVDVD
SAPIO_CDS9105	MTPPVNRKKKFHRRSRNGCVACRKRHIRCDETKPFCTWCLQKGT LCEYQEDSGSEAQAKYKYPALLPKCSVSDMTPNHQLAGYAVEMPAESRWLFHQFSTFA FHAPKGVERGQNNAATLSNPSALHASLVLSACQLAWITDSVPRIKVAYYYHKAAAYRA IREQVSDPSKATSDLNLTVIAMLGTAEPGLPQRMIAIARDNFQSGKNLHLLSNIVHDT TLLGLVSISAYPDPSTNAKGGDGYRDTCAQPKTPGEQAWVKCVKLLDQTLTRRYSSGI GNAFNRHVDSSKTAFITLFMYLYAALPQFNLEPALTFWLLEQMSCDVEDKEYAMVAGI WSSQFWIWAVMFGAAVASACKVNAAAEEKELRKWRAFYDEKMRFFAETIKSRRWQDIR CEIWQPESEQGFNLDDDLRMLWVEAVGVEDETWGTLPRDV
SAPIO_CDS9107	MGPGRVNWLRFRDRDADDKTKISTGDKGEEGEGEDMEDEDERES EDNYTDEGQVSRPDTSDGGDSTEDGSPEPTTREPDTENARKGFELKQLTPARNPRSTA GRRGQVTEKGGVGPTIEESKCTDDRRPPGLRHWEDLKHIMISRDRTEDSSFRKDLLIA ASGENGDKLLIDDDENKLVAEPTFTRVVAPSISGDYQFQLQLLENKNKRLVMERRERR EMERREQEGGVPTRNLPVQPAGVHRSQPAESTSRSKTAINQILELEKQIEILRKKQRD LGSTTIQVLHKIERDDQNAFLMPPSWSLTPDGSLKLIGNEPLANESNYLSRRPDIAFV VYSFYGEGHQKQAIENAKATGGPLPQPVVIRETIRLYSKKMVNAVENFLGAQPTFRKD FPTWSSMSHIDSPFIFWYHYRSSGYTDIMPEPHKSQMQLLGGWIDRNYGQLYQDAEKK FSRGLVSGSIMPFFLRPGEALVSYDPKGIQGHISKSWVVREEPSRSSSWGKDSEKKKA RWTVNCWSYSYNGRFSRSPSKLLIELKSDDEHSDIDIAKLRVLPLRLATDEIRIKLEH RGRMMWACRNRKLVAYDGKASLYGHGERYMIDFATYKSLHSKALSSTDIHGPTKDEIS DKVMERDEPPKAPELLVFPNKIKGYNLRQKTWDDLDVDLMHNVAWDKAAFKNLVIDDE SKDLIEALVTTKLETEQGTDLIKGKGQGLIILLHGGPGTGKTFTAESVAEFAEKPLFR VTCGDIGSKPEEVEKYLESVLHLGKIWDCVVLLDEADVFLEQRTLTDLERNALVSVFL RVLEYYEGILILTSNRVGTFDEAFKSRILLSLHYEPLTKEQRRKIWKNFFDRLKTLEE DAQAREIVGPLRSGSSRKRKREDEESKGIDFGEIECCLAELAEEEMNGRQIRNAITTA RQVAKFKGVRMSSAHLKQVIRVSGYFEAYLKKVQDGYTDDQVARGEGIR
SAPIO_CDS9108	MDRSRSAFLSAWHSSNVDQAKFGRDLTKDPRKIELAKKVTTIQD IQTLVNDVFAKYSDERKFPRTRKWMMRIISKIHHYGNIMDVMVQHHPEYVALGWGAMK MVLVSAQNHEATICAISKALSQIADKLPQVELATVLYPTEMMREAVGKLYANLLRFFI RAHEWCEEGRLRHLLHSITRPVELRYKDLLDDIDYTSRHISQLASAGTQVRVCEIDID WSTAQESKVAILKGNFNARFAMRDFSVNIIQQLLSKNVPVLWALSGPDSGSEASSDIS PVDLFQHLILQALRLDRDSQTESGMSLQCARFHGASTEHDWLQLLGSSLVGIREVYLV VDLSTLRGNVESTDGFSWPAAFNSLFVEIAKRSQGVRIKVLLLAGMTSEGAQASVQAP SDILIPKMSAGHTGTTRSTETDELIEPERA
SAPIO_CDS9109	MASTPLTEGSGGPATKSLVASLLSFLASNSLIILPALFITRLIY IRYASPLRKYPGPLLASVSRLWKVLSTASGRTHLEHIALHHKYGPVVRIAPNEVSIAS PEAARTVLSAGKHFYKTKFYGVFPPPENPDIFTEVREHVHAQKKKVANVPYSMAAMQQ LSPFIDESIEMFMNKLDGFASRERGGNGQVDLGAWLHYFAFDVLGEVAFGRKFGFLEE GRDVENAIKTIDDSQWYNGIVGQIPEFDYLFRRNPLRKLIPTMSTKNALVTRLALAEM DRRQPFTKDNMGKDRVGDGRQDLLASLIQGHLKDPSKFSEGDVFAVAHGAIFAGSDST ASTMQSFFWLALSDPRVRRTLFDEIRTAVAEGRIPAHGNLTWAQSQSLTYFQACLKEA MRIRPAVGLNITRVVPPEGAELDGISLEGGTVVAVNGWVLHRDKATFGQDADVYRPER WLQDEETARRMERYMFQFGGGAHVCIGRNLALLEINKVVPRILRDFDLELVNPSQPLR ANATFFVVQEGLDVYIKSRSK
SAPIO_CDS9110	MEKDRQAVPSRASAIPRVSRLPVPRTASLRPTASRESLNAPEPS IPAPRIRPAPSRERLSTASSPTTSTTARRTKPAANNQPQLRQERSRPNLRTATSNSRL NQQQPPAKKPTADPGKRQSTRAASATLTRQPGKETATPAATTTTKRYSAVQPRRTGEG FVSAATLARQDRTLAATRRVSRPSTTTLDDVPNFETPPRRKASRPSLSERTIETLSQI PSSPALSKRPSAFFDKERTPQSRSRASSNASRPGSSYNSDGSRRPGSRGASRPSSSSG GEDSYQNLTPAEAQLRTATNTYKGPLPTIDATPIRAPKPRASIRSLRGQAMRSPSSPL TQGAPTLESPRAAEQERSPSPTKHSMPSQMLSPTGTLASKPLRLKPSSSLLVKKSPSG AARGAGPSVAPRKVSTTPNKPIRATSAIPPPNPDPEPAPSPSAERSRKSSAALRDQIA KARAAAKKQQNVVKEPEVVAEHEEDGHQQSPVNAIQHGFDFGFEPVLTDDPFNQKRSD RSQAKILQQRAEAGRTSGRLNIAALGLKEIPQEVMKMYDLESVGTHGGNWAESMDLTR FVAADNEFETIADAAFPDVDPENLAESDEEGSGNIFGGLEMIDLHGNKLIALPLGLRR LSMLTSLNLSQNLLPMDSLEVVSQVSALRDLKLANNNLEGALPPSFSNLEHLEILDLR GNKVSELPADMDRVSRLRILNIAENAFVALPFEILAKLPLTELVARGNKLAGTLIDAD VAVLSSLQTLDVSSNQLTRIVAPGRSIGLPALHQLTLSMNRLQGLPDVSSWNSLVTLS ADENSISEIPEGFTSLDRLRHVDFSSNDIRVIPPEVARMDNLAMLRITGNPLRDRKFS SITTDELKDILSARLEPPPPYHEGDAETVVDAHFEETKAAEPAVVANIPQSVPAPAST PAPARPGRPGSDEESRSSDFDEFATPPTSAPHSPTRSRARTLSNQVRCRAQTLSNQTW PVKVGGLLDRSSTSSSTLHPVVCSKVAAEHRINEVQLHHNLFATLPEGLSFFADTLTA LSLAHNQLVGETYMSESLELPSLRELNLASNHITSLTPLTSHLNAPELEKLDVSLNRV AALPSESLRGFFPKLAVLLVANNHLIELDPEAIRGLRIVDASNNDIAHLNPRIGLLGG PGGIERLDVMGNRFRVPRFSVLERGTEATLRWLRGRVPAGEAAAWRQRVGGGDAEELE ELD
SAPIO_CDS9115	MTESESTATMPAPAPDAKEPAAPIVTFKKRRGKADSNLRKRRPA TPPPASDSDSESSSSEDETGQRIKRRKKSAGVTATSLKAENGITDRDLFASVAADRNK SLSASNDATKENLLEVDTDVRKGRKIGAGEAGTSDGTYRGLANQTKFFQTNPNAPSRT VGPVKAPTNVRTVTVIDYAADTCKDYKQTGFCGFGDNCKFLHSREDYKQGWQLDKEWE TVTKGKQVGGTVVASADRTKTGEEEEEDDDDGEDIPFACFICKEPYKSPVVTRCGHYF CESCALKRYRTNPSCAACGAGTGGVFNVARKLKKAGT
SAPIO_CDS9117	MSQPNGTENLTLPILYRDKTDPNTFYEAAWGRVFNERRDTSRKP LAVVFASRPEHVTAAIELAKTQGCRVSVRSGGHSWAAWSVRDEAILIDLGQMNEIYYD EESQIVSCSPSTTGRVINKFLNAKGRVFPGGHCPDVGLGGFLLQGGMGWNCKNWGWAC EHIVSIDVVSADGQELRCSENEDSDLFWAARGSGPADSSMEIVCVSRCSPITGEIEII AGFTAFAANMSEAEAALKPIHESRPSGALAELFCLENNLAWQYDHQSAANPPNHRYCS ENAYLRNEADVASVLEVAFTTLPSKKAFSLYFAMNPTSRRALPDMALSMQSDHYFALY TVWEDAADDERCTRWVHDIMRDVERHSVGSYLGDADFQQRRTKFWSEENGERLGEIQD DYGPTLDQMISSSSELDFIDKLITILKDASTTLRVPLLIQELDEYAESRESEIEAISL PEQQGLLDSVEQLQKIQEEIATLSRDILEISQSIQSSTEKAAEKMQRLVDVSGVRRNT RDASNALRGSLEILYAANYAYDLIHSKKKYLAALKSLEDLQKEHLIPSIQSKYGPHQQ LASAVQKSIATCQRAISEATMADLNTWLFRIRETSQFLGEVAFRQTELRRIRQRKRSE ENQLMTNFKLNSAIELVLDETEQFDILDNEELHIDFTPLFECMHVHETLGQSDKFRIE YSATRQRQKDLFSLASVNLTDADDESSLSSLLEGIAGFAIIEMATLHRAPQLRSTVDV EELWDSMCQMMIQLTTKALDGVTDPKVLLKTKGYMALFIQTMEGWGYSVSTLDSFLVK LFEKYAELLQQRIATTDDYMPMEIRTLDEYEKVVAVCWFIYDESSELRFPCAMPFSRM YPLLCIDIRNLINQFYFFTEDYFPRAKIIDDELQKALDRLLKVACTSLIARLSSQYLS QVVQILINLEFFEKACQELEKFLSSSSARGPVALSATEQFRNETKTAEKRVFELINSK IDDLVDTAEYDWAAVNTSPEPSNYIQMLRRYLSTIMSSILLRLPQTNVFKALPLSPEV QRINANGVAALAQDVQYLRDYVDGLENGPMLKENLDELQQTVDLMQSDNHEEFFDSST RNKKYGRVDVMNGLILLEKSKPDWRYG
SAPIO_CDS9118	MWKPRIPLNFITIHYAWIIFMGLLSLVVICPYGNMPAVDAYFFG ASGSTESGLNTVDIKDIPTYQQLYIYFIPIFTNLGFINTVVVAVRLYWFEKRLKEKDN TSGKQRERDPEPNPQDSEPKPSPPSPDEAGRKSDGHITFADDEHPRRNSKVLYIPPPL ERDRDEDAIKPVDSRDPSGLKRRRTHHHRAGPSMSTAMSLERVASSFLAPGGILTRES SSTSRKVEPRPLNLPGLSRGVTVGRNSRLYNLTAEDRSRLGGIEYRSLKLLLKIVTGY FFGLHIFGAICLVGWIHRAPTKYTDYLQECGQDKTWWAFYSSQTMTDNLGFTLTPDSM IHFRDATWPMILMSFLAFAGNTLYPVFLRLAIWIFYKCVPAKSSTKETLQFILDHPRR CYTLLFPSTTTWVLFLIIFALNFIDVLLIILLDLHNPAVNELPIGPRILAAIFQAASS RHTGTSTFNLALVNPGVQFSLVIMMYIAILPITISIRSSNTYEERALGVYPFEQDVNE SRTSSYIMTHIRNQLTFDLWYIFLGTFIICVAEAKKIMDGTDPAFAVFPVFFEVVSGY GNVGLSLGHPSVNTSLSGKFTTFSKVIMCFIMIRGRHRSLPYALDRAIMLPSEGIEGE ELREEKPHDS
SAPIO_CDS9119	MAAKTRVAIAGASGVTGSSIVNALLATPRNSYVEIPFPLARQAS INKPAYVAFANRGVIIKPIELDGPSDILVQALTGMDVVISTMTLLQLKEETALIEAAH KARIEGGHTGSHQKALPTLHCHRLWLVVSTLPAVPAIGTTPRQGEYSTTQIVGDGNTP WALTDNRDIGKYVARIIVDPRTLNRAVFGYSKVWTQTEVFDLLEKVTGESVPREYVTK EELLEIISEGEAEIAKNNMDPSGMLKLGMAQYRNLLGIRGDNTPQHAKYLGYLDVKEL YPDVEATPLESYIKDAFEGKVKTVYS
SAPIO_CDS9120	MLSKKQWPVALLTILLSLIPAQTAAAGLLRPRDVVHEWVTIDGG GKPITKKVSVTTKNGAVSTVAGVPYILTGTVYTMSSAGTLTTATGSPPPPTATATNGA GGQFAVCDGKWGVDGPFCQPFANSRLSLGKTYLVTWDTTFFASENQDQQITISARWVP SNGNIVSVTARAGDGFVPFTITEAFMRGSNGAKSIAMVMKYGTAADPKETEGPRIYVV RDEISVIDHVEKKGRKGVHPAAIAVPVIVGVLAVALGFFCLWKKNKDKIVLSRIRRRS SQGYGVSKSRTQRVGSGGVDGTGVHEGDIKLQESPRSEGRNVFQEELRRQETGGRF
SAPIO_CDS9121	MMLKHTALAAALFAAGALAKCENVIVPSYQSPTLASGWQGQLVA NGFKKPRTLHFDTEGSLIILDAGVGVRRVKFTDNGDTCLEVAENKLLIDNKDLNHGLA FSGDGKTLYASTEESVFAYTYDAKAGTVADASSPRTVIDGMSSNDHLTRTLLMSQKSP GFLAVSRGSDENFDVDAEDPTTGVSQIKIFDLNKLASGAKYTFNSDGRRLGWGLRNSV GIAEDPDSGRIYSVETSVDEVTRNGQNIEKNNPGEELNMHSKATETAEGGNYGYPYCY PIWDTNVPDNEGLQTGDLFSTNETHITDEKCAEDTVAPRLVFPAHTSPLNLLFNSDGS TLYISFRGSFDPTNPVGYMVSTVSFTNGQPTARLSATDALTPIFTNPSLSACPANCFR PVGLAFDSQGRLWVSADSTGEIYVLKKLADAAAPGVFVSKPDESGAGTPGAFGLQGVL VGGAAFAVAALIAL
SAPIO_CDS9122	MSPSTSLPDPTIDLGWDDFRGAIHDIFAANAVAHPERHCVVETK GPRNPERIFTYKQINESSNQLAHFFLDNGCELGDVVMIYAHRSVDLVVAYMGALKAGA TVSVLDPQYPADRQKILLEVANPVFLVQIQRATDESGKLADTVAEFIKNSLKIKAEIP ALRLADNGDLKGGEVDGKDCLQPQEARKDRLPGILVGPDSIPTLSFTSGSEGKPKGVQ GRHFSLTYYFPWMAEKFNLSENDRFTMLSGIAHDPIQRDIFTPLFLASRLIIPPQDLI AHELLAEWVRENEVTVTHLTPAMGQILVGGASAQIPSLRNAFFVGDLLTKKDCRKLQD LAPNVNIINMYGSTESQRSVSYFEIPPKAKQPDALDALPDIIPVGQGMFNVQLLVVDR DNKNRICDVGEQGELFLRAGGLAEGYLGDDETIRKLNESKFLTNWFVDTKIWEKKFEE KVAANGRQPWMKLYKGPRDRLYRTGDLGRALPDGSVECTGRVDNQVKIRGFRIELGEI DTHLSHHPFVRENVTVLRRDENEEPKLVSYIVPEAKRWLQHLKESGKSVDEAEDESMI GMFKKYKSLSDDCKNFLKAKVPHYAVPTMFIPLARMPLNPNGKIDKPALPFPSKETDL ALLVRRPSQAYHAMTETQKRVAKIWASVIPNRTARMFVPESNFFEEGGHSILAQQMLF NVRKEWKEIDIPMSVIFQSQTLGEFATEIDRAQDPTGLRLDVPPLINFGSNAEDEAYA ADARDLVKQLPNSIRSADVRVPGPKTVFLTGATGFLGSYILRQLLSDPETRVIAHVRG KDAASGVSRIETISKAYSIWSDGWRPRLEAVTGDISKPNLGLSQADWDRVANEADAII HNGAQVNWMLPYSSMRAANVLSTVECVNLAATGKPKSLAFVSSTSTLDSDHYVELSQK SIAEGGTGVQEDDDLEGSRKGLGTGYGQSKWASEYIVREAGKRGLVGAVIRPGYVTGD PVSGTSITDDFLVRLWKGCLQVQARPDIANTVNQVPVTQVSRIVVASVLHPPVSPLGV VQVTSHPRLTMNEWIGALEKYGYDVPKVPYADWCAKLLAYVSDEKKEEHALLPLFHFV TGDLPANTVAPELDDRNAATALRSAGGLSAEEEKDPLVAGAVTLDVAGRYLAYLVATG FMPAPEGKGEREVPKLELGEEKLTALAALGGRAAAR
SAPIO_CDS9123	MTPEEIEAVLQGQGRGAAGQDRPATDDEQQKVKWLQEVFVELEK LWIARDPSIKLYAEKLAIGSRKEPWRLPLGESGILEFFLDVIAEPDTSDDLAIQCLRL IGNSYENRDRVVKANAIPNIMSFISNSALRPFGITVLYNIMVDYKPAQLQASNSKLSS KLVDIFSGPNLEDIRPLIDLICKSLNLLATQEFEAANAVPQTPSVLLSLALTPASLED PEDFLSLVSVAQEYLTHRPLQTAFITSGGITTFLSVFETANSPRFESESLDNPELSSQ VSVLQKVLINALSDITDNPAFAQTHPISSPVFAQLVDWLNSPNPALQSAACLALGNLA RDDDSSLSLINTYTIHTHIIKILSDPSNTNTLLIHAALGFLKNLAIPPQNKHVLGPLL LSPDALPRIWAMDALPQVHLAAASLTRMLLVDTPENVELLCSPSTTTNRSTTTDSSSP TIDSTFHKLLALFDKTDDPAKTETARAVLRVVRSPLGSTSHALYDSYPHIPDAISHLI TQTRWPALRSETISVLAQASRSEAALPMLHRLFSRNENFAVLGDAVKGIAPQPEEGKD SDALAAEVMRAGAEQAAATAVRREGADTEGTTTTAAALPLRADQENSLIIVWNLILWM KQGDGEGIQAVEGEQLKSVGEFEELMKEGSSLLGSKEEGSEPLGQ
SAPIO_CDS9124	MAIKHNQKLISTHLRKDWQRRVRCHFDQPGAKVRRRDARRAKAA AVAPRPVDLLRPIVRCPTVKHNRRARAGRGFSLEELKAAGVPRLYAPTIGIAVDHRRQ NISEESLAANVARLKEYLARVIVLPRRSNAPKKGDTKADLSKVDLVSSLASAIPIVSS DKTIKEISKADLPKAIEGGAYRKLRLARSDARLAGVREKRIRDKAEAEAAKK
SAPIO_CDS9125	MASRSGPRSVSDATRFTSTTPQPKSKTSAASNAGGPGPVGETLE QRVARLRAAHLAARSAPLSRYDRITEGGRKVFDVMHKATLAGLIGFTVIAGVFSVYAV MDMLKYNRKRREDFMQAQKRIEHDALASARLAFLNGSATDEQTRLVEEAIREADRTGQ RLPPLLSGPATQEQKDKSQSIWDQVDAQVKAQQTGVSPAQAEELRNKVKGAFEQEKAS QGKGGPLDHLGAGAGAGAGAAKQESSGKSWWKVW
SAPIO_CDS9126	MAGDLNCSRRRLREEEEDLATYGSSSFGEHRNKRVMSGTPTSAK RWAGSPVYPLNSTASDFLDSVAPESENPREAEDTCMDMDGQSPELTDQPSDALDPFSL TSDPAAVNLADRVPTPIQPRFRNQVRGGAHWEASDLHHPNGVNNMGHHQCGLDSFDQS VPRTTESEEWNNVRNRRLPSPISEGEDGSSNAGLDGGFTTHLADRLASQVSISSPDEN PGVEPGMPLPIDVADVPTTPPQSRRGHIRSRHTINSWTWQPGMKKTFSMGYRTDCEKC RLKVPGHFNHIIIS
SAPIO_CDS9130	MPGVEITPLQADDTRILGQDPLIPPALLASEIPMTQSALETVVN GRRNAANIVLGKDDRLLVIVGPCSIHDPQTAVEYCERLKAVASKLTEDLVIVMRAYLE KPRTTVGWKGLINDPDIDQTFKINKGLRVSRQLFKDLTASGMPIASEMLDTISPQFLA DFISVGAIGARTTESQLHRELASGLSFPVGFKNGTDGNLGVAIDAIGAAAARHHFMGV TKQGLAAITRTKGNEHGFVILRGGNKGPNYDKESVQAAKAALIKKNQKTAIMIDCSHG NSNKDHRNQPKVASVVAEQLREGETSIIGVMIESNINEGNQKVPAEGPAALQKGVSIT DACINWENTVTSLEELAEAVRARRAVNAAKASNGASNGQSA
SAPIO_CDS9131	MARIKHHFILLLLGFLTLVAAQSPQIYCKCTCFKNSTIIPLSPQ RSTNQLRSLPDTLSSNLHQHPKRNPTACSGCTKVFCREQNLPFCKDAKDEDMQTMCFQ RDSIKDRFIVWGFILGTFGLLGWAAFRKVMEMRGARMARGGGAGAGSGSSGGAYRRVG 
SAPIO_CDS9132	MRRLPRLQRLRCPSTITTQTTTSPFHRPLSTTRAAQLAPTRRTL TSDEKKRQEMLEALERDRQSALELQSQASSQAELAEEEAIDEDSLIAAAAKIVVPPDF SYAPNPNQATDYIPAKTAEGLEEVGGLEGWWEEAGHWGDVDRLSVFSVPRSYPGGVQV VTDAAVLEVLLRQAVVEALVVQGKKGEEMLRGRWGGLEKGDTLRAAGVELKVSEAGDV TLTGDLGAVLSGLEASAGEAEAVEADAADEAALEGAAEQEVVEEDVLTAEEAREVSKS WDSSWKQIPVTNPALKFAIHKRLYQLSGQYIPDAKLAPARTAQHILSLVSRPPKPRKL IEVIQQQHQRRVASDSKSASSSPDLFNLPNVKVYGRRVTPIDKEVWVGRWKVIEEELR KRDLPVTGTGKYGKAKEKAWLMGKP
SAPIO_CDS9133	MSFHQSAEDITLDGSTLRARLRNVDGEWVDAELNLDEVLGNNNG FFEWGGGGFSGSSENISFSIEGDDSVPVLRATLKNADGEDVHADVNLAERIHNNNGAF YFE
SAPIO_CDS9136	MATDEKVGHAPAASIDSANQAGNRTRATSETEIVPGDVSRDQSP TPIDKPLDDDEAELERRNSMVQALARQYTRQSHVGITGNPFVADEKSPLNPASENFKA SAWAKAVVDMVVGSGHQFRTAGVAFQNLNVFGFGEATDYQKDVANVWLTLGKYARLLT GGTKRRIDILRSFDGVVEKGEMLVVLGPPGSGCSTLLKTISGETNGLYIDDGSYFNYQ GMTAKEMHSAHRGEAIYTAEIDAHFPQLSVGDTLTFAAHARQPRRLPEGVTKTMFANH LRDVVMAMFGISHTVNTRVGNEYIRGVSGGERKRVTIAEASLSGAPLQCWDNSTRGLD SANAIEFCRTLRLQTELFNNTACVSIYQAPQSAYDLFDKATVLYEGRQIFFGKATEAR QYFINLGFECPPRATTPDFLTSMTSPQERIVRRGFEGRAPRTPDEFAAAWKASNEYKV LQAEIEHFKDKHALNGPDAEAFRANKKAQQAKGQRSKSPFTLSYIQQVQLCLWRGFRR LIGDPSLTLGALIGNFIMSLIIGSVFYNLDMTTGSFFKRGALVFFACLMNAFSSALEI LTLYAQRPIVEKHARYALYHPSAEAVASMLVDMPYKVMNAIAFNVVLYFMTNLRREPG AFFFFLLMTFTTVLAMSMIFRTIASASRTLSQAMVPAAILILGLVIFTGFVIPIDYML GWCRWMNYIDPLAYAFESLMVNEFHNRQFTCTEYVPPMIGAYADVGPANRVCTAIGSI AGSPFVSGDDYLESGFQYRWSHRWRNFGILIGFILFFLSTYMIAAELVSEKKSKGEVL VFRRGYKPASLKEKKSDDPEAMMAQVGPITTRDADPTLAGHLQQQTSVFHWKDVCYDV KIKKENRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDCLADRVSMGVITGSMLVDGH PRDTSFQRKTGYVQQQDLHLQTTTVREALNFSALLRQPAHVPREEKLAYVDQVIKLLD MEEYADAVVGVPGEGLNVEQRKRLTIGVELAAKPPLLLFVDEPTSGLDSQTSWAILDL LEKLTKSGQAVLCTIHQPSAMLFQRFDRLLFLQKGGKTVYFGEIGEHSKTMISYFERH SGLKCPEDANPAEWMLEVIGAAPGSETDLDWFQTWRESPEYRGVQEELQRLVDTRGPV PTREEDAGSYREFAASFPQQFREVSLRVFQQYWRTPSYIYSKITLCVLVALYIGLVFL NARNTIQGLQNQMFAIFNILTVFGQLVQQTMPHFVIQRDLYEVRERPSKVYSWKVFML SEVLVELPWCFLLAALMFVCWYYPVGLHRNAEAAGQTTERGALMFLYLLLFLLFTSTF TDFIIAGFETAEAGGNIANVLFSLCLIFCGVLANPDTMPRFWIFMYYISPFTYIVSGM LSTAVANTNVVCAANEILHFAPPQGMTCMEYVGPYMAQAGGYLVDQNSTTECQFCAVS ETNTFLKGARVYYSERWRNFGIVWGYIIFNIVGALVMYWIVRVPKKGGLFGKKKQE
SAPIO_CDS9137	MARTTPIKVLNARSPANQQPDDEPTSPTATLFEQEPASEVTLSI HPVPKYSGVLIKVQPPHAPRPLPNADSDEKGKGKSTAPTAAPEHLPRTPCDIVLVIDV SGSMGSEAPVPGGGAGDEPNYGFSVLDLTKHAALTILETLDERDRLGIVTFGSSARVL RELEVMTESNKAACKEAILCMNPHGLTNLWGGMLEGLALFDEAERQEGRLPAMMVLTD GMPNMHCPSRGYVPKLLERKEIIPAIHTFGFGDELRSGLLKSIADVGRGGYSFIPDSS MLGTVFIHALANLQSTYSDKTALRLRYPRGFNLQTTTGLVAAAPKPADVEEEDGRKYT ELTLPLGNLQYGQSRDIFLLAPDGLGTAPHLPSSAVEATLTFSCMTGDVHTVTARTPL RLDFPAPGFPDPAEIAYHVSRSRICEYLGSLYRLKIDGEHTTLDSQENLAENAPKRLQ AVKRLVSELPARAFADDEGPNESLLHELTEEKDGQIPMGVSAQYFGTWGAHFLLSLKS AHERQICNSFKDPGPLQYSKDSPLFIQCRDVLDNLFDSLEPPPPSRETTYKGHYDMSL YRNAAGTCFAGSTLVRLPGGRQIEIRKLRRGMVVVTPKGPRSVENVLATPVEDEIMCR ISGDVLVTPWHPVARRGTWQFPAKMVAGRKNESDVRYRGVVYSVLLERDEDADAHAIS VGKGDVWGVTLGHGIVKGGDVRAHEFFGDYEAVKKALEVLANTGARGQSAVTGGGVKR DARGLVCGFVPYKGAGGGLRRDVKKGSIERREVRGVSTRCF
SAPIO_CDS9139	MKTRDKKPAPKDDKLSKGNKAIPVKTSSKRKRVEDDHVHDHVTA KRTSRGGNAKTKTRRLGSSVLPKPQKPIPTAKKQERKVSETVNEAPRQPLDVFVFGEG SGGELGLGSKIVEGLPLTDVSKPRLNTLLSSADVGVVQIACGGMHAVALTKDNKILTW GVNDLGALGRNTNVPEEEDDELNPAESHPGPIDTSGLDPSIVWVQVVAGDNASFALTE DGRVYGWGTFRSDEGIIGFSDKARIQATPVLVPDLKDIRRLAMGTNHVLALDGKGKVF TWGAGDQSQLARRVVAGHAKSALRPASIGRLPLRGAKAVKIACGSYHSFAIDQEGRVH GWGLNNYAELAIADGAGEDGAAVLKPSLIHALENYNVVDIAGGEHHSLACTADGKLLT WGRMDGCQVGLRDEVFTEDNTIYDERGNPRILVDPTESPDLPFISLVAAGTDHSFAVT SKGKAYSWGFADSGRTGHDVEADVEIPTLINSKPVREKKLIFAGAGGSFSILASAAQD E
SAPIO_CDS9143	MDRVKSMAALFNWKAWTLQLGAVAGSEGELLPVHATTGDSKITS PRIEDYPAGYPQYSALISSHDPFFAFRSFRQLRARLLCSMQNELDVLESQLHREDREE VSPFFLGTCRDDRNTARSALLAKIHSKLGDYDAFMDRCRQMLSYTRANPREVESLQNW LEGTGCIDEDETSYLERRGDLVSLASSHDHAMKRLEDWVEDRLVQHYKGFRASPGFDI SSNPDVYIYSGTMIKAIATGLMLFLIIFLLLMPVVICILVDSIGARVFVIIMSTACYL AILSRLTRSRMIELTLAGATAEAPASGQSDIKNAGSWETGMREQYRDSSLTEAVPRGI NVVGWNRAGPS
SAPIO_CDS9144	MYEESSWYSFVPDLTGKKATAANPAKGHKRKESLLQQPNGTHTV VHQPPSLTDILEKDDDSPPEPTVARRASSFSNLRHLSTSSSDDAPTPPGTSRVKTSGD RNWDALDIPTSKRRDELAILPVLDTSTDQLLQESRRGYSLYRDQLSLAENHLDSLIQD ANKSLALLTTLSTSFKSVEAQTSSFQARCDTLLKDQARLEKLANEVGTSLYYYAYLEN VSRRLNAPGAGRLAEHHDLAEVLDDLESCIDFMTKHSTYRDAESYLARYQSLLTKALH LLEVGFSKHLETVSQDISRQLGSTQSESTLYALAYGRFEEMILESYSLIPNVCKVIRK AYDEEGNPRSGTASDIYTNTANNIFHSYLSTRDRDLRLSVQREIEAFEKEAKTPALES ACRTFTKQCFERAYNEGNLFTKIFSITALPSTSPESAFQALRSSQRWLITPANLKPLA TSLQTALQPPTDLTKICNFVGWLTHEYLISEYYEEDESPFTSQCRQYTASLLSEHLWA FTDNAFEAETTKSIARAEISVESAGVHPVLKRAIELLAMFDQCMPKERCQQDSPVVFR IVRETIHILQRVESKIKSTKSSPIDPDLFTIKNLLVLKNELVSLEIGDIRSQASSSMQ HFTRIWETMSPGNWLGFFTNIVGGTLWSPARSPAPAVTAKTLTVEDMSEQLDELLRQS IYAFTRRWAAQMNDASSGGRAGGRSLGKIQTELGQKLREVFSEQPEVVGKLMEAIEIN AQALKDAEAEKKGIRRY
SAPIO_CDS9145	MATPSSDAPFLLVGGTGTVGSRLASILQSTGNKVLIASRSDTSS PNNNHVKFDWFDDSTYSNAFSHPLAVSSGGLRGVYLIAPPSLDMVAAMKSFIDLLRSK GVRRFVLLSATSIAKGDPILTGLIHEYLYQLGEAGEVEWAVSRPTWFMDNFVSHKARF ESTPEGTFVYSATGQGRIPFVAADDIAASAAQLLTAAEPPNNDFLILGPESITYGDVA SILSDVLQKPVVHKDLSVEEMQLRFQEFDIREEYAAVLSAMETAIKNGSEDRTNDAVL TLTGRPPKTFREWAEENKSALGA
SAPIO_CDS9147	MVAGTIHILSMSDERAETRQAGLTHYKAALQFLHELSCYWKHAA LMASRLERFYKLREERRLILDPYKQNTGTGQSPADLKTLWQSVDYTELSTPTRPGSPS NGKTTDPVPIFFESPSRFFEFSDFNVIGNMADTYGGFPLVQDSSLVSGDATT
SAPIO_CDS9148	MSCPLPSALQAQAPLDQQLSIVRGSSKPALFHLTLGQLVDQQAE KYGNKDAILTSWTNARLSFRDVSRRSKELARGLMALGVRKGDRIAVFSGDDERFIDLF FAAGRIGAVLVILNKTYTLAECLRALEHTEPSIFFLSDIVNRRLTLPILQHIRRNRGS LRHVVLMRWDELPTRAEPIWDDVLAVGREVSPAILEQLEESVDVHSLVNFQFTSGTTG APKAAMLSHFNITNNGFIIGEYLQLTDEDVICCAPPLFHCFGLVAGLLAAFTHGATIG FAGRDFDAAQVVDMLVRERCTVLHGVPTMFTAIMKELDRTGIKINTLVKGIAAGAKIP PALLDEIERRLGYKHAAITYGMTETSPASFMTAVTDAREQKLETVGQVLPHVTAKVVD VDNRIVPRGVRGELCVSGYLLQQGYFKNPEKTAEVMIRDDDGVVWMHTGDEATIDEQG YCRITGRIKDIIIRGGENIYPLEIEERLLRHPAISQASVVGLRDEKYGEAVSAFLQLR DGHEKPSLHTLQAWVKEELGAHKAPQHAIWVGPGEPVPAYSTTGTGKIRKDILREAGE KLLKGSNGPLTAKL
SAPIO_CDS9149	MATKIAPPSTKYCIISFPSPGILLVLINRPRSLNALNIEASYEL DAVFRWFDEEPSCRVAVLSGVGKAFCTGADLKEWMANNKSGIRMTFPPAGFGGISLRQ GKKPIIAAVNGPAYGGGCEMVVNCDLVIADADATFSLPEVRRGVTPFGGALPRLVRTV GRQRATDMALTGRIVSAEEFKDWGVCNCVVPRGQSVDKALEYARKISGNSPDAVIITR EGLKMGWEALGVSDGNRLFADGWSNRIYDGPNMQEGLDAFTEKREPRWKDSKL
SAPIO_CDS9152	MAACLSYPVGVAKLSLRQPIFDDNRKAWGQVLERFNNALEQVCS EGTSASQQRHQSRGQLLARDRVALLLDHDSPFMELAQFAGFGLDSSPCASLVAVLKHN RVTEIATENGLPIIGLVQAAGAYLPQQFRVFHRAGQMFRDLARRSAAGQFSCSVVFGS STAGGAYLPGISDYTILVQNQAQVFLGGPPLVKMATGEVIDAETLGGAKMHSTVTGLA DQIAVDEFDAIRKAREWVKSIQVPFQLARRPMGEPLAPRYPMDDLLSLVNPDIRKPFD MTEVVLRLVDDSRIALFKPAYGRNLLTTWAEIHGHPIGIIGNQTPVIRADEACKGAQF IRLCNQRCIPIIYLHNVTGFMVGSDAERQGIIKKGAQFVAAVSCSKVPQISVILGSSY GAGNYAMCGRAYQPRFLFTWPSSRCSVMGPDQLAGVMEIVQSGSRARQNNANKSDAAA AVPKEPSNSREKQREMVERESESYYTSANLLDDGIIDPRDTRDILGMCLDVVTISPVK GAESHQGLARL
SAPIO_CDS9153	MSIRQWKAAVCQSEPCWFDKVAAIEKSIRLIREAKQNGASLIAF SEVWVPGYPNFLWSGNYAENLPLVQKYMANSISAYGDEMLQIRQAAAENKIYVSFGFS ERAGHSLYLAQMFIGPDGNVLLHRRKTKPTHVERTIFGDSTGDSLKTVVETPLGRIGM LNCWEHLQPLLKYHTYAQGEQVHIAAWPSSGEYPGNHAPEPYSVFSEANEVTASRLYA IEGAVYVLCTNQPLSAEGARLNSEGQAGAKKDSFLLSSGCGAAAVFGPDGRQLTEPTD PTYDGLIYCDIDLDKIDVAKNLTDCVGHYSRPDLLRLVVDDQPKNYVTRVSDGSHTTP YHTPIGSTHLIDTHKTLEELLAKQPEEVILVGNKACDKERALNGVAVN
SAPIO_CDS9155	METNRVAPRAYKEESSVPPSKRYVESLQARIAILEGRLADIGKS VQGLSPGLHEGAESAEDEGHSGLSDASDQDVDSLPAESRNPIGEITQRAGRLNIGEDG QLRYFGAQSNYHLLHGPIYNAATESLDSSQQAGLATAARLGKLAKVSPEVEEHLLELY WRWQNPWVYLIDKESFVEDYKKGGTGLYCTPLLLSAVFALASRYSDRVEVRSDPADPH TAGDMFAEQAKLLFMHESETATLATVQAACLLSLRWMSENKESLGWLYMGMATRMAYN LGLNLNCAKWVASGHITKKEAEIRNVTWWGCYKLDKLYSLGLGRPGTTRACDIACPKP DLQPDVEFEPWFPWTQKDQTLLGAHSRTVSSMIASCDHLMMISEPLEQLYAPNNKISK AEAENLVARADVASNAFYTNLPSHLRLAASPRVPSLPHVYQLHTFNAAVIHLIDAASP KEHLRRHALRHLRLCVVALSTMGLAWSWSERALRTFRLLANEWLIPHAVPTDLKQSGV LEDPNLAQTDSRDMGEARQQRFWDNVEQQQQPEITVPALVPQYGDWTAPASWLLEQQQ HEEAEAQPFPEMGSDPDIQGTPAGSSSLPSFNEIDWLFDVDLTVASFNNITSEFDSLG QLDSCVPTTPQQACTPGMTVTSQDSQEEMGLPDWADNPANAQNWSTPKKVYNTAAPSL LCLLISFTLAIYTPSHKNVQGQMHTSETLSILPFTLYVYGLGFGPTISAPLSETFGRR FIYIFITPIALLFIMASGFAKDIDALAVCRLLAGIAISSPLAVGAGTIMDIWTGINAN HGVVLLMTTAFLGPAVGSLVGGWIAEYKDWPWSQWTTLFLGAALWIFALGVQETYAIP IIRRQAKKLGLPVPPSPVPRGIAGLRFLATVTLARPLLMLIKEPIVLLFSLYSSLNFS ILFSFLASIPLVYNTTYGFTPGQCGLVFIGIAVGCALGGLTLVVLDAHTLKLHIRRTS GDPSPPERMLWGAMIGGPLMAASLFWFAWTAQPDIHWSSSIVATGLFGFSNILIFVST TLYLTNVYGAKYGASALAANGLLRYTIGGSFPLFTVAMYRKLTYPWGSSLLGFLAVAF AFLPWIFYRFGRQVLRFSSYGIN
SAPIO_CDS9156	MALLSRSGESVSAYNLMIIAFATFGSITYGYCSAIIGSTLGQPS FLAYFGLDTAPNSAELMGAINGLFQAGGLFGTLMAGVTGDKFGRCKAMFIACLFAIIG GALQAGSVHIAMFLVARFIAGVGIGGLVMLVPLWQSEISPPHSRGLLVGLHGVSILIG YSSSAWIGFAFYFVDASGSQWRPPLAIQCVFPLILASGLYFIPESPRWLIEDGQDERA QLVLEKIHRKPNNEESQTFVCREFSQIHAQLAFERTLPSSWSSVFTIPHYRKRAIIGF STLLAGQLTGTMVINNYGPSLYKALGHSDSESLALAAGWLTEGIVMNFLNAIMLDYFG RKWLMAVGMAGCAASLLGVSVLISVYGGTDHKAGNSASVFFLYLHLTFYAGCMDASTY VYGSEIWPTHLRAKGFAISCAGLFIGSLCLVEAAPTAFQTIGWRFYLIMMAFSIIFAV LFALYWPETKGLSLEEIAVKFGDELAATDGETDKVVDSTSAITSSKDGEKSSL
SAPIO_CDS9157	MPAASPNGTVVSEALVQLDRPRQANANPAENTGAEQVGFKRRRI AIACVACRQRKSRVTRTSETLFRYQTYIMLELTSYPAKGSQAKIPNDYDERLRAIEAT LQQLVQNQEASLGRPSPGHVSVDGDTQDESQPVTLICHDEPKAHDTMVDTVDGLAAIS NGDVDTRFFVTPPQRSNQIGAEDSITQVPSPAASIVASTPFRTAATNPQVLPAEVQAV YLIRLYFADTGTMFPFICKEQVLSTYYTYKAHGFSGVSRSFLCLLNAIFAFATYISAK PEQPITKMLTTTLGRPPIVQDEFMNLPLPLDGSLDAPVRTMDHHVGEYGDAGLVNTAS FFIATIKLYEILGSVVAKLYGQNLDEGLVENRPVPTQDILTIEDRLWNWKRNLSEKLS LRPWLNSGPSSEWSQQQPPYHPVFARLSVVTRLRYLCVRILLHRPILTHLLLERRLPF GESDGDGSVGLTQDMWKSSVVICQDSAMETIDIIHRMSNSTHLLGAWWYTIYFAFHAA LVIFSRLLLLLDSRNTCSLGTNSTDPELISRLTTHLILSVEAAECIGRDAKPARRVVA VLSKLLRICIVLGKCNAEHGPSVMAAVYARRTSEPIRELITSLSVSGEELAACAVFGS HEPRPLSSSEMFDPFGNVSQWWPPTELEALGDLVDLDASLVGLVT
SAPIO_CDS9158	MASMRPTMNEGSLALLNKMRIVLNPEVLNRMGIVFNREVLGHFG LIHGLTTLVSFTVLAQIARLALSKLRDYPGPFWAKMSSLWLARQCRYTRRSAAVMEQH EKYGDFVRIAPNHISINSAEAVAQIYGHKTGFTKSDFYDAFLQVRPVIFNARDVAQHT RKRKYMNPAFSARALSDFEPQMNIELLAWKRQLRQIHDEEQGCLDMVVWTNYLAFDVI GSFAFGKSFGFIEKGYDQYNLIHTIDMRGEVLNALGTLSAWMRPFVRYNFFDSFWSSG LRATANLEKIGREAYVRRKESTEERRDAMSYLFAAADPKNKEPIQEAEIIAESISFIV GGSDTTSSTMTNFIDFVSRDRAIQVRIQQELDESWPGEQDDDWVPEEHLVVKLPFLVA VLREVMRFRPTSATGLERITPKGGKVIAGKFIPENDESEHFAILRIRNI
SAPIO_CDS9159	MDLKEEPQGSHNDSIREESEGTSSIDPALEASIRKKLDMRLMPI LTLVYLFAFIDRSNAGNARVLGMGEDLKLDGYRFNIALSAFYVPYILFEVPANVMCKI AGPKIWIPTLAILFGLVVTCMSTLKSYEGFIVARVFLGFAEAGIMPGISYTLACFYRR RELVTRVGLYASVASLSGAFGGLLATAFTKIPSWGIIHTWRNIFFFEGIISIILGIVA FFILPSSPATASFLTPEECKVAVSRIADDLKTEQIETIKKEYFKRAIWNPNTILLAVA MLCSLTSMNSMALFVPSILNAMGYSGIHSQLLSVPPYAWATIVCISVSTLSDRTCKRG KWILTVMPFTVAGFIVLLTPAKVAVHYFALFLCLTGVFTASPMLVAWSIDNSAGHLTR AIVSGFSPESGSAVPATSTFSPAPSHFNSHHFLASAFDSFDDQRRLIALCLTMMFSKQ IITAQTIHSTTTERHRSQLPVMAPHLQRHANLNQPGPRRFYFSSLRRHRRRIVAVVIV VAIYYFFRFTPAPPRSIANSNGGRPTRTTPDRDLDPQPHFIYRSSFRMNPNSAYEADV DAALVSLEHRVTRGEVDDGQNVSQKRPRKLWQILLGPAERGPDSWAFEEKNRAWEYTV VRDEWANSFVNDTFGAIPGLVDLYKSYPYDVLRADLLRYLILWFYGGYYADTDINPAR AIDSCPPLAPVLPTNQDIHNANISLVIGIEIDEPWASAKLMREWHWIRTYGFVQYNLY APQRFSPLLRRAIVRVLAHTKRHKDASWLTGPIYNEKTILEITGPGVFTDAVLDVLSE TLPITHPLVQASVAADAEVGDLAVPVGAESKRERVTWAPFHRLREPLWIDATEAAAGK SMGGLGVLPISVWGNGQRHSGSESFRSPHACINHRFKGTWKKGWWQRWFGKQNR
SAPIO_CDS9160	MTTNSTYRPFLILGGTGTVGSRVTHHLRKEGHPALIASRSAKGN GEGPLAAKGAVYFDWYDESTYLNAFNHPLAVGCGGIRGIFIVAPPSTNMAPAIQAFID LAKGKGVRRFVFVSASSVSMGDEVLGGLVHKYLYELGSAGEIEWAVLRPCWFMENFIT QRERVDFSPEGGVIYSATGEGRIPFVSADDVAECAACLLLDPDPPNSDFLILGPEALS YGDAAKILSEVLQKPVIHKDLTVFEMEARLRSFGISEDYAKILGAMETNVKHGSEDRM DDSVLAITGKRPKTLREWAEEYKAALLET
SAPIO_CDS9161	MVLHQYDYIFAIGTLFAMLDAYNNGANDVANAWATSVSSRSVTY RQAMVFGTVFEMLGAICVGARTADTIKNGIIPNSAFRDNAGVQMLAFTCALAAASSWV MWCTRHSAHVSSTYSLVSAVAGVGVATVGASQVQWGWNNGKGLGAIFAGLVMAPTISG CFGSIIFTLIKVIVHMRKNPVPWAVYSSPFWFLLASTLCTLSIVYKGSPNLGLNKKPS WYIAAVTMGCGGGVCLLSALFFVPYLRARVIKKDYTVRWYMFFLGPLLWNRPAPEDAE TAHIPNYAVVQDDDDEGNEKKNAALGGSPKSVAESNLTANDLQMPEPAHSDGEKELVS NEAKQLSYKEIAAQGDRRLREKLIKKRAPIGWALRTLRDNPMGPGQIYELHNIKMLAK RIPAMIVCGLLYGFHYDIHAAQTGIAGTPDGQRMQRVYAHAKKYPNEVEHTYSFIQVL TACTASFAHGANDIGNSVGPWAVIYSAWKTGNAAASKAPVPVWQLAVLAAMISVGLIT YGFNIMKVMGNKITYHSPSRGCSMEMGAALTVLIFSQYSLPVSTSMCITGATVGVGLC NGTWRAVNWQRVTLLLISWFATIPVAGTLGGCLMGLFINAPHFF
SAPIO_CDS9162	MGSKAPNGQELEADIEFIETPAAQPSQFETGQDCGVKVTKYPGI KNAPLPADGPGNESFSNSFLLAFIVGIPTYLAWKIGGGLKTSIFFGLITFFPILVVYW YFASSYSPPLNDKVKLPGRPVEKYLSFKNESDRLRWSGRRKIPIQTFSNMYINGEVDF NGDCLDVLEYRHDWSNFSFTWDLFKYILFSFAADVISHSREQDENQIQPTYDAGNDHY AWFLGPRMIYTSGIISDPEKEETLEEMQDNKMAVVCEKLELKEGETLLDIGCGWGTLA RFASLNYGAKVTGLTIARNQTAWGNDALRRAGIPEEQSEIVCMDYRDMTGRKFNKISQ LEMGEHVGIRKLTGFFRQCYDLLEDDGAMYVQLSGLRQAWQYEDLVWGLYLNKHIFPG ADASTPLWFYTLCLERAGFEIKSIDTIGVHYSGTLWRWYRNWIGNADKVKAKYGQRWY RIWELFLAWSVIASRQGSATCFQFVVRKNLNTVHRIDGVASQYGLHGALAKSKAAGKA TLPQ
SAPIO_CDS9163	MTTLAKTIVATGVSSGLGFEAIKHLLGQIQQPYRVILGARNANA AKTAYEEVKFDTAKHTVSVLPLELGDLKDVKRFARQALEAVGSGPIDYLLLNAALGPG GETLNLIGSKWREIYVVNSLSQHYLVHLLKEKLISSRSRLIFVSSGGIRGVSDPSVLE DHLKAGTTDKSLLIYNETKFVGLLNAHWWRRQLTWQCAVVAVSPGFIPNTGLGRGLDW KIPTDIPDAKSVSEGKSTLIIYSGQNITRAFFQDNLPEDPDQIFLTSWGEWWPKDVYE NTLDKSLQDKWSPTLEDIEAEERVN
SAPIO_CDS9164	MGILGLGQASTIPIRRQLTDIFANEAPAGQEDVDSGFGDFASGR GSALFGNVGTTCVVGGEEGECDRFGRCTQFIPPNEISVLNRGRTVDVCTAGGQVAQAV GAAEISVPVATRSPAADVVPAAEAGAEEGVSRWTGNRSSIAVASAGNRASLVTRRGFE ELVRDTAGVYCLELSGAEKREERNED
SAPIO_CDS9165	MARFWLLGLAAIVGSFTQALPEGNCHAKCRCLPSQPCWPSTAEW KALNQSVHGQLVQVIPVGNVCHEPTFDEARCNELRSGLTYDARFRSAEPGAGSWLNWE AWETRNETCYVDSDKSIQCGQGRVSLYSVAVQTPEDIIAALKFANKHNIRLVVKNSGH DFSGRSMAPFSLQIHTKKMLDIKYTADFSLRGAPRQSPSMGPAVTIGAGVKLFQLYNF CHEKNLTLIAGFSSTVGAAGGFIQGGGHSILSPWKGLGSDNVLEYTVVTANGKYLYVN QYENPDLFWALRGGGGGTFGIVTSVTFKAHENPPITYFHMDIARSTADASFWKLIEIL WKHLPGLNDDFGASLSSVMFPSAAMPSPVDGVPTQPRALVNLKGFAVGAATTEFRAAL SALEDEWRSVADNSTLTSPRDFSFNVTTFPSITSFYTSALTGTDRSGASTIIGSRLVS RDFIKSPGGPAAIADAFSKIKVAQDEAISGNMVGGGAVAATGEKETGVNPAWRRALGH MMIVRGWYTGTPLAEQQAIHDELTNVQVPILKSLALPGEKMGSYHNEGDDAEPDFQRS FWGDNYSRLYKIKQKWDPRGVFIVRKGVGSEDWDYEGMCRLK
SAPIO_CDS9168	MHYIRKRIIDETATVLKKGEMDDENSKALSSLIQEYCTAVRERE FMRDLAYRDWDTCPFHLKSERPMERDLLNYLKASGVQPERAVPWYEGELHPVLPGAPW DYPSASKTRTQRYALAILGVLILNVPMILMVLVPSTAMSLVTVVVCTMVFAIATAYFS PTKLPIELLAATAAYAAVLVVFVGGTTESAK
SAPIO_CDS9169	MSLVGIARSRTLWLVPGARSESITFVFVLAFTIMSFAIESSGKE RLLTKSANKPRTPEAYSGFWKLVGYLWLRRTFLDGYSKVISVQDLPELDPGLASDVVH QELANSWAKSNKFKKNMLLYACFKGYKWSFLSAVIPRLFLSGFMFANPFLVTATIKWV EDKTSTTASGKGLIGAFALVYLGTAASTAIYWYRTYRFITRLRGGLIALTFRQTVHSR GVDLGKITAITLMGTDVERIVYGFRFIHELWAAPIDICIAVYLLERQVFIACIVPAVI LGVFIASTFYLSPFAKKAQRQWVERVEERLKLTSYMLGDMKAVKMLGLSDRMYSMIQK LRELELATSTKFRKLLLWQLFLSNSPQCLVTVGTFAVYVAVALSRHDNSILAAQAFTS LTLISLLSNPALIFIQAVPQVVQCIGCFDRLQEYCNRPSYEEAVGKPKTDSFDGDTSL KNSEKVTENMVKGENREPEENLLLTSFHNQTVGWDQTAPPVLKRLDLNVRANEVTMII GPIGSGKSTFLESILGETVVFEGKMTKYFSAAGYCPQTPWLRNQTVRQNIVGAFEFDE DWYATVVYACGLEQDLNQLPLGDSTVVGSNGLSLSGGQKQRIALARVVYSRHRVAVLD DVFSGMDATTVEHISKSLFGPQGLFKTHPTSVVATTHSPYLLQYADSVIAFKDGEVIE AGRIEELRARDGYTASIWRNPQTGSSTPKEPTSEGQDSTPDAGITEATDAEADARRQR GDFSVYRYYIKAAGVLPATVFVVFVFICATLFELQQAVWLDQWSKANREEPNKNVGMY LGVYAAFGVAAVIAMIIGAWSLVIPVINNSALGLHKDLLQSTVSAPIQFFTKVDIGNL TNRFSQDMDLIDMKLPMYAVNYVSAASFCIIKVVVLSVYARYLTLAVPFLAVVVYFTQ KFYLRTSRQMRLLDIEAKAPLYSHFLDTVGGATGIRAFRWHAAFEATCIDLLDVSQRP VYLLFCIQQCLAFVLDIIVAILAVLLVTTVVAWRDSFQPGQVGVALVLVMTFNVELTQ LVKFWTMLETSIGAVARVKDFTYSTPSERGTTKVTTPPSTWPSAGDIKFSRIVASYSP ESPPVLKGVSLHLQPGEKVALIQLQEGCITIDDVDISQLDKEDLRSRLNVITQDPFLM PGTIRFNIDPYEASSDDEIIEALKRLRLWDLVKDQGGLDKAMEANSWSIGQRQLLCLA RAMVRGSRILILDEATSSVDTETESIMHEVIETDFAHHTVLSIMHRLAHITNQAAIEE RMSNEETVD
SAPIO_CDS9170	MVISNGTTDDPSQGLDHQSNGAGNGAITGEVKGLGAETLRIVQT RIGEKKKYTIRTHLEGVDGYKYLPGQPRIALSAPPGAGDELVEYLRKAHLTTELDRLL PFMKYIFVQTPSFRHIMPLHHQVAHAREIIVDEYPGLHLVWYYGRIFIKPIPTYFYSP AFWDYLRSLRNQDETNDEKEDIYDAAIGFMRSYYFLIQYEIDFTKACELKLIPKLPGE DKHPTYYEFCRFMDYFKKVKDEETCRRYHYGELRLTRINRTSLFITGKLAYFHIYPQW GSYLRHFLAPIIVALGGFSVVLNAMQVTLNAQEMLGNPSDGSPGLSPTWTYFTRTSLY FPVVIISWIAAIVVLGLLGVLVMAFKDIVWARTTRRRKKNGDRNAGDRSHGMICTIML LLLVELNMQPSLLAGIMHIRLPSAPDDFVLLSPLDPLESLGDYQCAAKRLHFFFCKTC GVRCFSFAGEGELGEVDLAELGVETERPGEPTKLWRAKKDGWGENTRVSYLSVNGHTI DAGQGFELRDLTELKRVEYLNALAPEDESTDDVRYDRPHSGGSY
SAPIO_CDS9171	MSDLDKLLEERAARGSNAIHGFVAAAVDKNGKELFFKTAGYNGV GPDAPAVKADATYWIASCSKLLGTIAALQCVERGQITLDEPVERILPEIADLGVLVPP KDGAAFDPSFATTPATKKITLRNLLCHNSGLAYDLFHPTIAAWRESRGETPQGLTGFA TKPHTVPLLYEPGDGWTYSGGIDWAGLAVARLNKTTLEEYLQENIFKPLGITSTTFRL EKRPDIKERLLKTVERQADGTLKEIPKPWPDYAEEDCCGAGIYSTVGDYLKVLGDLVK DQPTLLSKDIVEKEMFRPQLAAGSNAMKGIEAAAPMMATMTGTTETTGLNWGIGGIYT EEDVGAYPKASLGWGGLPNLVWVANRERGVAAFFATQVLPLGDSQAGELAVKVLGEAF RLASS
SAPIO_CDS9174	MALHSNLPDFDSLPEVKGLPKGCAWGLFDENGEKDLLGCINLLT TDVVKEALKEARDGVSISLNWGLGALKTPAFSRQGLERSVIDFQETPINAHGFDDAIS FNTQSGSQWDSLVHVAHQPTALFYNGCKPCKDALLNGEDKHLPTLNHWHERGGLVARG VLLDYRAYAAAKGIEYDCFSNHGISVQDLEAIAEYQGTKFKQGDILIVRTGFTEDLSA ADVDEQKRSVGTHQAIGVTGNRETAKWFWNQHFAAVAGDAVAFEVLPPLIEEEGNRPG TVADLVLHQYFLSLFGLPIGELWDLKALGEHCKKVGRYEFLLTSSPLNIPASVASPPN ALAIF
SAPIO_CDS9177	MVAIANKALGAGDINVPQFERVNWRKEPHLRKMYIMTVFLLVAS ATTGYDGMLSNTAQQMDLFKKYFSVENGEANEVFIWNPEKGEWGADANKLGIMINMFN IGSITSFFITPYLADFFGRKPTIMLGCVIMVVGGIVSAVCNSYGMWMAGRFILGFGNS FSQMCSPLLLTEICHPQHRGPLTAVYNCLWNLGALIVSLVGWGTSKINNDWCWRSITL IQIVPSLFQLCGLWWIPESPRFLISKDKADKALEVLVKHHGGGDVNNPTVQFEYREIR ETITMETQVNRTTNYVDFLKTKGNRWRLAIIISLGVISQYSGNALVSNYINYLYEGAG IRGENKKLGLTAGKTILDLLVAVAAALTVNKIGRRPLFLTAISGIVVSFACWTLSSAI YENSDESNRLAGNAQIVFMWLFGIFYDIGFSGLLVAYALEVLPFALRAKGMVIVNITT QAILALGNQTNLLAWDNLPNHWNFLLFYTLWDCCEFVFVWFFYVETNGPTLEEISRIF DGNDAVVHIDLDQVEKEIRATQHNEDINSSVPSNDKSAV
SAPIO_CDS9178	MPNNDMSFVTQDDHGFNPPTNDLRIHGRPFGLEKAYDYEAGGHH PVHLGDLLHQRYKVLHKLGSGGYANVWLCRDTSSTDPRYVALKIIMAEGSTPNCPELR IYKLIELGLGQESAAEHFCLPLDRFDIDGPNGIHYVFVYPVLGPRVSRVFNVIKLEDP GIPLRELCFQTTLAMAVLHKYGICHGDFRPANILLRLSGLDGLNEEELFAIFGPPQTA QVLKHSGEAHDMPTAPQYVVYPIDWDDVASSAAGSNLIQNKACITDFGECFEISTPTL DLGIPQVYLSPEYCLERKKLPEPWWSETWTARKRYFQDDTDSNGLVVAADNTKENGRT GYGDGDIADIPRVVIDQSYPRSLQAAIAEGLFYEYKNSIEGVERSIPQKEIAIFADLL SKLLRYSPSERISPSEALEHEWFQLEKSDG
SAPIO_CDS9179	MRLSTLFLSSSLATALAWPSVWPYEKRATALPSNWKPGVKWQIV IHAPIDIRTTVIPTEAQVWDIDYFHALDHPEIIPALKSPAPDVDNVVLCYINVGAIEQ YERDYTDFPQAAIGKSYEDYPEWWIDVRRPDVLEFMKKRLVKAAEAGCDGVDADNIDG YDWDEPGVDKTGWNLTRHDLITYVTELADYAHSIDTLRGVPLMFGQKNANHLASHLVN IVDFAVLEDCQGLNGRLPGVHEAYCQDFQAFVTGENRTDGKKIPVFEIEYPESTENGG TNLTRRDWEYYCNRDKVEVGNVDFSQVIKHESDRIDGWVQYCRENEEEGKFWTATLPI G
SAPIO_CDS9180	MSSQSEHNASKEHASDDLEMNIIPSRHLANDIVDSFAWSNLEVV VKDRATKKPLSILSSAAGIVKAGDMLAIMGPSGSGKTTLLNALAHRVAAAGATTTGDI LGNGQKMGLQMTRDLSTYVEQEDALIGSLTVRETMIFAARLALPSNVSKKETFRRVDD LIASFGLQSQAHTIVGTPIKKGLSGGQKKRLGVASRLVTNPKILFLDEPTSGLDSALS LEVITYIKEIGKKNNLVIVASIHQPSSSTFQQFDQLCLLSGGKTCYFGPVCEAPGYFA RAGYPMPSQTNAAEFYLDLINTDLDKNGEVVRRTEDITRHWLESSERDNLDAAIEDAR NSTSVDLSQQKMVKPSPLKIPLILLHRSWIKSYRDVVVYGIRVAMYLGLAILMGTVFL RLKTDQNYIQPFINAIFFGGAFMSFMAVAYVPAFLEDLHTFYQERANGLVGPLSFLVS NFIIGLPFLFLITVVFSLLVYFLSNFRPDGSAFFKFVLWLFLDLIAAESLVVLVSSIF PVFVVALAITAFANGLWMCVDGFLVPMSILNAFWKYVFHYIDYQAYVFQGMMVNEFES REYTCTKSPDGYQCMYYSDLNSVGKIRGEAVLENFSIKTGQEGTWVGIIIGIIAGYRL LAYLALLLRK
SAPIO_CDS9183	MTESLFRLLLIRPPVEQDPEAPSIELAQKSTFQTELAIALSATD ARAETLKVAQAFIEGSTFIKNFGANELVKSLKRLGVLLDEASFGNDLPAASVLQFTEQ VFGVPAAEVIEKPEFVTLLTDLRDVIVAGSHSLPLEEYVNLLRDAILIQDAVAKSEQS SALEKKVITPDTEVSALAVVLAVPEWQTQTVYKVGDQTTWNGITYVCIQAHTSVVGWE PPRTPALWVRATIEDPPDPTPSGPTNVFNLRKRSLLLPNEKSLTSIIAAPPDEGTPDG DNEKEVESLIKRHGQIKSAITELTSIDTAHIKTSVQEKGDAVDVPSNLSILKSLSAQV QYATDLRAVNIDQFRAAAARSGTNPTNPSILASATATDGAEPGRDPLALLDTAGTASA VGLVQQIASIAPALTGVTSFEPSNTIDSAPTLTDSAPLSTVTQGVLTSFDIKPSTVGL PDVVKTLRSGLSKVEGDLAALVPSFETTKVTFIAGKAVVSKEPVPSSYSKFATGIDFR NIAPRAPQVPIRGLDTSDTRIPKTRGKISSVGVGDLIIVKQQLIGYEGADIAHIENVL KGESKKREHTSTTRSETVVTTEEETTTEDSRDLSSTSRFEMSQETSSTIKEQFDVKGS VQVTAKYGPAVEFTAKAEGGYSRSKESATKSASKFSQDVTEKASKKVTERVLKKQTVT NSSEVVEINSHGIENSTGSVNVSGVYQWVNKIYEAQMFNYGLRALFDFMIPEPGAFTI DTMVKAADSAAALTKPTPFPLGPSQILESTYEYWVKSYQATDVKPPPPDYVTVSDTFS ASDLGEKNSANHTGTIALDKGYEAVHARIGESGSFWTDGHSVTVIVGNATSRTDTAGV RTVTLARQRGSIPWAVHTFRMSSTTVTVEITASITEDAFKEWQADTHAKLTQAYRARL QEYEEKLATLELQAGITIQGRNPASNAITVKQELKKNCISIITGQHYDAFDSISTSQY GPFINLDEAEAEGEYVRFFEQAFEWENLMYVLYPYFWGRKGKWAEKLAIEDSDPEFEE FLKAGFCRVQVPARPGFEAAIDHFMQFGELWNGGPLPAISSPLFLPIADEIAERAQKP GDEIPQGDPWKVRVPTSLVKLRQDDKLPKWKKVDGEWVPDEA
SAPIO_CDS9184	MAFEEEVKKEDLARFVRWNKNRLIEMLTDASVDTKGIAIQREVA SILEADFNTSLKLLLLGGKGPIGHVNKQLVNWYNRAYDRALVKVSYETSSSAVTSIYF DKLQAFEAFLNRWAQREINFIQWVDDRKTGVRTVWEANPKIRVLIQVIKDQALDWWNR RTMTPAFLRQSAGGIVETFFSERPDVMILVEAVIAFPKLKYTQEIEIERDEVGELLEL ALSFVPVLGSAISLYEAWEGRDLSGVMLSNLERGVLVATVLLPAAGRLFKGGKAVYTE ARLARMYGRSEAEWGRAIRLSGQATERQGALKVLQESEEALLKSGRLEATLAKEVKGV LPQLTKAATTASSRVSVEVKAAWAVLSQKHPSLAVLDEIALERIIQKGANESHVKGQL LEELMEAHIVPQLRQREVGFALGVAVPEGKVLEYVPGHAIRSASEKVPLQLTDGMVGY RDGNVFHILGIFEAKAGKKGTRELLIGSKELSQGERLELRAFANDTWRDERNIAKRLG KPYTRTVQEVEADLAKLKDGGQLQRDIERLSANADGSLASILIDGNIVDVSISPTSTK FFGIVPKGKRIDLLQSAVTAAGYKFEAIAAPINSKDLTALAKELAGIGAATAVKPP
SAPIO_CDS9185	MSEKLPLLQEEPRPLPVRRRCRRWTGIAVVASAATAFTLLTNGL PKFPSLNSDSSNLCLSPACVHAASEILYNLAPNHEDLDPCTDFEQIVCGGWRDRHDLR PDQGSAFTGTIMAENSELLLRNILEADYPEESIHSFFSPARLASADQEEDKENFKKIK AAYDACLDEDGIKKLGDGPLNHVLDEIKGIFSSDKSDYIKDAVLFLAKQGIAALVDSG TGADDRDPDSVVITVSAPWRIGLPSKERYEDDNLVEKYRNVTVSVLSALSPDTNKDVL SQVVDFEKKLAAASPPTEDRLNVTKYYNPMDIADAAALTPEIELQALLSELSPKDFTI DRIIVASPKYLEALSGILKETNKDVVEAYFKWKTVQSLTSFIESDSVKPYKRFRNELS GKDPDSAPDRWRTCVRHIDGGLGWILSRFFIEKAFSAKAKEFGDTIIKDIKSEFINKL NAIDWMDDETTKKAINKVNNIIQKIGYPTKSPDILDPGKLQDYYKSVDVSSSAYFDNA ISMSKFEVEHAWSALGKPVDRDEWGMTSSTVNAYYNPPGNEIVFPAGIMQFPVFDVRV PAYLSYGAFGAVAGHELSHAFDSTGRHYDQNGNYSDWWSESTVEAFEERAQCFVDQYA KYTVPGLDGKPLHVNGRLTLGENIADAGGLSAAFQAWKHRTKDEGDQDLPGLSFFSHE QLFFVNYANWWCGKIRTDEAVDRIYTDPHSPKWARVLGTMANSQEFKESFQCKDRKPT CELW
SAPIO_CDS9187	MSGNPSTTPSSLSGYDTSTEQPPEPSTREKTGIETENVRQLQHH EIDYPEGGLRAWLCIAGSSAIMFSTFGYLNSWGIYQDYYHSHQLRDYSQSTISWIGSV QVFLQFAGGIVGGPLFDRYGTIPFLPATVGYFLSIVFTSLSSKYYQFFLSQSILGGLC IGVMFTPSIAIVRHYFHRRMGAAMGIVVAGSSIGGLVFPILLNRLLYHHKIAFGWAVR ACALIVLVLLSFAILVIRPRLKPSKHPFDFKLLKRPVYILAVVGIWLMNWGLYVPFFY LPSFALKVGMDPQLASYMVAILNGVSFFGRIFAGLAADKVGRFNMLTGMSLGSGTLLF CWPAIHTNTGIIIFSAFVGFFVGAIVSLLSACVAQITPDPKYVGALTGFSMMFWSFAG LSGPPISGAIIGSNGDFYKAGLFGGAAFFAGAIIVFAGRLVAQPKLAARF
SAPIO_CDS9188	MSSGNATSPKRLTWLITGSSSGIGLELVRQAQDNGHFVIATSRN PSRTPELVSEVESKGGRWIRLDVDDSECANVIHDLERDGYAIDVLVNNAGFSIHGAAE SFTEAEVRAQMETLYFGPYRLTRAAVPYMRKRRSGMVTQISTGAALEGRPSMGIYAAS KAALEAVSKVLAKEVAEFNVRVLVVQLGSFNTSMPSASRRSEFDAEYSGTMVDKITSM VSVDGTLKAVNDKVKGARAIYEVIVGEGVGKGLESEFLFPLGQDTLSFQNLAVDPTEE GGLEIES
SAPIO_CDS9189	MLPKKRRQKVTHSCQHCRRRKIRCDRERPCGHCIKLGSVDECRY GPGLGQAPMVSERSVSSGPRQVVFRANPPPTQAAGSSVAASEAQNPSPPLTATSAVEV SNTEPRPLSAERDNDTQPSQPLQLSWNGSRGTDSFPEPVSGRMMTMPKEVFRGGNGGP TTHWGRCHGSATIYEFPEAIRFLLASKEAEVPTLYCVVPAARADQPRGLPISDQMQAG ELSALLPAREQADRLVNLYRENFEFCSRIIHFPAFFKRYAQLWEQPETTPSSFIASVL AICAVASAFAPQSALEGVGIKDTAVKWIDAVRAWLQKQDTMSQATIACMQVQCLLLLA SGMKWIASENSWLSAGALMRMAIAAGLHRDPADFPKISPFWAEMRRRMWYTILELDLQ LSFDHGRPQHFSADDFDCGLPANINDEKLEENMEQPPVPQSDSSLTDVSAQRLLAKSW ALRNRVCRLSNKIVFDTPYETILKLDSELNGLLQEAKRVSGREANDCRKFFLDDQIYK AIIALHHTHTARATYDPRFLYSRQVTVETSVTVLSKALSSPHDAGGEEAPRLFDILAN ICRTNLAGSVQNLSRELLIQAKQYQSMADGTQPMATAQWNQGRQQILIDLVEKSIAAF RAVLGTDEKGHRISSWLHIATSLAKAVVHGEDPTKAMGDALKTSLHEYSMAMRGAPSQ HLQNDQTLSCGESNDFEYLMDPRPWFNEETSFPMLFGTDNAQDMTDLLFGRYYHPVQ
SAPIO_CDS9190	MIFFPRHLFLYVAVAMSTVTADELISLLGPAVSPTISSDFWACA TENIDQYFEYPQPTGAVNSALLDYGDELLNRCTLTGFERLDCPFPEHSLWCGFTSVAP STILSDYSAYGSQASEWLAQHSASAVTVAEECPYTWWRFASDPLGAGRMLNFTIAMAH CYASAQVTGESTLPAGTTGPTGTTGNGPEETSPGAGAERESSSSEKGIEMRVPHLTSV GLTTGPRPRSLPTMYDDAASIAAEITRLAGAGKDVVIIAHSYGGRPATESIKGLTKTK RRVQRKPGEREAWAVKYPTHSSASFADESTHAGYKHVPISYPICEEDASVSDNAQRKV TQMVEKESRNKVNVTSIQAGARSGVQGFAESD
SAPIO_CDS9191	MRGFSIHVVAVALSLSIAPGRAIGNAPPQVPLAANGGRPRSRGN PLNNQFKEYIDLVREDLHVPGLSIGVVDGDETYLEGYGNARLDPDVKVIPDTLFYIGS ISKAITAASLLYVLESTTNTSHPVTLESRIHDLIPDDFILSDEYATLHATLKDALCHR LGYPRHDMSYGGPGFTVKDAVRLLRHLPMTKELRQEFQYFNYGYMIAHHVAATLSGKP FSDILQEAVFGPLGMSSTTVNLKHATQNLAIGYGFNNVTKQLHEQPWWDTNLIGPGGV ITSARDFTKYIRAMINQGLPLSKDSQDALITPLIVESPLASVHQSHALYATGWAVTYY RGRRLITHNGGLPGFTAITGYLPDYKWGAVVATNGDIHGTFASEAAFYRLLDDFLDVS SHDRTDVISQYDGYMQGKYNKYVNAREIFFPDVPKPGLPHALPLEEYSGTYFHPGYRN ITFVVTDPADYLPIAETTKKVLHGSNQHEWNVVFDLEHVSGEHFIAFANSEMGSPMLQ EVTTAEFVVGPDGKVSRLGVVIEPALAENIWFDKIV
SAPIO_CDS9192	MAFQWLFKRKEEHRGLLVGLDASGKTTLLYQLKLREAVTTIPTI GFNVETIEHPKGTNFTLWEVGGCSQIKNLLYHYIQPGTFIIYLHDCSAEESWQQESVI FLRESIEMWVSNGCGHICVVMNKQDKLAPETRESVLQEKSELFENVLRPHKNSTECRV LQLPGLSAHTGERVYEILDEVHRMIAGKGKTVTPPAQPVPVVAQEPTTEELMQRISLA NSKMGSADEFWQAFLRADIESWDHHTHLRAGYAVLIEAFSRGDSVLRSAETFLDHLER LKSTNPDKFRNTAHKTMTAFWLFQLRLAALTYQADKGVEKAPSLANFGAVLLHSPHLM NTGLWKKYYTKDLLFSPKARENWYFPDIQPLPTSLPKTKAQPQQEQEQAKPASERLLR FAFIVVQKIMPTNRRRGEIIGYALDALKQHTIRERAKNPRVAPYSLTQAYFWIQIFHH ARVSLEAAGEKSLPGLPTQISALSFEAFKALLNLKGDEWKLHYSPRVWNSIPARMEFQ IPDLKPLPNALIVPPPSNIELARSKMLESIQEGVSIRHEIPRDEDLVVMAAILVGEVG ALESSPEEIKTHGGLLAYLYSRLVSDEGTGVDVGEEGSLGVSSRAVSVALELPGPYLS GITGKMFWVQQVIAASAKAKAAGDQLSFSQFINGARHLAHEQLPLVYYSQELWESKEA DDAFVPPDVRPFPALIIPAKE
SAPIO_CDS9193	MPTHITVVPASTQAGRETIRVLLQSENKPSVRGIYRDPSKAPAE FLENTNFEAVKGDVGTGAGLDFQGSDAVFYIPPPTYDGTDQSEWATQTATSVKNAIQG TPSVKRLLLFSSVGSQYDHSIGVLRLNHISDKILEDAAPEVLIIRPGYFQEGWNHALE AAKEDSPVIYSWVTPADYKVPMVSLKDISECCASSLLSESTKPSPYYFKLFGPRHYSS TDLKKAVEDVTGKEVEMKLVERDQLGGFFAEQVPEAHVQDFVDMTAAALPGGIMSGDF EYGEDTVRGKVELVDAFRQLYE
SAPIO_CDS9195	MRKVKPNFITLHYGWIMACALMAFPVLYPYGNLDAVDAFFFGAS GSTESGLNTVDVKDLKTYQQVYIYVIPTITNMVFISIAVVVVRLYWFRRRLGSFVRTY DRHDEEARQEKRSLDEEQDAKQRSTDPSINSPAQRPTDQEGAVTSALDDTDLSLPLGR RTAITFDGRVGEPYLLRQEPDGQTLHIPGPRQRDAGQPLVEISTAYKRRRNSDDVDAI RPALQRTSSALSSVSGLSRRRPYKFSANQPALMTTRSLERAATSILALGHTPPPPKHK SSSVLPTEPKTLDLPHLTRQVTVGRNSRFYNLTSTDREVLGGIEYRALKLLLKFILGY FFGLHLFGVVCLLPWIHNAPQKYLEWLEECGIGKTWWAFYSAQTMGNNLGFTLTPDSM ATFKDATWPMLCMTFMAFAGHTFYPVFLRLVLWSTSKLVPKDSAIKEDLQFLLDHPRR CYILLFPSKPTWILTGVLFILNFVDVLLIIVLDLDNPAVNDLPMGPRILSALFQAASS RHCGTSTLSLASVNPAVQFSLLVMMYIAIFPIAISVRASNTYEEKSLGLYEAEQSPDE SDPKTYIKAHIRNQLSFDLWYIFLGTFIICIGEAGRIMDESEPAFSVFPVIFECVSAY GNVGLSLGHPAVYTSFSGQFTTFSKVVLCLMMIRGRHRMLPYALDRAIMLPSDSQLTE GFDIPSESDDEATAPEEPANCSLQAGTANPHARSLHGPKPPSNHMRSILMPRLLERQG IRWMVMAAS
SAPIO_CDS9196	MARRIRFSVAQPITIVGWYISAICLASLNATAAGPLVIEPQDEY VLSQAFWYGIWAAILYLVVASLMVITVYGAYTGHYAKDFMLTMSQRTLMLQTIMFLLY LLVGALVFSTIEGWPYLDAVYWANVTLFTVGFGDFAATTTLGRALLIPYALVGVISLG LVIGSIRSMVIDGGRHRVNARLVEKKRRKIVKQVVRKGEHRTLSALQDEDYANATPEE KKMAEYRRRWEEFNLMRRILTEASVMRRWLALGVSAGSWLVLWLVGAKVFQEFERPYQ NWTYFDGFYFTFVTLTTIGYGDRTPVSNGGKSFFVFWALLALPTMTVLISTAGNTVVK VVRDATLQLGNITILPSDRGFKRDIQRIINKLYTVLLFVKHFRGAPPGFFSNALPVDL GDDDRTTDQEENDEEGWQREQQNACDPTMNGIALRSHLPATRDATQPLPCNPADYRFV LITEIAKVMNDHLQDTNKCYSFAEWAWYLKLIGEDESSPETHATHPHNQQQQAPCQGP SAGKMVEAAEKWSWVGIRSPLVSGIGEYVNATKEFNSIVNGAFDGKVITDKRNSWFKR DGGPSRVLVSWPGSFYRRADILKDPRWEDFIFERRRGAEANRHEYFGNGYTERERVRD EVDLTSYLKVVKEEDLYTLHEYKTQTSNWCIRPFCDDNV
SAPIO_CDS9197	MTMDLASSSAEAAAWKHRISTRGAHGLLYGDVWGPREKSMGNPW SPTNPDGTVILRLAENSLMHQEIGSFIKEQVWHSDTGPRGSRRLRHAAAAFWTEEFKP SIPIGVDNIFVTPGLASAIDALTWAICDEGDGILIPQPLYNGFVIDVFNRSKARVIGI PYRGVEGYSTLDDLFGPEVNERAVEAAIRKAKDNDIRIRALLISHPHNPLGRCYPPET LTAFASVCGQHGLHFISDEIYAKSVFRNLDTPGAVQFVSTLSLDLEGVIDPACHHVLY GASKDFCANGLRLGLVYTQNEGIMGALSSISMFSWSTHLIQDMWAAMFRDRQWLQSFM KKKLELMTENYSIAVSFFREHGIPYFDA
SAPIO_CDS9201	MSLTSSVNGSQQPASAPTATPGSSASYSTHAPLVQEPVIHDIFE KKTSTWQYVVADPNTLKAVIIDPVLDYDPATLTVSTESADALLALVAEKGYKVERILE THAHADHVTAASYLQRRLEKQQGHRPLVGIGKRIVGVQELFSQRYGVPEEEFRTVFDV FFDDDEVFDIGELKATAIHLPGHTPDHLGYKIGDNVFCGDSVFHTDIGTARCDFPGGS ADNLFLSAQKLLSLPDHVKIWTGHDYPANDRKDPVAWQYVKDHKQENKHIKEGTTLDD FVAMRKERDSTLGEPRLLHQSLQMNIRGGQLPQKTASVHRLINVPLKLKNVEW
SAPIO_CDS9206	MRDDSRIFIYEYDSAAAFGKDRSTFVDKAKMLLEELAIQRKEAD TRPLILLGHSLGGLLIKQALFLAYCRRSVRADGTPGKEEGWKSILTSLSGLVFFATPH SGGRRSLVSLGRITSKLATILGIKKGDDLFDVLDEDGIFSSIMQEVWQPYMVECKILS FWGTFDQVVSRNSAQLGLPSYHEKVVFLRADHRRVCKFGSSTDDRNNFNLVRSNIEEL YERACIRATLEEQSRRESLQPRDNIFKESFHRDLHLGKANFFQISVGREACLKEMHEV LRSGRGIQTSRKTLTLHGMGGVGKTHVAIQYAREHQNSFTSVFFLDGSSEDSLVQSFA NVHRCIGERRLVENPLSPHVSHAELNPRDLALEALEWFSLAGNTEWLLIYDNVDLGPE DPGGYRLVDYVPSKDTGSIIVTSRLSTPPIAGHTLRLTLLAPAESSQLLFKTMSRPPN NPDTQEGGKETEKLLRELDGLPLAITQTGNLLKNLNMDISKYNALYEESKQTMIDLLK PKILLPCEEDTKSSVGTTWLTSLRILRSRGASNYHNQGGQYQLADKLLHLLVYFDPYD IRYEFIEKAQLSDHVPAWFHRIIRSEAEFLTIMQILMHLSLI
SAPIO_CDS9207	MPGQGLPSLLSLHSSDSPRDAPVTSNDESDENPRPGERGRGHEQ VSEVPRHRPNLDGRNPDVMYDLQWDTKDIIAFDPPASPNGEPSPVDPRMLELLRSEIE SPTTENGVAHVASGVRQPKTNAPLPERLAYVLESIHRSGFDGPESVISDYYTSDFRLF PRLASSQHLSRNRKLPGILSDLRTSAPSWTEWEAQGYKGEIIRSAESILAAERKKLVA STTLQQFIDKHEKCDGPENGKEDEGLQAAFIIAIFQREVRFLGKRLSRKKNFS
SAPIO_CDS9208	MHSTIVEQPERELARFLLVGVGPHAKRIYIPHLKTLEAEGRAKL VCAVDIEQNTEQITELRNKVFPGTELFFVPFFSGPMPSNVSVMLKNLAVRLRIDCVVI STEPLAHKAYGLWAISQGLHVIMDKPISTRKETVYNLDQALGIADDYEDLLNAYNELQ KRKRTFFLITSHRRYHPGMYRTFDMIQEITEKSGAPVTNIISTHCDGMWRLPTEIIDQ KYHTFNNGYGKVSHSGYHFLDMAYRYVKAGWTADKKPDRIEVVTSFMTPAGFLKTFNH NDYMNAFGRKEYGDSCKYADCYIEKLSPTLGEIDAALQISFIQDNEPICLAQVNLQHN GFTRRSWVTPGQDLYKGIGRVKHEFHEIKSGPMQTIVIDSRQANDKHDRSKPSTAKIG TDNHFEVHVFRNCDLLDEPEPLVSYSVADLDRHYNSKLPGIYSENVKRGILWEALDFI EGKKPFEDLLSNLEDHSVPANVMSAVYVSHIRRTQGLNPVVNIDLTYDGVVGPNRGSA RASIPRDGPALTHTSTTPNGGNISETAFGNGDKTKSANGVSNSKTGLDQRADSDKTAA ALLEKLNGTSPLPPRQISAEVKG
SAPIO_CDS9209	MRTVGEREEREERSKTRELLWEFSWHLSKSHITENAWQSLDIIF KKVSSASEAEIPENSIGDFESAYVIYLDDGSPGKAESFGSPKAFQFFLQCLRATVAST TGFGRLAKLIVPLQEGHIVRSDIIPLRLRDSRFVQTAVSFAEPHQSYIGSHISESNNL GALFAASTAGLILCDDADGSSDSGLDALSLSVESELDNRLSFPWISPDKIRRRTLVLV EGSRAHPDNGGTGPGIYLAAIALGINMVVLDNAGHWLEGPEYAHWREAFIPTRLTDPP EADFGDRIVKAVKSYGKPVDGIVTFCDSYVVQVAQAAQQLGLETVSPDALRIATDKYQ TSVFAGHQAYLASSADEALEIAGKKQLPYPLIIKPCNGWSSEGVFRVDSLDNLAEAVK SIDTSRHGSEFVIEKYCSGPEVDANFVLLDGEILFFEACDDFPKTADSNGPDATGSLS TFVELNSVYPSALPSQEINTLRDSFLDTLLKLGLRNGVMHLEGRVEHSSVDYYTTEDG LLDLHARRVEASANGEPPAAWLIEINPRPLGMTGAHIIESTYGIDYWGLAMLIAVGDK SRARSLSRPFKHGPQYTCVMVFISADYPPSRQGIFDSDDICAELKVRRPDLARQISRC ACLVRRGQKVPHPSSGVNTFLAYFNVFSRTSRKEALDFARQVREEVRFSFV
SAPIO_CDS9210	MASQLEAPAEDSEGAILDRQLNGLEGEEARSKNVFAYATFEDKA ILSFSSICAIIAGALNPLVPVIYGLLVGVFNGFAAGTVTAAELRSKISTFSLYYVYLS LALFVLTYVSTVGFYYSGERIARALRTAYLSAIMRQNMAFFDLLGPGEITNRIMSDMG TVQEAITSKVSVTVSAVAQFCAAFVIIFILYWKTALIISPFFVTMTAVGSVGGAYAIK HHRRAMEIYGQASGIAEEAIGAVRHVTAFGIQPLLSRRYLSMLDEAAKADAKGENIVS AIIAWSNAMPCLIYALAFWGGAKFLVKGEVSVSELTATTLTVVIGAFAIARIAPSAQA LTSGIAIAGAVLKTIARRSPQDPLLATGEEPEAVVGDIRLEGVGLVYPSRSHVKVLKD VTLSCPAMKKTAIVGPSGSGKSSLVGLIERFYEPTSGRVLLDGRDIQGLNLRWLRRQI SLVDQNPVLFNASILENIQYGSPEALSQSSRARTQVPEQVIEAAKKANAHDFITMLPD GYDTQVGEKGLQLSGGQRQRIAIARALVKDPKILLLDEATSALESKSEALIQAALDAA AEHRTTLVIAHRLSTIRNADNIIVLSEGQVVEQGKHEDLIARDGLYAALVRKQQIEET KGKEIAEERTFIDEAEKDSPSVSISHMDEKIQADEHVHHSTTMVPAEKAGETLGRPSA RQVLAFIGRNSKVDWKVLLLGLLCSILAGLGIPVQSVFFAKLLTALGLPPPQYADLKS EVAFWSGLYVAIAATTFLFWMGVGITLSYATQKLTRRVREACFKSIMTQDIAFFDEAG NAPSALSSVLSKSTNDLAGIGGPVLGGILTFFSTIVASIILSLAIGWKLALVCTATIP VVVACGWLRLQMLSAFDAKIRQSGLDSAAYSGELVRSIRTVASLGLEEFALERYDGFL AQQAAKSLRSILSASSLYAASQSLVYLCSALAFWYGGTLIANEEYTVFQFYICFVSLI SGAQIAGSIFTFTPDASKAIHATGDLQRILNANPSINKTSWSRPAAAQEEKEKAGQED SRACQVQFESVSFSYPSRPNRRALDNFNVLIKPGQTLALVGHSGSGKSTCISLLERFY DPDHGRILVDGRDVRNNDVDKYRRTIALVSQETFVFSGTLRENVAIGRAGEDVSDDDT LAACRQANILEFIESLPEGLSTLVGTGGCMLSGGQKQRIAIARAFLRKPKMLLLDEAT SALDTESESAVQAALDAVRKGRTTIVVAHQLRTVVNADVICVLQDGALQEMGNHEELM ERRGKYWEMVGMQSLH
SAPIO_CDS9211	MGSIFQVVQQNGTNKNRSTASKILHPNIQTPNPKVVSAKGNYLI LENGQKVLDASAGPGVACIGHGNTEVRDAVVAQMDRLSYCHSLSFANQAAEDLAEEVV NSTNGVMARATIVSSGSEAVEAALKLARQYFVEKGEPERVHFIARKGAFHGTTLSSLA LTAKPAVRRPFDAIMLNDNASFVSTPNAYRGMYPGETELDYVARLAEELDAEFERVGP GRVCAFVAETVAGTSLGCLNPPPGYWQAVRAICDKHSALLILDEVFCGMGRTGSTHAW EQEDLHPDIQTVAKGLAAGYAPIAMLLMSQRIVDGIQAGSGFFNHGHTYSSHAVACAT ALAVQRIVKRDNLLQNVQTTGDRLGRGLQAALGRHPHVGDIRGRGLMWAVEFVKDRGT KEPFPLKERVAGRIKGAALKEPWSTALNAGMGTADTIAGDHITITPPYNIAEADVDLI VSRVKGAVEEVLGSEL
SAPIO_CDS9212	MPYTTECRKYLTSLGLGYALVSDLLLREHHVVIASTRHPTIPNA LELLPRHPSSSIVHLTAEETSPSSDASAADAAAGATKLLASLSLAGHPQIDHIDVVVA NAGTSGIRQSILETSHANLLECLQVNALAPLRLLQTAWPLLQRAKTPKFIFIGSVAGS VSGVDETGGWSNAVYGASKAAGHYLVRKAGKELEGHLAVGVIHPGWVQSETGNKRAVA QGLEKAPVTLKASVEGILDEIARMAPGKDAGFRTFDHGNIAW
SAPIO_CDS9213	MDQFKTAKLNINLLTGALSILLASYACYLLFLVVYNAFFHPLAR FPGPFWWGATPIPYVWYQLTGRLPFVFADLHDKYGNVVRVLPYMLSFRDADAWRDIYQ IRPKQKLLTKDPYAMSPGSEGAYNIITSFEPAEHARFRRRLNPAFSTRALQEQEPLIM AHVGELIEKLRNNEGPQDMVKWPAMLYFDITADLIFGRQLGSVQNGRPHPWLDGLFGS TMRLITYFNAARQFPHLTSCIKPFFPKALTDQQVKHASFTRESVGARLQVQEDKPPTG RDFMSYILPYDEATTQMSMAEVRATYGTLMIAGSENVSTTASFTIYHLLKNPTALRKA TEEVRSACQDDKDITFVSVGAMKYLTGVVNESMRLHPAAPTTQSRVVPEGGIVISGIM IPEGTRVGVPPYAVNHHPDFFQDPEAFLPERWTGDPNFASDQRRAFHPFQLGPRACAG KRYRS
SAPIO_CDS9214	MIPARATQLARGCLASQRRLCTSKTISGLRQFSATAAARQSITL QVTGRGSGTQQTVSVKDKPYTFPTDTYAVLGGKDEAPSPVAYSLASLGSCNQVTGFVV AKDHGIKLGEWEVTVQGVLPTAVLATGAQGNPNWESVTLKVKVQTDITGGNEDPKFKH FVSEVDRRCPISQLFKRSGVVFNKVWQNSSWEGVLGFPSGYYEDTDEDEDQDKVEDEK EENDEDSDGEQPDNEEWLGCSKAWAVADFFNLLQNLFRDLKFVPTDSLNVRDVFTIYP PSFDGVLPMIQEIHCQHGWPDL
SAPIO_CDS9215	MASISKLPVARWTRIAASVRLYRSSQAVSVIHQKAYIFGGELLP REPVDNRIDIVELAEGKGFGVQTLPEPSEGPTPRVGTPSTTIGQGIYLFSGRGGIEMK PIEENGSVWRYDVSTAAWELITPADPSAPFPAGRSYHCISSNGSDTVYIHSGCPEQGR LSDLWAFDISNRTWRELPSAPAPPRGGASIAFANEKLYRINGFDGKTEQGGSIDVFDV AASAWSSINYKPDGTDGPEPRSVSILVPVVVQGRVYLVTMFGERDPSSLGHAGAGKML SDAWAFDIEKAVWQKLEMGKDGPVARGWFDADVAKDEEGSDTIVIHGGLGEDNKRLGD VWTLSFSGN
SAPIO_CDS9216	MTTSIKASVLLGLTAPFFASALATPRTPPCKRATKLEWGPCNIE TQGLPVTCAKLAVPLDYTDKSSNQTLELDLIKYPAQNGPSKGTILLNFGGPGQDGLNS MISYAPIQSAGTGNTLRFACFEPETLGATFAQGLPDASDVSPGRVWAEASILAKTCGE TQKTNGGLVGMAFTVRDMIQIVDALGEDGLRYWGISGGTALGATVAAMFPDRVDKIIL DGVMNSHEYYHSSGEPEMLSSSDATFEAFLEACFEEQEKCPLARQFDSAEALKEGLAT FFEDLKYNPIPLYPPAPIPPFVIDYSILNQLVLSTLYRPGQYQNLSIALTGLLQGDGA PAVDIFLSPDAPSIPQEAEAILGIRCGDKIPRASSLVELDPIEEQFHETSKWFPGFGR GWYVYACAQWPFEAKERYEGDFHVKTKNPILFIGNTYDPITPLRSAQNMSSGFEGSVV LQHNGFGHLSLTQPSKCTNEIIQNFFDNGELPKPGTVCEPDLPLFANDPKV
SAPIO_CDS9217	MFEQRQQTRQDDLFQPPVQLDLLRPEPALQSQDPYDHDAGNPPE GTQTFSPRTAASFPKAKFLASFWAFVATYNIGTLFVAVVYLVNFSGWLVAAFTVAYVA TRLGMGGTFIVGVACQLVAYALNFWKPPFPLFACSFFFSGLGVAFQGAQVNTFVAGLD NAHRWLGLVHAAFGLGAFITPLAATALASRTAHWHYYYLVLLGCSFANLTLQFWAFRK ELFKPTGISASGATRQLKKALSQRSVWMLSLFFFLYVGGEVTVGGWVVSFLISVRNGV PSKVGYVASGFWGGLALGRIVLADITSKLGERNMIFVYILIGLIMQLMFWFIPDVVAN AIVVSLLGFIIGPFFPAGVSVITKLLPKDLHIASIGFSSSFGQAGSAAFPFLTGAIAS KAGVVVLQPMMVGLLIGMFIFWALIPGVWRPAE
SAPIO_CDS9218	MSATKIRGITVITTLAGVSFLNTMGSGILIAALPRISRDVGLPQ ALILWPAVVYALAAGCLLLIFGAVADVIGAKLMWIVGSFLYCGFTVAVGLSQTGIQII LFRTFLGIAIAMCLPTTMGLISHTFPKASIWSLPAVHHRTEKKWTRRLAEDIDWVGAV LVKHSRPALIPNKLWRNPAFTSICVSVFFCWASLNGIEYFCTLYFQEVESITALQSSL RFIPHPIVGTIVNIATAYLISRVKVQTLGVVSAVVTMIAPILMATINVGENYWFAPFW ALVLSPVNPDVLFTASNLVISDAFPSHVQSLAGGVFNEVAQFGNSVGLAVTAAIAASV TEHSSPGGDDKAALMKGYRAAFWTIFASTAVVVVVTFFGLKRGGTVGKKDD
SAPIO_CDS9220	MLDHLSTHLNVPLSIVLIGLIFVLKRLYFHPLSKFPGPTLPSLS SFYQFYIFWTRREGDWYSELHEKYDHAAAKRRFGHAYSLPRVRTLESAFDDQIAKFIS NLSVAANETPVVNVGLWIMYFSFDIITAVALGTPVGFMDAKGDVRDLIANMEETAGFQ QRLSLYPPIGAFARNNPLGRRLFVSTVDDQRGLGKFMAEIRTVVEQRQSGSGKPQYAN SLLDQWLASAGTDGQGIPRQEIEDELLMGMMAGPDSIALMTTNLIFLIANDPSVFSRA QAEIDNAYTQGRLSGPVPTYDECRNLPFVTACVNEGLRYIASTFPRRRSSTRPLLLDG KYVPAGTSISSSAASIGRHPAIYGDQADQFLPDRWLDASPEQLQEWARLDVHWGVGVR KCLGKHVGLMALYKTIIMLIRAFDLRLEASTSINRWSYPSSEKLLITQRIN
SAPIO_CDS9221	MDEPTNMLLHEIFQNQARKSPESCALEHPHGRLTYRELNEQSNS LSWYLRETLSPGRGVVALSLEKSPLLVIAVLAVLKAGMIWIPLPDDASADRIQYILQS SNAGLLLGSASSSALHAGADIPFTSLDKLLQHGQLRAYSTEDPDHATIDAGRSEHDLC HILFTSGSTGTPKGVMIEHRAVRHNALELTKMFGLDSQTRTLQFAAPTFDIFGLDLFM TFACGGCLIMAPREAMMEDMTAFLKRKRVTYAQLTPTVIQTIDPSGVPDLRVLASSGE ILPQQLADKWRHAVHLFNAYGPTETIVCTVEDLSTNTDIDAGCVGRAVPGLDVRILAD GETRSVSAGEVGEICVAGPQILRGYLSKSAATEERTLSIDGVRFYRTGDLGFSHVSPT GVSTIKLIGRKDTEVKIRGIRLDLADVEASIISCSDARKCVVLMPRQGLSSGRLCAFL VLDADSNNTLLPPNKPRFRLLEPSREISSVLHRVQSTTSAQLPPMAIPKTWWPIDEIP LTSSGKIDRLHLQSWLEELGEDMFRTLIDQYRAVSQDDRRGAGSAEERCLQSVWAEVL LRSVATIEVTDSLADLGADSLDVIRMANGARKANIPVNAADIFAAKTIQEVVRRHVAS GLTVSEAQSLSPAPYRPFELTPPGRPLAPLIEEAASSCGVALASVEDIYPCTPYQSAL MALDIKCPGSYLCIFSWTLLRDIEISRLQRAWNDMLDFNPALRNRLIWDASAQQLLQV QVRRDHRDWSESLYEAPMTLGSDLCRGLARWDEKRGRWTFHLKIHHSIIDGWSLSLML NQLKKLYFDEPVNAADSPPYAHYVRHYLTEQQRLEKSSHEFWTDYLQGFGESGSDSFL SKPQDSHRQVHATDHQTYMVTLDLKELATRFGVTPAVILYGITALIFATHSDVDDVSL GLIRAGREAPVDGVYQMIGPVFACVPFRTEVDRQRSLADFFQQIHAQILAIGPHQQFG LERIKNCGPGAKAACNLETLVVVQPEDERLAGTGLWEEVHGQTSGLADSIPFSLELIP GDKGVLINCNSDPACIVRQHAQIIMEHLNQALLSLTNLSAKATVQEIQMTPENHSSRL RQWADAYGPPVDVCLHNLLEMSANKFADSNAVYQSSTGKTLSFKELNDASTRLAAFLL QSCHVPEGAVVPIAMEKSALAVVAIFAILKTGSAYLPIDPSWPLERVRRIVDDAGASA LICSTSLKSQYESLGKQLIALDETHLNPRDSGTRTSSVKITRKGRPSDLAVLMYTSGS TGTPKGVMLEHRAMSTSLTHLARVFGLQPGYRHLQFSAFVYDVSISDIFIPLISGACI CIPTEDERLNGLSEAVRKMKINSAILTPSMAGLIRLEDGESLKTIMTGGEMTNRGLIR TWAPRIRLLNAYGPTEAAITTTVTEPQDIDSDPGVIGRNVTGWHLILRRDDSGRLYEA PFGCAGEIAIAGHSLARGYLNNPSLTAQQFVDLPLLEGSPLPSRVYLTGDIGRYELDG SVRILGRRDRMIKINGIRVDPGEAEHRLRKLGDSFASAVVQCIVDQNGISKLAAFVPA SAVPESKERLILVDSWTSEFRKMCGDGRRQLLELLPRAYVPSLFIPVSYIPSTMSDKV DYKRLRDELRKVPLENLAMSDDEEAEVEDDTEAIEPSTPMERIMSAVFKKVFPTGGEF GVTADFFRLGGDSFSAMKLVSAAKEHNLEITVQQIYRHPRLKDLAAVARYKLGKNGNG DKRSSVDGQTQPANMTRVLVSEDVWNEVAEQFGLGLDEIEDVYPATPFQQGLMAVSMQ GKGTYSAKMAFELGESVDVSRLTRAVEGVVLDTPILRTSLVSSTQGLMQVVRKGSFST SDAKTTGPFQYQIDTTSAPGRPRLRIEMHHSLYDGPTIEILLRDVNSRYAHPGYAANS AVPYRKFVDYLESTDSEAAREFWEKTLHDAPLATFPPCEDEARVGATQHVRLTTTITL EATKKCGISAGTLVAGATALLLGAYSFADQVCFGMTFSGRDIPELENIAGPTLSTVPI CVAILREQEASGFLEQVQNLVVDMRQHQHYGLQNIAQLPAQGPRNAARFRTLLVLQQG SDELASTEQGSDIIGNLIDDESSMHVEYPLVIIAHANPATGSVDLKVEFDPACLSSVE ATRFIQQLSQAIAELSQPHRAVSKLNLITAFDKEQISMWNPKPPKAPGRNICQMFQEK ALRQPDSPAVESLMGRDGDAPRRQLTYRQLDTESTMLSGAIQRHAWDKRWVVLCHRDP ASVVMATLATWKAGKAVVTIDPTVPADRRHTLLAELGDALILTEASEVQTFVNFQVHV LDGMLHDVDGMPNQSSSVISEVPVSSDIAYVFFTSGSSGTPKGVVVPHSAIALSLSDV SENMGLNTNTRMLQFASCSFDTSLMEIFATLITGGCVCIPTRNQRIDGTLGHAANSLQ VTHMILTPTVASLLQPAQLPSVQCLMLVGEPPSTQVIEKWTSRDVSRRVQIMNGYGPT EAAVHSSTNFDLSEIGPRNIGKATACAMFLVLPDDPNRLAPVGTVGELVICGETVSGG YLNQPDLTRHVFGVNPPWAPWPGLTSPVRYYQTGDLACYCHDGSMVYLGRKDLQAKIH GQRLDVSEIEWHIRRCGGVSDCVVEVLQESTLVAFILMSQGSTEPYAGPLAPSQIDAS AVTESQSRLRSVLPDYMIPSVYVPCSSWPMTTSGKTDRRRLRASVESSIDQYRIRQKL QRRPAQTQSQKKLFDSIEEVMSIPQDQISLDDSVLSLGGDSIIMIRLLAVARQRKLNI DATKAFRSTTLENLAASAEGPPTKASPVAVNGHHGPLAPFSLLPASSKDPHQLSRMAA ACNVPVDRIIDAYPCTAIQEDLMVASAKTPGAFLNQEVFRLPAQVSVSKVSRSIDALW KRHDILRARIILDQDYRALQVVLREDAAPQETSTDDLQQFLLRDSLVPLEYGTRLSRC ALLSAQGNTYLVLTQHHAVFDGWSMNILKDDLRALYFEGDSNFTLTQPYASFVRHSLQ IYNCPDARQYWQNQLADAQTAPLPQAQSSMDSSTNQKYAFTTASVNNTDYSLAVLAEA AWGTLLGRYLDCEDVLFGVIRSGRTVPIPGIDTLMGPTIASIPRRLRPTKEQSVQAYL QQVQREIFEATQWEQYGLSNIRKVSKSASQACKFHSMLVVQPPAANETADNPAALLTS QAELAKGFINSDCLIVDVQATAKDEITVSIIYDDRVTSKDDAHWMAYHFSQTLAQLSS SGAVKLGNLRISGSDRLSQEQEWNSTDIEASHRLVDEIFTTNVSQWSGLPAVHGPDAS LTYAQLDALSSAGAQILRSELGVRRGDLVPLLMTKSSVMIASMLAILKAGAAYVPLPT DAPRERLHFLIKETEARIVLCTFDHYELAQSLEIEAAFWDVEQLYESAPSTSGETENA LMGSSHGDSRSPSDLAYILFTSGSTGTPKGVMIEHSALATTALVNGIKMNYQVGTRTL SFAAYTFDNLLGSPSLVPSLKTLRSGGEPLSKSILREWSPSVCLINSYGPTETCVDAC RNPDMKTDCDPNNIGFAIGTHLWVVERGNYESLAPVGCPGELLISGPTLARGYFKDEA RTRACFIDGIQYQWVRPGEERLYATGDIVTRNADGSITYIGRRDLQVKLNGFRIELGE VEYNLEQCPAVVSAVVDKVASREGGSDQLVAFLRITQQAQSDNGSPLLSPSGDICDII EGVQARIDGVLPPYMVPRVYLPLARIPLTLSNKTDRKALKTLWEKLTPEQILPYQAAP AVLRQPASVTERILQGLWAQVLKIDPKLIGLDTQFTRVGGDSLNAIGLASLCRELGFK FEVADILRIPQLEAMARHVEENRRVAKLENQNGNIKTAITNDAPLTEKLRLQAAEACG LSPDDIEEVYPCAPIQESLMASTLRQPGSYIDHSKFTIPQSADISRFRNAWQLVHEAN PIMRTRICAVSTPKGMRLMQVVTNCPSEWIDDDSEPDSAMRMELGAPLVRYRLRPSGE RFVFETWRHHAVFDGFSSAALWDDLQHAFETAILPRARPPYRAFVDFIQRIESSEAIS FWEDQLNGHQPEPFPVLPSPDYVPQASSKTSQTLSGSLIWDSTSPFTFSTIVRAAWAV ILAMRSRSSGIEKDVCFATTLSGRTAPLAGIDAIIGPTIATVPVRIQFDVDADVTSLL QKVQDQALDMLPFEHLGLGRIREINQSARDACASANLLVIQPDQIGSDSLPLGLQRLT DSEIQDDFKEPFGLVVECVQNKAKDEISVSAAYDEVLLSKLEVTHILEHFQQMISALH QYAGGQGSVQSVLWQLALGADLQRVVEWNSRDQGAPMQLHDLVERAAEKYPDQLAIDC HDGQMSYTKLVEAADRLACILQRDYGVRPGDFVPICTEKSQLMIIGILGIMKAGAGYV PLDTNHPDARMQWIINEVNARVVVASPLQEDRRQFPVQKLVLTTERLSLATNTYREQL RKSSPNDVAYVIFTSGTSGTPKGVVMQHKAASWSILEHARRYRHDNTRHSLQFSSYVF DASVVDIFAVLASGGCVHVPSETLGVGDLEDYIRENGINFADLTPTVANLLDPAQVPS LTTLAIGGEMANRELLRKWGGKDSPVKYMVNAYGPTEAGIACAMGELTQASAVGDVGT PLAAGLWIVDARDHNRLVPIGCVGELIVTGETLAQGYLNRAEETRRAFLTDVPWLANT GERRLYKTGDLARFNINGHVELVGRREDTQVKFRGLRIELGEIETAIEALPQWASRLK RVAVAMIQRDEIPILASFIQFSANDTYHTPRKEGSIFCQPSDGFKAFVADVKKCIDKQ LPSYMVPQLWLPVSDWPISASSKTNRKLLTLSAENLDRSQVDEYQRVVAINSTANGRI NGTNGKAPSAAAADQVMGNAEGGVKEAIIQVLHKDPDCKIEPETDFFQLGGDSLTAIM LVIALRKRGIHVSAHDIFAERSVRGIAGRCCLLGTTDSTATNGNGLTRSLVSEPVESD GDSGTANSDFKQLVNWVERAKFEDAYPASDTQTTFLIEGLKWPRSYYGWFFFELSDCV GIDEVREACCALVARHSILRTSFHLKGGKCFQVVHAPSPSIDSKVLVCRNVPSEQCDI IDREVLHPVKFGEILTRFRLFIDPVSGARTLMLGLSHAQYDGFCLATIFNDLRLAYLG ILNQATKPPGLRPFIEYSLKISEEETDNFWRKTIECSPMNTICDRVPTTRQPVMSESI MRTIPFKYKDRELSNYNYGALVKSAWALTLNFLTHSHLPDCSYVTFWNLVSGRFAPFG GAQDVVGPCINFIPTRIPIIPDKVVSDLVEDMQSQMIDCMPYEATPTSRIIKQSVWSN SLANFGTIFQYQNIPDPKPPSDDSHLPWSVKGGAVYGGGLLQSGACWMMAWPNKEGFS SFRFTYSPETLSPAGAEAVMTIYLDFLRDMNDDPSGYIRALEPSLKRLKLVDPPSTSE IPSPARVETPPALQSLESQVKAFWKQLLNPPRDIHSNDSFFNMGGDSIKAAELGMLCE KAGLQLTLQDILDFPTLGMQTLAIAGQVSRPERTVPKLQFRAAHELS
SAPIO_CDS9222	MELTDTHLCQADTKTALLTSTTPLDEETLTNAHATSSTTGEENL PLGQAIRRYPKVVGYCLALTIIVVGWGYDLVLIGAIVAVDPFQRDYGEIFEGKQIIPY LWLSLWNAANPMGMAIGSLFGGWLQDRIGRRLSLMVGSFICAAGVTVIFFSHLATTIT AMRAVFFIGKVVQGVSIGSLKITALAYMSEISPTALRGSIMALIPTGNLLGQLLGAIV AYVINDVEGNKGYLAAFGSQWVLAVVPFVLFFFIPESPVYLEEKCRSELALKSATRLY APKADAITALDAIRHNIIEEKAASADASYITCFRGANARRTWIVILANLLPALFGLDL LGKSSYFLQVIGMDSGRSLMILIGGIVAGTVANGIGIWILSRVGRRKVTIISLGGAAI LWGSAGVSGFWNTPAVPYVVAGLLIAVIVACGMGCWPAAYAIMGEASSLRLRAKTQGL GGVAQQASSVAMSFAIPYAFNPDAGDLGAKSAFVYTGTCLIAVALCWFALPEMKGRTP AEIDTMFSIRLPTRKFKGWRGDSFSKMANLPTLVFIPGSWHKPICYDKIIKLLREKHK LRCVAITLPSTTGNPDATFKDDIDAARAAISSETSRGRDVVVIAHSFGGMIGNSAVKG FTRPKDTPASSAAPTSGSNSAQQVEQSQSPPTTGYVVGIILIASGYTMTGVAFMDPAF GHPPPAWRVNKETGFADIVTPPRELFYHDLPDDEANYWVSQLTPQSLKTLFEGREYAY AGWLDVPSWYIGTIEDRGLPVVLQRVQLAMAREMGGKIVHREMQTSHSPFLSQPEQTV GLIVDAVEAFTGETVAKEPAGVRNEVALPEIRVLQPLTWFKYGLPYFFGRIIGRSILI VGWVRRLFRSA
SAPIO_CDS9223	MGYGFHEDITERELARLVATGIPTAIILDSGSTDSGPEKLALGS MTCPESAYVEDLTKLLRLVHTYRVPLIFSSAGGDGSDEHVRLMGQIVDRIAVENECYC FKTISIFSSIDKAIIRERLDTGKITGCGTCVPALTEADIETSPRVVAQIGPEPFLDVM EADPDFDVIIGGRAYDPAPYVAYSLFQLKRQYPNLNAEEIHSRYGGFLHMGKIMECGG LCSTPKSHGAVATVYPTGVFDVRPTAPESRCTPYSVAAHALYENGRPDILRGPGGALH LNESKYEQLEDQRTVRVRGSQFRSLQSQGLPYQFKLEAARVVGYRTIFLGSVRDYILI NQIDKLLANVRKYVSQQHPDIIGEWDLDFHLYGKGQRTADGPGEVFVVAEAVAPTQQL ATSIAAKARVGMIHGPYPGQKATAGNFAFGIGGKMEIETGPCAKFSVYHLMDLQPGEE RLKATKAAAHRPLIHCSVAIIGKGRRVPSDEDFRAGIARRLESLSNPPPIPVKKQLAS DLIVSRNSPRTLSDLTYVFRSKNAGPYEITIDALFTSEEAYQTVKNSSLLSPENVAKA LGIPTKDIIWLGFMDAALAFKVTIPRVRCGRKAPAGSFMENDVHGSQQHLGLANLKLH PQTMSEPSRNSVLGVSRNKLLLPLWGLTVLAAAKAFPSWRKWFGW
SAPIO_CDS9224	MASKANNSAGLRAVRSCTESKDPEAQSVLAPVRFQDKVSQSLHE MKLEAMSRELEELRSKRDKNESATKTESGFETSPYADESVSPESSPNDFELSVETVVL FDTFIESSFAIDCFQGFAELFLPQFPLISPISIEHIYTHQPFLFWTVLIIVCSHLPGS PYDTLFAKLHNPYRRLLNQEILDTPLPLHKIQALLFLCVWPLPVDSQPKDPSWLYVGI AIQAARFMGLDREQPTPSLRSVGVASGTATARMNTWIGCFYVGTALALHLGLRPPIDS ELDFVTIQAYLDKKIVSHRFAAHVKIQIIVSKFSNLLVHDVDEATSTSLMRLMDTELD SLNSSLTEEDNEDGYKLERHILVVKLHFYAFLITKFRRESVAREIMLKTGLSTAIRII DISTQPLPGSGWGKGDPEWIRRRRTLPKHYYLGLAFATIFLIKFFHLNSAASKEERQS AACHIGLAQNVFKTCSIDPMDEYGRAARVFELLAQLTADRVDAAKVRLTNRMGVSIVL DAITTASEIRGQPTEIGENQTLDEVKSGISGQVGNIDPLQGVESFMNDQDFIGGFWDD PLMGMLDIQSILPFSE
SAPIO_CDS9225	MVKPRQGKYLLEGVFSIDGDYHHVALNSNFRTSQLSPDYVLPKI DSPHMIVWKESEVMNDGRMLPRSATNDSLCLSENYSLRQPSFPLDSRFVDKHTHDGLH AHLRRQNGWFDPVDVIGSTDGCPSERLVAMIGVATDCTYTAEFESVNDARENIISQIN VASQVYEDTFNIALAIRNLTISDASSTRPVHPMEGSIPGQQRRMDPVDRLQQWFNRWN SLDWQHLRFWVELLSQRQRSVHQRRRPNQC
SAPIO_CDS9227	MPKHVRVKTGCLKCRSRKKKCDETKPVCRGCERNFLECTWPNTN SHPVSIGLGGSSFQFRDRGSEGEHGQPSPQVPPDWQHDLISFPLASNSPLGQNPSPWD AAVFNLDLTTPASSHIIVDDHSDGSFIRCPTRSPQTIPDPALAGHVPDASANHALMVS QPLQALEDTPLGEPRASPSFFPNHMSSWADQDFHDFGHYLVKTSASMWSGFTSSNPFL TFLVPLALSDDLLLNLILTQSALHRAITAGVELATTAQKHYYKSLSLFRRAAYARQQI SGAFRDFIVEYYVYASTVAVLCMNPEGRAQFELPTAIKDEARRLAESRYTGNLCGCWL ELLLLIPDIIHFDAVLHADQEANGGVGFPHEVFANFAKLQVDVLSWRPTSEATPEQAT AGRLYQQALLLHLYTRLVESAPNAQSYYQGLVSAAVQEGLALLHKLPPGRPLDTILPW PIAVIGSCITDEDQYDVVQNRLIVMANTIGFRNIEGIMNALALLRGSNESGQGPAPNA DGGSPVDEWAPWATFLFTCL
SAPIO_CDS9228	MSSIKICDVSTTEGLTAIENPHEVVTRLVNEDKTRWYRKENLRR LYLFLVPSAVGIEMTSGFDASVLNRLQAVEKWNEFLQTGAKNRSSGIPFAITGASSLL AEISYPKERAVVVDLFHETWYAVRIIAAGITLGTFNLPNHWSWRIPTLLQIFPSALQA TFSVNGFSSFRFVPESPRWLIAKERYDEALKILATYHAEANTQRVFIAIGVGLFAQWS GNGLTSYYLAKILASIGITSRLAQSQINLGLMCWNLITGVAGSMVQH
SAPIO_CDS9229	MSIKTGEPLSSSSQELLQLEDQYSVGGFAPTPGFIVSGKGSTLI DVDGKEIIDFVTMFSATNIGHGHPKLIEAVVDTMRKGTYLQSPAKDLCQRFGYDKVTA MVSGAEAADTACKIARKWGITHKGISPEDVLVLGTSDNYHGLTSGIWPIMNPGSQAGY AAFNKTVTNRHPRTGELLRYGHVEDFEAVLSEFHGRVCAVIMECIHGKLPTFEEEIQF ATGVRKLCKKYNILFISDEIRMGCAKTGKFLCSDWMGPENKPDMITLGKSITGGAFPA SYVLGNNEAMSLVHAFQTGGTFTMAPAAIAATSAALKIYDEEKLAEKAFAIEAKWKET TSKWNYPWIKFVTSRGADMSIATEAKYGTVTPRRIARLAWQKGLFIYPTKAAIRVHMA LTISDEELEKGLKILTNVMDEIESYGEIPGSIHPSDEDKNGGY
SAPIO_CDS9231	MPAHRQFFANLDEICSAASFVTDRPKGSRHPRVPEAIYPVDYGF LQNTAGGDGEGIDVFCGSAKDSGVVGVFLTADVGKRDVEVKVILNCTQSEIAEIQHLL HDVLNIGGCLIPRYRSLKHQDQHGPYNHPDLPESLKGHPPNPKGLVLHHQITPVYPPV IVMTSLRVLICGAGIAGPALAFWLSKIGCAVTVIERTSTARHVGQQIDIRAQGVTVMR RMGIEAAVRARVVDERGTQIVDRNGKRKAFFAANKSGKGRQTISSEFEIMRRDLSVIL FDITKDKTKYVYGIRVQSFEQLGGDKGVHVKFSDGTEDIFDLVVGADGQNSELRKLLL GPDTPDPFYPSGTLMAWVTIPSVEGDPDTFTGCIAPGRRAIGTRVGRPGCQQLYLMAV ADDLPEGHPLKATLRNGSTVASQKKAWADYFEDAGWEASRFTRDMVSSPLADDWHACD VGQVRMDSWFKGRVALVGDAGFCPSPATGMGTACAFVGAYVLAGEIARTCGLTAEGNA DDVDVEKARQRVPAALQAYDQRLRPFITKVHKRAHRGKLPSSALGISFWYFALSCIET LKLDKIASRMLPDDVIGWNLPEYPELGTSR
SAPIO_CDS9232	MRVATILSFVGAASAHAIFQNVKVNGADQGLLYGIRAPSSNFPI EDVNDAAFACNKNLQYKDNNVITIPAGAQVGARYQHIIGGPQGSNDPDNPIASSHKGP IMVYLAKVDNAATAGTTGLSWFKVAEDGLTGTTWAVDRLIANDGWHYFTMPSCVAPGD YLMRVELLALHSATGRNGAQFYMECAQIRVTGSGTNTGAGNTVSFPGAYSATDPGILL SIYDATGKPTNGGRAYSIPGPRPITCSGGGDNGGGNPTPTTLSTAVTPTPTSGTGGGS GAALYGQCGGIGWTGPTTCAQGTCTKSNDWYSQCLP
SAPIO_CDS9233	MLETRGEVDLTPKQLRDLMIVMRTASTMSAIGVITIIAVFYMSR HFRNPMHRLIFINAFFNAFDVTCTMISTSGYKDGNSSALCQFQGFLNQMFPVADVLWT LVMAIDVYLIVFHRYDTDSLKRLEWKYMVGITIITFVPALVFLFIRTDEKGPMYGSVT LWCAIAPKWVLFRIVCYYGTIWSSILVTMVLYIMVGVEIAKRRLALRSLTTDLVQFDM DNTVLPKSDTTYDDSSIGVAVTVDVDVHAGPQRVYTPQSFDESLQPTSLCSVSSTTPT RHFIPQRSSLSFRQYVLMPLFFFLALLSVWVAPSTNRVAAFIDPTFGSYPLLLAVAIS GSLRGFWNGLVFITIGMKSWKRRKEEDEAELHARQTQRCSTELAEAINSMFTWYHKSV VCYAYLEDLSATSVKEDPSLLCRSRWFTRGWTLQELIAPALVVFFGSKWDELGSRDDL VAEISAGTGIDRDVFFDGKLSKYSVAQQYGLLLYEPMASADLGPRVTVTPPESLIAVL SCQDSTGKRITLALDHSEGSDQFHRTNAGLGLLLLRAEQWQRNTETKRILVKPYDPVN DFSLGGKLRPTFFGIRGLPGPESGYVLTHIFGNTTVEVEGTISAKLWNGNRVGVVYSH TNADSAFGIFLGETDFESAWEIVTGSQAAVEKKYYEFRYKDNRFLTSLASWKLPLGDS QSETLLQVTLETQRRHHGFSGMITIEERNGNDFTPQPPCTDEQLLRLAEMAMEAGVES IGFKHTRTSLDVRGTKFSISRNRKK
SAPIO_CDS9234	MLRFPPKIVNKLLRISDVYSKMDTGLPSRKRLRRTTRSCYQCRK RKVKCQLTDENVETCAECLKSGTQCTLRPLHAEPDSESPPQELEVRLERIESLLRKLV QTHEGSQLAAVPDLLWNEFLLHPPVDDGTLPPPPLVDDHGVPMPMPADETDAKQSLVA LLPSAQDAVAIVTNTTAWLWGPENPRGSVLTPNDTSQLLEHATISKGSGIHIAKTLLL FALYMQQLPANFDVQFLESQNVEGAIKLIVERVKLFIASHEEEVCSVDGSTARYDSDR ALLEQVIDSFDATGKMCRREHVARQISEILSSMLGIVDADSLDALFTASNPPDPDTSF NPGLEIEGTLGTARIGMEDILVSSIRPAIDAQSPAARLIDLCFPLPPLSHNARVFNNG ILALTIDGKIRHERTQFITSDGPILAQHDSHPELLSRIIPKTKKASGYSKSAVMLPVC VCVRLQYILRPHGEILHQDALKLRMTRDVGGQIPTNKCNDTGDTWVGQTLNEGFASNH SAGADNEHFHGE
SAPIO_CDS9236	MATQAGVTVEAIGKPFAVVGSVPKPTPGKKQVLVKSLVVSLNPI ENMQQKFGILVESWPAVLGSDFSGAVTEVGEDSTRLKKGDYVYGCALIGRNDYTPFQE TFIVDEDIVFKKGEKFSHEEASTIGAGLLTASLALLAGFDLSLPPPGTRSPGRDEWIV VLGGSGSVGQFGVQIPASHGAATFDGRASLDEQVAEIKKITGGSFARVFDASAHGYDV AIAALSSASTEPVKYFSSVDDWSPFKTPPSIKEYRTQLGRLGKFDDDLGSTISSQIAA WIPQLEGHFEAGTIKPLEYELVDGLGWDKLIAGIQQLEDGKVSKKLVVKVQEE
SAPIO_CDS9238	MATPQFTLYTDSASQWAYVAHLAIDEKGYAPSEYEAKQVGLLDG ENFSPSYVKINPNGTIPSLTSASLEKPLIESADIAKYVDANRPSGPSLFPTDPAQLAK VEELIAHVHQDKLSTNLVLLQACDAQEWEAKKASVVKDFLGNRQEKLVKYGAANPDVP LYAMRTPMNGHIHGLYTASEIGPEHEQFFKATEKGYKDYAAGLKELDSMLVLPFAAGD QVTAADLHIVPWLAHAMWAAGGKGVGEFEPLRTLIRKSAPNFDFGEKTKIWWANISAR ESFKKNYPNLH
SAPIO_CDS9239	MSTKTDITLYTAGTPNGIKVPILLAELGLEYKLHHMKLRENEQK EAWYLDNINPNGRIPAITDTYDDGKQIRVFESGAVMQYLVDRYDKDHKVSYPKDSREH WETTSWLMWQMGGLGPMQGQLEHFRRYAAEKIEYPINRYDNETRRLYRTMDTHLAKSP HGFLVGDRLTIADIACWGWVSSHNKDGVPLDEFPHLEKWLFKLLKRPGFEKGRNVPER HTAFDEITAWEEKHEM
SAPIO_CDS9240	MSAEKPKSKNPIKKLYDNGLNAAAGKWICAIVILGLSAAAVAKV KEGPVRPAAIVNLAFATSHFFLLGPPVDPISATNPRTPKWYIIILGISTAMWIVGPVL MFAMLYGNDKEGVSYLERRRLGGLVGEITSIARSGTESICIAAGCFGLSALILSLYQW YFVIAVHTKKFTPEQVKEGYDELVTNAKKK
SAPIO_CDS9242	MAPRIFQLAGSCNHYPWGKKGTDSLAARLCANTPDTGFEIDDGE VYSEMWFGDYPDFPAKWVESGVPLADILRTDPDGLLGKHVAQEFDGQLPFLPKCDPKR FTDPNHKPEIAIALSKFEVFAGWKPLSVISVLLNIPALRQFIPEGTTSWTNNTLREVV RGLLKADEKLVREVEENLKRHPRSELEHVHQQGYILDLLPRLQEQYGSTDPGSLVALL CMNYMVLEPGDALFIPVDGIHAYLSGDIIECMARSNNVLNSGFYPRADRDNIDLFVET LAFSSLSEKDITLRPKRCEDGAEGHTVIYEPPIREFSMLRADLGGNSEECIRGQEGPS VAIHEIHHPSTKGSTPLVERLQKLEPRLRKIVAITGLPGCSIGVIHKGVEVWKINLGY RDVEKKLLPESDTVFHLNSLTKSVTAAAFACLVQDGKITWETPIRSILPEFAEGSDEM DRDINAIDLLSMRSGHQSLGCLPWQGNNIVLLDKRDTIKFWNSTPRAGSFRSFFSYNN WGYGVITLVIEKLSGQPLHQFFQQRIFDPLGLKRTKMQDSIPFDNSALSYAMLEDRSP VRVPEPTIGAGIFTEGGSGVLSTIDDMLVLYRKYLEAIKDQPLSGKTTTPGNPFVRCT TLVAPHNFLPAKSSILREQSYGCGWIRCQLPGPLGMIGMNNDFGEMPHVLEGGPSHLC LYHMGMMVGSTSNIALIPETDTVVSLLANASPLGDGTDWMSQMIIEEIFDPPTRHNFE EYAEKVASKMLNHIPSLGRELEERRVSDTKPSFPLEAYTGLFIHDRTPFKIDIRLDKE KDGLTITFMGYEVETYELRHYHYDTFTW
SAPIO_CDS9243	MTIASSHAEDTCLKNRLSSDEPEAKLPRDPKNENEAVEIKKPSA SADTPSSPSADATHEEERETYPEGGLQAWLVVFGSFCAMGAIFGLVNSAGVFESYFQR NQLSNYSHANIGWIFSLYLFLVFFIGVQVGPVFDTYGPRALVAAGSLVTVTSLMLLSL SKSYYQIMLTYSVLGGIGGALLNAPAYGAVAHFFNERRGLATGIASTAGGIGGIVFPL LLQFLLGDNGVGFPWACRILGFIFLGLCVPANLFICSRLPPKLGPDGRPKVQSVWPDF TIFRDRGFAMATLAIFFMEWGLFVPLTYIVSYAVAHGHSESDSSKLLSVLNAGSVVGR FLPGLLADKFGRFNIIILTNAMCAVSAFALWLPSAHSGAVLIVFCVFFGFVSGSNLGL YPVCLGQFCESQAYGRYFATASMMASFGTLTSLPIGGSLLGLGGARGWNALIAFSGSA YLGALACYISARVGVVGWDVRRKF
SAPIO_CDS9244	MVLGILTAIAACPAIVGTNEAIQMTQRNQMKQMHRERKTNLVVS CNDQSPQAGELWIATRHEDDNHDKPEGHPFAGYYLPHPVHKWGRKGDGYVSTIMDNPP ILNWIYVDKDTHEVKYGTKSESDGHKLGPWSCTPVDHRVTFDEWEGFCAVEESPGHWA LYFDVDDDGLDGRVSLTKDVLEVELVRREMRIPPTREKDIGPQ
SAPIO_CDS9245	MNMASSSQQIPFSKEVKQAHRRNPRLIEDALRSLSQDQVEEEQT LDYITDLDMRRDLFEECKDRDDNRCIFSGAPDPEAAHIFPHAILEKRKFENIQRLLTM FWGFHEARRWSQAYESRNIAESARNLLSLTPTLHKWFDDAKMAIKPLRQTNDSIVLQI HWMKETTFKPSDSLPRRSINRILEQEGLLDRPSWGSRRFFRPSGLPLMTGQTFTITSE DSDLPDFDLLELSWNLLRVGALCGAAEERDGGDEEQFPGGDAAEVPGSDWGEGLEMEG EDEAWDDVGASFKRSR
SAPIO_CDS9246	MSSDEKQPENLQVSEASDDETSSQGAQPQALTKGKKENDPPMHY TDPAAGKPGRERVRKTRIKDRGKSDAEESSLKIKIELDLEAEINLYARVKGDVTIGLL RLSMASSSIGLSKHTFHQKIEALSQAGFYGIELTFPDLLAFAQSHANRDIASNDYDAL CEAGQQLGDLVRHHNLKIMVLQSFRNFEGWPERSKEREEAFARARGWIRIMDAVDTDM LLVGSSDAGGIVSSIEQLASDLAQLADMLHEKGFKLAYGNLCWATHASTWKEAWNLIL QADRPNIGLCLNTFQTAGGEWGDPRTGSGQIEMSGISSQTIDTRYNKSLQELSREVPA DKIYFVQISDAYRISPPMKNDFDESGLPSRAGWSRSYRPLPYDGGYLPIAQVVEAVLE TGLRGWFSIEVFDGRFEKKYGDNLTKFAKKAKDTYEKLLREAKGRRTLSG
SAPIO_CDS9247	MCILKLKLNDGEKPSVEGFGFTFIGNHENKSGTARAQQLAVFCE GPAVFIQIRVPSSPAPLKTPSGVLTRTCTIHLADMPPSMTRQKAKSQECALLYDTDSG LRLIGGQKIGYPANHRTQFYHVHQANRRHGVGIRILFPRSPDSAATFARVDGSPCAAL SIEIRLPIGTWTSTADVASDELLAALPPRSTTKPAEMCVLKFKLHDGEQAAVDGLGIP FIGNDEDDGIVNHGHLMGGVMSLRDICAQTEFTLLFPGLFLLPKGRTSFSKDFPNPIQ DVFPYSAGNWCMPRYRQEVPKFTRDHPYAATYKFDKHRDCMISVSQGAVQEVFYLSED VREIREKEVRCMFLRTGNTPDSTAPEFNVLVWHNIHDNHQLAPSLLRLLSHDSPLALA FAPPPPNMIDDDEEQGPLDTMFWEARKLPLEDWKFTRCDLALRIRRPRRKDTNFAATN ITIPAYDTFSEAKRFEHRVDGKYYLKFDAGLHIARRRVEGVYKALEQDEMFENYIPHL LKRDFQSGSDTASRPLTLPHVDFINHLDEKTRTAISTRISDEARERFARYLEVTRLGI IAIIGFAGSGKTEMLALTACLFMAHPDIGKIHCSAPTHVATTNFAERLHQLGMAIASE TGCSPPLVVRGYAVQTEVSAFVKIASGKSCGAGEDIVDPYRTGRWSLKLSPCEWLLKV MGARDFQLTADDPKCLHDLREQVVADALYEGLRKFAAGEISYDEFQSSTPAASQLILD LVKTIIMMADAVCTTPFCSSQDPYSKFNSNVAKGIILDEAGAMWQADALLVWGRGCRP CAMAGDPRQLPPVVMSHNEFRKGKCENAFSDLARVSQLEQVRRSGWPCFVLNVQFRIV EGCFDLARDVIYPDVEGFAYASQVAVRANPLFMGVETWVRSTFKAPACPPNKILPLFF DCAESRCRQDDDTRSRYNLGQNRVAVALVEALLTANLGLTSSDVVVVTPYRANLHQLQ KKLNAHDNAACRDVAVNTADSLQGREAMVVIFVLCVTAETGPCFVADQHRICVGATRQ IGALFVVGDLRTLPGRAYKDPKKEIFCRFLEYFRRTKRVVELDSSGNFVGSGLRLGRS GIESG
SAPIO_CDS9249	MVRITLFATLAFAATALAQAIPNNAGARNVGNGQGQQFTTGGCV ADADCQGTGICSGIGAEFQNGKQGCGFVDPNAAATIEAAQAQVEAQGF
SAPIO_CDS9251	MAPTAVETTPDTLPTVVKTLKEEASKPQPLRTTGVLDQYEHFDV TTVIGREFPKANLVEWLEAPNSDELIRDLAITISERGVVFFRAQDNLTNELQKKLILR LGELTGRPKTNGLHIHPLLNSEREILGGDDLEISTISSVQQKKYYKANFKEHSSPKRQ ARAQWHSDIAFEPVPADYSSLRLVQLPKTGGDTLWASGYDLYDILSEPFQKFIETLDV TFEQPGFAAAAERNGFKLYDKPRGAPENVGSILKAIHPVVRTNPVTGWKSIFPVGGHV SHINGVTEEESQKLLDWFLDLLHKNHDLQVRLKWQNPNDIAIWDNRSVFHTATFDYDE QGDRFGNRAVGLGEKPYFDPNSKSRREDLEARKASA
SAPIO_CDS9252	MATVISSIKYGDSLSDAESVEKKNDSTVEVAPLGKPFNDTRRFF WEKKIKSEDLNAIATQPSVYDNPVLAEKYQPRADWENIHRFDPSARWTWGEETKLVRK IDWKIMIWACIMFVGLEIDRANLGQAVSDNLLDDLKLTTNDYNLGNTVFFASFLSAEL PSQLISKKLGPDRWIPAQLTLWSIVAACQFKLSGRTSFLACRALLGLLQGGFIPDIIL YLSYFYKHHEMTLRLGFFWLSMTVADIIAALAAAGLLQMRGVLGHEGWRWLFLIEGLF TLVIGLISFILMPPSPTQTASRLRGKKGWFNEREEVIMVNRIIRDDPTKGSMHNRQAI TPKLLFKSILDFDLWPIYLIGLTFLVPGMPPRQYLTLSLRQMGFNVFQTNLLTIPAQV LGIITMMALLWVAERSKQLLLWSVLPQIWSLPLLIWLRVAYDPIKSRWTTWGILTLLI GKPLSHAIQVGLASRNSNSVRGRTVSAAMYNIFVQIAQVIASNIYRADDAPLYRRGNS ILLGLVAYNTVLYIAAKLYYVWRNKSRDKKWTALSDDEKLKYLEKEADGGSRRLDFRF AS
SAPIO_CDS9253	MAIKSTLLSCGLLLLQATIPSLLPGVSAQSDQILLGQEGSTFGP SGKGPNIVFILTDDQDLHMDSLDYLPLIKKHLTDQGTLFKKHFCTTAICCPARVTLWT GKLAHNTNVTDVSPPHGGFPKFVAQGLNDHYLPTFLQDQGYATYYTGKLFNAHDVNNY NNPYPAAWTGSDFLLDPFTYMYLNATFQRNQDPPVSYEGQYSTDVLAGKALGFLDEAV AGGKPFFLGIAPVAPHSNVNLGKLVNENDSFSLPIDQPDVSAYFSPPIPAERHAHLFP DAVVPRRANFNPDFPSGANWIYQQPKQSPENVAFNDHYYRSRLRALQAVDELVDSVVE KLDAHGILDDTYIFYTTDNGFHIGYHRLQPGKECGFEEDINIPLIVRGPGVPKGQVSE IVTQHADLTPTIVKLAQGPSRADFDGIPIPLTEEGLTDAAKTRHDHIGVEFWGIAAAE GKFGFFGRNQSLVLNNTYKAIRIVSEEYNLYYSVWCTNEHELYDLNTDPEQIQNLLRP DSKVTTLLGLPIGKIVARLDSLLLVTKSCKGITCSKPWDALHPQGNVASLWDALSPRF DTFYEKQQAKVSYSRFQIEGAWNQGGKGQSIWDTFCHSPGRIKDNSTADDACLSYQLH EQDIARMKEYGVTAYRFSLSWSRIIPLGGKDDPVNEEGIAFYNTLIDTLLRNGITPFV TLFHWDLPSSLYERYRGMLDQAQYAPDFVRYAQVCFERFGDRVKHWITYNEPALVARA GHGEGRHAPGHISPTEPFIVAHTQLVSHGHVCAMYKREFQPTQNGHIMITLDGGWSEP WDADDPRDVEAAQRATEFEIAWFADPLFGSGECDYPASMRAQLGDCLPHFTPEEKILV RGSSEFYGMNSYTSYFVKHRNESPEEGDFRGNVIRLDQNKAGVERGIESDTPWLRTAP WGWANLLRWIWNRYHVPIYITENGTTAKGELEFRPRSPDDILEDPHRIEFYRSYLAEV AKACQEGIIIKSYFAWSLLDNWEWALGYTARFGVTWVDFDSPEKTRHAKRSAYFLKDF FQHLKRS
SAPIO_CDS9254	MGERRGSLNPQPGPSATGAGERTPLLPSYETRNRLWSFRSSSSS ESSLTISSLDSSESSAFIPWYWAESNDVEVTPPTPDTDPPEYPEDDEALQKDDGTSLN PIKKVIAILILGMFTANADGSLVLATHSTIASEFNNLEASSWLLTSFALAGASCQSIS GQLSDIFGRRPILALSYTLFAVGCALVGVGQSMWQVVLGRSISGAGGSAMGVVAALLI SDLVPLRDVAAWQAGLNLAATTGRSLGGPVGGFLADTIGWRWSFTGQAPIFLLATLLS WLFLPRGHPTVHVKDIVEDTVPIDDDSEANAINGDLPPSTVPQQESRSSLARIDFLGA FLLAITILAILIPLDIGGKSYAWTHPVILLIFTTSLIAGLLFFAVESKWAPEPVFPLE LLQKRSIVNSYFITGAMTAAQLGLMFSVPIYFQVTQRVSNAKAGAHLFPAVMGNALGA IVAGIIIKKTGHYKKTLMMGTFASAFSYFLLFVRWHGDTNTLESLYIIPSGFGMGITQ TAIFTAIQASVDHRKKAPAIAGMYLTSQLGMILGLAIVSAVVMETVRWKLDKLLISLD ISEAVRAEIIENAASNIDYLDQVSGGVYDAIVESYILGLEWSHLVSIGSSLFAFSASA FLREEKL
SAPIO_CDS9255	MSQVKGLEKTFQEILARREAKSRLRRLTVVPPNSADFSSNSYLS LSTSEDVQREYLQRLQSEVDAATASSSHGQSASLLGSGGSRLLDGNSSLSESLEREIA AFHGAKAGLLFSSGFDANVGLFSSAPQPGDVIVYDELIHASVHDGMKLSRASKRIPFL HNTAYPRETAGGVQAASSTTNLALSSILDTLVRENEGIRSGTRNVFIGVEGVYSMDGD VTPLKEIVECVEKLLPKGNGYIIVDEAHSTGIIGERGRGLVCSLGLEDRVWARLHTFG KAMSCAGAIVLCSPATREYLVNYARTFIYTTAPPFTTLASIETTYQYLMSGKAEPRLK HLWDIVEQAHTLLLSLCERYNPDPRILYVNPRKPESPIIPVLTSRPRSLAKYCQDRGY MIRPIVAPTVPLGKERVRVCLHAGNTLEEVRGLSEAIGAWLVLEIAKKGGRGNDSAKN RETTFKPRI
SAPIO_CDS9256	MAPVGVLLWRSLKAYQVFGANTDVGKTIFTTLLCNASRRFRPDE QISFLKPVSTGSVDEADDGHIKKFASQTSTKTLFQFEDPVSPHLAATISKQSTPADHV VLETIRHHAASRALDGPGWLFLETAGGVHSPGPSGTTQADLYAPLRLPVVLIGDSKLG GISQTISAFESLRIRGYDIESVLLFENGHYDNHSYLSEYFAGEHGISVEAFLPPPLRV SDRDSDIAAMIEYYEKASSTEKARSVVDNLESRHKDRISRIEAMASEAYDTIWYPFTQ HGRLSPSRITTITSAHGDYFQTLVPNSSSNPSSQSLLQPTFDGSASWWTQGLGHANPK LTLAASYAAGRYGHVMFAETIHEPALELAKTLLETMNNPRFSRVFYSDNGSTGMEVAV KMALRATRERYNLESGDKLHVLGLRGSYHGDTMGAMDSTEPSVYNEKVEWYDGKGYWF DYPTVICDNGSWQVQVPRSLEGHLGATQEYGSMSEIFDINRRRQSEVYRSYESYIRTS LERLVREGRRFGALVMEPLVLGAGGMIMVDPLFQRAFVDVVRNSADIFSEGGPTSEDP DPQAWTGLPVIFDEVFTGLTRLGPLSSSSFLGVGPDISVHAKLLTGGLVPLCATLAST SIFKAFESTDKTDALLHGHSYTAHPIGCQVALESVKELRRIEEGSTWDWAKQSWKEAS GQASKKGQSGHRQPVVWSAWSESFVSEVSKQPQVSGVWALGSVLAIYMKDAVSGYTSN SAARVQEALSKGVSSSGDGSWNVHSRVLGNVLYMMASQTTKREDIERLEGLLRKAIE
SAPIO_CDS9257	MVEVAESSPTGDVVLVVGPGRLRFRAHSATLEMTSEVFRDMLHD GWNVSASDHGEYILHDDNPAGFRLIFDVIHFRNRHRAPSAADILEAAIVAHKYQMGNA LSPASRQWLRSRGAGSMHPRARMELLAAAYIFNDSEAFETISSELILEYAHHYHGIVD FKVLDVHLPWQVVHGLEERRAQLQIDLHQLLITLVDRRENCPCDKLKADALKALQEQY TFPRIQQVSILDIIRDILQIVYDGQDPKVAFDTYQPVGAPIAFTIYQALERLRKDSRL CLICIREGDSDPMSCEH
SAPIO_CDS9258	MRMHNSAAALAALSALVAPVVLAQQTTMQLWFPMMDPAATEDIY ADQELVIKTIVNQETVVEMRCKDKDNTECVVVPFTIGQSSKENPDRPIHLGSGDGYYG GLPDVIDCKLTGCPTATTGACSVVINAGHANSETNLVTTSLPLDTELLRFRPIALATP LPEADSIQCDSQPAASTTPSATGEKSAETSASASAPSTENSGWKVAASWPGVAAVVAL GLFI
SAPIO_CDS9259	MSLTLHRALLAAVLATRVFGHGHVTNIVVDGVSFEGYDPTSFPY RKDPPVVVGWATKQTDNGFIEPSAFGSSDIICHKSAEPAKGFATVAAGDKILITWNTW PESHHGPVIDYLASCGSSGCANADKTKLEFFKIDEVGLVSGSNPGKWGSDQLVENNNS WLVQIPDSIPAGEYVLRHEIIALHTAGQTNGAQAYPQCVNLRITGSGTDLPKGVRGTE LYTSEDPGIKFNLYTSFSSYDIPGPDLIPGAKAVEQSSGSITATASATAPTSTARGGN SDEGGSDDSDESDLDRIFGNGGSRTLEAVIASIQLVL
SAPIO_CDS9260	MSIPAYAHLISSFYPIGNTPAVSLTQSLPLGKSADILLLGCGDA RNILFTSRLDDRPLDITCCDAEDSIIARTILLLSLIIDDVKDESSGDIWNIYYHFYLD HQSHDRLRAQVQKLLGLSSSVETWRSSKYGEQIIFCDIETLDGVTNVWKFYASQSLPE NRSAFEDFFKTSLEQSRKLAEKEGILQNPSAESSSDLTVGGTDHDVAKFHAYFWGHGT TDLDAEIASKATIANPSFTTPNPSVIPHYSLNPVLGFHIALAETPLAESSMVPRQTSH LQQVVEGARAEFASWCRSFRKRAANTTTLRFFAGEAIDFCHTLKSLRATGRTEQGNLY RTRLGMTPTSLDQATYGPLGSAPLLFDIIDTSTLIDDIGAINLLIATSPLLRGDSTAT LYTETLTRQADSLRDLYDSLFCGHFPMLPLLLGLSPVEYWTNTSPTSAAYEGRMRVMA GADSSDSKSHQLQSRLSWKRPDTPSHLPLSSPSIEYLKFDAPVLADLLHDVYLRMLPD ENLADMSGKMNLDKSQSLSKPSYHRASYVSLLRFIQSRIIIIVGWGNFVDFLTERIKD SSKCPLLASKYLQELSAQLYTPGVYPIVTLEIPRTFLPLSTHDDPVDIGSVPVHGSLH SPGPSVAKGWHDAFAAVQLGFGTLSTTGKRNSNDFAIHIANDPDGWHGKSSLFVSFMV PTRSLLLDEAESTMVSLDLRSTVTTGDKPLDRLKTTSLAVFATAIGNANRAYITCNPP NQNGSPVIPGLNRNDMISVCLNPGAVTSILVNIDDKSRALSSFMAGICVISDRLMDLF DSGCVVKLAATSPVQLQVSLDSELINITFPLPVIWKNSKGRVLRRCYCIEVICPVVTE PSRFYSPAYVYPIAFEGGTPVLRNIHYVNLQALPVIDISQRDKLEWLIGHTFTMFSAR ERRLWKNQELEALKGERIRVSFKDSLYSLLMQFTGLRGRKAHLFGICDSGNGDVHILL FVSAMRLDIANRSVVLDLGVIALSGKLVPVIREALAMVKSSRLCKIMVNAEVMHLWKQ LLPAFVERCRTWSHRGSCEYIAAGNRAPLSVDPGKPTLCTCGNGVFPPDFKVDPLKWD RVSRLAVRAAISPVFFSALVEDLGGPV
SAPIO_CDS9261	MVDLGSMTEATTFLIKKYRMIFAEITKGDRLRGGEKSRRRQLEK YGLISQTQDTSSAKSNYSSESLSPQNQQLAMSGVLQISFPAGIAAIRNNSSLRVYEAA LDGGVREAQYEGRWAGGKPDNVIATGKIGTPIAATSVGFQYIRVYYVGADNKAREACW DGKGWYTGAFVKDVAPYSSIGAVFLGKNIVVRVYTQNHDNTIQEWVWDSPSTGWTAGA NFGAALPGTAIAATSWGAGPYHIRVYFQDTNRNVIESGWDGSGWYTGGLKISNQSPRA SLGATSWGESGSSLGIRLYYATQDNLIKEKAWDGGGGWYDGGFQQRSIPGSRVAAIPL PVLRVYLQNGTEVSGITEYAWNSGWVVGQAVLPPA
SAPIO_CDS9262	MTRPIIFIAHCLGGIIVKSALALAYHGDGTYATTWVFTYGILFF GVPHRGTKAATWGRIAADILRLYTGSYNASFLTSIEEGSAYNEKLNEAFKPLLGAYMF CSVCETIGEPGVGITLPFSGYPTDKNGKFYPNRSHTRICKFSSSEDQEFKKVMEPLRI AAKAATSSFDYSPTRNVRERLLGEELLGSEAFGGARTFMEKHEEGLQSQRRVVRLQEK AITLLDDRRACALQAIAMTRSTRHSVPSLTVEIPDPYLGARLNNLEMRLLKRVEWILR ELQSRNQKLIEKQQDLREAEKFRDNAEGKTDEEILNMAKEQVQQFQARQNSLPSPEKP PREGSSCPCIIL
SAPIO_CDS9263	MRSSNHLREAKPPGNFQYRLGDGFVRLKLRVLDELVASSLDQSA AITILIEDGDKLSAGYWPSKGFRLITKYEMGTCTLKDLKKFDDCEPYGVPNEEYVKLL RKEIRKLVESASREEPYLFDSNGHLQGVSSGDFHDISNIVDQVQCNFAIISHDNSQIA VEKMGANSLADSIKQFKFSRKVLLRRYAADEDQIEGNQPILLEPPSRNRELYHAMVDY VLGTIARYWVRCAVGRYWQQDMFAVLAAWAVKLKVTAYDPVENARISLATGEDILDEF VSGGLVPNAVSVKVKKAAKGKKGWEEEILALNERDDLTSHYYMEKEFFRGELEALFYS VVDGLAEDKSRRFKGPVGGFESCQLVYRTGLSGFTTSVSNNRRGHLSCTSERMQISFI YSGVLLMMTNLRGVMQREAIGLARREVGARFTWKWYDEGETSIQPEGAYDLTNMDDIV TLVVAQTLATPSSAVTMASAVYLVSTLFELGAEDDEPILQFEPPPRQFQAVFQLATEE FRRNQQSFGKRKYQDPFCRSLFEGPLKHGIRAGTNTLLPTDSDAAKDSRDVLGEYRRE QEIMKNWVIDEFSITINCRSYVVSVILGAALLVCGAMAVPFSVGAKINGVDPFQITSF SWLLAAFLVVLAKSRFVNQWPWHDFLRGRIVCSSIKEVQDVSGIPPQTILLYLLHGES ETILKTRGPYNALFRQADEGSGGFSIDVPTTLSTVLASGFIVLKVVNENGEHLVCIDI RKDADAQAVFQDSEETDKYLCYKSVDEDEAEVEEQHVEEEEEQERTERGNSKGRTTGS SLNRMERPTLLLFREVIGWRKILGLYVRDVQFG
SAPIO_CDS9264	MSISDYNKLLSIRSHVDDSDSQPTPSISDEQADTSDDEGAFGPM TPADNEGSKAAFIDITERERSYGEPVVTPTSFYAKGGDRDKPYRTYALLLRRKLQEDG QRRYNQLEIRSKFIQKALQKLLVNYSYINLATTPIVIREPYAALFQHREEIREYAKSK TQGPDQKAHMTQLVQFMNVNLAKLEREYQIYAPEDYEITDDQALLTPARLRGFSLNHK MWAFFLVDKVTPIKWIQNPLHQLQLKQSTKSTIESLVSHHYRSEKERDMISRKGKGYA PTSLNSQASVVLQRLTIWAEPILTETIAEAAHLPLYYVGAGELERNLMDTERKLQRVL DLARAWRAIVLIDEADVFLTKRNLDDVERNGLVSVFLRVLEYHEGVIFLTTNRINAFD AAFMSRIHLRIKYPALDAPTRAKIWQTALQTAAAGGADLDQLDDGACEALAEKYELNG REITNLARTAHSIARSQGRTLSLELVEKLYQLSMYDTDPATD
SAPIO_CDS9265	MTDPQTGLSPTPKKRVRTKAQLERKRARDRESQRASREKNRQRM TVIENELAALRARYDALKTMTENLVGRPIDESTQPLLHPLQLTPDSDSSAFPVVAESV ASSGSSTGFEYEFSTAAASQSGTLEEEVQLPYYPDIADILSPEAPETTLQTIDFGEAK GSGSGRDDGDGLDNTMTYEETYIPGDFTSDVIPNDYIHHVLDASLMIHASYPLPPAHA DALALDKLVADPPLFLSPTISSTTQFNCLCCFLNHVHTECFEREVSQLLFEAHISRLG QARNVPKYPRSPSLANLLLIDVDSNPIVRVLGRLMRRTSPISLPDSIAIYFILYRLLR WHIDPMIETWHDIPEWFRPSELQRTRPHPVSVDFIAWPLLRDFLVSYHSQVDKGGLGR DISDALTVNWPDEEQLIVKDPETNIAVLNPKFIEHIWQFSSWRMRRLWAQRQPRFIEF VRLVEE
SAPIO_CDS9266	MTQMKAALFYGPEKGLEIGYTTKPVPAPNHILVKIKASSLCHSD FTLLDNERPAPSYPIVPGHEATGVVESVGSDVTGFAPGDAVGFLLYRDYCNSCPACSV IQMACPKGSLQGFSVDGYFAEYAAVDARSALKLPDGMDLVSAAPLFCAGLTAYHGVKK CNLQAGNYIGIMGVGGLGHLAIQYAEKLGLKPIAIDIDNARLTDALNLGAVAAFNTRD PEFSNEIRKVTGGGLPAVCVFSGVTAAYASAVQCLAIGGLLMAVGMANGDLPVTSMQV AMGLIRVQGASSGRPDEMAECITFSHRHNILPKTTEYSLDDFPKMIDLMRAGNFHGRM VVTSF
SAPIO_CDS9267	MAGRTPQKFQIPTQLFINGKLVDSHSTERHGLYNPIDETLITDQ IQYAVAEDVDAAVAAAQAALQGPWKKFTAAARGDCLLKLAELLLEHKEELAWLDATPI GKPEAFSKMEVDMGVSIFKYYAGWADKYVGESAPAHDGFMKIVRHEPLGVTCGINPWN GPISTMCLKLAPALATGNVMILKPSEKTPFGTIRFAALATEAGIVPPGVIQTLPGDGK TGALLSSHMGIRKISFTGSVATGKRIQQAAAASNLKRVTLELGGKSPSAIFDDCNFEN AVFWSTLAITQNTGQACFAASRLYVQESIAGKFIAAFTEAMKKKIEGLGTELGPLADA SQLQRVASFFERDAGKTQVLVGGKRHGDKGCFWEPTILFNPDLNAELYREEIFGPVVC VRTFKDEAEFLRLANDSEFGLMAGVFTQDINRAMRLAAELDSGVVGINCVSYINLEAP FGGTKQSGVGREMSHYALRAYTEPKTVLIK
SAPIO_CDS9268	MPSIIEERASALIKSYNSGNIEVIMDLFAEDVKVSDFLMRAIDL DKAGTRPFFGGFVTDNENVTFYTRGVTGSREFVAHEMTIEFRSGGDIESIGIVKGQSV RLVGVSVQSWRKERRSEDDDVAGAWKIFDMKDYFFIDRGST
SAPIO_CDS9269	MSGPINSNRPIRVIIIGAGASGLLMAYKLQRNFDDVTFQIYEKN SDVGGTWYENRYPGCACDNPSHTYVWSFDPNPTWSSSYASSSEIFDYFKKFQYRYNLG EVTKVNHQVVGARWNEASGKWKVKIEDTTTHELIHDSCDVLVNAGGILNAWRWPGIPG LKSFKGPLVHSAAWDGKLDLKGKHVGLIGNGSSGIQILPAILPDVAKCTTFIREPTWV AVAGFAGFEARKFTEEERAEFENDPDKLQTFRRWLEHNANKTFPLFIDNTPAQKFAHE SFIAAMKEKLQDEYLEDKLIPEWGVGCRRLTPGIGYLESLKHEKTKVIYGEIEKITEN GCVTEDGKEHPVDVLICATGFDTTFKPRFPLIGPHGRNLADDWVNEPKGYLGVSAPGY PNYFMFLGPNSPIGNGPVLVGIEAQADYICRIITRIQQQAIKAIEVTQEAVDDFIAYK DEYMERTVWQQDCRSWYKGNTIGGKIVALWPGSTLHYLETMKEVRYEDFKIKSFGNRF DYLGDGMTKAEKVGDADLATYVRKTDDSPIVGSKFVYTKAGPELSELTLITAKAEEKK 
SAPIO_CDS9270	MEKESNPAVEQYESVLAVSAAGSAKEAESAVSPYLDPEKEKKLR RKIDCYVVPPVALLYLFCFIDRANIGNARLANFEKDLGLKGQDYNMVLSIFYISYALC EMPSVLLCKYVGPGWFLPLTTLFFGIVTIGTAFCETKGQIIACRFLLGIFEAGMLPGV AYYLSRWYRHAELSFRLGMYMLMTPTAGAFGGLLASAILSLDHFGSLTAWRMIFGIEG IITTGLGFILLFLMTDNPSTARWLTAEEKELALARVVSERVGQEEVVDKMNFAKLKNG ISNPITLVTAFIFLCGNVVVLGISFFLPTIIRAIYPGRTTVQQQLLTVPPYVVGAFCL LTFSWMATKYNTRQIFLFMSAPTVMAGYAILLGSQNPNVRYAGVFLAASCAFLGGSLS NAQVSANTVSDTARSVAIGTNVMMGYFGGLIATWTYLPWDGPMYPIGNGLNLAVSGCI GIASIAGLVWMKADNKKRDQMAPAEREELLAGLTHEQIADLDWRHPDFRWNP
SAPIO_CDS9271	MQNLPLSVIVPGLLGAGAFVVSIWRAFFSGLASVPGPLLARFTD LWYTFRIYCGHFEKDSLELHKKHGAIVRYGVNRYSISDPMAAKVIYGTGSKFTKSSWY ETWSNPHPDAWNIFGDRDPKRYALNRRQYQNTYSMSSLVNYESYVDDCADLLCQRLEE MSAKDGSDGAGAVNMGHWLQCYAFDVIGMITYSKRMGFLDFGEDVGDVMRNLEDHLAY ATLVGVYPWLHPIIFNIRNWLAGSRGKGRQFILNFTQDCMAAHQAKPKAQIAEEGLKT RDGDQGGTLDFLSKFMQKHAENPEVFTSYHALAGCTSNMVAGSDTTSISLSAILYYVL RNPDVLKRLQQEVDEHCPQDKSSPHITFSQSQDMPYLQAVIKEALRMHPATGLPLERI VPEGGVTISGVFFPEGVTVGINSWVEHNNKSIFGEDADVFNPDRWLQEDKERVAAMTR HWMPLSLSLV
SAPIO_CDS9273	MADTEDKSSLTLVGVDSTQNGERSLCDRCISVGFSLDKFIVSKP TVVVDIVQNRYGYEPRKSNDNFRLNSGASLKNFATLRELQESRVNCAFCDLVFRAIER YSGSTAVDDTTSCSLRWEVHGREADSRSAFAVNSTRRIRFTWNEKLGHTQEVYVIYVA PRDALRPNSDAISRWERETLFLGRDFGDQKEKQALIKSWLDLCIHDHRDECRHTHDSQ DEFRKLIEETYFGIIDVADMQLKSLPISANGEPEPYVALSYVWGRKPHDDPPYVTKRA NIVTHILHGGLETAWEKLPRTIQDSILLVSRLGYRYLWIDSLCIVQDSDSSWQLNAQA MHLVYGHALFTICAADGRDCSSGLRAAQPILRALDPGPFGGSQEASRDFDDPRPICAD VGKGLRLMVTRPLEAMISDSEWDTRAWTFQERILSRRCLIFAEGRVFFQCRTTCMSQD IYSDGNRKGWSLDQANSPLRTLRELQQRPLWLYLMYIRMYTGRQLTKPRDVLAAFKGV EWLLEEYMEAPALFGLPTSHFDLAVLWSPLEAVRRRQPRTPSGSGTSCVQDALGNCIC KVEQESFGGNDFPSWSWSGWMNGKSEYQSSMLEGCLVDVRDWLTHHTWIQWHIRDETG HLRPLWGMISHKTNEYIINHRAGTKEDERWMGYPGIEDAQPLFIRPLSPPPPPPPPPD LGELRYHYRDVSPPRPRGLESPPDRRLMRLGDLLVRVLEVLDNRWNTTSWRGPPSDRS NMDFERVTNSAYVNNGTTMSRNEREADFKRQRRVTLVDPPEDIHGGHDKYGRHIRADV SAMHDEKTPMFQAILPDNPFGVIRDKARDPPTDRCRSPNRMGKGSRMTYMPILQFKTW RTELHVTIRDGNFESSVRPTNTGLCQCDILDKPSDWCGAIVLDEEWIRDRQGNVFQFI ALSGAECFTQEECPVWTYYIPKERDESEWDLYFVLLLQRDIERGVWERVGLGKVFQAA SRDRSWAEIKPG
SAPIO_CDS9274	MTVSTFIRFQDDRGIIHYGEPSQRDLAVDLIGATVKLLEGDPFD GGLRPSTAVAKVAKVLCPLESTPAILCIGLNYKEHAKEANLTIAPYPVVFMKLPTALA GPYDDVHVHPDATPMLDYEGELVIVIGKDVKNVSEADGLDYVLGYTIGNDISARNFQL PDVSGGQFCYAKSFDGFAPIGPAICTRKAVPDPQALFYTTRVNGVKRQETSTDDMIWT VKQIVHHLSRGTMLRRGTIIMTGTPSGVGLFLEPKGFVQHNDIMEVELHGFGTLKNKV IFE
SAPIO_CDS9275	MGFEIKTRSDFDRYIKDFNTQNIELYSQWYGDEVCMIIPGKKLD VVGKGPVTGFFVEGRKHVNEHIYPNHVVLDEGGLAAHVTIYFVPKHDWPASEEFPAAK LGQAINAQYIVFYLLDETKRIGKFWAVEAGTKSVVDAWDEIRYTPNN
SAPIO_CDS9276	MLQHDAPGQASFANYKRRQVVEPRPGDRVVPLHFFENSLLVQGN NMAVSLVFDNVLDPEKLRLSLEAPFLTENRRMYQASGKIEWHIPVEFTAERPAITFTH VDHGVSAASRPAASRIPKPSSRPAVVGDPDDLADLAWESGYQPGGIKDYLASDKLVLG LRVNSFTDKTIVVLQWEDEIPTPCNPDSDPFDVLAQGTRPATEPHVLTDRRVGLEKAL EELRSSAIQNGEDASKVFLTGNDILTAWTIRSVVTSMGMTPSRTVAASIAMSLRKAFE GDLIPNSATNPYVGNAFGWANILVRRAINQQGTRAQHEAYYSMVRTSGTGLPIIIFGD GGMAQIGSSNWSKAGLFNLDFAPARKVPRAAMFLAGLDMFKKTTGLSSPRMGFSSLAR TVKATTGPRLTK
SAPIO_CDS9278	MPADSEVAERDDSPARESIHSSRDLPPIEAASEPGEEDEFLAEG YDVSSVATTSVTSTVYAHTDMGNRCALKPGGWIELQELHGAPFCDDGTMLPNDPVKRM YDLAGEAFSTFGMNTTLPANLEPLLRAAGFENIQCHVKKVPIGVWAKDKTLRLVGMYQ KLAVVDIMPTFAGRPFEALGMSQVESQVVLAMARKALEDTEVHRYFNYYFWYAQKPGG GVGKE
SAPIO_CDS9279	MTATHSKEISTDPREVTSPIGSDASAANRDDEKNSADDIPMSEK PPFLFTTTDDNDSSGETAFSEDDPRVRDIPPYVRRVVSLHDDPTLPTLTFRYFILSII FVVPGAFLSQMSHYRTTYAPYSIFFVQLASSYVGDWWAKALPSRQVRIPFTQWGFNTN PGPFSVKEHVLITITAASGATYNLGYTPVSIAELYFGQRINPAVAIFFMWGIVWTGYS FAAIARQFLIYDPIYPWFQALCQTALFETQKKQRTHPTALSRKQTRVFWWVLLAVILW QFLPEYVFPMLGSLAVICWFAPNNPTANFVGAGFGGMGVLNFSLDWSSVAAYISLFLT PWWTQVVMFLAFVVNCWILLPIAKFKGLGEWDKKLMSNRLFLENGTSYPMTQLLTPDV SFNETAYQELGPPYASLQLRWNMFFDYASYTSALVWIGLFGYKQIKDAVIKLRARSRG KSRSIAHQYNDQLSILQRAYDDVPLWWYLTLFSAAFISLLVIVATNSLFIPWWTLLVA VGTGAVIVLPMGWLYALSNFQIEVGTTNELLYGLMVNAVHGHKNPTGASVYGSIAGNA WYRAQWFLQDQKLGHYMHCPPKTVFFAQWFGSLLGIPINYAVVRWVLNTKRDYLTGEL VDPTHQWTGQSLASNLTMAVQYVLLGPIRLFKEPIYKVVPYGFLVGLLAPLLVFALHR TFPRYKFHLWNTPIFFSCMSRWYGNISTGFLSSFIGGFVVMFWAYRYRYPLWARWNYI LAAAFDAGFNFNMLLIFLFFGAGKVVSMPHWWGNDKRSSERCFGLE
SAPIO_CDS9280	MHPDESPGRHLVHSHATEGDVPGTVNLKAAEGDDTAYGQALFPV PANDPNDPLQWPSSKKLAILITCSLYSFLSNSALLGPSVYLGIYAEEFGITPNKAAGL INYPNLAFGFGSLILVPLYHKIGRRPVMLLSLVCYCAGLIAASQATTYAGLMAARVVH ALGSGVCEALPVQLVNDIFFLHERGTRLGIYTVCLCLGSTGPLYAGYMLAGGYEWRLF FYVEFAFAAALLIFAFFVVEETTYHRKTPQDSSSSDSQTGQMVEDKPAAIVTEDVSEI PPRKTFIQTLKFWGTWEKDSPFFVMMIRAFSYFLIPHVLWVVTTYGIYIGLGALTFNF TFPLKITAPPYNWSQLNSGLITISTVIGYGLAFLFTSSSDRLAARLTRRNNGIREAEM RLGVMLPAMLLAPAGLILYGFSAQRNLHWIGYFIGVAMNQFASYFYFTFTLAYAIDSY NSNISEMLIAMNLGKQAISFGMGINLLEWILQHGYVVMISGVFCGIVLANNLAVIPFM LFGKRIRKFMHSTFLARMHRESIREIATH
SAPIO_CDS9281	MSEFPPAAALPSLSLSEGVRPDEKAVPVIVDIWLASLQHRLEKK NLSDISDLFVEESWWRDVLALSWDFHSKSGLEAITKFLKESETDLGHLKAIKTGGLAP KLEEEGGMQWIQAGFTFDTKYGSGQGLVRLANIAPSIWRAWTVMTQLEQLKSQEAPVQ SQINGATNGNGPATPAKDNLQVLIVGGGQSGLSLAAHLKHLGVRSMIVEKGPRVGNAW LERYDSVRTHTTMHGDHFPFLKYPSNWPHWQDKEHITRWMEHYEKLMGIEVQLNTAAE KAEYDESSRQWSVHVQDKEGKRVIQANHVVMCLGMFGQEPVIPEFPGRDSFKGLAYHA GIHKSASNIPDLNKKNVTVIGCATTAHDMAQDFVNHGAKSVSMIQRQPTWSFSSEAIQ KYHLSHFTTPGVSTEEADLILSSIPTAVARVFGYEMTQMMIKHDTELLDKLEATGMSL KRGEDGSSFIDYLFFKGGHFYVDQGATPMILDGRIKVYMCEGGVREFCPEGLLLGDGR KVDADVVVLATGFEPATVQLKQLMGDKVWEKASKFGEFDEELERLGWWRPTGIPGFWY MCGTFSWSRQYSSVVALEIAAVEKGLIDGYWGK
SAPIO_CDS9284	MSTKAKPAVAKTSGGNNAFHNFHNDFAHVADLNERRRLALAEVD RAPFGWYHVRACVVAGVGFFTDSYDIFAVSMLTIMLGIVYFPGKGSMPTSSDNAIKLS TSAGTVVGQLLFGWLADVVGRKRMYGLELIIIIFATLAQALTSGSPSCNIIGLIIFWR VIMGVGIGGDYPLSSIITSEFATTKWRGAMMAAVFAMQGFGQLVAALVMLFVTLGFKS QLETAAKTIDCTGSCQVAVDKMWRTLIGFGAVPACIALYYRLTIPETPRYTFDVARDV EKANEDVKAYISGKREGYSDEVARATTHKAAKETLEIPQASWSDFVRHYSQRKNYLLL LGTAGSWFCLDVAFYGLSLNNGTILSVIGYSTSHAHNVYQYLYNTAVGNVIIVLAGAV PGYWVSVATIDTLGRKKIQMGGFLILTVLFIIMGFAYYHISSNGLLAIYVLAQFFFNF GPNTTTFIVPGEVFPTRYRSTSHGISAASGKIGSIIGQGAISVLRTHGGTPENPNPWM DHVLEIYALFMLLGCLTTLLIPETARRTLEELSGEDDYSSTAMVGTELPQLETKKDDD NGSQVPFAQGNYA
SAPIO_CDS9285	MGLKFRGIIGPKLAGSDKPEIADKQTDFEGQSTAFDGEKNASQN VDSGETSDTSTTSEKRDLQFGVQAAEATLQVWTRNHLIAAYLFIWLINFLNAFSSGIA GTLTTYVTSSFGAHSLVSTTGIISGLIPGLTKLAYAKFIDNIGRPQGFVLAVGSMTLG LIMMAACKNVETYAAATVFYYTGYSWLDFTITIFIADTSKLRNRAFMIAYASSPWLIT VWVYGLACEKIIAPGGIGWRWAYGIFAIIMPVVCAPLWYLFYANQRKAVKQGLVNVKP HGRGPIANIIFYAKEFDIIGIILAAAGIGLFLLSFSLFSYQEKEWKSPLIICFIIFGG LLIILFIIWEKFFAPITFIPWRLIKNRTVFFTYTMMASLYTAWYLWDNYFFSVLIVLF STDVQDATYIMNVYTVGSTFWSLLFGVFIRYNGRLKWPAVFFGVPMTILGVGLMIHFR QPDVNIGYAVMCLIFIAFGGGTLVICEQMTVMAVSKHSDIPAILAMEGMVAAMGQSIG STVAAAMWTGIFPKKLAKYLPAEAQPDLTTIYGSIDVQASYPVGTPTRDGINKAYGAT QKLMLIAATSLYSITWASTLMWESINVKKMEQFKGAVMW
SAPIO_CDS9286	MAADSTKCSITTLDGTLWSAESSQQTVAILATSAAVMKGVLLTI ESVEKRNLLLPSRRNVPPEATAGIFNQWFCWWMNPLLLFGYRGVHSLETLFEVDQDLS AEGNSGLFGRWNSWKSKQKAHSLLLITLLDNKRTMGKAIIPRLFQTGFTFAQPFLVRR VIEYIEDPNGSNTSTVGTGLIFSYLIVYVGIALATSLTQNWTVRLVTRMRAGLVGLIY CRTLEIRSLAVDEADAVTLMNADVQRITTGFQSFHELWACPIEIALSIWLLSSQLRLA ALAPAVVTVVFTGAAISVASKAGITQKAWLDKIQLRVAVTASLLRVMSSVKMTGLTET LKRKVDKLRDAEIDASFSFRVVLVKIVSLTFASTAMTPVASFGMYVLLQKYRGYAILD TAGALTTLALLQLLLAPVSILIDTLAGIMGAVGCFERIRNYLNTETRVDSRVYGSCQG TWTNYNYSGRLMRSLSSRRQGTMEMDGIYSSYDPSIRDSAVNDIYALGCSLQRRGAVT SRNRSSIQVHQASASWKDTAQPVLKDLNFKIPDGKLTMIIGPVGSGKSTLLHTLLGET RSNGGYIHVTFEDAAYCSQRPWISNTTVRQNILGGYEFDPGWYSSVVHACCLVKDLDQ LPDGDLTSVGSNGTGLSGGQQMRVALARAIYSKKNTIIMDDVLSGLDSTTEEAVFSSV FSASGLLKKHNITVILATNAVHRLPDSDHIIVLDQNGTIAQQGSFRRLSSRPGYVSRL DLQKRPDNLFQQQEKFVFPGEVQKALGKSLPEIPTRDALTGDMSIYKYYIESFGWARW WILITICSFYGFGVVFPQAWVQWWAAYNVRHPGEKIGYYVGVYFLLGALAIVSLAASC GFLVISIAPRVAKAMHSRVLNTIMNAPVAFFTQTDAGSITNRFSQDLDLIDMELPVSL IHTLLTGFILIAQSLVIVSTAKYAGAALPVCALAAYMTQKFYLRTSRVLRLLDIEAKS LLFSHFLETLSGLVTIRAFGWGDGYLKTNEHFIDISQRPFYLLLCVQRWLGLVLDLLV SGIAVVLAIIAVKSRGEVNPGLMGLALLNIVGFSSILKQLIANWTLLETSTGAVSRVK SFTKSVASENAESCEGGGDLLWNWPSYGRIEFNDVTATYNIQSEPVLKGASLRVEPGQ RIGICGRSGSGKSSLVATLFRMTEITRGSIVIDGLDISTLSRNDVRQRLNALPQEPFL LPGTIRENIDPLGEHDSSSIIEILREVGIWELLSDVPGGLDATMPSNLLSHGQKQLLC LARAMMRRSSIIVLDETTSSVDVETEALIQRLIDRRFQNHTIIAVAHRLDTILNFDRV AVVDKGRVMEWDEPWTLLQSDSMFRRLYMDMKGIRDEEDVSFLD
SAPIO_CDS9287	MVPPSPQKSIDWANLSPKQYLPLNGHIEARFRASTGQWTAPTLV AGTEISVSGLSPGLNYGQQCYEGLKAFRTIDGRINVFRPQFHASRIRRSAGSVCLPPP PEDLFLECIRRAVAENAEYVPPSESDAFLYIRPVLFGASTGLWGPCDEIILAVFVHPA KPQHGADAVAGVVCDEFDRCAPRGTGSFKVGGNYAPVWRHVAKAASLGYGIMLHLDSA THSFVEEFSTSGFLGHRIGEDGQHILVIPATENAIQSTTSDSLVKIAEQAGWTIEKTR LPFSSIRDLDEVISCGTAAAATPIKCLERPSTGEKYEFGPSYENWKLMQLANTLTSIQ RGRAEDREGWCWEITGFPSQTEAGDENAQLTSTSWSGLKWDLISPIKMLLPVAGLLGL HQGISADLRRFWK
SAPIO_CDS9288	MYFILLGPATAALLYILVKAIYSAFFHPLAKYPGPFISKFTFAR ASYHAWKGDIHLDMWKCDYVRYGPNQLLVNTPAGVRDIYGARSHSKFLKSKHYDIMSH QAANTFTHRGGKDHLRRRRIMAQGFSGQAQREYEPRIAAHVDKFCRIVLPEDHGAWSE PLNMSKWCNYLSFDMMADIIFGAHYDLLGNERFRYVPEMIEKNNVRMAALVQFPGLSW LRLDKHLFPEAIWARNRFLNFVMRLVKDRMELSKGNVCGIYGKRTATRSATSTAPQKL SDVYSRLELARDPETGGEFQPHEIASESTTLVVAGSDTSACTIASVLFYLADNPVAYA KVAEEVRAKVSSRSDITAANLSSCVYLRACIDEALRMSPAVGSALVREVVSSAGTTVD GQFLPAGMEVGVGTYAIHHNSQCFSDPFTYRPERWLETHAARESIDRARSVLIPFSTG IRSCLGKGLAYTEITLVMATLMFLGDFKFADGPLGRLGRGTEGGEYGRHRENEYQLRD HIAGQKNGPWLQFSRRESY
SAPIO_CDS9289	MVDLSYLPEPLRDPNNCQKDLIPGWSYSYGYRPSLIAGIIFCAL FGIALLGHAELIGWGGRTWSAECPYNQNAYLIQITTLIIAPVFFTAALYVLLGILIKL FGRRSSLLSARMYTIIFLTCDVISLVVQAVGGAMASMASGDRKDPQVGTNIMIAGVIF QLAAMTAFAGLALDFVRRSYPMGITRQYQLALVGLFISLGAIYARSIFRAVELCEGWT GYLMQNERFFIGLDGSLMVIAVGVFLVLDPSRLVPKDATKLSRSSSMELKAPEAF
SAPIO_CDS9290	MDTLQSPKSAISQMSPSPPASNKKSGRPPNEAYIKLMRPDEDWR TMADAAERRRIQNRLAQRAYRRNLREKNREVEKLKKQLHKLQGTTKPQRRDSAKSDST GPVLFELDMDNGADSAESGSSAGSEAAGWGSYSLSTAIDLVEPNAPSDMNFMFFDFAT DLDGSRSQSSGIQSSIDAMESEAAKVTSRSNTPRGHSPHTASFESEPELITSYLSTHL GTEIQGPLSYSGSNSGSVGECFSLAYASPGLAPLPSPPFPSLPSIGSQSPDPDASMNL SSLGIETLGADAGPLWSPDADASLLHFAVAGGDIETLRLLLKHQPNMIEIRDSGGYTP IQRAIMIRRPDMVALLLEHREALVDGGRSLLVCT
SAPIO_CDS9291	MVTVNTAWAIVLWSYTGEERIAFPSLSITDDSQKWQHCEASVDW TSKISSVLQRVQSGTKQRSPLLNTVTVFKSGDPCCTTSIGGPHLTGLVCGLPEISLDC AVEFDQGVVDRKQAERLAAAFAHVMDLILSTPDKMLASVDIVSDHDKAELWTWNKAQA PEAINSCAHILFQRQVAMQPTETAVDAWDGSFTYEALDRISTLIAHHLVAQGVGPESM VPLCFDKSCWAIAALMGVIKAGGAMVFIDPANPANRRREIMSQLNGDYILTNSNHAAS WESMGVRPIIIDGKLIDCLREFGASPRTGVSPENLLYVVFTSGTTGKPKGCLITHKAF LSGALQHAAKSNLGPRTRVMQLASYSFDVSVLEILTSLISGACVCTPDSAAMTQGLAS IINSYQITWAFLTPSLVKLVKPYEVPSLKTLILGGEKLHKADIEKWAAHLQLVNGYGP SECSIAAAGDPHLTPDSDPANIGRSVGGLAWIVQPDNHNRLVPIGAVGELLISGPILA RGYLNNPEQTAKHFIKDPGWTQHWAKGHASVSHFYKTGDLARFNSDGTIHFIGRKDTQ VKLRGLRIELGEIEHSISKHQHAKHIAVSLPKHGPCKDRLVAVLSLRDFSKEAKPGAA NPPLNILTGSRVKSQTEAIRNHLAQCVPEYMIPSMWIVVETWPLLASAKLDRKYVQSW LADMNDDVYHSLIGLDDIQTNDAQLSPVGHTLRNIFGRVLNISADLIPLDKPFLGLGG DSISAMQVMAQCRNSGISVTVKDILRSRNIPELALSAGRVTKSTFSYDEKFDHPFQLS PIQRMYASKLRSVHEELPEVHFNQSFFIRLTKTIPRSVIKAGLNAVVSRHSMLRARFS SESGVWTQRVLSQAEGSYRFKFHDLRSIEDAGSVGMLSQRTLGLKGPVFSVDLLDVDN QQYLFMVGHHAIIDWVSWRILLRDLETFFATGSLGPERPFPFQNWIDLQADHASKHGD PDEALPFEVPSPDFQYWGMDGKPNPLSETSEGIFTLDKESTALLLGGEPHRALRTETL DFLLASLFYSFAQVFDDRELPAIFREGHGREPWDPALDVSETVGWFTTMYPLAITATT GAEDALVATLKKVKDVRHRVPGNGRPYFASRFYNERTAEKFQDHNSIEISFDYLGQFQ ALDRPEAILRQEVRPSFLPQSDDIGKNVDRLSLIEITAEVIDGEMRYTFVYNRHMRNQ NRIQAWIEATKQALNKLVVGMLTAPIDFTLADFPLLSMTYDGLSNLLEHRLPQSGFQD LENIEDIYPCSPMQHGLLVSQSLSGDGVYEYRHQMEIVPRDSSNNLIDAEHVAEAWTR VVQWHSSLRTVFVESSAQDGVFDQLVLRSVSANVVVVDCDERDVAHAFQSQKPISFRS QQPPHRLTICRVAVDKLVCQLEINHAIVDGSSIANIVRDLCLAYEGGLTIQPRFKFSD YIAYIKSKPDVGARDFWRQQLKGQLPCLFPALAPLEGPVGGKAVGQFEVDISLSAEEV KAFCERSNITPLSLFQVAWGMVLKVYTESSKVSFGYLSSGRDASLPGIENGVGAFMTM LVCGLDLSECKLSDVLQKTAEDFIKSLPHQYCGLANIQRSLDLGNSPLFNTILSFHRD DDVPELNASGIAIKSLKAHDPTEYALSLDVGLLKSTLAVTMQFWTDHLTREQVRSIAS ALDKALSTIVHHPDIDIGEAELVSQFHVDKMLQFNGNGEPLPTSNRLVFTDIEKNARI QPEAEAICAWDGSWTYKDLDDVTTRLGHHLRSVGVGPEVIVPYVFYKSAWAAIAMISI TKAGGAFVGLDPTHPRHRLENLVADVNATVICVSPETADLVKGMDRVKKLVVIDADFA RRLPTKDGPPCPEVRPYNLSCVVFSSGTTAKPKTIALEHSSMSTMADLLGPPFMLDQN SRVFQFAAYPYDVSNHDVLVTLQRGGCVCIPSEDERINDPGSAIQRLRANWAALTTTV LKLLHPKRVPSLKFISCGGEPMDRETVETWAGAGDLVNGYGPAETAVTVTVSTPLTVN SSSSNIGRPYGSRAWVVDVSNYNKLVPLGAAGELLLEGPQLARCYLNNPEGTSKAFVH NPKWAQAMTPGLNRRFYRTGDICRFNSDGTLSIMGRRDTMIKVNGQRVELDEINYQVQ SHLGKKAAVVVDGFPLKADSKSLTLAAFLCYPQSTLASASPADPQSLILDVSDELSLQ HATLRSSLQSRLPKYMIPSMYIPVSTIPPTRNGKLDRRRIREVVLNLSESQIARYSLQ DIVKREPETEAEMVLQALWSEVLRRPIENIGANDDFFHIGGDSFGAMKLVAAARMRNL TITVPDIFKSSKLSDMALTLVKGGENGILGADPTEAKPFDLLSHLSPESLHRVKREVA QQCGVNDDQVIDIYPCTPLQEGLMALSTRLGRGAYKAQKVFRLTSNDFDTSRFKNAWN RVAASEAILRTRIVNTNDAGTLQAVLDGDLEWCETDESLDTYLDKDMKTPITYGGPLL RLALVNNPKERYFVWSAHHAVYDGWSAAITFDQVQRALADDLRLPKHVPYKEFIKYFS ERNSSEQRAFWESQFPDEKGIPTSFPAVPMGYIPKTHETLRSRVSAKVKGLTPEGGNI TASTVLRAAWASVISRYGQANDIVFAVTLSGRTAPVPGISSMNGPTITTVPVRVELDV TGETTIQQFLSTLHSQATSIMPFEQVGLQNIRSQGETARAAIDNIANLLVIQPSTSDS NEENFDGMEVVPRNLDEFDSYPLVMSCCMSDDGTTDLEVKYDQSIIPRTQMQRIIRQF DFTLRQMCSSSLNTRLREIGVVNPGDLNEIMRWNGALPETINACIHHVVAARTEKRPG AHAVCAWDGNFTYKELDHVSVKLASHLQSLGVGPETKIGLCFEKSKWNVVAMLSVLKA GGAYTHLNPSYPASMMEGILGDLGARIVVCSPQHAPLLAGVVPDVVIVDQNTIDRLPI PADPVRSSTRPDNSAFVVFTSGSTGKPKGVTVEHRGFCSMQHYQAPRLTIGEKTRTLQ FAAHWFDISNADTFITLMRGGCVCVPSEEERLSNLAGAINKYKVNWATMVPTAAAILR PDEVPNLTHLSLGGEAIRPDLYERWSRRVVLMNSYGPAECSVLTTMGSLVPGVSPQNI GVGLGCRTWITDQSDHNRLVSIGCIGELCVEGPIVTRGYHNNTTITAQAYIGNPEFAK RLGIDDMRIYKTGDLVRYESDGTLFYIGRKDGQVKIHGQRIECGEVEHHIVANGFPVD AVVVERVYEGGDEDKSALAAFINLGSSDSKEKKKEDFQLSTELSAEEKRRLLDLRLAL CNVLQPYMVPSLFIALREMPLTQTGKRDRKTLRQAGAHLSPSQLEQYRLVGAGVEEDH HGTVCSTESELLLRALWGEVLGLSPESIRANDNFLQKGGDSIRAIALSSAARRARRCL TVGDIFRYPCLHQMATRLERDGTDVDQPILPFSLVREDAATIVNHAAEECGVQKDMIQ DVYPCTPLQEGLMAISTRVPGAYIATRIFTLPKCVDLDAFKRAWEKAVDMFPILRTRI ILGPHLESLQVVLSEPLGWGEINGSVKTYLAQFGLSRVEYGQPLASYAISTRSRKFVW ISHHALYDGFSAARLLSTVEKLYRGEPVPVGPTFTKFIHYLANIDASQSDAFWREKLS SGSLSSFPHLPSAAYQAQPNSEFIDSFTMPHNKATGILKATILRAAWGLVTARYMESN DIVYGETLSGRNVPVTGIEDMMAPTFTTVPIRIQLDHSESVGQFLNRVQEDSAALIPF QHHGLQSLRRLGSEVYGSLEFNNLFEVQEGSKKNQDDFLVEVQDKQLLKGFFDSYAVI MECVIGDDETVTVEARYDDTVLNAWQMERLYRQFSHVARQLCTGNATMLLSDIDMICP EDVSKIQSWNEKDDMQCVESTVHEVFAEQVRLHPQDTAISGWDGDMTYQELDDLSTNL AKSLVLRGVRKEDIIPVCFEKSKWAIVAAMGVVKAGGAVAQLGISQPLSRSREVLEDT NAKFVITSRQQQTSFNGVIDTVVVDEQAIRDHMCQGEAKLPEVTPSNAVYVLFTSGST GRSKGIVVEHRNLCTSSRAHGVHLGINRGTRVFQFAAYTFDISCADIFTTLQRGATVC IPSEEERINDLSGAVTKYKADWMFLTPTVAQMVSPGSVPTLRTLVLGGEAPTEENIKT WSDKLRLILIWGPAETTIYATGTPPTTMETSPARLGNTMACHVWLCEPQDHNKLTPLG CVGEIVVEGGLVSRGYLNDKVKTAASYILDPEWITKKGLGDSGDKPRRMYKTGDLARY DEDGVLRYVSRKDNQVKLHGQRLELGEVEHLILKHSHVRHAVARIPRAGPLKDKLVAT LSFHRLLTQPNSTDTDNEHFDGSITKLVPLRSLEGGAGDVDVSNIRQDLEDRLPSYMV PSVWISVEAIPLNMNGKINRKTVNEWLEGLGQQTYELLLQGNADGDVRTHPSTQEEQV LQGLVSSVLNLQSPSLGRSFLSLGGDSITAMQLRVRARVQGINLTIQDILKSKSLMAL AARAQATSPDLATHSPEPAGAPFGLSPIQKLFFNTAQSTKHFTQSFVLRINQKVTAQH LQTAIGSLVSRHSMLRARFSQASDGTWVQTIISEIEGSYSFRVDEVNGRSQVPQILEK RNDLDMDIRNGPLFAAHLFQITQEKNEQLLFIGAHHLVIDLVSWRIILNDLQELLTTG TLSSAPPLSFQTWLRLQQEHATSLKTNEVLPFKAPAADFVYWGMEGRPNVFGDVTTKD FTLDETLTTKLFGSSNLALNTKPVDIILAAIVHSFHDVFSDRQMPPVFCEGHGREPWN NDVDPNGTVGWFTTISPVYLSSPSPQIVENVRMIKDLRHKIPGNGMPYFSSRFLTEDG RQEFGDDSRLELLFNYLGQYQQLETKDAFFSQVDLAGDSAALNQVGGKLERFALIDIS VVVAHGRGRVVFTYNKNMSHQDKIHQWITLAQDAIIRATETLNCMSRRRTVSDFPLLP GLDEARLATVEKTLAEGGINMNNVQDIYPCTPLQQHMLASQEESPKRGLYEVDVFQQI LAGQTGPFKAAIDVKSLQQAWRKVVNHHAIMRTVFIPSASRPDCYDQVVLSSYDPDIP VITCADEGDLRDRIKSYKSLGNHSSARNTSEQRPHQRFTLFVTADGRKVACKLEISHA LVDGMSTTILFRDLVRAYGGRLSAKSGPAPYFGDYVAWLEKQSTPASVSYWRNLLASS SSRLFCTVSRPRGEHRSLNITLNPALIAAIPIFCRLHGVTIATFFQTVWGLVLRQCVM RSEEVPLFGYMTANRDAAVPGAEDMVGPMTSMLLCRTKFDPTTRVGELLRRTQGEVLE AMQHHSGLAEALQEMEINDSETIQSRNGKLALCNSVMSLQYLEAGPSIGEDRRAATAP PRMKRADNAPLRINNTKKNANLVPRKPRKDTLRSEDKQISLRLLGYRDPNEYDMSVGV QIINNGSGNGKTNIDIKAGFAYWTDALSEAGARRIVRAFQRCAEELSGSSGLRVWMVL RRLG
SAPIO_CDS9292	MSPDLALQFCPGDGSLEIEHIYDYEPGGHHPVRLGDTFGDAGRY RVIHKLGSGGFATVWLCQDMTVTETPGYWALKIVVAARRRI
SAPIO_CDS9294	MSLPHSGGPGDSAIGCEVAADSGAGQRSRRGSATSDLSTLSFYG GLKTDLLDALDDIKAPGNFAAFGAIPDHDFEDPELEVEGVGAIDLPLDEGQARQLIAQ ARQAPFGKGTETIVDTSVRNTWELDAAMVKFKNPSWGRFLLRLSCTIQQMLGIKSPIE AQLYKMLIYETGAMFKRHTDTIKATGMFGTLVICLPSTHRGGRIVVKHAGQTMTFSSS SARQSFACWYSDVSHEVLSVVSGYRWVLTYNLTLPPEAARPTAGLAVGEERALRHAIR RWLLHAGDMACEDDSFNLSLDPDRDSDHFYYGLEHEYTEESISFAAMKLVDRARVHAL STVSKSLPVDIFLAVLEKEERNGVYGDYDDYHYRYAFEERDDDDSDDSEAYANPPDRT TDHIESIYSIKKLVDLSGNQVLSGVKMVEDSALQTDFFGDEPDDVEESGFTGNEGATE TNWYRRAAVVIVRRAATFSFLWGCTTNHHRIELGNNTHSTISYFARAAKKPTSKQWGL DALKEMSMRRISGASMMTILMIAIQLEEWGMFEDIARCLSSSHLDGVPPTFFESVRHR IEIAPGSFDRLKDGYESLPSAAYQWISLMSRSLAYAILSNPQLESRLSSLMVVAPPPS AASLAVPVRQWACGVLRQALYDARSTILCEDDGKHVMKCVKAYCQSSDSIMYQSVIPL VETKSTDVPFTITFLNELYHHMEAGWPLRTSMLENWKAIASKMINDMNVCNLYNSGNP PALKVPKMDSSYTYNFGTPLPRSVSNSNSITLCSLVDFFGLETTELIPAEEMHELWVP FLQDLVPLLETGSIPLSTPMFQQMFTAILTSYITKFLCDPNQKVGRFTMNEMRRNHLS SKLCSGECSTNTERRGSPYTLVVTKTFAKNDQERRMWTSRKGKAEQMLKTFNQTKLRM LLGDSYNSIRRFEVLTQACSTVSTKRPKVSPSRLLVPNSLDPMSRDLPSSSGQLPRSS SISLPPIIGVKRKVTDGDVIDLTGED
SAPIO_CDS9295	MELALRTDQETRIEVSIIPEDVKKKKLPTRSNKDETPQAIEEAK KEIGINENTREIYNHVLRIEIFAPNVPNLTLVDLPGLYTGESLRQSREGGRVAFQLAE RYMSQKRSIILAVVSANTNVEMDGAIVLAKKNVDPSLSRVLGVVTQADRIENDHTATQ LYLQLAKGEDKMLILKLGWHVLRNLSEEEARLGCSDDDRDRKESEFLSNSAWREYSAE HKGIDNFRKKLNRLLLEHISLSIPGVISDIEEAIANRTAALEQLGPSPVDVLDTRDYL HDVTSFERTPEQAPTSNGYYYSPAGDINSDLIEKFYIHLKDPEEITEPLLKQHWASKV VENRGQEFPGETNPFAILGRFSEQSTAWKGLATDHLHLLVHVATIFTEQLLRHILGSD PATFDRIMANYVTLFFAQKEQVLRRKLEEILPSSSEYEIAMEDEIEHDTNTRYRTRLN NQISKLEVE
SAPIO_CDS9296	MSLASADMKREIHAKPEYGRKYVRYLKNVLRKDGNDGSYFALEK TIDNMIVYYDHHLKHFTENVIILAAEKQLIRDIPKILDWKLHFSRMDDASIKALAGEP PEIPRKRERLEGEKTSGSHMRSRSATNDFVNGVKMREVEEDL
SAPIO_CDS9297	MAEKITEFLLDTALTLGEGIIKVAAPEIIKAIDATNDSISSQTL DLAKALDLSGAFIPGNPLAFGPALKLAKSLTSVIAGDDQKEVIDAIEWMEKQAAPFMN QADDVLQQMDVIIKTSEQLERETHDKVHEAAISAYQALDDAVAGLLAIQRGTARLQRV DPGIISKLDLAAERFGYLHKVGDTNAWKLGKDASALMSFGDSTKTFAETLQQERNKLQ RQLKEHNDTRGRHKEQLNALRESEESLRVRRRKAVAELEDGWNQLAYIFDSSPKKRYE RMISEADQGLEKNASSQREVNSKIQGLHLLDTLIGWAMRALDVLTASVARIAQDFQAK FRRILMAQQISDRLFAGLLGISNAIHDTNFRTSRDNSLHVVVNVLRRYHELRADHGAL DIPGIAERRIQRAICVKLGEGGVRKLMEPPAPVDVNDPINDM
SAPIO_CDS9298	MVSSALQHISQVQTATIREQYGAKMSNKFVEELKGTTLFQYNWG ELLSAAPTALSLMGSCWLAAANPTAEQISLSESKPEGGFKYMTNVPNPTLRSCLVDVC NNGGRNAFTVAGANMDALQITSRRICDERIPLVFKRLGPSTGNPDAYEDFKDALDDFS SDAKTCARLSSEMRLAFAKWGQMINELHVATENQSGQTAIKADRTRIDEAVAKIEEKH ASEAFENAKKRVTRAAKNVDKQQKNLDTMIDKVPSPWASVLQSAVTSFAQALPSIIGG AVMMKTAPLAAAAALAGINANGGQAGTAANTSAPSSKPQVKPQLDDPAYATAAAFLVF VNHFWEYMGGDTGSIDWDKFKETTTKTGKDADADAGAPQGLAYILGTLKGQKTNIDVT NTAPNKKLLSAYDALIKVATELQEHLRKQTQMTAKTEPEGTVVKEWKVKTKTARDDVL ELAASAKAMGSTSVPQPFGNVQISTPDLSAQRAQLDTAMQGVQIAQTALDNAEKAYEG AVDKQEKTAKAMASIQSKLHKLQTTGKTLEEIKKVLRDCILVLVDLIVEVQKLEQFFI MLNTVIEHVVMPRAMTFEREMGKAALRAMKSGVLRIDDIAKQTIYTSTLQIKAYFSLL QDIAQMYSIVHRDHILGGVELCYKLSKGTASNDPMPGLQAELAAYTDKAATKVANMVR EKQQEILRTLRDRARRAETESKMIEGEILKYGLSIDQSAKRAIEAGALEQKTAAQILL KNDVSVTASLTVVTSEEVDAFNM
SAPIO_CDS9300	MSTYPGSSRLLGKTIVITGASSGIGRSTAFEFARACPQGLKLVL AARRVDTLQDIAKEITSEVGDAVKILPVRLDVSSSEDIRQFVPNLPEEFRDIDVLVNN AGLVKGVARAPDIAEEDIGIMMATNVTGLINMTQAVLPIFRKRANGGSGDIINVGSIA GIPENRHDSSPVLKCKTNQINCDRA
SAPIO_CDS9301	MSGAQMRGSAEQPQGLSEKERKYDRQLRLWASSGQDALESSHIL LLNSGSGAVGIGRFTIVDEALVSEADLGSNFFLDENCLGHLRAESCAERLLELNPEVE GDWYPKSNPRPAVITGSKKLCLTGISQTGVDLAAVLASPVSFTAIIYTFPIRADHLAL VDSYAETHKIPVISIHSSGFYSYFQVRLPGIFPIVDTHPDENAINDLRLLNPWPELVE FCQDLTSDVDGLDDYEHGHLPFIAILFYYLKAWRDHNDSRSPSTPDEKRAFRKMVLAG MRTSNPEGGEENFEEAAAAVLKAISQPKLPASLKEVFERAQGTPVDQRTGFWAIASAV KEFYDRHGQLPLPGRIPDMKAKSDVYVQLQNLYKAKARADTVEILDSAKSICPSATVT MKEVELFCRNAAFIRLINSRSRGSDQTQLIADQEFANDEIAKQAGMGTSLFPIYLALR AMPNSHVAGEDDILANIEKSVPSASSHESIRLAAREVSRASGELHNIAAITGGMAAQE IIKIITKQYVPVDNTCVFDGIGSRCQIFYL
SAPIO_CDS9302	MLNPAQGGGMFHTFQGVTPRKPSLDSQDGGKPSGATGSKRITTP HACAECKRRKIPYFVDVVMDNNPAGSASRVALRNAASMTSIARGTLEALSQSLEECRS ILKRLFPGHEAHALLPLSKQELLALLDRPAAHPTATALPSPPLNTSALSDLESPGISS LEQIPSRDTEWDEERRGRDPIPVEADDVNALSLSVDRQSSYLGASSIKAALMVMLRVQ PGLRTALTTPLNNVEIANNYPVIRQKTNAPKDSQRVPWSWKGQTLIDAYFKRIHVFVP MLDEAAFRADYLEGQRFDAPWLALLNMVFAMGSIVAMKSNDFTHINYYNRAMEHLPMD AFGSSHLETVQALALIGGYYLHYINRPNMANAVLGATIRMASALGLHRESLAQGTSDL AAAESRRRTWWSLFCLDTWATTTMGRPSFGRFGPAINIQPPEFGISQQRDSAQHAGIL PLIENIKFCKIATQIQDLLAISPLLPTDDRCNLDNQLINWYNGLPWLLRTTDPCAEPL YIARCIMKWRYQNLRMLLHRPVLLTLASNGLSLHVCEQDIAAIETCRDLSCQTVEDIA REWTRNQMSGWNAVWFLYQAAMIPLVSIFWQSNSPRVPEWQRQIETILELLDAMEDWS LAARRSREVVWRMYEASRQPALIKNEGALLTEGAERGPLATDTDLHMSPIGIEPDGMG MVNILDQQGLWDMDAVYWGQHGERSDFAPYAMHDGGIALDYDLMINPGQPLDTSYFIP 
SAPIO_CDS9303	MAASLGLREGESAAGLANDSQIPIKPEKENVESPEKKVMNGFLL LRDDGKGHADAVKSLYFVYIGVARLVATYIYASLFTYVAYHLTRNVRRSYLLAALGQE IAYYDQGTSGSISQQATTNGKLIQSGIAEKLGIVIQAISTFVAAFVIAFVTQWKLTLI LIFMVPTLLVVLGTAGGIDAMIETRILQVYAQAGSYAENVLGGVRTLQAFSLRPRVIA KYDSYLQDAYTQGMKKNKLYGVVFGGQYFVVYAGMGLAFWQGTAMLDRGEISNLGTVF VVLFSVILAASTVMQVTPHMVTFSRAATAASELFALIDRQSEIDPFNESGDKPDETAG IIDLHGINFSYPTRPDVAVLEDFTLNIPAGKVTALVGPSGSGKSTIIALLERWYNPHA GSILLDGKDIGQLNLKWLRTNVRLVQQEPVLFNGSVFENIANGLVGTQWEGASQEDQM QRVQEAAKLAFAHDFIQNLPRGYYTRIGERGGLLSGGQKQRIAIARSVISEPKILLLD EATSALDPHAEGIVQKALDSVSKNRTTIVIAHKLATVRNVDNIVVMSEGKIMEQGRHE ELVSRNGIYATLVKAQDLAPANIQKNRGSGGTSTSDEASEKGGDRVDRVQSLAGIQMG EARQLAAKDPEDHSLYEKTGIIHSIWKLLRGTPDIWPWFAVTIATCIGGAAVNPGQAL LLGNIMSVLTSANIVARGNFISLMFFVMSLGILIIYFAMGWSTNTIAQALSRKMRREI LESFLRQDLRFFDRPENTVGALISRLDSYPQAIVELMGFTVAIILMSVINIVASSVLA IIVSWKLGLVGVFVGLPPMMLGGYTRVRLETKMEDEIGQRLSASTSVASEAVMAIRTV SSLAIESTVLRKYVDELDLAISQASGPMFHMMTWFSLTQSVEYFVLALGFWWGSKLIN DGEISFYQFIVSFMGVYFSGQATALAFSFASSFTNANQAANYYFWLAGLNGTIRETDD SRKKGPESGCRSYDFQDVNFSYPLAPDNQVLKGVSLSIQRGDFVAFVGASGCGKSTMI SLLERFYDPTSGAIIIDSTAPLSSINPRLYREQVALVQQDPTLFPGTIRENISQGVPN LGATEAASDKALEEACRMANVWDFVSSLPEGLDTPCGASGSQLSGGQRQRIAIARALV RKPNVILLDEATSALDTESEKLVQSALSEAASSRDRITIAVAHRLSTVRDANCIFVFH AGKIAEAGTHGELVAKRGMYAKMCEAQKLDGAA
SAPIO_CDS9304	MRPAPSLEKGGSESWSRTHNSSSDSKLDAISRKIDELSQRMSQL SHEPTKNRTYKPLDFADPIDTSQEERSSPFSFGPTPSSSSLGSTPNQGALPYNPTGEG VREVQRDSSKAEYEGESSLFAHAVFAGRFLQQAVNNTTNAEVAQEMEAVLDGLKAAVQ SGKQQSDTVDRLYPLAKEIPFGSTTRNLPLPPIDKVFMCLRMARECPQVAMLWLGDFI RPTQFSEYFIKIASPGPATEADLIIVHCGLYWLFCECSKAVTDEEMKQDYYGQAFICE ANLETVLANLRFHQQTSMDFVYAMGMASLYCLQKSKPSAAWNFINSASHMIQALGLQH NAPADMEGPEEKAQKRNLFWTIYMTEKMLSLRLGRSSTFRDQDITLTRLGMERSGGSF LAELAPGWINMASIQGRIYDEIYSPGALMQPPHIRTSRARALAAELKTAMQHAQDIHV SLSRPPARDREVISSQSRLETTPTSDWLQDHYEASKGQVLGLDYHEIARRSDRVIGLS MLTLIYRSIAPEKPSTSAFCQECIDAARDTLQEHDQCVAVVIKARGKTVFLEAYINWT IIQSPFIPFIILFCHIIETSEASDLEHMRGLVETLESTSNSHAHNTCAKQRRLFKALY DVAAKYVEVKSRSDGGEGGMLWSMARQQCVDAFASTTSNGPGPDSLDSGGIVGDLRTT NTADALGQMPSHGEVNGDGRGLADGLVGPTALQTTAFSRDVDMEMDLSGAQLWDWFNK NQSIMRMLEDT
SAPIO_CDS9305	MDEISEAARQISLALRDREVLLNIQLVTSTTCPRIYAVALHRSL PKSRRESLPKPPNLVVSHQRSATTTGQNGWRTASATFATTD
SAPIO_CDS9308	MKDKPWAVFLIPNGYFDAGKGRLIVERQSNQRFIALSYVWGQVL VEPLSKGALPTGIPNVIRDAITLARKLRYRYLWVDQYCIDQDDQQMKHDQISKMNQVY QAADLTIVAAAGEDANYGLPGVGLRDRMVGQTTLEITTSLGEFELTATPVHPYEAMSK SRWMQRGWTYQESYFSKRILVFTDDQVYYECRSCSSCESLDFEFKAGWFSAWLDSRPR IFLPSGPYINSTGETRLNQHPPISKFLSNMEKYTSRALTFEEDSLNAFGGIIEEFSRS SPCRGLTTLEEKMFRLRSGGNIDDISQRILQRNSSQVPGQCFSIWGIPFKTRGFQSNF LDMDLIRRSAFPSWSWAGWEGSVVYPWKDDYQIGQGIASEECIFNVLVDGLTFLDNQV LTVAERSVKAYPDPSAGSLPRVDSVFGIHSPKFRIISFNAWVVPAKKIRFKESIQEAI EWYVGSRKADIHLSTPARSAVEMLRQLRSGQLMVIPLFERRLDLKGQKAEILDQLLVL DEDQRQDFCVLLALHWNPDTKAWTRAGVIHIPLGIESSVDICRELSCGQFRVRVNIE
SAPIO_CDS9309	MDRNGNGAALASKSRAEQFEDEKRRIIESCFNKTDPDGSLQETY ITHIRITEYSTHPSNPPPPYSRTPEVEKPRIIIVAVRKSGRVRMHKSKENSNGTFSIG KTWNLDDLSHIQSYTGPTVDANLRQWAGDTGFLVTIQKPYFWNAQTDKEKKFFIASLV KIYGKYTNGKTPELSGFDPRELDQVLGRRPPPVRMPPSENTAQRNASGGPRTPVMPPQ PSPTMSRSAFPPTGKSSPAGSFDSSRSQNQAALRRLAGSDRSQDSLVNNNSNSSFNAP PPRGDEASPRPPRSRGGMAGPGAYGRFGDPSPEPAALLPTERPPPERRRPPIDLGRPQ QFADRDLVPAPLMSPGMKRDPVVPPPRSTDRMSPRNNSMSQRSDTSSLRERSFSSQKE PPRREDTAGSLKSPSQSSFLRTSQNVEPPVRSESPAPMEPEETRPGLNPMIKPRKANP EPTPTPPPPPPEEPSETRPGLGPMIKPRRFNTEPTSQGEQEESRPGLGPMIKAKRSKG DIAGSLWKAAAVAGAFKPRPGGAGERLRLAQNKSDDGPDGITSVVPAPPRPAPPPEPV PAEPEHPKPPKSEDRRTPSVPEVKVTVPQSSRPNSLQSSVKDNRQSIEEKTPPKADQQ PAQEEERRAILAGNDAKYLATLGVDPTQIPGLLENPKSVQLREWMDVAGFVPGDQMRS CTWDKMKSDLDRELDKAQAGGWLSRFKDEDERIDAIKEGIDQVIAECEQLDDLLTLYS AGLGTITGDIDYLEAQAEGIQVQVANQKALQNELRMLTDTCALDRDWNVEPLRRAPLE KVNGLADIEESLLRLYKTMRKIDPSLCGYDGKDKSGGMGSDLGALADDLELAAALGLD ADPTSGLTSSYGRMRIVQEKKEVCLQESNIFINRFLRQMETEFDVAFASTRNMLERAL SRKSDKEIYQTHRRYLWKYCSLTLYARDIHLDGWETLLQKYHEQGNPLFRPFFGGIIE SWKGYAKKATGEEAELLFTHQPEKQHEGLATAARKLTVKRSQTLAGRFRSDAVKNIVA DKSTDNKSQSYEVFRNVLDDIIPLVEMEHNFVIDFFHATTLEQISFEDYLAANPPVQD RKAPDHEWLGFRRMEPDREMARRVTKTMEGMFSFLEGDLQKLVDWVVFNNPLHAIGVL ASLEIKAESLSGSNQEFLNALLQKIRSALEARFRKFVDEQVRAIEETKVKMVKKRRGV IHFFRVFPHFAVAVENIMAAFQSGAIDVRHMVNEEYSRVLKAMFDALKVIARESKGVG VSASTNDPEDKEALNYHILLIENLSYFIEEMGDHDVDVLADRKHEALQEYNKHLDQYL SSIMRRPLGKLLDYLENVEAQLQALKAPDKIALQPSNSKSVFNKVLSVYDAKEVRKGI EALRKRVEKHFGEADEPGVGGGKELVDKVTEECEKYYGKVELRIATITAEVYGGDVLF EWPRAEVRSAFAAR
SAPIO_CDS9311	MATTRTPNTALAVPDNDLNAMTPVTEISGASAIGYVPHKTPLGF QGFPFPSSQWPTQTANLPVPPANPWEAQLTGPPVANKHPSKQPQRQNPANLLIGHGVF RERHRFDDRVTMVDASFLGPDDATAKAKGTLVYGEKDTLQGDYIDPELVAKLGYTARP CPPDHGELKYFVDATVGFACPSLYCRLDTVTLRLKVTEGLKDQGGIGVLFSISTRLQE ALVEKIGLQLRHPASGPASLQPNEFVPEASITMPPSSATIPLETEALPQVQPEGTQDV NIQAGTDLQGFQFINPLDFQPTEIIDNNGSAVEFSTTFEFPRILGTPNPGGDPFAGYQ ADES
SAPIO_CDS9312	MNQFLGGLPIPSFGEESEDVFQQFVQLAGCADQGLDCLRKADIK TLMSANHELMQNQIESLLLSHCSNEAVLFLDGFIATNADFDNFLTTVFANHTADIGLN QKVADFYPEVGCPQAKYKTQADRRADSVRDSSMACNIRYLTEAYGDSKVWNMQYSVSP GWHAMDLVAVFYNKHFSSSSWNDVLKNFVLLPLGILLSDISAAHQSYLASYTVRGDPG VDRVVLNVLPTVKWNHPRSSSGENVGGVVGIGNFLVSEVEDGWMRAGFGGSFYAAATA EGGYVAPGGEVSQGLVGVEKDIRRRYEGIDR
SAPIO_CDS9313	MASNTDSLEDSLRTLREEGFLILNDSQVGDLVSEMEDRGFPFLT SYGLHYCKQHILDNENVRPILEGLLGTCKLGHWIRYNSLPDRIECFRKGGRRAGLRAL VVQQWAKGSQAVYYAGSHLHDLPAVPGERSLYETEEEELEKAGCKAIEKIFRDGEL
SAPIO_CDS9314	MMSSKRKALSAAQGATKAARASNASTPGPSTPRDNGDNLEQSEQ PSEIRTMIARNVQKFDISAFPCTLSPGVRDIASVFFQSEHGRDFTALRLKPNHKNLPL KIDGSGTLILETFHPLAPRVQDFLITIAEPTSRPTSIHEYRLTTHSLYAAASVGLSPD DIINTLERFLKTEMPPNVIQYIAYRGKSYGKVKLVLRNNKYFVETTDPAILQALLKDP VIGSCRVQGSEQVTTSAPKMAGLVIAGTMEAAGVKEAEGLGEGNLNQVQELLKQNPMA EDDDDDDEDTIHAFQIDDEKVSAVAQRCLALHFPALEEYDFRNDHANPDLKINLRPEA QVRPYQEKSLSKMFGNGRAKSGIIVLLCGAGKTLVGITAACTIRKGVVVLATSNMSAI QWRNEFIKWSNINPDNIAIFSLDNKSVSTGNTRIIITTYSMSREWGLMLLDEVHVVPA QIFRRVIGSIKSHSKLGLTATLLREDGKIEDLNFLIGPKLRGDKIIVFSDNVYALEVY AKKLMKPFLYGGTSNSERQDILNFFRRSPKCSTLFLSKIGDTSLDLPEATCLIQISAQ YGSRRQEAQRLGRVLRAKRRNEEGFNAFFYSLVSKDTMEMSYSSKRQAFLVDQGYAFK VITHLKNIESMPDLAFSTPEDQNELLEKIVVDVESKPWKEEQEMAGLFAEGNMFYKPD GKPQRKTANRRTGGTLKGLGGGQDMAYAEQNKKAGKKKKGPQSAFFKSIKRENERRRI CDQAKSKEKGIRSQSESDSDDSIDHNGNRGQKLKKRARFTRQGQLAPPTGPEEIEYAG TRRSIISRNPALIDDDGFEVDSEDDDERLQDALANAADMNPYANVHLEQILAPLTAVT DLADHPALATAFKSKNLTQLANQASELMRKEQRALWKVKRLMTGFCGDYTWIPCGVLV GPNDIELYRDDSAYQDLVRQRLAITGLSGGSSGAAVNAEQKPTVNGASSAADTSPAKD AGEKATAADDVTMADVDAVQQSDKNASPDKEEPEKDSDQGRSQGGVEAAEKSSTADPK PGTGSSEKPAKSEENMKSNSSTGPETVTAKAVDNENVEMKDANATTSNEEARDSHENN EVGQPSVKAYAESDDFPIHPLFTPPTYPRPDRDMGLPENEAENVRHLLSLYIQKQEEV SRGAVKLYLNLLKADRLRQQVLRWSKAEGHVGELSDGEDWYDWEEWNLTEDLKKGQDE EEEELPVGKKTRTRRS
SAPIO_CDS9316	MATTTVFFYASEKAAALLKISVVLTEDADADPPRHPSKSPTEAE KCRERDRKCVLSGAARPHVCRIFPFILTKRCTHLEDTLWPVIRTFWGKEYADHIQVFL VHNPHIIDSAQNMICLSPLLQQWWRKAYFALEPYKKLPDGVRVRFRWLKRTTFTVNDK LETLAIDPRDCLVWHQEEGVIAMKDLVSGHPLLDGTLFDLTSDDPQKQVSFGLLQLQW DILRIAALSGAVEAVEDPSWNPDDDGLSE
SAPIO_CDS9317	MASEQGQCQALRRSDEQRCQNEATHANGLFCGLHSKEAFSLYKG YKRRNARLDSLDDDAPKYLKDSRVALANDTFETIEDKKTLDDIQRHLRKKYVLLGQVI DARKLHHKHFYSLNMDYGHEAYLNKLITQRHTVYLALRRVIKQTAKVLYQMEQWYAWV REVQEEEEASQEKEQKKVKQEAALFRRNVKELQARLKVMREKEEQKRQDAFLEDAYRE RMAMSEDEDNDELWDPIDDVEYEKRYQYIDLIKYFLWMDILDDEERSDPKLETKTEEL SLADEPQAPSKKPKKKKAKGGSHAAARSSADTAVKGQTGQRKLLAMQESGQTEGTELR EPDKKNIETEQEMRKRLSQGVKKNYENWLREGPGMSIRIVGTLENPHETMDRTAPMTD DEIDEIVRDVKEIKLLLFCRLILGQAPILPAAVRASSVEEFLNDAEVAESDLRDLCLK VVEPTLQQIRDACADFARGDQVEDDPEDVTDDDNDETFEELISEDKRYKHLHTDDWLL EKVLDMAEKTDRKQKKTKAKRQRVKSKTRPRKTKVTICGKSIWNHASEKAISRDGWLQ FSVIAKDCDFKHAIQLCRNWAEFSDLNLLTIWQYFPASNWISWGCNRFIQQLQELGFY PYFMDLDAQQHSRHFQTGSRSRARRAHDIVETRNIIVGHMKRNDPVTRRFLQYLMMRT GEVLIMVRDGKTGRVITAPPEEHLWTYRKKQGFGAASKNEWQNILEVGPDYCALTDRL RQWRFGFDDYYDVFIWDFVPGQTPTQTYNVVITELRFAWRIAHPRDMYAHMEPLLRGL TREKDTMRTRKIKPGEEVDSLWDTVMDERNEFRLFDIKEDGMSSRSGSELAQSPYLFY NEANAAEDQVLFPDELTSKKRSVPFREIRNGVSRITDGITPSTTLHLAKGVEAIMQGK DPVKALDALSDQIEDSIWAMPTVWTTAMNQVRQEKPSGEQLELLKRTGLYATHKSVSF SHRLETADQMEVMERDRSFEFKKTFHAGDLEQGANQNFQDVQDKIATMLKTVHMGPTD WVFFLAEILDWLELRGDYDDYVQDPAYPWPHSFIVQDLVRAFAAVAMFFPEPDAAKQI TMFLNSKQCEDFKNSLLFDPKERSKTRPDRRTRTSYKFRDKKFWNEWNDFLKTNSKSY FADVYPLDWSIAIRPIIAHLYQAGVIAPAYLENDTRIIAGMATAKAEPHRPDKLDLFI NYEDRHGNFPMQYPPSFVAPDKWIQLLPLIQKFADRHPNARFALLRLWSAPHYYPLMV GLHNRQCTSFLDSCGRSWEWKFVPKDMPGSEYSVHHTTGKRLQLLLGKFGDRVVSRGD LILVMGEDAEDLLKHCTAVTFAIQTKPWLREVDLWKSFINVGIDFFQDLDPFYLE
SAPIO_CDS9318	MDGYPPGSLDPSVPFLVASGLLDSPPNDHYGGSNTATEGTVLKS EVPSLTGENAQVLHDYLAGVDATLPPWSLGDKKRPYRYRVESVGRTYTLPPRHAVLPE DIELPESEIVLHSPLSPLSPSSSLYPDGIINPDWLLRHQNYVPSVFLCFYALTSDQSR ATLLDNQLKTDINAIKAEIAKSGYKTRLAVALMSDTSSSPQSVTQGIQERLENIRKGT GLDTGKSIFYIAPRKSSEELTEAADTILTALYPQSLEYYRDLGRHARKKKNRGIIPPP TIPPTSGTSQTLSLSGWQVRYDFKMAVFSEFRQEIDVAMRTYEQAYDELLSEEVWDLI PSWSPRWNEARLLSDIIAIRVLRCFLWNEQTSMAVSRWQAHRDRIGEFIDQRGRGTNN YGWKAWETRWSLVMANLIERMDIPGLHPSTGLLYLPPEKGLPRERLYPWELMHHTGYW YRQAAWHTAARRALAYSMPEEDRQPPESSAAAASTNAGYTYDTYMCPEPYLEFPLDKP GVDYSRLIIDCLMAARTQFHARGQHRLVAEVSLECARESAKLEQWEDVIALLRPVWED MPFRREGWTDIVEDVNWTLRAAAAQKGLGDLVLAIDWELFHRRFTRRKNWNYDLSKSL EGVPLTSKPSASLSDDEISSFLSASFAFRSGEGKAGDVSSAQLIITSDAFPDSVPVSV SSLKVEFEGSLRDILLTHDPESPESNTVKGNTVISKITLREQDNETLTEDDDDEDSEE PTIFSGTAALTLAPGQCRVYELAIPLREPGEARASSVTVSVDCETFKLDYTVSFPESS LGITWVEPSGFRRIIGRSEAQTIQVQPRPPKLEIKLLNSLEQYYANEAITLEFELVNA EDEDAIAKLDIHAFGDQVPGAKVKVLELPEIVSPANEEASKLAGVSLGTIESSGKVGV QVHLDEAPQPASLEFNLRVSYHLASDPGTRILQKESFTIAIVSPFEANYEMVPRLHKD PWPSLFDPDTIQDLSDDDKDESVRPALGLAQRWCLMCHYASFATEELRVVGLDAQIVS CSPGTRCTTRGRPLPIPDDGLAVQPRVMQDVEFDIIAQKLSLEDRAPARVEAAFIIKW KRPSSSTVNITTMPVPPHTVLSTEPRVLASLSEPRLSSSQVASDIDGLVNLDVTIENP SSHFLTFGLTMEPSDEFAFSGAKKTTVHVLPVSRRTATYRLLPLVRDDFVRPGLVVRD KYFQKVLRVIPTEGMKADKDGLLVWIPGDDEDEDASEDASEA
SAPIO_CDS9319	MSSPFIVKEHKVPGQHIREYPHALANSQNDVLYLAVKEYIPRDN QNPQPGDVTILGAHANGFPKELYEPLWEDLYRESKSHGFRIRSIIIADAAWQGQSGIM NADVVGNDPSWLDYTRDILQVVNHLRPPTPIIGVAHSFGGAAITNLAHFNPRLLTSLI LLDPVISPYASTPGSLESSPAAHSLRRRETWPSREEAAKSFAKSPFYKSWDPRVLNAW VTHGLTDVDSSGASKEVTLTTTKHQEVFTFLRPTWKAFDERGERLVDKTLAPDLDLEN NFPVYPFCRPEPSLTLSRMRNIRPSVFYVLGEKSNLSHPPSIASRLRQTGAGTGGSGG IQFGRVKQVMLPGLGHLVAMEAPGECARLGAEWIEKEVTRWREGEAREFEEWRGKRKE EKTMLTEEWAKYLKVQQRAPKAKI
SAPIO_CDS9322	MENYQKLEKIGEGTYGVVYRARDLSAGGRVVALKKIRLEAEDEG VPSTAIREISLLKEMRDPTIVRLLNIVHADGHKLYLVFEFLDLDLKKFMESLPVSEGG RAKPLPDGSSAYLTRMGMGPAVVQKFMMQLCRGVRYCHSHRILHRDLKPQNLLIDAEG NLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGCIFAEMC TRKPLFPGDSEIDEIFKIFRVLGTPTEEVWPGVTSYPDFKSSFPKWTRSLSKPLCGNL NERGLELLEMMLVFDPAGRISAKQSCNHPYFEEYHAQQDAAATTTYRGNGYYR
SAPIO_CDS9323	MTLDLTELDAHEQPADWSRSIWKGISRAEQKELLSNPMITDPRS SDHLPRLRQAGAIASTQLSKAYSHLGHSTESEELADAPIYYHPLLPAGLLIIPNLLSP EVQQTLLSRLIHRDLSNPKHQTNLHLHYDLPYPQDESSSPEARSFFTYPPDSSIRFKP KDPTVHKPLSIKQVMERRLHWVTLGGQYDWTNRVYPGEEPPSFPLDLAGFLEDLFPET VAQAAIVNFYTPGDTMMLHRDVSERTDKGLISLSMGCDGLFMITPNDMKEPPKKEDGS DWDAKEGLDYLILRIRSGDAIYMTQESRYAWHGVPKVLKGTCPDFLADWPAEDGKCEG WKGWIQNKRINLNVRQMKD
SAPIO_CDS9326	MRRAAVLSALLAAATAQQVGTETAETHPKMSWSKCSSGGSCTKV NGELVLDANWRWLHEVDGYENCYDGNIWTDKCSSPEECGTKCAVDGADYTGTYGASAS GDALTLKFVTKHEYGANVGSRLYLMENTDRYQMFTLLGNEFTFDVDMSKLGCGLNGAL YFVSMDADGGKAKYSSNKAGAKYGTGYCDAQCPRDLKFINGKGNINGWNPSDNDQNAG VGEMGSCCSEMDIWEANSISTAYTPHPCTTVGQHMCTGDSCGGTYSDDRYGGTCDPDG CDFNSYRQGDREFYGPGMTVDTNKVFTVVTQFIEEGGQLSAIRRFYVQDGKVIPNSES LIEGNPGNEINEEFCKSQKVAFGDEDIFNQKGGLGQFTEAVAGEMVLVMSLWDDHYAN MLWLDSTFPTDASPDSPGKGRGSCPTSSGVPEEVEEAQGNDQVIFSNIKFGPIGSTFD QP
SAPIO_CDS9327	MPEIAEVARIVHFLRLHLVGKTISKVTAVEDAIVFGKAGTSGPE IETSLKGKKVISAGSQGKYFWIAFDKPPHVVMHFGMTGWIHIKDEKTAYTNYYNKLKK GEGEQWPPKFWKFQLETNSKPPVKLAFTDPRRLGRVRLVDCPASEIRKNSPLVENGPD PVVDKDIFTEEFLRKKIKSRKVPIKALLLDQKFISGIGNWVGDEVLYHARLHPEQYSD EFGDDHIKRLYDSIIDVCQTACDKLGDSEQFPEHWLFNHRWSKGKKASTLKLPNGHKL AFLTVGGRTSCYAPSLQKKIGSTDVKVEEEEEEEDAETVPATKATKKRTKVTTVETVE NTGSTPAKKPARKSVVTKVEIEEEVETKSKSKRTRVSVSKEEVTSSPAPKRQRKSAAA TTKSATSENVDVKAEDSGRRRSGRLRKV
SAPIO_CDS9329	MSGFEIAGLVLGAFPLLLEAAKELRGVFRDVRTWWKFEREFEDL ISSIEREQIAFSLNLDVLLAPLDISEEEREAMQFDPNSVLWHSPKIQAELKRRIQARY FPWFMRELKDIEDSLEELKDQLLSRIRDKNDALWRFLDKASNRIQLTPSPKQGSGATS FTAVQEHAQALYQCLQLQWFCTCTQNHPCGIKVQPSEGRKDDEKAYLQVLFDDNSCRT QLKVMVESPAIERPRTVERYRPDDISELSQKMSIKNRLKERFGLKGSKAVPLLAVSSV LTANNIHPADFALDEEPKKSRGKLSRLSIPGYSRERRSASPTSTIRSSTSRGSASEIQ RAPSPTAAPAPAAAPPNPRRVRFANDQPENSTHLERKNAKVITDLCSTIRCQDMDCCL GFLQPDRGNRLFIYLDPPDQSELQDCRLESVESFLSNTPRRETRIRLGLSLALSLLYL GPTPWVPPSWTKTELLVLRGSGTSTEKPQPYFSHASLPRTLKKRPAQAGTAAALARES LFKLGVVLLELVFRDTLENQHFRADYLDKIGQPNDLTDLCTALRWQTRAEEEFGFGLA DAIRKCILCAFDPTPDLGNSAFLQAVRQGVISPLTTFLNMWSSGGF
SAPIO_CDS9330	MVRHLQTRHPGMVAESQMQTILDAFKRPVRHSKLLSCPLCDEWE PPDSLIDQGSPSRHYYRHLARHLQLLALESLPLSIEGLEINLPEEETDVEESEGESDS ESLSESSRTVQCPTCHIRWYQDDGGTACHHCRAEGNASPEAPRRFSGLFRSALDLPSS SSVGDEDEPERIYIKNGYKVLWLGASLYSFNMSRTKYEAGYPFLTYQAGEIFDVIAEK GELWLAFNEDDPSEQVGWLWSKHFAKLADS
SAPIO_CDS9331	MPDDWQQYQGTIVNLYQGQNKTCDEVRKHMKNNYGFVKSRRSTP GPSSPYGDGLSPNRPAPSPLNSNMDTDEPPSSLIANVSGGLPGPIPSDAENFFHYFDD GTTGSFSAYSPGGQYLTPPDYADFPLAPGSPLRGPNSHSPGYPLVPGPTSPEPWAPFQ RGDFSLAGASESANFEGTQLSIQTALNPYTGPPEINITPAEELVQDSQDLGFPGPDRT PDYLYGYNNARPPRSGGRGR
SAPIO_CDS9332	MSVPSSELPSRATTGISTFSDEAIPEFDPSTTAGLLSERLQAWK HAVGYLEDYVSAVEKIHKAHAKEYERALKASCSTADAYAPSLMASPSKTRESKREKAK RDGMAYGGIAGFFENLRHNTQAIINTNLETEKALKGNVLPVLERLHKEIKHKAKELAS GAAKGAKEVEKARNTTQKHIELLGQQTASFESAGGKINGGHEDPYVIRRGVLHRLHKQ VLEENAHRGDLIAVQNNFQEFEAHTIHTLQQAMDAFTQLAGGQGEKIRALHSDMLGAI QRVPPEYEWKSFVARSGDLLVDPNEPPRVVDAITFPNMDHTSTKPLIEGTLERKSRNK LSWGYQTGYYVITPSKFLHEFKDSDNTRHDPKPELSVYLPGALIGNPVGDKFSVKGKD TSKTLSSKLSGSSELSFKAHTAADAEKWFRIIKEAADSAAAPLSPTSPTSPLSPPTPV DAAASAAPTASSAAAASGEKLEMNEAKVVTPEGEHPPQESGVSGGSAGPAKVAPADTK GTSAAA
SAPIO_CDS9333	MWPLTSLSRISGRSAVTLFEIRLDNDFIVFRGNGHESAGQALKG QVVLCLSAPLKLDELHLRLTGTLRLSWTQAKMTATGISQQKVDKTIPILQHRWAPFVG GAGKSVTLQPGNYEFPFEYMLAGDTSESLEGIPEASISYRLKARIVRRRLASDIHTYK HLRVIRTLEPSALEFLHAMSVENIWPNKVDYSLVIPQKAVVFGGSVGIEMRFTPLLKG LEMGAVTAKLMECRECVAQGSNLAKLKEFKTEREVGHWKFDVVTEDWHDTIDGTGQEG WVLEKKLDLPKKLRQCVQDINEHGIKIRHKLRLIVSLKNPDGHVSELRATLPVAIFIS PNIPLDEEGNLVMQSPDEPVPPLSDPETIAPPGYGEHVLDRLYDDVLFGGFQTPENQS GTNSPYYHPSATASSESLAHAAETGARTRTDPAIVEALASRLQTMSASRSRRNSVHSM NSGPPDEMSRRPSHENSPSGRVSPEHADETILEELNKVPSYATAVRTPARPRSYIGLP SMLPMYEDIEPDVPSSPAIPDDSATRSEPERTESTEYDSGDIQESSPAEQLSDFSSTR SESLSSQGSQRSQRPQTAIDASQPRTVRPRPLSGFSDALLQRLSNHAYGHYGHGLDPR PYQGMRPTMQCM
SAPIO_CDS9334	MFVLPPPPRYPSQASYGLANAGLFPLIETNNILTNPTGPEYQFL VGEGTYVLKEDLLLATPPAHPSEIPNANPNPLATTPQPATSGCRPTLVSLDVRHAPRF FLGSSNTTLSLNGAPESIQEHPNESRYSNELGALSSDEGRGTSTSDAPPTTVTLGSST PAFGEGNALLTPNVNPKDANKKRKPKSNMSKSNSSFISRVIGNDMLSKRLQDRPSDGI FAFANVNRSFQWLDLSSPTKGVINATPVSEIKWIPGSENLFLAAHMDGSLIVYDKEKE DAAFNASEESASSSGEVAAQPSSGKRVNYSKQIEVNKSVYSKNQKSNPVALWKVSNQR INAFAFSPDNRHLAVVSEDGTLRIIDYLKERLLDLFYSYYGGLTCVCWSPDGKYVLTG GQDDLISIWSVAESAIVARCQGHQSWVTAVAFDPWRCDDRNYRFGSVGEDCRLCLWDF NVGMIHRPKAVSVLHRASISSKLTNPQQRLETTNTKSSNRGRAGSNASADGEEDISES VSHPVEPRSRTAMLPPVSTQVVDTHPIAWLEFTEDSVMTSCKSGKQN
SAPIO_CDS9335	MTSVRICVCGDESTGKSSLIATFVKGVFTPGKIQPVLPAVTLPP NIGNAGTTTIVDTSARPQDRTTLRKEIRKSNVILLVYSDHYSYERVALFWMPYFRSLG VNLPVVVCSNKSDLTSEGSFSQVAEEEMKPVMAEFREIDTCIRTSAKLNINVVEAILL CQNAVAYPLAPLFDYKEGRLKPACVDALRRVFYLCDKDHDGFLNDEELRTLEVKVSGK ASTDEEISSIKKAINKSMPDADLETGIDLHAFLQMNKLYAEGGNHGNIWKILRKHRYT DSLSLEDSLLRPKFDVPQYSSAELSPAGYEFFVDLFLLFDRNKDGVLDRDELEELFAP TPGLPKPWIEASFPTSTVRNEAGYITLQGWLAQWSMTTFLDPKTTLEYLAYLGFEPSN PKDSTISALKITKPRKRRRRLDKVERTVVHCYVVGAPGAGKSSLLDAFLKIPFDGLHR PTIQPRRAVDSVELPGGKQCYLILEELALHEHAILENQAKLDACDLICYAYDSSDPDS FSHIVELRAKYPQLDELPAVYTALKADRDKATQRTELQPDAYTAELNVSAPLHVSVKW SSISELFVALAEAATHPSSAFPKVEEPSPDRTNLYIAVTAVACVGVAAAMIWKRSSTG N
SAPIO_CDS9337	MGSDPQYAKWPLLPLSQHVFTLTNPYASRSSQESAVKALQDAID EHKMAPFYRYLAHPVEGILNSVGEGKTPGGPGKPLSRKSSLVGIIATKSPVTTVTLPW DEALYEKLKADNDKELEGFQKEEDEAVENAGDTEILAARGKRADFWARVGDKDRAISA YEDVFEKTGVIGSRIDIVLAIIRMGLFYGDKPLVQKHVERAKTLVETGGDWDRRNRLK AYEGLHLLTVRSYNLAAPLLLDSLSTFTSYELCTYSSLVVYSVLTGSVSLKRLDFKSK VVDAPEIKAILGDGEDKLLALTGVISAGPSADDSMDVEQEPQAATATKTTAVNLTTLG TGTDQPEAELAIDFSPLSQLVTSLYNGRYKAFFQALAQVEEQFLTQDRYLHEHKNWFI REMRLRAYQQLLQSYRVVGLESMANDFGVTVDFLDRDLAKFIAAGRIPCTIDRVSGKG GIIETNRPDDKNKQYQDLVRQGDQLITKLQKYGQAVRLRGSERA
SAPIO_CDS9338	MSTTTSSPSAPRLNINNINPHVVKAQYAVRGELAVKSELYRAKI ASGDAADLPFKEVISANIGNPQQLDQKPITFFRQVASLLENPKLLEHPDVLVDTLGYK TDVIERAKWLLSQCQSVGAYSASAGVPAIKKSVADFLERRDGFPADPAHIYLSAGASA GVNTLLHIICADQNSGVLVPIPQYPLYTASLAVLNAVCVPYNLDESKAWGTDLETIKA SYAKAKAEGVDTRAIVIINPGNPTGASLSEADIRSVIDFARQENLVLIADEVYQTNVF VGEFHSFKAVLRRMQQEQPGVYDHLELASLHSISKGMVGECGHRGGYFELVGFDPKVE AEIYKFVSITLCAPVLGQCLVEVMVNPPKQGDPSFELYDKEYNAIFNGLKERAYALHA AFSQMEGVECGEPQGSMYIFPTIKLPAKAAEAAAAEGRTPDEFYCMRLLEATGICVVP GSGFGQKEGTLHFRTTFLAPGTEWVGSIVKFHKEFMDKYR
SAPIO_CDS9339	MQGGRRGGRHEEEVRMPRPPIPLRTLELGRQPANAFQMAKKGKS RVIIVRLLSMAATGFFYTFRRKRTAPPMSMLKYDPIVRKHVLFLEQKRKGGK
SAPIO_CDS9340	MAVSPFQKIQGHPVHSPPPRVLPTTPDAVTHLPELSPVHHSSDL GFKGDGFVPNDGMPQWRRLPTSDQQSPKQRPSPLVRHMGPPDVPHQHIVRRLPSASRD LGLPLKGASLNPVYVSRGRIDGKQVNRPAISGPPPDQSASVLRPASQNSNISRRRMTP VRLSLPFQGDEMVDLEKFGSAWNNYLQNHARRSESLAHRISELEKLIADQKKTTHEYA DRLKQQSSTISTLEDRVSELSDQNTILTRTNTEVRDELEQNDARRKEMQAKVKSYRAK LNEAIVEQQELYKRCKTLCDGAVDSIRKAKEESKSKQQAALEQFETGMNKATAARDAM RSLLEEELRVSQAYCEKVGEENTSLKEQVSHLTDELKEEKGKTQRLAEKIEHEQATQD RLQCLESKSNEILAQLQEASSLIPFDEATCTVISSKLDALLEHATTTATNIPSIPEMR KGLVDLFSMLENTSTRLQEDVSTVLSGQDSMRSTSLSLEATIRDQLSLVNDLIKSQDE RLLTKLSEKHDICEELSVRLAEKDGELQLASTRVNEAASVAAEKSRLIQELDSKIKAL EAVSDEKCKLNQENASLIKQLCNKEATTAELQLQLECALAQNESKSLELQNCLQEISE HMKANKGDSNDVSAMWEKMTLELESEKLKLRDTERAIQKYEGQKLTLERDLNEITAEK SKLSKELADMMASRDDENATLERTRKSLADAEQRIVNLKGRLKKSEFGKRDIREMLNR WAGSADGLGEIQGDILALDLDALRTVVRGMVAAHQESTAARNCLVALIDKPPSPSAHL EIPTRDSIIVATENPSGATNLQTEGNGLISRREFGTSDLLMQSSRDDAGLVENGIMSP EVEAANEPVRRIVVRSPFDETAGIAPPSVEQERIFRRQTPRPLSILKPAQGTQENNAM TPATTRGRKERITASSKPRGRSMPPLRSRNRKIESCVADKEGDSQMDDLMSGIRLGLS LQQGQGGATKLKRTTTPVPEGERPAKLQKTSRGIFGTLELEVGDLKSSYFPMRPQSRP GLADGIASNGPSMVPITKAKPMPRYSAGKITRQPSAKGRSRNITKTYSKKPQETETTV GK
SAPIO_CDS9341	MAPYPQEVYTGDDVGIAYPKDGSDLGTEQGASGKTSGAVQISRG GMIAIIVVVVAFTVIGVTTATLFYVAKKREWKVREGLRRSARKVVTALTPRRTEFPRD VKNASPNSRRRERMDDVPPTPRIRPEDLEKGLNAAKNKQARWGR
SAPIO_CDS9342	MKLSNPDAVSVYTVSGGAGKTLPDWLARRRKRNLKDDLEYQNRV ELLQDFEFESASMRIRISEDGNWVMSTGTYKPQIHVHNLPELSLSFARHTTSLNQSFQ ILSNDYTKSIHLQNDRKIEFHTPMGCHFETRVPRYGRDILYDRHSTEALIPAVGYDAD GNGEVFRMNLEQGRFMRSYHVDLGEPDVGEGLQGGINAASVNVGAIAETTHNLLAFGT SIGTVEFWDPRSKARVARLAGHDGGVTALNFNPNGLSLATGSSNGIIQIFDLRRPVPL LRKDQGYGFAVHKLIHMTTASQERKILSADKKIIKIWDEATGEPWTSAEPTVDLNDVA WCKDSGLILTANEGSAQHAFFIPQLGPAPKWCSFLDNLVEELAEEARPETYENYKFLT IPELKSLSLAHLIGKTNLLRPYMHGFFVASKLYDQARLIANPYVWEEERAKRVKDKIE KERESRIRGKKKVKVNQKLVDRLLKKQENRETVDVTAGILGEDRFGKMFEDEEFKVDE TSWEYRLINPSAAAATSLAASSANNGAGSKRSGKRDSDDESSDEEVPIKRREKASTKT KAKDEVVMKVSSSQRRGSARDSALGSRISQSGRVTKPRAENVVGEQSMSFVPESKKAK KQEQQQLLPTRTKRSDARRSASGNTFRRM
SAPIO_CDS9343	MALVVSFDEPVHIAVIGGTGLSHIEGFEPVASINPITPWGYPSS PILISRHNGVPVAFLARHGHNHQYAPHEVPSRANIAALRHIGVRSIIAFSAVGSLREE IKPMDFVVPDQIIDRTKGVRPFTFFEGGLVGHVGFADPFDHNLAEIVKKCAHHMEGNG VILHEEGTVVVMEGPQFSTRAESNLYRTWGGSVINMSALPEAKLAREAEIAYQMICMA TDYDCWHSTEDVDVAMVMKNMEHNGRNAKRLVGAVLDQLTKQEHSSVVLAKHLEGMSV GAVAGITKPEGRNPEAWARVQYLFPEFMKEG
SAPIO_CDS9344	MEAGEYTTNTLILNNISSIAYRTYELSATDQYQSQPNPTVIQDV ESLFRNQGCIAHFDTTRRIIWHFGVTTRENAISSFSIGPALPENLDIPGVTLSPVDDG SFEPMSLSRPRLPGPQGNNTPTGSLPGSSLLDAGLQVKSAASFSPASSSSWGVVEQDA KSLSMSGIDLKAASLGAITVNDAYDNFILALLGTILADFVKRTGATPLDNRTVLLCPS QPLGESQDATISGLSATASFRLYLTPTGTLIINIALHAGEGLLSLNKPQVSLFSSPYT ETPILVAPFGVRAFSQGALDLGLSHLDTGVAQTPDTQISRFHDTRSSRWRQICSRLLQ LKGIPSQVLDSCPWIAIRVPRQKLFDQRADGMPPSIITTSTAISWPACLCFRRHNASL PPVSRLGGNTLVGRDESYDPLERAKSWFQEAAERNEAIAKRKKERETPREAVDAENRS RQQVNGNSPLALQRAGNAAALAAGVMYPTPPDAIQNPSAPTPSLDGAVISPHAQPPSL AIVDTDVVMSSAAPLGDGDAYAERDRNEAPYLTDADHLFGDLGGDMFPDGELTDADFN FFDDQPVSNAVDLDVDMLTADIANPDPQTSIPESNPRQLADILPPPPPFPSGGNNTSV SDQSAVQDSSIKKESPVFAKPELRHARSALGDETKAVSKTRPGSTIKREHSPFDPDTV FKRVRTAVYRPKRPPTSAPLIRRRSVYDKVEFDVTLPPVTSKYVNGGKYAFTWSPTKE DLNHKAPPTTDYLRRHGKNSKNLKALPENHGAMIARITTGLESSSIHASPTKIDVLAD VECDDGSTISDQDDTSVSSEEAFSPIKSAVPRRTNNEDDLISHATSLRDVEVPDDSDP SFVLELPRLGRAGASEMPVAKLFADPEPLALQLSLPDEDMVAIAQILTEQAALGSLEI LSDVTLRKPPVPSPVKRRQLASLSRQALQGLRESIPASLAGAVECQLRAFSEIQDGTP SLGPPSRPLLQPRPVPGRDSSIPMPPTVYQIPPPHLELKRAETRLSVLPSAVSFWESL GLGPSLGPKDVHAVCVFPGWDGMADSVDLFMDRARSVYESMKLGSFGRLASTESISNG LVRYDVDKISVTPGTVFPRISSALAERVELLCQALRGSTESSKNFVVFFIYSPANPSS IVEACTSFQQLSEAYKSLLTGKNSAVPSNELVLQLVPSDFVCGTSSVVIQPPADMLKL CLEIYDRCTLFNGPAPAPAIMLEQVAPRFIDFSLAATPSASVMHENSCIHVSYARSVN GRWVTAAWTDSRGSQQMTASYCLGRKGRPLATPFTDVAHEIWETTHDLISMWKVHWRV VITKCGPMEADEMDFWTGLAQTESKARVSLVLMTVDTSPSLQLIPPAVRLNPSVSATF YTTPASTPQASILSPEQSGNPPTPANAPTPGGDTNAAAADGAAADGILVDVMDQSWGC VLSHKLNNTTSPTEINPSLFSGYLIKRGGTKIEDPPVVMEVNVVYSEGGNPRQHEGLL REMLGHFRALGTLARVRGVADREGDVRPWHVAAAEKAVRALYLLM
SAPIO_CDS9345	MSVAESSSAQPAVSAPQPTAPPSLGESIEEKCLLASLITIECHF TGRPVLGPKSTRQAISMLSKSLEKTQDEDVRTRKFGPNIEIWVYLRKIFQSAIPNLAN RALGPLEGPDVAASYPQSSTLIVKNYATIKEDVQILDILMQIARNILVSDSRPVPQDL CAAATFDKMAYKTIVLCAYVTNNSKSPGSDVEGTTDFFEKVLEIHDLFKRLLVTTLQQ AHNWVVGHHWNKMQLFLDVLFDDAEGEPVLASPVPAELRWTEPNMEVARTEVNNWLAR NSKLGPSATALLKEYMAHHAKDQPSPLDSVSPLAWNWLPKVPYEIHVQDDSNVTPVWD PNTKSKWDRDRLYLRTSHEIDSWWTEVVGANFEDVTAMQSVDAAKEELGSARKALLQR WAEEQASSATDPSSPTTYSSRLHNDDEVMSSGILTEIPNILDPRQIEALYMIIKTCIL DSHGDGLTPCGENLQKTRCKMFLALNSGRSLFKEMLVYVGIWVLDDTALVYQVMRDIV LALHHNSLIPAAWNRVSSYRNFILSPAQSVLLRLVGDIFCSTTAHMDDVSQQEKSKLF KLIHFFYTFFRSFIVPEYAAIMHVQSQIREGKMEPSDFRMDYWEMQRAKAALIQYLEF IELVAEIRETRELLIKWEAVYDLIVLLTALEAAVPKLPLVDMSNGQPKAVRQPGSSGG SSSPKDPHHHHHHHHHHSHHHHHHHHHSHDYHDAHSDFDPDSDNESFDSTGPMDMTSE HSGSTSREQGSKYAWAGVKAPIFTIIATLLQPRPGRGTPGNADVQRQVLQNNGLVALL NCVSYDGYQPLSRERVTLCLKWLVEGNKDAADWFKELFKHTEAAAAASALAAVGAQQQ QRPASAAGPSTEPVRRVRVDGVQGDVPVRARSEAHEVEEPRGGIQGGGGLTQMEEDFM A
SAPIO_CDS9346	MSSPLEDAKPACGGGTIVGDYDVGIHVLGLFLVLAASAFGCGFP VMAKKIKWLKIPPPVFFAAKHFGTGVLIATAFVHLLPTAFASLNDPCLPDLFTVQYPA MPGVIMMGSLFCLFIIEMYMNAKTGGHSHGGPTGEAPVPMNMGSPPRPPRPSNVGGYP DDKKEIQRMYDDEYGRNMQDNPFMTNDEKAQSDMPAWFIVFYEQYVRQRLELVKMIQN AAPSYNYSTSQDQQISRAAESFDTENQTVDPSVYKKLSAQITILEGGILFHSVFVGIT VSLTVDGYIVLLVAILFHQMFEGLGLGSRIAEVPYPKKSIRPWVLVFAFGTTAPIGQA IGILARDSYDPNSAFGLIIVGVFNAISSGLLIYAALVDLLYEDFLSEHAQHVMNKATK IKAFCYLLAGAAGMSIVGAFA
SAPIO_CDS9347	MASDTDASQIRDDPNPASSSPRADAGAASSDAILPVIEGKAVPP SDRTASYSEEKASSKDTAEATGVETTVQGVHVFNPADPLDFGRHRRENVTRRQLKQDH PNGNEKKLKKFYTRQNRLIDQFLGADDEERLAVEEDARMGPKIKFAVNASFTVNFCLF VIQLYAAISTGSLSLFATAADAFMDLVSSFVMLVTSRMAARPSVYKYPVGRTRIETIG VILFCALMTTVAIQLLIESGRALGDGEQEAEKLHIVPIIFVSVAIFAKGTLMVYCFFY RKYPSVHVFFVDHRNDIAVNLFGLIMSITGDRFVWYLDPIGAICIALIILFSWTSNAF EQVWLLVGKAAPRDFINKLVYISMTHDEQILKVDTCRAYHAGQKYYVEVDVVMDESAP LRITHDVAQGLQRKIEGLADVERAFVHVDYEHEHDIHEEHKPLYEKKQDKAKVSLKER LLGARKKGEEAAIGDKTS
SAPIO_CDS9348	MRPTLSLGIKFRKLRLTTKDIKKGFYKGNRTGAMGRHTKYGGYI IEWNKVRTYPVPPLEGFTLSPFVSKTVKPLFGLYEGNPKGPRDPEVYLERWKAENGVD 
SAPIO_CDS9349	MNKLSTTSVSLAVMPTVIGTFFSHYLNREPLHQKPTAHLSYHEG LRLIKAFLEFASHHTVEELQAFTARWVPHPQWVKVKDVTIPEEPLMRAASLLQDQLGE DGIRKVGGRQWWQWRKPDTPFKAEWVEMREDYNARKENGDPGSRVMLYVHGGAYYFGS VDEHRYQMQRHARKLKARIFAPEYRLAPQFPFPCGLQDCLAAYLYLLEKQDPSTIVLA GDSAGGGMVMSMLVTLRDRGIPLPAGAVLISPWVDLTHSFPSINGDSSLDYIPPCGFH HKPSMVWPPPNGTEMDLIEKQAKERRTRLSCSITRQNDETIEAASSESAGDNQASAKA DHDRQRLALERMLTIDIDGKNMQLDDQIQMYTTNELLSHPLVSPVLQPSLGGLPPLLI MVGGAEVLRDEQVFLAHKCAKPKKYGLPPDSLTDAGRKQLEKYPPTPVQLQVWDDLCH VAPTLSFTRPAKFMYRSVAQFSAWALARAQNCSIPILYDDDISVISSSESDDTEEETP ATSSEKQDTTPSTDPPNRGNTSQEVVVGRAGDPLPPFKDHMIRQRVTRHGDILPLEPE TELPGCTLKPSDVGVIKEGPVRKWLAARELWDKKYARTKARVHRHLVKEMADGYLDFG DGETPPPSAIVGRRRAASTWGDWGHKKTKSMGLALWSLWGSKHDEMTVVRESKAGKEA AASSQNVEAQETVVPDRLVVPATHTHERVLSRSGSRQMVVVDQNQTGEQNADLLRGET LVEDLLRSRREKEAERREELGPDYVPETGVAGKRPMVDGIAVPFSLNKDATTSSMVTL HSDDEAPATAVKELGEGRAMSPETEKVDTAHNNVTGRNHRGPIANE
SAPIO_CDS9350	MASSDPTSLPSVEIRQTNFEQGIAYALHLWPDLTLAVRENLGGP DSADKRDWLAGALSDLFPSFSSTKADDVDNIYIQEFLQQVMEDEFEVIIEEGDPSLWK VAEQIVRIRRDCAEGRFENVDVLRVRWQEGKGKKIDGLYKKGEDPNQDTDWDEDDEDD DDDDDEDMGDAPPLVQTRREKPEPEVDEDGFTKVTRKKW
SAPIO_CDS9351	MDIAYDHIQESSFPKVEEEDKKDSKQEPPPQPTLNEDIQEAYKA ISSSTWGSRIGGFIGSVVKQGEQVYSHAQQELSAVGGEATRGFSDLREAVISRTRSLS LTTAVPAQSSSTDQTTRDANQGEDRAATPTNNGAGDTMLGRFKSEAAKRLHDLQRAED AADEALLKFGTNMRDFLKSAITIAPPAEGEGQGSTVMFESKDAQGKRVIHTSRFDAQL HVIHTSVDSFTKDPESEEFTTWAKDFDVEKKTADISSDLSKYPELRSTMEKLVPDQIP YADFWKRYYFVRHSIETAEARRRDLLKAASAEDEVGWGDDSDDETDDNNTQKDKRPGS TESSTTIHPPAPVPAAVSSLKPRKSNDEKSQPDSEASYDVVGAQSGVPSQAPNSPKDS KKDDDSDDDWE
SAPIO_CDS9352	MASTESQSQRPSLPFYLTPQQQQVLLQALAGDQQQGQSSITPLT SAALSSHQNNSFPASMDDHAGDLSAFQDSSFLDSYDVSFNPDSSFDFDISHASMPQTA NGDTSETAKSENSADNDTPEKRPLEEDETSPGAKGNDAKRRDGPEKVPKKPGRKPLTS EPTSKRKAQNRAAQRAFRERKEKHLKDLENKVADLEKKSETATTENTALRKQLRSVMA ELDEYKRKVQLLGNPRPPARDRLSGHGFGSPAINNLNDVHFQFEFPKFGFLPGPPINS KPTNGQNRSSASPQVQTASNLASPNGSHASNKQHSPISVSAATPNLAGSTLQNSPNPQ RDALNGLNGARNSLDSGHYSLGSGSTSSPSGSYNSVGGPSSSCGTSPEPFNQSPMGFK PLDTMTTIGEEQPTLQHNNMQVPTNFGDLSADLNFDWLAQQNGGQFDPQLFGDYREPQ NNILAGNNFDDSFFNDALDADFFTPFNVPPVALPPKNTASVDAVPQQEPSKAASDPLG LVKGNDLIDCNKVWEKIQSCEKVQAGDFDLDGLCSELQKKAKCSGKGPTVKEQDFEAV LNKYLGPDFFDRCGRGLPPQKPQVES
SAPIO_CDS9353	MDHTNTAPASPAAASPPATTKIIRRRIANACDGCKARKVKCDGR QPCSYCVRRQRQHACHYAQPRRPRQRPPSVASSEGPSLPPLPQSPPQSTVSLRASASS TTRDTSQLDDGSSGRRVGSPALQQQSDKIESAEDDTEVPREARLLFDPQGQLIFIGDC APLSLFQSVRQLVTSRISPNAFAPETSRYSVLENAAALASSSQSESHGPPDVRPIDVA SVVSSYVSVTTGLVDLFDESSLADDLLLWVNVNKKPQNLTSVINYLILAIGGLKDDEM SSQVYFDYARNQAFATLSGNLGVGTVQAFILMTVYMLCSCQINSAFLVFGVAARAAYS IGLHRTEVNARFGPEMHRQRDRLWKSLRVVDLFLSTSMGRPPATSDVDCTVPYRSLGE GGNETFDLLNASVQILLITEGIVTKIYSRKKISLLLTEGVSLQLREWSARWLRRLKEV VARPSDHSQSEVSGACQVLSSYYYAVILVSRPFLMYELFRRLSDGPDSDAPSVTPPVL TSGKSKLADACIDAASLMVEPILDLVEQRLLSSRMPLLVSWLFASSLVLGVGLLGGFG RILEKHTRMSIQALDYFAKSDTHARQYSLIAQSLLTTALAHLEKRELQERLRRTESSS QLFGFIPHDDGHLRRNDASPSDQPRRMNDASSPDQFSAQTAMSPAASVSRRHDPPERS YPQQRPFSMSSPRAGDVDFFGLGDALMGQDGNFWNTYQDDGDPGLTLNLFPLLEASGG IDLAHYL
SAPIO_CDS9354	MEMSAFTDRLRSGKAPEFPSDANTLSFAQKLDSQDSLRHLRDEF LIPTKTSFKKKALDGTIPGTVPLPNGTANGTHQSNGSPTADDSEKVIYFVGNSLGAQP KAVRRYIDAQLETWASIGVNGHFTDMGDSPLVAWQDMAEDCAVKSADLVGASPHEIVI MNTLTANLHMMMASFYKPTEKRHKVILEWKPFPSDHYAIESQIAWHGLDPAKSMVKIE PDENCLIPTQKILDTIDEHGDETALILLPGIQYYSGQYFDMPRITAYAKAKGIVVGWD LAHAAGNVELRLHDWDVDFACWCTYKYINAGPGSIAGAFVHERHGRVEWADDAGIDGT GRPSYRPRLMGWYGGDKRVRFNMDNKFVPTPGAAGYQLSNPSIIDLASLSGALSVFGK TSMHDLRSKALVLTAYAEYLMDEMQASSPSDSEPPFRIITPRDPAQRGTQLSVVFANS ELHDAVSASLEENGVMCDKRKPNVIRVAPVPLYSRFEDVWRFMQILREAVRLDKA
SAPIO_CDS9355	MPHFVESIESAKKPSMKPSIDLNAFGVTRNAFLPAESPLELLSD SYYEPWEHIVKHLPELIDNGSIRDVVAQLPVLETSRLISEAEWQRAYVMLGFMAQAYI WGGDKPAEVLPPQITVPFLLVSAHFDLPPVLTYAAANLWNFAGSGNDFIHPEDLKVLC SFTGTESESWFLLISVAMEGRGAGIIDTMMEAIAAIPSRDYETIIWALEELRECILDV GILLERMFERCEPMVFYHQIRPFLAGSKNMASSGLPRGVFYDEGDGKGQWRELCGGSN GQSSLIQFFDLVLGVDHKGNATSPSAVVEKSYHQEVREYMPGPHRKFLQHVTSMGSIR ELALLPPETDEQRRLQAAYVAATEALSDFRNKHIKIVTRYIVLPSKQPWKGAARQNLA SSSSATKVLTGTGGTLLMPFLKQARDETADTGRLE
SAPIO_CDS9356	MPRPKVKPQDRVRSVRACDACKISKKRCDGALPCILCSRKGLAR TCAYTAPRRRRLLSPQSVPEREAMSVEVNLSPILTTSSGGNCPSIDVLMALDETPGQP QPSLQTDLRHSESMTTAVADGPGQHPVMHSNPRSQAPSTPAQKPVMLSCSTGEKVIIG TPAAISFLRFLQTTLKDCVGPSGFTDDPVSRRLFEVATPDDVDTASFDDDIPDEERGA LIQCFLDVSSGFLDLFTPEEIARIVERPRAHGSQTPGRRIPPRGIERTDLASLYLMIA IGAQCRGELGSGADGITDSTRVRAGRYFSVARKIVFEGMLEDPTVHLVRIFLLMAFYM LGACRRNSAFMYIGVASKSADILGLHAAANVRHLPLVERETSSILGRQSSSLTLRSDG LQLPPGGPLSSNGSNSYSSLAVSAAYELSLILDDIVRFCTLADDGRLDDRTAETFFRT LRAWSQALPAELRQRPRNSRSKDASVDSDPNHRQVMIGNVHLAGMYYFSVILVTRPSL VQHVIPYLRGEQLPPRPGTMGFQSDQGESSKATELSQACIEAATYMIQMCRDAMEARI LWGNMCILKAWIFAAGLVLGFPLLVDSGATIETIDAFHTSRHLLRDLGRLSPQAAQYQ QILGAFSDAITSYRDHTKRERRKSRSLFVEPVLSFEGLSGEESGGGGGGGGRSGTATN AGGTQLPTPEFTACDDEPGEEEDVGHQRGDDPLAYLSDFLGVQGNQGPGMAPADDDLL LRLFWDGFTVDFMDPIPQGDQGEDGLG
SAPIO_CDS9357	MGSNAESAWRRLSVGVVGGGIGGMSVAISLRRAGHDVTIYERND FAGEVGASVSCAANGTRWLHEWGVDIEKGDPVILRSLINRDWKTGEPVSVYELGDYEE RWGYVYNMFHRQYMHRMLKDCALQEEGAGTPVKLLVNHACKDINLDTGVITFDNGVTA QHDLIVGSDGIGSAVRGIIGLRPEKRPADSSCLHANVNTEDAVKLGLVDYSKHSALEY WGGQEGKWDKIVLSPCNGGKLLSYYCFFPREKGDYVNHSWGGEDRPVEELLAPYPELD AQVKAHLAIGIEIRPWRLWVHQPYPYIVKGRVCLLGDAGHPMMPHQSQGACMAIEDAA ALGILFSPAYFGGDVADTLQVYQEVRLPRATKVQGASAKAAYNINERIGFSSNTNIPN YKVEDEKIKLTIEEMNGYDMYKDIEEKLAEKKNVPFTDKFICGLPIGLKLSNGTTVSA 
SAPIO_CDS9358	MLGKVILEEAFALPRLEEKTRWWAGLFSTEPETHVKEIQDLTDI RLKHADKHGVGYTILSYTAPGVQDIFDPKEAQALAVEINDYVAEQIKGFPDRLGAFAT LSMHDPAEAAAELRRTVTQYGFKGALVNDTQRAGADGETLIFYDSPEWDVFWQTCVEL DVPFYLHPRNPTGIVFEKLWKDRQWLIGPPLSFAQGVSLHVLGMVTNGVFDRNPKLQV ILGHLGEHIPFDLWRINHWFEDRKKLLGLDCKKTIREYFNENIWITTSGHFSSTTLNF CINEVSSDRILFSVDYPFEHFNDACDWFDNAEMSTRDRAKIGRENAKALFKLGQYKDS EAKVI
SAPIO_CDS9359	MLTLEDESILHDFEKAEADEPSPTKAIDDTRIIYGSREFRLPKG SLWGQPVLCDFGEARIGSSHRGFIQPELYRAPEVLFGMEWNFAADIWNAAVLIWDLFE NRPLFRAMDENMESSETAYVAEMVAYLGLPPLEYIQRSEMTRKVFDDQGSQRDFNLIT LSN
SAPIO_CDS9360	MAPTYNVIVIGAGWYGLSAAKSYIELHPDEKILVIEAESTCGGT WSRDRLYPGLKSNNLWGSYEHPDFPMVEEVYGVKYGEHVPAATMHRYLTDFAKHFGVF ERTRFDTAVDAIEAVPGDNSWKVHVRPSKGQQKDAEIEVLHTQKLIVATGLTTNPNLP TYPGQEKYTAPFFHAKDFHRQRDAVKDCKKVVVVGAGKSALDCAYVFAAEAGATVDLV MRPTGAGPVWISPPWVTPLKRMLEELLSTRALTWFSPCPWGGEDGFGIVRSFLHNSAL GQILVGNFWNFIKADVVQAHGYNEHPEVFKMKPWHSPQWTGSGVSIHNYPTNFFDLVR EGKVRPHLAEIERLDADNKVYLSDGQVVDSDVIICATGWKKESGIKLQNFDVGLQKSP EECQRLAQEADKRVRDLFPTLKDQPVISKAPVSSSSATAETNGVANGTNAKNGNGASE PMRNYRFIVPSGAVFDRNIAYAGMVSTVCTAMFASIQGLWISAFLDGKLTRAPKNDAE VLDEVMLHTQFGKWRYPCGYGANLPDFAFDSLPYVDLLMNDLGLPCRRKDTQINELLE PYKPRDYKGITQEWLALQAKK
SAPIO_CDS9361	MADKLDDVRPMFELRNRNFIVTGGAQGIGFAATRAICEMGGNVA VLDIQDKPVEEFNTLAEKFGSKTVYIKTDVTVEASLNEAFAKALAELGTIDGLVPAAG IAIDKPFVDQTWDEFTRIQEINVRGTFFIAQLVTKQIIKQGTGGSMVLIASQSAHIAL PGYRMAAYNASKGGILMLARALAVELAPHGIRVNTISPGYVDSEMTRSVRAIKSKREG DQMWLSPPLKRLSTQNDLTGAIIYLLSDAARHTTATDIQITGGLHAGTIDGVITWE
SAPIO_CDS9362	MYNDISKPPPPLPSIQFTELSPGVGLLLPLSRRGHGPGLVLLVP DTTEHLNIAEGVPSPLIKWAEEGYAVVQVEERALGEAALKQATEALSQCDKCEPKGKV GLVSYGPSLWNKIAPALKDSSIVAAINYADASDEANLAASPVPVVHHLAGKSQVAGEE GRYKRENGTALAYYYPDMKTYKFSTPFQPSFHYTTEARSHTRSLQHLKPIMGGPYFDL ETIWDEHTYYEFADRSVEHTMSTMVQEPYVNHVPTMTGGVGRASLTQFYRDNFIFLNS ADTELELVSRSIAIDRIIDEFIFKFTHDRVIDWLIPGVPATNLKAEIPFTAVVNIRGD RLYHEHITWDQGTVLRQLGLMPEYLPFPYPISSEAGKEGASAGGSVEYRVPVAGIDTA KKLRDRNSAPSNAMFDYNIRRV
SAPIO_CDS9363	MASNPPGACCTTGFKHEGNPRGTAIKIAGKWDAYVTKPQDGKPS RKDVAVLIIPDAIGLGWDNVRLLADIVAESGYTTLVPDIFNGDPIKPNEMNTVDIPKW ITEGSDGRNPHTPDAIDPIVEAAIDTLKKDYGAQRIGAMGYCLGAKYVVRHFKSGVNV GFLAHPTMVTDDELAAITGPLSIAAAETDPIFPAENRHRSEGILQKTGQPYHISLFSG VAHGFGLRADLSKKDQRFAQKQAISQALAWFSQYL
SAPIO_CDS9364	MAPLTPLTRVLPSAASRATSLLRTIQFTHPPSCPCHSNPNYHKT PTSLTQRNYEKNRQYATPVDGALAKEYAFEMAASSIRFGPGVTQEVGMDLKHLGTKKV CVVTDPTIDKLFPMQQVRESLAREGVNYVVYNNVSIEPKDTSVKEAIAWAKAQNVDSF LAVGGGSVIDTAKLMNLYTTYADAPFLDFVNAPLGNGRPVDRPLKPLIAVPTTAGTGS ETTGTAIFDLTTHHAKTGVAHRNLKPLLGICDPLNMRTMPSAVKASSGLDVLCHALES WTAIPYTERKPRPAHPLQRPAYQGANPISDVFSLHALKRTVKYLPRAVHNPDDLEAQS EMLLAATLAGIGFGNAGVHLCHGMSYPISGRNPGYHHKGYEVNKPLIPHGVSVAVTAP SVFRFTAPSNPERHLAAAEAFGVDITNAKREDAGEILSDAISRFLEELGDQPKGLKPL GFTSSDIDALVEGTLPQRRVIVLAPGIEGGENDREVLTRLFEHALERK
SAPIO_CDS9365	MGNSQGKPIDLDGEVNLNHFRLLRVVGRGAFGKVRIVERKDTGL SFALKYIRKDDVVRSESVRNIIRERRMLEHVNHPFICNLRYSFQDIEYMYLVVDLMSG GDLRFHISRKTFTEEAVRFWIAELGCALRYVHGQGIIHRDVKPDNVLLDADGHVHLTD FNVASDVVPGRTLTSKSGTLAYLAPEVYAGKGYDIRADWWSLGVLFYECIYNKRPFDG NSESTLSAQILAGKPKFPVTQPPVSVPCLFAMTAALEHDRDKRLGATWESFTEHEFFR LIDFEDLENKKVEPVFVPSSEKTNFDATYDLEELLLEEAPLEARARRQKPRERLKEDA TDKEIREDELYRMIETDFKNFDYTVAAYKKMTSQSPDEQTNEDAAVLVNQGEPMALTT DKATSVTVVKPNGDHHQRMSPPPGLPLRPPPLAGPMKDLQPSSAHIKRVTSPTGGVQV TLDGKGSWSDLARQDATLPTDANCVDNMKQETNGGVFGFLSRKKGRSNSPKPKERGVL GKEGARVVIG
SAPIO_CDS9366	MVPQHRSQVTENGNGINSAILVSKKSTQVHMSLVRGGKRLMAVE ANYCSLASKMKIDGLGFKGLPRPSVEAWLNWVITGQCTDWNSGPNSTPSHLQNKQRLL RQLEYQSQIDTPNVMTRESTRPSPGGRIDADIDLLALLWEEAPFPKLPRDAPPELKEF VQTIENPRHVYTIHCAARRHNFQTLVEKFIVQLRYGCDNSSCATPSCLSCRKRVAGKT PIRRYNPTSARTLAVYMASQDNPERTLCPYIRIAKDTPVSGLNSLVISPVHSSQHHGD SPRKGRRSSDTSRDHVNSRDANHGPKSPERDVKERNRSLSPGSNSYDRLPRRQRSATF ELSEQPTRYDHRSFAANMFGTVAFKMLEWLTPHGLDNLTKKVQALSTTADGEQSSDPG QPAPSRSPSGGANGSVSTDSSHQKDKLHAKTDDRPSENPSEKAGTRSSSSSKLNGTRR NSNAKVRATSTKPIRRLSTDPFVPTAQPEDAPTLVSPRITGMHPEKLPRPPKTPQAAT REIPIAPPSPGGSSDDARSEEPAQDDQDQADDNITSPEDSAEEDVDDTLEGEEEPEGD KLRKHAELVKSSKDESSIIEDPDSEGLEDDAESSIIHAALLPQSLNKLNFEIVEFLFS VLREDSTFESPMTLPRGSSWQVQTGRLKRLPATPRPYPIPMKIEWKRFVEQSVFYVMS DPHALVRSFTRNGKLIDSRNLWRCMVRLTDAVPSLVFHSLWLASSSLFTLPKPFQSTG VKSFPRSHKSLSNAEAAYLVSICLHALAAAAPEVSSRTALLDMSRLRSGGVALSGNGG PRLPASLCLQYDDAFSNDLTLRLAKRLFTALVARKHFATLAELDDDDQDKTENLNVLA PLLSQMDFLNSDAEIGQGSSPMPQALPILLLDWARAVVMQEWDGQPIFNPDSAFGGAM LFIETMYERRQELLLADVNFRLDYVADRLDSASRMSRSYEEPSSVYERVRRLVSDGLV THTHRKLLQDMLRRTTSKYLILNVSRKTPVRDAFDQLWQREERELLRPLKVHLGEDNG EEGFDLGGVQQEFFKLAFAEIFDPRYGAFQVDDRTRMAWFAPGSLVEPWKFELIGVLF SLAIYNGLTLPVTLPKALYRKLLGEPVNELHHIEDGWPDLANGLTTLLEWDEKDGSVE DVFARTYEFSVQVFDMPISRNMQGPPMSWPRAAVPAESDTPAAFEENPEDAPMVTYDN RNAYVSDYIEYLTHVSVLPQYTAFERGFKACLNPDSLNILNPTILQSIVEGTQEIDLA ELRRYTRYNGWDASHQTIRDFWSIVKRYDEPMKRRLLEFVTASDRVPVGGMQNIQFVI QRNGEEGPLGRLPTAYTCYGTLLLPEYQDKEVLRERLGMALENAQGFGFG
SAPIO_CDS9367	MSTLQATGATASHPFTCNTCQVAYRNAELQKGHMKSDWHRYNLK RRVASLPPISAEAFTEKVLQARAQTTAEADKAFFEKTCQVCEKTYYSENSFQNHLSSQ KHRAREARGIRPSAAIPDETSSVMSSTFSLGEPVKGHHDDDDDDEEDELESASAEAEF NKVVEGLQKTTVSDTRPSPVKRPSNPQATTARKDSESRESNGRDSGSATPTPSQPDPS TLLQQCLFCRYMSPTVNLNAHHMERFHGMVIPEKEYLVDLDGLIKYLASKIYEDFECL TCGKAKSNAFAAQTHMRDVGHCTIPYTTEEEQLEIGDFYDFRSTYSDDEEDEGEEEES GYEPLKIDGTKLGAKRSESATDENGEKISVEEDGWETDSSASSFDSEELTAVPADDRQ NQYERLNKSHHHSHEDPRQHHLADGWHSHAHKHNARSVFHDDYELHLPSGKSVGHRSL NRYYRQNLHNYPTAEERAERERLAIENGEANGDEQPDGEGGPNEANRNRAVIPRTQQG IMGVTDQAKGQLARDIHQSRKIEQVQGAKRDMAYSTKLNKQKNYYYRYERGG
SAPIO_CDS9368	MPSAMLDKSLFDTIPDSIAAFRNGEFLLVLDDPGRENEADLILP AQDATTEKLAFMIRYSSGLVCAPILPSRTEALELPQMVSQSQDPRGTAYTLTVDANHP TITTGISAYDRALTCRTLADPAATPDSLRRPGHVLPLKAREGGVRERPGHTEAAVDFC KLAGKFPAAVICEVVDDGAEVEGQALRLEPGMLRGEKCVEFARRWGIKVCTIADLVAY LEKTEGKLAAVNGTS
SAPIO_CDS9370	MFSVRRIPSVSLTRVFTQNSNAIQRRSFAASSRCPRPSSFTNAV VFHPRSERRHAVASKTTRRSISSTFTPPPSAQSVLREVLHADRHDKWGWVIYRCTYDD DEAWSRFKQIVTDRSREELMKSDAPELLDSLEWTFVEDRETLEGATKAELRPRFLAWA KDAYKLEQPRAHDTGNCLLDFPRYNYFIHMDEPALRSVVYGHPDDYWEEGWVNLVRAC WKPQGPPVYTEEEIAALEAPGGVHDEDDVHDPIEGCTEEDVGWMRMVSGMMHSEFYEA IYGFDSWYPFYQRPPEIGVEPIFAGEQSRGGIAPSNTIFPGGAHSPKTAAAAATTTMD RDNDPHATASDIITYIGVPLAVLGVLPILYNTVVTLAALSKIKRMLRHSELKALTRSD VVNRVIEVELPRYAVTPLDRLEQRDEYWTLSRHPSNIPGGSWTTFNWRTNAIGIKTQR VEYADQLRQPQVDVDFGELVSYLLDLGAVPDPYGWRLLKTTGLWTPVGCALMMSPDGN HKALTVAPLDDSDGNLSLAVHWSSYWTTRSHSSLPPYWVRIPAPQIVAPEPSEGGQGS SSATLLEQEQEPASPSKSVKTGAKSVNGSLSDSLQQEADANTRRAISCHISTHGLITA LPTDESGSIISDGLYIDHLRITQLSTNGVWFASAVTAFGTSGQTILWNYKIPDEILSF SRKDSVPCGVMEMLGVVTDDDTPEWATKYDDSSVRLEMQWRKAQERMRQITAENAMPP AQRAQAMRERVQKEGMDQLNEMRDRMRLEKQRQETRMAEAFQSPKWNATLVAEHNLRW LKSKGHVNEFLSIKEAAGTILHRMILDGQFANSICRILDMWKTWTDNAGMRNSDFEAL KEEQVVFAQATLLVSVIRETGKGETQVSVALDLQECLRLWKKVRLG
SAPIO_CDS9372	MTTFTCFGVLPLELRVQIWKEALSTPAVLAVTLTRTLEYVTSTY TSKLSFNMAYIGPKPYLAGLSCKEARRMLEQSCGSPIRGLRGPEANPKSTPGLCWVDF DSTVIYLGPDKDAETYMLVIGDGDFDAAVESLRNAGFRDAPWSYYSVVDPQSLEDEEM KGMHQDGGLQYRNLDENSMRFQFPLESKVKIRAVLLRSSYTHLSLLDTPDHRFAKTGN IYYPDKDLLVESFAKTAVREPSMNMWTGDLEAWAISYAYGWLEINDTALDSISDEAAK AWFNERILRDKGGLDRTTVTKRVGKKYNTSGEVNMS
SAPIO_CDS9374	MLPGRRRLQQGRRSGGPPSTAASRTATPRTAAPSTVAPAELPPY KEQACALDDEAVQSLFLLSQNLKTEKLDEHVRESLGLIGRATFDLNETLQSARANLES LGEGKEEERARMKKKVTKLEGLAEELTVEADRSVRMLIDIKANVADERESIKATHDAT SHIARTRQQERRLREQRQMELGEPVEDVRDALVEPQFDASTQLIKELREQKSDEYENM SMYQRYSVNNDYIEFKRQWHMGLYGADAVVPDAKRWFSREGQPVFNYGHSGDHAPRNG GGEEDEEMAVEDEELVIEREKVSTRCPLSLQEMKEPYTNTVCKHTFERSAILEFFGDA RRVQCPQAGCSASFSRADLIPDQAMFARIRRAQRERRGPELDEDEDEDEDSRMEEAGG GSVKEEPREPTTARSGRSRRSIKLESMRANDDN
SAPIO_CDS9375	MWRPSSTKPRGISAYEYNTRYNLQPTEDQEEARDVAERKGYSTA FKKRPDYKPTALRWYFIVLQIILLCVMIAIVAYCRAKMPDSDNTATIEERSLNLDWEA KGGLGMGVFKRHEEPAQMTGVDGLVIPPHGVRAFAGPTPVLAARETSPTVAPTAAPSA TPSYSPSFFIADIITIMKPSYIQSLIEDSSTLVSQAEQEKNGIEIDTEIPLVPCGTST VCTTTITRKVITTLIIPATTEVFTITMGATTIYHITEVEETVTQPDVVFTTDVVTEIE DVSEVVETTVFTLGKPPLPGDGSFPGNSTRPPAPPQLTTQTRTSSQTITQTVTTPSVG TSAGTTGVITKTLTETEVIPKEALATRTGEEQTYFQLGETTIEVTYTPPPQERVAGVA EPPVTQIITTVDPGKTVVDVVQDQPFQVVVNDNNEVKTVVVGAQVDQVVQQVGGDVTN MVVVVTPVPVGVGRAGSNGKSGSNNPGQAGQFGNPGDGSGNVQNFGDPDRGGSNSGQG NSNSGQGNSNSGQGNSNSGQGNSNSEQGHSNSGQGNSNSGQGNSNSGQGGSRPGLSGS VAGQGGSDNSGQDGSRLGQGGSDNLGQGGSRPGQSGSGNSGQGGSSAGQGGSDNLGQG NSGQGNSGQGNSGQGGSRPGQGGARPGQDGSGSDQQDFGDDSGFDGGFAPISLTVVSH VGGQLTVFTTQDSPQTIVTTNPDGSPTTIITTPPPRVATSTIGGSLTTFEIVTTPTAS DLLSFTVVSTIGGTLSTILTTKEPTTYVTTLSGTPTTITSTPSARTVTSTMKATTRTI VSVTTPTPTDEPPQTETKVYNVDAAKYFLGKFLPALLAIILAIPLRIIDLNAKLYQPF YTLNQERGALGPDSMTLHFNGFKALTKPFEMLFQGHPMTFLTSIILWGSSLMVPLATE AIGLKIHGKCTINSIVGCGLELGVSPGPTHALLALLALIVTFLLVLLFITRNYESGLF ANPWNLAGIASLARCRDVRIHSSKKETIRKEITERRYGFGFFRNGENRDEYGIVLLDD SGQNLRQDRDNDATIDNHSDSEEDDHVYDAPAGPARRRSSSLSTENQRTSVPFLALTY PWRIIFILFLLGLFIVILYYHVKVMDQSDPGLRSFMNSRKFGARFLFAGFGVIITLAW FAFFVSVAIITPYQLMAESPQPPERSILLSRPSHGIYGIYAAIKETNIFLVLVSFIAI LVEFLPLLLANVPFNLTQTKLTHDICARLSLVILAIMIGTLVASFFIKWPKMPVDPRS LAGAMYYVSGSDILLSRVEGGAKMDGEERERRVKEYGGRYYYGNIGAKGRVGVEADEG AGIGDDVETAYHGHRI
SAPIO_CDS9376	MPILIPAKNSQHRFACLALYRALLRSAREIPLPPELTFNGKHPI RAALRRQFRKNRADTSPRLVYAGLSAGYKFLALFGKAKVTTSPEYESILNEVKDRVHA YNSRPPRVEPPKRPSIPLLTRLPPVTNNPRERPVYKATVRPLPKSALKGDRKIPYLAA SSFGVPFLRITKGKQPPRLSGKIQSFNKKIQLYAELISKIPNVLIPDVYTEDRWERMM GALAREESKRRKLSGPEMDLLLGDGRATYVQNLREVRGELISKLNKIKIDNLAKAKAM VQLIREEEALAEIEKREEQARTQEKMAT
SAPIO_CDS9377	MSHRQNQRSPPYHGGDDVLSQHSQHHHYQQQQQQQPQQKRPSVG TIESQEQISSESKKRARGRPRVEPKDDTAADRRRTQIRLAQRAYRNRKETAIQTLEKK VNVLQDTNEEMSKAFMNLYDTAVSQGLLSAAPEFGRQLQATTEKFVALARKMSDDASS RDADQAPENESDGVNTGSSSTSAQNATRFSSSPEISSWPSQPPGVLSSGPSAATAAAG SSEATGVNSNRRGSAVSGTHSTHEVRNESSTPRREPNPADPVPFGYQFPNVASRPSDA QTIVERVSRPLSGNNTSYPFGTSLDARNPGSLLDPSVPYAVAASPLPPFIVPPVPQSY SYLERTFGRRMQRAALEQALVLLNMPNPPPHVFGAVRNNGQTLHNWKFPFFHLGGAGS FFNLMNHATDYSDIPTPDITSSSGDGASNPSSSSSSSSRQIPVGNQGTQGPHKPSRGV NFSMGPFEQPVEDAREMRMDDRLRMKFPGFQGDFFDPDEIEYFLRQKGVYIPPASDFV TVEIDPNDFTLESVLAAATEMIMDPIYGDMTFPDVDLGANTNTRAPPTTSMFDSSPNP TTTTAASSVSLSHLIDPLLTNQFSEPANNSPEVPRPTFGDINFGDIPLTDPFVASGTG QATKQKLSLNIDKLVTELVFRSVCLGRSPGVRPKDVVKAFWKAATLLE
SAPIO_CDS9378	MALLLTSTLLASLAATQSVGEAPEVHPKLTTWKCTVADGCVSQE TALVIDSLSHWVHQKDNPSLGCGNWGSGPNATVCPDVETCQENCVMEGVSDYEGYGIF TDGGALTLKHLREDGSVSSPRVYLLNEDEDKYEMIKLTGNEFTFDVDVSKLPCGMNGA LYLSEMEETGARSELNTGGASYGTGYCDAQCFTTPFINGVGNIDAKGACCNELDIWEA NSRSTHLAPHPCNITGLYECTGDECAFDGVCDKNGCAYNPYRVDQFEYYGPNLTVDTS RPFTVVTQFPADEEGKLKEIRRLYVQDGKVIRNAQINVEGLPKNNFMTDELCEKTNSE RFMDLGALAGMGEAMSRGMVLCMSIWWDEGGFMSWLDSEEAGPCKEGEGDPKNVLKVE PDPTVIFSNIKWGEVGSTYKGAECKKKRKL
SAPIO_CDS9379	MWLSNPQSRILCRVRAIVLLLACQTWTVASAACAPKPLSTKIRN VTLSNRLQSRGIALAVGTPEQEFAFLPQWPLNNTLIYGTNGFCMPPAPNTQNGCTVWR GGQYDQLASDTRKRPTIGAYPDDNAPYPSMNFISDTLKLTTNVSLDDFPMGIARSDWG QEGYHPMMAVGLGSNSTLLNTLKAAGKIASRSWSMFYGLTGADANAQLDGSFILGGYD RAKVKGGGYTERLSTNPNCRSQILVTITDISLNFPNGTDASLFPKGLSSSIPACLVPD YPALMKMPRKPCFDNFERLTNVTLSKRSWGVAYSSMLYCKGDEPYRGDLTFTLQSGLS IRIPNDQLVVPELTIDCDTGALHRNSSAQNLVILPPQDDNENDISQLGRQFLSSAYVM ANLDAGEFTIWESNPTSNEDLVAIDTNGTEVSDFCAATTPDPASGETSPDSASSEGSV EQKDGSKSMTPVIIAGIAVSGFVFLAGVGAALFCLLRHWKRRRRRERAAAVDSSPSPP PEYSKKPDNTRHEKQEREMAPSKVNSRVMGPSRGKPSNAGTCELGVEKWETTKYYIRS 
SAPIO_CDS9381	MPPQPGQKTALITGCTPGGIGHAIALKFHEKGVHVIVTARRPEV LTEMAAMGMDAIKLDVTSAESIAAAKIEVDKLTGGKLDFLVNNAGLTHTVPATDIVMD DVRNTFETNVFAVMAMVQAFIRPLIATKGLIINISSLSARTPYCFGSVYCATKGAIDA YSRTLRIELKPFGVRVMVAVTGTVRSNIASKTHRTLLPNSLYEPVRDIFEWRLVFSQN NGTVSTDKYAEKLVSAALRRPGFLAWLFGWGGGPPKWFWAGGFSTLAWIGSFFGEWLM DLFCEYRFKIPTMTRRIEAAKKTN
SAPIO_CDS9383	MSFKQFVLSGLLATAALAKTDIGGCVTTNIVTTATNGGETSVYT TLVYYLPDTGEICELLDCGGGRAPPKTTVPGCAAYKGTETYSPKFLPTSTSEAPEPAE TSAGAESSESGDAQTSAVPTPSANGTGSAGGKNGNSSETGSGSTGAGKEGGSGAAVMG TSLVGGLLVAGFAGAMALL
SAPIO_CDS9384	MNHERDPCPWVILNDFGGAFCMGAIGGTIWHGVKGFRNSPYGER RAGAITAIKLRAPVLGGNFGVWGGLFSTFDCAVKGIRKKEDPYNAIIAGFFTGGALAV RGGYKAMRNGAIGCAVLLAVIEGVGIGIGKYFAGNTRLEAPMPPSQAEHAMA
SAPIO_CDS9385	MTDDSAPTSALGSDTWLGANEYESGSALHTRATNFLSTAKWDVL ADIASKHRGGMSCQYEDKFSVGHFNMVRRIVFEDGVNWVARVRLPNENMFAGREELED SKTMEIEVASMKFFRRETSIPVPEVFDYNTSRENDAGAPYILMEYIHGNVALELRQAK SCAPQLFGTPEQDRKFREQMAQIQATAASFKFPQIGSLYYNKETDDFYIGPEPQTGKG PWASSTEYYDDLVNHLLKGTIKKDDLKQNQSFMVPTILNHLMRLYGEEKTGPFRLTNR YFGAHNILVNDDFDIIGLIDFDGVMSAPLEVVAQYPELSFLQVDPPGITYSHPAAIER VKLTAPRLEEYKRLLGTFESRGGDVTQEVAGHLGSTSASIYQGMQAFAQHQDFVNEKW MKSCLKMLQEYAESL
SAPIO_CDS9386	MDDHHWQHSDSDPDESSTDSYSNHDDDCPGCQRILKAEEERYRN MRLANSGIGRRPNLEDEMTLPTLSSTLGLLYPEGGYPETPPELVHKLHHDSSVLTIAV RPDLKYIFAGTEGGEIVVWSLDTFSQVFRVQAHKRGVLSLSLSPDGSLLFSSAGDPLV NVWRPKTMARLYEIYSTYDVGDLFSIAYSQQHDTLYIGAQNTSIQWVTLNDPKTRVPH DSARHPDRRNHRFFDSKAVGGTSTPRRSDEKYALIPKAENSLEIDSSAIYNFAHYGYV YCMLMAKGPTVLVDSDEDVLISGGGDGTIKLWRLGVEASSDSDSHSSDIEHGIQEIMC LGADDAESVLSLAIDGSFLYSGKIEGIIELWDLDTKQKLRSIKAHRSDVMSLQMGWGS LWSGAATGSAAKHNTAHYGKYQQTGDVSQKYQCLSRWKAHDGKILASAVTAYKHNNYF ITGANDDEVCIWRADRSSEKHVSTGTADDSVFTAALREFISYKTVSSRPEFAEDCRKG ATFLGGLFKRLGGHVEMLSTEKAHNPVVLATFEGKREPKAKRKRILFYGHYDVVPADN KKGKWMSGDPFKLEGRNGFLYGRGVSDNKGPILAALFAVTDLMQAKALENDVVFLIEG EEEFGSRGFQETVRRNRSVIGDVDYILLSNSYWLDDEVPCLTYGLRGVLHATVCVDCN LPDRHSGVDGSCLKNEPLSDLTAVLSQLKGRGNHVLIPGFYDNILPTTPEEEERFDEI ASILMKRQPELGPLDKQKALLRARWREPNLTIHRYKVSGPDGSLVSSHASASISFRLV PGQEVDEVIRSLQDFLHSEFAELESDNTFSLKIDNKAEPWLGDPKNYIFRTLEKAVME VWSESFESPHEVEQEDDSDEDDDDSDRDSVDEVSLCEKPAVPHKPKKPLYIREGGSIP AIRFLEKEFGAPAAHLPCGQASDSAHLDNERLRILNLVKSREIFNRVFSAL
SAPIO_CDS9387	MADAPAGSAAPATTAASPTVEIVPIEVDRDTVDDPTFEEQLTTF TASLSSSVLDYPVEHGRRYHAFRKGSYVFPNDEVIGCDLSPIQPTWVPPNVKFEVDDV ESEWTYNFTFDFIMCRYMAGSIGDWPKLFKSIYDNITPGGWAEFQDWDLRCASDDGTL TAEHVTHQWCTSFLGVLDSINRNAYPGPKLLDRAKTAGFINTHEEVIKVPLGPWPKDP RLKEIGMMNLVQTLDGLDASLKALMMLGYTEEEVTLMLARVRKELKSREFHSYIKL
SAPIO_CDS9389	MGKVSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFDLFSNVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRAAWQDREAKLRQQMLNLFLTEEQSTPSEGGL VNAGDPVSESASAQEPQPRRDVKIVSIDLQPMSPLQGIITLRADITHPATVPLLLKAL DPDYDPKTMSQQAAQPVDLVISDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLKPGG KFVAKIFRGRNVDLLYAQMKIFFEKVVVAKPRSSRASSVEAFIVCVNFRPPPGFKASL EDPLGVGHKLSRLADERRSQFPIIASSSMQDPATGRWDSAPVSASSPSPEGAVEVEAF DEQVEENERRGNRWVAPFLACGDLSAFDSDASYRLPEDHVSLDPVQPPTAPPYKRAIE LRKAAGGAHGKTVKA
SAPIO_CDS9393	MAAKVPRNFRLLEELEKGEKGLGAEACSYGLEDPEDLLMSNWNG TILGPPHSVHENRIYSVRMHCGPAYPDEPPTIQFVSQINLPCVNPRNGIVDPKQLPCL ANWQRNHTMETVLIELRRYMASPTNKKIPQPPEGAVYENS
SAPIO_CDS9394	MASALTRAALSVPLRQAQQPFLQLAARRTFLTTAQRALTAPGPG GPLGGVPATYFQRPSLPANTIVRFVPQQTAWIVERMGKFNRILQPGLAILIPFLDRIA YVKSLKEVALEIPSQSAITADNVTLELDGVLYTRVFDAYKASYGVEDAEYAISQLAQT TMRSEIGQLTLDHVLKERAALNTNITAAINEAAQAWGVTCLRYEIRDIHAPAGVVEAM HRQVTAERSKRAEILESEGQRQSAINIAEGKKQSVILASEALKAEQINRASGEAEAIL MKAKATAAGIDAIAKSIAEGEDAARGAVSLSVAEKYVDAFSKLAKESTAVVVPGNVGD IGGMIATGLSVYGKVGEAQAKTMARKYLQPGEGEEFQPEEEKSMKDTMLEGFEQTAKH 
SAPIO_CDS9395	MTTQLLASELANLIQESKRKHNDLRQAAEKSLEELRSIKGATEA QTATELSQRANFVNPFIIACGTKNVKFTGIAIVCLQRLIVAKALPRGKLNQVLEALRE ATSAGLDVQLKILQALPSLLQNYSVDVKGDMLVTALNICFILQASKNAIVNNTSAATL QQLAVSVFDKVVVEDKSPGDTPFVGEAPTEDGTVQLRTAALDAFRVFNDLCLMTENQR PEYLRFTGLPQTFGLELIESVLTNHASIFLTHPEQAHILRTRVMPFIISALNHKPNFA TSVRLVRILYTLLRRHISILPSESGQALDILTQLLDQDVPLWRRALCMEVFRGVFTEH ALMRRIFYLYDMKEGEKDILKTLTATFVRLSTEKPSVIGLGHQSTIPVSNPYVGTAGD VMLESGGVTGIISGSGPSEGGNTGISTQWSSVRVPCIDQLDKTEPPAIPESYIYNLTL ACISSLSEGLAKFILPLTVPGERNRKKLARQDTGRASPAPGAKEEQAESKGLERTPSY KRNPVPINPLKHEDHPQYTEVKICAAIIDECWPAILATCSTFLNAALDSEYYHGLVRA FQKFAHVAGLLHLNTPRDAFLTTLGKAAVPPNVFTACLNMGAARPSTPTGPDSAGGLL SNARGLLSRESLTLHPQPTEKGRQPSLDPTQGALNTRNLLCLRALLNLGIALGPTLGS SWSIILETLQQADFVLFSSGKVPGKIPTAIKGQDPQAENEAHALLGNFGGEIRAVETA VSRLIESTVDFPNRSFVEVVEAICNLLERGQTETPSSTTTAQTSSPPPTGNMLKTPGA GQHRRVLSISTPATAGPTQEDMLALGKLGDVATVNIERLLSYPPEVSGWTPLTTELIR VLNAAGMYASVRTRAAEILVRLVLEAAHVAGTLEEDARAGVQLRLLEALRDALVPLQA EDRDVSVANHGTDVEIHKIILDGLKSMLENCGEALVSGWTIAFDIIGSIFVYRKFGVP ERRGTQQSVLMTRSAKLIRPSFNSLELICSDFLAALPNSCFLRLVDTLYEFCTQGDDL NIALTTVTFFWVLSDFLSGKNKSLSITSELMQGRNASDLAEMASDPEQSASDAALWML LLLRLTKVTADARLELRNSAIQTLLRIFDAYGDRLSSEAWSICIKSVLFKLLSSIEEE LEAANDDDADDRDRSEWNETAVVVLNGISTLVANYLDALTQHPSFDSLWKELIGHFGK LLDFQILDINTAVFNALGKILAQTRDSSPAALSKESIDLSWGLWSRGIPVAVDEEESK QDNQNCLLAYVSALEDVYRLIDADLTVERVDTMLKLLRESTQYATTGAYVVDVEYMTP LQSKILEAVRRIRVNLPGVPAVVLTKIAEFIAMAFEIDPNKPAQSSPKRTFVAMSKTS MGILQDLILSNATDEEIYISSAYVSALSTLARPITLKYSFPIVTRSVQPWRLATSTAL AVLEGTLPQLKNLDNIPSQMVQNIWQEIVKISNGIVSAECHRAPEDGVHVAADEVFDV QSFLKLRDLIIPSLGAEVISDKTRKAFAESLFRTSIIHQPSPEEIKLLSNSSGDALAT LLHKSRHGRTAVSLPAKRTRMSYVCLDELFSLISAHDEAATTPSIVVQPPTPKFPRDG ATGPREAPSALHVRIARTAAPYLILRSALVLRAYVADQPLRGRMPQPLSQRKELLHVL RRLADLKSESEAIPDTPNVDSENRKHLLRVYPLLVGAAGVAGRCGDEGVLGVLGEALK VVGGELGV
SAPIO_CDS9396	MSIAIQAPRSLEPLYCQGIYNGRLESTSLDLPNQSYPNTGTSNL MSAMTSGHNPRHPYGGYPAPQPRPPQYDPIQNSPSQFAQGHTPPAQMQRLPMMTPPAP MPTASTPTLTQSPVLQQEPGSYVGYSPTTEPASPTPPFTLTIRQEPDRAKVVLGKDKD RKPVDPPPILQLEVPPQNNHILQSPYHFVMAFLIDEKEDTPVSCQNGNPLFGTQVSSL HKLKDTNNQDGGFFVFGDLSVKVEGKFRLKFTLYEVSNGEVVQLGDITSRVFEVYSPK HFPGMEESTFLTRSFSDQGVRLRLRKDSRSMTTRKRNSQAAKLADQMSQQRYQPRARI EPPPPSAYESMHQGNGWWTSHSSPHTPGAVSASGPPSAGPYGPTSQTGGYGADPSAPR YGPQ
SAPIO_CDS9399	MFDHCCYVVPPYLLRSISESENNPEPIRKAAEATLNRRIKISNS LHNRSKVLAENAGIRKSSRSLSKPSIVPIDLLRHIANSEDVDERVRKCARRDLAHLKG IHEKALAGKDGTATSSRKMAAVAEDESKKEHTFYWAVYDAKNSFAEFQLPGELIRAEG QPAAKDKAVNDAYDNVGQVLSAYKQLFNWNSVDNDNMHIISTVHFGENYENAYWDPDR MQIVFGDGDEFLSNFTGCVDVIGHELTHAVTENTTPLLYFGQSGALNEHVSDVFGIMV KQFVEKTDVEAADWLIGEGCIAPGVKGIALRSMKAPGTAYNDPRFCNFLEFANATINS AKTAFSEDVAKIVENAWKEVGVIEGGDGGDGNEGGDKKDDDKSDGGWLDWISDLCKPF 
SAPIO_CDS9400	MAREGTRSQTGNSRPRVFHTIDTGPTITRKKRTNTTTTSKPKTK VAATKKKTAAGTESTTDKVKAALSASSAKKEDGKKPRGVRKSSASGSGSVKKAVQKVK AQTQRPAETKA
SAPIO_CDS9401	MDDTSASHRPNSAAMLEAASHAQLLVPKVTIVETISRSTSPGIP NNKRPSEDEKKRYRPRTFSYFDHLPFAVEDQSHRDVALAGILKQLFIAVKAEDFSPGA LHWTRELQAWLNLKFEMTRELRATLARLYYHMSLAPGLDTVTSDRFARMLVSLTRKYH YLKPVEDLVLDWRPLWREIKALVLPGEVPTHQSSRRKSQKQLWKLLVHAQFYFEPRER RQILDEILPYFSTSDISNAFIVIGALGALLPTTPGPDDALDCEPEHFFPTLFHLWSLI SRSKLTDIFMIDLFSRFAREYLPSTTSDYTEYGIFTRDQSDLIFTAILRLTDIPVGQS GSPYSSLDLSSGLGIYLEKDKKKYPITYMIARWIVYSLSPLCLEKENSVLSSLEGLIQ SVDTFFHPSNQGSWHVFLGQLTVYLTDIFVSRWNREASGELECPPERKINEALKRRFV LCLRDVTFMGIYSKSMRVASYYYATLQGLAFLEPDLVLPGALQRFYPSLQGLVEVHRT TSSLNGLQMIANTMSKQKGYRCHITALLGLALPGIDANDLSKTIYSLNFIQSVAYSIP IVSLATEDQQVHDTSIAMQWVQSEMDRMEREGPDVKIDYKNELSDEDEANILRSSTAG FGEFVMALLGKVFTLLENLPDSSQRGATQEDNVINALPAALAPLFASLSPELYDMALE KLATFVSSHVVHQARDAMAWILNAFCRVDPQKTLKIFIPMLIVNIRNEIDYNHAASDR SSGTEYLPRDRALVWHVSMLGMVVVHVGAEVLNYQDELFSIAQYMQEKCRGLPTIHIS NFIHHLLLNLTHTYPIDHSLYEPEAIEKGLDVDAWGRLTPPSELSIKWHVPSPEEIQF AVKLFDAQTKSASDKLELLMGDDPPVKRAGKNKEWSDEVGRLLGRIRLAISGISTLFD PKKASGHTGKNGTRQAPEIDDDGDLNMGDDGNEEDDPLAEVADDEEMRPQFRYEAGYF LKPDDPAYIRIHELREEIGHLLSRIHRFLSTNAEDEVACFQSLYYVYKVWITDVGLER SARSLDRHLRLYKADISAFKINGLRKQYPRPLLIKRAAAYYMLRLKHNATTRHKSDLD KQLLLDLAESCISPYADVRRTAQSAQDSSLRALIGGRPIVIPVILDGLRTAIEENDHD RIKGSLYTLFFTTLTKTVSRDWRFAPDALRLYIETAGIDKPSIQHLGTTALYALIEFG KPIERVVLLDQDLVDTIKPEDDCSTAIRSRHAFIVQRRSRVESKKAALGLELTQKASV AHWKVASRCAIFANNLCLRFDTIAPSEFVELVTLGANDQHPTLRSHYLQAFTSIFQAI DMRAAYDHDYHNYLTEEQDDVNRLEIEVPKGDAEFTRNFLDSFADPDIDHAEYMVDAD HPGWLVWGKKFIAFRAKPQPFLAYDELESGVRRQIGDLITKEWLAKAFEYMKQEPRES SDRIRMTNIYMLMHVFDLMYYGQTKITLDDVVALVEDVYGDGTDRNQHRATAEIFGAL LTGSSDDPLEMRKPVWDYAAPKLLKILSEELTPENQGYWTNCLHLIVDSKDPRRTSQI QEVLSAFRLDMSSNAAFKEGSKIQLLEYVITDAGWHYRHEKPLLEDFLAHIDHPYKTV REAMGRVIATIYRTRYHESFENVTALLEANRAESSIGIRPYKPSEEFSAVINDVFTRL EQWRRERTPGQQTPSPYTSGSKTVLTWFDCALSSQECTELLPFFHTNMIEALLHMMDV KEDVELMRLAYYVYRLMPNIPFQRGEDGPFIEALIRVGKTSSSWHQRLRSLVNLQVIY FRRIFLMTKEYRDKMFDAVSDMLEDAQLEVRTCASATLAGMIRCSPIPIREPAIETLK QRFARQLQLNPMPKKKLPGTETPVNVQKQVVRRHAAVLGLGALIEAFPYATPPPKWMP EVLATLANRAANDPGVVGKATKSILSEFKKTRQDSWTVDQRYFTPEQLEDLEGVLWKS YFA
SAPIO_CDS9402	MVSFTAAILLAAGALATPLEHEARQASCPPIHVFGARETTAPAG MGTAGPIVQAIVQAHPGATSEAIDYPACGGQGQCGGIQYGDSVKAGTAAVAKAVNAFN EKCPETQIVLIGYSQGGQIFDNAFCGGGDTHSGISDTAIPISEAAQKMVKAAIFCGDP RHIAGLAYAVGTCQASGFAPRPNGFECPYEDRVQLYCDATDPYCCNGNDANSHQQYGN KYRAQIMEFVNARLT
SAPIO_CDS9404	MSIRWLLLANQQQPNLDCFSQNHDKPSSAATTASPAPDFNPSDA SSASRLVTSPHQFDDKMLAPRAAPRTAAGITRTAIRGFATVQDGTPSRTYGNLKDQDR IFQNLYGRYPADLKHAKKLGDWHKTKEIILKGHDWIINEIKASGLRGRGGAGFPSGLK WSFMNFKDWDKDNKPRYLVVNADEGEPGTCKDREIMRKDPHKLVEGCLVAGRAMNATA AYIYIRGEFVYEAAVLQQAINEAYADGLIGKNACGSGYDFDVYIHRGGGAYVCGEETS LIESLEGKQGKPRLKPPFPAAVGLFGCPSTVANVETVSVAPTICRRGGNWFAGFGRER NQGTKLFCISGHVNNPATVEEEMSIPLRDLIEKHCGGVRGGWDNLLAVIPGGSSTPIL PKRICEDQLMDFDALKDSQSGLGTAAVIVMDKSTDVVRAIARLSKFYRHESCGQCTPC REGSKWTEQIMSRFVEGKGRAREIDMLQELTKQVEGHTICALGEAFAWPIQGLIRHFR PELEARMQEFAQLTGGEALAGGWNHDTRSKGKLVSPGQ
SAPIO_CDS9405	MSVYEQFALFGVDSFGLERFLRFVQAACQIAANTALTISLTPIP VAELLTLGATVNLTRRFIRLWRFLDCFSTAQALYNAGAGGSTPTLELWLDILRMSLLG MYGLLESITIPDLAGVTGFGPQMTKAINLEAQRFWFMALVCGILAGISRLASLYAFAP VPPSGEVYGLVEDEKSGAKQEKDEVKRKKEEAEKEKARTAAKKNALVRKLAVDTLDLC LPTAALRWAVLDPAVVGWAMLVSTLLSGYDVWLRCGVQLRKAKATKV
SAPIO_CDS9406	MSEKLVDEFHSKLEEGLILAIGRDYDLDNREEFETVRRVLQELA KNVPDEEASSFAANGSGQNVKAFARDSSSSTDPTSSHRAFQRQEPSGTDQSVDDCCLY SDDAAGGERVEISRVSAFDHESEDAKFAQLASMFPGLELRKIGDALKRAAGDFPAAMD ELLAVVYVEETEEKTPAIDAFFKPEDENNGSQSRSKKRKGKKRGKGKGDLGEKEMNDI LFLTERLDIPHEMATAAYLGSGRSQAAATVVILDDYIREGVEAQGEDAQGRLRNLQRD HKHVPIEYLSSIIQVAGPIEEWQNDVAGLLNKHFSDKEKGPLSISYTLTPIEDEVEDG YSVVTSKKGPKSRQASGRDSQVSSSNRVTALGTLASASPIGGFRMGKSGPVYSQTNSA SSSNTIMELRQMARQARTEAAHQLVNQRRTENSIDLHGVTVNDGVRIALDSVRSWYDN LDGEYKAREAKKGYTIITGRGLHSQGGVSLLRKALLPQLVNAGWNVQVGTGLFTIYGR LQK
SAPIO_CDS9407	MPSLRAWRRALAPVAILSWASLVVAHPLVSDKLLRRAEIQIEDV KESYDYIIVGGGQAGIVLASRLSEDPDVTVLVVEYGYFNNAPSVLQPSSATSYQRNVQ FNITSVPQTELDGYKQSVFAACCVGGGSTINGMLLNRGSAADYDAWEALGNPGWGWEG LYPYFIKSQTLDEPNPASAEEFNITWGPESYGDGPIHVSFSSFQWPGVKIQRQALIEA GAEAPIDGSGGDAYGVIWYPTALDNSTATRSYAVNGYWDPAKDRPGLNLLTGWRVDEV TFDEDKHATGIVMRQRGVEDAERISVKSNREIVLAAGALHSPQVLQRSGIGPKWLLDE AGIDVLVDLPGVGSNLQDHAVSGVSYQFTTNLELNPQDSMANTTFAQWAAKELAENHA GPLRIATGNIGGLIPFPVIDPEGYEALIEEYLDLDLAPHLPSSYTEENIAGYDAQRTV MADMLGRADNAFLELPLQAAPGYSAVLIKVLSRGTVHLNPEDIYGEPIVDYFTYGMPI DKRIMRRVVKWVRKVHATDAMSELGPKEVSPGEDVVTDEEIDAWLTKSTSCSTAHNSC SNPMMAREHGGVVGPDLLVHGVTGLSVADSSIMPIVPGAHICSTVYAIAEKAADIIKE RHGGKAEKPEEPEEEVPEEGEPIEEDPEDDSC
SAPIO_CDS9409	MTRNDPMAQQPINYATLALELIRRAKLPHPNGELLKCYVKESVG NEVAARYFLKRCSRSRCCEGTGLQGFLSDWKKLVSSFRWIHVSRGILQRQQNQSYTYL KSVTCKANSILKWLAVTLIVAFFAVWRLMPGNVRMRGYKALAYVGKRIYPASESFKIQ RLPFGLFLKGTDLRWQQGLINEYHALKMIPRYCTTAVPRALDLVSDSDDIYMVMTRIP ACHLGLCFDTLDDAEVEALIRDLRKFVTELRAIPRAPEAEGKISNVIGEACFDHRINL GLTFDQGRGRDFVGPFANEDEFNDILTHPGVPDVRHRSGHPIVFTHGDLNMRNVMMEN GKLSGVIDWENSGFYPEYWDYTKAHFITKINKRWLGIVDRVFSPSGEFETELETEFKY WRYCQ
SAPIO_CDS9410	MATGPGDNIQKLSLYVSLYARSIQGEFHWGLLVTDSQSRGTLHH AKDNPSWQYEKKIAKVDNSATLVCLVRIGSVTSVHDAESVMESIPADGKPSQRTGEEF NCGTWAKDSLVALDSKGVIQLPRDIDSLQNSVIALGMKHSRAAELGKGPTVVNSLGST 
SAPIO_CDS9411	MQQLVVPVVVGTLASFGAASGSLPRGVSPDFAKFYESKDSFACI TQPSIKLDVKQVNDNSCDCPDGSDEPGTSACAHLDPLSPPQPLPGSPTGSTNTTNALP GFWCVNKGHIGGYVPFAYVNDGACDYELCCDGSEEYSGVGGVKCPNKCAEIGKEYRRI EEERRKSLEQAGAARAELIRQAKQAKRNAETTIVNLKGEIAHLELKRIELQKKYDETE RVERRKVVKAGAGGGKLAELLEQSKMRVEELRDTLELVVEQRNELRTKVADLEGILKR FKEEYNPNFNDEGVKQAVKSWEDYAARIANEHSEIISDAEVRDVLIEDGEMNGINWKD FEPSDDDVADTDIIYKLEAYAPRFILDLFYKQMAALRQWLVSNGILADSGTTTTESPA VKAARDALSAVDREITSKTSALKNEEDDLEKDYGPDDVFRALKGKCVSTDAGEYTYEL CWFQKTMQKSKKGHGNTNMGDFARIGREMSDEEERLDGKGLGTGERMVLRYENGQSCW NGPRRRTDVWLACAEKEELWRVSESEKCVYKMEVGTPAACEPQGPAAAPSAKKGKDEL 
SAPIO_CDS9413	MPQQQLTQQQVVALERLDVIAKELRSRTSDDVRRRAAAQLRELV IVCHRDLSPEHFHAFYTAVNNRITQLITHGSDSSERLGGIYALDALIDFEGVDVALKY TRFTQNLKTILRGKDINPMQAAAMALGKLCRPGGTLTSELVEAEVKTALEWLQSERIE ERRYSAVLELRELARNAPTLMYNYVGLIFDLIWVGLRDQRQLIRTTAAETVSACFRII RERDQELKQTWMNKMYTEMNQGLKVNSVESIHGSLLVLKELLEQGGMYMQDRYDDACD IVFKHKDHRDPTIRKTIVLLIPDLASYAPAAFAGRYLHQFMLYLTGMLKRDKDRNDAF LAIGNIANSVKSAIAPYLDGVLIYVCEGLSVSSRKRGSVDPVFDCISRLAVAVGQTLS KYMEALLDPIFACELTPKLTQALVDIAFYIPPVKATIQERLLDMLSIVLCGEPFKPLG APQPNTLNSLPVVPKDSKDPQAYEHRKAEIKLALNTLGSFDFSGTEVLFPSRYQLNLP PPRRFELTPGQPPPDSQSHKYGQGGRARNNSAFSSVKKTFGLSASDNPAHRRLQSHVL NEFVRDVAIKYVDDDDPEIREAAALTCCQLYVEDIIVSQTSQHALQVVGEVIGKLLTV GISDPEPTIRRTVLAALDERFDRHLAKAENIRILLLALNDEVFAIREVAISIIGRLAK YNPAYIVPSLRKSLLQMLTELEFSDVARNKEESARLLSLLIQNAQGLIRPYVQPMIAV LLPKASDATASVAATILNAIGELATVGGEDLLPYKDQLMPLIISALQDQSSNAKREAA LHALGQLASNSGYVIQPYLEYPELLELLQGIIRSEGHHGLLRQETIKLMGILGALDPY KHQQVEERTPELQRRVEATQMTDISLMMTGLMPSNKEYYSTVVINALLQILNDPSLVQ HHAAVIEAIMNIFRTLGLECVQFLDRIIPAFLHVIRSSTAQRLESYFNQLATLVSIVR QHIRNYLPDIIEILEEYWNTSSSLQTTILQLIEAISRSLEGEFKIYLAGLLPLMLGAL ERDASTKRIPSERVLHAFLVFGSSSEEYMHLIIPVIVRTFEKQGQPIYIRKLAIETIG KLSREVNLNDFASRIIHPLTRVLTLGESSLRIAALDTLCALIQGLGREYIPFMSSVNK ILASNQIQHQNYELLVSKLQKGEPLPQHLTSERPADQVDEPLFGDLASKKLEMNPVHL KAAWDTKGKSTKEDWQEWLRRFSTTLLSESPNHALRACASLASVYLPLARELFNSAFV SCWGELYEQFQDELIQNIESAIKSENVPPDLLALLLNLAEFMEHDDKALPIDIRVLGR EAARCHAYAKALHYKELEFLQDQSSGAVEALIVINNQLQQSDAAIGILRRAQLYKDGI TLRETWFEKLERWEEALAFYDKRLEDAENNNEAVPIEVIMGKMRCLHALGEWDTLATL ANEKWQSATPDLQRLIAPLATAAAWGLGKWDSMDNYLQSMRRSSTDRSFFCAILALHR NQFREALNCVQHTREGLDTELSALVSESYNRAYQVIVRVQMLAELEELIVYKQCDERK QATMRRTWEARLKGCQRNVEVWHRMLRLRALVISPAENMHMWIKFANLCRKSGRMGLA DKSLKQLVGIEGSLESVLPYWGDRQQPVHNRNIPAQVIYGILKYQWDLGVQSGGIKNN DLPSRALYSLRKFTHETAARLEHIKDQLSAQAPNGFEISSDFNFQHPLDPSVLSPQTH KALQDQTVLLAKCYLRQGEWIRALHRDTWHQEQVREVLSAYSAATKYNPRWYKAWHGW ALANFEIVNQITSRADREHVGIDPAIILDHVVPAVRGFFKSIALSAGSSLQDTLRLLT LWFAHGGSVEVNSAVTEGFANISVDTWLEVIPQLIARINQPNRRVQQSVHNLLADVGR AHPQALVYPLTVAMKSSQSSMRSKSAAQIMDSMRQHSANLVAQAEIVSQELIRVAVLW HELWHEGLEEASRLYFGDHNIDGMFSVLGPLHDLLERGPETLREISFVQAFGRDLTEA REWCRQYQTTQDVNDLNQAWDLYYQVFRRISRQLPQVTSLELTYCSPKLLAAKDLDLA VPGTYRSGAPVVRIQGFDSTFTVINSKQRPRKLNISGSDGISYAFLLKGHEDIRQDER VMQLFGLCNTLLANDSECYKRHLNIQRYPAIPLSQNSGLLGWVPNSDTLHVLIREYRE SRKILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAW LERRTNYTRSLGVMSMVGYILGLGDRHPSNLMLDRITGKIIHIDFGDCFEVAMKREKY PERVPFRLTRMLTYAMEVSNIEGSFRITCEHVMRVLRENKESVMAVLEAFIHDPLLTW RLTNTQSPAGPFQSAREAAIAGPHGGRGRRASVLDGRPQDLMAAQAGMDGMPTGLPST RSRARTNSSVARDGVVNGNSNGHTDVVEVQNARALEVLDRVQQKLTGRDFKNAEELDV VSQVNKLIIEATKLENLCQHYIGWCSFW
SAPIO_CDS9414	MDPTGSEDTPIRQEDDLGSRVRNLIRQCEAKIKLEEELRDGELQ KIKRETRRILAKALENPRWDPELLRMKLMPQDSEYRWVKRRSWEKIDAHRNDLHEELK KIRDGLADGPPDGLNTPEDSMVLFGDGEVSPVGISLRGVESDCLRERPSNGPASNDSV DGSSVPSAAPSLSRGWSLVEAGILPPEQRLSGKRPLQDESTIEVAPVRKKARHGSVGA EGRYNTATGNPPKELRKITFDEVYQNGEAEYKHAIVQFPAKGRRKKWYIVKCETSEHK VHFAGERGVLRSAASHLASSLHGLYKSYGNSIEHLGWEVVGCNEKLAELNNRAMDKAI KEGYKPLNTVECGTSSKAGTMKNSIGQRDQSDEKNEKLTPEGSNSNNNRVTVRGKKSS SSIKRSDILPESPITRPEDGGIYYAFWPPDQVFYLVFVLGWDGSPNSGLPETGGGLNG FGLLDRNKTRIPPCYKIDWKVPRILGWVEGYRDGESQEATREFPIMFFDTPQNTGGWI EAAYLAHFDPENPIYRSADSRFVIEPEQVELALKAYARCRGFSSYQQFRESGPRPCAV TDSSPPSPGITPNIRTRSGSSRDQRAVRRSLRIVNVDAGWDGEEDDRASDWAMGKEVE GGEKDEDDDGVEKGAKDANGSYLRGSPELGEMNQTVHEGPVRDADENTVPVGPVDDAD GNSSDPDAPTSRFNSRQRPSRRRVIRETPSLPDDRPAKHLDEDGDELVGEGTVEETDE GPGNHLIERENSPKPDVPTEPRARECDSDKQEALATSGARSASRRASPMTLPATQPVR DTSSMEPAAAISRPPIRAVAKCSATLGSEQQPGTFPLVRYSDGTVTWSKGADNNKLVL RIDEPTGTARGALKGGTEIEINPRHILSQHRIRDRETGYVRLTFVLEEAGEKKECVLF FADEEEGKTSSRLAREFWTWAKEKRERSDNGGGKDNS
SAPIO_CDS9415	MTSPKRPRGGPDADGGFAPATPDDITSPLINPPPTYAAFSPVTL SSNPSAKSSSKRRSTILVHQKSPLLLATPPQITRALAYSHPFLLPLNKFAGLLTWTTG DPWESFVMLLVFWAVVLYGDVVIRMAGPIVVVAALILGMYARRFSPLSTSGWSEPAQK DSSARGVSGAAAAAAAGRLQKNGGKPPAANGAAKPGHRRGNSEVTNTRHQKTLDEIVE TLKEFTSRCNMLLEPMIEMTDFLSTQRTPTSATTRPALTTMFIRILFCTPFWVALTLP PLRIITTRRVVLVFGTLVLSWHAKFMRVAREVLWRSSMIRKVAALLTGLTFECPMRPT PVSFAPAASSASEAADNNNLSRSTLTKRRESELTKALRQQSHHHGHKHGSPGDAGVGK DAGVKFTFIIYENQRRWVGLGWTTSLFAYERSAWTDEHNNPVPARDSFELPETEEGSH MHWRWVSGSKWKVDGVPDDGKEEVDYDGPEGNNGWVYYDNKWQNGRRGLDGWGRWTRR RKWYRDAELVEIGDDEEQEEEQSSGVATTAAESEAPAQSKVASNGPPASLVTTPPRIQ AYSTIGERMESPIMSSFGKAISTLAPVQETDGATDDCDDYEANTTAVYSNNNNNTHAN GVPIAGNGNGKRADEKDSYDSSSLHSTSSRSFFRPTSLRRKLTDRSSATMGSSSGSVP AGTPGSQDTDKERIQRSRGASEARSEVEVAGLGVAAAMSIQDQDHGLSKGSWGIGDEA RMSLE
SAPIO_CDS9418	MRPLVLSLGLVGLIGPAMAVAPLVDLGYTRLQGVSQQSGVTQWL GVRYAAPPVGELRFSAPIDPPATEQVVDATQFKPICLPRSPNDFTMQPNRRFTVSEDC LYLNIFAPTAATTESPVPVMYFIPGGGFESNSNANFNGSALARFGDIVVVQVNYRVGP FGFLQSEEVKERGSLNNGLKDLIQGLKWLQAHISGFGGNPDQVVMAGDSAGATSIALL LSAFADNDPNLFKGVIMESVSLATVRSMSQGQEQYTCLVSAAGCFSSADTFACLRSVN ASALQTQNCQFNPHLDDDLVPAPMLDRFAEGKYLRVPTIAGSCTDEGTKGVPRDTDTV EAALKFVNDQASGALSDDSLALIRETYIDVEQPVFNNSGRLWRQLANAHGDFRAHCVT AKLQDAQARDGVLTWNYRYGVLDEEQEELGFGAYHTVELNGVFGPNNTDGAPPKSYST SNAPIVPVTMAYWAKFVRSLDPNAETADFVTQVERADMPTWMPWTTDAKQRLLFQTGN TKMEEMPRTQQDNCAILDAMLPAIETPSEAKGAVQLRQVTDAAGASEGGDNLPVQSGG VVAMGRQFPGVTQVVGTCLAVLFLV
SAPIO_CDS9419	MSRPPPPEARAREFDETLAAGSKTVSYLEVARYMADVEARLRKE DKTKLMATLGSMKPTSTCEEIKAAKDKCRLLLSSEPGLLARFDDFLKHAAIAMGKKVS SDAERRGQPSGSSSASPAASTPGDSARSGSDSCTISMESRLGRDQVRRLKALPNENPD AFSHILFDELDEEPVLDYITDLETRREIFEELQKLSKTYDEPGLNAMTLGIVMVAPIM RLQALVREMKSSLDKSSGLNQLLWVQGFTNFMRETAVQGIKALKTERQLEVCPYWDAG SRSGLYCRLFAAGNEGLQSGELKLKTGQTFILRAQGQKDTTSIELLQLSWDLLRVAAI CGAATDDTPNGENDESEGRSVGAGSVTWEGNERDELRRWAVGVAEATAEERDEPENSP KDSR
SAPIO_CDS9421	MKRLENREQGGLGTSSRYPGEPSADHTSNTGPVGAVESPPGPKL FGDEVCYGALNDAAAKVLGKTGISCHLPATESASPFAHFCLRAQDHYYVLCSETGAEF AVLDTRTISKLKALRGTPMVRFEAVAQTSALTKRQRGGKLGLKSFDVSINIFGLRLTA DDVASQLSRVSAYLQHPKSLSAGVEYYNPQFLTFADDNLNMNDFIGMGSESPWALKIK VSEEVGNILESLADVPAEGTLALPAGLTSTLKKHQEDGLRFILQREDEGFWQQLLSQL RQGVASLYAKVILLFYNGSIGSLLASTKEVTSISFGGLIADVMGLGKTLTMLTAILHS AALAESFGNFYEMRENESVEAKVRTKATLVIVSSARVLSTVNFHGPDRPRDPETLRSF DVVLTTYGTLAADHAGQGILQQMEWYRVVLDEAHWIRNSGSKQFRAAREIDSLNSKRD VSRRNNKLFTAVIKMRMLCNAGTFSSVEDTEAPLLHLEAECERCRATDEDSLMLLDDC SFCPGCGRPLDLSCATPGSTDSQGSDSDDRIDDISMAFDEVDHVLVTQEHPVPLSGFS TKLSAVVQNVARSRPEDKSIIFSYWTSTLDRLSQLLEEAKIPHRQVDGRVGYAERSKH LKAFKEDPQLLVLLMTIGTGAVGLNLAIANRVHIVEPQWNPAVEEQAIARVLRIGFPT SSGY
SAPIO_CDS9425	MGVGIASTVVTLLISGVLVLVTAGLECRPVVDLGTSRHRAIENA TTSHYTFKNIPYAQPPIGDLRFKAPVPRTTVNRSIDEGLDTRICYQATGNNSAYTLPI VRDYASTCGNTSNMALGSSEGLVQSEDCLLLDVYVPKRVWRSRFEEKRPVLVWLHGGG YTKWSKEAIDPTGILAHSLKRHRGGMIVVTINYRLKPGMEGVCSNAGLYDQRLALYWV QEHIHVFGGDRRRVTLIGESAGGGSVLAQLAAFGGEYGSSPFQRAVVQSPAIKPILSA NQYETVYDYLLEESGLDGYEELKGLSGTELQEINKAMVGNGSIANTIFQLNVDGRFLR DVPARILAQRKVDRSVEVLVAHNSREGGLFSDTRVQDDEGFRGYFSNLLPDLPPDKLD VLATEIYPLDFSGALPYRNHTERLMLAAGESIFDCHALATNLAYENNTRGYCFDMCPG IHAQDVSYSLYNEEETDIFGVSIDGDTAEQMQSWIVDFTIMGNRHGSKTRELPVYGPD ARILHVKNRESTDAEFPIMKDPAANSRCRFWLEDVIY
SAPIO_CDS9428	MANSGAISRAALSRIGYRSTPSTSRAFATIPSKPHHRIVVVGAG TAGVTVAAQLARNAQLRKPDIAIIDPATTHHYQPGWTLVGTGLKPLNEMSKPLASVIP PNVKHYPLNVTNFDPSNNVVKTSEGVEVSYDYLVVAPGLETNFAGVSGLTEALQDPTS AVSSIYSGETVQNVWKQIQAFKGGKAIFTQPAGIIKCAGAPQKVLWMALSQWSRDGVR DSVDATFATGTPSMFGVAKYNAALEEIRQERNVEGLFQHNLVAVDPKAKVATFKNLAD GGKEVQRGFDFLHVVPPQKPWDWVAKSPLADEGGWVAVDKATTQHIKFPNVFSLGDAS SLPNSKTAAAITSQTPVLIHNLLSVINGKQPKAEYDGYASCPLLTGHNELMLCEFKYG GVPQETFANVLGDQAEPRRVFYHLKKDFFPFVYWQGFVKGTWYGPKAFNAPKFE
SAPIO_CDS9429	MKWFAIALALVAPSEAYLRFGCATLSVQRLDPIVEPGVIPSAHL HQIVGGNGFNATMDPATDVSQRATCTTCSFSEDFSNYWTAVMYFKSRNGTYKRVPQYP NALLGDLKGGMTVYYLQESFNTNGNQKITAFKPGFRMTVGNPGSPDGTNPGLRYTCLQ NVMTRFPETAEFPKQPCPAGIMAIHHFPACWDGKNLDSPNHQDHMYNTVKGAFTPAGA CPASHPVRTPQVAYETMWDTSEFNDQSLWPEDGSQPFVWSFEDSKGYGTHGDYLFGWK GDALQRAMDSAPLLSNGITTQSVAQANACSVQSSVEEDIDGWLTELPGRPMSAA
SAPIO_CDS9430	MKSSLSAGLALLALVQAGLRFPCSTLTFQRLDPAGQPGALPSAH VHHIVGGNAFNVTMEGDVGERATCTTCQMSEDFSNYWTATLYFKDPNNGSYHRVPPIP VQPLLGGSNGAQGGLTVYYTQFDLSRDNLNQQAIKAFPPGFRMVVGDPGATSLNHAGL SYQCMTGQSRGAITNTMPTRPCSGGIFTTHHFPACWDGKNLDSPDHKSHMYNTVTSEG FTNAPKCPASHPVRVPQVTFETVWDTTKFNNLWTNGAPNPFVWSFEGAATGYGTHADY MFGWKGDSLQRAMDKSECFYDGCGSIQKQAMSVANQCTVPDMVGETTDGWLTEIPGMG VMA
SAPIO_CDS9432	MQIELKCGHIKRIVEAWCEDYCKTEIRCPPNIVHWEYRVDSVCR GCRPPKPLPPQWEAMIRRDRTSLRLRQGEGGMAHNDRLVEEA
SAPIO_CDS9433	MRLRSQRPGLWMLVLGLSRFQLALAVGGWSGGPCLSTCQLWLSQ VPFDDTPPDAEYWARRCHGMLAITSLYLCADRLCPDEDLVSGLRALNETCQTSYNSSL PSFDLIAGYTEEDFARIPKIDPADHPPKGSVAEVVLPSGPLFKLASDTLSAVGYVHRR HLLYALAVFAFWIGAMIIGILFRLIPIIRDSEPKPRPIPINEQEEAFAPLTSQVKPRP LDYPSLYLKRFVTVPATFGYKCLQNVGWCTIPPRVESLIILVFIAVNTLLCVTGYPIF VGNLYFPTIWTQSWRYVADRTGILSVANFPLIWIFGMRNNLLMGLTGWHFGTFNNFHR WIARVATLQAVIHSIGYTVLVFDDGGWPLFGWYWTEYFWWTGEVATISMCAILAFSVF WMRRQLYEAFLALHIVLSIIVVITMFAHVSIFNGQFDAPMWICVVIWLGDRLLRLSRV FAFNPRFWDSCAKMTYHSESNTVRLVVPCSTSIYKPAPGTFYYIYVLNASRPWESHPF TMAYTTPERDVSQMERVSLLQGDPRQQDLHNQCDPTEEEAEASMTFLIRPYDSFTARI RNMAAEGETIARVLIEGPYGSTHAFDKFEHLLFIVGGSGIVVPLSYLEQLNRALTVKS VTIVWSVREPAFVEEVLRHDIPAGLLDGKINMEVFLTRGFSNNSDDDGPPEWSKEVNA VPGRPDVYSTVEYAAYSSDGGSLAIISCGPAKMADDSRQAVVAMLEPSETATTETASK HDTHLDPAEKPPRKQAKGSGGHKSKMEISSPAMKEETEATGVKQEEGVTTQNQGQSSK HPLLPHCLELGIIYFYFRPRVGQQAPQGVDDMARSYIVLRPIENFDEPLSDDGKSRVC IIPKKILPMTGRERWIGFVGKGNEPYSKATQEDLSARGYDTKTRGERQIPAAMLIGAG VYALSKGGRGNNLVYILSQPKELGEAQIDMGLKPRGYFTISTRNPEYPAPKGVAFPEG PNIMNDFRSLRWIPTEPRHLDYDMTQFLLIGHTSGLDQAFTGRQRRRRKVLEVKEEPV EGEEDIPEDLGEEEIAELMAGLEKYVGKLPKVEISY
SAPIO_CDS9434	MQFFSLPTEIRLKIYKELLVSPRPIVFVPAYDLQLPPLVQSDPV CLCPALLRVNKKVYMEAIPFLYSHNHFRFPNIFVPPPPFTDAATIAPIIRQIGSQASL IRNLCISFPKFQSDGQDSDISIRQPDVINLELVRDACTGITTLELLILADDALALDSS PFLATALALLEMHLEAILSLEKVLVNFRAYSVADLAYNFLAEAHRRGWVIMLSSDDEV YIEQDALLNLLREAKEDDV
SAPIO_CDS9436	MSSSSSSGSNTDDVTEIIVASIALLFSVLAFATTTFQALQQHFA SAAGYYACSEQVIGKWAQFTHRRMRWSDFRMEVSFEVPVIFVARPENEKGPLGENKTN KIIKLDGDEEENLKYTSDWTKVEKKVDETKHQLVHTADNEKATWYALLMAIYRMENES RAWQRKALGYNPPNGTSPAHGLVVCFQRKRRTWDGMHKDLGKPYATTTISHFVEMMGM LGIYWKEFDLNNDKYRAQGNGFAVYGSYVNNLGITFLFQKISSTWFEKNRVVPNYSVK KLCFGYAPTIFHEEKELIYADEPKDVGTLQLGSFAEIAQTLMAFGCDTRTVKYFGKHQ DQARHSHIFPKCDNYLKQQELFELVKQVLCVHIQEVLGVLNCRSNTELDDSKDATKST SRHPAGHPRSTRHRDGALVVVSDETDDIIEDNPIYVGDVTMRDIDSASFSDRHSLLME IVKQAKKLAIEVHGSIENLAALMPTTSPPNMGMKKFLTFKQFHDEPMEAPSQDLSRLP EKADRQRDDFAGMASIPFPYLKGQAEPEHGRLCLGCYKTQELCYNRLFPASVLSDLAP PGTNPRRAIQAMSERLHSSGGLREHTKNCYGARQMIV
SAPIO_CDS9437	METTSHAMKDKDKKGNTRRKPETPNHRDQPSKRRRAPSSQLAVD TEVENLAQVGDEPGPRLATDEGEESSDANDANDAHAEGDIWSGNSSTMELVAEAFHHH DRNAIATPETPALGYGSTPRSIPDLQTSSVRRNTLQSKRPRAALGELWDLLPSWDAAS ILIENYFDKIHWFVLLFHQGEFRKSSIDLYPSTSPSHTRLVFQATGRVCVLLAVCALS LGYLDTDQETKLVEFGVDKQALKERTLFILRLHILDVLALGSLEAVQTTVLLGSYYFY HGEPELAWPLCGGDWFHPDLEERTFDNKLFRLQALALKLAFLKPRGLLLDSQTGVHNR TSTFRMLLQSCRDAALQISWAGTLPVFQEASGTFAVNFISLHLLTAGVALCVITSSDP LSGDAHDAKLGLQVLQELLSLAMRKETEALLRVHVTPSETTRPQTIASIPKTSFASEK DTEERNGHQSAPSAPSDPHEMLPDGSEENITMQAVIDFEQAMNSIDTTTPGNQKFLSP LPPDFLLADEYIGQDQNWMWDPAFDAGYMFMDQINNT
SAPIO_CDS9439	MHFSTVCAVLAAPLAAVAAPSPTRTTTSFDLASKVLASRQAMKP PPFCVRQDPPPSEEETEKLFDAFAEAFITKNNITEAFTYIAEDYINHNPLAQNGFMSA WNILSGIWGGIQKTLLKTAFDGDMSWVNYQSSGMGTIVDRFRWEGGCIAEHWDQGERM P
SAPIO_CDS9443	MSETPEDFIQADESNPYDSEDELQGADVGTVQSSLTSISESLMK GVVGEGQRTYAAYGKEEYGFPMDDKELDRIDLCHVKYSALLDKHLFFAPIEDPQRILD LGCGTGIWCIDMAEEYPGAQILGVDIAPTQPEWVPPNCQFELDDIEQEWTWKKNAADF IFCRDPIFSIRDFPKLIDQCYKHLKPGGWVEFQCVTGVLKCDDGSIPKDSHLQVMANN VQIASEKFGTPIDDPSRWRGWFEARGLENVVEKVFKMPCSPWPRDERLKLVGAWEQYN LLNNLEGMTMRLFQKTMGWTEEEILVFSALLRKDLKNLSFHGYWPYYVVYGRKPLDAE EAADAQELGTSPTTAQPVGETT
SAPIO_CDS9445	MRVKHPYIPPNPASQDDRVERAGTEAAPPYSYPGLGRSLYYLPE GQEEQYPRAMGPNNWSGQSELLFAREVAMMIIMDYLTDKADWHRKVFDDRIADRWVEE ALEIPVEPLWCEIIGYRTSGRVEEDLLGPESSEGFITKEMMIYCIKELRQKAHLTKET GLVPTLDASASVVKSDTIVGEELQKELIHAFGRLKTDQAHAPDWHPGTDEMVQDLVHP SMYPLVWGRSGIVRKPLVQVDDAVEKWLGKGEVWEPRLHPNPYLSRYRDDTWFWSKTY QWLPSNVAFEDDGSVKFTSYINNLHPERYPEIYRTVEKLIEKALPAWDYCLAQCDGTQ SYSDGFERSEPRFSKPENPDDENDDNWIDNTPEGIVYDPEKDDHFDRREYERTREEYI ELRLFKLFRKPKHPDPLEYQPIDYSIDPEDTLREQFRDTGLQIIVKMASIELTPEKPY FPGGNWHLEGQLNEHIVGTALYYLSTENVTPSRLRFRMATDHEPEDLFGNVGQDAYSW MEEVYGTSFGAHEKAPALQNYGSVETREGRLLAFPNVFQHCVTPFELVDPTKPGHRRF IALWLVDPFVPIISTANVPPQQAEWGEGGATANPELEPDDEWPMTREEALKHREALMA ERSVLENKNRDEWLRYCYDFCEH
SAPIO_CDS9446	MSTKDDLEKVEKIETPSTIAIQSRLSKEERALVWRQDLRILPLS AAIYFLCFLDRANIGNAKILNYTTGNDLLTETGMRSDQFVYTLLIFLIAYGLFEVPSN ILLKKLRPSRWIAILMFTWGACTMCLAACHTFSQILAVRWFLGVAEAGLFPGLVYYLT FWYKHNERSLRVALILASATLAGAFGGAIAYGIGHMNQAKGLSAWRWLFLLEGIPSVI SAILVWFFLPDFPESVSWLSQKEKDIAVARLETEGSNSAEKTMTWADAKSTLMDWRLY GHYVAYFAVSIPFASMSYFTPSITTGLGYVDLQAQLMTVPPWCVGYVIQIIVAWSADY FNARGLHIAGMAIVGALGYIVSAVLPATAYRSRYGCLILALTGAFSTIPPLLGWLTSN VVSTASIGLAIAINVSLGAGFGQIGGIWIYKDSEKVKGYPSGHWTNAAMMLVVAVTAV ALRIYYGLVNKRLLREANGQEVRLYKL
SAPIO_CDS9447	MAPGTHHGFATVSTDTGHNSNSTDLAWALGNEEMRIDWGWRALH GSVVLAKKLVGAYYEKEADHSYYSGCSTGGRQGLRELQQFPDSFDGALIGAPAWDPTL MNSYVTQVGLYNLPVEDPRHVEKDMLEVVAAEALRQCDGLDGVQDNIISAPGDCKVDL TALSCDRPDIVKDKCLTEPQIETMNKLYEDYRSPTGEFVWTGYEPGSEIQWSTVIGSD KPSAFGYGFQRYFVYDDPEWQWQDYNFSVLEDTVKKDPGQSRAAKYDLSDFKGRGGKI LLYHGMADGLVPTRASALYYDRVTDAMGGPPTDFFRYFPVPGMQHCASSAVSAPWHFA AAFQASVMGNDTWSVPGFKDAHHDILLALVDWVEKDTPVDSVIATTWNTANDSSTGVL RQRPVCPFPQQAVYDGKGNVDEAASWQCREEGASSRLGLPSGLVVGVIVVITSLMLWA 
SAPIO_CDS9449	MYFRSLPTVGALLTLYATLADAALSASSTILIFARDEAAATSGS YGLRGYGIPYEVVLVPVEGIELPELTISETEGKYGGFIILSEVGYDYEDHWRSALTDE QWKALYDYQELFSVRMVRLDSFPSAEFGTRAQHPAGSGCCEDGVEQYISLTNATGFPT ANLKTEEDLSTEAIWHYPAVITDESIAWQIAEFGPAGQFFEEPGTAAIINRIGNREQM VFFIGWATDWALVSNYLQHAYIHWITRGLFVGKRKIHLNTQVDDVHLETPLYTPQGEG PEFRIITDDLDAHKKWQAELNTRLPPGSNYFIEMCHNGNGDIITATDTDYGWEICDPK DAVDYESPPDTDLEFMKPPGTGTSLWPPDLLDYPWALECCEIDPLASWFMVPRNRDVF SHVSHTFTHLELNNATYDDAWREIAFNRDWLNQVGISAAPMFSGSGIVPPAITGLHNA DVIQAWLDNGIVHVVGDNTRPVLRNSESPFWPKISNEEDNGYPGLVIVPRWATNIYYN CASPECTVLQWIHTTTISEDVTFDDLLANEVRTNVRYLLGLQQDPYMFHQANMHQSDV EEVTIGSETGTFSLLQIWVESITQELTRLTNWPIRTLKHDDIAQLFLDRMALDQCNPN LAYNYSDDGTSIVGVTVTANDNTCDVPIPVTFPGAARTEDGESRDDKVGEEPLIIWTT LSGSPVSFTLDESIALL
SAPIO_CDS9450	MIEDPNGFGFIRHNVRPLKPRKVGVTEIRGPYYSAMGKRHLEDV FETMGYHVDGVKFAGGSFSLFPEDRLREMIDLCHTNNAYVSTGGWIEHVLTQSDAHSA VDRYLQKCKEVGFDVIEVSSGFLSIPPDDWLRVVDRVHNAGLIAKPECGIQFGAGGDT SAEELESFGTSDPAKIIQMGKRFIDAGVERIMIESEGITENVKSWRTDVIQQILRDLP PEKVMFEAADPPVFNWYIREFGAHVNLFVDHSQIVQLSCLRTGIWGMADTFGKITTFP 
SAPIO_CDS9452	MSEKLQSELVESNSDQASGRVESAPKTSVANVDVNAPRARYAFG YQGKTLMRTISTCGAIGFLLFGYDQGVLGGINTSQDFLDQMDNPSNSLLGTINAIYEI GCFVGAINVFLVGEKLGRRKCLYIGAVLMAIGAIIQATSFHVPQMIVGRIVCGWGNGF NTATTPLWVSELSPAKSRGRLVAVEGSLIAFGIVIACYFNIGMYYANGPVVWRTPIAA QLIFIIAQVALVVILPESPRWLSKHGRHQEAIDILAQLKGPNTPLDDPAVLSKKAEID QVLSVEQADGPMRVKEYISSGPLKIRRRYLLAIGVQVMQQLSGINLLVYYFPHILTSD LGQSHATALQLAAGLAATYWVFSLIPWFWLDRISRRMPLIGGAIVCSFCFLIAAILQS SPTDGRIKASLAFFFLFEAVFAIGWLPVPWLYPAEIMPLRHRTQSAAIATAADWIFNY MIVQITPIMIGNIRWKSYLVFFVLNFCHGIIVFLFYHETSGRSLEEMDSMFLGDNDRV FVVDKKGKLLPGFRGRYDGKDEYDVAEVGQKN
SAPIO_CDS9453	MPGLVFPNNAIPRTNDNSMSEDHGGVSPEPGPARTPEYDDGGYF SPSGCTSSSAALDSLPSNPCGLRVESSTVAALDIASPSRYFGERVPQMALRNKALCYA CLTCASRVLTRRGELDKAQGDVYEDTAISTLIPQLPTSSTCDEARREILLATVVILRM AEQFSEVKDDARCHLAGASSLLISKAETEARPSLGVAAFWLYMRQKLRAAFLNEEPCK FDMALVADDVGTAPAPDVVWTNRVTALLARTCSACWDPGLEPTLRNELLEELEKLLEG WQESIPETFQPWSEYQAENDPFRTIKYITVAWQYYYAAKVLVVLYKMPKDMNLIEITR YMEVSVEPGLNSSNPQWLGSLF
SAPIO_CDS9454	MLAYPTGGALVVALTYPVIYYFLDPKGLRKYPIPSVAAITPLWQ MYHNWYGEKYIAVDKAHKRVGKVVRLGPNHVSFSSPQAFKDIYGHGTTVRKDIFYDNQ AAGNPNMADATDKEVHRNKRRNLAHVFSPGKITEMEPRVMQVVRKLLRAVEMKSRGLR IADTDRFPVKDGVFDLRPWLNMFSYDAITNVFWSQTYGFLDRGDDDCPAERSDGTITT VHAMHTFHTGAAHSVLVGHLSPFWYDLVRNKILGWTDRTKCGNTFTDMARYLAHRRLE SPPPEPDLFSNLPTSPTEKRETPMSDREIIAESSVMLNAGNDTTQTSLTNIMFYLAGH PAAQKKLRSILRESLDPEQIPVAPYETLRHISYLRAVIDESFRMQAPLGTGLPRLTTK PIVIDGESIPAGVTVSAQTWSLHRREDLFRHANEWIPERWLPYWEESTETERQNLKEY VLPFSLGPRACIGRNLAYMEISICIAALVLAFEWELPEKGQVLRHHERFNCNPIELPI RAKYLL
SAPIO_CDS9455	MSFGTKVTLNSGHEIPTLGYGTWQSAPGEVSVGVYEALKAGYRH LDLAKVYENQTDVAKGLKKAFAEIPGLKRQDIFILYLIHWPVAFSKTSDDKLFPLTAE GSNEVVIDRGVSIVDTWKALVNLPKSKVRSIGVSNFAPEHLQAIIDSTEVVPAVNQVE RHPLLPQDPLVKYAKGKNIHLTAYSAFGNNTIGEPLLLEHAVIKQIAEKIGATPAQVL LAWAQVGGHSVIPKSVTASRIKSNFEEVKLADEDIAIINKIGETPRRYNIPNTFNPKW DINIWGEELEKNTSNTVI
SAPIO_CDS9458	MASSQALPDFDDLPPVEGMPKGCAWGLFDKDGKKDLLGTLNLLT PEVVRGAAAEVKDGVSISLNLPINLLESVPMPGRKKPEHNIMTLQEAGLSAGHGFDDE VSFNTQCCTQWDSLVHWQHQPSGLAYNGFKPTKELLSVNSTSENILPTLDHWHSRGGL VGRGVLIDYKEYAAAKGIDYDPLNGYRITVQDIEDVAKHQGVEFKTGDILLIRTGFAD ALLSQHPGEVFSKLAVGLVGIHGSEDTARWFWNKHFAAAATDTPAFEAFPPLKEDGTV ASLEDLVLHPYLLSLFGMPIGEYWNLKDLGDYCKEAGRYAFMLSSSPLNVPGLVGSPP NALAIL
SAPIO_CDS9461	MSFNPTPPRPFIGLWKNYADGGRLTLTMEETQAGILASFLVIFA GITTLSGWNIVRFIMHQSRSSGDTHDGMHHQIQAILRNSTGLVQALQLIFQVAKAWRA RLGVAPVAKRLFFVFTIVFVFFVASTFAQLFISLTWSTAGAQFLVKTDSCSFYFPDVE DQRLRPDQAEYIYLKSRLEAAMMYERLCYSEGADTSAPECSTFPVPTIEIQQEDDSCP FIDPGLCISSNNVPVQMVATVNSNTHLGINSKPEDSIVYKRSVRCSPMQNNRIIPRPD GLDFMYGRFTGTPETQATFFYSDALRPMTNYQIANVNNVPRPSSYDSASFAIGDDVWV ANSTIIGPPTDANNTASIFFIMTNNVTNVVPTNDPIFGVDPTGNPVKVLTCIETHELC NPNLPSVNSCKKFTPADDPFANMDSLSLNERQTGTALRVAINLLRQDIGQVVNYAPGD IVMAGKTVLYGEQYDILPVDQWRREVRRWFAMALYMLQSDAVQFSTLQKDETLQPYFS TITDEPWLTSCKNQRIRNAEGVRNFNFLAIVLILSIGLMIIVVGAFIEPVVALLRRML GRGEERTLTWVFDGVLQLQRLAYNAVGVEKWEPGVSDVPTTDEKVWPVIDLKVVATAE SVYDSDGSPIKTSPITPISRANLPWTPRRDVYA
SAPIO_CDS9462	MPIPSIDFSHGDVARRQNLVREIRDACETYGFFELTGHSIPQSL LDEVIEQSKRFFSLPAETKEKYDKGLNNFNRGYERLRSQNFEKKTEGDLKEGYYFGLD LPLDHPQVVARKFNLGPNVYPKEVHDAAQFRRIIDEYFTSMLKLSETIFRILCETLEV DNSWVSEFVDTPIAILRLLHYPPQAPDASEWERGIGAHTDFGAITILLQDMVGGLQVW DRAEAQWTDVKPTPGAFVVNLGNLMMRWTNDRYLSNLHRVINKSGEDRYSIPFFFSGN PDFLVKCFSNCQDGEGKMKYPPVTVGEWISARYADTYGTSGEKAAGELSAEAAAASS
SAPIO_CDS9464	MKKRSMPRVLPLLQGRVRHGQIYDEVRCAPLSGTVLEVGAGSGM WADVLATVTEGVKGPLKIYGVEPNPYSAAALRKRTRDVGLDGVYEVLPVGIEKLGDPT ATGVRVAPGSVDCVVTIQCLCSIPEPEKNARLLYNYLKKGGRWYVYEHIKVDDNLAAI SWFQALTNKIWTKVMGTCSLCCPTDKMLAKIGDWEEFDLALPAGQPLYEPAPRVMGVL TK
SAPIO_CDS9465	MTDAQPPKSPKAGKTPSPQPPSSPISPRLGNIDAPIEADTADAD SVYASSVVTDTTSLRSSILAYKWEHGRRYHAYQDGAYWGPNDEKQQEAEDLSHEMFKI ILNGLTLAPISDDVQNVLDVGCGTGAWAIEFADAHPSSQVIGVDLSPIQPSFVPPNCK FEVDDVIKDFTYPDNYFDYIHIRSMTGCIPDWVQFHRKALKHLKPGGWTEHIENSGVV RSDDGTLGPAMAQWWGIFDKIGKATGKTFGIAETLPDVLKEAGYTNIVERRIKIPIGT WPKNPDLKHWGAWNRQFILQGVEGFSIRGLTDCLGWTYEEAQLYLVSLRKELTDPKIH AYLELAFYAGQKPEAAEESTAA
SAPIO_CDS9466	MPHASEHPGTPVGMARLRSHIYIASVTTQRDRTQPIAAMVAALG ANDLSGTFLPYLVPMASPRQSVRSPRQVSFDIPAPADEPRTPPPRPEETPNTTEPLHA DCGNDIPQSYPPGGHAAEEEPEQIITLAHVKKKLWDEMIQCPDDASNRFLPRGQLSKL VTRKVVSEVIRCEFFNEPEAEAQACADFVWGEGGPTVENGEMPPSSPPGPFRPPLAEE MDADPDEKTSSRKIFTILMLISKVKLIRMFKEAGIRDRELPFIRCKDKNTLSTWQGRD LAFLTDKEALNEFYSKQRWIHIPFIKMFDQNPNTEKYRLLHNDTILPFTDWDPQEQQV DESAYAKVTRVAIHPDHHRFTHPNTNFFAIKEFFPDLEDHHHGHYRNEIAAFAQTKKG SHLVELLATLSIAGGEGKQDQLYLIFPWADGGSLKHMWKQEPEELLLNHKLDKPALVR WVALQIFGIITDLCNIHERPSDENEEPNGIGTETGHENDKGETTNKPLFGIHGDIKPE NILHFIQETGFHRLGRLKLGDFGVMQYHAKDRRTGPHHTPAGAPSYRAPEQDVEFRGD MCRRVDIWALGCVFSELVTWLIRGTRGLEAYQNKRLADYLERRRTKGETIKAKANSRS REDVFFLKYYLRDEGGSQPGRCPWIPKLRPSVKEWIEELITDIGGKEADFFLAHFLEF VKSEMLHPERECRATCQETFVFLKRLMDRDTPEYWSCPESRRDFSESVPELSSDEDLD PELASSTNLVLEISSNGNPDLDLASNEHLNPELASDENPAAGQSSGENPALDSHSRNT RWNALLSSISYDSVRAMEFGEMFPGTEVLGNDFSPIQPTWVPPNVKFEVDDVEGDWVY AHPFDYIFCRYMTGSIADWPKLVSNIYDNWIGMLLDAARKIGREPCPGPKLLERVKGA GFTKVTERVFKMPIGSWPKDPRLKELGLINASQVIDGLEAFSMRLMCDVQGWSESEVQ VLLAKVRKELKGTVFHAYVNFHVVYGQKPSE
SAPIO_CDS9467	MFAEMERERVIPLVRDSISILHRQRVANVLAHEQTADVETRTGH VGGTRRRHLIMKALTQSLKKFSSKGRGKQSLPSPYAGPSSKPVSPPFDEPMESPASLT SDAPADEDEHWFNLWDKTNFLKIGLEDWKTQMLKMIAHVDELQDTKFGLDTSATSPEL DAKLGALQETGSRIKERLGELVDEYDEYIRKCSYIIDGMKLATQLELSEIERNDAKTN LDISRVNLRVASMTCRDSRLMRSMAFLGVVFLPTTLITVCTTLDKD
SAPIO_CDS9469	MASIPPTIVPCARECLETAIVGIGCDVADTACVCTPEKFATLSI DRNLVNCVINSCSGSDLSEASRVIPNLCSDANDPSASSTPDPTTSQSIAPEPTISETS STGSSAPEPEHEPNRPSGGLSAGAKGGIAAGVVIAILIIIGLAVLCCCPPGFIKRWQK RKGGASGVHQGLASPPKIDGSRAELDGVPKSELEAKPATGYGSAINPAELGGEDAVNV APGSISRLAGSPPTSAPHSYSPSEQQNPTGCYDDGYPVISELSATQQTAMPPVTSTTS PESASPPPQTNTTSPSTDPAATAHLAVPTIDPGSTELEQLLRSHQELEARRKTLEELS RVQEQQAALQQRINELAAANKTST
SAPIO_CDS9470	MNAADWSWLSVIEGPRDVAAALQREQTAWQSVGKPPRKSHGALS SIWSSGWQSFNAADLPLEGLIAVIHSTESTAGSAYSSQFTHFKAHRVPIHVARRLFKN YQDELLPRFPCFLVEDLNHYFDQFYDEEPSEPPGATMPNFVVPMILAISSLTSNSHNF AKVAALSESLYADAMRHVRLLKQSSIQSLQCILLLIELGLLLPYIVNCWYTTGEAMRM AVSLGLHQEPDPATVPNPVHAELRRKIFWTIYQLDRTVAIAAGCPVAISDEHITTQLP FNGDDPHSNPEGLGLQRSHNFKEIEFLVHTRVRIIQSQIHGIQFFDQALPSDAEDYDG WVRDTDGLIDNLVHQVAADGLARSRLEASAHQCQVLLHRPCSRNITVSESSLIAAASS CIRLIKSHMKVVQSGGFVMVFELANSAFQAGMVLLYALRNHATELERTMILTPGHETL QVLGQLLDLLSVRWPAVSDTAHYIRELADTCLRNPIGHDGSAYDMSISMSRQRNRKTP FNQTRMQILN
SAPIO_CDS9471	MQIYSALLRYNLKSPRVDELASRASTEALDYRRGLDEWFLRWKD LVGTLLGEESREVLLSWGQFGHSLALFLLSLLWPTPGGKMPILCGALAEAGLQLVQHQ QLFARLYSRGQEETPPVVFPTSWAMSHAVLRMGLSTITDETLTSSDEAERSRPLGRCS SLLMLLEVDPDNLLTGLSVIFEELRNDQNKDLTEEEIITLQGASKDDVDVAVLAARKA FEGQWSELPAVERGNLLLKLTFNAALNLDIGASINVFKYYAGAADKLSGSTIETNPTK LAYVLREPLGVCGQIIPWNFPFMMLAWKVAPARACGNTVVLKPAEQTPLSALYFGKLI VEAGFPPGVVNIIPGLGSVSGKALSEHMDVDKIAFTGSTATGRAIMQSAASNLKNITL ECGGKNPSIVFDDADLDQAVKWCHVGFLEMFVDITRKHHRLGDPFHPDTWQGPQVSKA QYDKILGYIEEGKQTGARLLHGGVKHGDAGYFIEPTVFADTTEDMKIVQEEIFGPVIV ISKFKTFDEVVAKANDSCYGLSAAVFTENITTGHRLARKLQSGMVFVNSSGDAHFGIP FGGYKSSGIGRELGQYALDAYTQTKAIHVNLGNKL
SAPIO_CDS9472	MPSSRTYSIQQASEKIAPPMHDDSSKTDITEGVGEVFQQAEYRA LGWIRTAIILMKLCFATGVLAIPSAFSIIGYGPGVILLVCWGALTTYYAYIMYAFRMR YPGVHNIADAAGLVGGPIARELASALFLLTWILASGSGFIGLSQGFQVLSTRHVCTVV WTLVAAICTILVASIRTLGKLAILTWIGFASIFTAVFIVVVGVTQVDRPAAAPQEGPF NMEVVAVGSPTFIPGFVAAINLFAGYGSTPTFMPVIAEMKTPKSFTKALFTSQAFLAS CYVSFALVVYIPSLGSAGGLLEKVAYGISIPGFIMTSTLWVHLAAKFLLVRILRNSEH LQDHSLSHWTVWLGSTLSIGALAFIIAAAVPFFSYLIGLIGSLCCAPTCLIIPAFMGL YMDWEKRGTSRAKAAICYFHIFTIVLGAFITITGTYTTVQSIVDAYKLGTVGKPFTCS 
SAPIO_CDS9475	MVTTISVPETDSRNGYLPTSHPNPSLQVTADHRIKIVDAPVRSA GPGEVLLHIKATGICGSDIHFWKTGCIGSLVVEGDCILGHEASGVVLKCGEGVENLKV GDRVAVEPGVPCNNCFLCQEGRYNLCEDVQFAGVYPYQGTLQRYKVHPAKWLYKIPDN ITFEEAALLEPLSVVLHGIKTTGLSLGKGVLVCGAGPIGLIALAAARASGAHPIVITD VEPKRLAFAKDFVPSAITYQVNTKIDAQSNAQEIRKLFGAENNEYQAPPAVLECTGIE SSVCTSAYAVRRGGVVCVIGVGRAIMNNLPFMHISLAEIDLRFINRYRDTWPAGIACL SGGILDLKKLVTHRFTLEQADCAMNLCSDVTKGSIKVQIIDDVDAAVLE
SAPIO_CDS9476	MAPGDTVEEAALADGVTKSTCVDLRQRVKDEKASHDLVLKTFRL LIADLCQQYNGGHPGGAIGMAAIGVALWKYVMRYAPHSPDFFNRDRFVLSNGHTCLFQ YAFLHLTGYKAMTFEQLKSYHSDRYDALCPGHPEIEHEGIEVTTGPLGQGVANSVGLA LATKHLGATYNRPGFEGLVSNHTWCMVGDACLQEGVALEAISLAGHFRLNNLTIIYDN NQITCDGTVDLTNTENVNEKMQACGWNVIDIEDGCFDVTGIVDALLAAKKADKPTFIN VKTVIGVGSKAAGTADAHGAAFGAADVASMKRAYNFDPELHFVIGDEVRQFFAGIPAR GEAYVEEWNSLVARYEQAYPELGAKFRDRVTGKLPPRWKELVPAPGTFPTAPTASRAA SGLVLNPIAKELENFMVGTADLSPSVHMIWPGKVDFQHPDLKTGCGINGNYTGRYIHY GIREHAMCAIANGLAAYSPSTIIPVTSSFFMFYLYAAPAVRMGALQRLKVIHAATHDS IGLGEDGPTHQPIELATLYRAMPNILYIRPGDSEETAGAWIAAIEAEGMPAIVSTSRH KLPQLAQTKREGVLRGAYILQENEKAVLTLIGVGAELCQAVGVSDKLREQGIETRVVS FPSWRLFEIQPLEYKREVLRRSQIPAVVIEPYAATGWERYADAGISMKTFGHSLPGPA AYKYFGFDVDSLARKVTGYLQKLKQDELLKHEFVEL
SAPIO_CDS9477	MTSPPENDLPTGNGFEGEQSYYLLTPWQSGVTAPAVGNTISPTG LSLDGSSPSMTWRPDEFTLNQGYQEYREELRSLIFHTAQSTGPTRQGSPVDDEPQDPD LRAYLDEEHRRETAGILATEGRIKYLRNYVDQVAPWLDMFDSDRAFGTQITSLARSSP ALLYAVLAFSARQMERKEGRKNSFDSLELYQEAIRLLAPLLEGRDAQVIPICTILCCL EMMSASAQDWRKHLEGCAALFSTFGVHGFSGGLLQAVFWCYARMGQFVPIVHPVLRVY HTDSLTKRSDLCGALISDGTESTLLPPSQWLAHTPSSDTVSASRTLFSGSPHPDMHAN YSVYLCARVCELLSDRTKCLELGENNGCSGAVFEERWVSLWEELQTWLSDRPPDFVPI KTVETSPFPEILYSRWSAISSNQLHHTACIIMLDMMPKPLRVRTSLGTAGSALWHVKR VCGISWTNPHHGCLNNAIQPLWVAGRLLTHKSEHAMLVKLIWNIEALTGWGTCWRIAD LEEVWGYRVKKSSFSIPHF
SAPIO_CDS9478	MASLSKTVTLASGEEMPLVGFGLWKVPKETAAETVYNAIKVGYR LFDGAYDYQNEKEAGEGIRRAIDEGLVKREDIFITTKLWNNYHRREHAIDMVKKQNET WGLGYIDLLLIHFPCALKYIDPSVRKYPAWWMDDNGTVEEDKVPIRETWESLEQLVDE GVAKSVGVSNFQAQSLYDIISYARHPISSLQIEHHPYLVQPGLIDMAQLHKIVVTAYS SFGPQSFLELPEAFSKKAKNISALFDDNTIKSLAAKYNVTTSQVLLRWATQRDIAVIP KSNNKDRLKQNLDIFGFDMTADELQSISNLDRGLRFNDPGFYLPNHPLHIFA
SAPIO_CDS9480	MPSLYQVVGAVVTLLNGVAAASRASHQPRATVKNGTYEGKYVKS LRQDLFLGVPFAQPPLGDLRFQNPQSLDTAFEDIRDAKEYGDSCVGYGNSDAWPHTMS EDCLTLNIVRPSGYGEGSNLPVGVFIHGGGYYQDYSANGVYNLSFIVQESARMKKPII GVSLDYRLSAWGFLASQEAVDAGIANIGLKDQRMGLRWIHENIAAFGGDPAKVTIFGE SAGGGSVAYQSLAYGGKDEGLFRGMIIQSGAIGGDGKDLSSRTARYNKLVADVRCDGS EEKLACLRQAPFEDLNKAIMNGTSGFGPIVDGEFVTDFPSVLVEEGKFTKTPLLIGTN SAEGTLFLLGTTINTDQEVADYIMRSGPDEETTKTIMTLYPNIDALGLPESFENPPNS PYGSQYKRAVALATDQTFLAFRRSWTNIWSDNGLPVYSYLFDSPLPARPNMGSSHWYE IPYVFYNTHGLGIAEHINPFINATKEVLHLAMLMSRMWVSFIHDLDPNHHGVRSVEPW PLYNATRGYGKNFYFHPTNSGTQPDTFRLAGTTFLNSVGRDQYGY
SAPIO_CDS9481	MAGPDQTQPQNEVQVSDNKNAVEHLESGSAPQGRSKVTFRDFWE NRRVLLFCLYIYLLPVNFGYEITTLGKLMAVVPFLDRFGREVDGAKVLLARDQQILNS ANTIGLFVSAFVTGWISDFIGRKKTIFLGCFLCVGGIVFQYHAGSIMQLYGGKITATF GLGLGHSLGPVFVAELAPVKVRGICLTLVNTMIVLGQWLNALCIYGSETLDGDMQWRI PLITQVVPPSLLLFGIFFLPESPSWLMIKGREEEARKAFRRFNGPNFDVDNAVATTKA AVQAEAELAQVKSSWLQCFRGPDGRRTLIVCMVYVAQQAVGVNFVSGYLTYYFRLAGV KNPLAIGQAAFAIQLVGNICSWPLVDRIGRRPIIVGGCIVMTCGLLLIGGLGTLHSQP ALSAVVAFMTLWGFLYQMTLGAVAYAVGGETPSPTLRQKTYSINIMVATAASCLVLQV MPYLINTDQLNLGAKICFIFFAFSVPMCVYLYFCLPELKGRTYLEIQEMFQERVPARK FKTYQCQGIASVQEKL
SAPIO_CDS9482	MATKSFPIPTGDIVYQLNWDHLVKVDGNRDDLVDIGLVIQWSGM KEFSNNSPDPVVEFSVCGLQFLDILTHDGYQGLPEPPNKPAKAGSTNPKPPPEEQPTP PAEEESKKITVEELGDMAHPLNAQPTGHPGQQGCEQANFTTAGLRGNAVIPWAMTKDE KHQYDAIFRASDGMNKGFIAGPQAVEIFDRSGLERPDLERIWTLADNGNKGRLDLDEF AVAMHLIYMKLNGYPVPNTLPPELVLPST
SAPIO_CDS9483	MYARYLLASLLAGAVVAAPPVGVIINDCTTPGTVALTFDDGPFI YTETVLNQLAAAGAKATFFVNGQNWGNIYDNAWLVQRMVNEGHQVGSHTWSHADLAGL NAAGVTSEMTLLEDALLNIIGKFPTYMRPPYFSYNSATLQTLGSLGYHVIQASIDTLD WQYNTPGQIGQSVQIFQNGLNSGGTISLSHDVHQTTANTLVSAMINAVRSSGKRAVTV GECLGDAAANWYRTSRNGGGGGDGGGTNPPPGGNPGPGPDGSCGGPNGYTCPQTSYRC CSQWGFCGDSAEYCGAGCNPAYGICW
SAPIO_CDS9484	MAQMGGLSSSRTPLEPRHLGSGAALHGLFDISTGTRSITAVGNS GCSIIAAVAYLIFDLNVRVEGLDGGGVFASSDVSGSSSVQVNGKYVATQTSGVVIADS TYVLHEAKDILTYTLQDQRLLLRRRLPWKHCLRSMFGSMFVDLIERPAVLGKVFGSVA HICQALEEGEIDVQNQDRQAFIDFTEASFGVGFIDSVGEIFPELSSLELRPHMDLALG KSPKKAEEQFEDPFVSMKRNWSYVDCTDVGGPLHNNFRIC
SAPIO_CDS9485	MDEFPPEYVNASNAGRIVGVVGVFHIIAFAFVSLRVYVRLFMVK AFGIDDTLIIISALLALASWICLILQIPYGLGRHGMVVPVEDRIKFEKITFWKTVISD GFALGFVVAYSIQAITWLFVYCTPYSGWWEFQWMNPFDPRCHDFNVFVNLVYWNISCH IFTDVCLGALPVPIIWTLKMKLRVRIYVIAILNLGYFAVLMGILKAVFMLTTGGDPDA IFDYWVHFWQNLQLNIGIIAACASFLKPLVGRFLKINSSVGYYPSYDRYGQRGHSRTG LSVPGTNSKRTGTIDRSLGDDFDAPSKYNIRIAGEEISPGSTSVQGTRAHVASVGNSS TEAIYIGGQPSDSNSEEIILQNLDKDRGIMLTRDFTVKYSDK
SAPIO_CDS9486	MHSYNICASVGLVASLLVRGVVARPACCNNTITRDVVVVGGGAS GAHAAVWLRDHNKTVVVVEKANQLGGHTDSYIDPDTGKAINIGVQAWMEYKNTFDFPK RMNVSTSGSMEFTTLDYKYIDFRSGLPVTNYTAPGPTDMYPALQRYLDVLLQYEDMLL PGFFNFPKPESIPEDLLMSFSDFVKKYDLGAAVPQIWDSTAQGLGDTMDVPTLFVMQA SGIPMVRALLGLGAAAVPASGRLYDLFESIATFLGNDILYSSTVISSTRTNRGVSLKV AGADGKLTCIEAKRLLIAFEPTMENLQPFRPDDTERQVFSKFGYTTVYAGLLKHPSLE IGVAYSNRLPETGPSNYTIFPLPSQVGRFDYIGGTNNIFQFTAVGTKDDTPESMQQLI SQTINTLTEAGTIAASEGALTFPAFANHGKMHPRVTADDLRAGFIQKQLALQGRHSTW YTGAAFSAGFSTVLWEYNNVLLPDVIKGL
SAPIO_CDS9487	MSLSKFIKKTRPSTKSILGQDYPEEVIDNPPVPTPQRDIEGYTD GRLRRGLVFADTTLRNKRRKVSPLTEHCLLMYTATIKAVLEEDFDDNDERNMLLSTVL MCERDIYTKNFLRGPDGEEQASLIERLAELRRYEFAARYGDYMSHVCQKLKTTAKVYK TEYYESLHGWDRYWTDINHSINIEQTNWTRRTLRDPTVTDDDVKTTLTIYNACEHMAI DFDKILQVIAMYTDRNSLVHASILSHVSTRLLKRPLSPTEKEARVGTYKRQKKAWNAL EIPIDPIFKAHDELWKDAGTQTRPLGPSILPAYCAIDSEIPYRMRQRILETYQ
SAPIO_CDS9492	MRVNAILQEKAESLANQLRTEYPSQLFVTARADFSRRDSTRALV PNILQREDVAEKHKTISILVANAGLARRIRDINDIDEAAWDDVIEVNSRSQFVIVKAC LTGMRGQGWGRVILIGSISSRGGGINGCHYAASKGALSSMGLNLATLLAPEGITVNTV LPAMIGNTGMIPTPKSKYAFGIPPCVDLTQADPGSRTWSGNSEELEDLKAADLGLAIA AKIPMHRLGEPEEVSNVVSMLAKTGYMTGQEIILAGGLK
SAPIO_CDS9493	MRATPSYLLALPLALARPSHVARTDPAPLLQPLDAPVIPGQYIV KIKDDAPSVALEGVIDILSGPPDHIYRAKGFSGFAAKLDDATLSSLQNDPNVEYIEQD AIITLDSYVTEANATWGISRISQAAPGISDYIYDDSAGAGTCAYVIDTGIYTAHSQFE GRATWLANFVDSIDDDQNGHGTHVSGTIGSALYGVAKQTKLYAVKVLNAGGSGTTSGV IAGIDFVNSDSQTRDCANGTVANMSLGGGRSTSINSAAAAVVNAGVFLAVAAGNSNAD AQNYSPASEPSVTTVGSTTSADARSSFSNYGSVVDIFAPGTDILSTWIGGTEATNIIS GTSMATPHITGLGAYLLTLFGQKSPSELRDFIQSLAAKDVISGIPSGTVNLLAFNGRP SE
SAPIO_CDS9495	MRPLIWGVIALNLILPACADDEGRDGSQFITEVRRRTVVVGKRC VIPTDAFGGIPGCIPIPVDPTDSPPVMPPLDPGPGPPPPGQTVVTVTGVPANPGDQPP TAAVTWVSSEVPITTVVNGAPTTTQVPGWVCVGDLCNPDCQIPVQKCENQNGAGVSGF PWPQTPDPAALPPPTTDKPDAGGPPPAEPTITSTTTSDDGVVVIVPPGGGGGGGGGGG GGGGGGNPNDPNNPTDPNNPDPNNPKGPNTPDPNDPNDPDKDKDDKNCEQTVSCTQTC KIFPHPTLSVTTSCEEDQSKIECTAEPVESCTVTGTTSTTSTTSTTLTGGDFCDPAGC GAACALKARTAPPLPVATPVLGRSSPDIVKFNEKRSLQKRAGNPPVKQTNPSLTDDFN DPNAFPGGRVEWFRAARSFIVGNGAGNAGGSMGAVPSSRMLDHKGLDYPYKSGAGPSW GCTSLFVFSDRGVWQAHFWEREHMYIDAGFEQITLPFIKDGNAGNGYPGLKDAVPKYF GRDPDGGAPPQIIHVWAFTPMWLPDRKMEDGTPIIPPPREGRPDVDAWYYHRMDPIAD AVKAVIPEEFHNLLRFQVSLYPLIPNMKQVVNTVEGQEHGLAVYEYVPKHVRRLDGGG CDTFRAVRLLVPPGLAHGPAIWKDAAPAAPPAGPSKRDTCPANIDDIIRAQGYPVDNK DVIDPGNLLKAPGGSDGDAGSGGGDAGGGDPPPPPSPPMPTPTNSLPMCSNLLLPSRG SNVYVGSGCNGDGIDPAQTYTWALEKRALETAAAA
SAPIO_CDS9496	MSNSPPKQPLRPPSPDTHAEVIEADANFAVENDRLDLQHHSCLL TLNNKLYLCAAGRDGKPFNRVLDAGCGTGIWAVDFADEHPETQVIGVDLSPIQPSFVP PNVSFFVDDLEEPWAYNDPFDFIYFRLMVGSITDWPRLLKQAYQNVRPGGYVELMDAT YPVDCDDETLTPENSVFEWNRLLCKAAASVGKPLDEAFRYKKHLIDAGFVNVTETRYK WPMNSWPKDPKYKELGSWTLENIMEGLQGISLALFTRVLGWTAEELEVFLVDVRKQFK NKSVHGYWHIVVVYGQKPE
SAPIO_CDS9497	MRSFVYNASPARVIFGQGSVKKLPEELARQNLKALIVLSTPQQA SLAESLELSLNGKVAGVFTEAAMHTPTSVTEKALAYAQAINADSIISVGGGSTIGLGK AIGIRTNLPHICIPTTYAGSEMTPILGETADGQKRTRSDPKILPGTVIYDVDLTLTLP ADMSVTSGLNSIAHAVEALYAQNANPIISLLAKEGIKALVGSLPIIIDDPSNLAARSA AQYGSWLCGLCLGSVGMSIHHKLCHTLGGSFNMPHAETHTIILPHALAYNAPKIPEVM EELAAIFPGSEGDAIRGLNMLLTRLKVRRALKDFGLKQDDVEKAAELAVANSYWNPRE IEKDLIEELIQRAYEGSEAKADL
SAPIO_CDS9498	MGSTKVTAPSLKDLTIDNITPNVHLVNSPCQNRRLKYLLERLVT HLHDFVRETRLSTDEWMTGIEFLTAVGQICTDVRQEFILLSDILGVSLLVDSVDHPKP EGATEGTVLGPFHTHEAHSVANGESIAGDPDGQPCLVLCSVRDTSGKPISGVRIDVWE TDSKGFYDVQYADRTGPDGRGIVTSDDDGLFWFKAIVPVPYPIPHDGPVGKLLGRLGR HPYRPSHMHFKFDKEGYDPLITALYVRNDPYENSDAVFGVKNSLVVDVKEVKDREVAE NYGAYVGCALIEYDFVLVLESEALALREQRAAEAMKAQGRKVTFLDGLPVPELD
SAPIO_CDS9499	MSEYTLQNESKRIFDVIVGDERLNIPDEVKDLAHTVKFAGDETQ PFFPVPYKCAEAQSSVIGVVGLFANAIGKARYGIVQEVEVDVDHAMLAGLAACFLRHN GAWLASSKVQNEVIQRWDHGQTREMYRQLGTNIYQTKDGRWFHTHGSMNPTPLLKMLG LPQHDEQNRDFKGIIEMYIDEVKKYGSEILDNRSSNVYRIPGTICYEEQEFLNLPHGK AVRDEPYYTLSKEEYYTQPPVAWPEVNTDDQRPLTGIKILDLSRAIAAPTIGKVCAAL GATVIRVGSETISEFPMTLIDGCTGKICVDINLKTFEGCLKLMELIKDADIFIDGYRP AVMEHLGFGRDSVLGLCAKHCRLRQTLLFLYLLLLNHELTPLKVSGIALGIGRFHGFD EAQIFPGPNADYLTGHAGVIGVLHALIQRNKLGGSYVVKCSLVTSNMQLLSYGRYTEE QLEHLKRRNPKTYGQIRHYDEILSQARMGYTHNAFIADRTEVCLKKEFYEVVDGSAWG LGPIELVSVPLKMSKTRTDFKLGVHPPGYHLPQWTMEENADFKRLPKVDSSG
SAPIO_CDS9500	MGFLENIDARLVLAAVAAVISGWVSWVVIEDVRLRRKYRFPPLV PGLPIVGNTFQIPMVDQGPYLQKLGEKYGEIRKRMVLMPYGDQWRQQRKLMHQVLNLS QQSIFKPFQDLESKALMYELLVQPEKWYLSFGRFSSSVILSVVFGRRTNHGDPTFLNI FKTQEDFVPYTMPGASIVDSFPFLANIPIWKKLQPWRWKGDEIYDRTLSVFRGLLDEL ERRQKAGTQKPCFMTELLDSESKDKNFTRDEIAFIAVTLLEAGSDTTRNSLLETVAGT AMYPDWIERARAELDEVCGKNAERLPTFDDIDRLPMIRASVKETVRWRPTNTQTGVPH ALTKDDEFEGYKLPAGTVVTWNHWAISHSSGEYDQPERFYPDRFLDDDLDKVGKGHLG FGAGRRVCVGLNVAAGNLFIAIARMVYCFDIEQDPSHPVTVDKPFPLTAVVEPYKVIF KPRSEAHRRLIMRECHEAADIDIKA
SAPIO_CDS9503	MSVTIKPVIIVGFGPVGAVLSLLLARKGIPSIVIEALVTFSDEP RAVGFFGPSQGVLHEAGLYEEAKEQGLAASGLCFRKLARDDGAGGQVWGDIVASSHIG AQTSGEPDIGSYMLLLPQARLVRIAAKKVEKFGAASLVDLHLGHRFISSEEGPDGVTV TAEDQQGNKKVFSGSYLVGADGSQSEVRRGLGLKLCGYTWPDRLVSTDISRTIAELPE IPCCNIVDQRYWAAITPLEPIKPGVPGLWRYSMAVTDTTIPDEQLEDMDFIRSLVRRH LDGPRDQHFEVVRFRPYKMHQLLCPVWRRGRTLLAGDSAHINNPMGGLGLNTGILDAD ALAQTLDLVLNQGQPESLFDRYSHERRRVYQLFVNPMSAANKQRLHDSNPDTAAQEDW FFAGLKSDDPEERLAPNKGLMENWKTDMSRFRTKV
SAPIO_CDS9504	MSHIPTQSGVAWNEMTVIKVNRAMEIAFENDLPLISLVQSAGVF LPQQFRVFHKGGQLFRDLAIRTQHGKPSCAIVFGSSTAGGAYHPALSDYTIFVDKQAQ VFLGGPPLVKMATGEIIDAEQLGGASIHASLTGLADSIAEDEFDAIQKARQWCAILRD PSPSHLEPAASELPLYPADDILSLVDPDIRRPFDMREVLLRVIDGSRLLAFKPKYGVN MLTAWAHIHGFRVGIVANQTPVINPNEALKTAQFIRLCNQELLPIIFFHNVTGFMVGS KAEHQGIIKAGAQLVSAVSCSKVPHISIIMGASYGAGNYAMCGRSYRPRFLFTWPTGI CGVMGPDQLAGVMETIKAKSMKSAWLPEEAAAQGQKLREEAHRDAECYATSSALLDDG IIDPRDTRDVLGMCLEVVRIPTAQDVQSALLAEAMARDLFVADVPFDSEATCRRLNIT AIVVYTHEDTLSRHVSDADESICIGSIQHDATNPFLNIELLVKTALAAGAQAIHPGYG YLSENADFSDRVRKAGLLFVGPTGTAMSTLGDKRSSKAYLRARAPDVPLIPGFEGSSQ DVADLEEAAVRMGFPVMLKASAGGGGKGMRVVREQTQLRGELERAQSEAQRSFGSSDV ILEKFIEYSKHVEVQIIGDQHGHILPLFERDCSVQRRNQKVIEETPCLYLSQDTKQRM IDAAVRIVKLIGYENAGTVEFVLDTTTMQFYFLEVNTRLQVEHPITEEVVGVDLVALQ LYVAAGGRLLDLPQLSSLEPKGHAIECRLCAEDPQCDFFPDHGKILIWRPGSSPDNKA NSVVRYETAVRSGATVSIYFDSMICKVIVWAPTRALAISLMIKELSETACIGVKTNQR FLQDCLLHPAFRDPGYKTSFIPAHLSELLGSSSHSGVPARISLLPSVYLRMLRDQATA FRGINRQFRNQCFDPLGSGSEIITLKYASKSPRGTSSDTIRCDVLIRRPSSGAHPSDG SRVCLLPVPTKKDEGKGTSADYDALSQILRKVESLPGPAFTVSNVQLERLDLSSTEQS SSILEFYVDGRKVRAYLATSKQEKTHKKSGPTQDDKVRILAHIPQLGEWFEFTRYTLL SFFVEQRRSLAAEKGKGSKDIKSPMPCKVLSINVGVGDEVKAGDIVMVVESMKMEITI AAELPGKFQTRWKEGDAVSEGQVLCFVE
SAPIO_CDS9505	MLSSPPSNAVHGDSGLSLVHGPTSPALLDQTLGALVDAQARDYG PYTAVVVPWQNVRLTYRELAENSRITAKAMLDYGLKHGDCVGIYAGNCHQYIELFLAA ARIGCPYVVLNNTFSPDELQTAVTRSRPRDTSEHLRKLLSNSAESPDLIGIVELGSAA AALPSSYNTGRMLYHNFLLLGTSVFMSDSVLRRAERKVKPHDVLNLQFTSGTTGLPKA AMLTHSSIVFPSGNFDPKLTLDAVVDEKATALLGVPTMFLAELEELERSPRDITTVRT GLAARSAVPPSLMASLREKMNVQGMLIAYGMTETSPVTFITSLDDTEERMFESLGRVL PHTSAKIVDRDGKITPRGVRGEICTSGFALQKGYWKDEEKTLEAMRRDENGVIWMHTG DEGYLDAEGYGYITGRIKDLIIRGGENLSPNEIEDRLLCHPVICEACVVGLSDKKYGE VVGAFLRAAGSQRLSDDEVRGWITQKLGRVKAPQYIFWLGDPGIGDTLPKTGSGKYQK HLIRKLGDALKFQSYQPKL
SAPIO_CDS9506	MASVRIVEVGPRDGLQNIKITVPTATKLDLIEKLKQSGLKCIEV ASVVSPKAVPQLADCRKVLDSALVQGWLRESQELRLPVLIPNAKGFQIALEYGVKEIA VFISATEGFSRANINCTVDQGIERARQVAAEAARHGIAVRGVFDSSVAGLGGCPFAPG ATGNVATEDIVYMFHQAGIETGVDLPRLAETGVWISQQLSKSNDSRVGVALASKARKQ PPVVTEKPSTPSSLPWTLIRDAQGVLVYRSGANGKIVLNTPANGNALTPSLISDLRGA FVEFEQDASIRRIIITSSGKFFCTGMDLGKGTGTFGADSKDKFNALTTLFELIDNSTK VTVACVNGPAFGGGVGLAFVCDLRLSISSASFTLSEVKLGLCPAVISKYVIREWGVSR SREAMITARPVTAKELRAWGVISHIAESPSDLQRALDTCLHDLRLASSSGIRMSKELV RLGWSQGGSDNQKRNITRLFEEMMGPESEAVYGVRQFRAARRAVDWDALASPKAQSKP RL
SAPIO_CDS9507	MDGITSMASTESDFFCIDHLSEHPGMIHSIVFAARAFYDLSVGI PFSNTAQFHLSKSLQHLQLSLNDKTEVTKDTTISIVILLATAAILSGEFATAEKHRDG LYSLINLRGGVDSIPPGSLIEHKIQTIDLGLAIGVGSEPCFIRKNISWSPHLARGSRT SRFPEFDMLRRRPDPRLLNVWADLRELSDAADRASGTGAKIPGHDFSRLNTSVPYRLV RLDCDPTSLDEVLRACMLGYMKILTVKIHGIGRKMVFLAARMKTALLAQEAPPFVEHA RVLFWALIITRLSVFEDFDHEWLQTLLMETASVLGLNTWDEARAILKEYLWIDTVLDK PASQVFKEWCIPNTCP
SAPIO_CDS9509	MKLFSVASAVFAGAIALAAAALTEEAGPVGLPADYEGIVQVLDD GTLVPIANVTTSSGGAVEKRAAGTVKGYLWTIDCTDEHYDWGVGNGCFAYYTGSTLRD MYSVLATGNNCHLEFFGNGQCAYFSKADLRGSNVCGHITGSQAFQSVRVNCN
SAPIO_CDS9510	MRKQVFLLGEVDRTRKCLTRSPSSASFYFIGADDSFFLQQVNVG ISKQAGRYAIPKSEKPVKQSFRHPKFDVRWRIIFWEGAAVSTESCVEDLPLACEASQG QTRGVNEKE
SAPIO_CDS9512	MEHDEKALSVDYLRSYQQQVKRASKIVIVGGGAVGVQMATDLKE VYPEKDVTLIHSRDRLMQLYHPKMDKVIRDRFDELGVKVITGVRAVVPEGGFPSDGTP FELELKDSRRIPADLVIPATGQTPNNQFVETLEPTTETPIINPANGFLNVQPTLQLKD PAYPNIFAAGDIADTGAHKAARPGMAQAEVVAKNIFAMIEGHEPSEKLQINPPAIHLT LGLKKNMIFRNPATASGATEPFINMKEDGAEDMKIESVWERRGVRAVKPDDYHLPSST PSGTKTGNWADWYYQCVPGAAPTTPSTTSTSKSSSAGVTTTPGTVSSSRSTLQTSTRT GTGSSAGPTLVSGWYWIRAVAEPNYHKYLQSKPAGSPSAAYLDDGANAGQFNIVNGQL VFNTGSTTLYMHVENPSDKTQRVLETWFDATPNSYGKFAFQGDTLTWHADDISRQNEA AWYVCEGQQLFINTGAYLYQTPAGCADQTIHSYGGSTADL
SAPIO_CDS9513	MPDVASKAPMSFLDVVNECDNFPLPQRDLKNSRSVGFVVPSVAT AFRNQLGWRLDESTTPKTLALVGGNNPSSRSAVVEKTLLKIRDEKKFVILSRWRNERK PVYGPAGQLLFAVERAATPLLGVVTYGIHMTAFTGSESGQTKIWLQRRSKTPPSTLAF STTPSLAVFP
SAPIO_CDS9514	MKVSLHDLLQVAPIMRPRAQSREQGTSGLYREWTTTQRAIIHLA AEILDVSFEDLVKIAFLLRPVDAGVHSRGGADIRSADDNTSSTQQLITDVLPATTGPM VSDPSLFSDGLAEGTPLTSGAAGNPLTQATAQPANQDQSTSPLPELDIDSDFGEDLSD FDTEDLWDAETDFGSDLVDMERTGTPG
SAPIO_CDS9515	MPSSLLLNLILLAAVCIAAHGQQFPDTGTTAAENWEIFKANGGE EVDGTLSLAALFGSPELSERHIMLPGGDELSTACRIDKRQNLPTFTVDYKKFRAKSFL VQLMNSMCQGMKKNQHCVTNQMRLTYKPSDATTNRKNMCPDVDSQKYCASQDVECDPA QPNMLCNPYANYMTRPIASIKHDPFHLTCDEFPFANSAQGGKPGSGTSICIPGWQNSY QGGKINQLSRRVGAGNDYIIEVTGWDCAKGRPTKSTQCGRGQTKRDELPGSTLNEDDF FHNFTDDGKNALMLYLGDVDAGTYNYTLNVGAGTFSEVRVIDAQGETIAELERGLSAD SQAHTVTFQISEEAFELGRRLSSFRRELFIYSNWLFGETTIISSYYS
SAPIO_CDS9516	MQLLRIPAQCRILSRHQSRALPARISRASIPNACRHAFLQRRFQ SSAIEAGEKPFYATTPIFYVNASPHIGHAHSMVLADVFKRWATLQGKRAILCTGTDEH GMKIQRAATKAGHPPKDFCDTYAQKFREIADSLTLSEHDFIRTTDAHHVAAVQQFWKE LNDRDLIYETTHKGWYSVSDECFYPESMIQRSFVPETGKVIWSAVESGSEVEWVEEKN YHFRMTAFRDQLLEFYRANPDWITPQHKMKEVVSWVENNLEDLSISRPSNRLSWGIPV PDDPSQTVYVWVDALINYISNTGYPNWTPEIMRERGWPADVHVIGKDILRFHGVYWPA LLLALDLPLPKHLLTHAHWTIGGRKISKSAGNGVSPIFAVDRFGPDTIRFFLMRKGAI RDDSLFENGEVARVYRTDLAGTFGNLLSRVMKTKRWSVVLAVQTETAKGSDTEPCPLG LETLREKVAAEMDALRPDKALALIMDTLTEANKYMSQTEPWNLCSAAAKQDPANLARL SYVIFTLAESFRISSILLLPYMPERASEALDRLGVDPEKRTFEYAVRGADNTYGMSRK PRAELKGSTGSLFPPLGDEFPEEDGEPLVEDRKARKGKREGKKSRGKGKREIQELTAS EPLSLEEEYENQISWRQSADKLTFIICLPRDASNGGEKAEVGKDDAEERMLGDINFFL YDDNEDEDDEAEESADPARGGRKERKVFGEVDIMIAESAQRGQGLGRAALRAFLAFIR TNLERILAEYAGAFCQGKVEEVRLTKLVAKIKERNVSSRALFKSLGFVEVGAANYFGE IEMVLEGFTKGHWDLEEEERLELRKLASANPSPPGVVVALATNAGPE
SAPIO_CDS9518	MPLDDYAAVGGGGALRLKGAKVTKPKKKKKKDKADLEKALSEAG GESLALVKKDSGDEVIEKKSKKSRKEKNDEEDDEEAVPVARKTEAQRKLDEVRRKRFL ELAENPDARPELLKTHKERVEELNSFLSKLSEHNDMPKIGPG
SAPIO_CDS9519	MSAQTPTSDNSLEAGLLSTVDEKRALENSTNPPRAPGTQQKNRM TTLRRFLVALTVSVLVSLTIAAQIPVQARGNVNDVAVNDGAAGEQTLAQIISDALGNK FVQIAKRQNNNSTSEPTETPPTSQGPEPTSQEPSQEPTQPPTSNPPPTSQTPEPTTPE PTPSSTDKNPGTSSDEPSSSSLGSTDPIPSSSTLSPSSSSSQVPSSSKRPEPTSSSNK QTTSRSATTNTRTSTLPGGGITVITSTSYYDVVPQPTGDGSGSDPELQNAAPGQNAIA IIPALFGIVAAMAL
SAPIO_CDS9520	MPKPENLERTAWQPASNPDSLEEEPNLQLLSRSPHPYHRQSFEL LHPTDRIEYTGRSRLATLTANNDETDASNPQLPKDSPLASDSGTEADDEHFLKGLPAP RARLHKGLRGRNETISGSSTPLLSPSIPAHHVEELGEKLPEAIKGDKRFAINRVRQAR HVARRYVEVALLAGLIMMVLSNRRVQPMRILKALLWVGGLGVLVLCGQVITWGISLAR VPKWRFMREPLQSSSSTLAQLMRRLLWPKKSLRKGVDNRSRSANGLPSVWPWPSSEES DLDSPVSRYDAVSPFSLNREAEQSILLAKTGSEPPTNGKPIGRRNTFPFAPAMGRVKR QLATHTPAGRKKRSTSMSVRAFFSLTQSQAAARKWFYASYVYLCIIGIALVGIRTYIT HYALNGAEAIGWALGYLFGNINWFRFKVVSENLDGWICLPPRTDLDAGQFCHQGWVQH IRLETFGSANTRLLIIGYWLMILVFGLVIVYRLKDVYEVDTRRKVFHFMMVGMLLPAT YVDPAFAALALSAALALFLLLDLLRASQLPPLSKPIANFLAPYVDGRDFRGPVVISHI FLLIGCAIPLWLTLASLPRSGSGHLAGWEIPTREVSMVSGVICVGLGDAAASLIGRRW GHRKWVWGGGKSLEGSAAFATAVFVGLVSASLWLRVGRWPVPEGKLLSWPVEMRNAGV CASVASLTEAVLTGGNDNVIVPVVLWTCVKSLGV
SAPIO_CDS9521	MPRRKALPKDVDEDTAGAHEASRPKRKRNPSLKLREIAEDEEIT VAPKRRKSTTKDDEDAVIEIDPPQPEPESEPESEPEPTPEPEPTTTTPRRRGRPPKVA PTTPIERQAETPSKRGRGRPSASTAVTPSRLLDGNGITPRRRNAADRSARKKSMRALI RNVVDDEGSEDEEQENLARQIYESSDDDRDDGEGSEEEEETDAATSAPDTPSKTTPRR GRGRPRKIKSPSPPRDLPPHEMYFFHNKPGKVKTSDNTLASLDLLTHDEYFSFWRDYE DPHAENLRFLESIHVDSFPQWEFELSEGFTVCLYGMGSKRSLMRKFATHISKNKSNRD DEAGPKIVIVNGYVRTITPRDILSTIWSALEPSKPVPGAQPAAIFQSITSHLANASPT TNLTILVNSIDAPPLRKPSTQSLLAQLASHPQIHLICSADTPDFPFLWDVSLRSTFNF AFHDATTLAPLTAELDVVDDVHELLGRAARRAGGRQAVAFVLKSLTQNARSLYALLVA EVLTALDEDGGGGGDGGENPGLEYRILYNKAVEAFVCPSSEMAFRQLLREFHDHQMIT SRKDALGTELISVPFRRDEMEAILEDIES
SAPIO_CDS9522	MGLNLNLSASLNVFKLITRPYLCLPHYTVPTFADLPIPLDSVLK EQGKKADIRAVVLDKDDCFASPDSNEARSNSIFKERFEDLKRAYPGRRLLIVSNTAGA TSWDPQRKLAEAVEKETGIPVLGHSDKKPGCGSEVMEYFRQYPETGVTHPSHVAIVGD RLTTDIMMANMMGAWGFWVKDGVVPLSRKSIHQKVDEEMGGKSWSKEEEDYFWVHIIP FSHVRRGPHLAHPEQSFAQLGRKMQDAFGSLARRSYTGVCLAEEHYYKNVVEGRISKH APEYVKAYKALAASDPRQPPSIARVRRELNGLGEATHPATKPGAEMDWELGGREETE
SAPIO_CDS9525	MEGDQEIESLPDDFYAPFSAEKCAKLHNRLLSLSTQHLVDGGEP LPDRVNVLDHFLQGTADDWTEDVVNNPLEYEGFRFLSLIEHRGEERLTPGLRSPRLDL LAATEWLGLDEEDPELILLYPDPIGWHPGVPGGVLFNLGELRACFCPAGLGPARTSFD LEQWPPLEFILWKHLALWETGKCHWNPIDGRITYVPWIQADLDDALAAWGELLASIEA RLPLTTAQLDYAYLEPLDRDLLTSLKLSSFAVEFLCHAKRPGFKFIAPGITTNFLSTI AGDEIELASLILPGEVPVTPSFIIVPNMELKTEKEHQMYTFESRFAKCTVQRMSGLYL NPLEYNNRDLDSTMFVPVEPRNDIFQFGTNCLWAPQRSPRLCELLRHWVDLVEDGTWA IGPEGSGLGLRLGSPIELSVIGVLISASATETTGGRHFAPEIPIFSSSITPSLANTAT RLELNAPDSYPSGGLTPPISTLSTLTSSVSTGIHVPVRVMIRPRGPPPQPNHDFIYSD DELEAMTESIRAFKASGLMSRERGDGFVFGVLSLQESSSGAGTGLPSIDLRANKRLVE EAHPYGCAFHRAFDSILATGTSVEDTVETLLTCGFDGVLTSGGPGNAVDNLPVFRGLV ASAKGRLDILIGGGVRAENAERILETVGPGARVWLHSSCLGKSGTDQVDEEEAAALVR VMRDSLK
SAPIO_CDS9527	MGFQVLKSVAGSGAGVRLGRLCLPNRLPVNTPGFIGLTSRGTLP HLTPDTIKKHTSFEAAYMALEDFIEKKDPPIYLAPSAGRRPLQAFTAFPKDHILIMGA RRIPPVTTPLGNGNKHVAVFTSTGFRNIPIEEYSKRIATLRPDIAIPLVDLPHTSSNP NSRKLIKMVDRTEDWVDTFLKNRKDDPASAEGVAVFAPIPPVERPIQWQYLEHLSEEV ADSLSGLAIYDADIIPDLSHYKSLETLPRLVLQPPPTPHHVLRQIALGADMCLIPFIN DMSDNGIALTFTFTPPTQNAEKPAEHLPLGVNMWPIENNTSLESPMPGCKCYTCTKHN RAFIRHLLNANEMLSWTLLQIHNHHVMSEFFAAIRAKLAEGEAAFEEARKAFAAAYEP ELPTPTGQRPRARGYHFKGEPGEKINDPAWTNLNKEEGEKTAV
SAPIO_CDS9528	MEMEVDSQSELNNLIEDVVTASKVPAKRRGGPIRPTVDKLASAT YEHGLLPDPLKDLIVLLTQTSHLDQASLGSIVRNLYPASSVSSDVVLQVVGCLGIGEL KPSLPIQAALLRWLVMIYHDPGGTGKDPALVGLLRIYKDYYPEIIVGDAVRGRASAFK HPDVDWRLRLDEIQAAHSEKTRENAASAPQSGFQVRHKYFGRPKASVIPSVRTAHATE QSVTLEEINNAATFVQHIETIMLPSQLVAVLADPLLQKFLLLRPDEEAYTRIHNWISA VLQDIRTGDADSSTINEVLGVLREYVAVTKKLPPLILNFFVDFFKVWNGHDGRDSVLG ILPYTPVLEFEKLYENIFHPLETCVLDNSAESQLSLLKFYTELLRHWYASLLTSDEVL EYAPRTITSVVDHAHQLALTITQTSPTLAAEFAVIEFLEQYIPILNDERLRKYVPIRN PPDTLVYTLFFSQSLTVVSRLCTVLSNYKQGFQMAMAAKKSKTPTPGAQPITSSTYPL DYINRFNGFLMDICNCVWRMRAFTDTDPNALGCLVPRSRVRLFENYVESLPGSDAPLA LYFGLSHSPVLSFQSILALRQLEDEALEKNPRALATRHPGPVTQASLVRLREDGGLVL SWQDYRLAVLQRLEEEGFLGIPDLMRNTMKILKAGSRAR
SAPIO_CDS9530	MTSPTTTAPNLPEKMRAWVHTKAGKPTDVLTLTELPLPPLPKPG SSDVLVKVIEATAAFGDAFLMNIAPSFMRDKPCIPGLEFSGIVVAVDPEGVKAPPEPP LTVGTDVYGLVPMNRVFKRMPGAAAPSGALAEYVLVPASRVVRTPGNIPREHAAGLGG ALSCTALAMTKKSGLKKGQRVLVYGSNGAVGHLLLQMARNAVGEEGKVVAVCGTQGVL VAKDSGADEVIDRHEHEAVWNHLAERFKDDPFDAILDGYGLTEIFTHCEPYLKKDGVY VTVGTAFTKWTMWGAISALLTTARLALLPPILGGTPRRFVAIMNDDRPEAMEEVRQLA EEEKIKVFINRTYDFEDVPKAYEEVMSRTVLGKLIVDVQSPL
SAPIO_CDS9531	MASNIGAIAQLLDATLDPAQHRKAETALKVEQAKPQYSLSLLNI VASEPLPAKTRLAAALAFKNFIRNNYVNEEGQYKLPQDEVNTIKQQLIGLMISSPPAI QSQLGEAVAIIADSDFWERWQTLTQDLVSRFSATDPKVNIGVLEVAHSIFVRWRPLFR TDELYTEINHVISTFGQPFIQLLITTNTRIEANSGNKDLLRGWFETLSLLVKIFYDMS CHDVPPIFEENLPSICELLHKYLSYANPLLATDDDSEVSIIDTVKADICEALELYTVK FDDDFSKYCGPFITRVWELLSDMGPETKYDIVVSKALHFLTAVASTKEHADNFNHQDT LSQIVEKVILPNVALRESDIELFEDEPIEFIRRDLEGSDTDSRRRSATDFLRKLQEKF ESLVTGVVSRYINHYLTQGETDWKAKDTAIYLFISIAAKGAVTSAQGVKTINSLVNVV EFFQQHIAADLMSDSAEPIAKVDAIKYLHTFRSQLSKSQWMDAFPHLIKNVGSSNYVI YTYAAIAVERLLFLTDDNGVQMFTRSDVEGFSNELLERLFMLIERETTPAKLQENEFL MRCVMRILIVIKDGAAGILDGVLQHLIGITNIMKQNPSNPRFYYYHFEALGALVRYCS ASKADVLNAKLWEPIQLIFTEDVTEFMQYVLQILAQLLESSPADSISANFGALLDPIL NPPMWEVRGNVPALTRLLAALIPRAAKDITAGDKIKQILGIFQMLLAGRKSELYAFDI LEATIKSYEGNVLDPYFVTILELLFKKLQSNPADSLKLRFVRLYHLISARLEEGYGAD YFIKHSNALQAGLFRQVYPAIILVETDKLARPVDRKLAVVSYTKTLCESKAFAEEFLK GWANTCNKLLMLLANPPTIAAGLGDEIITEADVDDIGFGLTFTALNTCKPAVRDDYPE ISNVIHWVRDYIKEANTRHNGLVFTFIETRLADEQKEVFSRIIQ
SAPIO_CDS9532	MSFLLASYRWIQSQRQELPYPTQDLTGKTIIVTGANSGLGREAA RHFARLNARVILACRNRSKGEAALADIRGSLTGTGTPLLEVWDLDLESHQSAEEFLAR AERELDRVDVLVNNASVAMGKWEVVEGREATVTVNVVSTFLLTVGLLGLLGKTAARFN ADTRVVVVSSEGAAAAKFKERNEANIFNALQNEAYFQDRYFTSKLLQLICARKLASTL PPERGIIVTSLSPGLCNTDLFRNAPWFARWILGAMLSLVGRSAEMGSRTLVSGALAGS EMHGEYMRHCGRAEYPGVVEGEEGEALMGRVWGELLGVLEEVRPGVTKGLTG
SAPIO_CDS9533	MPPTRPLTGIKVLEFAGLAPGPFAGMLLADAGASVLRIDRAPSP NNPPTPTPDFLARNKSSITLNLKSPTSLPLLHNLITKSDILIDPFRPGVLEKLHLGPS DLLPLNPRLIYARLTGFRRDGKYATMAGHDINYLAASGVLGLLGRSGEKPHAPWNLVA DFAGGGLALVHGILLALLARERTGKGQVVEGNMVDGSSYLATFPRLALKTPLADKKRG ENVLDGGCPWYDTYETKDGKYMSVGALEPQFFKALVQGLGLQALGWEKTRADRSTWGE MRRVLTETFKTKTRSEWEAVFDGTDACCVPVLEYAELETDLEREGDQRHIVTLKDTPC FEFSENEGGEPGARKGYTADFLTPGEGGEEALEEWCGLKKGRDYDVVQGAFVSKEGKS KL
SAPIO_CDS9534	MSGHPSLAQPGGISDPALIQLVNKLQDVFTTVGVANPIDLPQIV VVGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLILQLINRPASSQSNGTKEELISDD KAANPDEWGEFLHIPGQKFHDFNKIREEIDRETAAKVGRNAGISPAPINLRIYSPNVL NLTLVDLPGLTRVPVGDQPRDIERQIRDMVLKYIVKPNAIILAVTAANVDLANSDGLK LGREVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIDNRK SISAALEAEKNFFENHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISSSL AKYSAELDSLGPSMLGNSANIVLNIITEFTNEWRTVLDGNNTELSSSELSGGARISFV FHELYSNGIKAIDPFDVVKDADIRTILYNSSGSSPALFVGTAAFELIVKQQIKRLEDP SLKCVSLVYDELVRILSQLLGKALYRRYPGLKEKIHSVIISFFKKAMEPTNKLVKDLV AMEACYINTGHPDFLNGHRAMAIVNERHNPPRTVQVDPKTGKPLPASTPGRAASPTTA DTNMGESNSGFFGSFFAAKNKKKAAAMEPPPPTLKASGTLSERENIEVEVIKLLISSY FNIVKRTMIDMVPKAIMLTLVQFTKDEMQRELLENMYRTDTLDELLKESDFTIRRRKE CQDMVRSLAKASEIVGQVQ
SAPIO_CDS9535	MAAGIDLTFVVLMIIRAVGAEPLAQSKQQAPLASELYNTHAIGQ RTSSTGNYLFPQPHRRPPLHFPPDEPITPPPETPPNDDRESVDLDTTSTAPHPLLRFF YLRRTESGNSSPDSAHSTSTIASNQPLRQVLGRRRRAPSSDPDDDDDASSHALDSDSI PEQSSRRRLSAQATVQPPASFVPSEEHRPKRRRGDTMSGETEESRASNGAALRSSPGS TSPARRAVTNNGTAGRYKPALNGSSRADRDGVPVTYFGHDREEVTRLLIQALSGMGYQ SIAKSLSEESGYELENTTVAAFRDAVLSGSWAEAEQLLSQAVVAGEPGSNINGLILAP GSDRTLMKFWMRQQKFLEHLERRETSRALSVLRGELTPLECDTSRVHFLSTLLMCQSI EDLKARADWDGADGTSRQVLLSELSKCISPSVMLPDNRLAGLLQQVKQSQIDTCLYHT SAASPSLYSDHHCDRSNFPSEVAITLTDLEGEVWQIQFSHSGDRLAACGSKSEVVARL WDTKDPVVGCVWAPDGKSFTLGTLDKRRSLRTFNLNGDLIHDWARKHRTQDVCGSQDG RWLVAIDEQRKIHVYNGMSRELEYELELHALPTSVAISEDCRHLLVNKKDGEAQLIDL VTRKLVHKFLGHTGGECLIRAAFGGANESFIISGSEDGNVWIWHKKTGAAIDRLSGHH PRSNSVAWNPADPCMLASCGDDGKLVEQVEGPGTANDGGPENSPGNSESEAQLPYLEM PTFGSLGDPEDDPDNLEESEEVVYYPPYSPNRVDDDNGYSDGYETGEIVPKQASMDES DSPLPSDSSTLSFQNSVN
SAPIO_CDS9536	MDSLDGILLVPPDRGQILGRAMWKARYVVVGRRGAPRDPPTSSY PHKIAPSRTFSNSSKIREPIQAQHGEYMISVYKSKDEYEPYYQCPVNSVADCQVQQVA HRKQGPILPTLVITVADKEKKRRSSRAAGLISGKESTQSMLWFRTPPDDHHHSLHEWK HFILSRKLPMSPESPVSPTFVNPFASRSDGRPSSGNQKRSTISHLSQQSQGYTGRDRD GPPVSYSSESPSLRSKRSDVSSPTSGIHMSLSHRNLPSQHYTRVLPTDIPPDLPSPAE SGAGDQHINELIEGWSSPQTRSSSFSSPRGGPPPLDRRHTSVAASPTVNRETILDRAF QLRCIPGSERAVPGEEKLSSLARFDALMRESEERRKQQPVSQEKEVRDAKRQGRRVWE MGGDSSESEDDRETDDEDDDGEDDYHLEQNSRRPRRDTIIPPATQRALEFIAGRYDRP HSPRTPHTAALPPEKSDLASTAAGTGAPYRPHTSYSRTRPNMSSRTQSQPHLLHNPNE KVASPTEEPLDVERRQPASNSNSTKRLSVTDITKRLSSTSSLLLVQTNTSGASSRGSS ELDYFPVPPLPRSSLSARSTAALPSLRQPRETDDRDKRCGWRSSVGVIGGPEGGFV
SAPIO_CDS9538	MVTLDEVPKDLLQNPSTTDHEALDRVPSTYKPLHTFKLSKDRSY QQQYGDMYFLRLAKIKPAVERVAAAAWDDLEIHSERVKKVDRVLDVRQGDLCWVAGTV YMDMSLKPNILEDVSNDRWLSAPVTTEKYYSDDDTDQIMLEDESGRIRLVGNKLKSVP LVTGCIIAVMGTETVNGELDVADIKFPDLSPQPGRWALCKPPTSRVEDEDVEMSGQES RVRGGPKVAIVSGLNFSSRDASYAMETNLLLEFLLGEALDPAAQKDIAQISRLIIAGN SVAPDQREDKPDRRGAHKKYGYDASSYNSTPFELLDSFLAEILPSIPVTLLPGTNDPS NAAYPQQPVHPAMFPKARAFVPVDTASEPGWLDCVTNPWEGEVEGWRFLGTGGQNVDD VFKYIGSDDRLGMMEGMLRWRCVAPTAPDTLWSYPFQDDDPFVLKMCPHLYFVGCQPS FSTMTIDGPQDQTVRLVTVPSFSETGEIVLVDTETLEVSAVQIVTVPKTPA
SAPIO_CDS9540	MAPNIWLKLENLQPSGSFKSRGIGNMMFRAASSSSSSSSPRRFY CSSGGNAGLACITAANALNLPATIVVPTSTAPIMVTKLRDLGAEVHQVGASWAEADAH LRDVVMASDPDGVYVPPFDHPDIWTGAATLIDELVVQMDVPVHGIVCSVGGGGLLNGV MHGIEATPWPAGQKPSVLAVETEGAASLNECVKTGNHATLDAITSIATSLGARKVSEK TYEWLQSEILHCITVKDWEAAMSCVRFADDARFVVEVSCGATIAPAYFAGSDGENRLR KAFEKPGLPWDEHNVVLVVCGGSSVSLDVLDKYRNEYGHLAAAATATTKKTTNGVNGV AAKAAVNGV
SAPIO_CDS9541	MKSFPFLAATAVLTASGVHAGFNTAQTINPTKFLARSASIHARQ DVDTTTAEFCGSDTDGYDWWCEDPQTTCCFGTDIWQCAPITAQECCMTGYYCEAGTFC YIEDVTQDQYCLTPEEVAIVQDDVESGAGAGARIKPTKSAVAAAAAQTHGSKDGGDDD GDAGGKRRKGGSSGGSDNTSGADKEVVGRMMVGFVALGALVAVAA
SAPIO_CDS9542	MADAEANDRHQILTQALWYAVGQMVDAKVMRENRNATPQFIAAL TQVVWTQMESVAQDLEAFCNHAGRTTISTDDVLLLCRRNQDMHQIIKDFIDQEKAEKA TSKGDKRSRK
SAPIO_CDS9544	MADRLPSLGAFIPLASDDDALNSPMPTLIHLEPPKSPAAAPKLT RLNGIALVISLQIGSGIFTVPSFVATNVTNPGWGVAVWFLGGLLVWTGAASFIELGLR IPHNGGILAYMRRCYGNPAGFLFTWAWVLMAKPATNAIIATIFADYITRPFVNEPPAS PWVLRVMALACVWGITFLNGRGATAGASVANKFLILKLSAVGIIALVGSFYFITGAGE GVPKSPTGWFGEPLDQPPVDTWTWIGGFGTALFGALFCYGGWETVGFVLGDMKDPEGD LSAVINGSMTAVIIGFTLLNAALYTCLPMDAMRASRTVSVEFARQTLGAWGGVLLSIM VSISAMGALNANTFATAKLAVTAAEVGYFPEILANLHCSNARDEPYYLDKMLSWAPSL LVAPVQWFAASTRRLRWQSQVPIFSLVLNAMLTSSYVFTGSLGGLVTFIGVTEYIFFF SSVVGLLLLRNRDALRPSPVTYRTWTGNPLIFSVVSGLLILRAVISEPILGVAIVSAG AVGLAQFWLRFRRGGVAPVGGH
SAPIO_CDS9545	MAEPKFDIHNLLQTGSFPTARGRSSERGSWESLERSPRFYSGTN SQLDEPVISLADPEIPPRYSTPPPPPTVEDSEDVDGEYADEEPKFPGDIDQHPVLLEN EDYIYAHNPEQRFVLVSNPSDSKPQTVKPDEDAEGRRGRPPKRDTPDSKRTDSPVKND GGSSPTMAAAAAVAGAAAASKLNARGSLREKRPSLNRRKSPHDLPRLDTEMPSGGEPQ RRTSRHIRSRSSTATYNDDYRYREPSSPDYGGGGRSFRDDFLSPEIIKHGPRNREQAY YPSSTHTQPSRPKEEFDDRLDGPSFSRGPHSVSPVRVGRSRPGSEYPSKHTSRRSSRG SPPRPETLRGPASRRPESPPRRETRPRRYDPYSDEDVISETASTRRNPRKAVVVHDER ASTGNLLGSGDLRHMASSRSRSRSTTVGGASPNLYPDAAPRGPRSPGTFSSQIFESPA IAPAYPTVDFMPSHDPVSYQGRGHWDSRPRPRDLQGYVPNPIQISGPSGPREPIDSGR SRYMQHAWQHSEPRNQTPANASNPFMPSPSIRPSPSPQKDISVLKYFEDPRHSGLPVL PQCPRKEPVAGMTDWLTLPRCDNFNICPTCYAGLFSDSSFRTHFIPAPWRPMDQKICC DIGASLWYPIAWLLTTKKRLPDLRLLTSVADINWNTTAVGEGCPGGRKMTRIWHTIKD PTTRRAVPNFTVCYECTTVIEALLPNLRGILVIPETLNPKSSGQCSMHPTGDRQMPLL YFDALEKASEMALTNGTSPSITQLVDDISSISSLSLTRVTRVSDCPRDKTMHNKKWYI LASLPELTICDDCFEEVVYPRLSESPSLTEKFSIYAYRVPIATCQLYSERMRALFEEA CRRKDLRQLEDAVIERKNKEVEIQAKLSQLKAQPQNDPWIEEAVKKLEQLWKKWE
SAPIO_CDS9546	MASRPTVSIIGKDGRPSGKTHVIPAVFNSPIRPDIVQKVHTGLA KNKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKIWRKWHIKISQGQRRYATCSALAATAVAPLLLARGHQISKVPEVPLVVDSALVGG DAISKTSNAVAFLTAVGAGPELEKVKKSRKLRAGKGKLRGRRFRQRRGPLVIFDPETD GRELYKGLRNIPGVETCPVTALNLLQLAPGGHLGRFVIWTSAAFKALDAIYGSTTEPS ALKKDFLLPSNIVSQADLSRLINSSETQSALNAPKGAKITRRKTVQKKNPLKNKQVML RLNPYAAAFAKAAAEKK
SAPIO_CDS9547	MDMEIPEPLLEKLLPPKNLSHHFSNTTLKRKASSIKQYYKFFNI PGIKNLAGGLPNSQFFPFKTLEAQSVQSDSRIPTPNDPHEPPSPDNGKNDKVGEATDH IIVPMTSSEKDPVKKIDLETALQYGTSEGYPPLVSFIRQFSKQVLHPAVPHSDGVEVV MTCGSTDGFSKTLELFTNPWTVGRPVEEKQSIMVEMFVYPNILSQAEPRGMNIVPIAM DPTGILPYGVGALEDVLENWNPDYGQRPHLLYTVTMGHNPTGGVLPMQRKEKIYAICQ KYDVIIVEDEPYWYLQYPSAAVAETRSRHQIELEPDVPTPPAKSSGYEFIDSLVPSFL SIDVDGRVVRLDTFSKTVAPGCRLGWITAQPALIERYVRITETSTQQPSGFVQSIIAQ LLLGDQVETVARFMSLSLKERQSFTGWSMDGWVRWLAGLRGSYERRMNRMCSIISEST HRTKVYNDPTEDWSYVISDHLARFKWPRGGMFIWIYVRLVNHDCFRKKGKKYTLTGEI MSTALVVHLTHEPYKVLVAPGTMFAANRSVRQQYGWCYFRLCFAAEAEENIDDSARRF AEGLQSFFDIKDVKRLERLYEEFDSMAGVGVNAACAGGGLMGC
SAPIO_CDS9551	MIRQPLIRLAAGAMARPVLPVTLTTRCTARLFSSLPTLRPSILP NTAAIARPAPIAPLPTTTTLDVVPQTSISCHPAFMAAQDEEWPEDFVEEEGEGEVSVV ELDGKMVRVLGENRNGAMYSIL
SAPIO_CDS9552	MVSFKSLGLFGILAAANPIEPGVLDRRAISETDLNNFKFWVQYS AAAYCNVNNDAGSLVTCGSGQCPSVQSNRATIVRTISGPNSGLSAFVAVDPVQKAVVI SVRGTINIRNWITKYDTSSPNHAKATPPATNTHTQSLNFGYTDCPSGFPSGCTMHSGF KLAWEEISASVISSVNSALASNPSYRVVSTGHSLGGAVAAIGTAHLRRTLSRSIDIYS YGAPRIGNSVFVDYLSSQSGSHFRVTHGSDPVPRLPPAFLGFRHTTPEYWIASNAGDD GVWGSNEISVCTGTVNLSCNGGTVLLDIIAHLTYFQSVGGCFGATRREDDLVISEEAL AERLKTLSLLDQEYFKNHSE
SAPIO_CDS9553	MSAPGNQGGGPPQANHPNTRRAQRGQNITGPTTALSDFLSTYNI SARNIRRAAQRGTLRRSGAAQADDEPGDDTVNGHAPSLPATTEEEEENEEDDDDEEEE EEEEIVARPTRRTRQTATKKSTPVKKPPPTAKKPKKRKRSEDDSDVSSDDNDSVKDYM SLLRSRAGQVDHCELCLKKFTITPYTKAGPNGGLLCADCGRVIKDDKRPEPDKSLPRP KSKPATKGIGKKRQMKSQIMNGTFKIGTKSLTTLCVETLARNVDLVESLGDMAPHLID KIAQRLSKRRLVDSNTLTLFLEPSTEELRIYDAAKLTEEDFIRCFSICQKLRLFKFRN GIHFNDNVMNYLVTRHLTLTHLSIHGSNLISEQSWVGFLAAKGEPLETLKVHFTDKHF GDPVIAAVIEHCPSLTSFSLQHIAKLSTEGIRLISGLKNLQHLSLQLNDKIDGQSCAD MVTKIGKGLRTLSLRRAVTCDDELLGATHESCQNLVKLRLTECSDFTDEGFVNLFTDW KNPELEYVDFAKCRHLEAKEARNHESGIGFCSGALRALMNHSGTEIRHLNLDSARYIS ADAFEEVFAEGKTYPKLQYLELSFCESVTDYIIERIFKACPALKELVVFGCMLVKGLV RVPRGKLLIGVPNIRGMIIEGDDD
SAPIO_CDS9554	MGLNGTSTPTHAPNGMLSSMNGTQDTPIRVTRSRRGINTPGSSH SAANGALGVAGSPESQVSVSQGASTRSTIEIRLPRRSLRGRETPSTAEDSVNLPSQSP VIMISSDDEEPVRGSSHRFGARSANGTTSKRPKYLQRDDLSLNSNKMDLEEEEDDSIV YTDFIVAQRLQAEEYAQATNGSLLEPPAKRARTEWSRSLLSAFRSGKSTGSGAGLPNS LNGRAKNGLGESAPIPAPSRVPEVTITGGTRAAQASDFISASQLLQQDKVGLGLPSDF STGVSSNDVTDASDGTSDGEEDDDDEEIESESDEVTRRVALAARDRRAFGRRTTARAV RTVRQRLESFHPELPNLWINLENEPPIIPVQAEQPPTIHRRMKGFQLEGLSWMKQMEE SKYKGGILGDEMGLGKTIQAVSLIMSDFPVGKPSLVLVPPVALLQWVSEIDSYTDKTL KTFVYHATNAETKALKLNELKKYDVIIMSYNSLESMYRRETKGHKKKRKGDACTVEVH KKPSIIHKIDFHRLILDEAHEIKTRTTSTARACFALKGTYRWCLTGTPLQNVIGEFFS LVQFLNVRPFSNYLCRSCPCRLETWNFGESKHCLTCGHGALGHFSVFNQEILIPLQRH GQHGPGRVAFERLLLLTDRIMLRRLKKNHTESMELPTKELRISRQFFSEPENDVVQKI MGDARRAFESFALDGTILNNYANIFSLIMHLRQTADHYDLVLKKDADGGQNVLECCVC ESPAEDAIISKCRHHFCRSCARSYLDNVESPDCPKCHIPLSIDLVQPELEQDQLLFKK SSIVNRIQLENWRSSSKIELLVHELFSLRSENSTHKCIIFSQFTSMLQLIEWRLRHAG LTTVMLDGTMTPAQRDASINHFMKNVDVECFLVSLKAGGVALNLTEASRVFLVEPWWN PAVEWQSADRCHRIGQARPCIITNLVIEDSVESRIVMLQEKKTRMIHSTMNKDAEAAQ SLTPQDMQFLFRGI
SAPIO_CDS9556	MNFPRPNSGLSEAEQQAAMAKTMENAMQNCFVKSAISGVMGFGM GGLFGLFMASMAYDTPYHTAGGAGTAPITSLPLRQQLQHGFKDMGQRSWSTARNFGKV GALFAGIECGVEGFRAKNDLANGAISGCLTGAILARNAGPQAAAGGCAAFAAFGLAID AWMRQPKDE
SAPIO_CDS9557	MGNNPSSTAAKPAAASSVPTSSASSSHHDHNHGHSQGHSHGHGV SRSASKRDSKGIMAMHTTQRVAVPPEESLASATRSNVINHPKPLSQSVSGLSSSSSPT NNSSNSYSHSTNTTGFSTPQQQQQVKPSEAIPVSDVPSKPVDVPSEPSSARSHHHVAP VAEPLLVSQNSITDLSYLTRPPRLPLPIEEELHTPGSPILAATEAPELAEIEPLEADG FTRKSSALSSTSVDDEEDEEDGLVVDKTRPTVPTRLEWLRGGEKVYVTGTIFQWNRKH RLHPVEGRPGVFETTINILPGTHHIRFLVDGIMQTSPDLPTTVDFGNNLVNYIEVSPD DVPKGQLTGEEARKKAEAKAKEEHKLHRGRLALPPDQYTSQIPKYLVDFDLADDSPTY QHAWAAIEKLPTPPTLPTFLGKPILNAAAPIKDDNSVLTLPSHTVLNHLATSSIKNHI LALSATTRYKNKYLTTIIYKPTKPTGLDD
SAPIO_CDS9559	MSTRKVRVKKLSPKTALGVHREDQIDRSDYEALTTDNQIATGVE QAEENEYHLQTILKEAGTSNDQEIPVPPPQPSKIKYDDLYRMRFSGPQSYIRFSQTVE ECVSCQYDMTAEDDEFLKQYNSSKKGAADALTEDDFERMMDIFEETASAQTPFASVDN TVVAYDAMVPSLNSLNSPQIMPHAKAIYEYWKSRRLEVGNKTIHPSLKFETHPESDDM DPYVCFRRREARQTRKTRARDVQCAEKLKKLRRELEEGRQLIVLSRERELLKRELMNF ERSVFEQRQRVKAIKIRLGIKTEDEDLFHQKPQKRKAAEVPALQKPPGGPKGVPARVD TRPAEPELVLLEDKLAEKENELRLDLENKVLYHRQWNQDYVDLTMGPLSPPDDQRMEH IKFRPAKMQYLMTPPASSASDSMDIDEEPPPSPVMQKPPAFQFKAGGAKELPTPVPRH PAFRRRIGRLGRLWIDRRGLGVSRGLLDDTSDRWKYDSDEDEDTPIYEVDPFNTTALR FRSTVPLPNFMWKRPKELSAIEQAGSSPHGQQQVAQAAQAAQQAQAAQLAQQQAQKRP AS
SAPIO_CDS9560	MGNTTSAVLDNIVQGSNFDREEVDRLRKRMIAIFDEDGGGDVDF QEFVSGLSAFSSKGNKEQKLQFAFKVYDIDRDGYISNGELFIVLKMMVGNNLKDQQLQ QIVDKTIMEADLDNDGKISFEEFKKMVENTDVSNSMTLDNF
SAPIO_CDS9561	MAGSATERFRPAPLNTSATRGLGGTSGYGGYYQDSASAFPGTGL SQAAMPYQSADYSHDTRQQQTFGAYNPNMMYPVSQTGAQNPYDTSQQFQGRQGGAMSM MPSEVPQYFQSDTGSGATASALPAAQSSSAYQQQQQMPTFSGAVPSVGAGLGSSQATT AGVGVEESDYATAGPGMEERWADYQTRLATVFQDIRSGSLKRASETLLGVSNWLLTQV VDLGLNVDDRTLHADRLKLWNNFNHAWLGLFQKQKDMTESEQKPRGADSLLSKDDLEK MGKELVRLCDGIERHGLVDYQYGVWEEQIITVLTECLDLCESGDEAGPSGGS
SAPIO_CDS9562	MNVFGASTRQLRCLRNIRCPQWHVPVVRPLARGIQTESGSIDQN AVAQLETSGAFDMKSIMAAPELQLSQIKYARTVPVSPSYFSRTPQFNDELVKVQGLLT RYLELPTVPSQQAPRIAWKTLEDYRAFTGEHVKASHFGRALKIAKRLNTIDPALAPKE VTQALEVWAKDIDPFSNKPKPIPVDKFGRAIGVGKRKEAVARAWVVEGTGEVLINGKT LTEAFGRVHDRESAVWALHATNRLDKYNVWALVEGGGPTGQAEAMTLAVAKALLAHEP ALKPALRRAGCITRDPREVERKKAGHLKARKRPAWVKR
SAPIO_CDS9563	MPFGKIFTFPENPRTTGILAVAKANGLDLEVVEAEAGNPTAEHQ KASKLGKVPAFLGEDGYALSECIAIAIYITSQNEKTTLLGKTKQDYASILKWLSFFNT EVLPPIGGWFRPLVGKDPYNKKAVEESSKKALRAIAVVEEHLLHNTYLVGERITLADF FAAGIISRGFQFFFGKQWREENPNVTRWFQTIVAQPVFDAVKTKYDLLEVPALTNVAP KAAAKPKEAKPKEAAKPKAAPAAEAEEAPKEAPKPKHPCEALAKPSVPLDEWKRQYSN NETPDAMKWFWENLSFDQDYSLWKVKYKYNDELTLTFMSNNLIGGLNARLEASRKYVF GCASVYGENNDSIIQGAFVIRGQEYLPVFDVAPDYESYEFTKLDPTNPEDRKYVEDEW TWERPLVIDGKTYPHAAGKVFK
SAPIO_CDS9568	MTTAEEQAIQHKNAGNKAFAAHDWPKAVECYTKAIELNDKEPTF FSNRAQANIKSEAYGYAIADATKAIELNPKFIKAYFRRGLAYAAILKPKDALKDFKQC VKLDPSNKDAKLKLSECQKIVRQLDFFAAIEVGEEPSAAEGLDLESMVVEPGYDGVRL GDEMTQEFIDDMTERFKNGKKIHRKYVYQIVIAVKKLLYDEPTMVEMEIPDDVKLTIC GDTHGQYFDLMELFRRNGTPSDKHYYLFNGDFVDRGSWSTEIALLLYANKWLRPKSFF LNRGNHETDDMNRIYGFEGECRAKYNERVFKLFSESFSALPLATLVGQKYLVLHGGLF SDDNVTLDDIRKLDRHNQRQPGQSGLMMEMLWTDPQDQPGRGPSKRGVGLQFGPDITK RFCEKNGLEAVIRSHEVRMDGYEVQHNGRCITVFSAPRYCDATENRGAYINVGPDYKL EFEQFDAVPHPDIKPMAYAHSSLMSSLM
SAPIO_CDS9570	MSQQNFVTPGQQRYLRACMVCSIIMTYSRFRDEGCPNCEEFLHL AGSQDQIESCTSQVFEGVITLANPAKSWVAKWQRLDGYVPGLYAIKVSGQLPDDIRQM IEDEYRIQYIPRDGSATEVDA
SAPIO_CDS9571	MGRAEAGSTKAISNKLKSKGLTRLRWYCQVCEKACRDANAFKMH CMSESHVRNMVIVGENSKAFIQDYSDRLLKDFIGLLKTAHGEKQVNANHFYQEYIANK DHIHMNATKWNSLSEFVKYLGRESICRVEDTEKGLHIAWIDNSPEALKRQEALRKKAL QDQGDEELETRMIREQIRRAQAAQAAKRKEEQTEAKEKELSLPEGEALKISFGPKTAG KDSKAEVADKTSESLGTTKEGEAPESKDAAESSEKKDTKPAGLGGFSMKMSSKPQAKN VFAQAKKSKPKAVMAEQPKKMSEAERIMKEEMERKRARESSGFGGPSAKKRKNF
SAPIO_CDS9572	MLFFRALESTARFWSIVAGGLGFERHVNLEQIADYYINARVEFL LNSRAPINDNGILTVLVDKWRLRPHVALETQLQYCSSETVAAYTAEYPDYPPPPPFPS TRGASSQERDNTGRFLSASGPNVGIGGPARPSSSSGSAPLHISYREPYRILYPPDSWV KVRGSSYRPRGRADRWTPCYDTVARRDPLRLSPPPADDTMLDTRVREGERGNYDEDSA RRGTSLLDQLFAVPTESLRPRKRRRYSPQSSNLGQDDAGQRSVTEIAAPSAYQPLPSI EHDSPHLGPSGIPLSPTGGNLGGMPANLHSQDSTNESIQKLIDESEMAVLTRRVSDLE QVVRRQGQVIEEQQSVIDRLVEEAAARQATGNNP
SAPIO_CDS9574	MRFQTVSILTAASLASALTPQGFTPGSQNQLFVQYGNQAALNGQ VIPRDSTQVQPTLATTQRLQGTYAVIMVDLDIPTDTAGQTNTLLHWLQTGLTSSAQAT AFNTTGGTTQSNGQVFLLQNRQNAAPLASYIGPNPPARNPLSHRYTFILVDHSSISTQ GLNALTTAAQTRIGFNTQDILTQAGLASRVVAGNFYNVTNPGPAGADNGNGGVGGGVQ GTPTDGNLQATGTNTFIQPDASGNVQAAGVVTVPRVGIASLCVGLVSALIWAF
SAPIO_CDS9575	MMLDSDDYVGVAHPSEKDQIAIINTDTPDAEVDQLQDLPTADDY DSMKEFVLPPLLEEPRILEDAVHTWSIESWNAMSRKEHGPIFHAGGYPWRILLFPFGN NVDQCSIYVEHGFETTSIPENWSCCVQFALVLWNPNDPSLYTFHHAHHRFTKEESDWG FTRFVELRKMFNTPWDRGTRPLCENDAANITVYLRIVEDETGVLWHNFNNYDSKKETG YVGLKNQGATCYLNSLLQSLYFTNAFRKAIYEIPTEHEASMSNSAYTLQRLFYQLQTS ETAVSTNELTKSFGWETRHIFEQQDVQELSRKLMERMEEKMKGTKAENVLPQLFSGKV KTYISCINVDYESSRIEDFWDIQLNVSGNKNVQESFLDYIQVEKLVGENQYYAGEQFK LQDANKGVIFTSFPDVLHLQLKRFEYDIQRDMMMKVNARYEFPEVFDAAPFLLDDADK SEPWVYQLHGVLVHSGDLNTGHYYAFLKPNKDGWFYRYDDDKVTRATMREVLEENFGG EARFSAAAGRAPLQKRTPILRQNSAYMLVYIRQSRLDKILTPVTEEDIPKHLKERFEE EMRTKELLRKERQEAHLYMNVKVITPQTFRKYGGTDLAHFDADPKTDDSAPRHYRVKR TLVLEDFVAQIGQDMGENPKKLRLWVMVNRQNKTVRPDVPVLDLQPTVEDLYHRVNSH RDPALRLWVEVAEEVDAEGNGVFPAYNVSGGPAIIHKSNVILLFLKCFDLPAQTLHGV GQIYIGRDKKVDDITPHILRLMGWGDKVPSDERIFLWEEIKPTMIEPLKPKQSLKAAE LQDGDIVCFQRLLDPKSEKSIQEQRKVLEERAADVHKQTSDFFNNASEYYDFLCNKKT VKFCPHPSRCDEAKYPAFDLTMSTKVTYDTVAERVGLILGVPPTHIRFWTVNSTTSNP KATVKRLPNSNLLAMLNTAAYSQIAQNHRMDALFFEVLEISLDELEHKKSIKVTLLSE GITKEEPFDLLVNKNGTIDDLIEALVKKANIPSEAEGGRIRIFESSNNRFFRELKRDY PVISMNDYTQVFAERVPEEELEAADNSLINVFHFHTEPSRAHSVPFRFLLVEGEPFSE TKKRLEKRTGFKGKSFEKIKFAVIRRSHYSKPQYLTDDDILWDITSSDDDVLGLDHVD RTRTVRNGADLFLK
SAPIO_CDS9576	MVFGRSLTESRLINSANYVRTELPTRIAHRIRDMQHLPYAVVKN PHISDVYNLYYNAFDSFRKIKEINTLEENDEFCKTISKNLQAHLTVIPKLAMGIIECS DLMDAQSLDKFMNTILRSRISRRVIAEQHLALTETYNSPWFSPGAKLSEADFIGEVFI KCVAKDVIDRCGLAVQALARATYGPEVQIPEIKVEGHLNASFPYILSHLEYIVGELLR NSVQAVIERQIRESERQQKDNPDQSHPAAPLPPIEVTICESPQLIIIRISDQGGGVPR DVLPHLWSFSKGPRGQKLLENLGKVPKMAATMQELHIHDEIARADLRTPSSYESAPIT SSSSLSSLSNRPPNLQLGMGLPLSRVYAEYWAGSLELHSLEGYGVDTFLQISRLGNKN EQLTTRATIDAV
SAPIO_CDS9577	MAPSVAESASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGK TTFINTLFSTTIKNYADHKRRHQKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGD YVNNRDSWMPIIEFLDDQHESYMLQEQQPRRQDKIDLRVHACLYFIRPTGHTLKPLDI EVMKRLCSRVNLIPVIAKADTLSPADLAKFKQRVRAVIEAQSIKIYQPPIEEDDDAAA QHARSLMAAMPFAVIGSEKDVKTSDGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIR THMLDLIHTTEELHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEEMLRKRFTEQVKI EEQRFRQWEQKLIAERDRLNKDLEQTHAQIKQLELELEQMQGSAVRSHGRR
SAPIO_CDS9578	MHKLSHQSAFSNLLGTVYCQGNLLYDPEGTHLFSPVGNRVTVFN LVDNKSYTFPFAHRKNIARIGLTPQGNLLLSVDEDGYAILTNVPRRIPIYHFSFKSPI TALSFSPCGRYFVVGLQRKIEVWHVPSTPDANAEGQLEFAPFVKHHTHTQHFDDVRHI EWSSDSRFFLSSSKDLTSRIWSVEAEENFTPTVLAGHKQAVIGTWFSEDQETIYTVSK DGAVFTWKYVGRPKNENEMEDSDDDEEMEARWRIVDRHYFMQGSAHVRCATFHAATNL LVAGFSNGIFRLYEMPDFNMIHNLSISQNNIDFVTINRTGEWLAFGASRLGQLLVWEW QSESYILKQQGHFDSMNSLVYSPDGQRIVTAADDGKIKVWDIDSGFCIVTFTEHSSGV TACEFAKKGNVLFTASLDGSVRAWDLIRYRNFRTFTAPTRLSFSCMAVDPSGEVVAAG SLDSFDIHIWSVQTGQLLDRLSGHEGPVSSLAFAPDGDVLVSGSWDRTARVWSIFNRT QTSEPLQLQADVLDVTVRPDSQQLAVSTLDGQLTFWNIKDAEQQAGLDGRRDISGGRK VTDRRTAANTTSSKSFNTIRYSTDGSCLLAGGNSKYICLYSVNSMVLLKKFTVSVNLS LSGTQEFLNSKLLTEAGPADLIDDEEASDREAQLEKNALPGSKRGDPSARKVTPDIRV TSVGFSPAGTAFCVSSTEGLLIYSLDNNLQFDPFDLNMEITPASTLSVLRNERDYLKA LVMAFRLNEASLIKQVFLSVPHTEIPLVVQDVPSVYVSRLLRFVAAQTESSPHVEFCL LWIKALVDKHGAWLSANRGKVDVELRVVARAVARMRDEIRRLANDNVYMVDYLLGQGG AAVNGKGSKALGWGSEGAVTKLTDGEDEGSEDGSDGDEWLGLE
SAPIO_CDS9579	MSPRVVSIPIRPIPVAKTPPNTAPHIRASQRAASTMASSLKVHI TPENTGLLHVPQTAEAADKATELLQKDLETHHAFFNDRGYHNHLVHHILSLYGTGASA KDLQFAYDQNKTYQRDLNAPHDHVADRLDEWPEALNYLGKPQYFPDLFIYFQREMDKL GWQEALKKHLFAGTERSDDMLQRMFAGLLHPLIQLLYGIEWAQPALLASALAQAGIHK NQLGPFLTQAEKDAARPDIEPMGTILDLFKDAGENEALKRHVTSPDNNNTYQLVTEGI DDAAPFAARVRVKEEELEERTAEAFQAGLVVAGAATVHLPHEPRFDFFLIHHVNLDPI FLTINTQSWIPTSAKVRILEWKIRTDILRWLGIGSPPLDFDKAMEYTPKDKTPASKLE DLLPKFYHIADDGHSIKLARATVLCHNILQKYQDKPWVRIRGQDTWLKLMHLLVDVTV DPKGVRWVRDAGFDSAWDDVPKLEKR
SAPIO_CDS9580	MVQAVQESSVTYEELLDLEREFEDADAEIIRHQVKATKALYEKR AALVAKIPGFWPLVLEQAPPGVDEHIQPSDAALLLSALTSLEVTHFELDDPEGDPRSI AIKFTFSENEYFEDSVLEKKFWYRHADKGDWAGLVSEPVDIRWKKDQDLTQGLLAQAV KLWNGEQQKAASGGKKVEGWTDDRKALKEKIESIGLGGISFFAWFGYVGRRVSAEESK TALAEEKERRKLRQEGKEVPEDDTVEEGEDDDDEIAIEEDLEIFPEGDDLAVCISEEL WPDAIKYFTNAQEQDGLSDIDFESDDEDEEGEDAAGSPPPNKKRKAALILILILCFSS TIRIPGGWACLSTMSTHAPPIRCMQIDSD
SAPIO_CDS9581	MSEVSLLVFVTTSLTSAVPAILSFLTTAKDSLSAFLTSSAIPAT LSFLTAAGSFLTSSLSSLTAFLANAFPVVLSSLINAGRFLTTSIASAVPVILSFLTAA VSLLTGAVSFLAATLTTLTTLIVPAISTILLSIADLVSAILASIESVLTLILSPTVLV TPLRVLRATLCPVVDALSFVLAPLSYPVAGVALCATTIASFVLEFKLSTAAVVGLIAG AILSRLSHSISYQLGWLDEGEVVAYEPVPEESEAHTASQPLLLEHVHWSPPPTPRSRH FKRPVAMTAGRLKSRWANGNGSGGGNGEVY
SAPIO_CDS9582	MDSRSPEDGMSPVSFALAHQRPKSSFQGCSRITDYVLLGKLGEG TFGEVHRARSKKTNALVALKKIIMHHEKDGFPITALREIRLLKLLSHKNVLSLEDMAV EHSQRPTDKRRKPIMYMVMPYMDHDLSGLLDNPSVHFTEPQIKCYMVQLLEGLRYLHE NRILHRDMKAANLLINNKGILQIADFGLARFYEGPRPKPGLAMGEGRREYTGLVVTRW YRPPELLLHLKRYTTAIDIWGVGCIFGEMLVGKPILTGESDGHQLELIWDLVGSPTEE NMPGWKNLPGGDVLSPRPRPGCLSTRFKEYGAGAVSLLKDLLKLDWRTRVNAVDALQH HYFKMAPLPANPADLPSFEESHEFDRRKFQDRRAGLPPAPKGGTVGKGPEGANGATAG LNTTDSFGNRNGVNGGRYGSTGPSRNGTDDRRPAWQRGERGLPPRPPPPEKNGWDAAD SDPYRERDRRPRSRGNRGADIDTYIPSYGSGAPPGGGGGGGGRHRDEWSHRDDRRRRD SRDDRDRWDRERDRDRRTRSRSRSPVRDRDVYSRR
SAPIO_CDS9583	MANIDDVVKRLATPELEPRLRIEAASVLRDSLDHYTVGPVYPNF LRRVMPVFINILRGPCIFQSNSPEQKLRNCILEILHRLPTPLAPAPPPAGAPPHPPTA LEPYAVEIVDLLMTLIRQDNEENAVICVKIISEILRHLPRQLSSKIQPFLSLIQAIFD RTESMVREQLDNTSLSTSTAHGAPSTPGSTQTNFQSPRPGSPVASVNDLGPDPQQQNR MLVKGMQSFKVLAECPIIVVSIFQVSRQLVAHNVKLFVPTITSVLLLEASAQKQAHEE AKAKGEIFTGVSPLIKNRAAFGDFITAQVKTMSLLAYLLRQYQNQLADFIKVLPDVIV RLLKDCPREKSSARKELLVAIRHIINYSSPRIFLNKIDELLDERTLTGDGVTVYETMR PLAYSMLADLIHHVRDLLQPEQIRKTVEVYTKNLQDDFPGASFQTMSAKLLLNMAECI AKMPNKVDARHYLMKILNSIGDKFAAMNRQYHNAVKLSKKYAQQAADGVTESYLPDKR FPPDWDEIDIFTATPIRTANPRDRPPDPITDNKFLFRHLMNGLKNTFYQLRTCNVGPG VDPKNAPPHWQDVAFGFTAEEVKVITKLFREGAHVFRYYEVEKPPTETQATSPVEYVA NANCSKEEKDLLETFATVFHYIDPATFHEVFQQEIPQLYDMIFEHTALLHIPQFFLAS EATSPSFCGMLLRFLMDRIDQVGSADVRKSAILLRLFKLAFMAVTLFASHNEQVLLPH VVDIVTKSIDLSSKAEEPINYFLLLRSLFRSIGGGKFEHLYKQILPLLEMLLDVLNNL LMVARKPSERDLYVELCLTVPARLSNLLPHLSFLMRPLVVALRSGTDLVGQGLRTLEL CVDNLTADYLDPIMAPVVDELMTALFDHLKPHPYSHFHAHTTMRILGKLGGRNRKFMT DVQQLNFQEFADDPASLQLRLVGSKKDRPFPAEIGIDLAIRKLTEVPKPTTKANQGRQ YDSYYKTQALQFLKAQLKLRIGFDGLPEDYSRLLRLQVQDLFRRKVEFDFSPFITSSR DCSIPKKDGQDTLVKRLLKALMVAEAMPEFKEGSDGFLMNVCRHFTIIEVGRAVVDVK RFMTPFDQHAGEGPLCIDARVLGDAIVESLASDQIEVREVAQAALREIHRSTAILFGS EMSVARLPFFSYMCTTFCHSCYEEEWFTKTGGTLGIKFMLTEFDLGEYWLASKQGEFI RALLYVIKDIPQDLPEKTRRYAQETLEILLKRLAKNAKKEDLTPVRQQPGQPQVKQHR LAQICMLLNSELSNMNPHIRETAKRSLELIASAAKCQVWELVEHYRDRLLQPIYAKPL RALPFAVQIGYIDAVTYHMNLKQDWVTFDENLNRMLMECLALADASDESLANKPAEYR TQENIVALRVACIKLLSTAVAFPDFSKAALIATRTKVVAVFFKSLYSESQATIDAAND ALKSIVSPSTKLPKELLQGGLRPVLANLADSKKLTEHGLNNLARILKLLTNYFKLEIG ARLLDHIKAIADPNMLQQISFTFFEQAPPMKVIAAVFNVFHLLPDGADGFKERLINTV LDLEEQLRRTQRSPFRPPLFKYINRYPKEVWGFLLSKLGELKYGRFLAQALDDPNSGP LREAATADVESLIKKCVEIGQKQSDLKFSAVINTIHILDSLSRWPGAEAWMQKPEVIT WLKQAGKELEQTLRNFTLPPQLRLPADQAAEQLVSILVKTLEQSPKDLDPLLSLIQSV TAGEFRATHPLYAYIYKFIICSDSIDFWKATFFKCLEVYGNKTAPQKTKWFLLHNIVN PIIAMDVMRNWNQTEPIKGPKLMDRSVLDAVSTRMWKVISDMNIDDTAQPGIDHTRFE VLQLSAMIVKYYHTSLQDSRKDIIKFGWTYIRLDDVIIKHAAYVVIGYFIALFETPAK IPTQIYLSLLRINQNEGRALVSQALELVAPVLPKRCNTLPNERSNAWALAPRRVLADE GHQTTSIFHFLVKHPDLFYEARDKYVTLIISNLRKVALPPNSTNENRKLALSMMWLIW QWEQRRVEGKPAAGTPAPESPTTRKRRPDSETPGSSGSPVMARPGVPGARALSESPNT KKRKLEGDQQMGSASPSAPSRQTPHLAVPEKAEFIIPPLARQKMIKYLIEFIAQLNDR FPLQSAKPRDTTLPGLAAPAVFNGDLAKKAIQLLYNLLQPQYWGDLELDSFPAMTHGF LASEKTQTVLTADPSDKEKYDDKFMTSIINTLMVVRVILHFKSDEWINKNLSAVLKVV EKCVKSENPEIQDCLHVPDNDGDEGREIVPIIKRILDAVPEDVPMEDADGEGESEVQT SETITSLSSIAGELMANGHYSSGVNLLWSLGQRKPPVIDQHIPILMKALQSRLAREHV QHYTAVATAQTAPPRSQDPNPPPSGEMSPYELEIQTKVMLRAIRTVALRMEVLGDNRR PFLSVLATLVEKSYHIPLCEAILDMVEGWVFRSEGTWPTLKEKTAVLHKMLSFEHRPD PTMLTKFLKLVIRIYEDPKVARSELTVRMEHAFLIGTRAQDVEMRNRFMTIMDRSLSK TATARLAYVISDQNWMSLADSYWLVQASQLLLGAVESNTVAQLNRDDYRTWSPSQLTC MYVKDSRQPSLMCDEKFEAFMAQHRKFLTDLGDVKVRDILEPLAQLQHVDLEVAHQLW VTLFPMFWSATAKDERMDLQKSLVALLIKDYHNRQIDKRPNVVQSLVEGASRAWPECR LPPHVVKFVSKTYGGWYTGLVQLEKAAVKPQLESPTVRESNLDALVDLYASLEEEDMF YGTWRRRCQFVESNAALSYEQNGMWDKAQKMYEAAQIKARTGVTPFSQAEYMLWEDHW VRCAQKLQQWDMLQDFAKHENFQDLLLECAWRCHDMWQDPTHREGLDNIIKGFMDAPT PRRLFFQAFMSLLRFHNGQETIHDFSRYCDEAIQLSIRKWHQLPANLTKAHVPLLQNF QQLVELHDASIICQSLANTTQSNLDVKSGELKLLLGAWRDRLPNVWDDIQSWQDLVTW RQHIFGLINQKYLQLLPQPGGQNAGGASFAYRGYHETAWIINRFAHVARKHNLPEVCI SQLSRIYTLPNIEIQEAFLKLREQARCHYENPDELRSGLDVINNTNLNYFSAPQKAEF YTLKGMFLEKLKENQEADAAFGTALYFDITAAKAWAEWGYFNERKFKEDPTDLNSAKQ ALTSYLQAVGSYKSHKCRKLISRILWLLTLDNNEGVIASGFDDYKGDTPVWYWITYIP QLLSGLGYKEAPRLLNILLRIARSFPQALYFQLRTNREDMFTIKKNQEAKERAEKVRK AQQQAQQAAAASGASKSPVQAKTEKPEGSTSRPATANGETAKTENANVKTEGTKDGQK PQTPATQGQGTPQNAAATAQQQQQQQAGQSRPGQQQQPAQPGQNQPPTGSQRKPWELN DEIMTTLKTAFPLLALSMETMVDQIQKHFKCPPDEDAYRLIVALLNDALAYVSRMPAS FAKDVKLPAATETNITRFAETILPAHIKKSFEADFVQVKPTMYEYIHKLRGWRNKFEE KLDRRHCRVSLESFSLHLSEFQYQKFDDVDIPGQYLLHKDKNQDFVKIDRFLPNVDLV RTSSASYRRLRMRGRDGSIHSWAVQHPAARHCRREERILQLFRHLNQTLGKKKESRRR DLQFTLPIMVPLAPHIRIVKEDASWVTLQGVYEDHCRRNKMSKDEPIMFAMEKLRAIL EPKTSHRPSEQHATARLEVLNAIEEKWVPHTVVLDYFQRIYPEFEDFWLFRRQFSYQL AALTFMTYILYMHNRYPHKMHIARGSGNIWGSELMAYMGVNKPYFVNPELVPFRLTPN LQTLMGPLATEGIFSVAMLAIARCLTEPELELEHNLTLFVRDEILVWFTTYSHRKEQF MEVPSDTIRETVQLNTDQIVKRAVSLAQSPPGNLPATQTVIDLIAKAVNPLNLAQCDA LWMPWL
SAPIO_CDS9584	MSKPNGTERLRAVEDTTPTPEPNNSNGPFTSFFYGTLMAPEVLF SVIYGRKNPGKVFEDLHTFHPAILDNHARRVLAFADYPGVVPQEGESVQGVYVTGLTD ANMERLDIFEGSEYVREKVKVRLLENGETRETEVYIYLHAEDLKEGEWDFEHFKKEKL ALWSRE
SAPIO_CDS9586	MYSIIWNWVDLDVKRIQPWLELSRENGALAKESLSLDYPYDFIA LVPFKATKRRHWTVSVSGTVLLLIFWALTPLQGAIFGTGPVQLRQPSSFSISAETIPI QAQSAALDTSILHRMYAVKYLSQPYPEFTTPEYALLPFKMETNGFPDEANWTATTTKF WTDLKCWPAEIVQDKRPAYEAYYDFLNGQGCNASSIETIDIAPQTRYRMQYLGWHNSA YSSQFLVRSTTCPAQYAHQFLATMAVGPVDSPRVTAIFCEPSYWKQRVQVTVPTDTFH PNDASIEELAPPEELPPTEFNNTGFEYLLGSSVSEVQDAARDYSHNIILDQFDQLNDT RWDWPLSPLVGFVVGKHERPIEDYFDAEVMEDRFRAIHKSVFALAFNSLLSDVPQADQ AVASAQPSEGTVEFVVYGILVSRTISAIVEALLVIVGLKTILLHFLALRLKSNLSADP STLGDLVTILRNSPQLLDVISPKYGTFDKTKSEFESGGVSDPRLRLKCGCSRSDGTMM IGVTDTRKPFDIPVERRAKSGDGNDEYYEPVRPLILRRVSGIIFVLLLAITLGVLTFL KNQEKRLGGLPRPTDRFEVLQLLENYIPTAIATLIEPFWVLATRLLCIFQPFNDLRPQ KKASQAKKAMNLDYTSLPPQLSIWRALRAGHVLISVVCLVALLANVLAVGLGGLFNEK PVPVTHPIDLVHLRSERFQLDKMGSSTNNDARQDDNMFSVKSNFTLGTQMPPWATAEY YFQPFAAISPNPHNSTEMFRGRTRGYGLDITCSEAQTTKPVNDTLPKEIIVDVRSFNE EHGITDPACPGLSQLGRGEMSNKTSSTEGAWGSCTKLGAMILYWGRMTYTPERTVDYR AYACQSKFKTALFDVTIDSDGHILSYERASDFTDNLGYDRSWNDTIRLVEMVTGHMTT NAVSWHPNSMSLSWFIDVLKASLGEKILDPNESIPDPSVMLPATMDIWRRAFAYLLAS DAVPYQPLEENQPAFGGTRFSTETRIFMETSAFIISVSILALYVVVATFMYGFSMDFF LPRMPTTIASILEFMAPSRALRDDSPISETRFAFGRYIGRDGRRHVGIEYAELVVPID TVALKRGSKSRAHRILFGRCKRSDTLIGDDPRSKA
SAPIO_CDS9587	MAPDDTSPCASELQLRLASATATPISELSPQLSDLASRTIHGII TVIWPYSIIKKSVAFQLAEPDFRLRRQRGQVRVNFVGACAKAITDAGLGSGDEILISL DGAEWVENDAPARIPGTTLEWQLKFVSSALLQVKKADAEEPTVIHVDAVGVGAEDTKA PPAPTIEPIEELPSTSEVLATPQRALPTKRRFYSGLDADEYASPAFIKRARMSYGSLF EGGFDIFDEDKAATSRNRRRSGFARNSNAWRYMSESRSPTPESPPEAVEEVHQELPRS PSRTPPKPLMADEGVQTSDIDIPTSPIPAKKAVHVSDESRIMTETVSEMTTTTGSSDH HVLIPDHSTLPTLDRAHEPLPPSFVHSPTRNRFALEHDDIPATHDTTASPPRNGDIGS QPYHTDENQLPVTSPPSFPDHATPWGQAHSYPPLPVSHAMEVPSFASHSSIDYPDLHS SLPPTVDPHLATERHLLPFEETSHDDDRRHHEPYLISSDVPLAEEDHAMGAPEEGGSR EDENTEEPQTCFQQNIGTLVRGPEQEQEPPVPAGDTKEEENIPPLAGYDDPNVGGEES EEEVEAEEEEAGGDYDTRNYADVQDDDEGNDYEDMERDENPHDPDAQTYDEDEETYEE EEAEAEEGAELDREEMMGANDEYGEYEEGEEDDERYRGEEYGDEYEDDYYEDEEVGGE DEDEDEEPSRSPQPASSAPVFIDLISDSEDEEEEEKEKVKPAGGEGVEEEEALQPNGY DDDDDDEEEEEGEEEEEVEEEGEAEEEGEAEKEEEGEQADKVGEQTEEEGGEEEEEEE DDAEEGEEQDEAELEDDHKDVVHKDSRKGSSPAPPSSPHQPHEIDEEEVQVKSPTPEP VELLPSSPPTTEQPHETEPERPPISSPKPSENSGVPEAAEVEKPPDSVPLADEEHVAV EESELQQPTVEEADADEVMEDAPMVESMEPVTEQPEMREATDEVMTDGLDAELLEDQV DLIQRPQDKQDTDESMAGSAVEETVEEQMQAELEKQYQEEISLLLEAEDEGTGMAEDK DEQTQPISMDFAVPTKARAAAPDVLALASPPSTQHASQGEPEPEQERAPQVDGETYPE APTLPQLPTPDASQVHPSTQLSQESNREETKDGIVVQLPRRTTPAEDMTAEEGANEPA SGDVAQSPAPSESQSTIAASIEVAEEVREESHTSSAVAPDGYAITVKSLRSRSHRRIS STERVESPIQDPSAQLARASLAARRSILPVEMSPPQAVVRATRSRTQSVHMSTSPEPA THIVPRSPAASQSQHSEAAAEEEKSESMSALKLQLNKALRFTLPDLTALKNLRQVANA KIDVIGVATTQPPPAQRPKHGPRDYLLAFNMTDQTMAPNNVIVVQVFRPHIESLPVVR EGDVILLRQFVVMAMRGRDFGLRSCDASSWAVFEKDREDGLPQIKGPPVEVTKGEDAQ AALLLRWYAALGPKARDKLGLANQRMDGAPAA
SAPIO_CDS9588	MASQGNPTPIAISPAPVLAPATSKPDATSVPGATLTPGKTTLQR ASSPPNPKKRASSSPDASGPAKVTKRRAARACVSCRARKVRCDVVEGAPCGNCRWDNV ECIVQESRRRKKNIHQLAANAAAARHMSSGAEAQVKPVSAIGANPIPITSSPSLLGTD AAASLNPGAGNAGLFLGAGLSGASPNLLSDAGDHSFGFVAVGHKCTGRVKLQVAIAAG SEQPPLPPPAVTYQQPGYGNITDENSRRLLTSLLNQVALFGGNSQFLGNPEEPDISTL LPPFIRPFPAKVSAQDVKFLREKGALSLPSTALQNALVQAYAEYVHPYMPLLDMQDFL SLINARDGSRGQLSLFLYQAVMFAATAFVDMKPLREAGYPTRKAARKAFFQRTRLLYD FDYESDRVVLVQGLLLKTFWYESPDDQKDTWHWMGVAISLAHTIGLHRNPTASNMPPK KQKLWKRIWWSCFMRDRLIALGMRRPTRIKDEDFDVPMLEKTDFDIECLPDDNTVVTP DCAVMRDLSVQEDLAELCVAKAHLCILISRMLKAQYSVLARDKSQPENTTHSTMMLLP NKANNVEMVKTVDLDLISWESALPESCQYRPLTPLDVRDGKSTVAVHRTLLHMVYHTT VSALHRPQFLPASPVHALMASRPVQEMARIRVRDAATRITLMAADLHRYRLERYLPTS GVTVVLPAMIIHLLDMKNPVPQTRERAIRGFKQCMKVMERLRDIYAAADYAAGFLDGA LRKASIDLSASSTPNVTVPPPPTKYTPHGVSAQTPPPENAPYMTTPEASLYTASYSQE QPAAATTFTPPATMATPVAMSAASPPHTEKDVDSATYGLTPSASGSSEEMNMDYDMMQ NGGHNGVSGLGEGNWNADVDMDQWLQFPPEGVSNSDDSFMAGMFNDDSAAQLAPEQVL PWATAFSTEGASVDQTTVGPIDVAVQI
SAPIO_CDS9590	MMSSTNEEDPFLQVQQDVLSQLSSTRQLFTSYLRILSLTTTTHA ASPTPELKSALSDLESSLASLSEDVTDLSDAVAAAESAPDRFGLSQAEVDRRRRTVQE VRGEIDDMKEEILKGGARKNTSRGKAPADDSILPDPNKFGLGDEGEEDEDHYAEFEQR QQMHMLREQDTHLDGVFQTVGNLRRQADDMGRELEEQSHMLDVVDEMADRVGGRLQTG MQKLQFIMRRNEDTLSSCCIAVLIFALILLLVLLVVL
SAPIO_CDS9592	MNAQISFLEGTFTLIHIPLQSYPCLLQPLIRVLLPQTQSLKGTT SPPSRERDGLTTDDQHGFLNISVTPLECSVVCHSSWAKNVVEPAIKCLSKAAAKAVSV STDDYLVISVISAGMDAASRVMELTSPLALAGIPIFFIATYYSDFILVPKKAQHAVTQ ALLARGFQLAQNDSDYRFRGHENARTTAASSQALSTTVTELQNRAFDLLRKSNVVPYI EEGLRLVQCSGREVTHINQEFSRHSSSSRRPGNGHTRRPSWLDNVDTKLYTAMISAFV SQPRFLSVTLAKDDPPSLLLDKFLLDIFGDSLVGDTEGELVPIFLDLSSLSYEATGIV CGVAGKLVGDMESQGSSELSYLSTARAGAVILSTKQAIRALDILKPMLDKQEGK
SAPIO_CDS9594	MASKLLVAALLLAPNVLGRAIPEAAQNEIANIRERELTFADAAN LQAREAIDVLVTRAEITGEKGHKEKKGSKPKGKKSKSKHHGEPEDKFIIGKPRARKPH PRGLQRRQDDEERPGRDPPVDDEEEDERPTPEPVKEAPKKETPKKGDDEEEEAPKKEA PKKEAPKKGDDDEEEEAPKKEAPKKETPKKDDGEDDEEEAPKKEAPKKEAPKKEAPKK GDDEEEAPKKEAPKKEAPKKGDDEEEAPKKEAPKKEAPKKGDDDEEEAPKKEAPKKET PKKETPKKDDGDDDEEEAPKKEAPKKETPKKGDDEEEEAPKKSPPKKETPKKDDDDDE EEAPKKEAPKKETPKKGDDDDEEEAPKKGKSTPKKDADDEEEAPKKEAPKKEAPKKDD DDDEEEAPKKEAPKKETPKKGDDDEEEAPKKETPKKEAPKKGDDDDEEEAPKKETPKK ETPKKGDDDEEEAPKKEAPKKETPKKDDGDDDEEEEAPKKSPPKKETPKKDDGEDDEE EAPKKETPKKETPKKETPKKDDDDDEEEAPKKETPKKEAPKKGDDDEEEEAPKKEAPK KETPKKDDGEDDEEEAPKKETPKKETPKKETPKKDDGDDDEEEEAPKKAPPKKETPKK DDGDDDEEEEEAPKKAPPKKEAPKKDDGDDDDDDDEDDD
SAPIO_CDS9595	MASTLNSLRDTLFSNPVAATVADALNSFSERRAKLGLSNPGTVE NLAKEVQRDVLLQGYMFTGIKADLTKMFSVSPLFQVSHQFAMSERLSPYNFAALYGTN NVFLQAQVDNEGQLSSRFNWRWHDKFVSKTQLQLSNDGSNMAQIENEYTGDDFTASLK MLNPSYLNGGLTGIFVGSYLQSVTSKLGLGFETVWQRGVLTQPPETAVSLCARYKSDD WVATAQLQTQGALSATYWRRISEKVQAGVDMSLALVPSQAGPLGGGISKEGTTTVGVK YDFRMSTFRAQFDSKGKIGLLVEKRVAPPVMMTFAAEVDHFAQQAKVGVGVSVEGGSD ELEQQHEMMGQAPPSLNIPF
SAPIO_CDS9596	MYPSAPTAEDRRESLQSRLRASLGPPPLDMESAVVDDDDTADND NGTRTRTSSSNSNGNSTSDTTSNTSVTASLSPLVSPRPELGVVHDYDHALSLLETDDD RTAQIAANAPRYRRKSSTFIDAIHDTPEEQDRAPAQLYSTMSGRLFHSGRIAIVMVGL PARGKTHICVSLSRYLQWLGVKTRIFHLGDYRRATVGNHGAVPEDYFFPNASPASVIL RQKILKKCREDIYAWLNHENGQVAIYDAVNPTAAGRRSLAKEFSRHDVQTLFIESFVD DERILNENARNVKISSPDFEGMNPDEAARLYLKRIEAKIPVFETMNETELNFIKMINA GQKFFYNNVSFNYLSHRIVFYLTNLHIKSRATYFVRAGVTTEEDSYKADAPLSEEGFA YARRMSETLLKHREEKRKKVIDEGGADIPLKPLTVWTSTRLRTIQTAEYLKGKGYKVR QRSQMSQINPGVCERLTERTIRRRYPDEIEKHERDPYHHRYPRAESYHDLAVRLEPII LELEREQNDLLIIAHESVLRVLYAYLMHCSTMDIPFLKFPRDEIIEIIPAAYQNESNR IHIPGIDPRIVPGSPQDIRIPVPPGVGSGQMSPIPGLSSPAEPADKILEKFSFDKHKF EKNKPLQTPPSEKPPFKLMETVADKIHDDD
SAPIO_CDS9597	MHPRKLLSLRDKNGLSSRREKNLDAGQPGATKTRPRSAELFFSL FKPEAARHAPKDETLKGKDQEAAKIEKVAAKLAELNITGATPEYIRETLASKFAAGDV DRAAKFIDFQQKAAAGKIVRYDPNVTMLGAVNRGSVTCYLDSLFFAMFARFDAFERML KRIEFDNEPRQKLATLMRLWVNLLRSGELIQTDTTELIQNTLAECGWIDAKLLEQQDT SEAFNFITETLELPLLSLKVDLFHQGKSDEDDHKIIYERLLNLAVPPDPDGKGIKLED CLEDYFNAQVDVFRDSLDDKAAAIETPTSPKATIRLVSDEDRDIHAAENTPTPLSPRR ATIPLPITEESQASSSSAPPPSRPRAATVIQRVVIGEDGRTATDPHLSSLHRTATKGS IIVKAVTIPAWQFFRLMPWHTPSSSSHVNQPENIDDLERNIRQRPVLGICLKRYMMTE DGLPKRQNTYIDIPDVLRLPNFMLDDDERVEEDPHGFSTGYKLVLQSVVCHRGDSLQS GHYIALARVNPKLLTENRRCDPDPPPDYEEDQWVKFDDLCVESRVSYVDDIRQALKDE MPYLLFYQIVPTVEVSASTYSGDAEPPSYKDLGTKADGSSSQGGSPSNSVSATPASYS TNQPSIRLSTELDLTRSIGDEGFYTTSSHDNSRRQSAVFLDPFSNDSLLAVGTTGSHS PPPGPSEESTATRLSRAAARFSRSASKSRTPSQQGDGRISSTIRQLGLLRSSKEPLRD GSASGAQSTSGLSLPETGGAESTAVAGGSAVSVSNSNPPKQKKSKTKTAEKKAKGEES ERECTVM
SAPIO_CDS9598	MSALREGSRVARHISNCRSTLPLASKYARRFATSAASSSVDANL AELETSTTTLPPPDEKIIQAFNSESRAGRRLPGNRYQYHPPKYDRGPLHPIQSPARSD PVARNFMPGPFNLPRLKQTYDHTISSDLLTLAYLHKPPGTPKAPERERLRKWVGDSPY FENRPLRGPRGSSNLPLLEKDITFNNIPQIHAVHMNCFVPKAIKDYDQLYVARAVIQS ISGRIPRVTWIKTGVAQWKTQNGDNSGCKVTIFGDQAIEFVDKLINLVFPKIKDWPGL TAGFDGSGNLSFGFGPTEMALFPEIEYNFDSLPGCHFILETTATSDRQAQLLLRALGI PFKSE
SAPIO_CDS9600	MEDEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAIARIDMAGRDLTDYLMKILAERGYSFSTTAEREIVRDIKEKLCY VALDFEQEIQTAAQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTWQSIMKCDVDVRKDLYGNIVMSGGTTMYPGLSDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF
SAPIO_CDS9601	MPLARPFITLRATLPRRLFTTTPQLSKILPPRPKPPPDSEIEEV FIKGSGPGGQKINKTNSAVQLKHLPTGIVVKSQATRSRSQNRAIARNILAQRLDELYN GEQSRSAIVGDVKQKKRASASKKSRRKYRKLEEEKRAALGLQEDDGDRESEEIRRTDE LNGEPSGEKGNDDVEKPQELNESASHLEENTPAATEKGKTSSSNPNDL
SAPIO_CDS9602	MAFLASFVRWLELKKYQLEVTFSVYIYTPFEKFIFWSFVFLLAS LTFIATILYLPHHIIFLMNRAWFYAHGDSVDVLELTKDAVHTLAQGALNAGTSTASSV AEAATEMIRDL
SAPIO_CDS9603	MTEKSPKVEYLSTGANRHSSIADWSADGVLAFGASNNVALWRPE SSSPKGINTVLSGHTGVLKVVKFLPRAKEDQVSYLVTGADDLKLKLWSINGDLSECKC LHTVDSHSTPITSLAVLKSWSKPGPVILASGGADETVRIWKFDAGQIHPLQTIKTNRE PRYIPLSLALTTLGSDSNTLVLAVGGTRKTIHVYAASVLDETIEFKPQATLSGHEDWI RCLDFTLERRDDGPGDLLLASASQDKYIRLWRLHEGTQLPELSAAAASDPLRNAYLPG KAPSNKAHRLRVRDRDFSITFEALLLGHEDWIYSVQWHLGADGVSKLLSTSADNSLAI WEPDPVTGIWASTTRLGEISRDKGATTATGSIGGFWTGLWRADGKSMVCLGRTGSWRR WDYSETNERWDPAIAVTGHTKAITGISWSRNGAYLLSTSSDQTTRLHAAWTAGGQNTW HEMSRPQIHGYDLNCIDSLRDTEFVSGADEKLMRVFREPRAVARLLNKLCDFGQSGVE EMPDAANIPVLGLSNKAVDAEDTSAPEIAGPGAGPGNNQGGGETVDTATAALRSALDI DRPPFEDALSKYTLWPEIEKLYGHGYEISCLAVSNDGTLIASACKASSVNHAVIRLFE TERWTEVKPPLVAHSLTATRLRFSPDDRFLLSVGRDRQWAVFERDAEDRTKYAPLQLN PKGHARMILDAAWAPSCEEDGPRAFATAGRDKQVKTWLAKDIEGKLAFSAATTIPSKY PVTAIDILRTRNRDGHSVLAVGNEAGQLSIYSLNTRDLSIVSEVHLDESLCPSKAVLQ LAWRPTKAEERPEGHDLAIASEDTCLRIYHFDDI
SAPIO_CDS9604	MGASKHAGLTPAGVESIAGLTAGSIATLVVHPLDIVKTRMQIHR STLNGGSQITTKAIIRDMIRTPHPIASLYRGLTPNLVGNAASWSIFFFFKTRAERTLA TWKGLTTDHPSSSSIKAKLNPADYFIASGIAGALTQVMTNPIWVLKTRILSSDRSAQG AYPGMLSGAARLFKTEGISGFYRGLGVSLLGVSHGAVQFAVYEPAKRMYFGQRGRRGE SQKEMMTTESTLVISSLAKLVAGAVTYPQQVLRSRMQNYDADERFGRGVRQVVVRIWR EEGVRGFYRGLMTGVVRVLPATWVTFLVYENVRFYLPRWVEIV
SAPIO_CDS9605	MALTAQPPGPPTWDRWHQPHGNPDYVMMDASMMHYDSRSITSNS APHRAPMTHSFMGNSYNVAPIPSAAPPHYPPPNPFAFNGYNPPTATVRDSYKQPPRPQ YQERPPMLVMPPMPDRNRILAPPREMRSPYEEHSQSPSVKSDGQPKTLTVPDGELPKV PRTITSNVTVNPEDEVSFSTDVDTLMRAIQAKRETDTIVKVAEDKIRAETTDHLSPEV GYSTLSTQFQQEHDLTPDDEQAGSPDSTGSGGSKSKSRANCKRYTCDFPDCNKSFCQK THLDIHRRAHTGDKPYSHQNRFHAEALKALTQKFATLANATNVSEADRELWEYFATTY KNSNKGIKGRGKERRTGVSQSPKSAVMPQFPVCSPTAPTMHHRGSYSAASQHVTQQPM LSPPHGLGNATMDRTDGPGMLGTHLGNDMGSHTTVGPPNPSASIYDEAPHHAQSFHDR GY
SAPIO_CDS9606	MSTTTATETKASDAQGLSLKVEFTGGLEMLFSDQREHSIRIPSI TSEGKPTTIASLIDYLCENTMKDTRKELFILDGHIRPGILVLINDADWELEGEEEYEL QNGDNILFVSTLHGG
SAPIO_CDS9607	MAQNRHWEQDKDATVYIGNIDERADPAMVYEIMLQMGPIHNIHM PRDRVTQNHQGFGFVEFRTPLDAEYAANVMNGVKLYGKSLRVNKASADKQKQAEVGAE LYIGNLDPGVDEKLLYDTFSRFGPLLSIPKVARDDSAQSKGFGFVSYADFESSDAAIA NLNGQYILSKEVSVQYAFKKDGRGERHGDDAERELAKQAKKRNLVPEAAAIPAAFLNA PPAAPAPAAVPQIPSRLPAAAPAAGFDASSMPSAPGIPAGMPPPRIPAGFNVPSRGGP PPPGFPAPIPGHPQAIPPHGLQAGRGATVLPPAPSGLPARPPQGQRGYTNPADFHPGS YRTPPPGGAAAPPPPPGFTPPPGFGPPGTAPGAPPGAPPGFMPPPPGFQPPPGFSRR
SAPIO_CDS9608	MTDYDRRQSGGGYGYNRKRRYRDDDDYHDRRGPRRRLDTAPLPV RIRKQMLTLAESPLRRWHEEVQSIAQTVADNYDNEDLRNNFINLTLQIAVEQPLKTPF LAAVIIVLNTLKPEAVDDILGKVATALEEKIKVGDWRDVKLYLKLLACLQSCLAGDGV FPVLEELFSRAVDLQTASSDDTIGTELVKVILLTLPYLMAASPPGQWQQKAADIMDKT DIIASEPHALQALIDFHYAGNGQEDVVGSMSVIGLLQKQLQAEAANNWKLACLPRPWN LPLEEVEARERLENATKHDLPPISVPSTVIAGPRPLFPEVYLSVYGNQEVESVPPTTD IAASLIRDGLLDTINILDFNRNVTARYLIDLDCYFSEGTFVKRATPFDRLRDIEPTKS TWKPEDVAVDTVFSQLLQLPAPEHKLVYYHSVLTEACKIAPAAIAPSLGRAIRYLYRN TASMDAELGYRFVDWFAHHLSNFGFTWKWTEWEEDVSLPSLDPCKAFIVGALDKEIRL SFAQRIKGTLPPAYQSLIGPEKEKDVPDFKFNNPDTPFSAEGQEIATLLKRKSPDEDF QPIIERIHSTALDNGVDPLVASTDVFMTAVCWVGSKSLSHVLACIDRTKGRLVDVGAA SEGARAQIITSVMNYWQAHPGVAVSIIEKLLNYSILTPLSVIHWALIGTSHAGGKRTG DVLAKWHIFELVFNTVAKVTGRVRDVARGNPDAETQSDEVKAMRELFRALEDALIGWS TGTNGEATDGDSQTYVRDWAERWLRVFRRKSAIEESFLQEAAKAAPVEAVDA
SAPIO_CDS9609	MSSSRPSAFNTLRMGEVIREKVQDGVTGETRELQYTQCKIVGNG SFGVVFQTKLSPSGEDAAIKRVLQDKRFKKDEVYLNLVQEFVPETVYRASRFFNKMKT TMPILEVKLYIYQLFRALAYIHSQGICHRDIKPQNLLLDPSTGVLKLCDFGSAKILVE NEPNVSYICSRYYRAPELIFGATNYATKIDVWSTGCVMAELMLGQPLFPGESGIDQLV EIIKVLGTPTREQIRTMNPNYMEHKFPQIKPHPFSKVFRKADANAIDLISKLLEYTPT ERLSAIEAMVHPFFDELRDPNTKLPDSRHQTGQLRDLPPLFDFSRHELSIAPHLNHKL VPPHMRATLAARGLNIDDFTPMKKEEMLARLD
SAPIO_CDS9610	MSTNIEKTVARLQEKIKEGQYYEAQQQTRVVAARYIKAKKWDAA VDILFNVAKALLSAGQGGSGGDLCNLLVEVYEKAELEPDANSKGRLLTCLRLFDSEEP TRKKFIGGMIGWSSKFGEFPAGDPELHHVVGSIYAEEHEAYDAERHLVLGTKDSPEIL ARMEYKWYKESDPHLAAQFAARAVIPYLLVGNVRAANASFRIFTSALLQDNKGLSVQD VSSQSSDVKIFPSIPLLNFLSLLLLAVQRGSAELYRQLITKYATHLKETGTWFDTLDA IAEMYFGVRRQRQTNPLFDMMGSLFGSGGGGSSQPARRPANRVEAPAPEGLD
SAPIO_CDS9612	MQLLIGTSLVSSPASCRALARRSFVSLVRCSPERPHTAALAPRR TTLRHARLYATARLDLPALDRKWRETWSKSEPKPHSAKNETQFVLPMFPYPSGNLHLG HLRVYTIADVVARFRRLQGYDVLLPMGWDAFGLPAENAALARGLPPAGWTKDNIQKMR DQLQHMNASWDWDREITTCDPDFYKHTQKLFLMLHERGLAYQAEAEVNYDPVDKTVVA NEQVDANGCSWRSGAKVEKRRLKQWFLRISEYREALLRDLSILEKNNAWPERVLSMQK NWLGKSTGAMVKFPIMAMGHDVHSSVEVYTTRPDTLFGVQYIALASTHPIVQKLSKVD PELQAFLDTLPGLPPDSKVGYLLPHIRAVNPLAYHEHTPDATKESLPVYVAPYVLGDY GEGAVMGVPAHDVRDHAFWKQHRYDEPVRVVLAASEDESTTALPNDPFVNHGIMTAGS GPFKGKSSVEGGKMLIAMLEAAHLAAPVEKWRLRDWLISRQRYWGAPIPIVHCGTCGP VPVPEEQLPVELPEVDNHWKEGKPGNPLEHASDWVNTSCPKCNGPAKRDTDTMDTFVD SSWYFARFADPKNKDQLFSKEAAEKYLPVGTYIGGIEHAILHLLYSRFIYKFLSTTPL FPNPQDPSETTAEPFARLITQGMVQGKTYSNPDTGIFLTPDELDLSDPQTPRVKSTGA VANMTYEKMSKSKHNGVDPTGFIGKYGADATRAHILFQAPVSEALNWDEEKISGVTRW LSRLYNYVGTLDKPDSNAVDISAKGFLEEQSKKLGSMSADELAQWDTDAQVWRDVQKT ISSVTSSYSEVYGLNTVVSDLMSLTNTLVENEASPAIRREGLSALLKMMAPIAPAFTE ECWSQLHPSSGSIFSSATFPTPDGTLPLLQPRQRKCAVQIKGKLRAVVEIPPPPADLK DDALKEWIVEEILKTEEGAAKLSGGASDIRTAKRVIVDEADRPGGFEQTGLHRERSWH NISMISYTELYELLAKPGG
SAPIO_CDS9614	MAPTTPKTNFRTFDVQARLLRAIVAAHPEVKWNYKDIVKSYGSD LNETKLGHRMRHLKTQAEIIRRGLKEGHDPKDMPTEANFPKDQNKIDPNICKYFGEST PDGIQFQFRTIKKDAATLRAAAESGKDPTTALSMNPSTPSSRASAKSTPTTGRSRATP KSTSRKKIVSTPLKREFSDSEEEDSEEVDYKARDLTPTPTARPAPKRQKSGSATFDMT SESSESVFTPASQSFPAGSDVDTKATLSQSFYETDSFESGNSMNSTLLAPEDVHKYHQ DLCNFEI
SAPIO_CDS9615	MGWFTDLTGVLAPAFIVMSPILSYGDQAVSMHRNKSSAGFSLDI PLIMLVASLLKIFYWPSARFEGSLLAQAVLMVFMQVALLKIALDHRPPPSSKGGEAAT PFAGATEPRWAYSRPYNFWQWRSPKPYWNFLLYLFVALVLCEFLLSPWGTVYTGYASI LGYMGLAIEATLPIPQILANTQSQSCKGFRFSVLASWLIGDSMKMFWFFTTPGTIPFA FKMCGIFQACCDSFLGVQYLMYGEGQPEVVKDHLMDDIAGGDFLGPRLAKVSSTEYGP TPTGRFGVNEEKF
SAPIO_CDS9617	MASFGAALRSFWHTMTSNDRHSTFDSPYRTGRHVPLEGRNGMLT SVATASESRADINAPYRDDGTRSSDFNAPISPYTPTNTSSGHYSPGLRSSSAQRLSQS NDGFEVQGQAAGDVQMQSFQDGLPPPPPVTHTWRRIDAWAEDNYPELFDQLGEGATQN DLNELEHILDCSLPQDVRESLMVHDGQERLGMPTGIIFSSMLLDCEEIVQEWENWRKV TSEILDDAAALRSPIPAKPTVNGASSSSNEASSSRSHSSPRNSGSWRQDLMARQSSIP PNAIQKTYAHPAWIPLVRDWGGNNIAVDLAPGPAGNWGQVILFGRDYDTKYVVARSWA AFLAVVADDMSSGRWFVDEDTNELKLKEFKQARVEPSYFEILRWRMDQKHGRRAAAVA AKRKSMAPGRGSPTAPGSPTSSPYASPTDPNAAQRGRSMQRLSSHSPLTSPKPGAYGK SSPLARVNEESLAPIVTTDLKPPKLVEVDTPRPSEDDPSTKATDPKNGDSAQGKGKEN EAPGAKLNGKLPDVNDGAMRTIEI
SAPIO_CDS9619	MADDGVAESYQVLEELGRGSFGVVYKGIDKATGETVAIKHIDLE TSDDDIQEIQGEISVLSTCSSSFVTQYKGCFLRGHKLWIIMEYLGGGSCLDLLKPGNF PESHIAIVCRELLRGLDYLHAEGKIHRDIKAANVLLSDTGKVKLADFGVAAQLTNIKS QRNTFVGTPFWMAPEVIQQDGYSFKADIWSLAITAMEMANGEPPLSHIHPMKVLFHIP KNEPPRLQGNFSKEFKDFIAQCLVKDPERRPTAKELLRHRFIRSAGKVEALQELIARR QSWDANQTRKLHPVFYQETLQNISPKDEADEWTFDTVKSVAPKRPTIIRSRKPSSIFA AEDAMRRLELENDPLRPSTPVGTVRRSTVRRQPSIMTANESYNSSAGNTGSLRRKPSA AYAQSQTGSVRRVASSAQRTPGNPSPRIAVAKRPLQPDMSFGNSGSTMRLFRRVPSDG STSGQVGDSSDGAVFNENHPPHHPAPVEASSKEALLGRRLFAKAVDPTLSELHAQTSA MAKREALAKLSDAFSLLDTVDPEGAYHLLQNLVTAVSQDNKLNAAFLSQAAMKGASAN TPQGTVIIKSASTPAPPNSPTKLVLSQANPHLRSHRRRQTEITHDFVDRDEKAALEAK YPGNEPQPGMEHCRQLSDVLYGRWLEGLRLRWPAV
SAPIO_CDS9620	MTLTPPPLPARFPCWCRAVYSWGGETKRDLGFIEGDLIECLNAG DGSWWTGRLYRDRRTVGVFPSNFVQVLPDDFRPTSRSVSPLPGGNTPSPKGAPQKTKS WRKPFEAYAKAPHYTTAKQPEQYRPGTVRSRDTSNSPQKQPQQQQSRIGRSASPIPPS QSSYDLRRAASPAPPPHSQQAYHSHSRGPSPVPPPQGYSSRAVSPMPPPVRGYPDRAV SPAPSFNHHHHQPHHSQDFGRADSPPPPAPPPHRHVARQGSNASYNQMPPSMPRHGSN ASFDSRHQPYQTPARHGSNASYDHHPHPDLSRHGSNASYDHRQPDLSRHGSNVSYDHR QPDISRHGSNASYDQPRVPHHLNTQQHHDGRHQYHTPRAASPCPPSPAGSHMTPSPLR EAMDGVIEQLDALGMSRGTDTSEGPPLDPWSPESFDMVSRQSRKRAQSRPHTAMGYPH PDEGYETWSGGSSQDPSYHNGYGEKDHPLPDLNNYVDRMEKRFQKLHGQSSSVSGPDV DTPPIPPPKNVPRDRPKSSIGMAALDMDGPGPVIPDRKLRHRKSAYEVGREALGRTFT TKTNSTSASSGNRSTVTTTTQNSDRSLMSGSSAGAISATSAGSYARRMQRAQSALGMR DLDDDRPETPFTGVTYHSSHASNQPRPQSQVAFHDDVGGLGGLVQPKPPKRSIFKKIL DSAKTGVASGRSHIDAVKSSPLNKSTPSGLASNAAAMSSTPHLGGSRQGDVAREMGLG NAVDWVQVRRDVNRSNSLSRHERVERRERAQMLDYPSINAADELYDSINGDEGADGMP VNEPINYQAINLSQVDKNTRFVANLPPTTTAITLATTYVCRPYRSDVQRLRAIFTYVS EKILWEEDFEGDVDTRRVVQSGRGCAKEIAVLVTEMCAAVGIQSEVVRGYLKTPGEIP EVSIMPRSNHWWNAVVVDGEWRILDCSLAAPSNPRRAAYSSVGSSVADSWWFLTRPTE ICWTHIPEHHSQQHIVPPVAHEILLNLPCACPPFFRNGLQMFDYNSSLTRIEDLEMVH INFNVPADVEVAAEVEVRAFTQDPDGDVFESGEVVKKRALAQAEWFNGVKRYAVKALL PGDEGQGILKIYAGKRGLMHSIKDIPHPLAFALPIIHTGENPPYEFVTRHPTPHAQRH DIYVVQPQCQRLALNNTFVFAIRQHPSSTGASVLTPSSNPGNVSPIPFARPSSALSMT ASSASGSNPSTASSGYPGNKKPAKLAIQTPGGKILRLMRKDDKKGVGVGSARAAGDEE VSDGGTWETIIKCSERGTWRGLVLADRTARWCVFAEWVCA
SAPIO_CDS9621	MAAAQVKVVFTTKHTDIELPEEKRQLVVPSDIKRYGLSQILNHS DMLATSHPIPFDFLINGSFLKGTLAEHLERSGISLEGTVTLDYVPSLLPPFYENSFLH EDWVSDVDVLSSTSPAVKALLAKQDGEGEFDVASERLLSASYDKLLRVWDRSGQMLAV SPDAANGGHRRRVNTAKFLSPTKIVSAGIEGTVLVWEYAETAGRGTLKPVLELCAHAD QIRDISVHHASGKFLTASSDGRVGLWTASRKAAPAYEAPEQTSRSTKRVKSSVKLQQR GPLAMATVSDKPVTAAIFHPSDASVAYASSMDGSVRTVDLATQKIVSSLTTMHPLRCL AALTNSPLLAAGTTARHITLIDPRQSAATTSVMTLRGHLGWVESVSASPENEYSLVSG SWDSTCKIWDLRSVRAGTKEEGGGRVCDEVVYTIPRESMKDAKVKPDGNGGKVLRVRW DASWGIISGGEDRQVQINKVG
SAPIO_CDS9622	MTKLKATAVATAALAILIKLRCSRRNGGYGSNGYGGGGGSGGSG GGGGGGGYASSRRDDDYDPYGDSYGSDNRYANNGPPPPPAIRTRSPPPVRNGGYAQAQ AQASRQPSRQPSRPRMGETNAERQINQVVDVIKEEWPALCEAECIPVQLALQLLDNSS VGRAHEYRNFKKTHKFLQESLKNIVNEHHQGFNSSIGTFHKIQGSIQVSQKRVRALKE SLASSKANLCQSDPELQKLSKTSQKYDELIQLFDELEELRQVPDQLEARISEKRFLTA VEVLQNALRKLRRPELDDIGALSDLRSYLANQETALMDILVEELHEHLYLKSPYCQER WQSLAKNQGTTHDSWGDSVTVAPFHQLMEAIDFEQTVAEDPARNPESDTFYYIGLLVE ALNKLGRLQNAVDTLKQRLPVELFNVVNETINEVDQRHPSSLRGGSSNSHGLHIYGSR ETKMRADVIYDLLWTLYGKFEAIAEGQRVFHESIKALIRREGAGNNSALLGSFKELWN LYQNEIRSLLHNYVTTDADVYEFNSSADVGAAIGGKKDGKKDLFKFSEADVKSTEMTT EFEALENIIQSAVPGLTSGGGRGGDKKASLIGIRSFMDGGGSRKESESSYENRQTAKT YKSLVEPSVFNMSLLLPPTLVFLQRLKNIVPPGSDLATSTLTSFLDNFLVNVFQPQLD ETLAKLSEIIFGELDSFLQDQDWRKVAKRPVLKGTTAFFTVVTAFCKMLATIPHDQAL STLIITQMMRYYERCLAWYKSLVTKAQEQPSEQHSMRASAKMALEQSAINEIVKKIWA GEKSDPELLEREIQLLMEQTSENPFELSDIIQDRDTISSLCLLYTSMKWLSIKIASLR HITNQDTDSSRPNLPRQSNRRWTLMNDPGKAADDQGPVHLPMTQETVVTFDGILSSYE DLAQAALLTLRMESRCKIIYSLHIVLSPETAPYVLDQEVREPDPEILSLNSELVASDE TIVRYLREKEISFIRTGLGLLVNRYLVGNARMASPMNSNGCGRMQLNILVLQQNLKNI EDGVNLSRTSNYFDLFDKGPDAIVAKAKEVSEIQQKMAAEEDGAAPAGEVFTYDELKD LMELCYSQQLNDPERGVTAAAKREMADKMLNLSEFLWQS
SAPIO_CDS9623	MEEERLWKFRKPEWLNSIWARNAGVYAAGGLFSLAFYVMLDSAV WSKSPKNGAEDVHVAFVDWLPFIFSTLGMLIINSVEKQRLSSDWNNGFGSSGVAWQAR VVLFIGFAALAGGMAGGVTVFVLKFVVKDVKMPALGMGIENVVSNALVGLSSAVLWVS QNMEDEYSYNLAL
SAPIO_CDS9624	MSSSPANQLWDPSRGIRFTARQDVVVYPDLSTYRFYSTEISGEG RFSNAATDATDAPDEPREPSPAFNSSDIPATHDVSSFDSETETVGSEGAEVEPPVTSL DYNISTKLFKDAKAAKEGTPGSFWSYTMYRGPLVDGIEKRVKVHYCKTKFTTERACQY FLDEKVIGFDIEWEAHATADQGPRSNVSLIQIASPSRIGLFHISQFPVRDAMVAPSFK KLMEDPGITKVGVAISNDATRLSKFLKIDCKGLFELSHLYRLVKYSRLGMPRMVNKKL VPLAKQTEECLGLPLFKGQDVRSSKWSKPLNMAQIIYSASDAYVGLQLYYVLEKERES LDPCPPRPFHAELKLPIRLSYNVTVANTDGAPDADAGDAAALGEESTILTETGEADAA PEAAVDNNPNACTSPPSDRVRDKRPAKKPRVSKRVSSSDDRIVAAETWVRQYCAERTK VNARPAQLRAYYVWREDNSLDPQAVAKLLRDPPLQTSTVVNYILMAIKLEGLAYDKDR LRNEVLSHVPQSLYKDLHQATRDGEPSQISRDNSTVEIKATGGGKPVWLCLADYAYQI RKPRQVLAHVKKRSDSYQQQFTLDKQGPRQGEDPVPDPDPVPPTVSLITAPEPTTTTS TEPVVSHQSTPRPNYLAHSRSLSRDTLAAITRAALLSTTSSPNPPPDPGDNAAESEQA SAQEIERSGTPASEILSYEEFPSFESYATRSTFSLTRSLSLSRAGRATKPANGTSPPK GERKPGLFETWLGSPKRTSRAAASPSQTPAQAKADRDAWIGETLSKTQICLWAGPWRC RPSGEFVCPCGEHKMDGDSEWIRVIEEERTGGRSRVGVLRKDLA
SAPIO_CDS9627	MSFPEKPVAQLLGANGPVHAVTYSSSPGTYILTGSSDRSIRLYN PSTTVPAPGNTTTTITPGSRSSQPSVPQGKLIQTYSAHGYEVLSISVSTDNERFASSG GDRLVFLWDVATAQTIRRFGGGPHGHSARINCVSFGGEGDSVLVSGGFDTSVRIWDVK SQSAKPIQVLDEARDAVTCLVVRGPEIIVGSVDGVVRAYDIRMGTCVSDTMGASVTSL DLTRDGTAMLVGTLDSKLRLMDREKGTCLKTFEHGAWLNKELRVQAVLGGRERYVVAG DELLGADVATLESGEGRVWAWDLLSGKVVARIQVPWGPMGQQNRKRVVGRDGKEKRQN NVISCVAWREGGWGDQFCVGGMSGVVTAYGAG
SAPIO_CDS9628	MAVARPVRALGLAAIVLWCFFLYQMFSPTVQPIAPSTDNPKLEN MEHDPMGDPTGEPEGVLHRASDRYAPGIEDSDRISATLLALVRNEEMDGMVQAMEDLE RTWNHKFNYPWTFFNDVAFSDEFKAKTSAATKAECRYEVIPKEHWEVPSWINSDLQDA SAKLLEDSGVQYAKMTSYHQMCRWNSGMFYKHPALKDVQYYWRVEPNVHFFCDVDYDV FRFMQDNNKTYGFTINLYDDPKSIETLWPETLKFIAANPDTIHENNAMSWLTDSIRRP NHNAKANGYSTCHFWSNFEIGDMNFWRSKAYEDYFNHLDRAGGFFYERWGDAPVHSIG LGLFEDANRIHWFRDIGYQHVPFLNCPNSPKCKGCETGKMYQGEDFLRHEDCRPNWFK YVGSG
SAPIO_CDS9629	MAFQAELAALTGDLVKAITGVSQKSQAKKFSQYRERAVRRLLTH NFLRTNQFEVEKTLNGLEETFRIHHREALADSLRERLNTLGTVEAQWHPDFLHLLLEL SDRPLQNTRLEDLDDLRPPAPEPEPQLRWEDIAKEDGWANEPDLWASIDFHDSDGDAY DEFLSDSGSEPDSPVSEQVPDPTRTTEDLVVDCQDRPTLDALTKAQEWRLHTPFQLSE DKSRKVAIDELQVLREFLSMLRGHSTTLFSKDYVPNFAYQISDVSWETYKSLITSFAQ TGRKLGVLRAFSGRFQTAPHIQVFQDCVTRVLHSFDGQVTDIEARLIGLEQDVIVSLL AVSDELRAPLEPLNKLSEILQQLEEPNQGSFRYLELLFQEIGIAQVAGRRATFQVLGR IFFDCFRYYMRPIRLWMQEGELVPGDKIFFVSQSATHVPLNQIWASQFRLRRTADGSL YAPAFLSPSANKIFIAGKSIVILKHLGKYTRVRNGGLGEDKTPIFDFDTMFASSSDLA PFTELFDSAFERWIESKHQATALALKNILLESCGLWTDLEAMQVLYFMSDGYLADSFC TSIFKKLDALNVGWRDRYAVTALAQEVYSSRLAHHRISVMIEPRGRRIPAITARDFVR KLLPEVTLSYTVRWPVQMILAEESIARYKSVFTLLLQLRRAYYMLKKHRLLANDVTDA ENWDDRSTYYLVRSKLLWFCSTFQSYLTTLVLAPNCGRLRADLEESHDVDAMTEVHLA FTKRVLDECCLGSKLRPIRDGILDILDLTIQLEKAYVLNALKESEEMQEISRLSVISS PARPPLRATPSRRTTASSRRNADTSDDDDDDDDASVHSRLDDSHDMRSFKDIVLGIHS AFDRHLKFVSDGLRAVARATSDPAASKWDLLAEMLETGIRGTRFQGWA
SAPIO_CDS9631	MNAPDRFELFLLGEGEKKCEEVPFTAIPNCSDFVIKKEDHTIGN LVSEQLKKHRNVLMAGYKVSHPNVPELFIRIQTDGTITPKEALLSVLEKLLRDLNHLS QEFTREWELRRMVTEGEQNQNGI
SAPIO_CDS9632	MALPDALSDMEHPCLHPESRVLIIATGGTICMQPTPDGLQPMGG FLESAMAPRPCFNDKSSPHVQLQAFHKGKELSLDSLRTPPSAYSRHIRYGILEFNPLL DSSSISCQGWKAIAETVKENYSLFDGFVILHGTDSLSYSASALSFMMSDLGKPVILTG SQAPIFALQSDAVDNLLGSLIIAGTFVIPEVCLFFHHKLFRGNRTAKVSASSFEAFES PNFEPLAKVNGLGIDVNWTLVNRPKRLSEFRISTNLDTAHVACLWIFPGIQPEMVDSV LRLPHLRGLVLASFGMGNTPGGVDGGLTRVIREAIQRGVIVVNVSQCTSGFVSPIYGP GTELGRAGVIFGLDLTIEAALTKLSYLLGIQGLTPAEISQQMSRSLRGEMTERSLPVF SHPAESIDAAASLLTAADAAFTALGYAIRNGDLPTVKDILAGDEVTMLKKVDYAGNTA VHLAALGPNPDIMRLLLEKGASVHLRNWADNTPLFLAERAGNKQCAMLLRETGAHLWR NIDTSSKQNGDDSGRN
SAPIO_CDS9633	MQPIGLRPRLRARRSLVDLLVGSGQNTNHVLSPSSRPPNPELVA DALAQAAAEEMEEMSPTGKVVDMDITTLKERDEIAEEPAEESIPDSAMGGQKQMVESH PQTTVMGIRDLAFEAAPPEQGDTNQHLLVQTPHAAAATTAETEQFEGSLGETPAESPI LGPQTAATGELEPLPAAELGPKPPQEQQLKSLQDLKLQPSAECDFHKSAPDLPNLDHY TNSASPKSPKTGKTSSPPAPGPATTSERAQPLARQDIEAITSCLVLDKASLVSIPPPP PPPQRPHSPPQPPPLQHRTRPAASPTTTPILSGEPSPSVELSATSETESRDPVKMASN SEKRAKAKALAASSGPESFKHGVIYSVSGPVVIAEDMLGVAMYELVQVGNQKLAGEVI SIREDQATIQVYEETSGLRVGDPVRRTGKPLSVELGPGLLDNIYDGIQRPLAKIAEIT NSIYIPRGIALPALDRTKKWEFTPTLKVGDHISSGDVWGTVYENSFIQVHRILFPPRK VGGVITKIAPKGEYTVEEPLLTVEFQGQVSEYPMMQSWPVRVPRITNEKLTPDQPFIV GQRVLDALFPAVQGGTIAIPGAFGCGKTVISQSVSKFSNSDVIVYVGCGERGNEMAEV LKDFPELTIEVDGRKEPIMKRTCLIANTSNMPVAAREASIYTGITVAEYFRDQGLNVA MMADSSSRWAEALREISGRLGEMPADQGFPAYLGAKLASFYERAGKAVALGSPERVGS VSIVAAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSINTKLSYSKYTDVL DKWYQGDHPDFARLRLAVKQLLTKSEELDQVVQLVGRSGLGDEDTLILDIARIIKDDF LQQNGYSDYDQFCPLWKTEWMMKLIVGFYDESLKAIGQEQKWSDISAATSELQNKLRR LKFEVPTEGKDVICRRYADIHQEMTNKFADVLGE
SAPIO_CDS9634	MRFLRVACVALGLGRAVALSTAAPDVEPRAELQSLVERGLAEDL WDEIKDGASCAGCEAIIILLKGLALLGDKIFVKTLQEICKLSNAEDDDVCEGAIGLEG PIIARSIRNMKVPSQTSKLFCLNFLGVCDFPAVTPFQVPLPSEKPAGLTRPGPSGKAP IKIVHYSDVHTDHLYTPGSTANCTKPICCRPYTKDDAPGVSNSPAGPNGDHHCDTPIT LEQSMYAAINEFAPDAAFSICTGDIVDHTVWNTSKEFNTISINGVYSRMAAALGTVYG AAGNHEAHPTNAFAPSSINSDAQWVYSLLSNAWTRWIGADAASTTQRQGRYSILHPGS KLRIISLNTNMYYVQNYWLYQRDMERDPESQIAWFASELDAAERNKERVYVIGHMPLG DRDAFHDQSNYIDQLVARYSDTIAAMFFGHTHVDEFQVSYAAYGNGASRNVNDARAIS YIAPSLTPTSGMPSFRVYSVDPDTFAVLDAVTYIADMSKPEFQTSTPKWQKYYSAKET YGPLVNPPVTDPAEELTPAFWHRLTETFAANQSAFDGYVLRKSRGWKADDSSCAGACR DNEVCYMRGGRAENNCYDPEPGVHFSKRALNPVEERDDCGISVSRATIGSLAVRRDSL RLLKKRALENNAPLML
SAPIO_CDS9635	MSRRTRLQSEASPAPPLSDNDSKSNRAKESEVLFPVDKSLPDNE WPTFLLKDAAVTSIRGNEANENLLLVETRGPFKVQGRLLVDFEDKLQMSATRARRPPR NIDIEITGVRMSIGWIDGPRIWVASDYGFFELIHASHEYAPMFNSMIEAVTLYYSVQA IYGEAEEAGEKLQGLDEVLFRYMLHTGEAIVREEAINRCHEHAQFLASHFEKEEEFHW AGKDFTKYIKGIWVGPSPILPLAHPLLLYQLGNRELISWQRHQKKHPGTLPHRPLPYL QPPAPPNDEQQAHKPSRARSVRQESTASAKSQSPATKTAETQRPTSPVPAARTETPKL PAATAPAPVTSSERSEAIALKLYQAIVETDELDKMDAKQIGRVTLPRVGGNVFYKFKF SAYKGVPEAMRYYAQDLVRLMNADNALAAKWRMTPFYATLASIDVPLLPDELQHIRPE QIDTSLVRRLPKNANGDPPAPSNTAPTAKPSGKAPPPAGYQSASDTSSSLTRPRRGRL SGKVAGLRLANPKKRPHSDANSPASSGKGTTGSKRSRMAVNDLEEEEDYGGIGGEDAD GMNIESESSESSEDMAVSDGEPDQVPARIVLEVEDLPSTSPMGPNGTWVCENEDCGHV IRCADEPEGQEAVRMHLHEHEDRSRKVRLAMAEGRATGHPSIDYLLEKLKQVGGREQA KRDPNRPQAIQKKHGLLF
SAPIO_CDS9636	MAKDAILDGVFAINKPVGVSSAQVIRDCQTQFNPSTFFAPMINQ EREKRAAEGPKLFNRRSKAKRDLRVKMGHGGTLDPLASGVLILGLGSGTKSLQSFLDC TKTYETIVLFGASTDTYDRVGRILTRRSYAHITKDMVLEALGSFRGKIKQIPPLYSAL KMEGKPLYEYAREGKPIPREIPTREVEVQALELVEWYEPGTHSHRWPTEEAEDAERQL AERVWKQQENRKSLTPEEEKEEAEALAAHEAVKRKHESDVDSLVRDRPPSKRQKKMNN RNPRNEKEVLMSGALGQPSNNNSDNSNSNKGSNLIPPTDPNAPPPWKGEGPAAVKIRM TVTSGFYVRSFCHDLGVKVNSAAMMAELCRSRQGAFEVGGPNCLEYSDIEKGEEVWAP KVAKMLANWAERGNAPVDAAPLVVDPVQVSNEVAAEKARESSTGPDAPLPLPADAETV PQSVESEEVAVQASASNGVEVEGLAPPKKDDDEVSWNGFQD
SAPIO_CDS9638	MASDSKVPTTAVPGTPLGLAIHYHAGHGTHIHEGQIVSSLLGRV TVTQAPSKGPAPLKRLTKITSRTAEELPTISVARHERRREVLPDVGNVVLCRVVRLVP KQAIVSIQQVGSTVLDTEWQGVIRAQDVRATEKDKVKIYESFKPGDAVRAQVISLGDQ ANYYLSTASNELGVIMATSEAGNDMVPVSWKEFKDPVTGVSELRKVAKPD
SAPIO_CDS9639	MAPPKSSSTGCGGCSITGWMKFLAIALVIFTPLVSLAERYHDSF CIFDDKELHELAQRAIAAHPEDTKAIVDFIVSDLHEKHPKNVNLHQEWIFNNAGGAMG AMYVIHASITEYLIIFGTAIGTEGHTGRHTADDYFNILTGTQLAYTAGTFEPEVYPPG SVHHLQRGTVKQYRMPEGCFALEYARGWIPPMLFFGFADGLSSTLDFPTLWRTTYVTG REMIGNLLTGKF
SAPIO_CDS9641	MGVAKRTRKFAQVKRIIGKRDARLKQNQGKDAAINKKKAAEAAT DEVVREVKEMPVQMFFSHNTALVPPYNCLVDTNFITHSVQRKLSLVQASMDLLYAKVN MYITTCVLAELEKLGPKYRLALRVAKSENFEVLKCQHKGIYADDCIFDRVSKSRIFIV GTDDKDLQRRLRKIPGVPIMKVGRGKYVIENMPGAPQ
SAPIO_CDS9643	MVDQPSDAKAPDPHVQSTSNSDRDPDEDRISVEKEEIKAGAEVR RPELNLIQSHATDASATTQATTVPSHTDPKKKKWYRTNPLRWGESPPLPTERLPCPEH KAGFFSLLTFQWMTPLMTVGYRRPLQENDLWSVNPNRAAEPMTDKLRAAFKRRVAKGE KYPLLWAIQETFRFEFWLGGLVQLISTILQVMSPFTLRFLIQFATDAYIANIQNLPAP PIGRGIGLVLGVTAMQILQSLCTNHFIYRGMMIGGEARAVLIGLIFEKSMVLSGRARA GGAKEQDAGAQEAEEDSEKKDQKKPSDDEKKKKGKKGAGNTPGIAGDGAGWGNGRVVN LMSVDTYRIDQASALLHIAWTAPIGCIITLVVLIVNLTYSALAGFALLVVGVPALTRA IRSLFVRRKRINKITDQRVSLTQEILQSVRFVKYFGWESSFLKRLEDIRSREIHGIQV LLAIRNGINAISMSLPVFASMLSFITYSLTDNSMKPAQVFSSLALFNGLRIPLNMLPL VLGQAVDAWSSIKRIQEFLLAEEMEDTVIHKPDAEDAVEVRDASFTWERTPTQETEVK KAAGDKGRRPASSGHESDGTSTTLAEREPFKLHNLDFSIKRNELVAVIGTVGSGKSSL LAALAGDMRKTSGEVILGADRAFCPQYAWIQNATVRNNILFGKDMDKDWYKEVIRACA LQQDLDMLPNGDMTEIGERGITISGGQKQRLNIARAIYFDADIVIMDDPLSAVDAHVG RHIFDNAILGLLKDKCRILATHQLWVLNRCDRIIWMEAGRIQAVDTFDNLMRDHAGFQ QLMETTAVEEKREGLDQPGAGDLGAGDPDKKKKKKKGAALMQQEERAVASVPWSVYSA YIRASGSYFNAPILLIALVIAQGTNIVTGLWLSWWTSNKFGFSTGQYIGGYAGLGAVQ VLLMFTFSVLLSIFGTTASKKMLQEAVTRVLRAPMSFFDTTPLGRITNRFSRDVDVMD NNLTDAMRMYFFTLSMLLSVFILIIAYFHYFAIALVPLFFLFIFSASYYRASAREVKR FESVLRSTVFAKFGEGLTGVASIRAYGLQNRFIKELRQSIDEMDAAYYLTFSNQRWLA VRLDLIGNCLVFTTGILVVTSRFNVSPSIAGLVLSYILSIVMMIQFTVRQLAEVENGM NAVERLRYYGKNLEEEAPLHTIEVRDTWPEKGEIIFDHVQMRYRENLPLVLQDFDIHI KGGERIGIVGRTGAGKSSIMSTLFRLVELSGGHITIDGVDISTIGLHDLRSRLAIIPQ DPTLFRGTVRSNLDPFGEHTDLELWSALRQADLVQDQPPAAPTSPTTTTTEPNPDGTT EKELPPSSPQARDQQPSIHLDSVVEEDGLNFSLGQRQLMALARALVRNSQIIVCDEAT SSVDMETDDKIQNTIATGFKGKTLLCIAHRLRTIIGYDRICVMDSGRIAELGTPLELW VRDGLFRGMCERSGIRVEDIKGAEVEKED
SAPIO_CDS9646	MSGFEIAGVVLGSIPLVISLLEHYQGGLRTIQRLRKYDRELQSL IRNLRTEQAKLQNVCEKLLEGLVPLSRIDEMVENPFGDLWSDEEIQKKIRARLWRSWG VFEQTAIDMNAAIEEMMERLGGKDKASWLDGTSISRELKRMNFTLSRSAYADIMSTIK DGISSLESLTTTNIELEPPRRLRSRVKLLSVLRGVSSSIYRAIRSSLTCTCKHDISLR LSSSHGEITPADDLERVIQSIKFHLALSQSFGGEDPEADSVKPQSWEEVLIQANPIPK ISHVHSHAVSACIAKPTGRKRMTVSFATRSSSSCTTLVETPTTTSLATSLSTSLSGLT LQAKSRSFSGSDSCLKLCEELKRFLAHGKSLDIFGTIIDRPSLNPRSYNIFLPSILSF ENPSPLQMVSLRDVLEGQGDLQPPTYKERLHLASAIALSVLQLHNSPWMPPALGSRNV FFLKRGDSVYYDHAFVMADSPPSQAWAKPSCIIRSPALLALGILLIELIRGQTIDSLR TPKEMLDPESSVLADYMTAERLVHDIYQSSSNYASAVRRCINQQFKTPDLNLEDDDFC QEVYAGVVALLEEDLSYTR
SAPIO_CDS9647	MGDSSISILVTTCLRLFERLIALEPQTFGVSERSALAAFEEEHV RCRVWAGNIGAHKSGRSSLQYRLRDASHLQEQVVSLFDDLTALLEDAVAIVSGDKIPW DRLEDDDDDNGEFVFDDGDSKRERRDNDFPETELGQIATDVADVINCLLRLSVAIRNP APHDRFKASVPVDTSHFEAFDIQYVRDKFREVDDSLAERLGKAISRRRQYFKYRESHH NKLVAGLEAENQTQRDAESTIASSIPDRMKTTSFNPTLSAMDEDALSDSGVSQTSFAS SNADDAKLKVPPLPREADKGPFECPFCFMMIAATNTISWRSSDQGIACPLCEEEPLSS FKQYQRHVGRHQEQLALFALPSLTPRENETGDEHNSNLSGIDVESEAQHQPHEGGEGS AAAESDHQPSFPDPVTESVAARGPNSAFEAPRELGGVINLGDTAPEEEWYLLELSMKH KDKKGTAMWDSMAVDFAERFGRKPEKATLQMMFRRAKQRWVTWPPKDIPGSYGDLSYG DDFEFAATLRAGLKAAGFDDNIVIEDAEYRRRDSPPGSNDELGPGTTSKSSPVLDREP VADERGSMFRGEKKKKKKSRRKQAEDLLPQASFSDVASASHTGRFGDIGLMKREDLLP QASSSDVASASYTGRFGDIGLMKREDLLPQASSSDVMSASYTGRFGDIELMKREPNIG WVDSSSLTNSGPGNSSTVQASGDLANMGYVQTHHLHRSQQHQYVKKPNLSGNQQNISG GGRRSAQRFSRWGPPAPSAAKPVLTDSRQTSMESMSSAPPDKAAMLLARLGRALGPTS YDRYDRIRSGGVATAPQNSGHTTTKSPGRDQGSHQRAGQTLWPHSPGSKEDFTKARTL DHGPEEQKPRRKATDEVLDRFWDFTDTSLAEEERKYRLSLSDSLGKSGPNKQQATDDA MEERPTHTRMARRHVSLETLRTFDIEHTIDEEDADYVIVKRWVPEWEQADLWEHTKRL REKRLQGGPSAGEAKPNRSFPTKKGGKKTTKKEPNWLFPSKQGGGEVKAKSKSGSFIS KDLHGEADSS
SAPIO_CDS9648	MRRTSVSLPTKHVAHDPHEKPGRYGHGVRNHGLFASLKAIWMSQ AQKARWIKTAAIVFVLLACFYWISPKGVDLYNGAVSGSGSGNSQGQVPSDSSYGTDRC TRSSSKDKPIVQYVLMIDAGSTGSRIHVYKFNNCGPTPELEHEEFKMTEKEVGGLSKY KDDPEAAAHTLDALMEVAMRTVPDKLKACSPVAVKATAGLRMIGAESAQKILDTVRTH LETKYPFPVVSKEDHGVDIMDGSDEGVYAWITTNYLLGKIGGPDKSPTAAVFDLGGGS TQIVFEPTFKETAHGGMPEKLAEGDHKYELSFGGRQFTLYQHSHLGYGLMAAREAVHK ALVHGIYEAQEEDSNWMKKPVVHPCIAPGMTREITVKMGDGHPLGEAVTVNMTGPSHP APAQCRNLAEQILNKEASCTLAPCSFNGVHQPLLSKTFSSEDVYIFSYFYDRTKPLGM PDSFTLREMHDLTNSVCSGEEGWDVFSTVPKALDELRDRPEHCLDLNFMMALLHTGYD MPIEREVRIAKKIKGNELGWCLGASLPLLEPGSGWKCRITEVH
SAPIO_CDS9649	MSAADEGLLAKVQGLSDLELAFLVSLMSREHCIITAHPSALDDL LEELQLIASKTFGLNTVIVDCQPTTTLDDFVSALLLPNHPPPSRTPSPFLPRSDPYFH SHSHSHSHSHSHSHTRGAGASGLAPLSPINPPLSPASYTPGETHPAAAATTNPFSIAK VVLARNLDRAPKAVQIQALELLRTRRVFTRTAVHSAPKTFLFVPVLATGRGRNGSRTT IGLTPQLNDLFFISHYHDLEDGFAHLDDEFDVGDGTETASTESVVVVKRGAGVDPKID PLLSEADISRLSELSQNVKIDIDVLRYQMNIISFLRMHRALSRGASPTATKHFDRLVR CLAPLHKVDYVTPALVALAARKIYLHRVEVVEPAHERSVQWGSKIEAVEALLEGVGPE DVIEDVLGMVAPPL
SAPIO_CDS9650	MATRKKVLLKVNKKFSASYKATIGADFLTREVLVDDRQVTMQLW DTAGQERFQSLGVAFYRGADCCVLVFDVNNSKSFDALDSWRDEFLIQASPRDPDNFPF VVLGNKIDVEESKRVISTKRAMTFCQSKGGIPYFETSAKEAINVEQAFEVIARNALAQ EESEEFSGDFQDPINIHIDPDRDGCAC
SAPIO_CDS9651	MAANEPILYTPADTEPREARLSTSVGRPSSDGHRLSMQSSTRKY GGAHGLLARLGLGGVARRTLGICLLLVTVFLWTLANFLASSIYSDNTYDKPFLVVYVN SSAFAISLGVMTIRYIIKNGWPHFKSEAAQVWQEYKTGVRSRIPTIEDDDLTVGEQLL GDEDGSLEIFDPQFSDDGKLTFLETAKLSLEFSMLWFSANYFASACLEYTSVGSVTIL TSTSSVWTLIFCALMRVESFSTRKLIGVLASLAGVVLISTVDLTGSNEENRGSFPHKT LAQIAIGDSMAFVSAIIYGLYVTVMKLRVGDEDRVNMPLFFGLVGVYCLVLLWPLFFV LHFTGLEPFVMPPSAKIWAIVLGNSVASFVSDISWAYAMLLTTPLVVTVGLSLTIPLS LIGEMIQYSQYSSVVYWIGAAVVFVSFLFINHESHEDPASKAQESVDGV
SAPIO_CDS9653	MTATMDLDDIPTSSQEPPLASGASHSTPNRNAFLSPPRRRERRN PSVTPRRFARFFGPMLAGNSSTSGLDPRPALNEMGDSVTNHQGSTVKRKLFESSSHSL TLSLPEPEPLMKRVRVQDSNPHPFSDENIPSFSHRLSGAQHTLEDDAIPSSPCVPSSP PPFPQDIDDLQPKTLNDFWPCSRGARARREGIMKSFGRSFENTSDIDALDDEGMDIEE PVPPPQPIKRFRATNFHSRLLVREFGHSTRMLPSTYQCPVPDVHPDLSSFCSRSNDVI QCTSFKNESQLIPFSLATSTNQGKLVTAVGDEEGYVRFITRQNDDELAPEYFWQAHAN AIMDLDWSPSGTHLATAAGDRSARVLDVVSQSLLFNLTGGHDSCLRQVKFQPGNGGGH ILATSDRTSRIQIWDTRYKRSVSTIPEEVLVQPDGTVLSESTSSTFGDPINTIWAGHY RKRDYNLASVTSLQWLSPGQSHLLLSASEADSTIKLWDSRYISYTRDDKPKPLAYTAQ PSDHIRRPYGITSLALNSDASRLYAVCKNGTVYAYSTSHLILGHGPELATLETPRPRN GRNVEGLGPIYGFRHDRLKVNTFFIKCSILPQHVMGTEMLAVGSSDNCPILFPTDESS IRSQWPLLESHPSSTFTSSSQSDPTIPLSFSSQTSDLTSSFSPSRTPIVRNGVALVEG TSREVSSVKWTPDGQLVSISDDYSIRFWSADAERARYLRNCGDFGGLRFGAGWADVVD TPYDE
SAPIO_CDS9654	MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVLIDLCAAPGSWCQVAAETMPVNSLIVGVDLSPIKPIPRVITFQGDITTDKCRATI RQHLKTWKADTVLHDGAPNVGTAWNQDSFNQAELVLQSMKLATEFLVEGGTFVTKVFR SKDYNPLLWVFNQLFTKVEATKPPSSRNVSAEIFVVCRGFKAPKRIDPRFLDPRSVFA ELSNPTPNNEAKVYNPETKKRKREGYEEGDYTQFKEVTASEFIQTTDPLAILANCNTL SFAQPPNGDVALAALEKLPETTEDIRNCCADLKVLGRKEFKLLLKWRLRVREIFGLAT KKTEETTEEVAEVESMDEELKIQEELQNLKDKESAKRKRERRKENERKQKEIVRMQMH MTAPMDIGMEQDNQMGEGSMFRLKSIDETDALRHIARGKMATFTKPEKKPELDSGIGS SDEEDETEDRLEQELDAMYDQYRERKSDADPKYRAKRSREEFDDEWEGLSAVGSADEG SDDSELEEESGDEMGDDSEGIGKSLLRDLDDEPADSALSKRARNFFNQDIFKDVLGIQ DDEDTEMTEAPKKEKKTKKEEAKKKKAEAAPSAKETTKAKSQAVESDSDSENSEEEDT FEVVKNNKDDDWEEEDKRRSDGKIDIDIVTAEAMTLAHDLATGKKTKSDLIDEGFNKW AFRDRDGLPDWFLDDEVRHDKPLKPITKEAAAAIKQKLRALNARPIKKVQEAKARKKF KAAQRLEKLKKKSDLLNDDEGMTEREKADSITKLMAKANQKKPRKPVKVVVAKNANRG IQGRPKGVKGRYRMVDPRMKKELRAQKRIAKRK
SAPIO_CDS9655	MTPQHTNADAGPGRAPNPLLGDLITTYNDLNSTVIDELDEEPSA LEFMRYVARNTPFVVRGCVPDWEAFRLWDKEFLVDTMRGRKVNVAVTPRGNADAPTQM EDGSLVFAKPHEEDQDFEEFLNYVINQEKNPSSQGSEIRYAQTQNNNLHDEYSPLLPH LPTTIPFARIALGRAPDALNLWIGNSRSVTAMHRDGYENLYVQIRGRKHFVLMPALCA PAVRELRLDPATYRREEGTGKLVLERDVVEGGDEDARVPFPTWDPDGVAVGGREGIEG RGTVEGNVFAEFVQPMRVTLEPGDMLYLPAIWYHKVSQSCSEDDEGFVLAVNYWYDMD YSGPLYPLTNLVRTMSSALQGPK
SAPIO_CDS9657	MVKNFPRGHRFRTLSFNGRLPHHDSSSSDTKSREDENEEYKDSG ESDETSDRRLDFDSSVVSSSAESRETGEQETPDIEDSSESIAASPGSSPHANDHHRSA SESASLSGSIDSEGEYSSERKGRSVSSGAGAADYPRDSLKEDGETWSFIAEVLGTGKR RAQRRFKKLMRKLKSAATQCRKTNYHSKRSQDEESDVGRQLYVENQIKENLHPPYLGS HYTKRDCEVLASMDSKMKRGKWLEMQANFFNATGQMLPLSVFRDRCEAAEAEQYAVVR DGKISTWMAGLDQHKQLDPFEPSDRGTILS
SAPIO_CDS9658	MAKLPDLPPNYAKALELIDAAHALDPRQAADTSPFELHYAKHMT QWLTLRCPDASPALQLACRAQHFRRAGYLTWRAKLKSLAATQVAELLASPEIEPPIPA DDVSRIAGLIRKENLTTNEETQVLEDVACLVFLDDQFDEFEKSSGIDEEKMVGILRKT WGKMSDKGKELALGMELSDRAKTLIGKALES
SAPIO_CDS9660	MAVPGGSQQQFHQGTQFDLFEWHPKFQSCLRYFLDEAQYTPPVQ VVASFINIQLPFQHPIHPVYSYSPTVGAPGPAATPRPAALGPAPAKRALVPVNVTLIP YVRRLIVTGFDFPAVLHGFFGDDWAEGIGPIHETERKNYLFAAKSENWLKVKADYDMA DGQYVPFLKPLQEVTEKEIQSAEATWSEWLAMQDWMLGPRAPDITRASGVHIKQERRQ 
SAPIO_CDS9661	MTRPPGGAGFAQFFPAAPRAVKDRMTERERAERAKKQSHESSET PSPNSQIFTSHASSRADQPDGLGRPPDMPFSDTSLPPTDDSESIPGDIPNGTRSASSH ASTVSSIFSAPASALQAAPSASTRPPAAIHSPPTSSDSPLHSAAMSVAKPLPSHPNNP DISSREGYFHATDSPVSTNGQSSVYAGRVSARNPARAIQGIRCIYDPLIDRNLAKSSK KDTKPQFKEFGLDDDAPPVDPRLAKGGRLNYINVDFHFPKARLRHTPYNLKPYPFDPK TSIGPGPPTQVVVTGFNPLVSFSKVTALFSSFGDIAESSNKLHPEDGSYLGFATFRYR DARPSRQWPAGIPGADAARRAVRSLNGSRLEANRIRVEFDPEGKKSGRMLEEVLSKSR EKAEQALAASRAASAGPRNSDGVPAPPPTAPKGPAAHRQVPVGTSTTRQGSVAAPSWA PLSQSKRFSLIEDQPIEPQVADDPHIFISKTFVPVMATTIPHMKKRLKAFRFEDIRLD RSGYFIIFQNTSHGRHEAEKCYRAAHDTDLFTYKMGMKLRLPRPPRRESGSSTQNQNR SPAPDTKLRTDSSLRHDKDRERREVEADIEEEKKQRAKNFDPVMEAVAVVRREMVEHL IKHIRMKVAAPALFNYLDPVNHSAKRRRLNLEGPETDPLAGSFEDGDDSSRAATPNSR ADPIERRTGRLGVSALPRIRKAKGAGQRNVGFTDPFARKRPPTARNAFRSLHYRLKGL DSDVESDDENEQRTSLTRDTEEPESRPRSRMSTDDEVSKDDLASWGPGDDDSMTESSF VINDTGPAVRKRKLGLSVEAALKRQKKSDEELFGVTFDRVETIIPSKDVSEEPPPTIE IIEDKETPSRSETPVPPSAKGAKKKAPKTKKKTKKQLLEEQEALRKLQEAEVASVKTE KSLTDDAAVGDDQRKVTEEPEKPFPDENLYPTKPQPAMELPDDFALEISAFEQLSLGS RDAPDVARLCKKHKPSDLGDVGLWLWKRNRIRELNNVDGSVDRPVGIIEGYYVPNPTG CARTEGIKKILNSEKSKYLPHHIKVQKAREEREARVKKDGKDLAAAAAEAAKIAAEKL LAKGNSRANRANNRRFVADLNDQKKTLGQDSDVFKFNQLKKRKKPVKFARSAIHNWGL YAMENIPKDDMIIEYVGEEVRQQIAEIRENRYLKSGIGSSYLFRIDENTVIDATKKGG IARFINHSCMPNCTAKIIKVDGSKRIVIYALRDIAMNEELTYDYKFEREIGSLDRIPC LCGTAACKGFLN
SAPIO_CDS9662	MLAAHRDQENLVHSHQVPTKSGGSKHFAPKTPGARYPKTPLKVP LNDENGPHITGGKALLGTGRNTLGKKQALATPIGTRPRAPLGNKTTNAKARAAPPTGT VKGIVQEFEKSQIKQPSIQKPKPKPASVSPLKFAVRSDEQQSDDLDIEYAPPKPQDLP YESDVFPDGVLTFEGLKPENLLKGYYERYHDPVDENGIRLKDKRFEENLQKALKKGDE RILRDIENTDWSVSDVPETKHMARPQKSEQTRPLGRTSAQHPQTLNSRRAASALSMAS TVRERKPRPALTTVTQAKKPTSFLPSGRKVSKPIEPTKSLPESAIGEAVSRSTLGYSK GRSASSAIRARQTLARSVSGASVSSDSSDATITPANFRKTQEAKASQCDQWPQPQFLN IFDVNAESDDDAHTPNFDNIVDEFEDFQLKLDDN
SAPIO_CDS9663	MDRIKEKMNQLRLDADTANSKVDELQAKVKTLEQENLAKEQEIT SLQHKNNVLEGQVEKLEGDVDKYKKIADEGSGSLTQNEALQRRLQLLEEEAEEADKTL REANEKLRQTDVKAGHFERKVQALENERDQWESKYEEMAKKYNEVQKELEDFQREIGT L
SAPIO_CDS9664	MFATPRVKKSLLPPPIKKRKIEHTVEEISFNPDDRQEYLTGFRK RKQQRIKRAQEEAARKAKEERRELRKQMREERQREVEEHVQKVNALLRQSEVAGAIVN EESDSEGSEWEGIEDPPTNDIIDHEDEYIDEDRYTTVTVESVSVTRDGLHKPKLEESE DEGDTEEAKRREAEQTKEKKRPPKQPKKKFRYESKIERQITQQKLKAKKKARNQSR
SAPIO_CDS9665	MRLSFVASALALSSSALAFSDTSPFILISTARFADAPSQNQLQT SSQVEGSAKSFLESCPTDRYLVVLQPKLNTNDFRGANGKSVPNLQRALSHENVATAWS VASVAGEVSADGIADYINKACAKADKTPAVEQMNLPALPSQNSASKLAENDHALAESI KTVQSGGSYTIVYLSTPGEAPEVQREPVHQELKKRATYSQVVRREDEGDTERDTRPLF EKYEFFNKGLFMTLFASLFFVTILSFGIRALASLEVSYGAFTKEMGPGAQKKQQ
SAPIO_CDS9666	MRVLNLNFFSCAVKTCKVSNQSFPLHPKDAELAQDDDAKYRPEI LVGLLPRLDWAALRTTCTELGFPELPENPPTVEALQADEKLAADLHRLLMETHIVEGK LTCGSCGREYPIREGIPNFLLPSHLV
SAPIO_CDS9667	MFNVRRIFLSALLLLGVSMVFLAQPAEAAKGPKITNKVYFDITH GDEPLGRVVFGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKQFMIQGGDFTKG DGTGGKSIYGDKFPDENFKLKHSKPGLLSMANAGKDTNGSQFFITTVVTSWLDGRHVV FGEVLEGYDVVEKIEKVQTQPGDRPVKTVKIAKSGELPVPEEGIHVEL
SAPIO_CDS9668	MVFILGVNFKARMPVKKALECFYALGPTTSSRIMAKYSIFPLAK VGALSAKTVTALTAELSQMTIENDAKRILHENLKRLKDMGSYRGRRHAMGLPARGQRT RNQIYTARKLNRLDRRG
SAPIO_CDS9669	MSFKDRRSHLPLSKPESPAVDPNRPPGSVNGRSQSRHLTKKRVL AAALSLLSINGHNDLPILIRGWYNNHIYQDNFTTPFEKGGLVGHVDIPRLRDGLNGGF FWSVFVPCPADGADFSDENYAESVQFTLQQIDLATRLKEAYPSDFSGSVDSSNALDAF KNGQLISPLGIEGLHQIGNSVANLRRFQALGVRYATLTHNCHNKYADAALLEHPIRKA EPKWHGVSAEGKKLIAEMNRLGLIVDLSHTSEDTMIHVLGGRDDWKGSHAPVIFSHSS AYSVCPHPRNVKDSVLQLVKERNSLVMINFNPPFIACTESDNNNGLPKYVPEDANLHQ VVKHIVHIGNLIGYDYVGLGSDFDGIEKTPEGLEDVSKYPALVAELLRQGVSDEDVAK VVGGNLLRVWRDVDEVASRLQAAGAPILEDDLPSLWSDS
SAPIO_CDS9670	MPSFADSFWSADYAAGLGVLFGKLQQGVLENRQVLTVARMRAEA EEIYGQRLAEIAPAADKITGGFSKDDGASAYEGVRTNMDDAGKSHKKIAQNIRDLVVN PFSRWCDAHEARIQDSQDELQARIKAHDRQFEAVKKLRSNYLNKCRLVEDLEEENKLA FRDPESSPKAAIPEIKVSENQEPPIEEADEDIYEIGDEVYGAEQLKKILAHMLTTIKL GETKVPVLGTYQNTSAGTDIVEYLQRHMGTSSISYAERIGQDLVSNGFLRLVGNVGNT FANSSRMFYQWRPKAFQISGVPEKKLTTSRTMSFPVSDGSDSPVVGAVSEYLSNWNPL NNPHTNETPSERLQREAREADEKYKAGVQKLDEMRCELEEAIILHLKFLERCELDRLK AIKTVLLDFSGTVSNVIPSLQSTVDNMMLFQEAVQPANDLRYLIENYRTGSFFPKVVV YGNYYNKVDQQTFGVDLEARATADKKRVPSIVTTILTYLDNHYPDLEDDAAMRGVWLV DVPLTQTHKLRARVNDGKPVSPEAFADFDVPTVASLLKLYLLELPDSLISSHVYEIVR TIYSNTSPDTADAARVAVLQQTLSQLRLSNIATLDACMNHFTRLIDLTSADEAYISAL AANIAPCILRPKTETSLTMEEKHAYRLIRDLFAHKDAIFNELKRMSTPGYSGSLGRSA PRPRAISTDESNRKANMEERNRLLLEKAGAAPGSSRSRATSPAPGPRGHRRDRSTGGP ETRFPIASPTVPNERHRSSLGSGVGGFKRQSLEVPGSESTGSPVEAAAAANGSESISY ASRSDAALSESPIEKRNSLSRSGARFSTGRRIPVALPESPRQRSETLTHRAVTLEDKP MVD
SAPIO_CDS9671	MCSLYDLIRGLRNHKGNEREYIQNCLKECRSEIRSADMDLKAIA LLKLIYLEMVGHDMSWASFHVLEVMSSPKYHQKRVGYLGAAQSFRPDTEVLMLATNLL KKDLASTSIPIMSLPITTLPHIITPSLALSVQSEMLSRLGHSHPNVRKKTIITLYRLA LVYPETLLAAWPRIKERLMDKDEDPSVTAAIVNVICELGWRRPHDFLPLAPRLFELLV DGGNNWMAIKLIKLFATLTPLEPRLVRKLLPPLTEIIRTTPAMSLLYECINGIIQGGI LGSADDISGREEIASLCVDKLRSMILVDGDPNLKYVALLAFNKIVVTHPFLVSQQEDV ILECIDSPDITIRIKALDLVQDMVSSENLLSIVGRLMKQLRACQPSKQTQGFATQQSS TWTADSDEGSNNDSPRVSTSTSEALPEEYQIDIIGRILKMCSQNNYNSIFDFDWYIDV LTQLVRLAPAPRAFEQDPYLPASRSSAVDVTETIGDELRNIAVKVKAMRGSAVRAADL IVQQLLLDTPNQNSISSAALKSIAWLLGEYATSLASPDTTLASILQLIPRASSPIILI TCLQATAKIFALIAGDQYQPWTAERKSSISLLMARVIDAFEPLTQHPNLEVQERSVEF VELLKLTSEAVSGHPPSTDETQQDPPLLLTQAIPSLFAGWDLNSVAVGAQSNVPIPDG LDLDNPIHPDLERLLAEADLPFLKNEESDDFTSYYYKKPAATSISSEMSMPAISRLGG APEEFTSSYQQQVTEESYLDADIVARRRAERLDRNRDDPFYIGGSDVSKSSTPIHRIL QSTNGPELDIDSIPIMQLDIDKLAADTLPTSSARHHAKPLATPSARRQIVVAQDETLG GSGRSTPRTHDSDESRHGRGAGGRSKNSSSILKVSSSGIESLSLEAGAPTEDARARDD EEMAKAMKEVERLRLEMQRANERIQVAQGVDVEGTVVKKKVKKGTKKGVAGTKKKKKA APAAVGEAGPASGNGIEDAAIGGGDAVVVVKKKKKKVKPPVEIEE
SAPIO_CDS9673	MTSRGGARHITIKHHHPVHSSSEEEESSQQEDSSYDDEQDETWD GYDDDEVDPSDSASVSQDRRSRSRSRSRSRSANPYRQHRLYDRNSFHRPPPTQSDSLD QSDDYPAPWGARGGYGPPPGAFYGGRGGPPGAGYPQSTVGGFMPPGPGPAPYHQGNQV IPYNYPNPFTPMSSNGGANYFGDPRDSYGVAPYQPNGHYGYGGYGVGVPPHMQQYLQT YPPPPPPTEAPAPKPATPAPPPKEPSPSPEMIKMKAELQLYREEQRMKVEAEKRKELE EKIRKDTEEAIARKLEAMKLAQEETQKEIARAKREAEKAAREAIEAERRAQEEQRRIR EEEIKRVQREAREKFEAELKAQAEAIEAEKRAQEEQRRFREEEIKRVQREAREKFEAE LKAQAEAKRREEEAVRRAEEAARLRLEMERKLEEEARAAAKKREEEAIKHAEEAARMR LEMERRLEEEAKAKAAKKAAEEEAYKKRLEEESKIKAELEARQKIEKEKEEAAAAAAA AEAKKQEEEALKKRVQEETRAKVAAEAKKAAEKAPIKFKDAVGRKFSFPFHLCQTWPG MEELIKQAFQSVDVIGPLVQRGMFDLIGPNGEIILPQVWEKVIEPDWQITMVMWPPAN REKLHPDHPHFRLRGGIPGRPGISIQPPIGRRAGGAMGNPPPPPDWRGAPPKPPGGRS NIPVGVDIVNVEKEKRSKKSSGGAFLNWMSGTQPKKSSKKKK
SAPIO_CDS9675	MTPSLLRPQAYLSNKEVLELAQQAPNILRNNPKAFSTSPLVSLF TASETPELWMIYENLLIACLRTGDDQSAFEILERLIQRFGGENERIMALKGLAKEAKA ANNAELEAILKEYDSLLSGQAESETNIPVAKRRIALLKSIGRKSEAIASLVKLLDYSP TDAEGWSELSDLYLSQGLYAQAIYALEEVLVLQANSWNMHARLGEILYMAATELADGS SNRYFAESVKRFCRSIELCDDYLRGYYGLKQVTGTLLSDPKLLKPSKQADGEGMALPP KKTLEALNEKATAKLGEIVRRYSTGDVHWQGYDAAEIEATRQLLAKDEAQVVR
SAPIO_CDS9676	MGSHYFDHCLPEQRFTMSGCIPYLSGVLWYVEDWDQRRLILVYS PVEKYDDDFIFSALAKFVDDLPADALRVSISDDGTFLSSKSDITEDFAFVPFYPSLAD FPTGTATVSRGDLTEVDRLGLMVDLTTYQPRPGVTKKVVFKYFVNVANRASIWHETNC VMRIPKHPNIVPFDALVVDVVEGDDKVVGFVTQYVPGGTLDENDDRVFKLKYLKQLIQ TDSIQVFDFNSAAKLGWGGDEANDFVFGYDEACNDVKFVVFTLYEIITREFSFRREFY PNELDMTKVLMKREWEKHPDVKLDSPVTEYRLILEEWVNRRKTVDERINHFTKASEPL DWPPVPGFPSHDIAGRPLDCACQLRFLLVKEGRDFLKWQRPPTRDLPLPEGRRLLATG EIVSDDDS
SAPIO_CDS9677	MANQQLTQFVQGIIQDHSDAREGSFAVHWETSSPAYNCFAFAVG DDTRWLTPMNLLDLEWMYAQYGYFRVAEGSPQVNDVEVYARGGMPLHAHKISSALPGD RQCDSKMGQGPIISHSRGMLQSPMRHRSTERRYGTIVARYRYNKQKQKEWQEEHLTKT SRGRTILKHGAAATGSGAIIHVGDLGRTKSGRIVRKPTRYGAPIAKKGETVPVTREDG GNGGEAVGGD
SAPIO_CDS9678	MTVAEAAPLAPEVPKTGDDLVQQTEELNLKQESKPGEQDFPAHR SHDPEKNKKRSDPFQFGNRYLGEQDDVFEFNAWDHVETDDAYKEFAQAQYEKQRQSPA NDFDKKRFNTDPAKWWNLFYKNNAANFFKDRKWLQQEFPILEDVTKEDAGPQVVLEVG AGAGNTAFPLIARNKNPQLKVHACDYSKKAVEVMRSQEAYNTDVMQADVWDVTGDELP PGLTEGSVDVVVLIFIFSALSPTEWDKAVDNIHRLLKPDGHVCFRDYGRGDLAQVRFK KNRYLDENFYVRGDGTRVYFFEEDELTKIWSDKFEIDALGRDNRLLVNRASRIKMYRC WIQGRFRRK
SAPIO_CDS9679	MPSSKVPEIHGVVNFRDVGKSINGFLGERRLREGLFYRSARLDD ARPEDKDVLINDIGLKSILDLRSNTERIDQAEKHGAHRASRSPSATLPVEHYFHISLA GKAYERLLLGQLSWLTFIWIIILFIFRQRHRVVHIIVREVMVPRGLVGTQLDTMEHCG ADVATALRVFATPAHFPMVTHCTLGKDRTGLVVALILMTLGVPRRAIEYDYARTDVEV EPKRDVILKEIAYTGLPPSWAYTAKDMVERVETFIQEKYGGIDAYLDFIGVTLEERTA IRETLLY
SAPIO_CDS9680	MAHVQQEQPSSSPGSRRFRYADPKSLPSYPSLGLPSDASASAAA TVGWSRRQSPRPPSVKPTPERTVRSSAAAAASFAADSKGSQKRNQAVRELATNTGTTA SPHRNSFSQENWGSSAACLAFKSQSAQATQSTKMRPRLDSRSSLMAAQEATASRPRSM SSPLIKQRAGGVSDTSAGQKWSFVPELSPSAESGAIPATALDRSMYTSHPPVSIEVEE KRRASEIHSSAVALARQAYLQQQKLAEQQAHDDAYSDDMRSSTDLGQPEPVNLHEAAY KLAQERLEKLQEEFRKNRELQEQNTPDQIPAPLHRVANALRIRHRSSSDSDLQLRRHN RTSRATAILPPLQSKADEEQRRKDREAVLAAARKNVESQLRGIDESIYEETGKVPPCK LAEWAPRAEAIALAKVASEMPPPGKRDVGGGMYVAQDEIDAVAVRKVKPILREMDVRA EKEHERQRVLKEEQAEKQLEAKTKKVHQREIRDIYRKLKEGQKKKDKEREQVLEEEDR KWKSGDRVLRMEEVEAALVGPTAAPRAPSGTALGTAAVNASATAPVPAPDNRSITSTE IAEFRLIAMSGTQNGEAVPTHPEPSHALPPVQEPENASTPRSITPVEEDSPGHSKEPA LSPGKVKNWIKARLSRQKGSPKALEESEKGFVGGYTAKLRTPGGSTHSLVPVSSMREV AVAGRDSLPLGEARESEAKTGDAAAGPQLTRISSASSGGSDERFVDARETQSPATLSP TDIPTRAVWTPPIGKSRSPGRGSRFKEIID
SAPIO_CDS9681	MRVRFSYWVLPIISGVVWLATLLGLLLEWAVDEHKKRYPSMSPY ATIPFISNIGAHEMKPLFIAGCVITTVFLDLSFASDWWLRHRGRLVPNTTVGEKVFSG LSIVFAIIGTVGLIMLSIFDTANHQRLHNIFLGLFIGGYLISAIFICLEYRRLRRNHR EHRILRTSFHIKLGFVLLELALIISFAVCSRIKKRNAAAVLEWVIAFIFSAYVFSFIV DLWPAMHTKPERGSRVRRRDLGMPNGDNNSGAAVCPSSSGSTQLRDWTPMEMEEARHD TVPVQPRQAHMNRVSDNF
SAPIO_CDS9683	MPRIPLQTRASASAGTSMMLSLIYLALLLPSFVLSLGIEDAPKT AALDGLIIDRDVAGRSAEVLYEPQFVSFERTLIARQAENIKVLGNNSPQRFSMDPASI AFFAFSVDGLTPRAAPFEPDLESASSVGQSPNPLIEDLFKRQTERTVFISANVCRHPE RNTDDQPPALHLIVSSDPDDQFPNSTKATTQNVIFFEGSAMQSISISSGTVYFSIEAP PVPDDFKGEWNLEVAVSDDGWYHSYVEESGEGNGMLWAADVDGGSALLMTKNLTESRV EASVLMTTPGLPFTLFVDASQSRSLDGVRRSFCGLQNYAGIASTKNDKPTEQMLMSMT TRGLGNFPKQQFWFGGLNSSTKYTGILVKSKTSVLAKRQNTGANTGVIQVFPEFQFQT TEGDNCRVITDLEFCNEVEYAVPTNSNKFSNTSALAKAYDDFAKTMYANFEKAMQQVP CEAPVTARYSLARTCDDCKVAYKNWLCTVAIPRCEDLSSTNANALIRNAQQEFPNGTK LDESFVNNLRETEGPGVLFSRHSWIDEVIAPGPYKEILPCDDLCYQIVQSCPASMGFK CPVPEDDNFKFSYAQRSEGTCNFPISATFVSGGKTVVASGMVLIAALTASCFNYF
SAPIO_CDS9684	MSSAQDRVQQYVGVLDRELAKYPTIANLEKTTGVPKAYAAIGFA ALYLFLIIFNLGGQLLTNIAGFVIPGYYSLNALFTTNTADDTQWLTYWVVFAFFSVIE SLVSVVYWFPFYFTFKFIFLLWLSLPTFRGAEFIFRSFLAPMLSRYFQSPSTASNLRA RAEGAAKSD
SAPIO_CDS9685	MSASTDPSAIAKARRRTLSRASTASIHSISTQPNLDQSFADAQE VYASQWASSMHGAAKALVATAQMSPEDAILQAASHMQSAGREYQMDPSMDASMAHSVS FQHDGSFVRRDMSASLSMTDLGAFTDHDSQMMDAKNGDMMEVTTSQPVVLKPAASRSS ANNEREMQQLFMANKHRSLQDIARELHGNERGPNSERQRQVFAMLWINQVCARGKGSV PRGRVYANYASRCATERITVLNPASFGKLVRVLFPGLKTRRLGVRGESKYHYVNFTLT EDQADLVEPEPPQPLALPEVSSFSQSFNSFPAPANSVSADKGNIPSPEEQTGGAVQQL TNHTSSHSLYNVPGVTTVDQLHSTTAKTSLDLAFSPTAEEQLQQSEAIILPRIEPFLP KGTDPDAAKSLAALYRSHCTSLVECIRYCKEKTFFHLYTSFQGTLTMPVHKLLGSPSV AQWIEECDFILYQRMMRIISSLTLQVVPKPVLDTLRSISERLVPHIRESFQGQPPHVI RAKEAPATIFAALIDRALRVNLTAHAAANMLSNPANRDQMYHDWISMVRVRKVAECVP TRGMDDLVKLLLSEIRDLLNPVNVQWEFECMTIYGDVAARNGRQGSGEESASTESHNV LDRWVAFLRSLPGRFPYASATDIVWCVQRVGTAVMRDLTLAQGKSFGSWWVTKCWIDE MVSFMAEHGGFMKQKSTQASLATQRPRAATKEAARQGPGSGPRYNSESDDFHLSNLSQ AQPDKAQFPQRGNGAQDANLEADGNPHDDSGIGIRTPEEDFPLDKYGFITTDESRLLT QQSTTTL
SAPIO_CDS9686	MVSAIDPQTVLRNSRASAYPWVVQKFGGTSVGKFPDKIARDIVR DYLYRNRVIVVCSARSIGKKAEGTTSRLLVVFEKLEAISAAPCQEEIQNALLDEAKDL IHAICVDHRVAAQTFVRDELLRADLCRQVEDECDELVEYIVAAKRFNLEANSRSKDRI VSIGEKLSCKFMTTLLKDTGVDAEYVDLSDAFRFPPSSRIDTAFYKAVTAALKERLSD CGEKVPVVTGFFGNVPGSLIDGDIGRGYTDLCSALCAVATKADELQVWKEVDGIFTAD PTKVPTARLLPSITPSEAAELTFYGSEVIHHLTMDQVMHATPPIPIRIKNVKNARGSG TIVIPDIVKSPSQSIQRQNGYRPSYPAKGKTPKRPTAVTIKDKISVINVYSNKRSLSH GFYASVFSILHFHNISVDLISTSEVHVSMAIHLGSSESKAFLQATEELGEIGDVSVRH SMAILSLVGADMKNMVGIAGKMFSTLGEHNVNIEMISQGASEINISCVIEARDATRAM NVLHTHLFTFLE
SAPIO_CDS9687	MSSKMYKPPVSPNRNSSILNALKATEMLDTRPALPAEILVTILD YLPVSDLMRAARASRRLREMVYDDTRWVSRLKSMGCWDEVEAKRRFEEAVRRRREAAQ RANGPPGAPAAPANTTIFDAVAEVEKRQLPPVVDKVADGFETMSLAPSKTSNQDSGAI LNVFQNAKSIRGYARQEYGKIYGALAPFYYDLIHAASHADPIVFKVFRDPERQARMLA NLKVFAKSDWAQGWNQREEKLLTMTGVFESAVLREFEQGYEFWDIDGRMKRYATVLYH LNGGAAGVNLFIDKHPIFPEMQLSMNPVECLDQATTDGITLDPSRVFLETLAKKVNEQ SAVIERIFPEPASVFWGFVDKIREDVIMEYCTPLFDEAHERNILAYLQAVSGMSEQCM WFFQSLAPPSSWKDDIDVKAKELTLRTFEPHLDLYFQEELDYFTKKAEAEVDSWEKKL SEQDASVESFYMSNFNRQADKKDFLSSFKKVVMMPVTVLPTFPIGSPFTSSKPASNAA SSSAAATPSANDSNSLQPVQPKPAPAFPGTGVDGRKTPLPTEAPTDELAAKAALMTSR LDGIKSLFSIEVALDLVHAAKTSLGRTAVFIKLGGQSGEEAREQCATIFVVLLRILGS GHVKSGFDKAVDHLSQYNPRAVNDHNQAGVAPLVTFIELVNVGDLISQMIDVFYEQQL AAPKIADKNDFLDPAGLAKKKFEQMLDESVAAGLNKGIDVLMDEVEYLHGTTQLPTDY NPTLSENGMPSPDFDIGPTTTAKRIVELVSGHTRMLVGTTEKSMLDVFNGEVGLRLFT AVCKHLKRQRISTDGAIKLIADMNLYFDYIRTLKNQDLLAYFGALRELSQVYLIDAAH AKEMATVIADGDRFKGIFRAEEVYEFAQRRADWYQVRRDVERAMYGLECLLM
SAPIO_CDS9688	MPQDTGGAAVRAGGNAAQGGQQRQEQSSGPSGMMRLLLGGGVYL LINLAMGWYFAKEQNGIQVTDPAGNIITVPANTEDIPPYLLRPKTLDSGATFRNVPNK VAPIWPMDSYLDIIVTLAPSFNPDPISETPADMIVLDEKRFQLSNYSDKRSYDGNFAV PDRIQHNGTLWGHFYIGLSGSELDFLQPGFNPASAYHFTYPLTHYLPKKKIAKTRNLL DDLPPPVVEEEEEDTAGPVIANYYHPNITLSLIPNSGVMDYANQHPAVRHYMHLEPTG ARDGTGQNSWYYPILFVNTFWQLKSHMTLLNDTVTTLPIHVDLGNLRSWQFKIMASLE LTSKEAARQSAFGNSVAGGSDGSEIEMIKEIFIDTNPILLAITGVVSVAHIILETLAF GSDIAHYRKKKDNVGISVRSILANVFMQTVILLYLIDNQQNTSWMILGSQAVGILIEF WKITTIVNVKFGPLPPGSWLPYGIILEDKQKLSETEEKTKEYDEIAFKYMYIAGVPLL IAYAIYSLIYDSHKSWYSYIITTLVGSVYAYGFLLMVPSLYINYRLKSVAHMPAKAMM YKFLNTFIDDLFAFTIKMPLLHRLATFRDDIIFFIYLYQRWAYRVDYTRVNEFGQDGE DVVEELKKKEKEEKEKVEAIPKTTSGEEAAEGATATGAEKRQVKKRK
SAPIO_CDS9689	MASADSFASWHPAFRPNSDAIDVSAPIEVPAIKPVNATIEIENI PSEPSFEELAKEDVTTQNVDAWFSEDTNADEDNWLPDYQDRPVESAVESQPLEKPEPE APEAQPLEPQAPESHAPAPTSDESTQGAAAEAPVITKTETEAITEAEAPKQMNWDDGD AGVDDGTDWFLNRSESNDPLQFLPASDRSNSFPAVPPLDQPVAAQKDRSLPRSQVEDL MEEKEHNSDAIFTSTGMEEDVSAARYEEGLPLISQVSSHALPPSEAEPTAARVDDAFV DEEDDFFSNVVHNAKPSFEPRPLDRKSTFQAMGQPKTSAAQVSALGATLEEEIVEESQ PEASQQSLQDGKPHVEAPAVADATPVTAEGEPNEDSKVTDEDALAAKWSEAFADDELL EDEFLPDDVATESKGAKEIDPAAFFGSDDEGFLDDVEDEKPSSAPVLAQVPAAAAPSP TTAPSASQNRYAPQIPPASKASNPYAPTTTPNAFNPPPVIPHPVGYSATAPPQATLYG GPPPRPSSQPKAQSFVDKSKGGYTSPYDLPMDVVDAVKPRKRPSLQQRSSSIGPASPP SAPPRSASAYGHGPPPHAGPPSPVAAGASTPPHLHRTPSVPPKAKESFFEELPITSKP RPSSRHGRTSSPAPVSPLVGPPPGPLPPPSRALSLSAAPPLAPPPSHPPVQSTTHSAV PGAGLVAPELVNPYAPPPTAPHSTPMGPPGVANLVQPERVNPYAPMPSQLNSSPSVTM AGHRYSPSPDQLPPHLNGTATSTISNRYSPAPTGSRQPSAHYAAPPVAAHPPAILPHQ PRTSSPLAHFEISANRASHIAPGPNGEIVHGDRRTSLPHEPRIHRIPSLPPTREVDEE QEQASPKSNHSARAASASIAGARYSPVTVPGPRSTPPPPLQPNPAQTTLSPPKRSPYT PLSTQSSGAKEHSFPPPPRSFSQSPTSLQRSMNGQIPHESPRRSAPAQAPVSPKTSQP PAAPAYVPTHRPRAPSLAMNMVPPTDGRERDPLQRWKGVPILVWGVGGTIVSTFPKSV PRYGISQTAPMIVRTPGEVKIKHVKDILPLEERLSKFPGPLKGKSKKKETLAWLSSGI DSLTIEVPDLTYSQNPSHEEKRSVERLVLWKILRVFIENDGVLEGNPAVQKAVRDILM PGLDEKASENALAFGAGQSAATLHQSGAGIVQADAVDGAGIEVIRKHLLVGDREKAAW AAVDKRLWGHAMLIANTVSPDLYKQVAQEFVRKEVNYPGHNNESIAALYKVLSGNHDE CVDELVPVHARAGLQLIAASSTNTSTTDSLGGLDKWRETLCLVLGNRSPEDIRAINAL GGLLASYGRAEAAHVCFIFARHASVFGGIDDPRSNFVLIGSDHRGQADRFFKDAEALL LSEVYEYGLSLSGTGLPFAPHLAGYKLQLASTLAEYGQRDRALQYCEGILNAMGSQTK RSPYHHPMLEQAVEDFIKRLKQAPKEESNSWIPKPSMNKVSDTMWSTFNKFVSGDDND GSGNGTNGDVGAESGPFAKIAGGTPTISRSPSVSNFESYGTSQAGYPMGPAPTGMNAP SFAAAPAPPASRTASRYAPLPQQGQATAPANPYEPTSSYTPRSSSEVARHQPNHYEPS RPSTGYQPQPPQQQQYNPAPVSQQGYQPTPTVPAPQPTVSQGPSYPLPTQTEAPSYPG QAPAATSSAYAPLGVQESTYNPTPSQEPAGGNAMSNGQIQDSYQTPSYGYQPPSFTPA AAADTPVEAAAETAGGEQTTSSGGYEPPSFQSYGYEPPSYEPQSEPSAEEEDGPAPRP KKKGIMYDDDDDDDDIPGLKPREKTKAEKDRENEEMIRRVAEEEAKRAAEKQATKKGW GFGSWFGGGKKETPAEQSNKPIKANLGEQSSFVYDPDLKRWVNKKAGAETTEAKKATP PPPRGPPRSANSTPPPRMAGTPPPPSSATAGVGRFSAPPGGPLGAPRRVGTAPALGES LSQDSLSIPPPMARSVSNQSAAGPPSGPPSRPTTSMSNASSIDDLIGAAAPRKPGQKK PRKGGRYIDVMGQ
SAPIO_CDS9690	MQFKATSLFALLAMPILGALAQDDASVPPVVDEADIAFDATAAP STLLADFEATFPEADIFGVKLINGKPTKALIDVTNREEGYISVEFITGVLATLKQLPP DSPPMAAIVKNLTAVSYGAKVAPGEKLSLPYSFALDMFPQDVELRILAVVSNSEGAVF QVHVGNSTASIVDPPVSIFDPQIIFLYLFLTGAFAGTLYFVYKTWIEALFPQARRAPR KTKKVEPAPDANVSGSESTGVSTGKAYDESWIPEHHIRPAAKRTKKGRSE
SAPIO_CDS9691	MASSTPRWAAIARDTNETKIQLALNLDGGAFPPTTDPRLLSTGD DHAKQASKSQTININTGIGFLDHMLHALSKHAGWSLAIACKGDLHIDDHHTAEDVCIA LGTAFHQALGAPIGLARFGTAYAPLDEALSRAVVDLSNRPYSVIDLGLRREKIGDLSC EMIPHCMQSFAQGARITLHVDCLRGENDHHRAESAFKALAVALRTATTKVAGREGEVP STKGTLSA
SAPIO_CDS9692	MASQVLLQTKLFRPGPAQLSGFIRRYSAPVANAIPAAKKKYVPT CGTYPQGFDASGIIVGVKPGNTTKPDVALISSHLPCSAAAVFTKNKFQAAPVTFSRDL LKKKSNAGIRSVLINSGCANAVTGKGGLEDAKLMAGAADKSLGSHEATVVMSTGVIGQ RLPIQKIVDNVPTAFERLGSSHDHWLTCARAICTTDTFPKLRSRTFTLPSSGPSIEYR IAGMTKGAGMIHPNMATLLGVIATDAPVAPELMAPLLKHAVDRSFNSLTIDGDTSTND TVAFLANGAAGGSDIAEADSPDYIALRDVLTDFAIDLAQLVVRDGEGATKFVTIRVAE AASEDAARRIASTIARSPLVKTALYGKDANWGRILCATGYSLISEPGHDVTPVPEIVP ETTNVSFIPTDGSAELKLLVDGEPEDVDEARAAEILEMEDLEILVRLGTGKEEARYWT CDYSHEYITINGDYRT
SAPIO_CDS9694	MAPSATDHLRQRQLLLFQKLLNQRDGASPLTLVLDSLEQPAGPL VTEFALRAKKAAKAKVIYLGFSTLKRNPHADVYLSARGKTPAAVRTELLKHYPAVDSG AAKQGTRPQRAIVILDSLNPFISTHSEQLTAFLSNIITPTVSLIAIYHTDVPCVLPKT YSEYAPHPFTVITHLATAILSLSSLDHEIERQKARNRSVPEPEFGLNEGRDGVLIGLQ EKRTPKQGRGLVVDMEIRRRSGRAVTGKFVLMIPPDVERRKGALSSFCLQESHPAFKP PEDEAGSEGQETEGDGPESTFNLGLTEKQRKDREGIVLPYFDAQTEIGGGEGGRILYE MGREDDFDDEEDEI
SAPIO_CDS9695	MRTRRSARLEAGEQARAQGESQNEGNAHTQARFDLREEPRSPQI VFKKRTAANRWAASRVLTSSRSPLTKCDLRSILRNPAAWEVLSPEEQKEIIAHFPDDE HILDAGTDEARPNIESLLNDDHFRYDCTRYIEDLSLGKHDEQWLAEAWEASERRKAGD YDEYLARKFEDDWGQDGEEKETTPTDTRGKREEEQKG
SAPIO_CDS9696	MSLSKLEETPELLARRAVMNREILETVQAKLAENPSWDLNGEIR GLAMDALKKHFAHPTAKLLQERRKPPPPKPQNFTAVLVRSPEKTVILNPLSDAAKTLI FGAIGEEDGGVEDEDSLDKGVCRVLRHAEVIAKLSGRGGVLRCGGGSGSDIAIKVVPA SETTAFETEYSALLYLAENAPDFPAPKPHGLIGLGDSRLMLMSYIPSTTLKSVWPTLS DSNKLSIQRQLNQIFMRLREFKQKDRRLGGLGGEGVSDHHAWVDHADTETVMTTATVF RDFQFSIKAPCGPEYAAFLKSLLPHPDPDEPAVFTHGDFFPGNIMVDLDPTKAQEYVV SGIVDWETSGFYPAWFEASKVLYTFNESGRGTMQDWWKYVPSCIAPASRPVEWAVGRL WDKANGIDA
SAPIO_CDS9697	MIRSTQIARLDGLMLCASVDDEQSQSAVGEVKSQIRQVLRKLTR NSEPQASIESGQFVLHYLIESDIVFICICERSYPRKLAFTYLADLSREFTTTHPPAQI HSPTLRPYAFMDFDTFIARTKATYSDARASQNLDRLNDELRDVTKVMTKNIEDLLYRG DSLERMGELSSRLRDDSKKYRKAAVKINWDLLVKQYGPFAALGLIILVFLWWRFF
SAPIO_CDS9698	MSLDTVGTLLAAIANLLTEGLQILAQTDTHLWGPDEHEQVRAFE DALDEAKKDFQELSPLVKGQLYYQNDRKRESLDELRALRAKFETHIQSFRDWGNAGGP INPLWLNDTKLLRRELHRAQCKAVGRIFALEQESEPRCLGAFLVYRKQRAWANQPCNQ LEEYKRRQLEELMTCNSVGKFERFGEQDIAFVCDFCDGYMVWEDLESMPSIRTVDETV TASGTRTPPLPPTLGAEHWQATGFAVTTREEKSIVFAPLAVGNHLPPDAGEWESRIIC PVCNDEYVLAQGDDEMEQIRYDERSYANLAAFQAHMEWQHTSYPVPTIPLPALPSPKS NCRIM
SAPIO_CDS9699	MRFNAQLKNISTFQKLTAALSSLEKIAWVRLDNNVARFTAIPDV GSHVWASFNMDVIFDEFTISSKEVDNIIDLEIPLTPLQRALKSALNSVSASMKLAKRR TDGVPILAMTITTLTNSAAHGGMAPPPRPTTNFGVDDDDDDGGTSTFPVENLETSLRR EREKIITHEIPVRVLYPESVESIMQPKTRDPDVNITFPPLLQLKAIADRFTRLTAASS SSSRSSAKLELSANMFGSLRLRVQTDGGSVCSEWSGLVTPELNQEQMSMPIEEHPSTR FREAGPDRWATVRVDGKDLSRVLSVGRLEGKVIGSFIDDHALILYCYISHFDEHTMAE ESVMTYYVQSYAQ
SAPIO_CDS9700	MASRDLVLRVQLASMKEWGDFLDKCLFKRLSISKFESFVPHLRS KHPLPPDAIADLLLRPRAGNNDCLDPRIPLYIQAVLELEYIDAPAILKALYRYSTSHT QSHSDVQDLPPVRWRSSYSAEEVMFYRLTKAVVHGTGIRTPSDALRMMDIVAKWMALF TAAFATFAADVMGQLENAGPREEMESARAAFVALLLSVCENPVLLRTVGRPIAKKARK AMLDSLVNFVPTLQIASIAERLELFRSTLASFEPAEKPKDGGLEEMLDSSVGLDSVIL PELPISNSRAGLYIYLNACFVGRPLLDDHALFAYLHNRYQGDVQTTAVDLILASFDVL ANAVFRNDGHKTAHLLRSYLINKLPLLLVALGHSLFPPTTPEFCITEALSQVDTNTFP TLSSMFDDSRNNNPLTDNVREEFCFACCLHNLMPQSHIETLLGENSYQTLPSGGRYVK DDLVRDCAADPEKIQSLIGELDNMDGNVGAVCQALTEVLGRLCANKETMSLKTLCSQL ARKPQSLDVMLLFAKPATILQPICDLLDNWRYEEDQGEYQPVYEEFGSILLLLLAFAY RYNLTPADIGIRSRDSFVAKLLTQGHLSRPLGDLTEQEKGHLDGWIHGLFDTDAGGLG DELMSSCPPQDFYLLIPTLFLNIALAFGSGRLTEETLKGGLEYLVDTFLLPSLVTAIR FLSDYLCNDRQEEQKAIIKILQLLLAPNAISTEASTMLSSVLNLVAKPLEHSLRAYQR RDPNNAQIEPLLRTLKDSLPLSRRTGGAEHNEVESWSSTASGGLTMSIKHTMNGFVQW SLQPASVNAMPTSYTHRQVLCGLQMLGASRMLRIILDEVQQQSEAGNASIVYDVATAL ICAPDVSNDPPPPPNMQTLDESGNVPPVPQRQLSLREALKTEAENFRKLHKKDPALAE IVVRLHRKVEAQMTPSQAQILQADLGASIDTSDVVHQAAANAAAAAAVAATGAGDPMQ MDSVGLDMMAGVTGSDFGMGGSGNGGSLDLSEDIFGLDSGMDTFDMWGDMMETS
SAPIO_CDS9701	MIDSFPIGLIDHLNSLPLEADRDDRPSKKPRLDIPAVEPLVIGR GDLTVSRKQPDAEDANLDNFSRFNVGEYLTVSLFPESRYPKDVVFLGLASRPRSPAGF LDAGLALSSSSIGPQVTTIIRAAGNNGLKRCQEAGFSSVINIIVSRCDDVLDIHFSFE LHWQPRSQYHDQLGHQSYQLIRNVINTFFPPDGESSTDSEEWSPLDFYEAAYVPSKDD PISDSITIPGMTSTLYPFQRRTLQWLLRREGIEWIKTGDDASGIVQEIDEESPGCLPL SFREVHDLKGTPCYLSKVFHLISTDLAQFRASEQLLKGGILAEEMGLGKTLEMIGLIL LHPRPEGGPEPNFLDPNNVSPINATLIVTPASLRRQWMDELSRHAPHLRVMHYSGCKT KTREEEVDLICELSEQDIVITTYSVLATELNYVLEPPARAMRHERKYYRTKSPLMQLL WWRVCLDEAQMIESGVSRAAEVAKLIPRVNAWGITGTPVKNAVQDLRGLLIFLRYEPF NFLTESWKDLTTRYKPIFRQLFNTLALRHTKAMVRDEIRLPRQNRYVITMPFAAVEEQ HYQSMFKKMIEECHLDTQGTPLVPEWKSEDYHEKMSSWLNRLRQAALHPEVLIRRLYG GTKNRPMRTVDEVLDAMIEQNEKTILSEQRSYLMSMLTRGQLLENGPRVKEALAIWEK VRDEIGLMVDEAQKKLDNAVREATNGRVSVDGADGYELENESYSQEHQSNISELRRRL RSVLEVQHRAVFFCADAYFQIKENKDFTQPDSEEYKRLQRLEDEGYEQAKSIRRKILT ESYRKTEALRNRLSNRASDQSFTTIPELVVKSERGLESRPLLEQLEELYEMLNRQADQ LDEWREEVIQILLQPLVDEESEGPAEQTGEEFADSTKLQDKLVAYVSVLRAAIADRQD AITGQTNERVRHEMQMETANALDGTVSHGPLFLQLREIRDRLKPDPAVASMRGIISAL RQVKNRYFKDDANERQAMEAKIVNLHMQAIQKDSMAQTKVATSLESELELFTSTMNAR VEYYRQLQAVSDSVIPYEGEKDEETMRKMRAAEEKLQQKLAAAESKHRYLINLKETGS KSSEPRICVICQTPFVAGVLTICGHQFCKECMMLWFRAHRNCPVCKQHLKPSNLHDIV LKPQQLRIHAEDLGGRSGEAGSSNSGSGSSRHGGQPSQSVIYTQFSPEKLDAIRNIDL DGPSFTTKVDNLVRHLLWLRQVDPGSKSIIYSSFSYFLIILENAFKQHRIGYTSITKP NGIKTFKEDPSIEAFVLYARSHSSGLNLVNANHVFLCEPLLNTALELQAIARIDRIGQ QQETTVWLYLIDGTVEESIYNLSLRRRIEHLGRVTKGKSQETTAEQLDMANALEMEQA PQLSRLIRKDGIGGEVIDKRDVWQCLFGQNPEPSQSGPSTQGVWSSTSGEVEES
SAPIO_CDS9703	MSSSAPSDSRSQNTLPSIIVRSASPLQPEPEDFLSKAATQTIAA PSPTISSSLQVPKALSRKRSASEADITSSEPAARKIMAPKVSSKKDAESNSKKSNSSS SSKSKSKSKSTTDDWTEVTEPEERRRIQNKLAQRKFREKARENKERAEREARNQQHAG SCYQIPTPSDVASDQEVSGLPWGTFSMRHVVSRGHEAESRRSSGRGDYLREDGRYQTS PFMEHAPYPAATPSYQQHHGHGSFGGSSAGEDGPYYETDPQLFYGSSGIPAQQSQYPP PPQQGHFVTDQFTASTPWPESPPFAANFSLAPLHASEDTDQIYY
SAPIO_CDS9704	MSKRKLKAPDKAERLEQRAAKLLKQAAALRARLDGKATKKDAAS KPSGANVKDDVVTKPSTGANGSDSPAVVVDDATAVDEAIAAQLRDTAKALKEGAEKNQ GAENQEDTNDHMDVDEEPEHEVKSKKEKKKEKKDKKKEKKEKKQKVEDKHADSPEVAE TAMDVDNTPVADEEEDAKPKKDKKDKKDKKKKKKDKQIEEAVDVEKDGAEAVVDIAEP EQEPDIATPKEDKKAKKQGKKRKHEQNSPEDVKQTPASGIEEKADDAERKDKKKKKDK KDKKDKKSNSVTKPSSEATPSAGNTPATSANWNVGALEGGSERQKKFMRLLGGGKGAA PSTSQTQTRSSSSSGFDINRVQNDLQRQYDTGLKMKFDTQGKRKGLGA
SAPIO_CDS9705	MVQTPQQRRRNAQFAKEQEARMGKAPEELKKRTKEVAKSPISPV WVAILGFAIFGSLIFELISRFFR
SAPIO_CDS9706	MSFHHPHTPQTPSQPSPGISDPLTSATTSMTSITSALPTPAHSV TGASSQPSDMAHDISMIDAGDDTTPNKRKRLAEDLGDQATKKVHLGTPPLGIEDIHLD VGEKYLLCNRPIKVPQYSTAEDLFEMFDLTGLATKMAREKPTGEKNALRKSFKSQIKE LAIDGAYDTKKDERQEDDPDGFFAMLNLPEDVWYTHHVKGKEIQDGFSETTLASLPKA LSMNKGKVRKEHWDPYILGSLESPAQLLDNSSNITSSAKASELNTPAGSTPAASARLK VASQTQAVGQDPSRPRRSIKKRSYGDSSFEGYGEGYPDDEGDYSTADGEDRNGTKRRK KSLEGTQSSQDSEDTQGPAESIRSHGGHSREARARPA
SAPIO_CDS9707	MPESHRPAELPMNNQGVDLLSPFDNSRPGSPDPISRWRDPRLSS TQSLVPSEFEANAGRQGKRRLLMIYVHGFYGNDHSFKSFPAHTHAYLRDALHESHVVH SKIYPRYKTYHAVQVGAESFSTWLEPHEDETTDVVLVGHSMGGILAAETVLMPNPNPF GTHPFKHRILGVLALDTPFLGLHPGIIPTGIASLFRGSPENTSLNAPEPSRGQSRSAP DLSQELSNISLSTTTSTSQFTDTITETNTQPTSRTSSDSIRPSTEATRGPLPPYPSEP SLPQDPHFNPPWFNDTIRRDRSFFKGIAHFTRKHLSEGVFNAAWKHVLSHMEYGSCLA DYPGLHDRYNQLRALENVDDIKALTSDIVTHEPNFRLRPVRVRFTNYYTSSTGRIKPD KVEGKEKKVKEPSVENNEAAETRLSTPRISVEVHEDDKVAEPQLLPPIPIPEDEDDDA LREPQAPMEPEIEGLPDIPPPPTPPPQPPSPSSFPDKASRKQAEKEAKALQKEYERAV KAREKAIRQRNKLIEKHHRRQAKLASNQARTSADAEDDENDDGAGSKDAKKKPRKFCA LPRKRNGEPDAAWVSVFMDGVDEVEAHCSLFLPDGPHYDRLVGDVAQRVVGWVQDDLT RRAIADMGVC
SAPIO_CDS9708	MELEDGTTDIVHPEVRAHINSLVSALGGTSADDDGRYVLGDDAL DVLRDLKKWIRYYDEKMSRMDVARCIADSSIVDGDLLHILALWTESESESKFRARLAL ACLEVIVPLTWPFDKDPERLTVNNYRHIPVLELAQLRYKRAIINYDAARVLHTAVRMA LPSMALPIGDRSPRDQGVIKLVLYFFRNIAMIAPPPGVKYDGDETQISRSALIDAFSY QDIFLLLLTIASNMGEDFRTEDVIIMEILFHLIKRVDAERLFMSEKQQDKAKAADLVA MMKKEEALHNSFKKHAPTRHNRFGTTIWVKRDDGKMSTISSQAALIDAATRQRKLDET KSFRPPKYRRKNEADNSDLGPAPKLNTRASEQLRAFVSEFLDSGFNPLFQHIRRSIDR DAQHVLHYHSKQFFYLVAWFLRAERARQKANNKPKPRPNAEEENEVSPFSLVAGVLNQ EMFIALQRSMRSALDNRSWGDLIAVMRCFTQILLTVQDMTATGNEADEEIAENILSRL FYEDTVHELVTSVVRNYNHQGFEFLDASTELVHHFVRLLEAYSKRNVDMQVRSRKKAR KKKRDAAKAGTENDDADDLGGSDEDEQEAQKTVSERKFSFQAFTQRFIPQNVVDTFVI FLKHYKELNDDQLKRVHRYFYRLAFKQDKAVMLFRVDIIHLLYNMIKGPEPLDRGSSL YKDWEELIKQVFRKLVKKFQERPALAVEMLFSKTNEIAHYIETGARREKKKANPRPAA ELEFRQTEELDKQIGIVVGALLDRNEIEHISWVKRVLRAAEQERREFAEAQAALPSVE TDAGENNGEAGPPVEPPKEAKEAPSYTVSADNEDRRMAMLKDPYLRLLMNTVGIRRLS PGLEETLESIWTIPSDVTADQLKEYVDLINAAEFNPPTFENGEAAEKQLRRKTNRTRA YVSSDEDDENEELFPAGGPTARRAIDGEQRPKKSRRHRRRRSQSPDEAELDERARKRK EKERERRSKIKSEMYVYDSDLDSEANREFFAREEELRQKNQAVAEAAGQAIPGIVPAN KKNGKKRKKTIMDDDSEDAMDISQRSRSKTASSDAGTDEEKASSDGSDSDTRETPLES SSRREGGRGKRRRVSDEELSDAGPPEDEELPVWAASREPAGDDKPDEDGDVGMNAAAE DDDDDDDEPMPSARPRARVKGGFIIDSSDEDE
SAPIO_CDS9709	MASPILRVQSRRLASQVRSFSSTPRQNAATVKSLGVIGSGQMGL GIALVAANKAGVPVKLVDTSKAALDKGLAFAEKLLAKDVAKSRITQEQADKARSLLTP HTALEDLSTVDFVIEAVPEIPQLKFDIFSKLAQICPKHAILATNTSSISITSIAAATT KDPKDTQASSRVVSTHFMNPVPVQKGVEIISGLQTSAETLETAIEFCKAMGKIASVSA DSPGFLANRILMPYINEAVICLETGVGDRDSIDAIMKNGTNVPMGPLQLADFIGLDTC LAIMKVLHEETGDSKYRPSVLLRKMVDAGWLGKKSGKGFYEY
SAPIO_CDS9710	MLVSRHSDTEQSHWSFSKFETTYQKPTSSPRMSNHFDAPLSTQP DWQGQYSYLPPSENNIFSQSFESGNDNAEASQARATTNGNAIGPVDINSKESSTPGLD HRRAIDPLGLRSAKTSTPVTDQQEVPQQDQYGQKPSESAPEESLVSTETPGLTMAINP LTTVSSAGQGAEAQAPQPMEASGHKEDEDEAIDDDDMGGAEGGESQPQTAAERTAQRR KMKRFRLTHQQTRFLMSEFAKQPHPDAAHRERLSREIPGLSPRQVQVWFQNRRAKIKR LTADDRDRMMKMRAVPDDFDNVGALHSPYGAVHGLGTPITSPVDFTTPSYTDHMMRGP LMVDVRRAEGEDHMSPTGLSPAFGNIGFSASGTISNPDILSPLSPTPNDRYGYPLSGG PRTSNPYGRHNSLDTSTMQMQNRQGIRPLQPLQLRETMSRSRSDNLQSPLRSSMSWKG DSIDYSSYQNQHNSQSRSVYPQDQMSGTSSSMGYDSTYSTTTVQSPTAMSYSNVQSNP QSRNSRLRAASATLPLGLDLRNQYRPVGSTLQSPGASTTPRGTTSSQYGSNSYTASFP SAPLTAPIDFSLPRSNGSMRSGVQDYSMPQLSAPITAPTDFSQAFQASMASPTTRTPM RDSFGIGHGQGQDQRGSDGYGNDDIGTTSLARKRSFTGVQGGPAAPSTGTAPQHVYGS TT
SAPIO_CDS9711	MTSTDETRPAVVADPQPRDGERDIERHARRRTATADRDRWWKIR LFSGIANDLRRRSPYYASDWKDAWDYRVVPATIYMYFANILPALAFSLDMFTSTDSNY GVNEVLLASVLGAVVFSLFAAQPLVIVGVTGPITVFNYTVYDIMKPTGVNYMAFMCWI GIWSLIFHWILAVTNSCNLLKYVTRFPCDIFGFYVAFIYLQKGIQVLERLGSGEAFYL SIVAALLVFMVAYLCGELGGSSLFTHTLRVFLKDYGTPLTLIFFTGFVHIGRMSDVKD LEVLPTGAAFMPTSRRNWVVDPRDVTVGQAFLAAPFAILLTILFWFDHNVSSLIAQGT EFPLKKPAGFHWDFFLLGLTTGVAGVLGLPFPNGLIPQAPFHTESLCVTRTVQAVDEK GEHKPGHLVTETTHVVEQRFSNLAQGLLTLGTMTGPLLVCLHLVPHGVLAGLFFVMGI QALEANGITNKLLFLGRDKALTPPNHPLLKIRRRRAVWFFVAVELVGFGATFAITQTI AAVGFPVFIMALIPVRTLLLPRWFTEEELSVLDEPTASPFTMESCGGIHGLDAYDSDA SGGGGGRLDKKASESHHGVGGLLGSAVRRGSGLHEEGVSAYPGASRKSRDSLRETATR RRHARSNSRGRGDGDDSEARPSQG
SAPIO_CDS9712	MSRLIRAGQLARLTRSSTFALSTSPLRTSSASFARIPRGRVLGA GASVACVRGRSRFYSTAPPTPPPTPPGEDGKKPEERKRGEEGKDVGQKQEKTPEQQQP GDGKTNTTETPNKEGENATTRELPEGWVRLTPEDKAALEKAVDYAPVGQAGMLKSLIN DLEKYGAPKELIDMIRAPKDGKGPTVKEALKFTRLIHKTAIKIMELQAKEEEQKTSGP NAQQQGGDRQNKDNKDGQSDGQGSGFSRKFGPFSVNDYIIMAVAWFVLSPFLDRLVFG DSKEITWQELQRMFLDKGLVERFVVYENRVEVQLNREAVRSVYQGSQSVDPNVQYFFT IGSLDSFEKRLDAAQDDLQIPTSERIPVKYATKSPWLNLVLAFGPTLLLVGLLMYSTR QMGGRGGNQMFGFGKSRAKQFNHETGVKVKFSDVAGMDEAKVEIMEFVSFLKTPEKFR RLGAKIPRGAILAGPPGTGKTLLAKATAGECEVPFFSVSGSEFVEMFVGVGPSRVRDL FATARKNAPCIIFIDEIDAIGRARTDSRVGGGNDEREATLNQILTEMDGFNTTDQVVV LAGTNRADVLDKALMRPGRFDRHIFIDRPTQKGREAIFQVHLKKIVTHEDKEHLMGRL ATLTPGFSGADIAYVVNEAALIAARANADSVTLKHFEQAIERHVGGLERKSLVLRPEE KKTVAYHEAGHAICGWFLKDADPLLKVSIIPRGQGALGYAQYLPQDAYLMSVHQLMDR MAMTLGGRVSEEIHFPTVTTGASDDFKKVTLMATKMVTQWGMSDKVGPVHFENEGQQL QKPFAEETGQQIDAEVKRIVNEAYKMSKDLLLAKKKEIGLVAEELLRKEMLTRDDLVR LLGPRPFPDNHQEFEKYFGGKPGEGTPPFPTSETDTPPSVPTQKEDPAPL
SAPIO_CDS9713	MAHMGFQMPPMGHQPLVNPPPQIFGAYSHDGMPLAHLPPELAAQ MFPDPATLLDEANEAKRRRIARACDMCRKKKIKCDGKLPACTHCLNYKTDCVFTQVEK KRSPPKGAKYIEGLENRLGRMESLLRLSGLLGDDDGATDLGTLEKRLAEKTQQVRQAQ TTSNPTSPSQATSGRDTGSSPQSALTSPEPMKEKDPENRNSVAPSEPPEEEQEVEALS EMMCSLVTNQSGETRYIGSSSGFSIFSPKGIQWVNEKTGDESFQQMISDVSLDDHKWT NWKPEIFCDLFQRPIFRPLPSKPEALSLVRDYFENFNCMFPLFHQPTFMHLVERQYSD NPYQGSGWWASLNIVFAIAHRLRVMSNLVPQEEDEKSWAYLKNAMSVFSELIMRNNDL LSVQALLGMAAFMQGTPNPQPSTLLIATAIRLSHSIGLHKKGTGFNLNPIEIEQRKRV FWISYILDKDLCIRSGRPPAQDDDDMNVELPSEDPEDGIGNIPLADGKGKMNLFRVMC EFACIESKVYKRLYSTMATKQTAGELLNTIGELDKELEDWKDKIPIDFRPEHEIKASH TPLILHVVMLHFAYYNCLTTIHRMSVHHGYWTSRLSNYAIQGLNSRPLNPRIFSSAAL CTASARASISLLKYIPQGDFSCVWLILYFPVSALMTLFGNILQNPLDPRAKSDTKLMN LVVNFLSMLGQEAETGGVHRMLGVCSEFERIAKKVIEKAEKEQHSRRKRKSHEPTSTS TANTPTPSAAAQTPRVTNMSTPTMHGTPLASSMASQRSPPPTGDIPDRRGARNLAPMK STVANEPSPGLPSAGWPQEFNLPEGDFNFNDLTAFAPNGIQSPHMGIPFQQPLLPQDL YALPMSLDWEWAEMSGGAYPTVENGNFGANQRPM
SAPIO_CDS9714	MAQPTGGPSLVQDPELATNSDDHSTDPDTTLAERTNSDSTSEKR DLTDSSDSLITEIHQTVSLIHVVRPDGTPIEIKTVYSPPTTLLVDPTTGKVVEDVTGN AAAAAAAADSVIPTPPLVTDLPPGDGDLIPSNIESLVPTDLNIISPLSNDLLSSIIPE ETASASSSNELSPDTASASSSALPTIPPLIVPSGFRNISSFGHFNETASFHHAKFRST TLRSSGTSTSPTQAPTVIVGTDTGGAAPGGGTGEGGSFAEESSSNGDGPTPTSTVVGS VVGSIAGAALIIFVIMALLRWRKRQSGRLRLADGPPSTDSRGLLPDAGGSGGDGGAMV QRSNPSFAVPAVLATLSSYKNPPSPPKPNPQETGFYRISGKKLPSVLQYGGDGFTDPR ASAVSGISSVYPEPPPPPMTDVENGSTPRLALGNPMRPVSGVAVMRSSPARTPVTEHN PFVNPLADPFADPRTPPLPPPPNQTLRPDAVGRSLTAQDASRASSSRFLEDV
SAPIO_CDS9715	MADSRDSLRVYNSLKPGGPVSFDPKDPGKVTWYACGPTVYDKSH LGHARNYVSTDIIRRIMMHYFNLDVNFVMNITDIDDKIIIRARRRRLLELEKRKAHSK EKLQELALDAFRAYAKSSLPALVDDGSELDPSNYETKRDVAYGKVLAGGALTEDGKPG EAEAKIRMHISNMDAAAKAIKDGQIFPGAEEVLLPYLDSLYKETFDTTDQSVFTELTQ TMEDLFFADMKALNVLPPDVITRVTAFVPQIAKFVERIVDKGFAYEAEGSVYFDIAAF EKAGNRYARLRPESRNDKSLQEEGEGSLSKNLGGKRSPSDFALWKKSKAGEPFWPSPW GNGRPGWHIECSVMASEILGSSMDVHSGGIDLAFPHHDNELAQSEAYFFEPDKGEHTW VRYFLHMGHLSIAGSKMSKSLKNFETIEDALATTYTSRSLRTVFLLGKWHDGVEISPE MRSHADSWEATVNNFFTNTKSLLAEAAAKPASSGVAALSLNDQTSKSDIATQFEQAKK DFHAALCNSFDTPQAMQVIAGIIKDANIHLKTSKDQPDLATIEAIARWITKIVGIFGL DENAAPPYDGLGWASAKVAADQDPAVAIKPYTSVLESVSAAVQELQLNSDSIKVLLEK VPDAEFQALVDGGVRDIEQLALPYLRSVSSLRDELRKLVGTVTPEVKKSILALTDRIR DVDLTNLGVYLDDRPDGQPSLIKFVPAAELIAAREEKAARERERIRQKEEARIAREKA EQEKLAKARVNPKDMFKDDRFSAWDDNGLPTKMKDGSDVPKSQLKKLQKEHQKQTKLY QEVVGKGS
SAPIO_CDS9717	MPVSSPPSSATAPPTIEPSIDARLELEQTLQDLKKHAANYVNLS RLHLALLGLSQPAGEESIRVAVLGVANGNRSGNTAKSVLELLLVDPLKEKEEWERQLA QRRPGLPVIVKVGRRDVPGTGVIERKGMFEEVHVSSPVYDGYNLELLLMDVNAPSAEE DASIEEQLLNLPVDIASNTGKFSPIMTPVHKALLVADGITGVRSVTSIPANDENSVIH SAINIPRYNPPKDADLPFQPIDVTQAQEGVELFRKDLKHAMDYERLWFQSNLPAIADW LKTGIEQQPGTTKPAVRQLIASVLQETASSVEAAESRLLGGRLRLATQSPSTIALQRS LSQWAQSAHGELQEQLDLAFTGQRWRKLGWWKLFWRVDDVTMLTNDMLNQQFLPTAEK NLVYLSGQVRGAIAAAAPSAVLIYPQPTPSSSAVVPLRRETRWPTHVSFTRRYLQEET IPALQALAQKLVLQCYTTCGLTTSLAGLLYVSTWTSSIYEAGAVAALGAVWSLRRLQK KWGAARDFWEGEVREEGRKAVRAAEESMAEALEGESRSKGVIEGEEEFEKVRELVAKA EDALARMK
SAPIO_CDS9718	MTSTIGIPIKLLNEAQGHIVTLEITSGQTYRGKLIEAEDNMNVQ LKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFKSRNVRGRGVGLARGRA TVSRARASARGGR
SAPIO_CDS9719	MAQSTAHRRLLQEYRALTNNPPDGITAGPVSEDDLLHWEALIQG PEGTPFEGGVFPAELKFPKDYPLAPPTMRFLTDIWHPNIYTSGLVCISILHAPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERREDYEKKVRDDV RKMLGL
SAPIO_CDS9720	MDDLAGLNWNASSTQSSKPANPTPTAPIGSYPSLRPSPSPFASG RNTPLSTQGSGSSRPKPAAAKATEDSFSNLFSFGPSKLNANLSLKEQQERLEAEKRRK EEERRKNAESQFGSHFLDSLGSGATSRTSSPAIPPPSTASKPNGDDDDLFAAFRADTK VDNASHYPPPPESSKPTPPPGLDLSNPASWNPSPGADNAAFAEDDDDPFGLSNLPKRK PAERASAPVAVASEDDDFLGDLGRPVEEIRKRQEVAQRAAQQPEPGKPIELDESDSED EVDAPQSPRTRTPRGEVDPFDKAVAQLVDYGFTDEDARRGLTESGAGLNVQVAVNWLL DDAHRRSKEKAKGSAPQRPTRNTRDASPGQSQPPWARDGPSGPENRPQASGDNDLAKT AAAMGTSFLKTANSLWKTGQKKVQKAVADFQYEGDPNQPKWMRTAQQDRMHASEKQPD ATDEAMMLDSGMRPDRRPARGQQPSSRERSPAVPPPQSHRQSPGPPKWQQQQSRPLDA RSRLNRLAAEDDDVSTYVSPNRRRKATPVESPKPPPSEEPDLLFGTTSTPSTTTTTLP QRPAQKTPAAAPKRATPPMPKPTPRPTRQIPAITPIALQTSTKHRLEGTAHFKRGDFA SAHESYSSSLLAIPATHPLSIILLTNRALTSLKTGEAKQALEDADQAIKLIGPSLGQG ETVAVVVESGGEEHRDMKDLYGKALSRKAEALEQMERWADAGTVWQSCVEAGVGGSAA IAGRQRCQKAIAPKPKPTPRPAAIPKPKPRTSALSDLGPQKNSEAVERLRAANQAAAR EDEEKFVISERVDARVGAWRDGKRENLRALLSSLDQVLWEGSGWKKVGLHELVMANRV KVIYMKAIAKTHPDKLPQDASTEVRLIAGTVFSTLNESWDKFKAENGL
SAPIO_CDS9721	MLSKAALGAALLGAVGVDAFWRMECPHRLGLARIDPLVNPGVAS AHAHAIYGSNGFGVSSGFQDLAAGDCTSCRVKQDKSAYWHPQLYFKDAATGEYEEVKP LGGMLAYYFLNGENIQPFPPGFRMIAGDNNRRDFTAPWDYTGPDPEKSTWRSQGYVDQ SILRQLAIGVNCLNYNKPGEPTLMRHYLPDKAFLDANCPDGIRFELMFPSCWVGNGVL ESANHKDHVAYPDTVLDGPCPSGFPVRLPGLMFESFYNTPEFKDRAGAFVISNGDTEG FGYHGDFISGWDEETLRQAVNQCTNLSGRIDDCPVFQLQSQDEASQCNLNTPSLLSAD NVFGPMASLPGLGDIVSGVVDALGGGDSQDSASNGGIFKEDPSPINASSQEPEPEPEP EPEPEPEPTPEPAPEPEPEPEPEPEPEPEPTPEPVVTPPPVAVTEEDNDEFYSTDYIR NGNVLSKILWVQEVVYVTEVASDAEVVTVTPSAPARKNRRSHLHLHGHLRHRH
SAPIO_CDS9723	MPTTTIRTPPLAEDFTPLAEYQAQTPETFFGGKPVLHYHAKGAK SWISKDQCGILPVFPTDSSSTSPSGPEAAALGAGGEELTEQKLDIWVNTENFTLFHQE AQVGVTIPYPIISIHALKAIGSGSDQVHAVWLQMELGDGGTGDDDFNTFELTIIPPVT GEQTSQGEAKRLFEAISACSNLHPDAVEEGDEDEDEDDRIVFESSHEFEALAGFTGVM RGTSNGGLPPPMPGSSGWITAENVHEYFDEEGNWIGEEGVSGELGDGAGRVRNREEAD VDNINGQGTEEDSENKRPRVV
SAPIO_CDS9724	MYNSRPHPLLQQVPLTVSPFVALPTAPTLPYNYQPMPSTLPHSI LTPPPSSTGATADANSSTAASPSPSTPKPRYVVSNSGHAAHPQDIIASCREMQSHLDK MRSDAERELAELKEKIRQIELAEKRRIAPGWLDSDVRVLEPERKHVGEEGKASGEGAA EPPAGVSEMATDEGAELDRVFGTLKM
SAPIO_CDS9725	MLPRTLEPRSHSADSPEPWTNPINSHTHTHNTGYHISQQGPLQS HDPALLKFPDVPTTELPPIQSPSPANRQEGGNTLPSLSSVTGEYRRASSQVTDCSTNR QTSVGPQPWSALSPLSNHYSRLADSPARMDIDGSSNSVVSAASPDYFRDGRASSVSLD DPDVRLAAEALGDLRADFLSSPPNSHASLPMQSPSHSSTSSQARAQQHNAQPEPLLSL LTTSHPLLATTIGGAASAYNSSKNYSPRFKSGAEYVEGYLTPIANTVGSVGRVTGVEG SVRWFLGRKKSQRPDVESGATISHKRRKVAEHTSRNLPIDSDEKNEKALEAYGFKADR RLSQASTIDTLPAYESYEKSPAYTETAEDAGTKRDSANAPWQSRLIMSTSGLSIAMRE ESLRSLKYCLTWLRWANDHIAKVISSLKAALEQYEQVEQTESDSASRNGSEKTAATDA QANRRQLAARIASLRDDVLKTLRDVVETISKYTGGALPENARVLVRRHLTSLPRKWQL AMAMDSQQHQQQQQQRQEQGQQSADGEDGGRPREKNVKEGAQRVLVLAKEGLDMMAQI SDVLDGTIVSAEEWCERLGKKRRREGRDGSDPQIQMKTEAPPRGPPPGPDGDVNMS
SAPIO_CDS9726	MDRQDFVSASEHFLSWFKAIPGSTFHNDLSMADLRSRNAGRGLA VITASTSSLAEKLPHILTKDGAVLPDGSSDGPNSSDPDPWIGLILVLIYEYLQGEHSP WKPYFDVFPEEFDTPMFWTEEELDQLQSSSLRSKIGKEKADSMFITKVIPCVNTHTSV FYPEGRTTLNEAELLALSHRMASIIMAYAFDLDKDDDEGLPEGDSDDEWVEDKETSML GMVPMADILNSDAEFNAHVDHDDNVVTVTSLRTIREGEEILNYYGPLANSDLLRRYGY VSNLHRRYDVVEIPRSMVAAHVQMALGVSEAFKEKAMSFLGDDVEESIVLERDSGEPN SDGTLIAPIRTDRLLSELEEATTTILKSFRKVSASVVPDKRKREETCRGVLPLILSTR LAEYQTSVADDELVLADPQTAGRLRMAVEVRAGEKRLLHEALALAAASASSDADANQN GSDRPNKKTKVL
SAPIO_CDS9727	MRGYFASSDYNAGSTSTVGTGISFLFVVNRLDITSTQPHPDAWG NVIPPKDSNGFADEKESVVMRYMDGRVTPASGYRWDREGGWGTAGSIVGTRRTTKYKE QTVFSCNPHLPIVVGNFDPTVEVSDRDIDARKWHLLHFKCRPEFPATSFANGNAEGST YVVGSNPTWMPSLVPHTFRNRSQYRLQQSRGLSGDVPLVLGLMAFWDDWWDNHQVRMQ NTFYGHDSHWRDGVWTRRDEPRGYPTIEETPRGFLVHVSLDDYENPYSTKEALRRLER EHPIVPDISGDVSGHYPYH
SAPIO_CDS9728	MASAVFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSEAEEESS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKVVEVFTEYFKVLEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEIQARPPIAVTNAVSWRS EGIRYRKNEVFLDVIESLNLLVSSNGSVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRTTRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKARAQFKRRSTANNVEIIVPVPDDADSPRFRTNIGSVHY APEQSAIVWKIKQFGGSKEFLMRAELGLPSVRGDDEHGGGMTGGFGGSMGGVGGGKGA KRPIQVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRLPDAV
SAPIO_CDS9730	MAPPLIHQAFLLLTLLSPLTTANPLQDARVRDNQAPSRPNIRQI APSPRALLPRQNAASTSSTRTTSTRSTSTSLVPERVTVADYSYLGCFQDGSNHILTVT SEFDTGMTPELCRNFCLVAECGIFGLKDQYSCLCGRQVEPFAATAPEAECSEGCRGAK GALCGASARMNVYSATVALAEVDRGAVPTSGGDAGDGSGDNSNGQNDGDNDNNSNSNS NGGGGGGGGVNLSGGAIAGIVIGTAAFVALVAGLVAYLFFRRLINRLKPEPQLGASDP SSPSPATGAAALAGTKEQTPEQAPEQAAAMKSEFEQQQQGGHLAYIPPAAAQEPGSLY AGSAAYDPSSAFMSPVAQTGSPAGGVAIGQVPEAHGQPVHEAPGIIAYEMPGDGFVRQ DGLAEQPHLQQQHQQTWSPPPQPS
SAPIO_CDS9731	MSSSWSLGEEEYSARPYVKAARRSLQIPDLKTASTSSIRDDFSA PWKKYEDYLDKESSTLGNVQQLIDRLLWKYLSVLTAQPFEVAKVLLQAKLGDDPGSLA VPKPAPVQPAAKIYPESDPGSDSDGEPAYFTTNFPSTPSTSKGRNTSPKRRPSTPTTP SPPPRPPQHQLQISSSDSVLDVIAKNWNKEGVWGTWKGTNATFLYTVLQSWIENWSRS ALAAMLSVPDIGVEEDMLDAFTRSPHPWTTLGVAAAAAVITGLLLAPLDLVRIRHILT PASRRTRGLWATLKSLPSYMCPSTLFLPTVLHSLVHPVLTILAPVVVQSKFKLDLKLT PVAFSISKFCSASAALFVRLPLETALRRAQISVLSARPYLAPFDAKETSLQTVVPVGP YYGILGTIYHIAVEEGSRALPPRVTAAATKRRRPIAETVYKQGQGLAGLTRGWKISFV GLVGLWSASVLSNSEDEFF
SAPIO_CDS9732	MWSSRTPQLPQHAFQRHTQRSTFRNTRGFSTSSSLSHGHITPPK PGEELYVTFIDKDGTEVKLAVCEGDNLLDIAQAHDLEMEGACGGSCACSTCHVIVLDE EYYDRMPEPDDDENDMLDLAFGLTETSRLGCQVKMTKELDGLKVKLPAMTRNLQASDF N
SAPIO_CDS9734	MKTNTLILTAAAALFSQVSAEPGRTLTNWDCCKPACAWRSLLTG GVSGQVMVCNKDDQPFSNDLSNSVPSSCGDTAGSNQGYLCSDYQPRPVAENLAYGFAI TDGIENCCKCYELQWTEGPAAGKRMQVQVINEGGSVTDGRREFIILTPGGGVGPNAQG CESQFGLDWGRQWGGVSQASDCESIPERMQAGCYWRWNWARGDVNTWGIDYHEISCPD ALTSISGCRA
SAPIO_CDS9735	MTPDELQALVPSLAGDFKSIDAHLRALDKHLTLRSYIDGYTLGD IDTKIWLALRSNRAALGFVRKGSLANLSRWFTFVEKNHPEIQEEIKSAEAAKAAKQAA AGKAGGSYNITLPDAEKGVVTRFLPEPSGYLHIGHAKAALLCDYFAHRLYDGKLRLRL DDTNPAKESEEFQDAIIEDLRLMGIKADSLSYTSDYFDYLYDECKRLISEGHAYADDT DTETMQKQRFDGIESQRRNRSVEENLRIFEEMKAGSEEGLKNCIRAKISIDNPNKALR DPVVYRCNPSDIHHRTGNKWKIYPTYDFACPVVDSLEGITHALRATEYTDRNPQYQWF IDTLKLRTIHLWDFSRLNFIKTFLSKRKLAKLVDTGKVWGWDDPRMPTIRGIRRRGMT IAALRDFIIRQGPSRNISLQDWKSFWATNIKEIDPVAPRHTAVLKKDIVKVTLTGKEA PAEPFKQDKPKHPKNPEVGTKKVTYSSHIVLDQADAKSFKKDEEITLMSWGNAFVREI DPSDPIKTLTCELNLAGDFKSTEKKITWLSSEGTNLVPAELWEFDYLITKDKLEPDDE LEDCLNPVTESMEEALCDEGVALLKKDDIIQLERRGFYRVDKGLADWKEGEAKRVVLF AIPSGKSK
SAPIO_CDS9736	MARLTISSTQRPLATQVRQTLIPSITQVRYASGKSKKKTASKAG SSKKAEKKKLPKHFKSFDPTEYPQFSLCDAIRYLRAYEVGKRPLGVKYELSIRLKTMR NGPVVRDTIKLPHPITSGERFGVICPEGSEIAVQALQAGATVAGEESVFERIRNGDMP FERLLCHEDSENALKQANLGRLLGPKGLMPSRKLGTITNNIRKTMTSGASEYRERVGV VRMVVGEVGFTPRMVADNVAAMVKEIKSRCTALEDLTEKAVSEVVLSSTHGPGFNLNG KFQSTDPNVKEEDLAGVM
SAPIO_CDS9739	MPVEPSDASNSGPQAARSPTPPLIDDTLDAPRRSQPRFGSRSPS RAPSDTITTTAAPPSTTRRSDDGSKRPLSLQPPNPSTTSWRPIIAQPAIPPRPSTPPS QEATMPAETPESVPDDHDREKAPPSLASTLLPDLSLYTNPDPVPDNDTTTLEELAHLV RLSKYQERKRANTRIRLQRSLISAALSARLTRCGEMAHRNLVDCFRTDDKKTFATLYN AIHDVRKSCDELRRYTLLEPEMDSLNSSAFNSAENLSATNNPVPDPGAPSSLVPFLND ISASAREVFLNFLTQIRANQDFLAARLCSLSSSELTALTSFHQGLEPIESVLPSHLSR PPAPRGNTSTANRNPAHTTNTVGRLLSFQRHDPLSALIHTCFANSAGPDSAEDRRRTQ IWAAACSRLISEAKSGLEPFLLSVLNTWSSMRDWSGRSNMEWYLMKILEDGAFLLARA EDQHGTRFHISGWAREGNTAAEDFYQRAVGDLFAVVDDDDATGIPEGFLELGNEIIKQ LDPQLADSTRRFLVCKWLFQTFLLRAVIHPESHGMMAEYHITEYGRQKILWQVAMRAM QYVAEFSSWTKKAPLSIPSKIQMHIENIFNKLRGSRSYTPAAKLLPARSVTSLRETVE VHPYLVISPADLLTMVNALYPEQRPQSRASSSFRSGAPSISGFSTISQPVSIASRNNT GNNNNNSNNISSSFDTASVLSNSVSSVMSDAASSREPSSLDQRTGTPLRYTPPVADPL NSNRTSTYEDDGYRLRLALHEMSQALGLEVMNGSCHPCAERWAVLFISSDGSRLSSQM TFDPDDDPDEDESSSTTDTDEDEEEEGLELDKEYSQLRDSIIKLVQDYEIPRTMAPEG SRTQLSNRATGIKKYRHKNKIITSENSTRSRNPYYQRSESTDAQARSLEADGAAGPLG NPEAEDQESSTLVAMLKAASSQSKAQSDFVSAHLYWKTLQQLSSLKSPSLRQNGFAHL LNIFSWGPKDSIRRSAAAIEDYDAWLVWLKQNQERLEGHLDGMSKRLRALRDKMWYVT DVHNSAPYEHSRNICVALKTMGLPRRWRMKANNARGSSATTYIYRTESQIMELLAATE EQGGPNKLSDDQAEKTMRWLQQYGIESWFCRGEERIHRFCLEVDNCIGKLIGESMVDA PVLWSSELFLRDRKILESNKGAGGRDRDSFWGGDDTSSILSDSERRFGTGTRPLTTLR DLRNLSGFNSSQQSFDSSRFSFSRVSANLSDILDGQDYFGASSPVHTIDPSTTFWSPF QSTMSPSNATHSTGTGRPGTATSSNETVYQQRLSEEKSKFLAELRQTLTSLLLSDLGN LVFVRGSETDAWFDDLGQQCIDLQESTDRKARRAAAAAAAAAANSSAASTPSSKKDKS AGPSPRPRVIEKKKSFGNLRGAGETSGSGKGGSESTGTETLPRQVQAQSQGHESSTAS ASDTLTARSGTSNRGGGPDFPYKKAYQRLLRMFAIHPNPYVKLNTLHELKHLILSSLT LGGSKRSRLALARSELQAAAAAAMEEQGVRQIPLEDAIDNMKERRSQSTIQPAGPTSS AGGQFSTTGLDGKGSTAANGVSMDSVVMEVLLSLFKDAGIRPKTLFRDLQFIAAFVPP SVLDKTDRGKSFWDAGIAAMRLKQEVCQTMIKVSDNVVEHYTSKRKQAADTLTSKSDG SEQPASSASPPPITSKYTLADAVRMVIITAKEGHAASQRELGLFYLSHPELIDRVTMP LSKPREVFKQAIMEKYGGGTGRGGSGVRSHPGDRERARASGGGAQHGGGDEGTGEDVR SDPALMCVAWHWMQTADQGGDKIAMDFIRQQGLVWTKDLERFFVGLIQRNLLQVE
SAPIO_CDS9740	MRRLSKDKSQIDPSLANLPLEQLLERTSSNNPADTLPAYTVAPT APPERLTRSPPLPVSITAAFDSLQLSNEPPAFPTAQTCLAHLKLLYAFRNLKDDVGYS DGLWGIKDPDPAFGVPDARLLSRLREKRWAIFLARAVDRYEAWWKSFGGRPLTMTDME AKDSAAYLWFPTDGDSARLRWDEVMMIPLDVLMVWHTHMLNPRSYLEDCMRAGLRSLW FSGLPWKIVNEAIDTDFNYRVSDEAKRLWTARTGRQWDNAYDPMVKVIQCPACNGLCH VPWTSWPEGLQEPADVHFEGKGYGDGMLFMWCPNVACSMALTKDRLSLGRFINDVKNL VLYQIAMPGTQLDLGTGVPTVGEPSKPALHAFPNYLIRDSLRTPLLELFRPPGMGWDS RDQPQDLDPVRKIFGKSLDDKANIARIAGTQTGKFFLPKQGRMAIRKMLSRYNGNCSI FALDLVSAVLRQGIFIDKMHKIDWLHSPSARATMERLIVKYNRFLTIMARNRNNLAVP TLDIDLAWHTAQLSPSTYYAYTVPKCLKFVNHDDKIETSVLQGGFEWTSKVYQKKYGE VYSEFAENFHQSGAARFCPSDNSAHISAHNSVRTAVSAAAQERFNRELAKQFLKAQKR AHQKGRSIPSKREYYDHWGKMSQVQAEDRAVVVVALPGLVARAWQPEGAVVPGVAMME GHHVEAVEVAAAAMVVVAVMEAAVMAVGAVDVEDAEIPPTSLALFFSPNEKKKHRPHI MDVDISISIPISVSDPQHESHLIHVLKTKFPLELLLRLTELMDTPSLLNLRLVCHDLE RVTFSIFRKRFFTHRYVRYSAKSLRHLSEASLSSRLSPPTESIHFIPVPVLDDDYAAF DIGLDRDMLETAFSNLPSLRAITIRFDGAARRLFTFDVRMFRVIVAALSRTGTTLQAL NLDQSGGTGGGLAISGLFIPEFLRPSFYPPLQGIKHLSLSLFPDHQPRDTLLTQFLAI FRNLEFLRLNLDRGNPHLSYRLMQSMFRPALPNLSTVKELQLGKMMISPESMVRIINA FAPSLTALELFRIGLYISRETAPVDDDDDAVPRYSELAGNPQWKYVFTQLSKNPHLAK LTRFKAGYLTEGASRRHVSFRAEASEEGKEPPSVAVCEHHGSNFSKFARDVRDNVIVP PPSPTGWQGFDEEDEDEDEDDDEDMDLLDGEDEDEDEDGEDGEDGEDEGA
SAPIO_CDS9741	MRNLKSSVLVFLTATAKLIAAWPVVSEHAQLLTRAEEVADEYDY VIVGGGTSGLTVGDRLTENGKYTVLVIEEGVFHEKTGFDFQRMYDITSQPSPGLNDRT FLVGIGKGVGGSSLVNGQVFLRGTSEEYDAWKTLGGSDAADWDWNGLLPFFRKAMTLN PPTEDQVEKFKILYDLDYWGTDAEIQVSFSNGNASDQTMILYDAMSKVPGVNIPVDSG AGEAGLYWYPMAQDNVNFQRSYARTGHWDGLQRDNYQMIVGAKVSTILFDDSNDVLTA SAVTFRSGNDTSAEPITVKAKREVILAAGAIHTPQILMLSGIGPASHLAEAGIETKLD LPGVGANFQDHSYIPIIAYEWGKAPTNETPVYGPGVGPGAYPNLAAMLGLPVVAPEAY EALAAEYAAQDPAEFLPADYTPEQIEGYRQQQKVFSELVRSPNVVFSEMMLSGPGGSV QNLHPFSRGHVRLNASHPEAEVLVDYRAGSNPLDLRVMVEIVKFMRRFMTTGELAQYE AVESSPGPEVETDEQLLAWVKDNVIPSVFHPIGTTAKMPQEWGGVVDEDLFVYGTKKL RIIDSGIQPTLIGATTCETVYAVAEKIAEIILAQAGGEEPEPEPPLCKRGLKRARKAQ KSKKK
SAPIO_CDS9743	MTYLTQDSLAPPETMTDEMGPKREYEINHRHTKRPSQALSSSLC LPNNVLSFGGLLAPLSADGPRSEVRRPGRKALAS
SAPIO_CDS9746	MASTSDNSDTLAPDLQILGDEIILQPSGFVESRERSGEKEHALM KNTVRFRSEPLQFLREVSLYVSGTGWRAYDNIIGQPVFYPGFSEQMKGQILSAPLLQS RIAELAEKRIAVEEKEGLLDKQDPNYAIKRSQRRSALEAGLQQVAEKLTDNMICKFES KAFIRGAYYLVTQLTLRAYHQGIHVSSEEVVRLRKVAEIAERKKQSIIFLPCHRSHVD YVSLQVICYRLGLSLPNVVAGDNLNFPIVGQFLQNAGAFWIRRSFGDDMLYTTLVQTY IDTLLQGGYNFECFIEGGRSRTGKLLPPKFGILSFILDSLLSGRVEDAIICPVSTQYD KVIETEGYVTELLGVPKKKENLADFLTGGSSVLSLRLGRVDVRFHEPWSLRTFINDQL TKLTPSPSSFQLNMKDPQVVAVRHKLLRTLGYRVLSDINDVSVVMPTALIGTVLLTLR GRGVGKAELVRRVEWLTCRVRAKGGRVAHFGNAPLSEIIERGLDVLGKDLVGVVEGLP EPTYYAVDRFQLSFYRNMTIHLFVSEALVSAAMYTRIKLGGGPANQDISYQDLLDQVL FLSSLFRGEFIFSGEGLVVNLEKTLRGLEADNVIYLERDPATGKIVKAGLSDEERRAG RENYDFYCFLIWPFIEASWLAAVSLMGLSPPVGEKEDVWVEVAKAQNSAQLLGKTLYH QGDLSYFEAVNKETLKNSFQRFEEEGILQVVPSKIPKVPPRLRLAPEWRPPRDSSDGS LLASGRLWDFTEKIASSRREGKNRRDGATVSTRVLHLTDGLGRKLMEEAKEDKGNVPH RLSREDAETLRRKVREGSGRKKLAGRAHL
SAPIO_CDS9748	MGSLAPASLQHNPEPRVGLGNLPVELLLEIASELVLEDFLACAQ VSRQWHASWSQPGMVAVLCRRFFPISTQSKPHTFSTFQKACRKFFRRRHGKYAAAMDI TWGDWEAGRPCHFEPDRNVHPDGQFPEPEIDQLYILVYGDGNIVRTDKKELIFIDNLY TRTRKVLQGANWNFNTLSAFPYTSAVGNSLLATQVGHAESGFPVVGVKYVFEDDLTRW LSIRTWGEKVFIASGPSSVHVFSHNGADLSLTYVINPYGSLPPEWHGFVRTTGNRYLF DRHQTNFLPHPRNPDGVFIVLAGTTLESELDDGAFFLVREFNGTTHVATYSCDVWENM VAAMEAVFNQDDNKSAQQELEAAMSRMEVWDFDWGSIHDEWPGSFGGDEGVYEVFRFA FNSKNLAGPGQPPRFGWGIHQQISVTFCTTTKQWGAQGALIDDGEDGLFNTDWASRGS MLRNNQLVRMNYNKDGVLGLRPTITTRDINLIVGEERTRTELGKRNDLYLLEHENKGR PKPRAVGNHRRFSHDEDFTVMWDRFCGDCVVFDFRE
SAPIO_CDS9749	MPHIGEREERINVEEDVCMTGHIFVRRGVWLMGKRSTRSTDSEI SIPARALVKPPWLVAACPATSSPSSKYRSRHQHPDSNLVRATHLEIPMAPLLKWARNL LRRTPWRPLRFPTAGFEVVPATKLLEEERFDEFKTGNYYPANIGDLFASNKYQVVGKL GFGDRDYVTLKIFARDHGDTCRDEFQTYKTIDKANPSHPGRRHVRTALDTFTIDRPGG DHQCLVQRPMWDSWKDLLLRNPAGRFSEALLKGGLQHLLRGLDYLHTECKLVHTDIKA DNILHAIVDQGILEAFVKEEMESPSPRKYVDGAPVYMSRRFGLPEDFGRIVLSDFGSS VRGDLKRNHDAQPNVYRSPEVMIKAAWSYPVDIWNVGAMIWDVFEGGHLFYGEDPTGK GYTTRAHLAEVVGMLGPPPLDLLERGVRSKEFFSEDGQWIADVPIPLGHSLETAEHVL SGRNKAVFLNFVRGMLAWRPEDRKTARELLEDPWLNTWEISD
SAPIO_CDS9751	METAGLVVGVVALLGLFKDCLDYLSIISIARQAGRDLDILKTKL DIETTLLLQWATRVRLLERDHDPRLDDGLIQQAVFKILQQLQILLADATKVGNRYGLE NTSEGYEVLPNSAAISGRRKLNFDKSIAIVSARVKKLKLSPLNRALWVAKDKAKLEEL VADVSYFVDRLDKLVPDISDGMRVTHLLMREDIQSGLPTRELVLVCDASEDGTIAAQA AIEGLETQFHENMLKLLAYPEMQSREYSISPAHKATFQWALRTPRGNQHWDDLSRWLK RETGVYWIRGKAGSGKSTLMKYLWYERRTKMLISEWAGDNPLLLASFYFWRIGTNRQH SIEGLLRSIICQILRFCPRSARMLFPTLWDTVRSRPEEMTLEMPRVQELKDVPLKMRQ VDALKDVKVCFFIDGLDEFSGDYREGLDFLLTLSARPNTKVIVSSRPEPVLVDILGHR PNLRLEALTNEDISTYVHATLTPHPYIGLLIDVDATSESAANELIEDIVNKASGVFLW VVLACRSLLDGFAAYDTMSELRKRVDELPPDLEELFKYMLNKVDKRYKTQGARILRIC YHHQSLGMGITIPAVGLAYLEEQEMGSARKSSMGPISDRQAGQRCVILEGRLRSRCGG LLEIRSRTSPCRCSRWSSPDAILSGSTVEFMHRTVFDFLRCDGVWSMEVLSLGDRPFN PDAALATLLLHAARNIASKRSLACIWEADESHQHYIAVAISYITASVRTCEDTAIALL LKLEQLLRDNSITGTCRNTRKGTTQQMGAKEFVFCLAVEMGLCPLVQRYIEELKYSDY KLTPCYPLLVHASGRYFVKNIYPQASAFLIHPRNTGCSLRMLKLLLPLGCNPEEKFVF HGVKVWFGELLSFEVPGDHKATRSPMQITCAAILTKRQEIQELRRLALYVTVGNAKVE DNVAATVEGFKKEPSWWEQIWAFPLFLDTYVPFRNSEPSQ
SAPIO_CDS9754	MATTPTEIVVSGTSVTLLPLPTPWPQPPECSTFMYRQLEGTLLA WDPVYGISFADAARTCFPPQVTSWRFQQATGTVFTALGPTFACPEAYKPVQTLLVEEN IQEIFCCPTSYRLLVAQPISPEFPSQCISTATRGQILIFLNFGLVSPSEITAATTVST VAEDRVTIFAGPVNGFNVGSQVTSTGGQDDTNTSIPEPAGEAPSELSKETPGSGPSLG LSVGVSIGTVLGLILVGLGAWLFWRKRRRRHATKQIPSGESWNSSAVMPVATGQEIQW TGWTPKAELPDQSQKVHELYGNG
SAPIO_CDS9755	MAVILSESRSTKSRSLIALLILVVVWVVVLTSSGNDDGIPESLP EAAGAGSATEHPGDASPTFESNDINNINDGRPLAVVIETQATPNLIPLMLHFSNVLGP HWSVVLFTLEEFWESPSSLAFDRALDAGRIEVRFLPPETQLKSSRAVSLFLTSPWFWE QLQSAYRILLWQTDSIICSKAEQAVEDFFGYDYIGAPIQKAYGKGYNGGLSIRNPKLF LQITQESDFEHSGVQFEDQWFFNQTSARVGQGVVLPTEETAKYFAVESIYHERPLGYH QPSRWQSGHMTEIEEWCPEVKMILGRRRAY
SAPIO_CDS9756	MPPNIFCSLCGVLLSPNVTINPVPDMNMLPWMNRARAVVMGTGT GPNCRSPRLTKPTVIEDIVMEFPDEWEDHIGTRNDYELVDEPIEQVEEFGHLDPYPFH EACWRMLVSRTVFRKMIAGDKTEPRELVRALVHHLSSLFNAHQMDGYGLMQIDHTFGG ASQFRRIIGLTQYSRGLPEHLGFLAEDPLSENPLTLAGRFPNDQATKDWTMHWMSEAS EKGSSFSYATDPFRLLPLDIIYELITSMASTDVCNLRLASRTVATLCTDRTLPQAFWK SRFTPGFEMEFILAGSSLKTSKPNVNWGALYKHLRAQSNAGWLRNKRRIWECLERLCG ALDPLMACKGLTWPQDVWTMLSDVRVPVPRGRMAGEFVNSRFTGHYVEELLLFPDPLR STLLITASFIRWPANELLCISGFRVHSKSEDGSYGLVAEAGVFNEDHQQSIDVEPEHR ICWIRAYLVDDAVVAVAFFVDRNEENVDVTRLYLGDPSLPEYKEQLRVGEMGPRLEGP GHLNGLIVRTNASHDSRTSPVCKCSAIKVVEPAPPPKESGKPHSPIHTPSILWRPDEP DDVWVIPRLLVTRGDSLDMGFPSPYVERLRIEFGGYQGERLSMLNRVSFYMDPKSNLF TGFGFFYDDGSEESCGSYELDQSIHPRRTPSIEMSFYILGRLGERITCIEVLTNMGQS NWVGMQKGQENSPGGYEPVSVKIFQVPPGEMLTGIEAELKPREDTIESFGIATCPYLD ILSQPYVHPVKTRALEFLKSSPRVDAYMLRQGCGVTGSTLQGVRRIRFSRGIRNRARE NCLSGLLLEFGEKEHRRIVGQWMEETDDVFEIGKRDAIVHVRVWYHQQEHGFHRYMMP DENNGRVMGLELTTRDGASKRVLCGDVEDTVVLDFYTNTMEEIYAISWSINASWDSLH VVRRPRPRYFGKRLDFTPPASSPPLSERQKREARIFPYTEVDTAGNTLSMTGIRAFFG DKVINGIEFVYGSGGKVVTSSIGLLEGHCESVQLGTGELFENVYTEKYPFASLETNER AEAMILVIKTMSGSREGRDITLHHPDTPYVRLSHQPSPETESGGAGGGSKLG
SAPIO_CDS9758	MSVEVITTISPNTNKPILTRNGISDVDIELLPQAATEAFQSYRK TSLAERVSIVKRALVLLEEDKDVLARELTEQMGRPIAYTAKEITTAVKRAEYLVKVSD EALKDTEGEPEKGFKRFLRKVPVGPVLIIFAWNYPYLVLVNSLIPALLAGNSVILKPS PQTPTVAEHVARIFDKAGLPTGVLQYFHSGSPSAIETIVRDPKIRHICFTGSVAGGLA VQRAAADRIVNVGLELGGKDPAYVRKDVDVAWAAEEIVDGAIFNSGQSCCSIERVYVD ESIHDRFIEEIQKVLKGYRLGDPFDLATHIGPVISKRSKETIEEHIKDALDKGATDST PQNDTFENPPAGGNYVRPTLLTGVTHEMKVMKDESFGPIIPVMKVAGDQEAIERMNDS EFGLTASIWTKDTETGYRIADEIEAGTVFVNRCDYPSPDLAWTGWKNSGRGVTLSKFG FDQFVKLKSYHLKDYPK
SAPIO_CDS9761	MDLDDVVPFNPADAPAQQRVATILCYNCGAPLDGTVSGGALCDD CIKLTVDVSQGIPRESFLHFCRDCDRWLLPPNTWVVAQPESRELLAICLKKLNIHKVR VVDASFIWTEPHSRRIKVKITVQDSVAHGVVLQQAFEVTYVVSTQQCPDCRKSYTANV WRASVQVRQKVPHKRTFMYLEQLILKHNAHRETLNIKEARDGVDFYFAEKNQAETFVH FLESVVPADIKSSKELISEDTHTSTTNYKFTWAAKLVPICKDDLVALPIKLAKSMGNI SPLLLCHRIGTHVYLLDPNTLQTADISNKIYWRAPFTSLADAKEMVEFIVLDIESTPV QKGKWHLCEATVARAADLGVNDNTYFTRTHLGHLLHPGDSVMGYMLSGTMFNNEHFDA IEASNTYSSTIPDVILVKKHFPNRRKNKRRNWKLKHIVKEEDDLFNRKANMSKDDNEY EMFLRDVEEDEEMRAAIALYKAPKKTDPDEMSVAETEEDDEVPRINVDELLDDFEDLT VQDNQ
SAPIO_CDS9762	MGKEVFTGLADAIYSKKSLNFATPGAPSRNGLENDDKYRMVEDE LISIARKFTVHLHAAEYHRLKQQAKARNAEAIDSISRPVVGRMSLAVQARNDRLERAR KRRDGLKAALAKGKGKGLEVESDDDGALMGTSLQGLMEAPKKSAVKLSRLTSVAPSTR DITRLESGEARSSRRTHLTRPPVRVVDNTMEESSDPDDLDVPVRRATLPPLPAPRRTP LESCHDAVNPGLPAVKHPRQSTWPSISHPSREKRPAVTRQEIKEERETTSIILSDSDE DLFAGLKKKRRETRRTIPQARPRATGASSASAKSEGTSKTAPTDIIPSFLF
SAPIO_CDS9763	MSSPNGAPSGGAPTMPQGPPYLPNAAILGGVPTPSIDDPICGVL LALFIAAAVFNMAVLQINLRREHKFIMSGLLFGFCMARIVTLIMRIVWASRQTNVRIA IASNIFNQAGVLLLFIVNLIFAQRLVRAYHPKFGWHKIPTMFFRFFYFSVIALLIMVI TATVRSFYTLNKNILLICRDIQLFSGTYLAVLAFLPIPIVLLAWAWPRKNRIDKFGEG RFRSKVRLVLFTSTLLAFGAGFRIGGSYDLRPRNNPGWYHHRAAYYCVNFVIELIVVY TYALLRFDKRFHIPNGSSAPGHYTNGVPASKESGGLDDRINSEADAFGGGSDEDTAVF SRDNEEANERKWDNRALADLEKQDQGRPISS
SAPIO_CDS9764	MASTTNFTADIVPQAPEDPLFGLMRAYRADESTDKVDLGIGAYR DDNAKPWILPVVHKADEILRNDPDLNHEYAPIAGIASFTSKAAELILGADNPALKEKR VASIQSVSGTGALHLGALFLSKYFNGNRTVYLSNPTWANHGQIFKNVGIPNAQYPYFS KETKGLDFAAMKGALAAAPERSIIVLHVCAHNPTGVDPTPEQWKELAVIIREKNHFPF FDCAYQGFASGDLARDAWAVRYFAEQGFELALAQSFAKNFGLYGERAGCFHFVTSPAS DASDAISRIGSQLTLLQRAEISNPPIYGARIASIVLNDPALFAEWEDNLRTMSGRIIS MRKALRAKLEELGTPGTWNHITDQIGMFSFTGLSQAQALQIREESHVYMTGNGRISMA GLNTRNIDYVAKAIDKVVRDAAAKL
SAPIO_CDS9765	MFPLYMPPPSFYSSSRLRNSQGKVAELRLELNSGGKKDKSYSTK KIALKKIVANMTMSNNDMVALFPDIIGCMHIQSLEIKKMCFLYLVNYSRMRPEIAVKA IPVLEQDMADPSPLVRALALRTMSYVHVKEFVHATVPQVRQLLRDNDPYVRKTAAFCV AKLYDHDKHMVEKSDLIDKLNSLLRDDNPTVVASALASLMDIWERSEAIKLTIDYNNA SKMVAILPDCSEWGQTYILEALMTYVPQESSEASLLAERISPRLSHSNSSVVLTCIRV ILYLMNYISDQKQIAALCRKLSPPLVTLLAKGPEVQYLALRNALLILQRRPEVLRNDI RVFFCKYNDPIYVKVTKLELIFMLANENNIEEVLTELREYATEIDVHFVRKAVRAIGK LAIKIEPAATRCINLLLELVATKVTYIVQEATVVIRNIFRKYPNQYESIIGTLCENLD SLDEPEAKAAMVWVIGQYADRIENSDALLEDFLYSFAEEPVEVQLALLTATVKLFIQR PTRGQELVPKVLKWATEETDNPDLRDRAYMYWRLLSTDMNAARQIIMGEKPPITAESE RLDPQTLEEMCLNVGTLATVYLKPVQTVFRSARPRKLQDSPCLQRDSLVAPGDGQKTL SMFGKGGQPSDIDLRNRPAAAAIPSNGGAASSSSGFNDADAYFASIGPQQMAAMRIDQ GDVFGGGGNGQESGYVVSAHAPQHVLQPAQGQGSNGDLLVL
SAPIO_CDS9766	MDTLKVKKAWEVALAPVKSLPMTAIMMYMSGNSLQIFSIMMVWM AFKNPIMGLVSTNQAFARFESETNRSQIVPIKLRPSYRTQFSGAMDLSASFNELLQAH KAPPIQRRPELDHLEEFLKEAYRINSHISNLHNELKDVRQAYLSTAQPRKTHLRMGQA QRYLTDRDREEIDANAKQIIRELNASIRILDDAESKRRHDEEAAIRKKYGSALGALGS WAAGGKKTDEHEAAESRARDIGIHRDGILWFLRKRLELCCATQQSMMEARLTREMERN RSVLANASIPLPGYTAPQEKAAVKASSSSSDFTSPQYESFAPDSSLTEEQVQMFEKGN QDMMTHYQMTLDKVRTAEQSLVEIAELQTVLVNNLAVQSAHIEQLVADSDNMVDNVGG GNKELKKATQRPSAARYTFFAASGLCGHHWGIETEARWLS
SAPIO_CDS9769	MTDLSDRPKTDIPEQSTTTSKMANPDLPSGSPSNQPDVTGQSVE ASDSNAHTLAAATDTTTPSPPEAVPAPAQQEDSLVISQETTAPSPPAKHDYSGTAIEA ATNEDATKAIPVASKDEGPVCVITLLVTSGKKHPYKIDEKYLTKRNVHIPGTTESGHK DPFSISVYTLKELILREWREEWEPKPSSPTLIRLIHFGKLLADKDQLNQYKFSAETSN VVHMSVRPQEIVEEDEPKGSGKGGRDSRSQDSGSRCCVIL
SAPIO_CDS9770	MSMRITPASAPATSFTHTTKSTSAPSAPGLHDTLRAGVGPSSAP TSTDAPAPVDSAHPLEARLKNWDATQEALRMETLRRMFGMAEPIRQGMELKIVRDTTW RPAVLGAAGPGVHEDILRGRDESVTWEDVFTGEESRPVAGFHDEMEKKLKM
SAPIO_CDS9772	MAPPTNQELNDKREEHCVAITPEEKRILNEGACLKFLTEKTNIP LPKLYVCFKDDGAACLVTEYVERTAMNDLDEEKKTVAKELELHLETLKKLTSDTWGGP GGMAILSPGFNQDSMLPPYRVLRTSRGGTWKVRNRDKRDLVFCHNDLSASNAIVDADS LKIKAIIDWEYAGFYLPELEAPFYRRPGPSFALEGEVDDVDMLLKMISQESEI
SAPIO_CDS9773	MDHHPSTPTRPPDYSLPAYEDEDTPGHGSGVRLLTSMDDPLDSR QYVTRTSPTREPLASAMQPESPVPLPLSPGGRVLSHPSFTGQSPVRTPGSSLAELRRK PVDGSPTVKLQKKNKPEHILQAEILQSLPTLPDGPSRRKSLLREQRTGSPVRPRAAMS ASGLQPPSVASSHSRPSSILDPPSMPPPDSTYVPFQRRDSIASSRGWSPSRMSGSYAR SPPSSVHYEPSELNGSPRPGTPSSRYGGSPRRPLPPEPLFSTGARNSAAFADDATVSI PLDGDHDDDVFGPDRDYVESHHHDYYDHDHHDHYDHHDDNDHHDHHDYHDYRDHHNHD RDSYGRDSQTTLSGAGHGDDDEFNEKVSHYGPAPEGEQERRGVRAPQTSKKEVQLING ELVLECKIPTILYSFLPRRDEIEFTHMRYTAVTCDPDDFVDRGYKLRQNIGRTMRETE LFICITMYNEDEFNFTRTMHAVMKNVSHFCSRSKSRTWGENGWQKIVVCIVSDGREKI HPRTLDALAAMGVYQHGIAKNYVNQKAVQAHVYEYTTQVSLDSDLKFKGAEKGIVPCQ MIFCLKEKNQRKLNSHRWFFNAFGKALNPNVCILLDVGTRPGTNSLYHLWKAFDTDSN VAGACGEIKAMKGRFGGNLLNPLVASQNFEYKISNILDKPLESVFGYITVLPGALSAY RYHALQNDETGHGPLSQYFKGETLHGQHADVFTANMYLAEDRILCWELVAKRQERWVL RYVKSCTGETDVPDTVPEFISQRRRWLNGAFFAAVYSLVHFRQIWNTDHTIARKILLH IEFLYQFLQLLFTYFSLANFYLAFYFIAGGLADPTVDPFGHNIAKYIYTILRYTCVLL IATQFILSLGNRPQGAKKLYLTSMIVYCVIMLYTTFGCIYIIVRQLTKKELDEDGNEI GLKLGSNVFTNLVVSIASTIGLYFLMSFLYLDPWHMFTSSLQYFLLLPSYVCTLQVYA FCNTHDVTWGTKGDNVMKTDLGGAVGQGSTVELEMPSEQLDIDSGYDEALRNLRDRLE VPQTGISEAQLQEDYYKSVRTYMVVTWMIANAILAMAVSEAYAGRVIGDNFYVRFLLW SVASLALFRALGSTTFAILNAVNMIVEGRVRMSLKVPEWVGGLGSKMSETMSSIGSSV RR
SAPIO_CDS9775	MSFLFRAFDSSTSSPTSPTAVEAMAARIQTPELAVARIAYLASD VIISVQPSNATDSSFSSHLRQLSTSGSRSLVATTPDSIPEILTTRHNADPLLSAYQLA RSGRLLSVTTPSTILLPSIAHLYKLANLPVVIHVSLYPTPNADYSPITAIRGSGWTFL QSETLQEAQDMAITAHALALRSGKGVIHFFSPETSARDEPIPIEDIPLVRELLDMDTV RRLQSSSTPGTTIYADDGQVAVTQTQPLPVATAQLDINRLHLDVQNTPSKSGSSRNSE GHGSQSSTPPHTSSSPATSIEPHAFQVTSEDVYKYVNGIWQQMKIVFGREYHAFEYTG PEDAENCIFVFGADTGLFAEAIDNAKSDEVFAKIGLLTPRLYRPWLGAVLLEAIPRAV KRIAVLEQTYRKTTKWGPLLLDILSTVKGGLSGVNTVVGYQLGHITAETATQALRGVV QNLTSETPIQNLPVGLPEAAPSANEYSLEKPKVETAYMKILDQLFGKRVYLANALSSS NAGISATISASPEFGFGSLLARREHRGRFVNEVKDAIKSGAFITDAPSTWLTRWSLNA EDPVKSGELADDVISRLETDGSSLAKSLLQHKGLFRKESLWLVGSDAWAYDLGNSGVH HVLASGANVNMLIIDSTPYSERAAADANRRKKDIGLYAMNFGNAYVASVAVYSSYTQV LQAMLEADKFEGPSVVLAYLPYFGEHDSPLTVLQETKKAVDIGYWPLYRWDPTNESRG ELNFSLDSERIKAELKEFLDRDNQLTQLMRKHPRFGPNLAEDYGTEVRAEQKRKAKDA YNQLLEGLFGEPLTVLFASDNGTAEMIAKRLANRGKARGLKAAALAMEDYPVEDLLTE QNIVLVTATAGQGEFPQNGRPFWDAIKDSTDLDLATVKYSVFGLGDSHYWPRKQDRIY YNKPAKDLDRVLTNLGASRLADIGLGDDQDPDGYQTAYQEWEPKIWQALGVDNVEGLP EEPPPLTNEDIKLASNYLRGTIVEGLRDTSTLAISAADSQLTKFHGTYMQDDRDIREE RKAQGLEPAYSFMIRCRLPGGVATAKQWIQMDDISTSLGNETMKLTTRQTFQFHGVIK TKLKPAMQAINRALLTTIAACGDVNRNVMCSSLPTQSKLHGEVWKCAKKISDHLIPAT SAYHEIWLTDDNDEKTQVAGDAVQDFEPLYGPTYLPRKFKITIAVPPHNDTDVYAHDI GLIAIKNEDGDLEGFNMLIGGGMGATHNNKKTYPQIGRMLGFVPKDQIEIACEKVMLV QRDFGDRKNRKHARLKYTVDDMGVEEYKAKVENLWGHTFGKERPFKFESNVDTFGWTK DELGLNHFTFFIENGRIEDTPDFQMKTGLREIAKVHKGEFRLTGNQHVILSNVADEDV GAINALMKKYKLDNVKFSGLRLSSSACVAFPTCGLAMAESERYLPVLIGKLEATLEEH GLRQDSIVMRMTGCPNGCARPWLAEVAFVGKAYETYNMYLGGGYHGQRLNKLYRASIK EEEILTIMKGLLKQYAAERNPGERFGDFCIRAGIIHATAEGRDFHENVAEDDGSDEE
SAPIO_CDS9776	MSSMRSFLHIRVPRPKSLLGLISLQTGTEFISLMLAFNKLTGLY GILAILTGFELSLLQLTTYIYSILVLGLLAILIPHIKKQSPFECLSLAWLHIIDTLIN CACTTFFAVQWYFSSVAESDVLTQQHGAGEQLNGTALPFVSRGASTVLYKRLQNLQGG VPVAMPHDTAFSFVLITAFTLVRIYFSLIVAAYAQQVLIRHVDLEMENDRPRAKDANG PFAVGTDEGEGWKGRVGRVLVSLGRSYWLDYKDQEEWAKSMNERFRKSSTSLASAEV
SAPIO_CDS9777	MSSANLVESAKKAAAYRAVDEHLDPSFRFVGIGSGSTVVYVVDA IAAKGPAFYANMVFLPTGSQSKALIRNAGLTLCSLPDRPSGPDGLPVALDVAFDGADE VDAELNLIKGGGGCLLQEKGVAVRAKKFVVVADYRKISDRLCTKWTSGIPIEVDPFFA LDVLVDLKELGSIEPKIRLGLPAKAGECVTDNGNWIIDAPFKPLKLKSDPAGDGWEVS QLGAAIKQLPGVVETGLFWGINGIEAANLTGRRAAPQKPVAAYFGMENGEVEVKTAQ
SAPIO_CDS9778	MAHAGSSYNAPLKKFKYILTPLLTRFTFPDGLWGETDSGVHRLV FLGEQSDAKSFQNTRKWIDDVRAERGNDVIIVLVGNKTDLNDKREVTTQQGEEEAKKN NLMFIETSAKLGHNVKTLFKRIAQALPGMEGTDAAVQASNQMIDVKVNTTQAPQEGCA C
SAPIO_CDS9779	MPVLEARTGSLDRPQQRNQPSRKGKKAWRKNVDISEVEKGLVEL NEEIIRGGPIAERDSTELFTIDTVGDHNLKKQLPRKLRTTLKADEIIAQRSKVPAVSS RKRASDKTTDGLIPTKRLRTNYVSHKELSRLRKVADGHHDQTVVVQDATYDIWGSASP AKTDGEKFAFIPPVVKAKVPGSLREKPISLAANGREIPAVRKPTGGYSYNPSFPEYEK RLNEEGQKAVDAEMKRLAAREEEKLKREAAARSAAEAEAAEAKAELSEWDEDSEWEGF QSGAEDAKPSKRPERKTQAQRNKIKRRKEEERKKKMETAAKRKQAQLNLVKKFTREAT EQEQAIVAKAQENESDSEGDEERLRRRRFGKSKVPEKELELVLPDELQDSLRLLRPEG NLLRDRYRNLLVRGKLESRKHIPFKKQARGKMTEKWTNDSRLLLILAPSTAEDLEAVN AYRLYEIGQGPGTVDYLDTGASWRKTEPILCRCIASSLHATPTSTSTSTSNVLLIFVG LRTQPPPTPLEYLHDPEATLTVPVLIVTRRSLSLGDLHILRIEKHSRHILNPPVDMDT SREAGVHASIKKRVHYSPPWADVSIIGIAGSSGSGKSTLSHAIVKQLNLPWVVILSMD SFYKSLNEEQSKKAFASEYDFDSPEALDFDVLVKALRDLKAGKRAEIPIYSFAKHQRM AETTSIYSPHVLVLEGIFALYDPRVLELLDMRIFCEADLDTCLSRRILRDVRERGRDV EGCIKQWFAFVKPNFEKYVEPQRKSAGMVVQYIERKLLEKSTHHRAALYELEIGSKSQ PLSKKVSILEGTPQGRGMNTIIRDIDTSPEDFIFYFDRLACLLIELALNNVRFKELVV ETPAGYTYRGLEAKGEVSAVAVLRGGAAFETALKRVIPDCKMGRMLIQSNVRTGEPEL HFLKLPEDISTHESVLLLDAQMSSGGSALMAVQVLLDHGVEQEKIVLVTYSAGRMGLH RLTMVFPEISVVLCSVVPDIEERWVEKRYFRC
SAPIO_CDS9780	MKCFPRFLRTAPAYRPVAVLNAPSFYRAASTRPVRSTPNSSVLG PLYFASRPSPVLRGQNGRNISSEVKPSPKRKSRLITTLYKTALFLGGSILLVGAGVVA FFIYDASTYKEHSTSREINVSLLSLNPRRGGPKNLPIADAFIDDYDTEERKMLAGKPR LVILGGGWGAVAMLKNLNPGDYHVTVVSPTNYFLFTPMLPSATVGTVELRSLVEPIRR ILNRVSGHFIRARAEDVDFQNKLVEVSHVDDDGFESRFYVPYDKLVIAVGCHSNPHGV RGLQHAFFLKNVRDARKIRNQILHNFEIACLPTTSEEERKRLLSFVICGGGPTGVEFA AELYDLLNEDLTQHFPKLLRNQISVHLIQSRGHILNTYDEQVSKYAEERFAREQVNVM TNARVKEVQADKIIFTQIADDGSVVTKEIPTAFCLWSTGVSQRDFSKRLVAKLAPDQS NQKALETDTHLRLLGAPLGDVYAIGDCATVQNNVADHVVSFLRTLSWKHGRNPEKLQI RFEDWKEVAAEVKKQFPQAIAHLKRLDKLFEEFDKDQSGTLDFGELRELLRQIDSRLT SLPATAQRAHQQGQYLAFKFNRMAKATAALKGDHTGHGDLDSAVYKAFKYHHLGSLAY IGNSAVFDLGEGWNLAGGLWAVYAWRSVYFAQSVSIRTRILMAMDWLKRGLFGRDLVS Y
SAPIO_CDS9781	MSPPPKDGPVVGSDVPRQKAIATPDTVRVGCIAMVEKDGQPRRA EILSIRDTKSGRQFYCNFDNFNKRLDEWVPVSRIDFTKEIEWPNPEKDKPKEGKGKKG SSQPAKKTVPKKGQKRPSKREQSVTSEAATPRPWSDWAESQDQTPSAVNGEGQDASQV GTPRNGVPPVGPDGDVEMTGTNNFNREEEVEKLRTSGSMTQNPTEIARIRNINKVQFG LLSYRQYWSENIVEMLLEINEHGEGCTMEQISNSLAMTIKDVEHTLQFLRLLVYHRGQ HKIVLPDKLIEQREKQKSKRRRFIDPSRIQWTPPVFTASAKTWGW
SAPIO_CDS9782	MSSATTTPINHLFSGRSEHALPYNRDVNSEMNALILDYLTLSGY SRAAANFSREANLDPHQDNQSILTRQKIQNAIHRGHVHEAIEALNDLDPEILDGDPVL HFSLLRLQLVELIRQCTTSPDGDITPALHFAQTHLAPRAPTSPQFLEDLENTMALIVF PHDKLGPDLEPLLHPNLRREVADNVNKALLQRQADRREAAIRMLIHTRAWAGKTAQDS GKSHSEQMGLDLSLDLLGHRSRASENGSEEMNTSL
SAPIO_CDS9783	MATLRTAISAGVLRRTAILRPAVANRRFQGSLSPTEQPAAPGLR QNQPDYSIEADKATSTFTPVPKRVQDGSEESDIIPAAVVSGAPIELQARQVRIYKETK PATQSGNWKGHHWRMDWDILPKGHRWENPLMGWQSSGDMMQGTRIFFESKEDAIRFAE KQGYEYYVQEPNTRAFKPKSYANNFTYSAGKLKMARTK
SAPIO_CDS9784	MSYVPKRALSTLIPPKVASPKAIGANPDAVRMQRVVNFYEKLPR GAAPEVKATGLLGRYQAKHFGKTPTAKPIIHVVVFLVAIGYAQNYYYHLRHHKNNAH
SAPIO_CDS9785	MATEATLEKPADAPASTDSQPTPDFETTRQDGVQKPSLNGGRSS DDIENKRITAVEDQSHSTDVSVSGGSDTEASRADSSKPLNDDKGHERTSSTVKKPTTF KAVSVNKTFLASKAGGSTAAAKSSDKNSAASGMSSSPLNSSGFLSNRPRLIAKTGSGS RDSIPRFAANGGKPAAAPDPTSVWNKNRPTPTPETKKMSDEELKKYGIHMATRLHSED NKGQSNWADIDDDDDDWAPETITWTDGTKITLPPPDETQNSSVGPAQAGGTAIAKDKS ASDKTRSAAPSRTASPSVRSGGLASGKGLILKAGSTEKVTLVTKPSAPPPPAKSPWAP LPPIQKASPVVVDSLPLHTSPRLPLREPHAHKSFQHPAAREIAADDFSRSSWRDGPNM ASRELYNSQSGRYEPVQDRRGSFRGDVYSRPALLQRPHPHHHDSTEPSPTFQASRPVQ DGPFGRRRGSSNVSGGSGLLQRAGKGYDAGSMPPPDVLNTRRGSFAASTDSHISPRNF SPSGQAGARLQSSHGWPSRTSPAAAYATPHYSHAHSEKPPTPQGGPGSNVALQSEEDT VEFQKRLMRERREEAIRRRLEEEAREEAAKAERIRLKLEAMGPPPERKSAKKELTTAD NADAATTTPSLAHSTVSVPGQATTTANDQNIASQEDQGTSKPATISTATTLPAGLPSE LSADSQPQSKPTMQQDMGQPHIWQAPAQPSSSRLTSWPSSSQQPSRNVWGSPNNDRGL GNGTFNPDLGRVPESRATQLPQHPAKEPAPIGPPSARVLSQQPPTQAYPAARLDRYGA PEPRQYSEKQNQWVASVLQSDASLREQRARERADFDQRLAEQGLTYDDAQPTIKQSWR PDSGPSRPEQVSRVAATSWGRAVDEGSKHSNSISPDTHAPNGVISGSGAAAILTSNAS AATSAQPRASRFFPPKERDTRAGTHTNSESERPKSPSPPPPTMDDHPAYDGDASHPHV SLPPPQPIVKLPPAPPTSASGQAPKADSTWLNSTHHRPLHSSSTSGSTAAHHSSITIH SPPQSQQEWQEKIYELTGRRAAPPKSPAVESASRTMLDHSSQHNPATVSLPSHIAVKE MDKGEVTSKPMADECFEEQEMGSLPLIRIPHKAPDAAWQPAVPANKPLPRTFMVVANT AKHLDLSDHSVVRISFPGMPMEVKTVTFHSSNRGSSRSTGHGRSSGRNRASGSNYRGG SGGKRDASSGFTNESTSSAQGPTSSLGSRGRGGYRTRAGETWSRRSTHSTNN
SAPIO_CDS9786	MAPSSDVGYMMSDTLSRVGTARRPAFPSSSGTRPRAPPSESNVG APSDDEGDGFGDDQIPHRSRRAGAADIPRVEDKLGLTVQESFEDFIEKYGISFVEDPT SSAAPVSSAVTTDKYYVAQINNMRAYQLSTFYVDFRHLLAYQNGGLADGIMNQYYRFL PFLTAGLHNMIAKHEPQYFREHRQPTTSSNLASSAVSNAGSASQSELGNRTSNQQTDK LFSIAFYNLPLVSRIRSLRAKNIGMLLSISGTVTRTSEVRPELSLATFVCEACRTVVP NVEQTFRYTEPTQCPNQTCLNRQAWQLDIRHSTFVDWQKVRIQENSSEIPTGSMPRTM DVILRGEMVDRAKAGEKCVFTGALIVVPDVSQLGLPGVRPTAVRDDKSAMRGNDAGGN GVTGLKTLGVRDLTYRLAFLACMVTPDIGNTTAAAGKGSVADVISALTQGSSNEAESV EEAQAAVLASMNPSEIEELRQMVHSDHIYSRLVHSIAPMVYGHEIVKKGILLQLMSGV HKSTPEGMQLRGDINICIVGDPSTSKSQFLKYVCSFASRAVYTSGKASSAAGLTAAVV KDEETGEFTIEAGALMLADNGICAIDEFDKMDIADQVAIHEAMEQQTISIAKAGIQAT LNARTSILAAANPVGGRYNRKTNLRSNINMSAPIMSRFDLFFVILDECNEQIDRRLAD HIVSIHQLRDDAIEPEFSTEQLQRYIRFARTFRPEFTDEAKDVLVQKYKELRADDAQG GIGRNSYRITVRQLESMIRLSEAIAKVNCVEEISATMVIEASNLLRQSIISVEHDDVE MDEPDEEEDGETLRRAADKAAGRSEGGSPVGPTGAGSDVDSDSDMEGQRPQATPRPSQ TPAPARPKQTITYDKYISMVNLFVQRVNEDETGSGEGVEGDQLVQWYLEQKEEELDGE EAYNREMALAKMVLKKMVKDNILMAIRGDLADEDEGAPSQTAKVVYVLHPNCALEEY
SAPIO_CDS9787	MNRDQLGNGTTWISILSSAASGLARSTNTLSNALLNISAHYDIS NDMFSAFLSPDMTYSCPIWAPVGPTEGSTGESLEDAQMRKLRRFIDGARIKPSDHVLE IGTGWGSFAIEAVKQTGCRVTSITLSKEQKVLAEKRIQAAGFSERIEVKLVDYRALTV PSTPFDKIVSIEMLEAVGPEYLATYFECIDSLLKSDGGIAMFQCITMPEGRHAAYSAS EDFINHYIFPGGYLPSITQLLNHISTASKGTLIVEKVENIGGHYAKTLRLWREAFLAN FDEKIRPALEQENPGMSEEEAEVFRRKWEYYFTYCEAGFLTKTLGDVIITVGRECSVE LMEGIPL
SAPIO_CDS9789	MGDVAEFLVQHDHNFRRARLPALYSDFRSQRTLNPDGFHANITA WRDALARATREGRISSDASTADLFILSVNDHLLRSLEYKQYGRPIALGSVVKEAVSEK QFFPLDEFLRSRESIYSRSWTAVPKSVVSWAFQQLWSSDSAKGDEGLPQGRFVVLKNV EAGAKALAEYASTSASPFDRTFTKSHFYKTFCKNLMGGHRISHRDLDVLLKYASRDRN IIAYDGKTIRLRGDVTNPDRSSITEEDAAISSLKELMDDIKSQVAAMETRIEDLTSKA KECVARNNKISALSLLKSRKLTETALEKRHATLTQLEEVAHKIQQAANQVQLVEVMEA STGILRSLNQQVGGVDRVEEAVDKLREQMEEVDELGNVLASAGTAAVVIDEAELDSEL AEMEAAQKPQMEQAQGASVAEGAKDAADLERRLDQIPAVPSVIQQGGIAHEAQEAALG ERAGSPTDDVLQRTAGLQLEGK
SAPIO_CDS9790	MDTETPEDDDAPAPKKLHDDGMTACPICSRRMKEWQVFSHLDKC SGPTPSSPSSSRALGNLEAGRQSNASPRKQQNNMERLPALNYSMIKEQALRKKLAELG ISSTGPRALLERRHKEWCTMWNANCDAVKPKPRAQLLRDLETWERTQGGRAPPAFRNT TSIKDKEFDGSAWAAKYDESFKDLIANARRNRPQKPESPKKDGDAADDACSNEVLSL
SAPIO_CDS9791	MLFEFHRLQNQKSPNSVYATYLVYGEKSVGSPASDGDVEMSSTA DTDTSWRTILTLVEEKELQGKLQKSALKEYNSVYSIHVYSLSPHAGQDLQLLSESNND GLSGYSANLGPVGAQNHFGGVANSRVRLRDRRGYKPPVINASAAAKETPSQKKSAWKV SSNSQEKTESPKPAASSSRQISSSARAPAKSGSKGPSSSIMQSFAKASVKASKSSSAA EPAPTPTAGSDADSQQPAMYDDDDDDDDDDGGDDDDDSAVLPRPKITGDDAENRKSRL QREAELRRMMDEDDEISGISTTVDIEKKENPSDNSQAETTGDGGEEAPPDNMETKAEP IATESGNGEGRRRGKRRVMKKKQIQDEEGYLITVQEPVWESFSEDETPQPRKPASAAA STKAKKLAPKGQGSIMSFFAKKPREYLSIDFVRSPKARVNLLRGWPAPQSLPAGQLRE AALDLLSNPTEYVPALQYGPDPGSQALREELSRWLARMYSVSPDPERICITGGASQNL ARILQRFTDPRFTRMVWMVAPCYYLACPIFEDAGFSGRLRAVPEDPEGIDIAYLARGL ALSDSDLSADAPKYESRDEIRIYRHIIYVVPTSANPSGKSMSLERRTHLVHLARKHNA LVISDDVYDALQWSLLTEPGHGNGAAAPEVLPRLCDIDLALEPSPDDPCHFGNTVSNG SFSKIVAPGMRTGWTEATPKFTYALSQTGSTRSGGSPSQFGATLMWKLLKSGDLDAHL ETVIIPGLQRRHRQLLRSIREHLIPLGLTLDDANHAAGSSQYGGYFVWLTLPSNLDSE AIASRAEREENLTIGHGKMFEVRGDEDSALFRNHIRLSFSWEPEEALAEGIQRLGNIV RSQLEQSMTCIPSQ
SAPIO_CDS9792	MVLRTAAPCVALVMALFAGIISGHDAQRPIGNVGVGVGVGDPEC PDYALYSQYPHPPFSEGPRRLPFQRPAEACRTFRSQAIEKVIKDVTSRMKDPDLARLF ENTFPSTTDTTIKFYTDGKSIRFMDVDPGEPNNEGKWEGPQTFVITGDINAEWLRDST NQLSPYHFLAKLDPHLHTLLLGAINTQAEYVIESPYCNAFQAPPMSNLPPVANGQDDT VHPMYEPSFVFECKYELDSLAHFLALANDFYEHTGSTEFLNSRWLAALERLLDVLEQQ SLPTFDPATGQHRMNEYTFQRQTKLGTETLNLRGIGNPLNNGTGLIRSAFRPSDDATI LGFLIPANAMMAVELRKAAKMFGDGVWDHGVIKHAKFGEVFAFEVDGYGSHILMDDAN YPSLLALPRMGFLDRENPVYQNTRRMLLSHLSNPYYLTGRHFHGIGGPHIGVSNAWPM SLLIQAQTSDDDEEIEECLELVIRSAPLGLMHESVNVNHIASYTRSWFACKLTDSPKS EASRF
SAPIO_CDS9795	MNPTSSAPRNGQRQDASHVAAPQGLNADLRRFNRPSKRRPADPL VRRRRNPTARPLGPPRPTEGPPLGLNPLGEAKSVANLESLRKQYGGWSEPAPENFRDF PIVTTAKALREGIRYHVMRFSRPTATEESKPVDPTDQNAFTRPVTLHRRDPRQPPPGR AVQVKEVTPEPKAVDDKEAERLQQIKAEREAQRAIDQAKIAPTVKDMITKKQKKPKEE KISFNRMPRTEEGRKEADLRYEEALPWHLEDVDGRNVWVGNYVAALSETNVAFVIDGP RFRMVPLEKWYKFTAKPAFERIPDDKVEDFMKKTTLPGRWMMQALEKREEERKLKDEF RNYGKRLPPRAASAANEARGYDEVDMEGDEFDDDDENPGFENDDEDTKISNARIRQNQ LGANLFGAGDENEVDAQEESEMRRELERRKATKKLKKTLIKRENINDIESDDSISSGD PFAGSSQSEDEDEEDEGESQVKAEDEDKSKGDKDKALPGAAPPKGSTTPSGKRHVDLT KKGKGLKRPGSPHLSESSGNESGLRKKAKTKSESIPGQSRSTTPLPQGQPAPNQAGQR RKIMGGLASDGEATAGETSDSQPRKKKIKLIATSARGTPTGSRATSPLPAQPAGSPSV SPTKDSQSMRLGSPKPAIEAWEIVQALPQLPDGITITNFLKLFEGRVGDKADGGRMAK SDWIKLVKENCNYGPDKLLRRKS
SAPIO_CDS9796	MRHQTQEVPGIAGMDDSSHLQGERNTLEHHLRGLILGNAASHED TQPAHPGLSPNPRLQAPLQNPSGQSTASHTQASGPVSPRTPRRRPNQAQRRQMSAQLT LPIDTRPPVHRPAPSSAPYTARSNAEKEAFRVVLESICRDVISKFERECGKASDFSEH SVQLRCFGSLSSGFATKSSDMDLGLLSPKSSVQPCAPGSPIPRLLEKAFLDLGLGARL LTRTRVPIIKICEKPPPQLLANLRNEREKWESGLDNADNADLPDDVQEETESGMALGI SKIAPQFTQLRDVPSYDPSESHKLSQIQDISDPVLIPDRASVPLHQGEAQSLSAYYSS AKRVLRRLGGYDLSISNSKILTDKNCEVLDTVCSSFVAGLRDPALRNRLGREQPLQVH PPSERQQNARSLLALYICAEGEAMLIGWERRRFRERVEEREQSLIGVVQTWQTLRQKA VFGANLAHHTKELQSSLEKMKKFASMQLILLEQGQYESANHYSDRTLKLLAELSPQQP DTDADLRRHVIEKYVTGIQNCDIRQAVAEFSAGLRGNPSLRGTFLRHKTLQLAREFER ALVKGLYPDTEKENIEKYMLLLRGPLKSVSFGGTEELWVVPVTNESASVLAAIRELPD PAILAPNRPKDPYNDKLEFPKAGVGVQCDINFAAHLALQNTLLLRCYSHTDARPMASE SGAKCDAQGLADFAMGFSIGANWNGPLDNLIFENGEKLYRTIHVAEWS
SAPIO_CDS9797	MRNTVIFAGTSCPALAGQICENLGMAPGDAELSQFSNGETSVRI LTSVREKDVFVVQSGSPRINDTIMELLIMISACKGGSATKVTAVVPYFPYNRQSKKKS HRGTITARLLANLMGVAGVKHVITVDLHASQMQGFFKCPVDNLHAEPLVARWIRHNVP NWREGVVVSKNAGGTKRVTSLADALKLNFGIVTTDKKRGPNLTTSMIMNHLDGIERLS ILESWSRTGPLKAGDNDPSSAPHRVPTRPLRTLADSNGSPVNSSREPLLQSEASRSSS SPHSSLSNDPSSQVDGTSEDADLGCDDRAAGEVTHGRLVQGHIVDDDFPSPAISALDA SQPDLDPMTMSHASSFFAAEPHPFGPPGGEDPVSSDEEEETLKDPKIEHMITLVGDVR NRPVFIVDDMIDKPGSWIAAAETVVKRGGAQKVYCMATHGVFGGDSLAQLQACECIDT IVVTNSFPINEEQARSTSKLVILDLSSLLAEAIRRNHYGESISPLFQHYAD
SAPIO_CDS9799	MISLRGLSLALALAGAAIADISDVPRPRIGNAPYGVDITACSVP GTLALTFDDGPAEYTGELLNILAENDVAATFFVTGLNGDAGPIDDPSTGRPALLKRML AEGHQIGSHSWSHQDADLIGPEEKRQEVILNEVAFTKLFGFFPTYYRPPYTHCNGECF AVLEQFGYHITNYNLDTKDWEVSVSQAKDNFAGLLGGFSPQSSGVIALAHDIHANTVR ELTQFMIDKARAAGYNLVTVGECLGDPEVNWYRDSKTGGPVDESRLRNAGAKPTPSSS SSAPASTTTSSAQASSTSAEATSSLEESTAPTSSFAALPTETADGELPGPPENKGVET VGEQSAAARGVYPSLAFLLGMALWWM
SAPIO_CDS9802	MGFLNLLVRAESAEGAAERGFLEYHEPTDWLAGVIVRAGLIGQI VVGLIYGAPIGNILPIEWQETFVALGYLGLILIVFEGGLAVRLDLLKKNFILSVCAAC IGILTPIAFCYLLLYLGFGFRPIETFIIGAALSATSLGTTFVVMSPANTGLDFIQTTV GNVLLSAALLDDISALVMVSVVHKLGALSGSQSPNVGWIIGRPIVASFAMAVCTPLFC KYLFSPIFRRYLEPRLVKFKHVPNIILMTLVLCAFIAISSFAGASVLYGSFLAGAFLS ALPSTHPAGPYIVESKEEGEEKDGKMPTFAHSFEEYIAGAQTYILQPLFFASIGFAVP FSALWTGKAIWRGIVTTLLMVVGKAAVGIVVPITDALTRKKGEATKSGILKRTWKPAT FLGMAMVARGEIGLLIIQVGLNETSYLSQNAFITGAWAIILNTIIGPVCVGLLLRKHK MVVYEDEKWGAQEKEMSGLGAEVGGKERPLGAWADRSIPAKRLGDENKAGAAQVQAQV PVSEVGSAMEL
SAPIO_CDS9803	MESTIYDVIIVGGGTAGCILAARLSEDPGLEVLVIEAGADQLAD PRVNVPALWHTLLNGPADWTFRTTPQKYLEGRERPIPQGKLLGGSSAMNGLIFTPTPK SNVHAWTQLGNPGWEWPSFSQSLGKVYSLAGRPNNGPLQLSFPDNSDNEWPQVWKDTL AGLDIPVTGDLLTGEANGLIVNPETVDPETKTRSHAGSVYLGPALSRGNLTVVTEATV EKILFDKSNPAEVLAEGVQYTKAGETKVVRARKEVVLAAGAINSPKVLELSGIGDAGL LEKLGIDVVINNPNVGENLQDPVMCILSFEAKDGIKTLDPVARQEPEAVAAAQEAYAR LTGPLATSGTSNTAQLPFPGIETDEGKRELDRLLDTLLNTKVDEDKPALLAAEANKNF VRSILASPEEASGCYITLPGYVGFDAAGAMVPPPPGKEGYFSIALLTTHPLSRGSTHI KSASAAVQDVDLDPHYFSHPLDLEIFARHLRHLETVLVASSPLSDRLKPGGKRNPEAP SPGGFSDLDKAREYARSTAMDAHHFVGTCSMMLPELGGVVDPQLRVYGTKNLRVCDAS IISSDPAS
SAPIO_CDS9804	MSEPQNSHSVNETSPLLRNQDREEGQNGGPAPVKDAWQRVFILA TITSVVSGVLTIVFLLASSIVLTGQPDDYHAPFDLYYYFAPIAGFAIVAIIHSIITLA GTKDGVPPPQGFTGILVDIFAGLFFLFHSLYGMQELLYHANRCRPPWDEKRPADPECE AWRRRAEPLFWVYLFVTFVFGVTHALLLFLRASQWKRPRPPVLRVQLVREGESG
SAPIO_CDS9807	MAALGVGDILAITSLVLQVVAAVDKVIKVFEKAQNAPSELSQLR VSLVRLSRHFEMLRAEHEATGSSLIHKDDTDEIYVTLQCCKALFDDYDRARAKTALHQ VAWSTRYSTQLARYQARVDRHFTQILLPLWLTTSTLHIRTTAQTELAVPSSSKGKQPE AVFERKPSVVPSQHLDDLSQGIKQLETDLDRDHVERTLQWLDQTLRKCWSDLGLDVHH LPEVVAYQEFRNRSVSYETAPTTLKLENAPKKHKRLDLERVHVMARDNESRILLYQNR DGSIQVTQIESCLKFQETLRERRHLGAFDFVELKSNGVAMARRQVLRFWRRDNPDGSR ELTMTFLASSLNTGPGKSHKEYNLENYHPDAEFYFETVDEARDFKTFFKNLYALAPQI DFPRASAASIASSNTGPTPSDVYWRDGAPPPPPSELSLGESYDNSYWDPLFARSPTLV LTRDDDDT
SAPIO_CDS9808	MDADQSVSMEEPQPTPVLRQDSEPAVRRRRRWQWWNRDVHDEVS YLTTVNYSVKEREMQILRLVDDNGFNFKVFFVAASGFLASSYSLFSTNVITPAVNYIY PACGRLGKDSGLVIDLLTLIGTIVGMLVVGHLADRAGRKRLYGFELSILIIATIGMVQ AGEGFIYQVDDGITYKHSMNIGTMLAAVKSMQSLARILAVSVGLGFLREMTRHTDTDF KHPLTTPTEINESKIIVDSVWRWVIGIAVIPAAIAILARLTIPETPRYYVDIMKDLRK AVKNALQVYKTKKVTETNPVAAGPVRQNSDQNDHWYSGAWAYLNRDSRRALWRLISIS VLWGLMDVGFYGLSLDSPNALSTLENDPRSATQSQSLSVDPSCPDSMNWLATLEDPNT TIYHMLELNSVRSLRVVSIASIAGSITAICLVNYFQRRKILAVTFCLSALLFTITGGT LLKASADGKKPTASLVFYALTHFVYNVGPNTLIFVLAAEIFPTVYRGTFYGIAAAGGK VGAITIRAIIGATQNTPRALGIRLLVCMPLMLFAAAISWYLPAVQYPRKPADIESPPA EPLAPGAEKSVAPAQTSIVPGQNGQSTGAEADQQQEGADDAASDTASTVSTDTTPSRT DRKKRKATGFFPRLQNMTLEGIAPNPNLRERRQRRAGVGLGVEMAATGRTTGSQPQIS GAITSV
SAPIO_CDS9809	MAKTFHKFSYLPFELRDSVWMEFLGEQPRQLYEFSLAPEPPALW HRVGMLYINPAESRRLAHRIFPDTIEFYLPDPDQVSPAPSSDVRPTPSSSVLRYNALR DVISVAAELSDRQMFIDAHLSGDPRTPTYHGIRHLGISAMSFFEYRAVFNQLHADIEV SGPRSIPGLGSRDLEPQSITLVPCGGNGLRSATRIQSARFSYRPRWNVNVSTGLGFDS RIILNYLVSRSNSSSLFQFGGGRDQKKIIWVK
SAPIO_CDS9810	MAPEDAAGDDSLGTVIERCACESVFDKKPCDFYPEGTLQDAITE KVVQCYMWEDGGDLDADDQELVRFILSKAKKLFAIATAIHFEFEKLRKVMALFKNNGI CDEILNSPPQTTSTDPDAVHSDPWPDLLATLEPANTGRKKLWNSRRIKSFESKRWEFL APVFSPTKMSYDLPSLCILPFISKGAASKNGSFGSVRKYEIHQNHIELGQPTSSFFFA VKEILPSSDHDEGRRDLTKNWEKEARALYDMNSRNHKHIVRFITAFRRGEDGKGDHYL MFEWADGGNLENLWDQNEMPVLNANLVKALVEQLNGLVDALSVIHKGYKKTGHYRHGD LKPANILWFKDGGLIGTLKISDWGIAKEHNINTELRAHATSARYGTVRYEPPEIVTGV DPTFLNQPRNRRSRLYDIWSLGCITLECIIWLLYGTHGLKLFTQRIKEGSSEPKFYQV SVQNNKKVARVHDEVISWMKNMAKDPACEVGETALGDLLEIVRDDMLVVKLSERKGSD LFDNETDGVQSNERRQAPPPEISAPASSGRPSNPQLVLPLRGNDTPESSLPDPETLEK GQEPQQNIPTFTFSPPLDSVSVPDQRESRTRGGQNLPGRLRADEVLQKLGHILSDEKD ETYWLPPASRKDYSPETWALSDETGNYNQSGSQVLTVPTREQANMQKLNSGTLPVLSI FRTPADAPGTKATNPGLRRRPENEIQIGFPELPHAGSPAHFEILRQWLHACDTKHKNS TCKQITSGTQTKPEQGNPEKRLPTRLIDVGREGDGIVRLWETRPKDNGRWIALSHQWG IGKHFCTTRKNIENHIKGIALDSLPATFRDAVITTRALGCRYLWIDSICIIQGKDGDF KNEARRMEDVYSGAYCVLAASRVNSHSSGFLRPRNERDYVELRDENGTSFYICETIDN FNNHVLEGALNQRGWVLQEHALARRTIFFTEHQTYWECGDGVRCETMTKMRNNLAAFI GDPNFPRIVMDASQGEKILRYQNFYRTYSRLAFTNWFDRPLGIDGLQSRLLRAMRAKG GFGVLEDPKSKGLLRRSLLWRRGQDTSSLSRIKFPSEAAISAVPSWSWMAYMGSIDYL DVKFGGIVWCDIRSPWSRDLEGGHGGTEGRGLSLFLTAEARAYTVDPTLAGSESQVIF DSPGGSKQSETMCVVLGRERGRGDLGSMLHYLLIITQTASQQQEGYKVYERVGVGYLP GRCISQSGETVNIC
SAPIO_CDS9811	MVGVSPKHPDHKRSFIPTSELSRIINVESVVEILRACEKSRVRV PGTSFRTSEEIESDARRICGISEQDRPRSDGEPKSYRKILAVLLLIERENRIDHFVQE GVSDDDLPLIKVKEQPGTQRESFSLRRGHASDSPVRCINGYKWSEKTQADFYKTQWKM LAPTFTLDRRGKVQHYCFFDDLILPFTSWKLVAASGMGRVYKTEIHQDHHTFPNVSGS SQVFAIKELPVENKAECDLEATILRKLSEPRHSHRHLISLLATYEQNGSYYLIFPWAG ADLRDYWKNINPKPRHDTETIMWLAEQCLGLASGLAKIHRYQTLSSSALLQASSPGKT QTETVRTAMGPDSAPKEENMKILFGRHGDIKPTNILWFPKGPERDKEILKITDFGISR FTTVNSVSARERGRAPNSPTYRPPEWDLPHPIISTSYDIWTLGCVYLEFIAWYLGGFV YLQEFEQRRLAPDFDWVGVSCDTFFTVESRGSSAPIPKVKPAVTESTSALRWLLIPNQ KVELKCRHAQGLQSLCSLQTLSRHGRYGKLVRHVRIMAEVPALLSNQTDTQDNPTRDS ALEASGELAGKIREDLSWMQEPADDIEEEDVIELLSSIVAWEAHGRGGIPRVELDARF IDGPGNQYAKPHEPHIVPEEWQALFTRASQVYRLTMAAIVRSGATVPNLEIFSNTICC SVPSYDINVGLKGLVENGLKFKAAVSGIETLALSFSTRVDHGWKGWIQAYGAEAGEVE RAQQRRQWASIFDEEEYIMSDEEDGAENSREDSDDEDEYDADFDKAIENEKGSEIRGR YGDDLPQALCRGNYPGVAGLLLHMTNLQSLDLHMYQTFQYECYARGSRLCHYHRVFGA LVHRRVVLPQLRRLVLRGLCIRAGDLPAFVERHPRLERVELLNTFLELRPGYGVDWRR ELADLASRSAGLASLYLCDLSYLNRNSDPGAFKPLSLQRVAESFNEEWVRRGWGRICD CGAHGLLWAREFGADEMAERGCFEFKAAPVLSRGSHVRQFLQRVIARRAETPGVDEDD AQFGLLLEQGAYEVYACNAGTDDDDVNHARGPFRLVACMGMELGVLEGLGAVVGGETR VYDVWFVDAF
SAPIO_CDS9812	MAVYVWLAAALLVAVVIIQKSHEQRIPKLDFPVVGKPGDTDFSG ALLEGYQKYPNSPFIIPVSPPRVVLPMAFFQEAIHAPESQISFMKDVYDSFQGKYTHV GIPNPAIIMSIRSDLTKNIPRILALIQNESRHAFDKELGNPTEWKAIPLYAKMLRIVA LLSGRVFVGLPVSREEEWIQASINYATDIGAVLRASQKWNYLIRPFVAPFLPEIRKAQ NDLKIGLKWVAPLVSEIMSGRGGERSGPVKAGTKGAFISWMLNYLPEHKKTAGTVGTN QMLISFASIHTTSMTGTFSLRDEVEQVIQEDGMELDEYGNPFIRKSSFQKMWKLDSFV KESQRWNPLGFGELIPRQVVSALPPDKLTLPKGTRVTWPMWGIYNSTETTTFSPEYNV AAGNPGPEVFDGFRFARLREVPGRETKHQAVATSQESLNFGHGPHACPGRFFAIYVIK ALMIDLIRHYDIRLKDGKQPNHGIDQLAKRPDFTAMLEVRKRAT
SAPIO_CDS9813	MKFSTLFVAAFSIATACASVLPLNARTDVPAETRAVPVGGIADV QSTITGLKSTIDKELADITALVGTGNPSDADIAKAKDKLTSLISQVEGTVGTVTPAIA AIDPATATIKDVVALVNEVQALVAALQKAVGTLLKYGNLSGITVLVKTLLHTVDSLLN IVVNLVFRVLATVGLNGPLVAELRDAVKGLLNLAVGILSPIVVALLGALL
SAPIO_CDS9814	MPPRTRKRPAASGAEDSDSTTSSKRSRTNDPSNNAADEAPANIA NAANAANGNTNNNGGNADLPRLPRRDRWSPASVSGNLDTDFRNNMRNPARAREFLCYC KPSILGEDDDDDEWEDEDEDEDEEHRKGDEKEGENGDVVELEDVKKRCDHGRTCICGK PAAAHPDHPWCMTRAGRQLVFQCHVQSQIRCPDNFDAYTYNDHTGRGILQVLQNLLLD YDEADDDWFRQWAVCETIVEILPRDAFSPLFQIDGSDDLMVAVEMVGLLFLSMLARLE REGQLSPDSEVRDLAAVMGLYIELADCLSEVGLDPELDSEEDAPPEKNQKKETSGKAR KAAYKYKKGTWPRLIAAYAAKHNITLTGRLPKLDAKLASGEFAALPLPPTTRDTWGWK KTLKKYTRDNGVPFFENGLGPVTIGGDSLDLTSWTSAERKEVSFNGKDPLGPRQRKAI RDGLVVMLG
SAPIO_CDS9815	MSRPIARAARRVPTTAEVVIGAQVNIVLKADQPTGRTVTGTVGS VLTRGNHPRGIKVRLVDGRVGRVQTMARGSASTEDSGTYAGQEELGGSLAAAGDAQNI LGGALLSVDGTANETESRPPRRGGRRRNDEAYQPPPPQGIGLDAYIRPAKQNRRRRQE STQSSEDALQQPAASTSGEIVTCPRAILIDRQ
SAPIO_CDS9816	MPPTPAQTRAVTASQRVHDLLVKLHAESAAQEKSVSQTLFYLSR LIKYYVSGTLWNKSADDHMRDKFVSLEEDKCQFMYLLARSIGAKNIVEAGTSFGVSTI YLGLAVGQNVADAKAAGQSVTGKVIATEKEPTKAERARKHWAQAGEEVEPWIELRVGD LLETLKVEEGMPDQIDLLLLDIWTPLALPTLEIIRPRLKRGAIVLADNTVMAKALYKD FLGYIHDPKNGFKTTRIGAEF
SAPIO_CDS9817	MSGGTGEERPLLGPKRGHDDAHQRRVIAVSFAMVILIDLAAFFL DAPQTSILEGIICSRHYNSVPGEHDCTVGPVQAELATVNQLLNTFNRLPGLLVAIPFG ILADRYGRRPVIVLPILGALLQDVISKTILWHPDMFPPRLIWLSSIATFVGGGDAVAS SMIFLVVADVAPPRDVLTILGGMVPLLLLPETLRKTSPETETLSRDTGPDDERHAEVD GTPPIPTHSAMALRLRPLVKRNVIAVLLAFFVSALGRQSMSFLLQYIRQRFNWKYEKA SLLITVRAAINLALLLVALPALNRVLVKRKMSAQGKDLLISRLSLAFFAVGSLVISAA PIVALAALGIAIFALGYGFAPAARSLVTTFCHQDEAGLLYSALAVTQSIGGLVAGPLL TLSFRWGLSLGRKGCTDLADQTEVPNVRMVGVHL
SAPIO_CDS9818	MALANPVVCGDNTFGPSVKSVDCRGGFDFTILFEECILAILPAA IFVLLSPFRALRLVRRRIRVKRNGLYVAKLITIGIYTGLQLALLVLATTATVRNQVLV ASSALSLTSGLVLAALSHLEHAKTIRPSFLISFYLIITVILDAVRVRTQWLARQNQAI ADSLTASLAIKHFSRESTAGLISRSSFWWLNSLLVRGSKTVLTTEDLPTIHEKLDSEG LARELQAAWDKWNQTRKHALAWACTYSLRYEVLAIAVPKFCVVALSLSQTYLIQAAVQ YVQDSDASISKGYGLIGAFGLVYFGVAIMTAWFSHLTFRLMSMMRGQLISIIYSKLLT LEVTNVSGSSAMTLMGTDVPRIAETFYMLLVNVVPDVVQLGIAIYLLYTQIGAGTEGM SVSQAITSLAALNLLATPLASLLSSIPVGWAALGCFDRIQKFLAEQSREDYRKNSARS FAESSDSTPSSSAFELQSRSASHPESGIVVQSGTFGWSDSNPNIVKDVSTGIGSDAKL VILVGPVGCGKSTLLKALLGETPSQEGTISITFAEIAYCDQTPWIINGSIRDNIIVDA EFDQAWYRTVVQAFTEETVFRRVFSRDGLFQKIGTQVILATHSVKRLPQADLILALNA AGQIVQQGSFSELNVAGTYIHSLQVNLGKESDDRDKDEDSFETELKHKQKPAISKPDD LSRKTGDMTIYKYYARALGPLALIFFVSIIAVYEVFNALGNVWVNWWASSNERGEEPR LGYWMGMFGFISFMDAFLMAAAIAFLAPLSYFAKTETGILVNRQDLRLADMQLPGSII NCAFQLGTCLVVASLSITAVGYFAGVLPVVMIALYFIQKFYLLTSRQLRLLELETNAP LYSHFIESFSGLITIRSFGWTQAYTAKNRRLLDTSQKPYYLLLCIQRWLVLVLDLVVC GLAVVLVGMAVAFRHKIDPGFIGIALVNMMTLSHALTNLVQFWTLLETSLGAIARIKD FSENTPVENKPGEIEDMEASWPCRGSLEFEGVLASYGDESAPVLRDVSFALKAGQKLG IVGRTGSGKSCSTLAIMRMIDVLAGKITLDGVDIATIQGSVVRERITCLTQDPFLYPA SIRENADPPGKSSDEEISEAMKKVGIWDVLRDKASDKATLASVLDTPMDTDFLSHGQR QLFCLGRALLKGGNVLILDEPTSSVDSQTDAQMQSIIRSEFSNHTIVMIAHRLSSLLD FDLVAVLDHGRLVELGNPSELLEDRNSGFSRLYHGST
SAPIO_CDS9820	MVSFLSPKVKDAAPDSAAGGKGHKDGLDAIPHPTDYDSDVVGHI AQAREAKRQIGIPSAVFLIVNRIIGTGIFATPSGILALSGSVGLTLFIWVAGMLIAFA GTAVYLEFGTAIPKNGGEKNYLEYVYRKPKFLATGLYAGYVVLLGWASGNSVVFGEYI LHAAQVKVDRWNQRGVGLACITAAFLIHGLALKWGLRLQNFLGTIKVIIILIIVVSGW VALGGHTKLDTKPNNFDNAFDGTTGSAYGVVTALYNVIWSYIGYSNANYALSETKNPV RTLKVAAPLAVGVISILYMFVNIAYFAAVPKEEILAARRLVAASLFRNMFGETAERAL SVFVALSAFGNVLSVIFSQGRLVQEFGREGVLPFSRFWASNRPFNAPLAGLFEHWLVS IIIMLAPPPGDAYNFILNLISYPLAIVNIFVAGALVHLYLHRKAWNWNPPISATFPVV LFFLLSNIYLTVAPFVPPEEGQNIYESLPYWIHCVVGIGIILAGGVYWLFWAIILPRI GGYQLVREVEVDDIDGWERNVFRRKPLSETS
SAPIO_CDS9822	MALTAWTRRRRHQQQLDSSKILADAGVVRDIAGLHNSSKGVRIT FGTAGTSTVANGPFPHETVVSGYWIFETKDLQEAISWAQKAPFPEGGVLEVRQIAQLE DFGEEFAEKLKAAREDANKMSARD
SAPIO_CDS9823	MIKIAASSGPSQGSIFFNFGGPGSAGDTEFAVYGDVLLALTGGV YDLINIFPRGTRTNLPFSCYDSPEERNLAARLRPSAGNASDVALGTIWAASETIANRC YQRNNQTAHLLGTAFTARDIISVVDALGEDGLVRYWGISYGTVLGATLVSMFPDRMDK VLFDGVVNAHEYYTNELEYLSDTDKTLSIFASQCVEARDRCKIAGNYTAKELEDAIYA GLERIKYNPIPLYLSTGAMLLDYSALKSTIFGGLYAPQTWPLLASSLDVLFSGNTTTI TAYFSALSVNIEDGPDDTEALLAIRGSDKLISESTLDQFLPHTQARFGKSRIGGDVAE ASIIDCLRWKTRAKERYEGDFRAETRNPILMIANTADPVTPRASAYNMSAGFEGSVVL EQDSPGHSILVQASLCTAKAVREYFLNGTVVEPGTVCKSDAPPFAMDDGWDSVLAQLR GNGESP
SAPIO_CDS9825	MLFPKLCSISQLYFLTGVTDKDSRTPTLTIEDVASLVYHTRDAR SATHAGRYRAQEKSGMESVFRVPQDQNVMVKILVAPATHAATLESQTDRISQVCQQLG SLVERFGYAMEIFEKRLAELPLAAPQSHAGAIILHQQGGRSGVSLMADLNVPAHESPA RLLRQLEEETESNLDEDEDEAELYRSSEETEDVKIVALGGLATDSFGRPRYVGGVSNL AIIEALTALSHGGKPRPSSGPEVAVPRGQGVSGHPFFIPGLQGARLPFLPTPEQLDIP PSYMSNLLVTVYFDKLHYALPVLCKNQFLSKYRDLVDGANQVRADVRFLAVFYAVCAC ATSLLARDGGVQSLSPGIEHFEKAALLQLSLNDDASIEQIQCLALLSQCTASWNSLGQ AWKYAGQAVRAAIDLGCHNTAEWVQLAPDFESLPRQDPNWDQSATMRVIASIIREGSI ITLHWRLLFNSPEGSDPHLETSRTECIAAAQKCIQTGSFIRDHVPPSFYLAFCVHYLT LSGIVLVRLSKSRESEYRSDIEACVKLLGGLERVWSGAQWSRNIIQELLQKRHCLGLV AENEFQSGGVNNTIYTYESAPQDRRPLVDWYDDQFDFNSSGELLRGFFT
SAPIO_CDS9827	MSGSNDTGEDGKVPASHVELALTMSQKAGSDDTHQVALENLQTA GTDVVFELQAGMINQAIQTIGMGKYQWHLFIMAGFGWLIDQLWSASISNALSIMAMEF NPERPAFLVVSLSTGLTLGASFWGVGADLIGRRWAWNLTLLIACVSGLCIGAVNSWVA ACSLAAVCGFGIGGNLPVDSTVFLEFLPGTHQYLLEILTIWWAVGQFIPAFASWGFLP NFSCSESTPAGECRREDNMGWRYLFFLMGGLTLIGWICRFFLFKLYESPKYLASLGRY EEAIEVLNAISEYNGGPERQSLSVDDLRNAEQVSRETRERNAHVKRAFAHLGPQGWKN IRSLWSTRKLAWSFFLIMVLWGMVGMANPIYNSFLPVYLKIHGAAAGDGSTATTYRNL VVTIACTIPGTILSGYLITLKRVGRKGTLGISLLLTGAFLFAFTSARTEGTILAFNCI ISFTQFM
SAPIO_CDS9828	MVRLAVLSAVLTTTLGVLASPTGSGELLQKRATPSSTGTNNGYY YSWWTDGGSDVTYTNLDGGEYSVTWGGGGGNFVGGKGWNPGGDKVITYSGTYNPNGNS YLAVYGWTQNPLVEYYVIENFGTYNPASNAQKKGQLTSDGGTYDIYVSTRTNQPSIEG TSTFQQYWSIRTEKHTGGNVTTGNHFKAWEQAGLKLGKHNYMIVATEGYFSSGSSKIK IETPP
SAPIO_CDS9829	MIDAAAAAGVKRFIIDDFGWGPNVRGLPEFKEIQSQRRAGWDHA KAVADSKPQFTFTGISTGNPIDWALKRFPTMGFDATR
SAPIO_CDS9830	MPPRKRVRKSSPPAPSSQPSDRQPDDQSQPHVDDDKDAKDEQIL ASSSQKTESSFDGAESIDTAIIDEEEGFDDVIGDKSEATGGPNAGPSGRQSYNYGAGG YNALKSFHGQVYSGMAIGGSHTWNYDQGVWKETKVEPDLWKIDYQTTKRRARRAPAGS GAPIGTEYHWLIVAHQNVKKVDANTYETHLTGSKYKLAHKLSSSNTWSVPTVKGQRQR EIDLLDDAKRRVQGLPPVLASEKVKVEKTEKGQQKLDALFKKSSAAHGTKRKNDAVGD 
SAPIO_CDS9831	MRSSVVKFVLAAASLGAVVDASVDSLHTRLYRRQGGAFDPDETT AYGADCVEAFGPGYVECVPESIGVTRLCIIPRGCPAASFCLVQDLCCPDGLDPQTCAT QNNVDLPPNFVPGGNSPPVSSEPADQSSASIPAAPTAEETTGGGIGGVIPTPTRGSVP SSSVPVQVNGAAREGFGAAIALAGLAAALI
SAPIO_CDS9832	MRDDFVLFSEARRVLSGAQGNWLQRFLSWRSYTHVNLSKFHFLY NNSDGVKTFDWSTLGNLQGICQGYEYTCAHTVDIDIHMRIIAEIILQGIRYPRLGRGQ KTVLDGIPKLKAPPGLKKQAFMSGWGFHATQGPCLKKIISWAAGVSTFGLAFVPIWLS SINSIDLQNAFAPVTFLVTLLGLILAMVAVTQGVS
SAPIO_CDS9833	MGLYTKHASDISEVDVIIAGGGTAGCIVAGRLAEADPNLSILVI EGGPNNHNVPNIVHPALFATNLLPTSNTAIFYQGNKAKQLADREPIVPSGGTLGGGSS INFMMYTRGQREDFDSWNTPGWSANELKPFLNKFETYHGPGKKENHGYSGPINVSRGT YLASISEDDWITAAEKVGFPEIEDLQSLDDCGGFQRWQRYISLDGKRQDTAHCYIHPK LEQGDKYPNLHVLVEAKVVRVILDDKKRAVGVEYIDSTVKRTVKARKLVVVSCGACGT PSVLERSGIGSPEVLKKAGVPVVVDLPGVGHDYQDHNLVLPPYRTNLPVDQTIDSILS GRIDATDLIAKNDKILGWNSIDISAKLRPTEEEVDALGPEFRAAWDRDFKNTPNRPIM LMALISAFFGEHSTVPAGQYVSVGNYTAYPYSRGHIHITGPEVTDPLDFDVGFFSDEH DIDLKKQIWAYKKSREIMRRTNMYRGEVALGHPKFPEGSKAACVELDAGLSDVKDIEY TAEDDRAIEQWLRENIETTWHSLGTAKMAPREQLGVVAPNFDVYGTQGLKLADLSIPP ENLGANVNNTALLIGEKAADIIIRELAVAT
SAPIO_CDS9834	MSMESQDYLNPNGSGDWASVLLSPEDFGSNNRLERKDSALREEF HNDGDRDGDGVTLSGIIRPVSLDYVCLSYTWGDPSEQRRISLNGRPFRSVRDPDASQQ DLMHGFLPLLRSELNNPFPMREVLALLKRPYWYRIRTVLQEVRLPPTVYCLCGGVVAP FRSIILGVEVIYHLWFLNESESGRLSYLARPLLPILTSAPGLLRSTCQAPDVTKQSLV NLVMENTKMQAADSRDYVFGALAMASDLHQLGVNADYTKNCLDVYTHVTAAIINQEGF ELLSRCGGVRGRMSSLPSWVPDWSASGHQNCETSQWTGEVYNAGGQGHNGNATLKART LNISGVLDGSVAKLGPGADDFDNITPSSAGADENTVTYCTIMEGGCSLQTAGCSVSD
SAPIO_CDS9835	MDPWNIAGVVLAASKVAWHIGIFWQESGNAPTEIRELHQTVSLL QRSIENVRDGFLSRGMLDDVEEKNYRSIINLVNKCENDLERLQIILPKPPDKDGTFAG LRAQFARKLCEDTVKDIIMGIKLCNSALQIEIQSLTVFGMEKAEKPEHTSIQKAWEKV LDEADRSLCHRPFRNGDPDWNDKLDNFVDSLRESASTSDGRTTVPDLPPPLSRPLRRG SGSTLATTTAVTNRDDGDSGVYIPDERDESQVKLAAVPSVLRNSVLSRTLKKVSEFMR EGDYHKAATYQNRAIGYRRMFSGDEPPDTVEICRDDMKLAEIYRKIGTPSDLQLAEKV LLGVIDRVETEELDSKEQKDVLRAELYHDLGHTCIQLEKLDEGCSYLRDAFDLMIGST NQSMSLLRSAGIMLFRVYTNQNSSEAAEVIDEHAEETCGFSLSTLSWCQEHGFDTEAE EFRFDRRHPIKGTSPLHIAVEKGDLDVLGHMLRHPLNLEVRESRNRRTPLLIACSQQD AEVVKLLISHGADINVVDELSKNGLHLCQRPTGGTMVARWLLKHPSRTISIDDTDSCQ NTALHLAAELGNQPMVQLLLDMGARADIPGPGGFTPLMAAVQATMRSQDEKLKVLKAL VDKGADPTLKYYGGQTAIDMANDGQIRRCLQNWSKPFSKPTRRFSIASWKS
SAPIO_CDS9836	MSLGRWRVEDRVRIQNPLSSLNDEQVNIDATRFVNSKARFLSDR VEEVQRAAHVAKHIRFYEQIARGYNPGGASPPVILTDDEKRALVREKEKIISEHGMWY VFVTVALAAFLQGFVQSSFAGANVYEGYWRRITDDNKRDHEMGITNGIAYLAAALIGC PLSDPINQIAGRRGAIFFASILIMTTSIAAASLSNFDEDGTAQSTAWKTLVGLRLING IGMGIKAVSTPILASETAIGYWRGSALLVWQLWVALGIFVSNSFNLLFYLSPRPIVSL RLILASPMVPAIFLAISLIFTPESPRFYLRPQRGHYNPEKAYRELKRLRNTELQALRD LYLVHRSVEFSDFDDRLDERVDTPEITLRPKLSVRIRQYFSRYRDLIANPRLRNSTIS SCTVAFGQQLCGINIFAFYATTLFLKVLGGIKYDGNEDDNREAWKRAMLYSFGFGLTN FLFGIPAIGTIDTFGRRRWLLVTIPGMAFALLAAALSLENPDPNTRTTLVVIFMLIHT VFYSPAMGPVPFTLASEAFPLSHREHGCSVAITTNLFFAGLLAWFYPLLDSALGGHRN SSGNPRGGGGALGLFAGFNVLAFVLVYLLVEETKQRDLEDLDQIYAVSKRKFAKFQAT VHLPWFFRRVFTCSTDPKPDFYDDTTNTVIAPDVGARGDISPPGSPIPGAGSEDKGPI ATVTDTRPGSGGRYA
SAPIO_CDS9837	MSTPGSAPSQSEATGPVVEGDSSLTAHSAFANEFFQEVVSTDEL QDSSLEMRETLDALHHIVHSLKHQTASSELSYPNSRPSSRVAIPPFELPPIQKSVEVI QAAKVYSSGSTYIFENVGLPAFSELCLRVYFSPDFSEADFIITNVGLYYLFLHYAYQT SETSREEYLGYVNMCRDNTETALSNLPLHLPATAVSMKNDHPEEAMRKQALFWGVYMA DKGLSLRMGRASVIQDWDITIPFPRPEDARGPLWHYFALWVECGQVQGKIYELLYSPE SVTQPSHVRRSRVETLVKELDNVIAKSAEANLKWLQKTRETLGDQLMEFLSISDDVLF LSLLTLIHRATPCDEGSPTTFSQECIKAARATLSRHQDCMNVLEGANAALFSMYVHWT LLYSPFIPFIVIFCHVIKTQDGEDLARLHAFNASIQTAPTVSEAAGKVYRLFQVLYSV ALRYVEFRTTTPQPEQMEASAEMDAYLSALGFPATAPGEQDQEANFMNPTFGQGAVNG EMLAPGVVEAARTGSPMAYLGNGAAQLDDWLYSNSQMIGILQGPGFNFPRQNENQ
SAPIO_CDS9838	MGSESASEKANEKRGPSDNTHHVPPDAPGSEDVPTAGLPEEEST WVAYKGIAVLAAIASGAGIAFQNLIFGKFVNVITDFALDPTATGKFMDDVSKLALYFF YLGIGRFVLSYTYNVLLTYASYRIVRNIRHQYLRSALRQEVAFYDFGLAGSIAAQATT NGRLIQGGIAEKLGLTFQGLSAFLTSFIIAFVVSWKLTFICLCIAPATIITMGVVATI EAGHETKILDIYAQANSFAEGVLSSARTVHAFEMRARLVRKFDEFLTDAHRIGKKISP LFGVLFSAEYTIIYLGFGLAFWQGVKRLASGDISNPGDIFTVLLSVALAAINLTMLAP YSIDFSRAASAAAKLFCLLDRESAIDPMDKSGEQPSETIGLVELENVTFAYPTRPTIT VLDNFTLRVPPGKVTALVGQSGSGKSTIVGLIERWYNPRSGAIKLDGRPIDQLNLNWL RKNVRLVQQEPVLFQGTVFENIRHGLIGTKWENASREEQMEQIKAAANIAYAHDFISE LPNGYDTEIGQSGSLLSGGQKQRVAIARSIVSEPKVLLLDEATSALDPHAEAVVQRAL DKAAEGRTTIVIAHKLATIRKADNIVVMAKGRIVEHGTHESLIAQDGTYARLVRMQNL AVSEDSSITEAEEGEGESYARDKDNADNLTTTLTRYATSVQGRMDSQKERDNFKNYEP LGILAVIFRLIRESPELTWAYVSLILGCILATGLFPGQAILIAKVMDVFTLTGDAMVE RGSFFAAMFIAMAGGAFVAYFVLGWFTNAIAQQLNHKYRKQCFNDMLRQDLQFFDRSE NNTGALTSRVDSNPQSIHELMGFNVGLILISILNLVACSILGVVHSWKLGLVVVCAGL PPLVSAGYFKIRSDARLDRTTGERYAASASIASEAVTAIRTVSSLAIEESVLEKYTAE LDHAVSWSKGPLMRLMIFFGLTQCIEYWFMALGFWYGCRLLSNDEVTMYNFFVTFLAV FFSGQSSSQLFQFSTSITKGVNSSNYLFWLNELQPVVRETPENRDNGPKDGGPIALND VRFSYPLRPEATVLRGVNLTVKKGQFVGLVGASGCGKSTIIAMLERFYDPSTGSITIA SSALTELNPRLYRGIVALIQQEPTLFQGSIRENIALGFDDPSTDDSEPFPVSDADIES ALRAANAWDFVSSLPEGLATAAGPNGTQLSGGQRQRIAIARALIRNPRVLLLDEATSA LDSESERIVQNALAEAAKDGDRITFAVAHRLSTIKDADVICVFYGGRITEMGTHAELI AKGGLYRKMCEAQALD
SAPIO_CDS9839	MADPKKPSTVEAASDDESSGDFRSIDPNSGVKRGLKTRHLSMMA LAGIIGPGLLIGSGGALANGGPASLLIGFGVIGIIAFSIMQSLGELTTLYPSGGAFTG LADRFVDKAFGVAVGWNYYIIWFCVLANEYNVISSIFTFWGDQVPVWGYFLIFWFAFL AFQLLGVETFGEAEFWLALVKLIGLGAFFIFAIVYVGGGIKGVEALGDTYWKDPGPWV SGFRGVATVFVFCSTFYAGVESVAVAATETKNPGVAVPISIRQVLWRIIFVYMGSALF FGLTCPSNAHDLVSGTSRAIKSPMTIAIQNAGWQGGVHLINAFIFVTCLSAINSSIYI GSRTILFMAQDGKAPRFLGWTDKRGVPIPAIIFTNLFGALSMMNVSTGAGKAYSYIVN LSGVSTFLVWGSISFTHIRFRRAWAAQGHSVDELPFKSLWYPWNAYFGLGANIFLAFV QGWSTLSPFNAGNFVDAYILLPLFAIIYFAYKFAFKTTYWNLHEIDLQSGRRRDLDEA KEIAARELDPREVPWWKKLLKNL
SAPIO_CDS9840	MAPGILVVDAPRTHPTSSRAPTKNHEAPRDIFPDGIRTSGQHPP LYDALKPFSEFPKEITGPTVWRKEDFENNPEKWTHPFTPEEVEELGKAADNFIASGTA LTGISKKSSLAYTYIKELFPLPLLGKVLAALRDDLLNGKGFILFKGFPADAWGPHKTA VGYMGLGTHLGYFLSQNGRGHVLGHVKDVGDDPTQIHKVRIYRTTARQFFHTDDGDIV GLLCVHRAAEGGESDIVSVHNVWNTLVKENPDVADLLTQPIWYFDRKGEVSSGQEEWT RQPIIYLENGGKNRVYIKWDPYYIRSLKRFSDQGLVPALSPEQEHAAKTLEETAQRLA LHMILEVGDIQFVSNAHLLHARTEYKDYPPPAPRRHLLRLWLSTPEDEGGWALPMPDS KEKKRGGVQVDNTPPKAPLDAE
SAPIO_CDS9841	MDTTTHILTSSSNGIFARNGVSDPNAAERPPIFRVVGIILAVSS GVFIGSSFVLKKFGLLKANEKYQEVAGEGYGYLKNAWWWSGMTLMIIGEILNFVAYAF TDAILVTPLGALSVVIATVLSAIFLKERLSLVGKVGCFLCIVGSVVIVLNAPKSSAVA NIQQMQDFAISPGFLSYAGVIIVGSVITALYAGPRWGKKNMLVYISICSWVGGLSVVA IQGLGAAIIAQAGGTPQFNQWFLYVLLVFVIATLVTEIIYLNKALNLFNAALVTPTYY VYFTSTTIISSIVLFRGFKGTPIQIITIVNGFLTICAGVVLLQLSKSAKDVPDAAVFK GDLDQIQTIAEQEQPETEPKADAIRGTAAIVRRISQARQKWELEELRRLQEEKRAEQL ESVSEDGPVYEWDGLRRRKTILVNRPSTSPYRSSTLPPQFTAPQRTPHPPLGMSYFPP EDENEREREVIRTPSILSSIAGTIRNRARSMATPSGSEFPADLKMRSPMHPVPLTEIA APGQGPGQSSQYPRSQENAYGLPSSQGSEYHGASGMLAVPGSSASDVSPRRQFSFQNL FRRNQHTPPVDEEQARQRPTTSGRRYSNQFAKSATEEERLGLVKGDSQVTIPFPPYSE EEEDRYIDDEKTRAIQAVTAAVTADSSRYGRGITTPPRRGSDGRDGDTRRRRYNDSRN GSQSSTSQPTPPPMPAERNRPAPGGEDGAFI
SAPIO_CDS9842	MFFIGIVTGSLALAGLVAAGDMPDSTPWLIDLHSSPADAQIQQG VCGIGGQYCTSPGCQLAYGPACHGNIWPRGHDTSDVLRPKFGQVPYGVDITSCTTPGT MALTFDDGPYHYTNELLDLLKENNVLATFYVTGVNGAKGAINNRSTANPAMLRRMLAD GHQIASHSWSHEDMESVSLEERHRQIVKIEIALADLFGFFPTYYRPPYTSCGADCMAE LASLGYHVTNYNLDTKDWQVDGAKAKANYETLLAKANPRTAGVITLAHDIHLDTVHGL TQFMIDKARQAGYKLVTVGDCLGDPRSNWYRDAKTGNPVSESVIGNAVSSYVRPSSSS PSVTVGEKTSGSLSLNRVAAPSGIKEDDDSVEPQISAASLDAVGLRKRTKATDLVPFH 
SAPIO_CDS9843	MTRLNSSCGGRSPPPSQWEARCHPLEPEVSKNIHTYFLNGWKFR SEKARLMFPLQGLATWHCYAFPKAKDDRIEAGAKLSVILFLVDDDLEHKSLEEGSALV ERIISIVKGDIEPDPAVPAEWMMREVWDNLSLIDAKLTDEMKEPAYLFWRSQVAAERL KPKTLKSYLHYREADIASALLCGIQRFSMEHHIAVDELTHLSAIEKNYSDYITVVNDI CSYDKEARTAQTGEAEGAAICSSVQVLADETGLLPDMAKQVLWAMCREWERNHERLVG ERKGRNDLPSAIVEYLEGLKLQISGTEAWSLTCFRYNHLVV
SAPIO_CDS9844	MRMRVTLPLLSLLAAPLALAQSGTTLIPLTSFDSQEEFDKYWDY LYPWGSDHNGSARMRESQVRMENGTITLTAKRVNGEPQATHGGKKLNIRYLSGAIHAR QHFTVVAGGGIDYHAELKVKTYRGSWPAYWATYTEGWPPEIDIAECSQVAALDVTYPD PDEFHKFRCETRATANGRDVSVKFYMDDKFVAEQFGKNYVGKSMYLIINLQMEGSSGS PGPEHDTEYSARNVQVVSYNP
SAPIO_CDS9845	MRHLFLLQAVGLFFGLGKAAPSPVDVDVVAPRELAKRAPTCNTP SNRACWSDGFDINTDYEASIPNTGVTRSYNFVISQFENWVGGDGVEKKVAMLINGQFP GPTVRADWGDWIEVTVTNNLTCDGTSLHWHGIRMQKNSVNDGANGVTECPLPPGETKT YRFRAEQYGTGWYHSHYSSQYANGLLGTLVIDGPASLPYDEDLGVFPINDWYYGDAEE LARGLIPPPGAAPAADNVLFNGSHVNANGGGQYSRVILKPNKRHRLRLINPSVDIHYS VSLANHDLTVISTDFVPVNAFTTQSIFMAPGQRVDVTIDASKTPDNYWFNVTFSSGPC GASRIAKPAAIFQYENASQGIPTSPGTAPADPFCQDNTSFSPVISRTVPSGSFGVSDN NTIDIELVQKPWEDVPNRVYWNIHGHDMNVTWENPTLEYVASGDLTFPERFNIYTVEK DNGAWAFWLIENTSVLPHPMHLHGHDFYVLGHSDPPANPFTAPMTHFTPSSDLSRLKW NNPTRRDTTLLPARGWLVLAFRVDNPGAWLFHCHIAWHAGQGLSLQFLESVAEIPATV DLAQLEPTCTKWTQYYATSQCKQWDSGLKKF
SAPIO_CDS9847	MLGVLVKTSPQQSSPSRPAGDGNSEASRAQSPEHPQSPALSTTS TADCSLEDSPILHSLPAPTLPSRALELLRTPPALDSDDEPPYVTASWGSPYPQTHREH LREESLSSEASEDSPIHQLELHTPFLRPPPEFARELADQSNYAFVSAAVLANRARRPT RGLTEEWIRQHTADDSAEGRPWLSDGTEDSEPSSLRGSASSNIGWLEPYDLQTPRANP NRLPSREVSRRHPRARSSVETLTPESHARDTGSPRVIGKMATEADQTTAPVAVPETAA PAPVPKDGHLPPETPPRPTATLAKEARTPKSPAKSTPLRLKSNEQPSQPRPKKKVPWK GKNIMVLLPLDDHRGQANQPPAPLTQGEVERMYRDWEELGYNVRGFDLNRDSSRPGEA SQSRAFWPDHRDMEREHRNRHFVVTLPDLNAWKNYVDELNEAKLRALGVSSHDEEPPP LPSVSPVTSTTSRIPSSQLPGQPFSPPIPPSSASSNHFPFPAPFIPGGRGSAVTSPGI PSTVSPVSFAIPPGKYNPRQSISIPATSSPFQIPPQPSPQNWAQQALLMQSVNRGDSP ALSLNGLISPSSPFQTGSPAFNTHQRHQSLQYPLLPHQQLPLFPARATPTLQELREVD EDGLSKSPSKTPEPLKENPDDLQREIEDAEYHLEEQLRNELEHEDYSPHNQDNKVEQG IHLPDSDVFPSAHGRQQSVQFALPEQILSNAGPDPVLHHPRPHSRGHSLSHNFFTRHE DSGTPGDPNAGFGRFKVPADSSSQKAEESYEIITNPSNLGTPVQDFDLATVLQENTHQ RAFSTASNPWNDSASVTSQSGARRLSHASKPSTSKLNVKAPEFKFNPESTFKPGQFVF GGNSFQPQPTLLQTNPLFQAPPPLSATSSQFSMSTTSKINVNAPVFSPQSDFSFSSSG PKFRPDAPSFTPFGGAFSDTITSPFSGSESGGKRSGSIFGGIEIPAPETITPAKKSKA IPIVRPPSHHSSRAPSVDGRHDDRPIPEESRVKRARASPADDADAVPLFAEPSATQES PALKADDTEQTPQPHILEDHRSGDETAGLADTSMSSMFTSEATDAKPTAPTSVNSPSE TSPYWGSFEFENRADMQNFNNALPPGEKQPSFRGHKKSLSATAKPFTPGAFAYRDLAN GETIDAKSDVTDEEKLDEETPRPGIVAPELKRKAAEDEETEEIEEKDEEPTLEKETHL EPEPAPRVATPPPLLHRVPQGLAASRYASPPPKGLGASRFASPTPEPEMVVEPSPEPT LGAESDHEKPTPRFSPSPEPEAEPELEPELELEPELSEEDAPVEREVVGDLSVELDSV EEAASEVESLDVTLEEPVAAKESTREVEDQGPTFEEIDAVMRHINENDPTKGVNRTHD ESPRWLQPSPTRQIPLTLVSGESPIHLPPTQHFRSDAPSPSPRRYHALPGEAVRPMMT TELDDPFIDGQGSIRSFEGAIHRLDVDKVIPGSDWDEDFSNDEQDKLESRVQFFDGRV NDVVGNILAARLDPVERSLDAIHRALSSLSRRAASSHRERRSISQESDADDEDDEPAP RRSMSPQRNRRVEQIRTAVLDALATHQRAMPPPVAPDTTAPSEGALVLKALEDMKEHF GTSMRLDFRGEDLRNIVEEAVERRMPPTPQPDKDAETKLEELQAKVIDLEQRLHIEQI KVEKEVEDRRAAQDLTAELGRKLEAAETKVEVEIFNRSVFDQRVADLEEKLRIQEEQS EKELSGRRAAEDRLSEVQRLLRIATEEETRLRETLDEREQRIKSMEQANSKTTMRLAL LEAAQTNATQSRSELTNRINVMESDLRNAKQELSHWRSEADHAGEKVRRLGGDLDQAL NEKRHLHKVLDTLGTQLEENERVRETWRGKFISVQEDMARAAAQITEENARRTKKEQA LIARQEVLDAKLQAEARTRERLETELERLEGIERQGMRAVTESKRLETLLTDLRNENH QLHQTAMRYQREFEEARESGASEVQRIRVAMQTQLDEANNHVNVVRQELEEQISKLRS ELDHTRLDVDSAKAQSEMLLEEAHSTKATEIQRLVEKHQNEMEDIQTRYERQHSNAVD EAQTREQRLLERLSLSTSRTEHLQDRIHHLEEKLEIAQEAARAAAQAAKTTSAETIVS PSSAHPRSTHGSMALPEKISPQALRESIMVLQEQLQEREQRIEELEQTVADLDPEAET KIAKRDEEIIWLRELLAVRHGDLQDIIGALSAETFDRARVRDAAIRLKANLQMEEQER ERAMNGGSAVNLPSIAQTIREAATPRVAQAVGPIAAAWGSWRKAQPSLSSLSGVLSSP ASVNMRSNTPSKAGPPTQVNNTLLGGLLTPPASGLRQTPPTSFQTTQPAPFTTTGRRY TGQRSEHTSRASISSRRSEKMPIVGTPPRHTERPEPVTPPMMERSGYDSDANPGDFDD HGFFDD
SAPIO_CDS9848	MDLFKVRINCIDHYQATPTRYDPQLRSDIRPSQVSKGPKAPVVR VFGSTETGQKVCAHIHGAFPYLFIEYNGSLDQDAVGAYMYRLHMSIDYALAVSYRRDQ SSGNAKFVARITLVKGIPFYGFHVGYRYFLKIYLFNPIVISRLADLLLQGVIMKQKFQ PYETHLQYLLQFMCDYNLYGCDYLESTKTYFRAPVPEAEADDSLAHHWNDQKIPAANI TDSLDLPRLSHCSIEVDICVQDIKNRKSVKERRLHHDFVERVRPTPPDLKLVSSMAGL WRDEMRRRRLKLPGGKANGSPLPADALVSMSADPRDSQPQGWIHEEEYKELLRQLIED EVSADEDGVKPTFENFVEPELEDDEVQTVLQSVTDLYPDNLRAALGLAESASQDVGDH GGDVDVDARGIRPAQPTDEDYFPEDSDEEVPAEKIIGDDQGLDQLNTRGLGLDPECDP PILGICASAMRTGRSAQIPITSQLLAIAKAEQFISKREKSDESETDSRPSSKRSRTPD PVEIPSKRPKLEDASLPKPGVMEMKPTGTPQLSHPPSHGRGRGRPSKSPASSQANDQS SINFPIVKDPNDTNGQFSLSQSSQKQTTRVGNKKDGDSDLPTPQTFNSSRVKQVRFGG KEFDFSVAQTTTTPTKSTKSSASSASSKNVIGSPSSNRSPSQVLIRSKSPSSRWTTIM STIPPSASQVCSSMKELGLPDVVYQDAFYSNEKDVPQRPIEFAGREFRLEGNTLPFLP EFNLSGPAGMPSDAGNGLSQKTDRLTDAQRRRICTYRGWELAQPPPTYQEVKDWWQDK LIKRREQDRQASGKEHARRLPRPTQRPKVMSQIDGPTQINKHGFKYSQKKKSTGVLHE TQYMSTMSVEIHVNTRGKFVPNPEEDEVQCIFWCVKSDEAGDGDEDVYQCGIVVLSED GSLTEKIRRMTQADVAEEATELDLLVRMIGIVRAHDPDILTGYEVHGGSWGYLIERAR LKYDYDLCDEFSRMKSNSHGRFGRENDRWGFNTTSTIRVTGRHMINVWRAMRGELNLL QYTLENVAWHLLHRRVPHYPWKVLTSWYKNGRPRDLFKLIRHYLARTRMNIEILDANE LIPRTSEQARVLGVDFFSVFSRGSQFKVESIMFRIAKPENYLLVSPSRKQVGSQNALE CLPLVMEPQSAFYNSPLLVLDFQSLYPSVMIAYNYCYSTFLGRIVGWRGTNKMGFSDY QRRRRLLELLEDHINIAPNGMMYVKAEIRKSLLAKMLTEILETRFMVKSGMKQDKDDR RLQRLLNNRQLALKLLANVTYGYTSASFSGRMPCAEIADSIVQTGRETLERAIVYIHS REDWGAEVVYGDTDSLFVYLKGRTKDQAFDLGAEIAKAITDMNPRPIKLKFEKVYFPC VLLAKKRYVGYKYESKNQLVPEFDAKGIETVRRDGTPAEQKIEEKALKILFETADLSQ VKAYFQSQCDKIMRGAVSVQDFCFAKEVRLGGYSDKGPPPPGALISARRMLEDARTEP QYGERVPYVVISGAPGARLIDRCVAPEDLLENSHVTLDAEYYITKNITPPLDRIFNLV GASVRQWYDDMPKVRRIYRLDPSAHRKTLESYMSSASCVVCSAKTRAADVSLCDECGR DVSASLVALEGRLAKLQRGIMDVDAVCRSCAGLGPLEEVFCDSKDCPVYYTRVKEGVR LRAEKAVVDPVIEKLLSEPARLEW
SAPIO_CDS9849	MATPNPPNRPPVTGGPDIENDPFIAAPHQQPLRYSGFDSKLFAL GPASSPGQAKRALEAHLAETERRMAEAGKLGTALVQQQKELRDRLAEVESMQTEGQLS TDLRTKLAEIERDYNDVARQTARAFLPKQRVPSNEAAAGSPYVPEGKGGRRSVSPSKF ESQATGSPTKLSIPNRKLRNQPANRIHDIEFAAEISTSLIAQVRNLQALLAEKDEELR DIVTEKSRLEIDTEGLQQRLKVLDESEHKYKEENWNLETQIQELYASQKEAAEREKRL TQSLNVLQAEKTKAQRDLDEVKLSHAKLTEEHAASIKQHDIELGTAKRNIATAESERL TMQRKLDDLTSQNQELARAFSSQRAKIAERDALSGLSEDDFETATDNLTPEHSPPPSP VKGTPRHSVLESETLKTSLQHAQRTIQSQRTQLHREKTEKLELKRMLQDARDEVEKLR GEGGAPTNRRSKKMDVKDTKKYSKLLLGGARSSREEIYIDDEWEEHQGDSSPRLPGSR SRSPGTPRSPSRDAEATDHFETANETSDAAFETANERGTETEDFQTGAEEFSGSDSDE TETESPSRGVRHQRSNISMPISFKKHPNRESFHSTASTSNDEEEIFGDSRTPTSIQPP PRMRLRVSRGYLRRSRQPSEEPALPSSPPSLANSSASGTPRQSGQSLFAELADLDGSD DESYGGTPSRNLFGSSDSISSIPQLRPFPSMVRLPMVDSGVMTEPSKVDAEETVVTPT AIPKSIMVDSGTMTEQIEPPILSPVSVVHLERPSSRALDVDRPMSIGSGTFLSLDEGI DADRSRPVSTLSYSDSGAQYDPEIGEKLSKFPLPPSIAKKPLIPVLGLASIESQEVTP VTEPEPPVVVPPLGLTSIETQDIAPVAEPKPLLSLVSVEAQEVAPVVEPEPPVATLAL SSIHAEELEPKAEPVIEPSIPTLSISGIHSAVLDPKAVPETLPPAPELSVSKIQSQSL EPDNRPLDLSVAEAMGLVAVTARAVEEVAPAPELSMTTINSQALEPRAEPEVVVPPQE LSLAPISSQELEPEAVPAPVLSLASIQSEAVEPKAEPQVVPTLTLAGINSQVLQPRAE PEVLPNLSMVNISSLEVEPREEPRILPVLTMTNINSQDLEPKAEPEPPAPTPIPLGFS SVRSEHILPVAEPVPEPVVLTTSSIFSEFVAPISPKPSVEVLPQFGFTSIQSIETQPV SPRSPWRDGFILPRDSDSPFDELRPRATFARPSVLGWDKERGSTPPIIAEDETRQSPS QTPQPETPESQRPLKDMSTNSNFRIVRKPKVTMSDSGAQTSLTSDELDSIFQAKQASA GYGHARQESLGSSMGTPGTVRIRRGSTDSTGSVIRSRGRLIDAAIDRPGSPASVRSPT TELPPLPANHRQVIEAARSGSSGGGQGASGSMGPPLWPASALRNRPRTPATSKPTSPV PGSPTPRAVRAGSITGQAEAHPAVKYHRSGQSSVTSFASELDNRFNMQTSGGMGMGTG FGPNTDPRMIQAITQTMIGEYLWKYTRKTGRGELSENRHRRYFWVHPYTRTLYWSDRD PTTAGRTELKAKSVPIEAVRVVTDDNPLPPGLHRKSLVVLSPGRTIKFTCTTGQRHEI WFNALSYLLLRTADDAQSDTEEMAGHITQEDVDEFNPQYGQRRAAAGTSTARRTALPS ISSYNSRATRTDTSAIEASLNIPTLTPSKANRTNEQRPYGTLTRLSGYLKSGQVFSSI RSRHTVVSPDLYDTSEVHDSAEDLRAILERQDRESDRLENVRACCDGKHDVGTLPRTT KRHHRSALTHAHPGASVASTPASTLRSRA
SAPIO_CDS9850	MISRSDHIWRLLGRHLLRQKPIGPPAIFRGASLPRRGFGSTAAL QTKNQIYDPVKRAADFDTYHLLSVSAGTPLLTLWTTSWCPTCRIVSPILYDLVESGVG EAEGGVGLCTIEYDSPDIMKEGFGHKYVISSVPTIMAFDGQGARLSTRLMDPAKMTDK TFLASWIREEARRMGGGSVGSGFASKLFRL
SAPIO_CDS9851	MDFFKRRGRRTPEDAKEVSPGGPPKWSMGVLNDKHTVEVPGSVL LLAKDRNEPLGLHHAPARTSHSSLPTSQPFSRPETPRPASSVSRAPRPVDTVKKTQDG KIILEPQPEDSANDPLNWPTWRRDAALLSLGFYCMVGGGMTPILAAGFADVGHDYGVE VEEVALTTGLYMMGLGVGSVIASPTAILFGKRPVYLVSAFIFIASCVWCALSPSFESL VVARIFQGIAVSPVECLPSATIAEIFFLHERAFRIGIYTLLLLGGKNLVPLVSALVIQ NLGWRWVFWVVAIIAAFWVFMLFFFVPETFWDRTPVPKSQAPSRRPSFIRRLSSRFGE HHHHPPLVEPTFDPVVEKEIPSSQSPAPERPTSPRVEFVENSIPTSHDLSAPESSHRH RPQVNFAVGESNSDSNRTSGEHEKPKQPLDSEIEKTPQSETASALPPSHDLSIERDSR LKAPTPGDLRSKSPAISALSGSEVRESTRASTSATPDLEKLPTPNRGRTAVPYTSTLR DQPARTFVQQLKPYYGRLSDDSWLKVMIRPFILLSYPAILWSSAVYSCSIGWLIVISE SMAMIYQDRNSYNFDALQTGLVYLSPFVGGLLGTAVAGKVSDIIVKAMARRNGGLYEP EFRLVMAIPILITTVIGLMGFGWSAQERDHWIVPTIFFGIISFGCSLGSTTSITFCVD SYRQYAGEALVTLNFCKNVLHGLVFSLFFTHWLSSDGSRMVYIWTGIIQLIIMLTTIP LFIYGKRLRMWTVRKNIVEKVLKS
SAPIO_CDS9854	MAEPHKKRIAVMTSGGDSPGMCGVVRAVVRMAIHLNCDAYCVYE GYEGLVQGGKFIRQMQWHEVRGWLSEGGTLIGTARCKAFFEREGRLVAAKNMILNGID ALIICGGDGSLTGADKFRSEWPSLIEELVQTGQFTQEQVDPFKHLNIVGIVGSIDNDM SGTDATIGAYSALSRICEMVDYIEATAYSHSRAFVIEVMGRHCGWLALMAGVATGADF IFIPERPRGDDWQSEMCDIIRRHRKLGKRKTIVIIAEGALDAQGEKITPEMVKDLLAD KNGLALDTRITTLGHVQRGGTAVSYDRMLATLQGVEAVKAVLDATPETPTCFIAINEN KIVRKNLMEAVKDTKEVAAAVQAKDFDRAMSLRDHEFLDQYKSYMMTTNVHMDDGEKV PEIQRMKIAFINVGAPAGAMNAAIRAGVAYCISRGHEPIAIHNGFAGFARHHGDKPIG AVRSFDWLEVDSWASKGGSEIGTNRELPADSGMETIANLIEQYRFDALFLIGGFEAFH AVSQLRAARDEYPSLCIPMCILPATISNNVPGTEYSLGSDTCLNELVNYCDKIKQSAS ATRRRVFVIETQGGKSGYVATLAGLTVGASAVYTPEEGISLDMLAADVKHLKEVFKKD RGQSRAGRLLLVNEKASKVYTAKLIADIIREEANERFESRDSIPGHVQQGGVPSPIDR CRAVRLAIKCIEHLESFGRRAQNKVKHDPYSTVVIGIRGASVVFTPVAELEETDTDWP NRRPITSHWAGLKDIVDVLGGRNPYPKPEHKLTGLKAKDTKRGLR
SAPIO_CDS9856	MPRSRRKSAVGTIQSTAQEVLQEDYDKAKILVADAAKSRAYLYP VKGFFYFVTRPSIWKPFAAQIGPYLLLSITIVLALFFFTYLPQLAVLVFVNGPLAVFT TVVLILSESATLTNLISRNWLLHDALIDTFDGTLVSRNATAIVEEGRELKPGSTAMER LGNIIKTPLERFSVKSIVRYVMYLPLNFIPVIGTVMFLVLQGRARGKIIHVRYFQLKR WPESRQSDWLRIHVAPYTAFGLVATLLEMIPFLSILFAFTNTVGAALWAADIEANQLS MALDDISAANGKGSKGSAKKTD
SAPIO_CDS9857	MRPPRVAILVLFFAASSFVFFRSLTSLARASAIPQPLTRTPSRL RSFFTFSSPLALFPPNAAISLTDDNSTSFPARPAAFGPPLPTKGLSGQLWIGSGFADD NLQEGEGEGELGCSDIPGWEDGRSRVAFKASAKTMISSGRKDVPAADNSNRSRRRGSP MAVYDRDSATDSIPTPPGAEPVDDGTDDYLQHGLPPKQRGTLGTSGAGHADIQSMQET AEITGKIVLLSRGGCGFLEKVKWAQRRGAKALIVGDNRKGGPLIQMFARGDTSNVSIP SVFTARTTAHLLSSLTQPGSFIEDIIDENGNAALKVQHSDTSKKQRKTKPAKLAGAAF SRIAGAPKPKSRVQGQPQRRSWLSSLFSWGKSSTTAAGKGGSSGSSHDWVLVEEWDDE VDQLLHDSLRKGTKQDSSNRPGGSSPGAQEWRDSDADNGFDNESYDPEKNALIGDENE DDVASDENGGRKGGRLMSKIFGEDDDTGDRPPEMPKPKPEKPDEPSHDPPQREGLWVT ITPSSSASSFFDTLLVLVISPLITLSVVYALLIVRARIRRRRWRAPKSIVERLPVRTY HAAAPSPIHSPRIPSPTSSSPTTPLLQATPSRSRPRSRTTTGVPESSNFLTVSIPMQS CKPLSRADHEKPPQVSSEWKKFMGKQVECVVCLEEYVDGVSRVMSLPCGHEFHVECIT PWLITRRRTCPICKGDVVRSLARGPPTSPRYEPYHDSSSDEEDIEPPRRSDTGGSNSD DEMERGTLSFRTFHARPSASRLGRWFGSLMSSVAGSTSQPAREPEDPRTR
SAPIO_CDS9858	MNESRFIPNTFSQPLTLPRSPPSLLKEALEEILLHTPPQESYVS RSSGPLHLGGLLSGPTALAYLFFRASEAQPDLRVADHSVSRWAKRYLRGDRGSLKVRT GACGLKSERLAYDAVKACISRDPDDVKTFLASLAPVLHSLGRADVFPSELFCGRAGTL YFLRLVRHFVPDSAPLLEDAIRAISISIIEVGDDGRGNWLFHDKHYIGAAHGDVGIIT QLVLTTPSLAPKLETRLDKFLDTQFDDGNWPSSAGKTSSPYVQWCHGAPGCVISLVAL REHFPNLQTKIDAAIHKGRSCIWRAGLLKKEPSLCHGIFGNAIALDRTRRHHFLALAT PEKVQDMRSRDSSLFKTAAYESEDSLLMNYWPSAAWTWVVCEDEEPGMIVYTDV
SAPIO_CDS9859	MLVKSVLSAGLAATAAHAFVVIPIADADRTISDLLPFDAPSKAH EQSIDIACPGCPVDIKDGVAVDDVPNHLEMTFSIDTSSNGDSLLANGFELYPNPDPFA NSLVAPQVTEPSNMDDKAHVKVQRLGYRFHVYPIAKEEESQQLELIGVDLQVVEVGGA FVNGIPAVKIRLVKNGDSLAIANVQTVEAAPAFGANCTTLLCKWRAFIANKIAAMRGS GRPCHGNKEQAGPGPHGHPHGMHGGMNGMPHGMPHGGPPHLPHHPAMSSPPSAAPEGQ FHHPAPFHHGHRHHGLAHVFIMILTHILLPILIGIAAGVTASIVGMILVSIVLRVYKV IRGRKPAPEQPPSYKADPSEAVLADEEKAGLMEDQEHLEPPPSYPEETPAAKL
SAPIO_CDS9860	MPSYRSLRLGVLLPFLFTLSNLHAEVEGRETRLVPGVDQNGERI LLPSHRTPALYTGDFGDCGGGNDLFSITKFDAGLYRDNFTVLFNMHGSTALTNENVMY GEQRFEMIFDPCLTQVYSMCPVDVSTPITAWAQIPIPPFELDVIPSIAYGIPDFDGIA RLQIFSNTSQTRVGCFQASLKNGHSFSHPIAIPIVLGFFTTLAVLSSFVLAIYGSNIP QMRTHYAHAISGLIVFETFHSIFFFGAVSVRWPSVLAAWRSNFAWSAGLIYADKVVDG ISSFVGITGNASEANTVGSVVSDSGFAQQLYGRSLRVQRTRETIDKSVAISQGLFKRQ SPPFNSSNPDDYTWGGRPALPGLPLSGFPSRFPGTLAEVKIPAPDAFLVAIIWSIIQK RVEIEKFSYFRSHLPGYIGVAILRTFFIGFAPLMTLALFQLTLPGPPEPKVIAGVLGF LILLSVGSVVGYACYTRLRFGRYEIASDRLRLERGRIFKVVPFVTIICASRLLLEEES SRRPLATIPWFRIRYIDDNKSRPTAHEDEGYIKRFGWLTAHYRHTRWWFFAWWFGYQL VRAIFLGAAITSPTAQVFGLLVVEIIAFVVIARLKPFEGLRNSAVAVWLLSISKVATA GLSVGFLPGFDLGGIPSTALGIIIVIIQAVLVLAVLVLIILGVISSWMSLTRDKEDFP KPLKSYRAKFFEKLERRAPDMPETKAAGSDAEDREPTAPYFSVNRVRREPKIGERLSA ISDPYFAMNNGSGIFLAPPSVGSRPVSLNSRHSISSLRRAALVHPGSRSAVDLVGLPV ETAPWMAERPNSTHSSSRRKPQMSPPLEVTEDGVMNRA
SAPIO_CDS9861	MPLTPHGGVLKDLFARDLPRKEELAAEADTLPALTLSERHLCDL ELILNGGFSPLEGFLNEKDYNGVVKNLRLESGVLFSMPITLDVDRATVDELGIKTGAR ITLRDFRDERNLAILTVEDVYRPDRNLEAREVFGSEDDTHPGVHYVLNVAKEFYVGGK LEALHRLDHYDFLDLRYTPAELRAHFDKLGWQRVVAFQTRNPMHRAHRELTVRAARSQ QANVLIHPVVGLTKPGDIDHFTRVRVYKAILPRYPNGMASLALLPLAMRMGGPREAIW HAIIRKNHGATHFIVGRDHAGPGKNKQGVDHYGPYDAQEAVKKYQDELGIKMVEFQEM IYLPDRDEYMPRNEVPEGVRTTNISGTELRYRLRTGKEIPEWFSYPEVVKVLREQNPL PSAKGFTVFLTGYQNSGKDQVARALQATLMQGGGRPVSMLLGETVRHELSAELGFSRE DRKTNIARIAFVASELTKAGAAVIAAPIAPYEDARVQARELIEKSGPFFLIHVATPLE YCEKTDRRGIYKKARAGEIKGFTGVDDPYEVPPAADLVVDREKQTVRSIVHEIILLLE SRGLLDRL
SAPIO_CDS9863	MSANANNNAAAAPPSLLDLTIDNITPNTNRINSQSSDARLTYLM TRLVTHLHDFARETRLSTDEWMHALNFLVGCGQISSDVRHEFILLSDILGLSLLVDSI NHPKPADSTEGSVLGPFHTHDAPTLDNGANMSFDPEGEPLLCVCTVKDRHGNPVPGVK IDIWETDSTGHYDVQHAGRDRPSERCIMVSDEQGRFWFECIKPVSYPIPHDGPVGKLL MKLNRHCWRPAHLHFMFEKEGWDHLITALYIRGDPYETTDAVFGVKKSLIVDLETVDK ETAEKYGVKEGILYLKHDFVLVTEQETQELRDQNAREAIQKLGLKVKLVDHLPVPDLD 
SAPIO_CDS9865	MSIENLKTYDPFAEADEDTGETKQTQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVNDTEMGEVIQLQGDQRKDVQEFLVDKKEGL ELDPKTIKVHGF
SAPIO_CDS9866	MANSSTAPTARLSKSFTSSYASPTASAHNHVPTTRSVNPTATAT TTPSATTSTAIPNNNNTSSSSSSNTPSTRPRQQTQSYLPSSILAPRVAIALNIPKSWH FLLFASRLLSITPALAWGWPSALLLLDGVISRFLEGRQWGFSETASALACIWCSAAGY LSFFFTDCLMSRWLINYTPQATIVRLLTINAVNAYVTSSALSLIGGFRDSRLLLPGWI GIATMLTICYHITHQQITIRKETSTSINVFSIASFLSMVALLALLYSQQHHPDFPEIP LLAITRRVVKDLRKAARRTTMAVDVEPDEL
SAPIO_CDS9867	MSSAARQGLLVPRTALTPLSRTYNSALRTCPATSLAARTALQLR MVGSVRDMVRTTQAKLQKEVKKGDAQAPTPSSEMQAMTDQMRNRMLLPGTFVALPLSQ YPRQPLAFLKYLWASTRSTWEARLITWGYRLQSMPKGSFRPAFKPQTRQIVPTAKALH RRLNEALAAGDLVALREVCHEPLYDMLSRTVDSRNAKPGAKKEILEWEVVEYHNSWRL PRLNYHRVTLIPESRYGQQTAVVTFDTTQRLTRRDAATGEVIKAGTKAQRKRENLVLM RSVDGRNYEPEEWRIWGFVPETTLQAWETMEKGKKALQTSSLAKKAKEFGAELK
SAPIO_CDS9868	MGQPSYEASNAIIYLTYGLFLVMGTVIAWKMSKSKDTFLAGNGT QKALPLAFNFVAAVLGSAILFAYPELATITGVQGVVIYALSSSLPLFAFAALGPIIRR RCPEGFVLTEWTRQRYGIPCGLFLSFMTLVTLFLYMIAELSAIGQVVSAMTGVPDLPV MIVQCAVTTIYTSLGGFKISFLTDMIQGVMVCCLIIIASITIGVKTKIDTSLIPSSGL LEASTLGWQLLYILPVCILTNDFFLSTLWLRTFASKTDKDLWVGVTVAVVIICIVLTL VGSTGLIATWSGAYPGDSAEDNRSIAFFLLLNQLPAWVVGIVLVMVVSMSTAAFDSIQ SAMVSSASNDLFRNRLNIWYIRAMVVLIMIPTIVLALKAPSILQIYLISDLVSAAVVP VLVIGLSDRFFWWWRGFEVVVGGLGGIFTVFLFGTVYYGDAYKGGRLILIEQGLTSGD WAVFGAFVAAPVGGMLWALAASGLRIGVQWCLAKKNGTRFDALDRPIPAVVEAGSSDQ EGDEVSFSKKAGKFF
SAPIO_CDS9869	MMRANAVSDSWITAPAIRNLDVFVPVDSSEREMSASSSHVDSPD QFALIAQSLKSQQRRTVSDAVGNHFIEPLYNTIYAEDDMNQVADLAPGSSGPASDPAP GQGRDTMSSAMSGVRRALEEQGRKFDAQDVYPTKACLFVGNLLFAATDRQLEIALRKV FAQWGTPFIKIKRPSGPDKNPYAFAQFCKVEESEKAAVNAKGIPVFGRPIRIERSNSK LFWFVYREDGAFITVDEVEMAIRGLGNASVEVAPSTLARKFDRGYGIKVQFEQWDEHR DLSRTFQRPWVAKHYDEAALDRQARRLHGRGNGGGMPNGTVTTHQMHQACRSVYCGNL PRDVTEAEIRALFNPVTNHVQSIRIVRKERGSYAFVELPNHEMCNQACSALNGLRLRG LNVKVEPRRFVGGQPRANVSNQSVASGNQSRQAASAPVPPHRRGANGAISASVQPAPH QPEPVNKPPVVEPQPDHVASAQEGINGQINAQVRQLVALRQGSGTSTYSPQFSLDNHG YGAPRQLMQAPQRPLFISGPPAHGGNVDASLTHLAASAAQGAAAASMLPGFPNVGAPI SLAHQVQPHVPWQFAYLTTATGQTLLVRVPLTLGEAKPTQQ
SAPIO_CDS9870	MRPTFRLYRGFVGRSMDELKRYTQIAVNLEAIRGPQGPYALHAF DNPESVKECKILSDADIGGFSQSHLEWHPSESSPQTTTTLKSPSSSTPHLPQTAGYAR FYGAISTELPKDRPEIQRTGYAAWRTPDRKPTIFGRSYWNIDPYDYLAMRIKSDGRSY FVNVQTESIVQTDLHQHRLFAKRPGQWETVLIHWNDFVRTNHGMVIEPQTEILRQKVK SIGVGLTDRIPGPFELCIERIWATNNPEDADTEDAPPMSTGSLKTRSGEKVGWNPGSE EPNTKE
SAPIO_CDS9872	MSVQDISPDLDKLEAQLDKVEATIKPLLENISNSTQLPLVDRAK LFTLTNYALEIASLRLQGADALNHPVFTTELKRVKQYFDKIEAAENPPQPQPRTQKVD TEAATRMIKAGLSDDQALKNKLAEQIAKEKAKAFLTSIGKRRPDSEQGKDTPTPDGKS KKQKRSKQS
SAPIO_CDS9873	MQPNTFSMQEIIRQNYDEAIEREEEGGSAETPFVFTIPKGTPIP GHLILINEYLARFSLQPSRAMSLKELNRSLDEFYDKNAIKETPGDWIDGHPYEDALDE GLDETWMAK
SAPIO_CDS9874	MPRRNTSRSTGMRAPARPTVPAQAPPAQSRPAATMAAPPAQAAA PPAPAPAVSQGPGLFGQMASTAAGVAIGSAVGNAIGGLFSGGSSAPAPVEAAPAANVQ TQSQQAQNNCAGAAQQFTKCMDDHSGNMQICNWYLEQLKACQAAASQY
SAPIO_CDS9875	MFFSGSLQEALASAVQNNKAVVCFVTDDGDESQTWENEYLKEES IDNAISSKTVALRLKTGSEEAGYLAAIFPIPKVPTLVILKNGQLKEYIAAGTSKDDFT NRVQTALDILQPEPESASAAQETTPLTAPAATTVAPTTPSTASSATAPTPAQDSSEAE QDPEPQVSTVSEISRPTPASSSTPQTTTESSSSSVHAVLAERAAKLNQQREEAKRKAL EAYARKAKGKEKETDPESDDTGKYRTPEQKRLADDIKKRQKEAAEERKRILKRIEDDK ADRRAAREAAVREPTSGEVAAALATVPATNLAKPSSSLTALVVRLSDGSTIRNRFPNT ATLLHDVRKWVDENRKDDVNAPYGFRVVLSPTSTRVIEEAEEGNSLEDLGLSPSATLV LVPVQRRSRSSASGSGGLFSWLYALILSFFSTIFSSGPPPNPAPEEIEMRDLGSQQQA RTTGARVQTLHDTEQRRRRDHQLYNGNSLNFEPRPDDEE
SAPIO_CDS9876	MSAAKGLLFQPLRLLRSSHSSPLTQCLRVPKPSPGRGPNFSTTP RSRRDPVEERDFISLVDQPPQLVRSGRKHGVGILFLALIPVTAFALGTWQVKRLEWKT ELLAKLEDRLTRDPLPLPPHIDPSVVSEFDYRRILATGRFRHDREMLIGPRMRDGEQG YEVITPLERQGDGTTILVNRGWIAKRFADQKTRPESLVRDEVTVEGLLREPWKKNMFT PNNRPDKWEFYFPDVKQMAALVNSQPIWVEATLVPEYLLLADYQARGIPIGRPPVVNI RNNHAQYIFTWYGLCLATSIMLYMVAKKPSSEIAKRVRMSKNW
SAPIO_CDS9877	MSTPSNTTTPTGPPSKITVVTPSRRAFSADPPSRGSTRRSIHTP LNNTTARDLLSSVRYGQSASGRKPNAPTPHARAAIRALDLRRATIFTPGRSRRRSMRE QRETPRDILRALGQMLAPTSQAIASSSSPGEERRTSLAKVLEEDDDDSLPIDAPRLSL PIDVDDDSDLRPPRSSGLEEENYTMQSVEFPRRAYSEQPSRLSRGSFGTVDLSDVTGT VNLDGGDDRANFPIMTFEDWGLGAALGDITFERIDETEGRRTTLALNCESGVGLDVIT DVNESTFMMNLEPAPRDESSVPPLEASDNEGEAPQYDGDEWPFGFEDEEPVEEGKEEE DATEAVVEGQVPRRKRKRGPKLSQYGIEYPSLPPAVIKRLAQTFAQTSGVANTKITPD TLDALSQATDWFFEQIGDSLQAYAKHAGRKTIDESDVITLMRRQRQVGPSTTPFSLAQ RHLPRELLQHLRMPVPVPAKKRRRKTADNPEEEEEE
SAPIO_CDS9878	MAQSGVQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSASVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKW YPEIDHHAPNIPIILVGTKLDLREDPATLDALRQKRMEPVSYDQALLCAKEIKAHKYL ECSALTQRNLKSVFDEAIRAVLNPRPVQVKQKKSKCTIL
SAPIO_CDS9879	MSTSRPNKRPAESDSTSSKKKRRRKAKGSAEDDELIDLELGVNG AIAKMDNQLLADHLAQRLSRFGTDLSPVELSDLSISAASIKDTTSWKEQRITDKFPDF LEKFADEPESLGKAPKPCGTPHTLIVTGGGLRAANVVRAVRKFQSKNNTVAKLFAKHM KIEEQISFLGKSRAGIGVGTPARLAELIENGALSLTRLERVVVDASHVDQKKRGVMDM KDTMIPLARLLSRKELKDRNGYKEGKLGVIREHDAVADSDIRVTTSQVPATPVTTSGG YSLSSYTAECLCSKIASETETTAWPATATEVGEPAQVNVTSVVDSPVPDESHTTYSAV RSLKVVTVTVTVSRGSPPEILTEAIPSHNLTGTPLPTTTFKSVRPCPHHGNGESSSDA SLDTPKATLSTTVFNGTITEVPTEQPTATGTDDSHMGVEAAPEASSAGQFGMGGDLFN PLVWAFISIYQNMGKRNRESLGETSAQAYNGEKTKKRRKDGDGSEKKRNKRLRELKKD IADLPEADAEDVAEKEAVVSGGDAVNGKGEGEVLSKKAEKKRRKKEQAEREAQEVNGE EEKIVVDEDESKSKEGKKSKKSKKKAKKAAAAAAEEEEEEEDGGVKVTEQESPKNGAP AEEGADEEGENEAGKKGKAPRFIVFVGNLPYSATAESIRAHFASLNPSAVRCLTKRDD PTKCRGCAFIEFSSSNNMRTCLDKMHHSTFEDGKSKARKINVELTAGGGGKTNYRMDK IKKKNEKLNVNRLKRIEREKEEKKLAKTEEATNGSGGMEESMHPSRRARM
SAPIO_CDS9880	MTGLHSPSSLFSHTKNHQRNDSGSMSLGTTATLPEGTPAGGANG ATAPATNPQAGQTGGGASDVVSKQVQDVLSSEIAISAMLHRLKQSIAAAKEFALFLKK RSALEEEHAHGLKKLCRMTQESVHRPEHKQGTFAQAYDDMLVIHDRMADNGLQFASSL HHMHDDLMELAAIAERNRKGWKTNGLAPEQRVSEIEAAMRKSKAKYDSLAEEYERART GDTSRQSGKMFFKGAKSAAQHEEDLLRKVQGADQDYRGKVQILQAEKAELVQRTRPEA VKAIHDLVRETDSGLSLQMQKFASFNEKLLLSNGLCISPLKNSDNNTQQPRSLREAVA SINNEKDLSDYLVAHHSKVPANHGDVKYERNPVLNPPANPPISQPNITGGQSSPPGQF PVQPLAQPVAGQRGSNYNSPVTSPGAQGFSPTAATAPIQQQQQPQARPSSQQRPASQQ HQQLPLSQQQHSRTFSAGGLLNQPAIGQQQQQPQPYQQPSSFGGSGPKFAAPPATMSS QGPPQLGALSFQSSGPLAQPPLAPGLGPQAHQRDSGGINPLLQNPPHSQGASAPSKLS AAPITRQQSPPAQVTAKPVFGIHLGKLYERDGLAVPMVVYQCIQAVDLYGLNMEGIYR QSGSLNSINKLKVMFDTDSTNPALDFRNPDSFFHDVNSVTGLLKQFFRDLPDPLLTME HHSALIEAAKHEDDIVRRDSLHAIINSLPDPNYATLRALTLHLWRVMENASLNRMNSH NLAVIFGPTLMGTDPNTGITDAGWQIKVIDTILQNTYQIFDDD
SAPIO_CDS9882	MTSRTSMGAQPPRPPQRTLSSPGLPGQRSSHQRSLSQQYLPPSP IRKDSMMDSSFDPSDVSQSRFNTAPRRGGSRLKLELSTDSIMAEPTTYESPQPLQSRI TPMNESSEVVDPSPGGSIRPNYLENDNIPLPMPKRRARFSREMPRSRPTPTPVPSAAS RDNRPKPYTIEYPQDAPRYQPVNSDGSRTSSELPRVSNSGYADFFPWSGDHPEDQFSE TVIRTGYFHKAPPNQAEAATAKPSLFPLLKQKNCLNALSSVFLGVLAQRRLSGQIRAP STFKPPPRVTLTDTKREMWLKDLANPATSLRRLSRTIPHGIRGKVLLDHCLNKNVPTD RAVWLAKCVGANDLRAFRRKGVNQTFMGGETKWIRDWTVFVEQFVENVFSSFEMPDWK SKVTYALRLATILYTEQLLDRDHYMEWILSGLESTPQSKLPMWILILQLYWKDLLRLR KTGRRLVTSLLNHLLTIQSDPDRDLLVQLSSRITPLLSSLMVTNPESFISPATWPKHR DALVELIQQTDGATRAAYQLIDDRNNRLVSGNDSTSTQSMQQRLVKLLDSALYQPFSD ALVSQCWALGSDKSAVVRTILDWGTSTLRPGPTKVYVTVRLLRSWGKQGLDVTTAVLE HLDFIPTNDHERKQSTYSIVSDLARSNDFSVARYIQWLISRGGLSEPSEISQMGPCAT RLLVELPAHALSSSLKCMRGNLLRRASFDVEAERLDLENAVKFVKLGLGLPLNPDDPI SLKKPMSATKLAKPVSRSSRSLQTSLGAFLRDEVFAPAALATEGPGISPSMFNYARTV LEAANDYETLLGIVELASKISNVELLASCADTINVYLTTFAPMEDVKKLFDGLVASLK TISDGQGVASARALLASLASLADRIPGLEDQAQQLRSELLRCDRTSGIDACSPVSDSM AGHMPDFEGTLYEEVEKVLSTGTRVDQPTMDRLFRTIMSRLESCWSKSMEAQRACIPL LTRLRAFDIQHFDEKMVEWVSNVKTAVGRPPLAETLPLLIGQGCLHLAAVLNAYVSKG QSSAAASRSGAVSIHGPYLQETLQLACMHLPQSTALTPEECCRFHIQQKTLLSRHSDD LRRLLQHALAEYCDLGGQVGATDLPLDRRGCWPAVLDLFKNLILMNPYGLVRDFTSTT VMTSPRLRQLADTLATQALGLCDAPESKISFDRILDMANELSLPFCQVKLSLSLSDDS DMLAENQAESHISLFTKAMEKAIEANNVMWTSILPHLNDTIAQHIRNEARGRFIDLIP SFKTQPPPHTGDGSSLKAAESLISVMESITSGHTTASRATHLTPSISERVSDLWDVLA SNEDEKKRLHNVVLTGWLPLMLKFLAMHVGPAAEPVSVATPSGNTIRPPPNPAQTELR AKVLLSLAGIFQELDSLEPAEAQLAQQSGLAEQVLDVALLVVDGLPDEARAHCCRLIL VSDPMNMQNANVSTDSHLRYLLSYTPPSADNLMLSPRNRMNMQGGSTRTAMLGAPGQA EKLMPFSYRRWEVLNEPTPNVGENDTSLSLTLFESIKLR
SAPIO_CDS9883	MTSLKDMRRVDLVVPYQEPQPRPDEVEFSSTLSSTLPMAAMMTR NRFIGWASFVFSLQSWLGQSEQARRNASMPGYFSAVMAFLALVIVYLPIFLPPNIKQH MPLTP
SAPIO_CDS9884	MARLKLSSLLLGLYGALALGASLPRTETSSDETALTPRGMLAFP VSHEEQEHPIERRRVRRQTDTDTPVFNYSSVAYMIELSIGTPGQSVKVIMDTGSSELW VDPDCNTASSQPQQSECLRNDYYDPSKSSSVVISNRQKTLRYGLGNATIRYVTDNIAL PQSDISLKDVRFGVATETFQMSHGILGLSFGNRLNLQYNNFVDDLVDQGVIATRVFGV ALGAKEETANSGLLTFGGLDTKKYSGKLHTSPILGPQNGEQLYRYWVTLNQIGVTDRK GNSKVYMDSELPVFFDTGATLSYLPEQVVTALADDLGAQYNPNYDLFLVPCGQQGSIN FTFGNFTINVGLEEFVWQLSGSSTCALGAMVETDNSYILGASFLRSVYAVFDQETPAI HFAQYANCGSKLQEIPADQNAAAQFEGECSTNDIVGSGTNSGSGSGSGDDNAANMIRR NGMWLSVGVVAAEQALAWLV
SAPIO_CDS9885	MAARSAALKLDWAKVTTSLGLRGQTVTSLHAFKKRNEDARRKLQ ALQEQATEVDFAQYRAVLKNQAVVDEIEKRFKAFKPATYDVTRQIKAIEAFEVEAVKN AEATKDKVTMELQDLEKTLKNIETARPFEDLTVDEVAAAEPSIDQKTAQLVSKGRWVV PGYKERFGDLSVL
SAPIO_CDS9887	MPLLSSDLGDVSKKRKPIKSAYESATFDHDMTIHVDGKVGSATI SPCGRDVALASPDGLAIIDLDDPYNPPRRLRSHGLPWLVVDVQWSPFSARDYWVVSTA NHRALVWNLNLRDDSPSGAIEHSLRGHNRAITDVNFSAHHPDFLATCSVDGYVHCWDL RRPRQPVVTFCDWFSGATQVKYNRQDPHILASSHDRWLHIWDERRPSEPLRSIYAHNS KIYGIDWNRVQRNAVVTCSLDKSIKYWDYSRHDDVPERVIRTDFPIWRARHTPFGNGL LAMPQTEPGDLYLYHNKRPAEAPLDGRAEPAAVFPGHGNHKVKEFLWRSRGGVSDEGR DEREFQLVSWGADNQLRLQSIDASTFRSVGYVKGAPVQEKLNITRKGAAYKTFRSVGG EGHRDRRDATMSDLKPSTTTSKNYQSALTMGMRTMPINRHRIGASWRGTSMTAKSSNT RDLKGSLAQIGWMKGVTMTKRKPSGEDSQGNQQGAKDPSSLFGHGYLNDEWGEPETIQ EEVLRISQQLPKVKWESINMETLTLEASLNGPWGVGGASIFFKVKVDIPSNYPKSRAP KFTIEKTSLMPPATHKRVESDISKLVQRFLEKKPNCLDVAFSYLLGDIDLESSTSFFK NVKDLEDGLDGLADESSSEDEDNDIPAGGSASMSQELTSSVVAENSLAPTTRMPMPPP PRVCGARFSHDGRLVCFFPTKEEKMKLLFAPLDPAAAVARDRPKDEPVFPGFGRLIQE SPLRHRYAQEDTSATEDQSESDDLASSSTSSSDSESTSMHKSGLWYHPGRSLRKTWSE SRSIRSSGGGTGVGTGTGTGASRKRLSRPKSIISVHDLRGDLPSKKEFAQEYLIFGDG AKVCSHNAKVAEKYGRHDLVDIWKYAALLLRKGIPLDLIHQETSHESVLVIARDVMTR LHEMEDEDSEYSTSPDSGSGLVGRVKWGSHPLALAFVKDLFAYFEQQADVQMLAMLSC IFSEASTQDSVAYIDSHITQPETPLPLKAPSFSLDYFPADAGSFHVLPHGPHGHGHGH GRSQTSSAIHTPKTIHTPVRYSGSQISDDGLWAGDPGSNSYSCGETPPTKGARDYLGD VDQTQSLSSSPNTRPFKRMNSALASSIAANFSRPFANSTSSSPPSQVRKRPSPAEAIL GNLAPAGIGGITWGGSTLLGDSGGTARTSMSDDDFREELLPLVPIQVAIAVEDQAMFD DDGWLVVPLLDPTESAMHACYRYAYAEMLHMWELPLARLEVMKFNFLKGGNATLHNSS SSNLDGGSHASFITAVEVTAASPSTHVHPPSPIMLGKKEQLHALIASGRGLDVTGVCR IHETQLEPLEYTSSTEPHVGGAVGTCDRCRRQQKQLRCVYCLEPVDALYPPCLSCGCA SHETCLAEWHAAGEVMCPAGDECNCVEEASHGQVETWAAMMGAIGRHKKRRSSALTAI GTMVPPPGDAEHSDGEDGRPSGGGSSSGWENVGSAAGIPSRPHGSVTSPAKISLGNRL KKSAGDWGRGSHLRKNGSGQGRGGNGGSGGGGGGSNPKRKGA
SAPIO_CDS9888	MALPKRIIKETERLQKEPVPGISAVPHEDNLRYFDVEIHGPAQS PYEGGVFKLELFLPDDYPMTPPKIRFLTKIFHPNVDKLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLAADVAKSWKEDEQAAIQTAKEWTKKYAEPQK
SAPIO_CDS9890	MVARSIMSGSSLRPTLSKSCARVLAGRLPSQARWLSGPYGYTQA KALVFSEYGEPNDVLRIHRFSISPSIPSTSVLVRALAAPINPADINTIQGTYGAKPTF TSELGTQPPAAVPGNEGVFEVVSTGSSSTGLEKGDWVLPASSGFGTWRTHALVEADTL LKINKEGLTPTQAAQVSVNPCTAYRLLRSFGPVAGVKEGLPMNHLEPGSGAWFIQNGA NSGVGRAAIQLGRIWGLRSINVIRDREIPEETEALRKELKDLGADVVVTESEFLTRDW RERLQEITNHGRDPVHLGLNCVGGKSATSIARSLSEGGAMVTYGGMAKQPVMLPTAAL IFKDIRFLGFWLTRWNKKDPAGRKYSIEYLLDLMREGKFHDAPVEEVKWNWETEEEVL REAAQKGLEGFRGKKGLFLFGET
SAPIO_CDS9892	MDSYSFATSDALDHPVSIRIIGLEGDEPPFRQSTLLESPALRHV GSNISPHSDLYVTVQVWAGSKPLTVPIQTAYKSFRSERRWNEWLTLPITYSNLPLNSC LAITLWDLSPASETPGIGHTIPFGGTTLPMFDKDNQLQKGRQKCTVHRHKKADGNDNT ITPAFESKKRGAARKGELAPVDKDAEEQERMEKLFKKHEMGEIPRVEWLDQLVFRGFE KKTANASIRAMQRQRIGTSNELNADAKALAKTNGGPSALAERNSRPSKFVLNVELPRF DFPVVFADREYPPPAISTLQHLSISQSNVLKPPPEVQFGPGINAVGDASANSNNRLVR IYDPEVGARDNPADAKHRRLFRSAHRNGIMDKDLKPNAKVRDELNLIMSYGPTHALTP EEMDMVWKFRYHLTRDKRALTKFVKSVNWQEATEAKQAAQLLNRWTAIDVDDALELFG PSFDSPIVRAYAVERLRKANDHDLLLYLLQLVQALKYEHIVASGEEGTQDSSLARFLI ARAADNFKLGNYFHWYLMVECDDSSPDQDIDYRNIYRKVAYDFMVELVKRPNGAEMRK ALLRQAELITILSKISGDIKLSSESIPKKIERVKHFLHDPKNELLHIDPPLPLPLDPE VKVVGVIPEETTVFKSSLCPIKVTFRTATGGRYPIIFKTGDDLRQDQLVIQIITLMDQ LLQKENLDLKLSPYKILATSTTAGASQFVPSVSFQSIASKYSNPALTYLKQNNPDDRE PLGIRSEVLDTYVKSCAGYCVITYILGVGDRHLDNLLLAPDGHFFHADFGFILGRDPK PFAPVMKLTKEMVDCMGGVQSEHYKMFKQYCFLAYAALRKSSNLILNLFSLMVDANIP DIRLEPDKAVIKVKERFHLEMGEEDAIRCLERVIEDSLQALAPVVIDKLHELVQAFRA 
SAPIO_CDS9893	MPRSDTGDSEGGSSSPGLTPRESRNLAVRNRGADGDLSGSKRKR ADTSTGAGPSNRRRTIEPDNEDGDDDSDAYDPDQSVEERRDIQRGLRELGRDLVDNAD DYLKSESTGIRDLIVSANTINKNIKQTTEAVIDSRLLVTAADMSYKKTVRITQGNTAD GIDVDEFVSQCITYMRQGANDHGDNAPELSSTQQQRRRRIARGQEGSDGEDEDGDMLN WAYFGQFACLPSIGRPAVQGHLLGPLSVEKKARKITKRSAPFRINQLAETRPEVINAE ELTKQDNDLAVICGKVLQRLQRIQTKLQEEVYDLITEDMEIEEQIRLMHQLGLRSTGG VDFMRFVINPKSFGQSIENMFYVSFLIKDNKVRIELDDDGLPSVAPVTAEMEEETSRH QTKHQAVFSLDMATWRDIIKTLDIKEPMIPHRREEAQGGPGARGWYS
SAPIO_CDS9895	MADAPSDPKPPAAEAGAAGAEGAAPSKKAAKKAEAKAKKEAEKA RKAAEREAAAAAAKAASGAAEDLAKENYGDITPTTKVDAERVHLKDIGDEHLDKVIKL RAWIQNSRLQGAKMAFVELREERDWSIQGVMVANNEGKPVSRQMVKWTGSLTLESFVL VEAKVVKPLEPVKSCKVSDYELHISKCYLVAPGPPVLGMSLAVANRAVSSFEDEEHHA ADAGKDVEEVKDGVAALSVDSIAHASMSTHLNNPAMHKRAPVQQAIADVRMTVRKLFS DYLESKGFVQFEPPCLIGASSEGGANVFSMPYFDKAAFLAQSPQFYKQFEIAGGRKRV YCIGPVFRAENSNTPRHMTEFTGLDLEMEIEDHYHEVLHMLEGVLLYIFRGLEEKCAK QIELIRTVYPSEDFLLPEPGKEVRLTFAEGQKLLREEGPEEFRNVSDDEDMSTPQEKA LGALIREKYKTDFYVLDKFPESARPFYTIEDPENPKVTNAYDFFMRGQEILSGGQRIH VPTELEARLRKKGIDPTSPGIKEYVDVFRSVGVPPHGGGGIGLDRVVAWYLNLPSVHL ASYYPRTPKRLLP
SAPIO_CDS9896	MNLVKNALGGNSGNDTNNSQNQQTQQGSSSSGGGFTNKLNEMAG GGREGEQNEDALDKAIDYAQEKFLGQGDQSNESALEQMKDNQIAGAIRSGYKNVTGIC AHRITGVQQCPVSRKRQEEERHKFEGHICKFIGYGASRSTKSTGQIIFGWCPECTSTF TGGNILDGKVIRRYWAIKGLFFIERPIRSKIINGAYLFSTFYDGILDDELLGDQSRAE SCSKLSRNAFLMRHIFILALKGQYPLRGQPDNEGPNKLNDVTASFSESPLLNNHVDGD PKPRLQAKVTAYELICLGENTREFCDLINQYPSVRKKLNDFDSSLTVFVPADTAFENL PQLRSEHLLPEFLRKMIRYHVVDDIYSLDRVMSSHTIPTLLNESSLGYRPQRVRVSVD LSGIDLNFGSRITQSGIEVANGVLHMIEDLLMPPPRQGKLIKTLPAKLTTFASAMEKT GLADDLRQEPQAGGTIFAPSNRAWEKLRPDTSRFLFSRDGEKYLRALLEYHIVINATL YSDAYYKSKTASPHDIPGFTVEADQMSLPPIKRRHASPQSLLPNRTIEVDVVTWMEFS EMSINRGKSCVMVQDGIASDGVVHVVGSVLIPPKYGGRKGHDEDAKRNFDRDGVTVKE LKERLDEFIKNDDGSELG
SAPIO_CDS9897	MSTAQSFPPGQGSAGPGLTLNLASNNPFRNRTTSPVNDFFGNKP TSPPPASPFDDPIIPARPLSRNPFLDPVVKPSEPLLSPGVMSTQSDRVASPSAEELFD SLTLGDEKPARPKGDENRPMNRPPKNGPPRPGHNHRPTRSQEEAMRARRMQAGGPKPG GPSQSPHKRPERRPRRNSDSSLLIDIEKPLTEEDIKQREARRREREKRNRQHRPNRRV DVIDQLDATSMFGIGAFHHDGPFDACNPHRNRKGSRRAPLNAFAKDSLNNSIGGSGPL RDRPDHSTFMGMGSNEAFTDYAGAVNGSRAHAEMLFDPTSRGDVLHGDQSLGLGTSTF LEGTPAARAVIQKREAEQAAEMAEGGLQRKKSLAQRIRGINKVPREYMPGGRPSFGEG GRRPTYPDLPRRTTEGESSNSRKYSNEFDNEPESISIKKPGDRDGSTSPTSPPARTRQ VSIGIERRSTAEGTMSTSAEKPGGSGLLARVKSLKGGRRTRPQPDAPDASTTAAPGTA I
SAPIO_CDS9898	MTEEETRNAALADILPVSASVLSRLERRRRDAVRERGRCGIGCG EVDEYVLMGGLERGSVVGVSSEEEDFGVLLALQVIARTVWRGIKKGKPEKALVVSTQP TGTMVRMLRDAAVAEIHAGEGEGEEQLTDVREIVAKVLGMVSIVRVFDIKGVWEVLDD LDLSAEENVASAAPSPKVPGSPEEKSSGPEMETKAVRDEIPDSDEEVSLSPSPPPSAA MAPTPEPTQKEEESGLRKPDIILITHFSTLLTTLFTHAEKTTAHTSLQLLSSHLRYLA RAMSSSPLILITNSIATAKNPEMAVPPTTMSGPGGPLRQPARRVDPTLRSIFDTPGVY SYGTTRSSGKPSFGLVFTQFLDLHLLCTRVPRTRGDAEGMAMRKDGEMVWVVEVLLDE LGVWDGGGKGTKRRERRWGVVDWVGGRIVDAFEGEDVKRRI
SAPIO_CDS9899	MNFEGFSYGYDFENENNQSPRPQQQQQPQQAPVFPPQFWSAPTP SSDRSSLSSQSTATAIPYHGLSPDHFYPDTPPPAAAQLPSHQQQPDADFEAIAPWVPS PPENFSPPTLYAVERLQGFEPIQTPPVAHHRRQRSRNPYNTPTVRFDEAQLAMNEAEN ADRPRLAREEMAQGNGQVTPGVDDTPFIQYALEALTREDGVPLSQSDSSNGGGSFTGP EPVVPVATQPRTSVANTEPIIAAPPTTSRYSQQQQQQPPASSAARPGQLAPAAPIRPG FGAVDDNTPSFSSTSTASAPAYVKARSNLYSASKRIPVAHSDVGPGTRYGNKSAPLTY TPGILRIPSFLLLTTLCLFMIAALTLSAAYSKQHDGLLIYPGTPSGGHYFLFRILPQL VGAVIMLYAQAMILTTFRILPFERMSRDDPLERYGAIFQHLYPKSFLWPHLVGPVPIK ICIFVTWLLNFTIPLLSSLFGIIFFEGEWIWATVQGVAWTLVVIYVFYLISVLLLAWF WFGRVTGMIWDIRSIADMVPMLHYSNVNHSYHGTQGVETLGQLEYKLRERAIDRLGYW RLERVSTAPEEDQGGFLWWGIGAAQEHQTIEEFFSQSKKKAKFYKTDVESAHSSLTQI PRAMTPETRYRYLPWCLRNVQMLCFVIVGAALLVVLLVLSFHPNTKLSRGFWPKLPAR PDRNAFSPANFVYRFIPSLLGVILYLLFQSLDMSYRQLMPWAELSNPEGSTASKSVLL DYPACLPLQSTFKALKNRHYRMALISLLSTLFIFLPVLGGGLFMALTVSGTSDVRMFP NMPVFGVTLALLGLFVCGLAALLPSRGSYRMPHAVTCLAEIFTFITNEDITEDAAFRF PRSREDLLDRLGVEREVGEQSRWHLGVAPGRDETLGVRRLRKFTEKRPVTRRGVAAV
SAPIO_CDS9901	MVKDDLIITVAVAFSISAAGPFFMFFKIGYISIQHENGPTYNES RGRLFAYIVDILYPPSASLAKSSILFFLLGQQSSSMPIFRIPCHAVNVIILLAMVTIL FTTMFQCLPIRRAWQDLEDGGEKCVDRSLLRVARTFWAINGFSGSPGQAPPLVWITTP IEASLIIIAACVPTLGPLFRRRFPPPSPQPEEPASHSDSNQDLSHGSIVISHIPKDHS SDSSLVLKPLGGFGYTDTEIRPGSRRVVCTRGIMRTMDVDVAFGERRAEDGIRAATWG WS
SAPIO_CDS9902	MLGNFLLSILLLLHIPAISKPAEEPLQWLAITFGDCKTATINPH TPSTENRQQRKHLPQAYDHPERPATSSSVHTIRRTPRFEATPSPHPELDRAPKPELEP EQESGPAKPQPRLAPSPEIFPEPSKDPVAPARGRKKASKNRRLARKLSQELSEDDDDD ESSDQSPSRQQFPQPSKFSDDHYVPHPLLRISRRTHRAILFTLEEALRGPYSFTPDLA EELADMADLRGGHEPPTSNGNVSSAQPRPAHASAAGSPSGIRSPRMILRDRNAREAAR RAEQEQIQMERTRMEQEQLRLQQARAEEEARALEEVQRRNAERRAANTAGTAAGGSRP QPSAADNAAQIDPSRRRQDQPRTPERGSSRIHQGAPQPQQSRPGRTSATTGHAQQPQQ PQFPSTSAGAHSSQQQQRPQQHTQQHPGAQSLGGAASASNIKPQPSQQQQQSQSQQQP RTSFPHAFERWETLSAQWEGLTSHWIRRLELNASAIESDPVSQQLSRQVTDLSAAGAN LFHAVVELQRLRASSERKFQRWFFETRADLERAHEENAVLAGQVEELRNQLNEARSRT HERDSANSIIQKQLSEMKKELSISKEEARRAWEELGRREQEERERTFSLQAGQPTIVG GVQVVPMLQGGSLSRRETTREQPGPSGSGGGAGYGDYSQAPSAHTTTTAAPESYYRTA AAEAAQPGSGAYGQQGSEAGYSEEDYEPTTSEAGTYAVATSGDSQWAAAYANQADYTG SGYGSGWESMPRHHHPTRLSDVLEEEESRTSASQVSRA
SAPIO_CDS9904	MASYSRYTRWQRRGPPERRRESFLPELPLGKLISVILKDELVRG YEEFVSTARISDWKYLASYNWGETEKPLIIVPGKPPLWTPLKEQRRLPQDSGIYPRDR NGYNFPNHPFQAAVLSILTMQPEPPKMGFDVVCCSSTFGYLVSFCNETEPRPFRMHVE IIGGAMHLLRRERSPRELIPDVKGHGHTFPEANTTWGIGLKGSTSHQRLTRYKFGGLN IIQRAEIDACLDTPNKSPSDLATRSRSPSPEQKRQPNVDELTERVARTNISPTTPASS SSDIALYYNPVSETPQSALIDIKTRSIARKTQDTLSEQLPRLWRSQTPNFVLAFHDRG LFADVQVKDVRAEIKKWEAGHARELAQLVALLRNLARVVDARPGKKVEIRATGNTVLE VREQLGNAGKAFSAGVRERWEAWLLGARDGVAAGEKKDGALEIAADEGSAADIKEKSR VSLDSGLEKESTACLEKRG
SAPIO_CDS9905	MPSRTQTDVLLPMDRGPFGTQPAVATISQNRGYRAFAQHDQDSD RRPSTAPGNRNGLPRPAHPPSRAESIMSVQSSPKTQTAKPHLLRSKSEHVLRNDDPDL DDTDEEVYNWGARHGFEDHYQSEDIISQLASNWYMYFTDKRHETTGKPKSPPYELQDW RMRDRLKTVSAALAVCLNIGVEPPDQLKTNPGAKLEAWTDPTIPPGQKALENIGKSLQ AQYETLAIRTRYKQYLDPSVEETKKFCISLRKNAKDERVLFHYNGHGVPKPTASGEIW VFNKTYTQYIPVSLYDLQHWLQAPTMFIWDCSEAGNILKNYHRFVEKHEQEEDEAAAR DPNHYRISYRPYIHLAACAVKENLPTNPRLPADLFTACLTTPIEMALWFFVLQNPLKT SITPERAKKLPGRLQERRTPLGELNWIFTAITDTIAWTTLPRPLFRKFFRQDLMVAAL FRNFLLAQRIMSVYGCHPQSYPELPDTRQHPLWQSWDLAVDMALAQLPMLEKKEAEGI EYEYQNSTFFTEQLTAFDVYLTRGDAMAQRPPEQLPVVLQVLLSQHHRVRALILLGRF LDLGPWAVELALSIGIFPYVLKLLQSTATELKPIMVFIWTRILAVDITCQQDLIKDSG YNYFAQILRPSELLPVVDGDEHKAMCAFILTMVCRSHVAGQRNCNDANIMSHCLVHLQ NDANFLLRQWACLCISQLWQDFPEAKWKGIRENAHGKLSLLTKDPCCEVRAAMLHAMT TFLGIPDITDEVAKTEESLAWTILDMANDGSPMVRKELVVFLSHFVLRYENKFIVAAF EHLLEEKEYLQYPPREDGSEQKLGLHYARPDNREVDGTIKPTVHGLAHNTIFAACWKH VLVMSVDPNPEVQQAATIIVDYVHNALLASTVGAQARSLMDEIKRKTMRAAAKAHSKS HQRSSLMGTSGGIGTSPLPSPGLLRRTASFLLPFPLFGGEDKSSQSTPAPSPTVPKAP SIKLGIAPGNITAPPEQSDEASVSANYHAAKEPVSGGFEERDLSALPTLPLKSTFLDW SIEYFREPQMKPTESDEPGSTEYNERLWRRSRNETILRETQPQKQYAGSHKWNNSLVM LNNGVQPAKMTFHQYEDHLAVADDGNTVAIWDWKKQGRLARFSNGNPEGSRISDMKFI NEDDQGFLMTGSSDGVIRVYRNYDNEKQIELASAWRALTHMVPSTVNSGMVFDWQQVS GRVLVAGDVRVIRVWYAAHETCVKDIPARSGSCVTALTSDQMTGNFFVAGFGDGAVRV FDLRNRPQESMVRKWKDDKDRQWIKAVHMQRGGQRELLSASRNGKVKLWDIRMDKPLH VYQVTKDTLMTASVHEHLPVFAVGTSAYTAKVFNFDGQELSRIEPYSSFLQQNRGGPI TATAFHPHRAILGCAGRGDSHINLFSCEKRDSTTSHAEKGLRY
SAPIO_CDS9906	MAFLFKSKNKDREKAREAIPGSSGSGGGRGNTSKDEKNSLQRST PTGSLNSLDAEGMANSPESYPRRGPSVDQQPQQQSDLPYRNGPPISNPNASLYPWSQR RLTYTTSHPSPFPRYGAAVNSVASKEGDIYVMGGLINGATVKGDLWMIEAGGPMACYP LATTAEGPGPRVGHASLLVGNAFIVFGGDTKIDEADVLDETLYLLNTSTRQWSRVLPA GPRPSGRYGHSLNILGSKIFIFGGQVEGYFMNDLCAFDLNQLQTPNNRWEMLIPGGDA GADNVPQARTNHSVVTFNDKLYLFGGTNGYQWFNDVWSYDAPLNKWAQLDCIGYIPVP REGHAAAIVDDVMYIFGGRTEDGTDLGDLAAFRITSRRWYTFQNMGPSPSPRSGHSMT AVSKSVVVVGGEPSSPAGTSSDLAVVYVLDTTKIRYPSDSQANSMRAQQGRRPSAGEQ GVGRQQSSRDANTGQDPKRITTGGPNATPNGQMNGYRSPQGSTDLSGPGVASPGPRGP RAAGPSPPNGPPPQQPTTSKPPVSASNIPRPRGQSTERDAPVQVNANQPPSARDGPKN IDNPTANGRRTPTQQQSQKPAARVDQTIAETPKAKPKQRSPGSLEGETPSKGTPTRPA SPPPPTRQVSNPLNRRSSGRNSQTVALLKELDTSRNRIAWYSSELELARKAGYVPSSN LSPVLDSRAPEAFDDEDKPLIEALLAMRAELANVQSSVDKQAILAAKQIAEAEKQRDA AIQEAVYAKAKLAAFSGGSAASTPQLDTDRDGDDRTASLNKKLASALGFQKDLQAQME HLRMELEAERRARQLADDTSSASQKRMEGLEAYKQETSTELERLKSELYIAQREAREN AVSAADTLAAINVLKVEKGELETKYSDAVDSTKERNQTLESLRLAVAASAETTSHLEQ KLEEERELREKAELKYNKLKTEHEARTAELVAATQRLRDAEELAEKNAQEARTHRQAV MAGLDKVVTTNVAKSSKTTSEGLAALQEQVSKANALAKKYQQELDTAAEKLRAAEERI AGLEAYQEQSSRDGVSIRRQLQTALRDTQSLQAANSDLKRELAAQQLETNAMTVQHNT LKDILAERGISPTSASRNRSLGSPRASSPEQVRIRELEKQLAAATSSHEEARQSMITA LQASEAQYRDKLAQLEQDYNSAIAYLKTTEKMLKKLKEEHSAYKAENKRLRTELDEFE DRAAAAAAQGATEAPADWEAEKNSLRHQIETLQEEVRSSSSVLEARVATIGADLESAK KDRDVASKSAEEAASKVTAIKKDLEQLQQENALLEQRAQDAERKVALLLDQVESSVDS YRRQSRQVIGGIGGGTTNGLGLSNVPHEQNESSGTDSVYGAGGFDARNSMALDNLANE LETLRSHWETTNKNYRLSNAFDFEASIAPAGARRDDDNGLGLSESLADWRKRLDVDER EGEVDSRTKNASRP
SAPIO_CDS9907	MKTTALLVLAAGVGESVATFGFGGFGGFRGANPFTCPDNTDNSR CEDKQRGGFDFSDLLPGKKDFFDYGGFNFKGFECKDDQRSRRELTGDCGPDKDSNPFF GRDNDNFSIDEIEIYAEFDTDLEFHYDMPDGKLCKHRSPCSSKGSVVKNTQCGGAKKV TVIHPKQPNKPKAKCGIRIPKIRFDCKPPKTTTPPKTTKKTTTSPPAATETPDVPGTT EVPDVPETTDVPETPETTEVPDVPETSEVPDVPGTTVTSSSPEEPSSPAEQESSTVPE VPGTTVTSSSPEQPSSPAGGEESSTAVGETSETPAQPETTAPPEIETSVTTHLTTSTI FTTVVSTVTNCGPEVPDCPNGQESTVIVTATVPLTTTVCPVTEIVTRTKDTPVPATSD KSPNVPSVSSVPGVPSVISSAPAPVETLPCPDVVPSCLNTWLFSVGCSDNTDTACFCP DTAFVQNIFTCIYAYGETETVISEAIVFFQGICGGHIPENPAIVTGIDSITSIITEAP KPTVPAGYTTVTVTVTTEVPCVTDGVTISGSSTTTVIEQTAEIPNVGFQTNSEGNVNV VPTTPAPVVQNPAPSATATPIGTFISVPVATGTQAVSPTGPVIVSGAGRVASGLGLGV AAVVGLVVLF
SAPIO_CDS9908	MAAIDQKTEELLRRPIYGILYDIAPEVLNSITLKNTSDTSLTHE DEDESQSRRSVSPSSSSDSLVGSQSCSLCALSFSSLEEQRSHLKSDFHNYNLKQKLRG RKPVSEDEFERLIADLDESLSGSDSSESDEEDESDSKDSMLSALLRKQATLAEKNRPK NGDGSNDEEEFGKKTRGVAPLLWFSSPLLPKNNYFGLYRALFTNEELKDESAVVEIIK KKQLEPLSIPKAAKDGTLPQVAYKGPHIFLCMIGGGHFAAMVVSLAPRKGASGGPLNR EATVLAHKTFHRYTTRRKQGGSQSANDNAKGTAHSAGSSLRRANEKKLTEEVRALLQD WKGLLDTSDFLFIRATGVTNRRTLFGPYEGQVLKANDPRIRGFPFTTRRATQKELMRS FVELTRFKVKEIIPVEETAKSQQATQEKAAAPKPSKPALSEEEETALLHTSQLQAMIR RSKLPALLGYLKTNKLSPDFVFQPPEQNHHAPTPLHLSASQNAAVLVTGLLCKAGADP TSKNKEGKTAFELAGDRATRDAFRVARSELGEKKWDWEAAKVPAALTKAEAEKRGERE REESERRESERRKAEEERLRTQDGADDRSKKKKGVLASAGAMKTPQERREEEARGLSP EMRMRLERERRARAAEERLRRLQGK
SAPIO_CDS9909	MDLLPDREPDTPFRRLIIAVDFGTTYSAISYVALESGESIEDIN LDRVRSIQNFPDDWNMGVAGDHMKSEVPTEVMYPLDRHFRDKEDLEPDEDPGEDDGER NVDDGVQADIDMEGVSFDGDNDDDDDIFGRNAHEDSVNEMTIDTPNSFRWGYAVHEAR RLPAAHTDPKNEALSRFKLLLDNSPLTDRVRQELRDPLEQLRKRHIIKNDLDVIVDFL TCLLRHTRTELEAAGFDDSYQMEIVLCVPTIWTQKACRDMQTAMAKAMQRARFVGVDV QNNSIENLFIVSEPEAAAAYVLKNDEHISPGDTFVLLDAGGGTVDANTYTVNSTIPLR LEREVATPGDKRADQATGGLYGSSYVNEKFRTWLTDKLKTETYLEDGTNTIKGHVERA IINEFEYRTKRSFDLFRVNRVYSFHVSNLRDDPAKGFRGSCVQVSPEKMTSFFESCLK GIASIMEDQISAARAQGTRVQKVLLIGGFAASVSLQKYLRHKLSQYCKRHGCNISLVV PGNTFVLPNIPDVSSEALLTKRLACRATAVASGAVLRAINKEGGPRRIAKSSYGILRT EPFREFPEHAGLKPSYDPDDGLPYVVNTIDWVLKLLKHINNRGAEKVGEIVVDFTFLR EHGLIHPINGSVNDRGKRVGRRHYRVNYLLTIEVVDRDLRCYAVYDGRIMERCRINIA SAFIQG
SAPIO_CDS9910	MPSRSKGQNDHQQHNWEPSEVFELLAWLDYCLEHKLDFEKSVVD HLSKTTGRYHNLARIKRKLTREWNLWGSIELNTLRDLYDGGSKTLHGYTDEDRAAIRQ AKEQIGSPSRRFRLRSASTIPSISSPPSLSSTSLLSSRSPSGPDRRQLSETATLRSRA RSRSRDVFSIPSDSGDEETLQRRKVRKIRPANPSRKTKRSNSLAREVSSVIEREPRRH PRLPGQVDRAQQPARSSSYTDAASQTADSMLELETRITGLETELARKIKELEDIQREC LEHKDYVFTLSNRLSAAQDECDVVRHSITAASDHRDDPAMQHVLRYELESLRRQMSKI QRQRQAIAKLETDSLKPSLNTIREEVDLIKSEIRDACFSIDLGVPMFADAGDTSRRDG TTIDAWARRIAGCSMSKLIASNLAKGDGPEVLSQTDLLAHQSLISEPYFESDFLVKAV KDFTDRFCKELSNCMRQDNPIARKLGTGSVPPVERDPAPVQDILSGEIFVPAFELALK LKSKLLLSKTRYKLVFYKPGDLFDPESMTRDGDGVTEEVMSKYSRGSLGLLKRGTAEN QSEIRLCIFPALYSGLEHGGENNLDAETDSIDRCIVNSSQLMEDGSSVGLQEFDLVVK AVAMPTIVQHKAQTANSAKGKAPGTGPMANTEPGLEDVIFGFDHSHWKLYVPSYMSCS ASKA
SAPIO_CDS9911	MSTGLSQETAEIAKAHVPSATIQGTEKELLAGRGSIDVSKSHHP PGYVSSSSEEYDDKPTEEELRTLRRVSGDMNWSVFTIAFAELCERFSYYGSSVLYTNF VQRPLPEGSTTGASHGTTARIPGALGMGQKASQGIVLFNQFFAYLMPLVGAWIADAKL GRYVTVHIAIAISTIAHVILTAASAPSVITKPHSAFGAFIIGLLTLCVGTGFFKANIS PMLAEQNTDTRLRVEVRKGERVIVDPAVTNSRIFLYFYFAINVGSTIGQIAMVWVEKY VGFWLAFLLPTILFLAAPLVLWSQKKKYRLTPPTGSVLSKFLRMFIYVIGKSGWFKKL NWNAARPSQVPPAERPSWMTYDDAWVDEVRRGLLACKVFIFLPIFHLAYNQMTGNLTS QAATMELHGVPNDIIQNLNPISIVIMVPILDRLVYPGLRKIGIHLTPIKRMTIGFFFG AFSMVAACVMQYYIYQKSPCGDHANGEGCDPAPINVWAQCLPYILIGISELFTNVTSY EYAFSKAPENMKSLVMSVNLFTSAFAAAVGQAFTPLSDDPLLIWNYGVIAVIAFVGGI AFWFCFHHYDEREDHLNSLKKSKYLGKNAPNAIGAEADDAANDKVAAKA
SAPIO_CDS9912	MSLKKVLVALAALGTSALSSPTRAKRAAAYDDNPFDGVAMYVNP FYRDEIYELAIPQMSGSLAEKAKLVAETPSFQWLDKIAKIDLMSATLAEIREANNAGA DPPYAGLFVLYNFPDRDCSAKASDGELHLDEDGLERYRTEYIDPVAELVKEYDDVRII FVYEPDGLANLVTNLDGVEKCANAADAYHQSTVYALETLNHDNVALYLDAGHAGWLGW PGNLNTTAQVFGAVYKEAGKPGAVRGLVTNVSNFNAYNATEPPAYATENPNSDESKFH ESLVPYLEENDFPTHFIVDTGRSGAQPAGRESWSAWCNVKDTGFGIRPSADTGSELLD AFVWVKPGGESDGTSDEEAVRYDVNCSSNSSYVPAPEAGEWFQEYFEMLIKNADPPFK VDCKSKKNKKRSL
SAPIO_CDS9913	MTSTPHGNLPTAGGHVLRRDEMQPPPSTLAAQLVENISTSTSTK STKSSENGELKKLFTTIEHIKNNPDLLQTPEDRIAHNHMLIYVYFRAVLDALRPDDPF LDKERMRSEASKAVHFFRLAVIETPQVLICSADEGQFLFRGSEPLWTWAFPKIFRLLG HPQYLELSEPVETLFHSIFKVVSTTGQLVSVIPPLMLYLRELVKDILDALENSPTDRS GSRSPAVKLDLPSNRVFKCIDPDAADPTSESTSLRRRVTYKLTNPSQCLRQALILLSI LSGPLTRENPVAGLSEHGPWLLDSLHALCNAQIQRDPAIEVTIVPELRPGSDFARASA LLREASAMQIPRAFDKNARPQFFEDEDLRRRVESLHLNYKTTDEEVNQPDRKRRKIAS VDTSLAILSEQIFQIINPDAVDGGFEQIIVDGFSNMDDDRKCLVMELLGRAPCAADGT LSFAEPGSNAQPRMSCSFCSGQKASASLPPDCVNPRAKSEAYSAFAKLVDLPAFQQCR RPRVVAMMALRRFVLHSVEPEFLNIQGSPLASWCVRSLKSSIRELRIAAGDLESALVE SKVTREASNHITSTTLQNLSSLMPFLRSISDSSPPHYQETCIMAWGQLGRVAPDQELN LILLKLVEYLGYENDVITSLAFQELSNIAAARNLSPLKLFEPFWRGLAHFVIKDVITK PQTSILTADLLGVSVSELLLLVHRHALPWLVLQGKRDVIERIAKAKRNDEIHLPIVES GNLGPVLALLFIQPVENVAAFAMAKLTEITPYFETTFEIPQLVRSELVPIALELFKLA AEGNDARKLAARNALTQIAAIVGISGESRTRKGNVVGRFLQPQVLGLMTRLTDVINIA AFGRPPTLEQRLCLQAMEEMIRTCKAHVRVARPQISACLLAAIAIHGLRQSAFSCWAT MLHNLEEEDVDVLLETTFFIIIHYWPVSNESTRQRMQELVRTLLDKHLPVLEAEINRI PSLERVLDLKPLEASLRALRVPLDQRAAFNIFAERIAHEYSGVVMLALEELVAYLKLN QDYIQTTAISENPDPVVAVLLRSLLDCASRYNGVNLDIARLCTECMGLIGCIDSNRVE APREQKSIVVLDNFENDDDRRHFGFYVLTEVLVKSFLSATDPRRQGFLSFAIQELLER CDIKQAVLNQDLGSRGGSADIYRKWKELPISVQEVLSPFLNSRYLLGPMAPVVAKYPI FCPGKPYTTWLVSFVMDLLRNPQNANASLVFEPLSRVTRLRDPSEPEFLLPYVVSHII ISNDTPKELRENVINELTAILNYELPATATPDERQEMKLFYEALFRILDYLRRWVHAR QARTKNAPNDDPAISRVREFLDRTPSQTIAQRALDCEQYSRALFYLEYHMQEGKLQGD DHSKMMKRLQDIYAHIDEPDGLEGLSARLQILDIDQQVLGHRKAGRWTAAQTWYEIRL AAEPDNSDVQHDLLTCLKQTGQHDVLLNYVEGMHLQPGTQTNIIPFAVEAAWATNRWE SLSKYLDLAKSSDASYADFDVAIGEVFRDFQLGRKTNLIPAINSIRERIAASMGITET ASLQACHDIMLRCHILTDLELLLSAQASEEDVDNRPTLNALKRRLDVLGAYVEDKQYL LSIQRTAMELQRPIYSDLDISSLWMSSARLARKSNSTHQSYNAVLHASRLGDRSSAIE NAKLLWKDGHHRKAIQTLDGVMANNELVTQEELASIPSSKFADCPHRLLKARGELLLA KWLDSTGAHHSATIREKYQEPPKTHTAWEKGHYYLGKHYKKLLEWQKTLDPDDQSDVY LTGELARALIENYFRALNFGTKYLYQTLPRILTLWLELAAQLDKAPEGKHSVSRELYR RRTEQLNKLHSSLDKWISRLPAYLFYTALPQIVARIAHPNKDAFSKLADIIVKVVDAH PRQALWSLFGVMTTKQKSERQARGQEIIRRLKTNATKVGGTKFAVRDIIRKGERLAEQ LLLACHNGDFQSNRTVKASIVRDLCFNHGCTPCPLVVPIEACLTATLPTVTDHQRKHE AFSRDVVTIDSFLDEVLVLGSLAKPRRLTARGSDGNLYPLLIKPKDDLRTDQRLMEFN SMINRALKRDAESSKRQLYVRTYAVTPLNEECGIIEWVDGLKTLRDALLALYAARNIS PDYSVIRNMMREAASSAKNIHIFTEGVLGMFPPVLHLWFVNQFPDPSAWFSARIRYTR SCAVMSMLGTILGLGDRHGENVLLEEGNGGVFHVDFNCLFDKGTTFAQPERVPFRLTH NMVAAMGIYGYEGPFRQCSELTLSILRQHEETLMTILEAFIYDPTLDLQKEKKPARRA DGGVKFYPQGVVDSIKRKVRGLMRDQSIPLGVEGQVEELIKQATDPKNLAAMYIGWCP FL
SAPIO_CDS9914	MPEYRVEISPSARALCQDTHCKKEGAKIEKGVLRFGTYITFEDR GSWKWKHWGCVSGLQMQQVQELCDRNQDGNLSFDFFDGYDELGDHPDIQEKIRRCVKQ GHIDAEDFNGDPEYNVPGKRGIRAPARRAKAKADEGDESDAGKPKGKPVKKKGKRARA EDEDEEDEPEPAKPAKKVKKAAKTKAKAAEVEESEDAEPVKPVKKAKKAVKAKAEVED EDEEVVKPIKKGRAKRTKVESDVEEEEAKPAKKSRVTKAESDASDDEEHPTAKSTAKK IKAQGAAGKKAVKAVVRSKPKNEPAESEDEEAADDDKEEDVKPAKKSKAKAKTTAKTA RKAKEEEESESEEVKPKPAKKAAKPVRQASSKKSRGNDDGDVEAPANGDTGSRRRSTR RRSSQV
SAPIO_CDS9915	MFLSSALTLLTLASTAFAHPSLTPRDAQTVHLTFHGGPASYSME FAADGVVHQTNNDIAVSIIDAPDYFAQSNCHFVTDGPVAFVSSISPQGVQQLLVGPPQ PIRSVSCSGVCLPTYSDCYINGQPQGTCCAGYCAANKCRPWVNPYA
SAPIO_CDS9916	MSSYYREEYSRPAETRERTYVRERSRERSVDRTPAFLREPRREA GHLVLRQRDVETVTRRRSPSPVYVERKHVVRRSHSVSPPERIVDRQRVEVYERPPSPE PHRGRSRSISRVRTTVVDRDRSSSRSSSSYSESSWSSSPSPPPRFRRRPRSASPVWKE RETERVRVTRKESVSPAPPPPPPQPEVRTHPVERIEREVITHYTDVDHGVLVVRPPTP QPQPPPRSKSRTRHKDTEIDIYTSKNETEVDIRKTHSHSRSRSRSRHRPRVYYDDRGR EIVVSDNDRLVVDYKSRRRAHSAAPFPVRGYDPVRDEAEEIVTKIDSRGRVGEAYHGA TRDWTIVDVPPGTERVRMDGAGGASAEVSWKKYSGVRRTRFIPDREDDVIDDSPRKSR DSLSVRIVDTKKPDRRVSVRPPPVPTLETWTEITMDLVNREALKRMGYPFEQRPPFFY VMQYLTSHEIDELVSLTAKIRRERRLKNRQRLRDKDVEHVVIHKHKHRHHHHPRDDFR YDKQVVFDRREYHV
SAPIO_CDS9917	MENSGAQNAGSPVCPIRPWPIPDADRSPKTISDYISLVNQTYPG GFRALNIENIRDGTIDESGDVKMGDADDAGGEEDEGDESAGGKDPLEARNETLQNIIV AHHSALFALDLISLLLTKENPVQAGVTLRHDLRELVGIGTLGSQKHAESNITPDKMKD FANITAGWNILSIEETKDSAQKASTLLEKEVEKEARYWQDVLSVSEGGWSVCRLPQER QTLGVRFGFNEASAEFRKYSLAPMRRATNGKVELDLGNLGGQAQRLVITIEKDGKVTG ISSQTAPVPANDSAPLSAHVLEARNTIYARELWHELQMEARNLQSYDVRQYESSIVYT RPNGMKIRIELLSVDDCPRGEDGLSDNWLAESIHISLHIFLGNAHRQNETFRSRPLPP NQPRSRLHNQYHLLRPIIARLVHMEAIEDTTKYIGGLVKSLRNAKMPDAKFDLITAQN VLADLSNVSSDRARSNPAQAVLSTITAPLTFAIDLIVTPGNRISIMGRTWLLPATATI YQINLPATNPEFPNTLIESCPPHRDYPNLSEVRCYIDQAVSCALANYFQPRLEGGEKE GLSRKYGSLDSKEAKEGFDVEGNAYWIKTMSGASLSTAASPPREIAFTVAGPTSNPHL EVTTIVGKEDTKVLKHVWTADGSQAEAKELGLTDVCLRLVNQVYA
SAPIO_CDS9918	MRLRLRRKKKVDTPQPIEIKRRRPFLQSNQSSLSVRRRAFLRRL STIASFRLYNDNKESSEIVEEEIEVPLRRRLPTFDLGPATSEHLENLFLDLSYPNRHL KREKFAEFLSEVQGEEKIQLNKARYRFHEFQDIIMMDYGFDAIQRPASYTKDLTKPIT HYFINSSHNTYLCGNQFTSVSSPEPYRAALLRGCRCIEIDVLNGDSSSLAPSRPPDHK RAVSHESIPVFAGSLRDKVEETLQSTKQFIRDHSPRPSSRKLSPNPDPGARSSQRQIS EERSSMTLTPNATPERPTRERSLRSKVSYPKTEPIVAHKWAATRPCGFREVCRTIRES AFETTDLPVIVSLEVLADEDQQEVMVQIMKEEWAGLLLSEPLDGCDAKFQAPKLEDLR YKILVKVKKAAPTPLSSSNAGGLTPGGSGSHGDSTSTDDERPLTPQPSPVLQSPNPMD PNPKSVPICRNLSNLSIYTFSQRFKGLDDRTTKKPGHIFSLSESRIHELAASNPLGLF QHNKNFFMRAFPDGTRVNSSNPDPSPFWRQGVQMVAMNWQNLDEGMMLNEGMFADEDG WVLKPTGFRVSDKDTETYEDAIPQTALDLNISILAGQNVYLPDIREDGEKKDRSVNLR PSVKCELHYEKNDARKGKKREKTNGPTLECKQKTRAGDTNHPDFGNGGCTLSFRGIRG VIEEFTFIR
SAPIO_CDS9919	MTTFGQSGIDILCDAAANSSSHPPPTQAAPVQLQPARSGNVTAP QLQHLPQPAPAPAPTLAPSLTTGQVKQSKRKHPSSPRSTPPSHVCHICARVYERADHL TRHLRAHENARPYQCTRCPKRFNRADLLTRHEATHDRDGTDSGRASIRRSDRASEACV HCASSKSKCEDEKPCTRCRTRGLVCEMPTKRFNQLRTPSSYATAVSTPESTSSVAAGP EIGAGSSSLAPQYDAEIDPDAGSASFLNGSAQAAASNGRHLLQSPVSNPISRSQQQPQ QQPMQTSGALDSTTYFNPSQSTFQNIDFSSWDLNFDDIPVPQYEPSGPSPSTGSSASK ASGKTVMRDPARGHEAFKRSPWLWEPSHEDYIRRAAEDLNVSDDITRGLRLERVSKEL YPQIRMDSSMRDRLFALVLSQNKDTGKVPSFPSLGLLNYILLMHFTQEARKFDTWIHI GSFDPASSLPIFVAALVANGATYVSAPAIWQFGMALHEVVRIGLGHHIEKRNLNTRDL TTLQSFLINLDIGLWSGFQRKMEISESFLLPLVTEVDDTESCLPASRDLWMARTAEQW RDIYLSKASSAPPADIPNISEAMHHRDLISMSGTLIDKEISYAALLYGFRAQVSSYWE STKFYSAGRQPNAPLTNYPPWVQMQYQTLYRDLNVLANHAVAAVPHSRHLALTSEIMM MTTHVSLDDLQKFAGKAGEDEANRVSDALDQYWATTPIARHAVWHAGQVFRYASLLPP TTLRDFAAVAVYYASLTLWIYGLLRPNEPGSEELKQCCVPVNGEETPQTREFIVNGGV PGLRVGDVIEPISKDGIVLSTARSLLRSNFPVQEEALPPLVESLSRLMWDLVGDGRVQ 
SAPIO_CDS9920	MSGNYRPYQPPQQPVYWQAPGQQQGAPSPQGTPNPNATPGQPLP GHPHPQQTPPLQRPPQAPTQGVQHHPHPQAYAAFVPQQPGQQHAQSPYPLPLQPHRPP QQQQQQYIHQPQQPHPHYQQQHPQHPHQQHYPPQQSPLHPSHPSPLHSPPLHSPGYGP PPTQQPPPSNPVGFIAELDSSIISTPPPGPGNGQAGPPAGNFAELPAEPAVTPNPGKE GDKPVQTPQSAKPPTQANPWGFFLEEKQVVTSEGGKPASVPAAAPASAPAPVQGEPKK EDEKKDETKPQAIVVDSTPQVVEEPPKPHDARFTLPSQQYMPGVVHRPPPPQGGQPEH HQPPSQGQAPQQQPPPQVQPHQHHPQQQQQQQQQPPHNQQPHQQFVAYNASAHPGSPG PPPQQGQPPHQHSPPSQPTSPAGAPPQASQIQPFQPGPPQQGATPAPATVNPYQAYVP PNQQGGPAQGGPPAAGGQFQIPQHGPPGLQGGMTPPGQPGQPQQPQQQQFAIGARPPS IGHAGGALPVVANANLLPSGPQNPNQPQQPGYPQQAHPTPPPAATPTSPPLGPGPTQA PQNQPHMYGPAPPQIAGTPMMSPPPPSGPGAVPPNQPHVYGPAASPAGSVASPAPGSG PAQQQQPQQQQTTSYFPHSATPPAVHPAYQQVHRPQSPPAHNVVQSPPPLQHGGAPGQ SPSIGSSSVPQTPAPQTPGPSPHGPTQAPSVHSVTRPNSGAYNVPPPSSSHGPTPPPG QTQTPSNATPVYGVPPPIPAQATGPTQPPSLPQGQTQPSPPTGQSQGQIQGQAQGQPQ GQPGQPSQPGQHPTYGPGAPHPTQPASAQMTGHPGQDLPIPNDPPPPYNPVALPPRPE TANLGPRPVYHYGPPLPGQVGIPPPPLPHRPVSAMGNQISPQPGAQPPQSPYGPPGTT PYGAPNPQTPVVGPYGPGVQTTNPQTSYGPPQPTYTNTPTTSFPPPPKTPALNHSLSA NSRPPTSGGGGGGLLSSKTMKKFVNRTTNILEQTVAPVIAAGHKYAYQGHSSPSPMPG QPMYGQPGTQPGYGQPAPPQAGYGPQATPQYPAQPTNWAPSHPQPQARRE
SAPIO_CDS9921	MMAAALPRHTYPPMQYHATQSTSPASVPSPAQHDHHQHRNIYGQ PPSQSLQQTMYYPTQQPQYQSIASQPPAPAYAQHAHQTHQAMTTQPTMMMAQTASHNP LAPHHSQHQHQHQPHHTQQPMSNSPRIKMSPQPSVQLQKPQQTQPLTQAHSTQNGSGP LTTPTSTTSGGVNANAAPGPIPATTPLVVRQDQNGVQWIAFEYSRDRVKMQYTIRCDV ESVNTDDLPHEFKTENCVYPRACCPKDQYRGNRQVYETECNTVGWALAQLNPSLRGKR GLIQRAVDSWRNSNQDPRLRSRRVRRMAKMNNRKAMQNAGQSPHLAGHGGPTGIPGAP THMGPGPAMTKPSLSSLTQAMHHHPGHPQDHGQGTGDEVGSNYEHHHHQAPNSDDVRP AQVFTGYNTNQSYPGALGHMSAIATARRRSRSENDEPSDLFPDMPEAKKRKFILVEDN VRGSRLRIRVTLEGVNTKEIPDSFRKDSSVFPRSFFPREMQSPPPSATGSSFFEDDLD ADDGNQETEGREISRRRGRGRETVMVRVPVGDSREGDLSVPRMRKNIRGKEVRLNELG YRMAWLQSRVFAGRTLFLQRALDCYRNKTRSAIESIMQDVKNVAPHYETRVGKRRWNE RMRSQERRDRD
SAPIO_CDS9922	MTERHYPAYPAPGRAATFQPARASMPSSLGYNPVYPGDIHYPTA GGRYTGHDGTSPRYHYHATAPMSRGPGTATYAVTDDPLRRPRDLEPLPAPTTTTRTRR SSSNADSTHPRPIIITTTQPSARPHVHNPARERSRSPTRPEYRPSSSIRSSSVNHQPA PARQLYSPTFEASDEDYMTRIRGPDIIPASSRAEAYRSARPTVVYSSNPRQSTIDLGE DYGYTKPGELLQYDLEHPKQHHHHRRHDSFDHYARPNVYYNPGPRGFSTEPNRTHEPA PGLTKSENRGGPPPTTWGLDKLNRSSALYEPVPPPAPIPPSALRDGAPGSPRERRGSN RHVRPVSLYQEIPPRVSHGDDYYHPREREESRHQREHREFEDPGRRHLDEEVFVDDDV RLRGFGIRTDSGPPPPEPDDRRERRDRERDRERERDRREYVESHPPRRSDEAIDRDWD RIEKRELDDRHERRRSKQRPVAKEDDDKDVPPANKEDAEPSNNLRDNLKAGLGIAVSS IGLSPTNKEDKEVDKKRRERRESPDRDRERASRRESEEDDWSSSGDEVEIISARASDR RRPREKVYVPRERKERADPPEPSPVEDNRPEADAPPAAAESSPRVSRDSLRTEDEADR SRQRERRSSFNPRDADDIRDVQQKLAAMNVSDKQEKVVVVEDPNDIPRRDSRKDERSA SSRRESSSQGHDLVVSKPEDKAVRVVSPPPEKAGAKPIKGILKPPSSKFPEDPNPIRE GVAPHKNDEKLKEVPAGARWTRISRKIVNPEALAVGKERFEVRDDFVIVLRVLSKEEI QGYAAATAVLRERRRKEYEKSKGQDYSDDERDDDRRKHRRYRERDDYNEDDADEGDRE RRHRRHRRYDEEEDEHRRRQEHRHHRRSHRERERDLAAP
SAPIO_CDS9923	MYKSKSTVSNNLRSYSRVARGQKSSLAGLSYVSERSIAEGFRSP AHPKVANLDFEARVPVPFSVFPSTYREADTQTNVATTTTTTTHEEVEFTPPKPGREGQ HSSGFHEVGQEEVHYTREEDYHRRPGIKQERERHYYTQDEFRPSRPDFAETRVEVDHH HHYTASPVDIAEREYRSRVQPSYAIEGAHYRPVQHTTTTRVEEYTVEPSKPSRVYRES DRAYISKETVEPRRLTTTKKAKMGYYDEDGHYHSFRAGLHKLADKVVHPESRHHHHHV EQEREVRVTRAPRAPRQEGAPLVPNTVTIPCHHIRIGDFLMLQGRPCQVIRISTSAAT GQYRYLGVDLFTKALHEESSFISHPAPSVVVQTMLGPVFKQYRVLDIQDGAIVAMTET GDVKQDLPIIDQSNLWDRLQAAFDSGRGSVRVLVLNDGGRELGVDFKVVHGSRL
SAPIO_CDS9924	MNQQAFQWGPGYGQNPPGAFAHSPPGLDSINSPPGPKIPGLDPQ SSFASPAAFEYNRASIPGLSFAMPTTAPSPTTAPMISNPDSWKGQPSDTPSNPEYIPS RGNHTRASIPSQPQYAPPVITNNTTPQPVTTEVTTKESYPAATDNATNADDAMEEGEL DESGFEDLYEPYLEPGDNTNYHPPSQSEHPATDDEDYDPAQPASPGLQDTILPDQGAP DALAITGRERSRSYSPYLSPGELSTTSPSPQQSATNGPDTLPETTSAQAMDKDSATPA ESPAEARKKAQASILRLWPLEVRFQDYVDEGIDPKIVRDLFAGLGLDTKSSKSPAEQQ PLPKNHAEVQPSKSVNLQPMDTQPQPDTALTPTVAPSKPLAVTASQKQEERKDRIARL LAAKNAKQQTSSTGAVEGTTGAPASASETAPSSVAEPVPQLPVHQASVAADADKANKK AEKERLLQLKLEALQKSRALRAQNTASKTTEAQHPEPERDLNTSDRNIGSIEQTSMAP SPQAPNDAVVGRDTKPHDKQAVGSIPGLSLSATAQSGPTIPRKRPTASDLNETSVAPP FKRTFSQHHVETPLIINVSDESEDEDVEMEISSQADDNPYEPNHNGHRLPASQDHTSL VELRHQRGFATPTSLPGGTATPKQADSAELQRINHDIDEMKRKIAEAERRKKAKLASK DATAQQPSTGPGVAGVPLPLIPGPSSVHSQSPGSLASQPAPSPSGITGDVQRVLPKAP RGVGADQSQRTQRIRVVSETLPALEAKLRSKTTKLRLLKSEVTRIEREVNEMLAERAD LSSELETLSKDENGTTGEPGALQEESSSNSDLPTPQYPSQVLEIDDESAPTELSQIAN GTEDLLPTTHSELTGPQAESGIETSPSSQIQDGGISSSNGLEEGVPRGGITSEDEAAV VEPVEDTQMVDVPSSQNEGPVVEEQPAASPTTEQDEMAVDGEPYSPPEPFPHPLETQT ANLDTATSPPPADTDMQEAQLSLAPTSISDGAVDTREASPESLGDTSCAPSNAPKPVA TDGFAPYKSVLKEFLSYRFHPNFHNDVTQGLRSLTYSNKINPHVPFCLDSLLPEGCPR GASCELQHPGSIEIPGNSERLEGKEQERYIDGLRALLSEFKAKQSKDFDVISKAIVDY RTRFYGDQSRVLPLGNISV
SAPIO_CDS9925	MASLPISRHGRNDSRTGTPASGTFAPSFIKSEPIRPSFDAVKGI EGENDFSGKRYVWLKDQKTAFVKGWVVEELDNQRILVQCDDGSQREVDLESVDKVNPA KFDKANDMAELTHLNEASVVHNLHMRYQSDLIYTYSGLFLVTVNPYCPLPIYTNEYIN MYKGRSREDTKPHIFAMADHAFRNLVDEGENQSILVTGESGAGKTENTKKVIQYLAAV AHSDSSVKNRSQHSSLSQQILRANPILESFGNAQTVRNNNSSRFGKFIRIEFTRTGSI AGAFIDWYLLEKSRVVRINANERNYHIFYQLLNGADSRMKREFLLDGLHVDDFAYTRG GQDTIVGISDREEWDALLEAFSVMGFSDKEQSSILRTIAAVLHLGNISVVKESRMADQ ARLASDGEQQASKVCQLLGIQLEPFLQALLHPKVKAGREWVEKVQTPEQVRLALDALA KGIYERGFGDLVTRINRQLDRTGMGMDDSHFIGVLDIAGFEIFEENSFEQLCINYTNE KLQQFFNHHMFVLEQEEYAREQIEWQFIDFGRDLQPTIDLIELPNPIGIFSCLDEDCV MPKATDKSFTEKLNSLWEKKSDKYRPSRLGQGFVLTHYASEVEYSTEGWLEKNKDPLN DNITRLLAASSNAHVANLFADCADPDDEHGGARSRVKKGLFRTVAQRHKEQLHSLMAQ LHSTHPHFVRCILPNHKKRPKQFNNLLVLDQLRCNGVLEGIRIARTGFPNRLAFAEFR QRYEVLCRDMPKGYLEGQSAVAIMLDKLGLDRTLFRVGLTKVFFRAGVLAELEEKRDT LITELMTRFQAVARGYVRRRIAYKRLFRAEATRIIQSNFRVYLDLVDNPWWQLLVKMK PLLGNTRSATEVKKRDEMIRQLNEKIQTEAESRQKLEEDRRNTHAEMMRIQQTLESER ALALDKEEIFKRLQLREAELEDKLAGALDDQERLEDQLDDLLAAKKQAEVEVEKYRSQ LEQAAGLIARLEDEKQTLAQKVVDLEAAIEDISQKQSQRSEQEALLEEEIKMLQSQLA MKDRKTKDLESRLLKADQDLEIKLHTAQKELQSSKLREQHLTRENRDVQQQLSQLSKT STDYEDLVRKKESELALLRSDNKKYEMERRDFEAQKAALVEEKQTATNRMREIHAEIT AMKSQQSQLQREAEDARNLLQARLSEDAQADQNRKLLEDQIKDLKEELYKTQMDLSRE RQSRDDVLLLGEHKYETLKEEYDRLNESKIIIEKELYVQQDTLRRTMEARATAEKERD EARDEIRRLRAAKAQAEEARIEAEVAGEKMASKVARDREASLMKDLDAAHERLQWFEV ECANLNQKVEDLNKLILESGNFGLKNDQAKERLERELATVKSRLAASENDNRALLNKL QHKGLEIARSTSRANEASRNQAMSMQREKARLEEQNHKLHKQLEESQLSVASLEKKVE KLLLNLEDLNHEVARELKTSRNAEKMSSNFTVQLAEANRTIESERQLRTQAQGTVRTL QATLDSRDQELQDLRDQMLSVLKTLDPAANLIPPQTNGAAADKHLAKNFDLIRKIEEL QQNLRVQTAARSSAENQLADLRASRTSESPSRPRLEEIPLNEAPFNRSPTQRHSKLHG RRFSNVSTPNRKLGLNDADLQDSVRSDRTADILSFNNRMDLKAEVEELQNQLQLAQMQ NRHLQSQLDRMTPGPDSILDESPSLRRMQKLEQANSRLQEMLDDSAKKVSALERSIRS GELSLRDLQTRSHEEILDVLNSQEESRKSLIASHKDAVAELSDIKAQFEKTRHERAKA EVELRDARSDLEEMSLAREQEAASRNQLLQEFADLQIRLDAETSKLADVTSSLNLYKS RADEYFNKLEQAEIAVLKASRAEQFAKAQAKEAEDTCAEIMAERKNMDASIEDLQRQN QKLEEKFEDVSTDLEAALQSKKRLQHELEDYRNQRAMDIEDKESSMEQTRKKYQAEFA TLTKELDLAREEKLFKQAEIVRLREELDDLRSKWDDEVLNSSTWSKEKSRLETALADV VSSRDEAVDAHKEAQGKIVSLLSQVRNLRSSVDDVTAERDALLREKRSIEIRLEEAMS GLDDLTRGENPSLRDAANMDKEILDLKSKLAQQEDIALAAVEKMRRSEALSAEIQKDA LVERELSAQLQKDKAALEKALNEVQVKLVDLETKGYSSASQDIKFLHKRIQELENQLE TQEEERTKSQRSVRNVDRVVKDLQSQIERKDKQNAHLADDVARFQEKVTKLLQTIDEL QASESTSQLSARRADRELREEREKCLRLERELEGWKSLRMEKGSSMGSIGRTGTSGTW RSSLGVTCEDESEIEVPKRKSSISRVPSMTKGFL
SAPIO_CDS9926	MVAPTKGELAEEQKGEKKGQERERVRTERKERPRLETGHGRMKR IHKRAVDRTRKRSIEIEAMLSDSDFSDREDSDLESEREEAREKDAVIETLREEVRRLR NLSREESDELGERRRRSRRASRESWAGRTSHSI
SAPIO_CDS9928	MAPPSPRRSSRARTSNSQSQQSSSASSTTSGRIERNTRSGNKPS SGKSTPSASLSSEPPEDLDDTHLLRRRRRGYDEDRDKLSRQDSVEMANGSDDIQEDDE AVRCICGFDDYPGPPPLDPETKQARDAPDSIILTALDVTDDISGFYVQCDICKVWQHG ACVGIMTEESSPDEYFCEKCRKDLHKIHKASNGQRYSTYLPLHRATRASRSASIAKEG ARSPKTGTGKAERTSSASQTSKRRSTMNSREAAYDEEDQLRRAIEASKELVVVQDEIS TRRNKRGRSDSEENSTSVKRQRTSSRSPSPPSDPPKSDIQDDSDDGAVTRNGSSKKSR SSRSQKEKSEREERERLRQEAANKRKGRADRRRGEGAVATVPEKESSTQPDVDSDPSE EIPLAATRAASSKPAEVQPSVEPPAPTQPTPDTPPPGNPPPTTTSTHKKSARSTHKKT KGRNQYTKDRDNDADRSPVRSMSRDTPRHDEPSNGGHKTDRHSSKSKSGPHSKISLNE LKRRSAAFLDFIAKTQVELASEDLTEFKPTPENAEQQDKRNGTPQIQINGGSSSAVVN SEEPAASPASQVSTSKGFKELNCVEMMDLLTRDLVKWQNRYTS
SAPIO_CDS9929	MAASTEALSFSNLYPNRPIRPLPKRRLRERLSPEVAESIKYPPS TRIPSIFYPAYPTDIAEDGYHREEVPHSRDTADGNTPNDEVRQFVGAGGDASRNGVDV EPGDRQGPRSAMVPRSPPEILSNRSGARQTPQIDQTRHPNSMLPSTDVSTDGYESYEN TNNKKKRKIPTAGDSSLGSSHSTSDGSAVPISAGLAAVHMQNDTLGNSQYGALHGSSV NNKGISGAGRGMFGRVRNGRSPLRTLSNASNWTDRNAKPRPAGQWASPCADAPERGII SSAIAKASKTPTDQGQENISLLQNPSVVRSTPASTQFTFTCESQVPGTYRGDLVGSGL PPPGYMSSSKQSSMAHAMGSLNEPPPGHHDGLKGPGQSSGVRQKKNRKSIDRELEEQA RQRRAKAQENRRRNPVPPEEEWVCDFCIYERIWGKPSGLVRAYEERERRERIAEMNKK RRLEQVKARSRKSKKQPKSTGGKAGNATKSHHDIPEHDAPGGVHGDLGSDEDDGTSEE YADESFNHIDDVDEGDLASVEGDVSNTGHISHNYHRSNGGGGDGDASSLSRGRPEAHG LVK
SAPIO_CDS9930	MTSSRRYRSSNQPLTAAHANPDATSAAVLALTRQASANSLSSAA AAAAAALRARPATPTNVADVQTKRSLRRSASVASSASRDSRVRLKRSPSTGSMSERTL RTPSPHRTAVTNTPDETPPVPSIPEDVSKSNIQQKSPTRRRTVSLRTQPLKLGSEIVR EGHASWFGPPTCDPTSTMRTSDAVINTRLARPGSSSSSINFSYPRSPRVLSPAPAPEP APEPSSAVQAASRSMHHRRHPPPESDPIMVYDPNTRRMMPKSELIAWEHGFKEPSRKA SKKKKKKHPVAAPAPAASAEPKTVVEPQHLATEGDKLTDHKRASDAPELVTGDMYEDM GAEITLEPQEHLSHTQQFIDHGLHVDEDITHVGDDDGAMRATLFPLREQATEIAPPAH QAQVPVVHEIGGSLGHRRKPSEILDAVPVRMTAYYRIQVPIELPTSDRRAKAVPQHDP DSHAAQGQTKTTTSDQPRETSTSPRTALSHPTETLAVPVRHSPPPRSVSPIKPALKQS TSPNRASSVSDNGSEVSLDQGGQPEQAPTRKKSVRVSFDDKSTVVVGEAAPQVEDESP ATSPQSTTRRHWYNLGRRREGGSTMDDVDDGEVMKPRPPLPHFGSIRDKKLREIEERP LVRPPPVSHIPHSGSPTILPTIPSVSNLRHSTDFSNDHAIGSILTREVERKNEANISR LREPLPPEVTSVEGDGFLSDGSSTTGLQGSEDEDEDSYVEGHVQTSSPAVAETVRPSG DTVASIIQNGSATAKGHGAGSTPATSSAPEAPQQAIPKIAISLPSPQITEDPAVPSSP APPSIEQAEPVDIPGSFPGGEDSSSTPTPQLPPATDTVTATATIPPVSSTAEPPLDNV EDDSESTSIYSDAYEDLSDIEGDGFLSLNAVVDSPVPPKVVDLAGSPAAAVAKDSSQP TVSEPPSTQATVTQATAAPVSLPQGDVNWEHAKAYWRSLSAEKRRQLEQEALSEAGED GDLDEKVVVAKKHKKKKGGSEQRSQAKESSSEERVYQIPPGTKVTDAPPPRAPILRSS MRGSLRASTSHSTALVKLDSHGRESLRGVKSPTALRAETPSGGALKTGFRKTMRPDNF SQIAEHETTTSRTAKGERPLSLQLDGSSVRVPNKLRSGQAKRRTFSLQENIPSDSPGN WPLTLAQRRGSMDSESSFQRTTRPRQSEVFGFRRSMRTSGIEEPSANEGTESKKRNSF RFRSSSPNGTTPSHPPISAETRMIRTTMRADSSDGSVHSISQRFRLPFFGRSKKKGDR GSRTSSRLDYSSDDEDEHVALPTLRNKSPRTSSESWVARRFSSSSQKTGNGKPFAASS AAAAAAKGRPLSTGTLREPVEEVSEELSDSSDDEEAGSIQEQPAQVATADIRPAVDDG NHLGVEESVSGSLRRSRSGRGSIAPLQTGSANGAPANGGKVERHKRHSLMAVLRRKKT DQAGRIKRPERMDSAARRDTKLERSAAELEAIHAHTASSRHHHHHHHHHSPNSLGTRS LGGGQSSKSRPSTATQQPQFDVNEDSDQVSTSTPVDGEEEEVVAPAPPAYLRKKPPVR KATEAQAAPLEPRKKKFAALRRMFGIDD
SAPIO_CDS9931	MSSFPPASHPSAACCSIPPVVSTGYQEKGSYEEVGGLKSYAVGP QDAEKGIVVIYDIFGYFPQTIQGADILATSDEKQKYRVFIPDWFKGSPADISWYPPTD DDKKHKLGTWFSKNGFSETVPKVPGYLDSLKEKYPNIKSWAIIGYCWGGKVVTLVTSD PNTPFKAGVACHPAFLDPKDAPNVRVPIAVLASKDEDAADVKKFTHDLKVDHHVETFH DQIHGWMAARADLEDARVVEEYKRGYETVLKFLGKHL
SAPIO_CDS9932	MNPLTSSEAPPLDGTPVASADLRSGLGAAPTLPVFTLNDRIVVV TGGASGLGLVMGKGIVETTPRITAHHADVSDPASVASCIASILSTHKKIDSLVTSAGF TENWPATEYPPDRMRRLWSVNVDGTYLFAVEVAKHLMERKAEGSMVFIGSMSGAIVNV PQPQAPYNASKAAVRHLASSLAVEWATSGIRVNCISPGYMLTALTEKILDARPDLKEK WISGIPMGRMGNPQDLMGPVTFLLSDASRYVTGAELRVDGGYTVI
SAPIO_CDS9933	MDPSHMILPKGFVVNSQDIYKEVASYPTVPPEKIKEYWKVLAKL FEEISTGPTIAPIPGPPNRWEPPVFVDRPPPWELRRQAEKASAEEASKTADAPKPQQG ADTTPLKPAKPSAEETSAPVAGSSSSKPTQAPPPHPILKKSRGDSKSGPRPTARFVVP DVDDSKDDGDASSGSASTAGTDTRKSSSPAKSEKRKTSAHTKRFHASIGTKRRPALPR RISSQSSTASDSASKEDVPATSRHQGAQHAVAPIAEQSGDQSSPSSTGSTTSPRRGLS AKAAGKRPAPKQPAQERIPTHHRAADPIATSPAPDNSREKKPVEIVPEKGKANLSHDA SPVKLDRTQSTEFRRTPQGRTTLPRSQSHIELKGTSMSSSKSLRRALAAEPTASTTTV AALGTIIDINRNEIPWPGASTAESPEAPSYRLPRGPSATSLLETRLMPTPPSATPEVP LARTKSQLTLLLEREKGRLGDKPRSKH
SAPIO_CDS9934	MASSVTLRSLARRPACLQSVVRSSLLPTCQTRFHSNKHPKNFEA PSAADLSELRDRVQDFTRREIPEEVAARTDKTNAFPPEMWKKLGDAGLLGITADEDVG GLGLGYQAHIIVMEELSRASGSIALSYAAHSQLCVNQLQLNGSPEQKAKYLPDLIAGT KVGALAMSESGSGSDVVSMRTSGKEVDGGYLINGSKMWITNGPDADIIVVYAKTEPDK GSKGITAFIVETDQKGFSCARKLDKMGMRGSNTGELNFDDVFVPKENVLGKVNGGVRV LMEGLDLERLVLSAGPLGLMQASLDVALPFAHTRKQFGQPIAHNQFLQGKLADMYTKL QASRAYTYATAKAVDEEGLIKTQDCAGAILYAAERATECALDCVQVLGGMGYTEEMPA SRILRDAKLYEIGAGTSEIRRMVIGRAFNKEYAHFAQ
SAPIO_CDS9935	MSLSRSPKELLLLRRQLTNLGRPARLTRSIATFTPPGQASAISL IQSSIDTSSEEFKENERQMSEVVTRMRELTKKAQLGGSAKAREKHLARKKMLPRDRVA ALIDPGTTFLELSPLAGHELYPEAEVPAGGIVTGVGVVEGVHCVIVANDSTVKGGTYY PITVKKHLRAQAIAQENNLPCIYMVDSGGANLPHQAEVFPDREHFGRIFYNQARMSSQ GIPQISVVMGPCTAGGAYVPAMSDESIIVQNQGHIFLAGPPLVKAATGEVVSPEELGG GEMHSSVSGVTDYLAVDDAHAITLARRCVSNLNWPKKESAQTQTFEEPLYPAEELLGI ATTNLRKPLPIREVIARIVDGSKFSEFKRDFGSTLVTGFASIYGHKVGIVANDGILFA SSSVKGAHFIELCAQRGIPLIFLQNISGFMVGAQAEREGIAKHGAKLVTAVACADVPK FTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNAKIGVMGSEQLSSVMETVGKKNPELRD TIEKQSDSVYSSARLWDDGVIPPEHTRKYLGLGLQAALGGKNEAKAGATKFGVFRM
SAPIO_CDS9936	MSNQEQPRPPFPPFSEESAGKKVKAAQDAWNTKYGSHLHEIAVQ FWYEWHDESGQWWRTYGLEDWTFADNGLMRKRQMSGNDVKISDEERWFKDGVDVNSVD ISEKHW
SAPIO_CDS9937	MPELKAGDAFPEGVTFSYIPYTPEISDVTVCGRPQNYDVSKNLA DKKVVIVAIPGAFTPTCSSAHLPSYIANIDKLKAKGVDHVIFIAHNDAFVMSGWGKVN GVKDDSILFMSDPNVAFSSQIGWAGPERANRYAIVVDHGKVIYAAKEVDPGIALTGAE AVLARL
SAPIO_CDS9938	MRTYDDTFSGQRIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTTLYRRQHRKGISEEVAKKRTRRAVKSQRAIVGASLDVIKERRTMRPEARA AARAEAIAESKEKKQAAASAKKAEKAKLAAQAGKGQTGRIQSKQGAKGAQAKPAAAKR F
SAPIO_CDS9939	MAAPSDQRIAVPIDDPNADTEWNDILRQHGIIPEKPPSPTPMIE EAIEEARRLAHENRLEGKELDELDELEDEEDEAFLELYRQKRMQELSALSKKSVHGTV YPISKPEYGREITEASNKGPVFLNLTSSTNTNVESRVLSELWRQAAKEYGEVKFCEMR ADRAIENYPEKNCPTILVYKNGDIIKQIVTLVTVGGVRMNMLNIDNLLVETGAVPESD MRVLKRRRAAEEAEDERLMSNKSIKTSKTLDDDDDDDWD
SAPIO_CDS9940	MAEEPTLPRLPAVSWDGRTQSISTRTRKRARLDRSEAPPVVSTS SDPAFFSSDDDPSVENYTRVRRKKRLVGSWYEQQPAPSSDSCFGEDAIPPPRPNRKFA RHFDSGVWMTEASDDGACDDDLPGLTSSTFPPKTKLPLPIRPDGPKLSGAETAARKTI QMCIDDGVETIDLSDLHLQSISNDTIVPISTLAPIPIVTQDVPFEPKDPSIKLFLANN RLSKVPGAIFDLENLTLLSLRGNRIEELPDSIGNLTNLRELNISQNRLKFLPSSLLKL LGPGSNLVSLSVQLNPLLQVDRTLVAKAREIDDAIDSSEISAITAYFVARSAVRVSAG IGKRAPEVDLTVPFDEGTAESQSSEVSLLSNPSRVPSLQELTLRKCYQSSLLREFPDY LPDDSFEHLRELLRKALAQRESGGVHCSICGHHLITPVTQWVEWWGIKYNSPTRPQLP RLHDPDVVPFFNVGCSLACVPRNISRGTTLEELRGRDGENV
SAPIO_CDS9941	MRLPLLSAKALIILGLSSLVQAVFPDEVGTIDFHYDLLGVPQPS TTLFHRPRKAEKASLLYTLSDVGILGAVNPSNGAVVWRQHVTGNITTGGGFLRAGDGQ TWLCSAYGNSVHGWDALTGRNTWWMDFAGEIKDLEILEVTEEGRKDVLVLLHEAGATI VRRLRGDNGNVVWESRDSGKDIPLQVSTNIESVFAVSLHGSPYSLKFSVLDIKTGKRT DEIILGTNGEVQSPEDVMFVGSNSAAPIIAWADPSISKLRVNVIGTKNKQEFPLSPDA VSVDIHASHLTQSKPHFLVHTRTKTGNRAEVYHVNLKNNGVSKAYDLPHLPGLGAVST STDGANVYFTRITEEEIILVDSTSHGILGRWAFPPGRDVPALHATTEVLRKADDKYAI RSAVTTASDEWVLVRNGEVIWARPEGMSAAVAATFAEIPEGEDLAKALEEEAHTNPLA AYVSRVKRHLNDLEYLPDYLAALPSRLLGSILGSLVDSHPTELSRDSFGFRKLIILAT RRGRIYALDTGNHGKVLWSKKAVDLPKGQTWQVSGILVDEPKGQVRILGSHGDVTVVR PDSGEVVDFTPPEAQAVISKTAIVNGPAGPWVLTIGSGGNLEGVLPEGAANQTIVLRG DHGEITGVRLSHDGSAVKHTTSWVFRPPLGQDIVSATARPSHDPVASIGRVLADRKVR YKYLNPNTLLVAAVDKKEHVLTTYLLDSVSGQILSSASYEGVDTSKPIDCVMSENWFA CTFFGEYKVRDGSNRSIKGYQISMTDLYESEVSNDRGPLGDAEKYSPLDPVGVPADPV LPSVESLSWVVSGPIERLSVTQTRQGITRRQLLAYMPEAHSVIGIPREVLDPRRPVGR DPTKEELEAEGLARYAPQIELDPRLLVSHVRDVIGVKEILASPTIVESTSLVLAYGID VFASRVTPSLKFDVLGKEFNKVALLGTVGALALAVMALKPMVRRKQTNQRWEAPS
SAPIO_CDS9942	MGTGGQAVPLERKIQLLQQSRHVRYQDHLPCILCGASITLGLES YTEHFTSVHADVLERELAANPGDTSASIARKYYRRSQNPPARQKQYATNNDSSDQPSP AGEPKSTTPRAAATTTDTPAAPATPSLSKASAQPNRGSPVASSHSQTRRSRARPTTST PDPEFSRPKAQNPVLWSANNPAQGPSGKNQNPPARPQHEDSDNKTDTMQRQPDTRPIT QQQLVAEVKGIYTGLVMVESKCIEVDTAQADESAKELSHEQYQALIALHRALLHEHHD FFLASQHPSASDALKRLALKYSMPARMWRHAIHSFLELLRKRLPGSLQHMLSYIYLAY SMMGLLYETVPAYKETWIECLGDLGRYRMAIEDESVHDRETWTAVSRYWYSKASDLSP TTGRLYHHLAILARPNWLRQLFYYAKSLCVPKPFLSTRESILTLFDPVLSESGSPLRE LQEPIDDAFVQAHACMFLGQHPDRWRTTTNKFLELLDNHIARTAKLYMEPAYYMGIAN SCALVEYGSKTGLLYNIINPLPSEKQQAQPEEIVEVKGEDQDSAMKGVEEQQQQQQQQ QPTQELSTEFIQARELFCKTARAIFQRLGDPNVWPFVHVTMVFTHHLTFFPSGIRLIE RDFPWRPFVDLLNTLKTDSTRYEDEKFPGLHDDAEPPRPLPEDFAMRGLLWTDKYHTE EWFGRAQVDDEDKYFEVASMAEQRKERILWLGCRIAVLYNKWISYDKKLKTFAVAPEF DDAPGEAGDEDIEIDDAVEAETEAEAETPTNKRTTVGLQDLDLGEVGLEMESREQLLE HLRTQQNRQLQLPPETHTKSQAYVEIDTVVEVKAEEDGRNLDLRRLSRQGEDGLERGG RE
SAPIO_CDS9944	MSQFLSDLTSSPRFQLAATAVLSGATVAALILGHQALEQEERLS ELKSSIPDLADTDDARRIIKNRTANHKFVDKEDLRNEALARRAQQGDFDEELILEQLA RNRVFLGDDGLAKLRDAFVIVVGLGGVGSHCTAALARSGVSRLRLVDFDQVTLSSLNR HAVATLADVGMPKVHCMHRRLIAITPWVKFDLRQEKFDTDVAEDLLAPWQEDGRKPDF IIDAIDNIDTKVALLKFCHDHELPVISAAGSGCKSDPTRVMVGDISGTTNDPLSRSTR RRLKLQGVTSGVPVVYSVEKSGEGKASLMPLADEEFEKGSVGDLGALPNFRVRILPVL GTMPAIFGYTVANHVILSITGYPINYPTVKTREKLYDAALAYLQGVEEKLVRATEGVS DPNAAVGFKLPISVGDAAYLIEEAYRGRSVVSGVPTKLVLVRWHRPEGSVMNKIGSGA DEQKTSNIRLRNIVCMTKEEATRHYEKVLKGGAKLEDLYDSEVLERVAARLVEAGKAE RFRL
SAPIO_CDS9946	MRGDNEGLGGVGGSMDGHAGDNVVEQFLIPQADNTNPPLRSEQK HEHSAGPAARDETGDAYHGGYTNGTAAMPILDNANGGNQSAEGPAGLDGSLKSADQDI SPAWPCDMSDNNTVVRQDPVIRE
SAPIO_CDS9947	METPDWKGPTFEKEVVTPLERDRHGKGYTDETDPDEPNGTVVEP KEEKPKKQNSSSSRRSPRSKKSHKT
SAPIO_CDS9948	MFYRPWAALPKDAEFPCDLKALGYFVNNEDEIRSIESPDTYFKY HVDLLERVNDRQRFAFNTAVESVIHGRLEKEGLEKIRLPWDTPEDEPHVPIFVSSDIK SKKRVIIIFGETSQMLGALAMRIVNGRGGVDKGSLVSIVREIKKQHCSPDDDDSPGII LANMGETFWWPEGKRALELPRWNATPAPSMVQVSRAFRPNYHCIKGHDNHHSHVEYIF DEVLNVLVRPDAKIDIIAVGDGGEAVEKFFNHKFTWAIFGPRVNSMVLLGDGVHSDLI SCPGFKNFLKKRTRAYVVEPSLKEQVPLATSHEGHNGHAIHGYFGCPVYSSGEYNYIE CILPRAKEHILKWIQQVADDENYENDPNIATVNPYKEELGSPEAPEGDPWDDIPEEER PTISFADREMMETEVRLTRMWDNYKKTGRFESV
SAPIO_CDS9949	MPEPLYRVFVRVPIPRGDFVDPPPTGTELAARFEVTVDFLIRQV AYLTEQHASQVRDELRKAAAAAKSSAAPSPVPGSEPFMGHQRTISALSLRRDSPFPRN ETSGAPGTPVDTSYRPNISRNPSGNSPFQHNLGGGSTPRPGKDSTRGEGLVPRRRISS LPMANANPPSPGPADTSSDDSDDSSPAQSRIIRRPPRFQSQDDQTSFGDDEDDAEPAF QAFNQDASDLASTLRGDQKRPFEKDRLHRSQTSDSSTGSAAIVPRMVKAGNRASSGPL SPRAAQLSGRSGSGAGKTASREGSEGTPSMGSSFSDLDDSSVTQSAMAEALAAGLQDG AIGSRLSIAQSIIISGILRSGV
SAPIO_CDS9950	MAPLILHNVPDEELYIGDDGIQRPYAMVFPQQEGHAGSTRSRRA VAETGSFGKSTRRARSRTGTPAKRDNPTLTAADKVFSEWISTQAAPPANASATAASRK ASSMMNEEAPSAQHRLVKKEPTEVILRGYKNSDQQYAAINHYEQLAGRICEDYPRQPP LDHTRYKSELRDPAFIRQKPLTPEERAKVNKADGGEHWVKVTFESADAAETAIYASPQ SILGHIVHVEPYHGHPPNRDEAIPDIESLNDHPRSRSVPNLARQDSGRGRHDKRAASV FATDHFDPFAIPTNGTSSPDSRISSHTLDSNTLSSATVTDAHLSHRGHTTATQEGPAD SVFCRKIPTARRATLLPAEQALLPQQSFTQRIINAIPFLKWFSGSMIGNEVPRTELGD FDWNRASLYWKFIWWLDATFNLFGGEILTADKED
SAPIO_CDS9952	MGDFGPFGADPFLCFVPPFRRGDGEYEDEDGHEDQDIPRCHPPL GCGIHGPYSFTFEEFRHHEQYCLKERLARIKHDIDGSQRLHDALDLVDRTARRKGNQS SPTTPQKAPKKVRFALDTTEARHVNYTATDPFFKSRRRANDRQVTYCNAEFCVCLVSK LYPGHREHRDYRDDCGNFTCGEEGCCCQKSARFFTREAHEYWAERLFRCTKLYCSCNP GAIYINRRDHDRYAAECFREGIQHEGRQKFNVVNEEDGEEDDEEDDEEGDEEDDEEEE DEEEEEEDDDDDNDDDVITTVRE
SAPIO_CDS9954	MKVAGVFFLASLAVASPSISIDTVHDGAAPILSSTSAETIPGHY IIKFKKHVSAADVSEHHTWIQHLHNEGEQRRLELRKRGLGNADIYSGIKHIYKISDDF LGYAGHFDEDVIEQVRRHPDIEFIEKDSIVHTLVPIPGKEDECKSEVEKNAPWGLARI SHRDTLSFGTFNKYLYAAEGGEGVDAYVIDTGTNIDHVDFEGRAKWGKTIPAGDDDID GNGHGTHCSGTVAGKKYGVAKKANVYAVKVLRSNGSGTMSDVVKGVEYAANAHLEQVE AAKKGKRKGFKGSVANMSLGGGKTEALDRVVNAAVDAGIHFAVAAGNDNADACNYSPA AASKAVTVGASALDDSRAYFSNWGKCTDIFAPGLSIQSTWIGSKYAVNTISGTSMASP HIAGLLAYYLSLQPAIDSEFYTPLTPAKLKANLLKIATEGTLTDLPKDTPNLLAWNGG GCSNYTNIIEQGGYKVKTVSNLADDFEDLSLHVVKGAKDFAKIADKLGAKVHDMVEEE INKLLADLEA
SAPIO_CDS9955	MSSSTFRHRSSTSTSTPPINSKARQVLNGLLDKLLQKLEIRSAG GYPDAKDLTECLRLIARHVSSVAPPSTVQDDFRQLHGFNALIAVLRAFSGFYDPIKRS EGDMVALFELLEITLRCLDLSLRDHPGSRRYFKYRVEAGGWDALEQAIASIGLGGSDA SLWTLCRLFGKLLAFSLGDSRIDKLCQSYERTTKSPSPGAEDAGIEDAETNNDGSAAC KSCDRDLSSVPGEVREIIGPTTMLHNPGILRALVGFWLSIPRSEGQPPHPCSIIFLET LSASISASIFNLTAVHSTKIFSQLLRAAFASNSGLSAPEKRVLLKLCKMLMYLGVNQL EDTQFLLRSQSAEASDFCREMLGPGLVFSKWSLASVHLFEEILSDDFLAVHFRLGPRY QGNFQDSLGGFQTYEASAALGLRNELFHPGKDETSDIIKAIREKASSLLPESKILLSI LPTGAIYDDCLSRESQMFRALPRAAASSLVHLMRRHGATIVVNAAAVSLTDALLGPQG VAILSGQPVVAVPSYFDDNLWQLSGFTPLALKLLHRASTPDETLRSVEVILQCIQNNW RNSEAMERDGGYSVMGMLLRVKLGYGSLSGSDSSVTRLQMSNEEKEKLTFQLLSLVLG FVGYNHENPIESFIINPLAYRILLIDFDTWRKSAPLTQRLYYQQFVTFAVMSKYHEFN SRRLMRMRIIKRLLDAMKAEAIAEDVLPSFLEAFEHLIKFRRPTPDVATADANGQISY LTKKQLGKHILGMFSNLLCEKGSTVNIKRFARTVTNKWLLYLLSEDDAEIVVHGCKIL ARLLVSHGPGYTSKFASKTGGFVIMGDRLKRWWDIPTLWPICFSILFGYDVAEINFDR NFNFFNLIEIFGKSKIAYPDVLPVIGGMVQRAIKDVVRQQSHPDSPQVGVQPLPLTKE PTRKPTGPERRARARSMDLREAMDAMTTPQTDAERLASRIVVLQTVIRFLTDLHSTST SFRDFALSSDYLRLLLSGLYPAIVSTDAVTPETELNSQGSVLNFEGGDVIIRPAGGSA PAPIVRTTGMRDHGVQPTVTTSKRGTPLRKASSFVLLTTEQQQQSQPPPAQGPSPTLL APVLSPRKRRFPSSHQTNNAILEGVLELVINVLLDQVLQRRDFAGFGLYLRIPPGFQE HQAYFESYILRNTIAHLASTLQLQLKMVCEPRILTNMARFTSHITDAVFEGWFLGGED SLMEIAGMLLEYLQRDEITRLKSVRLCSQAVTIIRRCFLKVILLKLSAIDDPQTTDDE ADEHMHKILIWQPALLGCLTGDDDQMRLIWYQLYAKLVDDRESIRLSAASILRIMMVQ KPDEASTLLGQFMTPEQQSLTKDFEKLTEVDNEAFVAWVDQHRPSLDSLFFGGMSKSW EDWVSVENQKSTDSVKARLAKRKERLKAWHDEGLRNESTILRHEMGNGSWMKSIFNTE HIKHQRLMQDQQDDMAYTASAYTKMERDLTRPGGVFSESTSANSKWRLDPTEGRNRMR LRLLPDFSGKCEEYQPKRRATDQLPSSALRRNSVANSQSLPHRAKSTKHPTPSVPSLV TAMAQGEPGTDQVPTGADAMNDESETGVAPEDDFELVEDPNDPEGDDGFEDRNRKVMR RLQQGDKVQAVYNISRIIGLEACDGILILGKDALYIMDNVFQCTTGEIVNAWQAPPDE RDPFSQIIMGNKASDTKPANFRAEQESRSWRWQDVISVSKRRFLFRDVAIELFFTDGR SYLLTTINPSTRDDLFARLFNKAPHMGKPNILPNPEDAWRLDSLKAVDESPQGFGSKF GNLFNSSPLNPVMKKWQRGEISNFHYLMMVNTMAGRTFNDLTQYPVFPWVLADYTSDE IDLTDPLTFRDLSRPMGAQTGSRIPGFHENYRTLLEMGDTPYHYGTHYSSAMIVASYL IRLPPFVQSYILLQGGTFDHADRLFHSIPGAWKSASSNNKTDVRELTPEFFCLPEFLK NINTYNFGVKESTGERVDDVVLPPWAKGDPRLFIQKNREALECPYVSQNLHHWIDLIF GYKQQGDAAVDNMNVFHPLSYRGTKDLDTIEDPQERAIAVETIHNFGQTPHQVFTKPH PARDIPKGVSRRLDVSVPALSRSAYPLFECHERVSSLLYAPKLDRLLCTAPFRLNFAP HDKYFEWGYADGSVRFFFTENRKPAGLFENIHNGQISCAMFADSRTLILAGEDCVISV FTVTTSPGKPVELVARSPLHGHKTPITTLAASKSFSVLVSVSEGQTFVWDLNRLTLMR KLSFYRAVECAKINDVSGEVMLCSGPNVVLYTLNGSLILDQKVCTEPDDYVHSCAFYE GAGSEWLEDYLVFTGHKRGRVNVWRKTNKNGKWVLELMRRLEHGDGAMAAGGSAGNGG LLVKAPEGKEDAAITCIAPMPTCLYTGDEDGKVYEWNSTQHRDR
SAPIO_CDS9956	MESPIQRAAAVGFLAFGLNVIYNIFFHPLRHFPGPLSHRATLFP WGFHLVRGNLPLHALELHQKYGPVVRMRPNELAFADAQAWQDIYGHKVLGKRAGLAPG IKEMPKVKKFYKAYKGLPDTIITADHARHARFRRILAPGFSDKSMRAQEPLISKYVDL LIKRLHQYSSKGPQDMTNWYNWTTFDVIGDLAFGDSFRCLEKAESHPFILYIFGSMRQ SASLMFLRFLGLQSLATALLFAFLRKGLILRSFANVTLKKRIESYESRPDLIEPLLAK KDEEKFTFGTLTGMASTLLLAGSETTATTLTGVTWLLLDNPVAYERLKTEVRSAFQSE DEINFASVQNLSYMLACLNEALRLFPPVAVGLPRSTPKGGATIAGNFVPEGTIVSVYQ WAINRLASNWNAPGEFIPERFLGDERFQTDNPAFLHAGDWLSQQKTYILWIRQPLRVN LRPVQR
SAPIO_CDS9957	MKSVFTFLVLLLVAVVQAVSSTGSRLLVILNDVDEKNDYSKFFG DLTKRGFEITYETPKSESLSLIHLGERTYDHVLVLPTKVKALGPNLTPNILVDFMNAH GNILVALSSETTISSSITALLAELDIAVPAERTGLVVDHFNYDAASASEKHDVLLLAP PAPLRPGVQTYFTPAETISELIAFPRGVGHTLGQGPLLNPVLRAPSTAYSYDPKEQAD GVDPQDLFAVGAQLGLVSTMQSRNSARFAIVGSAEMLTDKWFDAKVKKIGDKEVATWN REFAKRVSGWTFQEIGVLRVNSIEHRLNEAGATETNPKGYRIKNDVTYTISLSEYSWN KWTPYLVPDGDELQLEFTMLSPFHRLNLSRAKITGQACTYSVAFKLPDQHGIFNFMVN YKRPFLTNIEEKNTVPVRHMAHNEWVRPFDIPAAWPWIGGIGATVVGFVAFSALFLYS KPTDLKGETKKKQ
SAPIO_CDS9958	MARNSEKAQSMLFRFREAQAADLGLFDAARTRRPKLITEVDSIP ACEKWRGQVLKEISRKVTKIQDPALSDYQIRDLNDEINKLMREKHMWEIQIRNLGGPN YMRAAAKVYDDQGREIPGRGKGYKYFGRARDLPGVKELFEAARAKKAEEKPLEARADI RRQVDAAYYGWSPDEEDDELLAYEAAREKEAFENMADTGNPEPPPGWEPLPGDSGDGK EWQLPTLEEVQEELIDRRRRKLLEQL
SAPIO_CDS9959	MTFRSTLAVLAAFAMPILAQNGQFPDCASGPLASNKVCDTSLDP WTRAMALVEAMTLQEKIANSEAESPGIQRLGLPKYTWWNEALHGVARSRGVSFKQNGE FSYATSFPQPITMGAAFDMDLVEGFANVTSTEARAFNNAGQAGLTYWTPNINPYRDPR WGRGMEVPSEDAFFMSTYVKHLIPTMQGGQHADPYFKLVATCKHYAGYDIENWQGNKR YGFDAKITQQDLRDYHLLPFQTCARDVNAQSIMCAYNAVNGVPTCADDWLMNKLLRTH WNWTEEQQYVTSDCDSLNNVFQDHRYKGMNAAQVAAETLKAGCDLDCGDFWPRNLGQA YNQGLFDIKTLDDSIARRFAAMVRLGYFDPKADVPYRQIGWSSVATPEARALALRAAT EGLVLLKNNGALPLEKPGGAIKAVAVVGPLAAATTQMQGNYFGIPQKIVSPQDGFKAA GYTVSTGRGCDVGCSGTGQFNEALNAARQADAVIYVGGIDVSVEAEDKDRNDITWPGQ QLALIKQLGAAATDKPFIVVHLGTMLDSTAIVQDDNVDALIWGGYPGQDGGTAIANIV SGVSAPAGRLPVTQYPGSYTRDVKMTDMNLRPGQGNPGRTYKWYTGTPVFEFGHGLHY TNFSLTFDPDHIGDLPATFNTAELVSQESEELYRDLYPFTSIPVKVTNDGEVASDFVV LAFLKGEYGPEPYPKKRLVAFTRFHDVAPGGTATASLDINVGTIARGDEKGDLVLFPG KYSIVLDIDDQDVWEFTIEGENELLDSWPAR
SAPIO_CDS9961	MVSFFGIKFKGEKKKKKPPPERPPLLKSPWRQEEKIENPELFAP SLNHPRIFRGGERPESRPNSVIDRMSRPGTAMSMRSAVGMVRPPFASGLYANGGASSM IDLTAPPSNPPSISNFRMNSSVDLRHHFGHTGSISSIDSRPGTSSGMERPTLELPFGK DLAAPRSRGRSPLGSSATAEDFAASRHEPDSPPRLNGPPVFVHEPSDESERDARRGIP SPPHSILDTDKPSAIELSAPDTKRSASSLRHVSNAGDEDAGRHRLSSGTTSPTPSTSL SASGSQRPAPLPSPAPSDATKRESDESEAPRWGIPVIQTVQSRRDTLKVEMPRQPSIM MNIEDLGKSPFADFKFTDDSDEDEKKTSPPPTTRPHVLPSPTSPREMFRANRPAPLQL NTSPPKPNVVAAPTSAGLGIASPSWPLANDSVLTPDRNNEQGAQTPHQFEAPALIMGS TPPRSPVHAEIRGRQMHPDVLRPGPAMRPPPGPARGPSPQDMRHGPPPGRGPSPPRGR GPHPNHPNNGNPGPRRSPTEGMGPRPRPGPGPGPGPRPNGMAPHPPRGPMGPMGRGGP MRSPPPPGMRPYNGSPGPRGPPPNRAMDPRGPPNDHPHPPRQGQGRPTIRAVQEPVER PRPAELARFQDVELDLSFTFPSPKNFEPEVRSSDFSAPREAPQRSPMAAPSSGGHLPV SPLSDTNWPLSVPMPSPSISLPSFSAPTTPLPLTPTHKPPMSDSESSPHPPPSLRVAT NRQQPSDLPPLEPPPKNPMRTASPSPMGRKPQQPAVGKAPPKNPREQFLGTQSLVRQT GIPDRFGTAFI
SAPIO_CDS9963	MSYYDSNGQWSSGQNNWDHQVPALRTGASGPTPQDEFAFFYQFD EVDRAYENLAKSGKTYGAASRHPRGAVGMGGPRSHGVGDFGENPRGHPPSNLQGFYAT QRHQPSRGSSEAEQMMQAKRRMAAQRERELRNLHTEQQYQRTVLTDVSYGPKSMSEEE TRDLIARQRSALYKEGPFAEKGGYVDENGVVRSGLPTHSGPASLRGASPLTYDLGRNV PAPEGPPSGVDTTQVGQEIGSRPNSTASPQSAAPGSKPGFDSTIGQPTNRTTSSSPGG SPPRQDGSGSKHNQTGVTVAPIGTRPSGTPATTASSKRSTTPLASPGGWGRGGWPQAS GLGGQASVWA
SAPIO_CDS9964	MFSSLATKLALKKVGLSPDTFNFSSPANDPPPKDRKNKDPNSPV DDDDENASAWPAWMTVKNLPLTVQPWLSPPPPPIPLAELPKIGEQAPMDRDRKVAIGG GRCVLIVFLRCVGCAFAQKTFLALRTLANRYPNDLTCIAISHSSAPATQKWMDLMGGA WNVQVVIDEDRSVYAAWGLGLGSVWSVLNPNTQIQAWKEKGWLGNQVAVAIQRKGTAG RGLASYQTGGTGVIDAEGPVTVMGNKWQNSGAWAVNGSGVIVWGRKAATADDLIDLDE GCKALGL
SAPIO_CDS9965	MDSRSTAAESKHSYSPAHWPHTPPVGTTTASTVGSCAQPEISAG PLSAGIEYLPLFLPQQATFFHHQQLLQYNKLVSPGRGGGHPQLPAQAASSPPHIQTAP KPRSRSASKVSNKDHPTSKGNQGGHGNRAAQNTDKGHRAVIANKDAPPKMPPKNPDLS HPLPARPAVAAGHNQNHSSSVPSTPLQHARNFSFESREPSPNAANSHSPRSAYSETTA QVPPLKQLPPRLGGCQYETAQMNTRRRIPYSIGNERLDRISLSTVKSRLTEDEERKLT TDMRELYDRLLPTDAVEKKRRKLVTKLEKIFNDEWPGHDIRVNLFGSSGNLLCSDDSD VDICITTEWKELEGVCMIAELLARRGMEKVVCIAPAKVPIVKMWDPELGLACDMNVNN TLALENTRMVRTYVEIDERVRPLAMIVKYWTRRRVVNDAVIAFLQLRDPPVLPALHQR PHQRLRNKDGVESVFADDLDQLRGFGKKNKSSLGDLLFEFFRFYAHEFDYDRHSLSVR LGHLLTKKEKNWHHALNNRLCIEEPFNTTRNLGNTADEYSFKGLHLELRRAFELISQA KLTECCDQYVFPKEEERVFTQTRKPQPILMRSSSQTHSGRGGRGFGRGGRHQNNHYRG NNANRRASSSVTYDGNAPIYSPHMNGQDLSWYGGGAYVPQDPLQAALAMQLQQRPDLH GLLYQWQVHAYQQSQQQTSQQQQSSQGQQQRVPGSSGQTDRSRTNSFDNPPLTAPLRP ELFGLYGVSFQPGQPFYAPSHVPQVYGTYPSTPAATTGAPTEFRRSLHRSSAAAEAGT SGAGSSLRSQSQPASRSVPVAQPGSSSFSSTGPTPPNGLSIFPPRNNANGIPIPSFIS DEAEFDEGPSPSTNAAGDSPPSEEGGHMGPFLSETSSPSRRVTNAPNGIAFGDLHQPA TTTTATSRRHMSTELPQSVLDRRMRRVSRSPSPAAGHARALSVGTSSPSSLGNKSTPR PLVVNGSLLNPTAINSHRQTAAPSPSETYSSGDSVSTRPSTTYESGTESELTPVLSSA TTEQSSLSLPEQPPVVVNGTNTGATPVTGTAPATASPSMPSMGQLHMMDEPSFKERLA MMNPGPVPAYGVPSYFYQPELSMNQRYTSSTRQRVLPRQQQSGIIAPLDLAIDYKVGG SSGQLDFQHLSPVYENRTPPAAPTRRPDHSTKGDRQGHAAGSRGQGPEGAGRAGLSKP SDAGDTAALHDSKQQSAGVGRQSQYKSNRASQPGHQSSKSQTANRFPGEGQEAGGPRE SEGRTHRNDVVNGDGGWQRAGKYRKKGGDHKNQGSGMTQSEAPPKHSSERKGG
SAPIO_CDS9966	MSLATTTLTQRRTSEPPPGRVLPSQDTNGAGTEQQKTVWKLQGG SIIVSSTPDENRRRLRALMSRVKWATKESETLAEAFNAFEGVVVDCRVLCEAVVDFEV KKRGGSRSASGAAVVAMEGGREVQFSDWVAKVLERSPGLMEVRDWRDTLGRLIARYRE ALDEEVPEVDVSDLESEASFASSNSVPPNPSPQECLKTEYRAIQEAWSYIHKLLTFRD EESPNGVTPQGLSAQSTGKRSDENSEEASSKPLAGLPGAAPDRVIVLRSVERPASQAT VKSEKPVTQRYDISTRGDTILEFPIAPDDASNPTSSSNTHRRKASFRVSSHILSEVSP VFAQLFGKVPLGGRKRDEDFQGPYPRVKGHVNKDGQQISVYRMPPINAVEVRPLEILM HAAHMHNDQVPRTIDFQRFVTIADLCFRLQCTSPLEVVVEMRWLPDWVHMGGEAMPDG LLLISYVFGSRGLFTRMTKSAILNIVNEEELEGKHWPKELKEKIWAVRNAKMEQVYAC CVSTVQEYLRPPTQPMISETPSNDPSRRASIFDVPVSSTTTSSPGSWGSSSSQGGPGV PQFRPFRPFLSSSPRCPKGSHECDGANLGYLMIVMSELQLLPLIMNPTALAHMSDPRS KQGPMLPRRSLAELVRVMQNIPSPPNPVHKGVCDPIPAFRAAVIDIYNSLSGLTLFDV TGKHGYVLSREYATEPQKGYLPVSVAEAPQPSRAGSESRNVPERVVLGILRHLSVVRD ISALAMANKTFYATYKKHESILLNQLDNKKVENKKADNRNVTLGPSLPLEDILTEEEA RRIIWPDSPLPEPEPDVELSDIKGKNPIVVMAPSNGRAPLPEGSREKFRAEDALFTEE KMLCVMDTKQLTIEHDNYVGMHKEQKMDEQVQVWI
SAPIO_CDS9967	MPKNKGKGGKNRRRGKNEADNEKRELVFKEDGQEYAQVVKMLGN GRLEAQCMDGVRRLGNIRGKLRKKVWINQGDIILLSLRDYQDNKGDVILKYTADEARS LKAYGELPENAKINETDTYGGEGEGDCNFEFDEDRDSETESDDNVAAKDIAIDDI
SAPIO_CDS9968	MVSILNEDDKGTVKRVVPKQSNKIQAVGVARLYVAYPKRSKWTY TGLQGAIVLANDLVGNTYWLKMVDISPANRGVIWDQEIFDTWTYNQDRTFFHTFELED CLAGLSFVDEKEAALFLKKMNNREKHASRATKATPFGGNGQPTHKHGFLGLGGIFGGH RHSSAPTPPDSPGGNLSASHSHRSRLSSGSTTDVSKVSEFALLDAFDPRWRENFGHDL RSKGLTDDFIKDNQEFIVDFLREEQQKQRQKRNTPPAAPNGHGRAPPPPPPPAAPESP ASRAELPASTPRRGLPPAPPPSRRPGGRSESAARPPSPPSEPPAPPRPKYNAPPPLPD AGKFAHSEYHRPVPAAPGPAPPPPPRPPKTALNAPSSAPPLPGNRPAPPTPSRGPVPP PPPSREPVHHVPPPISSAPPPLPPKVPATSGAAVHAPPPPSPRPVPMPPTAPPLPSAS APPAPPLPPPLPSSSAPPPPPPAPPLPPPSNIPVPPPLPSSSAPPAPPLPPPSGIPAP PPPPPLPATSGPPAPPPPPMPNRDSGYSSGVPSMAPDASRAGLLDSIQKAGGIHSLKK VDRSQIRDRSAATVGGGGGGDTGPHGSGLPPAGVGHAGANTSMADALAAALQKRKEKV SKSDDEDDDDDW
SAPIO_CDS9969	MPKSKPHHETAPNENPYDVLGAGNEKEEEGQKSQHHPSRKGEMR QDVSSDFSVYHATPGPAIPKDFDVKQEGTKEERRAKAAEWNK
SAPIO_CDS9972	MDSPSPTLLNPNDDNLDYLVDVLCARNVTTETELDNALIREALD LGIDASQPAIGADKRISSSLDSLINSFSDAQARSVSRSTTTSRCPSTPNAPSMTEIPI PLNPRRWSESLSFELYDKYLSQLGPNITQPKYTRTEVSESTDAARQRRLHALNKKKTS TFGIGFMKPRMPWKRRQSNTTSRATTKCSTKACSMYAVLKIGEKSGWRRCYRCRTLVE LTQGCTHMTCRCKAQFCYICGAVWDPTVGCPNFCNGEEELERRQAEEAARLAEREAEK AAREAAAAAEAIEQRDARERTANSLEFSNLADEQSAEFDRFQAFIKKKRDLMWARQLQ KKLALSDKYSDQMEKMKDRHAKTVTHLEDRQLAAEMELEETLEQSERSIRIRLKYMEA YCEGLGRSPDADLPPRVVTERDLRELGQQYNLRNNIERLHKAKINVMRDRQLKAMEEL VERQKEEWQKLLSKRAEEIENLAAEFALEEDMLAREFCQRQTKLQWRWLLQVEILRRK LEQERKVKFASIPIPRWPPECSVMEDRG
SAPIO_CDS9973	MSAVNRSLRAVSRKISVPRRSTSSLTCATAVGYRRCITAAPRVG AASFSTSARRQFAFTSTMASEKNDYDPEITDIAGYVHNYKIDSELAFDTARWVFIDTL GCGLEALNFPECTKLLGPTVPGTVVPSGSRVPGTPFELDPVNGAFNIGAMIRWLDYND CWLAAEWGHPSDNLGAILAVADWVTRTNKAGGNIAGGKTFTVRDVLEGMIKAHEIQGC LALLNSFNRVGLDHVVLVKVASTAVVSKMLGLSESQTADAITQAWVDGQSLRTYRHSP NTMSRKSWAAGDACQRAVNLALKVLKGEKGIPTVLSAPTWGFYDVLFKGNKFEFQRPY GSYVMENVLFKVSYPAEFHSQTAVEASQKIHAILKAQGKSAADIKAITCRTHEACIRI IDKQFKPMDNFADRDHCIQYMCSVMLTFGRLEATDYVDGSEAATSPLVESLRKRITCV EDPQFTKDYHNPELRTISNALTVELNDGTILDEVVVEAPLGHRLRREEAKPEILAKYK RHLAPHYSADKVKQLVDLGVDGKTLDAMSVDEYVDLYVVKDKKF
SAPIO_CDS9974	MVISMDRQAIIETNRSIRNIKNELETLVEKGLIEDDAYETIMNL LPAESPLHGGGAAAARNRTATPVTSEKSTPTPNPEANVNPPPAYHQTGPPSLPIRNQP PQPPAPVKPVLAHARALYSYNGQDARDVSFEKDDRIAIHEYMNADWWMGRNLRTGQEG IFPKNYVLIEEPEKTGYPGPAAPVNPYNAQVPPMAVAEGSHEGEPGKEGGSKFEQHGK KFGKKLGNAAIFGAGATIGSNIVNSIF
SAPIO_CDS9975	MLTRSASRKKKFDESGQEESLPVNGVDSRPRKRARRKDQSQLVQ GGWDVLPHNLGRIDVPVSEGGDTTGLVENESAQKTAVIDALTLPSTPDDANRPKVSGQ TPKAAACQQSTLPAQLANVDEISGLLTPPELPDGGDPVAPAPKRIRRKTKDNPHGLMF GRTPFPNWQGPSPETCEEVHRLLTEVHGEVSAPPTIPLPSTTVAGCGEVPSVLDALLR TVMSAATTMRAANEVFDALVKKYGILKTGVGEGSVDWNKVRLSSEEELALTIKRGGLA NVKAADMKKILDMVWEKHGKLSLDHLHGTDAWDATTCVLEHLLERNKPKRKVKAAQKE IDHAQERQERDQPDHSETGRPPVGLKTRRLLRLKV
SAPIO_CDS9976	MAPGPIGEVVAEWHAKFRAIPENVHLLCPKQSNDDVEDYTHVLE TGDEEKKKRISEAKERVNIAFWTSLAFAMKDKPDLVTEVSNRIAESLRACDRCVMNWH MYRKAWLNSFAEEYNEAAVKEAERLFRMFDYSRLDKSLKWATEIIEHVERENQSFRVS SLGRDGPAFLLTVYEALCDLSYLALPDKRRSFLRVFYKINSKKPLRLGAGSVLPTMTE FLFREDPLAIKFAQTSWRSMDAGSMTPEQFDWAVNEPLADAIVRVSGLDALDPNSYPR IQQFWEGFSLILPTLSEGLVTHKLRAMEVKPTPYDLLFQHMICNSEGILVNIIKSFSA LLEKAPSAFWDAISDPKPFAVAEQIFASPVFRSLLSQSMEIGMEEADGKKPPFTVSWM YSWIKSVKKNERSDACQSLLHMLFNRFIRDLSISPEGHAACARAGFDVLNDVLESFLT VANARGQFPNTLLKAFVSPNNLLHLNAAINMAHEFKGHIVEWAEKRSQPFDANRAAMK VIRTALELDSRATAEECKSIHLGKPYQTVVTRQSASLWDGFLDLLYPGNLEQARHVLQ GMFPLLPVESFRPMRKATEPMDKSKLQFNQTFDRLSAVVGTVLQRLCGFDQTELDDLS RDGMRVILAFSFHGADVIRESAIELLKTMTGKQSRSDAIARLVHEQPGGSIRIFNFAI NKTATPPLDEDREVVDAGGGTMPPYGPVPHILSVAQDILSALCDPATGLLRTASLTHP DLDTVFSWWTSQWYWIETVFKYTEKWSYYIEISVMTNLCRQMIELAESLVAEDALLAS ALKEDFLKSNSNTPQDEAKVQAVMMRKVLNACSKYLYGLTKMLRLRDTYLVSITTKIV RKLLERLKEFDLEIGANSRSYIYRACKVNSEGKYEIGTNLSRQQKAELLMALDGDDAE VEIISERKVPEPQKAKKQSKLDLWTKAGAGERTNRDDVLALSSTIDKHRSALDLIAQR QAVKPKTAVTKPMKPVAQPSVSDAARIKALKESRQKAKEDKKKRDLEYIEKMKRMREE AAPAIRSEIMVDSSEEEEEDESGDEDLDAFISKQRADQQAQNDAERRRARALLQTHRQ PVKKRKQNLTEKDIRARIDPNMGPLHHAILRWDIFHQGVDPPDGLPVSQVSNTYKDEV AYKNTFSPLLLHEAWRSFVTAMAESTAKPFGMKIASRMNFDGFIQVTSSMPLSEKTRE RVVGEGDLVVISKSENPLQDREAPHCLSRVHKIQYKQGSLEIEYRVSPNSRAILPELM PNKVLYVAKITNMTTIEREYATLQGLQHYDLLEEVLEAKPSPILRYSQDAIQKFIDNY NLNPAQATAVIGAKDNDGFTLIQGPPGTGKTKTIVAMVGCLLTGTLNTPAAVASKPAN GSIKKLLVCAPSNAAVDELVLRMKNGVKTMGGSERQINVVRLGRSDAINAAVKDVTLE ELVNRRLEAENVMDNIKADREKIHTEAAEIKKNVDELRIKVVMARDAGDRDESAKYQR ELDSWRRRQTQVGAKIDELKNSGRTVSREIDIKRMQFQREILGSAHVLCATLSGSGHE IFKSLTDVDFETVIIDEAAQCVELSALIPLKYGCVKCILVGDPKQLPPTVLSQSAARY GYDQSLFVRMQRCHPTNVHLLDMQYRMHPLISQFPSREFYEGRLIDGADMAALRQQPW HASTVFSPYRFFDVKGVQQKGYKGQSLVNVQELNVAMQLYERLRTDYRECDFKRKIGI ITPYKAQLYELRNRFSARYGNDITETIEFNTTDAFQGRECEIIIFSCVRASPTGGIGF MTDIRRMNVGLTRAKSSLWILGDSRALVQGEFWAKLIDDAKNRQLYTEGDVLGLFKRP TEKRALPAIQPSAPAQPRAPPVNHQTPDAVMTDAPRSGISSSAAQSPTSPHRVTGLEN QPISKHVLNRRIHSIDGRGQATPEVPSIVDRPVIHSSIYSPQSAQGKKRPHNGQDDAS GPPTKKATGPNPGNNNSAQSRKAGPKILQGKLPHMRPPPAKSPRMPPKAIDPAAMDAL GLRPPQRPPPPQQSQQPPRPQQHQQHQGPPLARPDGGELPRPPPSRPQNMPPRPPKKG PNVFINKRNRR
SAPIO_CDS9977	MVLAVDLLNPSPASEARKHKLKTLVPGPRSFFMDVKCPGCFTIT TVFSHAQTVVICQGCTTVLCQPTGGKARLTEGCSFRRK
SAPIO_CDS9980	MATKRALDDEDDAEHQPPPPLMKRARRDQPSSKQRHHQNAHMDP TWGQKFFFAHDLSSSVPQDDELEFEDDADAMAYLRSVRQQAGSIPHLLVAPKIGPQLP PSYQRALAHDEDGNEEGEIDESADRAVYGNGVGDFRGYYQDGAYTAAPSGPEEYLSEG EYASDEEGREEQDASAAAAEAYFSSIRSRFLSLRSHLQTSPPKHIVAALPESHSPYVP PFGPRSSTFAQWNKRIRNTDPLPAQVASMDKDAVIRVLRVVMGGRFLRVGREVSERTS RWLWALLARLPHVGELSHTEVAWVRDLGRRAVLLGRSIAEMAALREELAGGGGDLGLN DAVDESEEDEDVVQEFEGEDNYSEDESKTEGTEAPVKETPSGAAAEPSEMASPESEPK LATEKAGAEEEKEAEKEEGEADEQEEGEASEGDDDDAPMDLDDSGAEEDDLEAAKSRL LARLDRSGGDSEPGSPNTPDEEDGEHVQEDSDEALRARMNMRATINMILTVAGEFYGQ RDLLEFRDPFAGM
SAPIO_CDS9981	MVSASFKPHVPFLFTQLLLSFSTVIHGRKNCSPQSCKVIPGDAN WPSQASWARFNESLGGGLLQPAPPGAVCHQGQRTYDPDQCPVVVDGWSSYEFHAEHPT SSQWNQFSNDSCLPDPSYPCDASGYPTFVVNATETKHVQAAVNFARKNNVRLIVKATG HDFLGRSIAPNSLSIWTHNMKGLEYHDGSFQPRGCDEAIKSNAVTARAGTQMIDVQTF LSSRNQVVVGGNSKSVGVGGYLTGGGHSILGPQHGLAVDHVLEVELVTPDGEVVVANE CQNEDLFWAARGGGGSTFGVVTSVTLETIPSPPVVHLTLALFALPDTPQLAEYQAFIL SKFPSWAEAGVGAYIFIANNIPNTYFPGPPDFLSGLMGLALLPGAVNASEIYAILYPA IEEAKIRFPNTNVTVVAQPETFDSFQGWWEKYFDSGDAGYSSYLSSRLFDNETLSDVD SVSATLKEYLQPSGMVLTHLVAGKGVREAKPRGGGTSVNPAWRKSYVHLVRGQTFESH NETARIEAKEAVERSSKILAALSPNTGAYLNEASIFEEDWQHTFWGDNYERLLKIKRR VDPKNVFVCHPCVGSEGWEEVNGRLCKV
SAPIO_CDS9983	MSLASPTSKAPMDWTPPSIESLDFASDCSLVADFYSTWFETLAE PDVTLVKPPHGDVNITHWIPRTIGADIVEKYFRAALPPNTQNVPSYGQILEWELQLRL NYSQEVQAWLDIEDFSKRHEARLNVTYFNVVVDIPGRLCSEQVCSAGGFEWERLSDLN GPGIYAAYIIQGVVASMFVLFALFETGQVYTGRIPKSQPTLSKPSLREHLYASVKQSF DGFSDSVGVFTLALPFSILAEFFSREDGVQYKDFRVAGWVLAYIMSLAVWLYRIGRLF RRVDTYRLVGSSDLSTDKKVKKEFTLLLLAVSSFPGLFFLFFLVVISLVFRFEDFWDD ICGFSYKSDEIWLAVVAFVLILWCVLREFILAIGAELTKRRIRQRQTVAQAPQPTIAR VFSVLDKRVRADPKYQTWALVLGLVDAIVVGVWTWIIFYRYNHYRRQLLDYANSGNKN TWSLGQVFALASVIPIGIGFLRGMCPLIWYYKDRIILSARRWLDDTIPKRFVILVFAP WVF
SAPIO_CDS9985	MLSESFFASTGGPPLSSRTEIARDVGIYSYQLHPTLSAKSTFKK SSTSPNCLAVSDSHIFAAQKDKAHVHVYSRIRGNQEALIPFQEKITSIALIQDVLLLG TAQGRLILWEICTGRQAATPACHVQAITCLAATSYHVLTGSDDSNVHVWSLPHLLDLD VRADYEPDRSFSNHRAAVTSVIIFKSTNPETSMCISASKDKTCIIWNYQTGEALRTLL FPSIPLCVCLDPCARAIFVASEDGSVFAVELFGDKALLGPRSEGLSSTAVQVTTPLGV AEADDGPASCIASSFDGTTLLTGHSKGKVLQWTLASDGHPTKVADLNSPVTNLVFSQP MAEKKPIKIHTVVKPTRAERQYTFTAQLETSLADETKFSRVLNSKGFPPDVLEAALLG LEQSNSGTSSGQGADEANEELWQIINEQRALQQLTFQKYVEAKTPH
SAPIO_CDS9986	MTTSALAVMASPVSPRSVIEHVQHEKRSTRSNWSKYIRLPRSYS LPVRIGLTQRNLDKANEFVSEIADPRSPSYGRHWSREKIIDTFAPEETTIDAVLAWLE DEGIDRNRTTVSRARNWISFTATRDEVEALLEAEYHIFTHHESGHAHVACDGYSVPKH LAQHIDIITPTVHFDQRLGDSHDGVKIPLSEEKLERLRKRVPISTDLLHPAEGIRPGI TAILGDPASGSLPKPGKIVKHPSVLASLMDCDTMITPACIRALYGMPPGTLQWPANGL GIVEYTPQSFLQEDLNLFFEQYEPRLVGKSPIVHLIADAVLQTEKQSYFYNGESSLDL EFAMSLIYPQRVTLYQVGDTVQGGSFNNFLDAIDGSYCNFQGGGSKDPNIDGQYPQER VCGTAPLVNVISTSYAYNEGDLSVRYEERQCAEYMKLALQGVSMVFASGDFGVAGNKN QCFDPLTGAYQHGSLGGIFNPSFPSTCPWVTSVGATEVMEGSTVRSGEVACEKVIRSG GGFSNVFPMPEYQRKAVEEYHREAGSLYGSDRYNNSRTTRGYPDVSANGANFVTGVNG NFTLSYGTSASAPVFAAMLTLINEKRLAAGKSTVGFVNPVLYANPGVFNDIRFGRNPG CSTDGFSAREGWDPVTGLGTPRYRDLESLLMGLP
SAPIO_CDS9987	MSVASALKGDTAQQARSLYRQLLRQGQQFSAYNFREYAKRRTRD AFRENMTVKDPEQITQLLSKGRQELEVVKRQTVISQFYKFDPLVLENSAGKVAKRAEA 
SAPIO_CDS9988	MAPGTRRANRAGYAEHDDFEGLPVRQWREEWLRIAPPPPPETTK ANDIWAIELPHGLPKDAHLLPPHSQELLRAARSGRLYKRPLPAEEEEPEADGIPAEKL EKKEEDDPSTTGFTVKSWKPLPRNLEGPDISHLAKRRKGTITIASKTVVAPASGNTIT KATVRRVDAAGNPYTQEVTLVEGQKIEGEIIATSVVAAPVTISGGEAPQPPAPARRRP PPPKRKPKGPGRGRKRKLPLPPSTRSTSAATAATVGTSTNGVAGTGEASVKQEGDGED AAARDHKTNSAAPSDDEDEGDEGEEGDEGEEGEENENDRDSGVVESTEKQAQDHEMTD APTIAKEPKPSETTEKPSEVPSPGPVNLVPPPLPIPPLGSPRIEGSPLKNVMIMSPTE PSSRSPVEAAPPKAPETGASAQIDTVMADEAPLASAPPEVPPQSGEAEEEITETEPNV PREASPTKPQEAIESADTVMSDTADVAQAPVEASAPASAPSASPAKEASPAVKAEKSA SPTAAAVAEAPVEPAPVEAEPASSNEPTAEAPAAEQPREPESAAKDAESPGLLDSLVE NLDKRAEEIKTQSAAPTQEPAPETAADEPKANEPPAVEVKPEELKPEETKADEPKTEV AAPSEEAKPEDAPKAEEKTEETKTEGDEKSAAEEAKSEEAKKEEGDAPEPAS
SAPIO_CDS9989	MPSLFRRRHEPEDIKPLPAPSEGVEVSGLEHIKSLEQMEKKAYN LDPNMPLDVLNELDAAIATGNVEKGAEIEQALMDDNSPYPEVRAVVRNFDVDMPANTI RAWVIGMFLCTVGSAVNMLFSLRNPSVTITTYVVQLVAYPLGLGWDLIMPDREWNLWG LKFNLKPGKFNYKEHVVIVVMSNAAYGGGVLYATDVLLAQQLFYGQHFGWAFQLLFGI TTLCTGYGLAGLARRFLVWPASMIWPSDLVNCALFYTLHDHSPSDPSKTNGWKIGRYR LFLIAGAGAFVWYWFPGWIFQGLSYFLWICWIAPNSVIVNKLFGGLSGYGLFPLTFDW TVISGFLTSPLIPPFYAIANVVASVVIFFVIVSMGIHFSGTWYADYFPVQSSISYDNT GAEYNVSRILNDKFQFDEEAYKAYSPLFLSTQFALAYGLSFAAVTAVVVHVALYHGKE MWRQFKLARHQEDDVHMRLMKKYRDAEDWWYFALFVVMVSISFGVVAGWPTGFPAWAF VICMLLPIVWLLPIGIIQAVTNIQLGLNVLTEFIIGYMVPGRPMAMMMFKNYGYLSIG QALYFAQDLKLGHYMKVPPRVMFSSQLIASIWSAIVQIIVMNWALGHIPNVCDLQQEN HYTCPGGRVFFTASIIWGAIGPARIFSGKAIYHNLQWFWLVGALAPVLTWILARRWPR SIWRYVSTPLIFGGAGLLPPASVYIYLCWAVVGTIFNYFIKRRYTGWWLQYNYILSAA LDCGLILSTLVIFFTLYLTSAQAPQWWGNDVAVNSMDYKGTAHKSHVPPGTKIGPTEW P
SAPIO_CDS9991	MLSRHVNPDDIVSHLREVTPGLAHPASRPSRHTTPYSSKYTAQD PIPKFRIPEDGASADTVYQMIHDEMNLDGKPDLNLASFVGTWMEPNATALMNENMNKN LADADEYPALMRIHERCISILANLWGVREGEKAVGSATTGSSEAIHLGGLAMKRRWQE RRRKEGKDTSRPNILMGANAQVALEKFARYFEVEPRILPVSEGSHHRLDPELVKKNID ENTIGVFVILGSTFTGHYEPVEEISKILDQYQEETGIDIPIHVDGASGAFIAPFTYAG AGGPKWNFELPRVVSINTSGHKFGLVYVGLGWIIWRDEKYLPDSLVFSLDYLGGTEKS FTLNFSRPGAQVVLQYYNLIHLGFAGYREVMENCLRNARLLSRALEETGWYTVVSDIH RPVENSREKVAASLENREAYALKTQARRTSLSGMFDDITSADYVAGLPVVAFRFSDRF QKQFPYVKQESVSLMMRARQWIIPNYCLPSNESNVQILRIVVRENMSLDLLEKLVEDI CMVTEALMESRDRDRDMEGHIGVDPCLPVKISYQHLVSRRGVETVELGKPTRLGAFGG SQGSSQSSGRNSNQRNNNSPSVDVGGFAGSTSPSSFSSSSSSTSTGQSTFGSSSSTSS SPTSSTSAASSQQQNNAGKNGWQRLPVGAQIFIILGIVLVAMFIIGLFSVVLRKRQEM RRFNRDVSFKKVLWESFKSVTGIACLMRRFGKGSKDKSNGGRAFSYDKVNRQSYEESI ATRDSFIEYGGERWYDPNAPPRPPRRERHKRPSRYDPDFPGHSRTVSSVSAVSERIVP PWEQPLPHRAPWELSATSPSVSPASSISHPHELEVSHLSELAASPVTKPPTVAQSSNG GSASTTDEAIAKLVKATVASPRREGLGSNPIAPKFYARLRVEHFGGWLPALSLSPTSS PPFARGDPTASFPSSYFPFPSSIEIAFKASTMATPADPQWRRKVLKVIVISLLLDLIS FTFILPLFPKLLEYYRDREAATPLTDGASASLLQTVLDYLNRYKAAFARKIDSRYDIV LLGGAMGSLFSLLQAIASPLIGKLSDKYGRRAALLASMCGNILSVLLWVVAIDFRTFV ASRVVGGLSEGNVQLATAMATDISDEKSRGSTMALIGACFSVAFTFGPMLGAYLSSIE TVARNPFATAAGVSLFLIVAETLYLYLYLPETLPALTGQDEKKPTANGKAKPKTQTKR TNSRVLLYAIHFVFLLFFSGMESSLSFMTYDLFGFTSSKNGRLLGFVGLVASILQGGV TRRLPPLTAVRVGVLACLAAFGLLARLQTVSMLYVAATCLAMTSATVVTGLNALSSFE AGEGERGGKLGMMRSWGQLGRGLGPVLFTSVYWWAGREVAYQLGATGIAVVLVAVFAG LKTPPGTKVNQGKTASAKEL
SAPIO_CDS9993	MNSHVEQRRKPAPLDSKQVTQNIMARESFSLDDPVPKTPIVNNH GFFELPIQDQRNFGLLVLLYFLQGIPMGLAMGSVPFLLKNHMSYSEIGVFTLASYPYS LKLFWSPIVDAVWSPRLGRRKSWILPIQILSGFGMLFLGSRVEGLMAMTGKEGGPSVW GFTGWWFFLVFMCATQDIAVDGWALTLLTPGNVSYASTAQTVGLTAGNFLSYTVFLAF NSADFANKYFRTEPIDEGLMTLGGYLTFWGWAYIAVTIGLALLKREEKTQNEDGIWDV YKIMWGVLKLKNIQTIIIVHLIAKIGFQANDAVTNLKLLDKGFGKDNMALTVLIDFPF EIGLGYYAGKWSQKYTPMRLWCWAFMGRLVAAIFAQFTVAIFPASGVETWYLLTVIVE HVFSTFMNTVMFVAVSAFHARISDPAIGGTYMTLLATVSNLGGTFPRFFILRLVDTFT KATCHPSDPTQLTDLKDSSLLITEPFSCALQAEKERCIAGGGTCEVLRDGYYFVNVLC VLFGLLTFVMYIRPRVMFLQSLPMKAWRLANDK
SAPIO_CDS9994	MTSTEQNRVARINELDKQIEEAEARVESLQYLLKVQLANALSIK YSYDRANSQANAQQQLQKLCQIHEARDQQCLYRICAGVTAFKVNDPDPNAVDGSKVLG LRFEVMSKAQFIHPYFVMLNRPWLPDSDFLKVHRHTVPPYIPLPGLAQRHLPPPPKKN EKTGQQERPVKQDLAHFARCLRKEIVRYHNRVGAIADLRRDIGITGNEETDDEEKWLI DVSAVDPEAKQIKLEWANGRTGRAVMDPDGVVSQLVVFGPEGRDRESMRALWTENLRI EDLAGKLTQLRQKEWRRELELEQEELENTALHDTTT
SAPIO_CDS9997	MTAIDNVSEKGVQPGLPQQGQSGPAARPEAEKSEEKKGSAPLDQ AQQPASSGETDTELGSVENGEDDEDEEYPEGGLAAWLVVLGCWLALLSSLGIMNTLAT FQAYVSTHQLAEHSSGQIGWIFSLYTFLAFFCGIYIGPIFDKHGPRWLILGGTVTQLA SLLGLSFSTKYWHFILSFGVLAGFSSSLIFTPSIAAVGHFFKRRRAFATGIASTGGSI GGIIFPLMLNSLFERVGWGWAVRILAFLSFTLCAASNFLIKSRLPPALNANVHPDIRI FKNITFLWTTIGIFLLEFALFIPLTYITSYMLHKGFNDNFSFNMLAVLNAGSVFGRAL PGWWGDKFGPFNSNMLAIVLAIVACFAIWLPAGHTTAGIIFFMLLIGFSSGNNISISP VCIGRLCKTQHYGRYYATTYTLVAVACLVSIPIGGEIITSNGGEYWGLIVFTGAIYLG GLFALQMAKVTCVGWNLLAIF
SAPIO_CDS9998	MNKERGYNPVQAQRKADKAKAIKKGKRTRRAEVQARRNEKLARR NPHRIQKQIDDLKAITENGGKLTRHEEQVLEGLEKEFRAVTKAREALGDAAPVFRAPR EGGDQGVLGKRRRGRYYSSSDEDVPEDVKRIPMPRDTPPPIPKEIMDKWWAKRRARRE AERAEAGEIAPPPAAKKDEKARPAPPTEEKTVYEAKPVVRDLRKEAVKAFVPTSVMMK MKKGKGQGGLMEPEEADRLEKEGYLKAAAAPNEAGSTSAVGPQKVSVEEVEDEDQ
SAPIO_CDS9999	MANFMTNLLSGGSKGDKSAALDISPRPTTPAKGQHDFLDPASTP QGSPSKKTTVPGAFDLSSVFDNALKLNAPVLETPVKLTRPHSGVVPLSPGKSNVQPLE DTSISISDDSIIHKTGVASHTSPLKKQGQENTPPPSRLGVFDSSHHHNHAAISRHELY HSSRPVTPAKKFNTSRGLTPEELEILQKPNVRRLVNVTQLYFLDYYFDLLTYVGSRQS RLDAFKAEYPAPPETDKETYEQMWHKYTGRERANLRKRRVRLRQGDFQILTQVGQGGY GQVFLAQKKDTREVCALKVMSKKLLFKLDEIRHVLTERDILTTAKSEWLVRLLYSFQD DKNIYLAMEYVPGGDFRTLLNNTGVLSNRHARFYIAEMFCAVDALHQLGYIHRDLKPE NFLVDSTGHVKLTDFGLAAGMLSPAKIESMRVRLEKASETSVPFGKPMDQRTVAERRE GYRSMREKDVNYARSIVGSPDYMAPEVLRGEEYDFTVDYWSLGCMLFEALTGFPPFAG STPDETWRNLKHWKEVLRRPVWEDPNYFLSNRTWNFITTCIQSRSRRFSNIKDIYDHH YFAEVDWDTLRETRAPFVPELDSETDAGYFDDFSNEADMAKYKEVHEKQQALETMAER EEEMSKSLFVGFTFRHRKPQGEDGGGGNSSPRKPIPLDGTFGTML
SAPIO_CDS10000	MADPDTTRVPSLWDRTLDTPEFHQYLDHLPLKQLCGICNGAIAK GDICVGRDSPDHPELLCLRHFWRYVSGLDLAARLAETPDPDPEEERLEVPLREVVRWE RGTSKPEVSKGPLPVIRLTIDSRGIRRVESFTEEQARYRHERYDDRLFVVQGTSDAQL EGITALFQDGLMRLRIPQWQSNLHLWDLPTPPTFPASIPQGDESAPRVVQLLQEPREK DSRSYSIDLNAVTGVTFFFANYLGMVYIHGHTRDRPYASIPSGLSPNGMCWLYVPISK NDRILSLDLRSRDSEFPMKWPSILMRTELTGQNIFGTFLRSEFPIYSLGTTPTMLFFT RSSLLGHITRIGTVSSPTASRVAPSYPLPTAEDIVPNFLAMDHLVKFSSAPLNGVKTA SVFHQDSQPDASCLGILFDYENGGKRAVGQCRLGLDYYRVYERPTHVCVRNMLHLPDG EPELQWTVKAQFSRGKDHLHEGEGRDIHCFELRDNILDFWFTAREFCIEIRRQDQAPG SSEWNSHAYAISTS
SAPIO_CDS10001	MAFSYEEKITVGWIAPMALELTPALAILENRKKVSKNETLYHVG QIGAHWVVMTVCPRIGTDIAAVVLTHMRIFFPKIKHVLIVGIAGGMPEYGPDMKQIVL GDVVVSRPRGNEGGVAHYNFGAWQGNNEFNPSGHTLSPSPALLAAVASLESEHMTDGT DIPNILRNLRQHLGKKEAPNFDDPGPEHDILFPDDYLHPDIEKTCDRCCVSERGRSRS DRGEDAQREKDSPRIHYGIIGSSNTLIMSSEKRNELYKTHEIICFEMESASVMGAWQA LVIRGVCDYADSHKNKKWQKYAAATAASYAKELLLTLPADEKVSHLPEPELDQQILQD CLRSLAFPQMDDRSNDISWAAQGTCEWLLRHHKYRRWATDDRGGLLWIKGKPGSGKST LLKYALGHCEVKASDIVLSFFFHGRGNEFQKTPLGLYRSLLHQLLSEAPSTLSDLVHA FDKNQRQKGTVGEKWQWHHNELRTFFTTSLQKVPESRSVWLFVDALDECGEENAVKLF EWLEDLVSVSSQTRICVACRHYPVLDANCELKICPEEENQQDIDTYVRARISTKRSPD LASSSIPNLIVRRASGLFMWAFLVVKQVLALDRKGETLERIEEEIYKLPQSLHELYRD LIRNMNLDSLKLIEWICFATRPLTLDELRWALVIGAEDNCRYKQLKAYRNSRRFLSNN ARIKKQVETLSCGLAEVVASSAALDLDQNNYECRYIDAKDEYGGTPLFRASENGHEAV VTLLLATGKVDVDVNDFGRTPLFRASENGHEAVVRLLLATGKVDVDVKDENGRTPLFR ASENGHKAVVRLLLATGKVDVDVKDENGRTPLFGASENGHKAIVRLLLATGKVDVDVE DDFSQTPLIRASENGHEAVVRLLLATGKVDVDVKDENGRTPLFRASENGHEAIVRLLL ATGKVDVDVNVFGQTPLSLASENGHEAVIRLLLATGKVDVNVKDENGQTPLFRASKNG HEAIVRLLLATGTVDVDVNVFGQTPLSLASENGHEAVIRLLLATGKVNVNVNVFGQTP LSLASKNGHKAVVRLLLATGKVDVDVNDFGRTPLFRASENSHEAVVRLLLATGRVDIH VEDDGYR
SAPIO_CDS10002	MKVYFTLAALAQALLVLAHDHHVEPRNPPFGRGNGGGGYGGGYG RGGPFGSGGSGCAKDCVSSYWETATPTPSAFCDEGSPLRTCISSACASQADEYKSYSD RSSSLCSKYESCSSTGTYTYTYQTPDQDWPFGAGWRGTKTWPTGEVVVTGCPWDGNGW GFPFWGGLGGDGFWSSLGPGWQYTTVTTTVEQAGSTNQVYAVEQAVSDDVTTVRTIGL AAAATGSSSGDSSGGSMVSVKVTGALLGAMLCVVGLM
SAPIO_CDS10003	MATNTSPRTATWAPFSSMNPTTTQHDFRFPRRPDQFIADRYGPD LNNAAAASPAHSKASSDLRSSLQELKLDISSTYDNAQDKLLQFEDFLSAKPPMDSTLS DFKQMQREDPLALQVWKFYARTRQLLPDQQRMENLTWRMMHGTLLRHRLEQLNNRERP GLMRNVSSSNAPSGIAQQLQKSSEQEQDYSSQVDLMNIDDFIFSDSAATPLASHAETP RPANFAPDNMQGITTSHPHAHSSAIPIKSRKDSQQRFTPQSVPFPPRHQGEFNYVARH VRKTSIDDRRTRKRPADFSPHVPAVNSTTGRPNELDADSDLHEYSLDSANQNGIPQQQ PGQPGVPYHLDTFNLETDPILASAGPYQQQFFSPSTSPMMTNGSFAGVYNNNSMPGSS MNMGDFYSPNASGYHSTATTPHAAPEGEGFYFGSMDIRNQRQQGAGFRNPANYGGNTS GQAFVYGPNNGSSAFSVSAPSSEPPSAYSTAPSSFGHIDPSQVFQQQDQLVRSPRSSV NNDNMFSFGTDSDDDDGSAFADRNMAMAGNFSPTPMEESSSLQWDASLPGQFSTQAAR YPGGPPRKSVTIGGTTTDYVETGGEWDKNGINRSQSQTFKSGGVEKRQRLPRTSSTSS AARLATRGSTANNADRTARSTPSSPPADGAVSGFSSAAPSRPSSPAPSKHGSTTNLQN AGNSQGESNTPTTCTNCFTQTTPLWRRNPEGQPLCNACGLFLKLHGVVRPLSLKTDVI KKRNRGSGPNVSGSGAGTRSKKNASGTNSASASRKNSSLAVSAMANSSGTMGNSSSTS TKRGGGVTSSEAPSADVSPGSKATGSTASTNFQGAAGAVGGKGVVPIAAAPPKSTPGP GASSISRSMSMSSKRQRRHNKNVDIDLSGEMDVDSPENSTGSNEATGKSTSGLTHAHS SASLGLASAFGMSQRPIGSQSMISMAGARPSGVMGNPAAGSSGAQEWEWLTMSL
SAPIO_CDS10006	MATLSESDIERLFSGAPQYFARAEGHNTGAPHPSVAFPWDEELS IRDLTDHTQIENHAWRCVTAWPHITRGARRNSGADRARAHFYPRCRERPNMLSMLGLE KGTIGYQAALEMSVSDALQEEQFGFDSLGCKSHIILEHRQHLISSKDGLRFLREEFVL DQLMKNGKRYNEGVLNRDMSSELYNDLFLHILHPPNRIIDHSDPYSLIVQIQALIKVL AAPNTWFDFSRLEWRIRLGQVLWGTVDGGDEIIDGASIADADSISECIQERFWLLLQI LLACELLIRLDAITAGEELGVESIHPDDIRRFERDANSTVKWSLILARVWLENIEVVK KESDLAVPKDHHAPIGWLAALTERMTLRSHRRTKSRDDGKLTSYTVKGMHGERQIDGL THFARKLRWPDIDAYEARIKDNARTVNNSASVAASPRTSVSDLPRPSTSSSSLPKTKG KGVKLRRRKVQATLNREGWLSKSYISGLVLPGECLNHFLMATLLENDKGAMRTLGPVA NLAGGFVYNGKSFWSTACIVGRVLAAGRGSAECMGWISSDIIPRGFSDGWVNISVDEV EGESEKTGRRARLWDKLSVEKDSDVLGNADPAFVFPADFVIPVEDNYIDPPPSVSVEL ESLDLFAPTDSVDSSPSQTEESGSEFPFEVIKTPVIHTYPSAITFAARNEGDEASTEH TFALSKEVYFVTAHPCAPSPYVKYVKSPSSPTIQHIDVSGTGMSNGRISTTASVTGHP LHKFFTYTVIHLSDLLKRRTETLEDLLLNTPAARKPSLTPAQNKPPAVLIIDCVTNFP KRPSTDPTPTSPRPAAARTNGESTGPSINAAVTPPPPGSSHSSAGSVSTDFTGSWDAT TKKMYMETRRRQFGSDTEILARAICAEKGWNALVSRRRRGCLACAIREAGALDWKVVI RVD
SAPIO_CDS10007	MGSSNKRKKEKQQDFKKTKLKVGKAKPKPSNFTDTSFKSKAIAV AQQSLSETAPDAVQKFKHNLSLAATSNSDRQRRDALSFLTSQLAAGASNNPVGTREVL VKLLPLVPNTSTPVRAQLLKLLRCLPAEEVRIHSEEALRWIRVGMTHLSAEVSLDSLS VLDWLLDVASDEAVSCPGGWVMTLNSFCAMMGWSTTGNKGWTSAPKIGVRTKDSASHA QQLTILAKFLAAGLKEEETVFIHQGQYWDRLYRLERSRDPFAYLNIYGKRRDGDGEMY QDRESRQRAFQKRYAALVLAGVDRAKREGGAPGRAAATLVQVLREGAGDTDVADAADV EDLLDLW
SAPIO_CDS10008	MRDSLPESHNRDADAVGVASNENGSVANGSDNGEFSNAASTLKT MGWIKAEAYDYTALVGPDHDEAWDGSARIYEWDGEMGEVGPEHPELELDLFGTPESRK EVCGLDFTRLSEIEITQEGTVKIELINSFKDAGLHPVMLRNIELAGYDSPTPIQKCTL PAIHLGYDTIGIAQTGSGKTAAYLIPVLNKLVGKAKKLAAPRPNPNTYQEGVDPPARA EPLVVVVVPARELAVQIFNEARKFCYRTMLRPCVVYGGGPIKDQIKLLNRGCDILVAT PGRLIDFMNRPDILSLQRLRYMVIDEADEMLHDDWAEDLAHIMAGSNQDDDQVKYLLF SATFPKPLRDLAKNYLSTDYVRFRVGRAGSSHSNIKQDIILVEQDKRQSLVNLLSTML PCRTIIFVNSKRTADELDDFLFNMNLPCTSMHSDRTQREREAAMRAFRAGTAPILIAT GVSARGIDVRNVLHVINYDLPSMDYGGIEEYVHRIGRTGRIGYRGLASSLYTEKDEPI ASVLTRTLLETGQEVPDFLQQYIPEGADLKFEADSDYEPDQQQGGFGDASAWGNDDGN DGGNDGGAWGSADGGWGENPEANAGDATAGNAQQWN
SAPIO_CDS10009	MSECITSYIRERLVDLDLPEDFVVKILSAVIACSIAGVFVKLLL FGEEGPRRYTIPSPKFPEQERIVDEASIKITGSTALQCYAPATGQFLGLINPSTADGI DRAIEAAAAAQKKWATTTFRERRAVMRSMLRYVLDNQEELCRVACLDSGKTMVDAQLG EILVTAEKLTWTLKHGEEALRPSRRPTNFLMSYKRNEVRYEPLGVVAALVSWNYPFHN LIGPVISALFAGNAIVVKVSEQTAWSASFFANVARGALIAHGHDPALVQPIVCWPHVA PHLTSHPSISHITFIGSRPICHKVAASAAEALTPVVAELGGKDPSIILSSAKNDLDRI SKILLRGTFQSAGQNCIGIERIIVADPLYEKIIALVEPKVKALRLGPKADVGAMISDA SFTRLENLVASAVKDGARLLAGGKRFPHPEFPKGHYFQPTLLVDVTPDMAIANEECFG PIMVIMRAPSTSPSSRSSSPQERADSILAIANAPSFGLGASVFGKESDPVLPLVVSGL RTGNVAVNDFAAFYAVQLPFGGVGGSGYGKFAGEEGLRGLCNAKSVCHDRAQWLGIRT GIPPPVQYPVADQERSWRFTRGVVEVGYALGLGGMFAGVFTILKNM
SAPIO_CDS10010	MSGASESVQNGDVVVEGDDGDESASLNSVPLIFIITVTAIKDAI EDSRRTILDNVLNNAPVHRLQHWNNSNVEDDNVSYWRRFKKATSRSIVRFWRFIKSTW SKKAKEERARAKEQASDEPRMSVETRVTLRGSILSPNNRESFVSARESIQLTPVPSPL PQGVTQEDVEARERHHAQVLRTMKKDMINHDKPAERKARFHPDAWKNLRVGDFVRIHN DDELPADIIILSTSDPEGACYIETKNLDGETNLKFRTALQCGRTIKHARDCERAEFWI DSETPHPNLYKYNGAIRWRQRLPDDPHGEPEEMTEPISIDNLLLRGCTLRNTEWALGV VVFTGHDTKIMMNAGVTPSKRARIARELNFSVICNFVVLFIMCIVSGLVNGATWAQTE ASHRFFEFGSIGGNPPMSGFITFWAAVILFQNLVPISLYITLEIVRLLQAIFIYSDVE MYYDKIDQPCVPKSWNISDDVGQIEYIFSDKTGTLTQNVMEFKKATINGQPYGEAYTE AQAGMQKRLGVDVEKEGERVRKEIAEAKVQALARLRRIHDNPYLHNEDLTFIAPDFAA DLGGDTTAEQQYATERFMLALALCHTVIPEKLPGDQPKMIFKAQSPDEAALVATARDM GFTVLASSSDNIDLNVMGEHRRYPILNIIEFNSTRKRMSTIVRMPDGKLVLFCKGADS IIYSRLKRGEQKELRQTTAEHLEMFAREGLRTLCIAERELTEDEYYAWKKKHDIAASA IEGREEKMDAVAELIEQDLMLLGGTAIEDRLQDGVPDTIELLGAAGIKLWVLTGDKVE TAINIGFSCNLLNNDMELIHLKVDEDESHETPDEQFIRTLEKELDDQLKLFGLTGSDE DLAAAKKTHEPPEPTHGLVIDGFTLKWVLHDDLKQKFLLLCKRCKSVLCCRVSPAQKA AVVAMVKNGLDVMTLSIGDGANDVAMIQEADVGVGIAGLEGRQAAMSSDYAIAQFRFL QRLVLVHGRWSYRRLAETIPNFFYKNMVWTFAIFWYQIYCNFDQTYVFEYTYIIMFNL FFTSLPVGIMGVLDQDVSDKVSLAVPQLYRRGIERLEWTQRKFWLYMIDGVYQSVMAF FIPYLVFISNSFVTSNGLSVNDRVRFGAYIAHPAVLTINAYILINTYRWDWIMLLIIC LSDLFVFFWTGIYSQFTSAAEGVFYDLASQIYRDATFWAVFFIVPVICLFPRFAIKAI QKVYFPYDVDIIREQERQGKFNHLDPDKKNKKDVDSASVSLGKIESEGPAPSDSYKKA KRSTFGSVDEERRPIYPPSVVTQTTHHRLRSQNGSDGTNYTGHRSSFDEGFGSPPAPQ RMSIERARPSYDRIRASMDRVRPSFEASSDFTSAARLSRIESTQSGRFRPRLRGLSLG KHHHQHQQHHES
SAPIO_CDS10011	MSSMPKRKKVPASGGIRNYFAPIQRPQKIPSSSQASSSALSALT VTPTPPDAALTLSPPLQDAIVVQTSPPRLGSVSLPVTPKKPEPRRPVSRDAVIAASDD EDSDVSFEALEDILAARQCPATPAKCRTPNAMFSTPQRKRGDSIFSSPLTIQPREPQF KMADLLNAAKRDSNIEASLSRFHEREKDTGVKKFEFKLAGESLRRTLEATVGDEHDHR LDKVVRAVERTEPISKRGGWFFFQPAEETRLSNRHKFPSRSVNAICGRQPAVKHEKDL DLNYLNKSMRLTKSPLPDELFLWLLDELCTERSGMRREGMCELVRLCSDDIAADKLTP RYLEGLFLRLGANQDIKSLSKKKRLKPVRSSDEGFYKRDWQCLCSVLNMVWIIAEKLS TESSVYLIQLLIAMSVDPELLHDPGVMVSYRHLLHQLVAVLPREQWDNICEEVTSTIY YSFTSAPMRLRSLQTLPPTNTRMHDLRRRMAAVYFFNDISFARQSPDLSFTLTDIRQH LQSSKFKIKSDTDYDELRALVLLLDMTIDSGFKSDTTDPLQHDIARKSFDEEIDNITT LLKELARSINDAGMKSIVPMEAKLAMEWVGERLTYTVRTKPVPKISIYDIPGPILQHD AG
SAPIO_CDS10012	MSVTTAGPLSDSQVGVELRKMTEFIKLEAQEKAREIHIKADQDF EKDKSALILQEKGGIDAAYEKKFKQAAMSLQITRSKANSKARITILTAAQRVVDAVFD EAEKKLEEGTKDKGKYKGTLKNLVLEGLYAFNDASVQVRARKEDFGLVKDAIELAVAE YKEKVGKTTKAELDESKPLPEDSAGGVVILGQGGKIIMDNTLDTRLELLKDSSLPAVR ETLFGENNNRKFFD
SAPIO_CDS10013	MGSIGGISPGGSIALGIIVGLVSTSVQSLGLTLQRKSHILEDEK GPHDVRRPPHRRRRWQLGMGMFIVANILGSSVQISTLPLPVLSTLQASGLVFNSICAT LILGEPFTRWSLWGTLLVCTGAVLIAIFGAIPSPPHNLHELLELLVRGPFVAWMIFQA FLVTLIALVTEFVNTLFPHLSQSVRFRFGRGLAFGCISGILSAHSLLVAKSAVELVIK TLVDGDNQFVHWQSWMLVLALVVLALSQLYYLHRGLKLVSTSVLYPLVFCIYNIMAIL DGLIYFNQTNLISTLQACLITLGTVILLSGVLALSWRLSDEQHPPAVGQSSLAPGLGL VDDTEGEEEEELLIDSDVLDEESGFSSQYQTFPTTPRDVEAPLSPSSRRQSFRWQERA EIWGELEDRDEPSSPKHIRRRSTTMLPPTETTHLLGAGTRRVASSAGIFTTQAPSGGS AADSSPVGGRRAFRKRRKSTGFPGFTARRPRRGGVALQDAIGGIWKLGWWNKPRDASG RISSGSGHTAWPRRHRDGDPRHSPRGRDASDDVSPGAGQPPPGDEPSSSSRGDDRSV
SAPIO_CDS10014	MVNKIVLTTVVADILFLASGAFQLGFALIVKRVMGEQATDGMQA ARNLLYQRFPLQAGIVNAIMIFVTFAMTLPGLVTPARGWLKLSGGLVTVCGLFSLCIG IYLWILTLRTKADFFQIWMRQEAPVQDLMQTSFECCGYFNSTSPAFVTNPACPSPAAA ALMIGCAAPITSFSNIFIDDIFTAVFGMVGVDAVLILSIACLLKDRKERERYRHIDEK TGYTGI
SAPIO_CDS10015	MGDITDQHEQTSPTDVAESHSVGNGNSAPNESRGIKRQRGSADD DEDEDEKGGRERRKIEIKFISDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVS ETGLVYTFTTPKLQPLVTKAEGKNLIQACLNAPEPTPGNENGVDDANQVESPEEPTGQ HLPPQQQRPGMPGGHHLPPNYMPAAVPMDPMAYQGYVQRGGPPAPYGMPPQPGMPQHT GHQS
SAPIO_CDS10016	MDMDHSSHGSSTESGHSMMSIFFNSMDTPLYSNAWTPTSAGTYA GTCIFLICFAAIFRGLLAAKAIMEQRWLDAEMARRYIVVAGKQSFSERLVHDPEAKDM VLSANGVEENVRVVARSRVGNARPWRFTVDPLRALMDVVIAGVGYLLMLAVMSMNVGY FLSVLGGVFIGSLAVGRYTPSTEH
SAPIO_CDS10017	MASTESILQGVNVLGAVQHHQREILTPQALAFLALLQRSFNGRR KELLERRKIRQADLDRGALLDFLPETKHIRDDPTWKAAPPAPGLVDRRVEITGPTDRK MVINALNSDVWTYMADFEDSSAPTWENMLNGQVNLYDANRRQIDFKQGPKEYKLRSDR TLPTLIVRPRGWHLLEKHVTVDGEPMSASLFDFGLYFFHNAFETVKRGFGPYFYLPKM ESHLEARLWNDAINLAQDYIGMARGTVRATVLIETIAAAFEMDEIIYELRDHSSGLNC GRWDYIFSVIKKFRQNSNFVLPDRASVTMTVPFMDAYVKLLIQTCHKRGVHAMGGMAA QIPIKDDKAANDKAMEGVRADKLREVRAGHDGTWVAHPALASIASEVFNKYMPTPNQL FIRREDVHITANDLLNMNVPGRITEDGIRKNLNIGLGYMEAWIRGVGCVPINYLMEDA ATAEVSRSQLWQWVRHGVTTAEGKKVDKAYALKLLSESADALAAKAPKGNKFRLAAQY FAGQVTGEDYADFLTTLLYDEITTVGTAQPASKL
SAPIO_CDS10018	MSSPYEVNWEEMLSRRVLYPPDARLRRPGLERLDDQLAHEGERA FEELEAVDESHGEGHEESHGQSHRESRSEDPPPADGSPESPGPKQERVGRIFFHSKQR AGPLNFRDHEEPISAHPEKIKSRIGPWVLGDHLGKGATGSVKLCRHRVTRQLAAVKIL PKHSARLIQAASIINFDQQDSSLPDGAEGRRRIPISIEREVAMLKLIQHPNITKLYDI WENGSQIYLVLEYIDNGDLYHYITLNGPFAEVNGVRVFRQIMSAMSYCHDLKICHRDL KPENILLSSDGHIKIADFGMAALHQTAGHQLSTCCGSPHYAAPEVLTGQLYSGYKVDI WSMGVILYAILSGLLPFADDDTEVLLQKIKVGDFVFPSFISHHAKDLIARILQNNPNK RISIESMWRHPLVNKYHIVDDLTEENGLPFQYRKNFEGKAIPPSEIDIELVRQLRSLW HSFTTEELKVALSSDEPNDQKVFYHLLQQYRERQLEDFDPDIAHARSDYHHLRPSAWK EKRTTREFINEGDGLSRSVSRITVVSSVADTDAGTVATYDPFNACHSPLERRPSQISR ARVTVHRPEASQPEGDDSLLSGVSSPFYPSTRSNSPNPVDHPTWRGFHGLGRIDEKLS SASTTPSPKKAPYSRCVPIRRKRGVDFSQLRGRPAQKKNKAEIEAQSHKRRSLYQESD DSGTPRPDSDVLPADEGWPPLRVVKRKPVPILTDREVMTNEEVRRVSHKLAMDCDEAF GGSVLVESSFLDVAGPSRNSTPLAASLGSRYMSNKSTPSNPDTPWLDRPLPPLPPSSK TSTPSPVTEGPPIVPNRTSSQGENRARQGMVVGRITRRSMPVLSEPIDSPVTSDPHRP AMGKARASRNFSGPAKRHRSALIPMGPENGGRPRLTRSDNPDLTNAEDRGLDYLSRAD NTIRVVIATPKANPFRQHSADASSFRARVASQSQSRLDSAETLTNLDMAPPTTANWTT RGDSQTHPSDWVDEESHNDTELHGWFAGLDVSSNSGDADTLAPTPPPKTSESGTIQPA GRSCSQQDQKPEAASPGGRRRSILARKNKRNFGFKFWKLPMPRPKLKRSRGFDHENEE PLFEPRGKDEGAECGRYEVAVNNGHPGDAPGQRNIEVQPSWLARIFRVKPVVEYLCVT ISYIEARNEAVSVLQDWAKYGVEDLEIHEDRSIVFARINRKNYLRLREASIAIEFIQA WEDGNPTPLCIIRFTQERGAASSLREVLRTTRGVLEGKDVILKNKQLEKRLIQTLNS
SAPIO_CDS10019	MSRVSRGKRPAASVSGDESEPGSHTASDYDSESSRRSRPRPRRG GDGPSNGIKSENHGFASSSGTMLNGNLAAHDDDKFKPGSVVRVLVNNFCAYTKAEFFP GPYLNMVIGPNGTGKSTLVNAICLGLGYSPKLLNRADVVSEFVKHGKSTAFVEIELKK LPRDRENYIIKLRIKRENNSQKFWLNGREAPQKKIQTLVRNLNIQVDNLCQFLPQDRV AAFAGLGEVQLLDEMLRAAAPQNVINWHLRLKELYKDQAEIQKQVRADTERLEGLQNA QRNMQADVDRVKDREAAQRRVELLRAALIATQYTEAAERFRDAKGERERATARVQQLE EENEPSLQAVTEREMYRDQVAAAVESRKGALRNAERAADRLLDGVRQAEDEVAKHEAA ITAQVDNHRKKKAVVGEIRRKINQLEAQQKSELPKFDSAEWNMKIREQEHKARDLQSQ LNDLNSKVTSITKDMVDKKTQAQGLKHQLEALGTRQGKQLSALRAIDADALRAYEWLQ QHADEFEKEVFGPPLLTCSVKDDKYSDHIQAMLQKRDLVCFTAQTRADHAKLSRQFIK EMGLSVSLRTFTGSLEEFKPMVKAKDLGFDGFAIEFMDGPPLLLAQFCATSGLHKAGI SLDELSEGQYERLNAQDYVSSWAAGRTLYKKMHRREYGDAGKSTSTKDIRPATFWKDQ PVDSTEAEELRRKQGELRLQFSELTDQRETLKGEIDGILKELEEARSKLDQLKEEKGA LQAQYTRWKGIPLRIETEQKNLERAIAEMRETKAEANRLIEARIDAAAQFLRAALAHS EAIEAVRTAHRDWIDASICLIEAKSDVTGLQERSRAIIELCEAERRNLEAARVLERES KAEARRLRDLIPQLQEQFGQEFTELAENKTSSDVEDEIAAEEERLGMISDVPQNTLQK YDQIVASITKLEKQVELGRGKIELLGSEIDDLRRRWEPRVDELVEKVTEAFGYNFQQI GCAGEVRLHKDEDFEKWALHVMVSYRPQEGLIRLTATRQSGGERTVATAFFLLALQGS AQAPFRVVDEINQGMDPRNERMVHNRMVEIACREHNSQYFLVTPKLLTGLRYDEGMTV LCVASGTHMPEETERLNLAECLALQKRVMASAAA
SAPIO_CDS10020	MADAMDDNELMRATNACDVTLVWKAGFEHVSQYGISMKSAIFGN ERFKPRHVVRVPEEAASTSQGAFDYVILCIKALPDVYDIAGVIESVVTPQHTCILVNT THALGVEATLEERFPTNVVLSLVSGAEVAQLGQSEFEHRGSTEIWVGPANKNDQIPQS IQEDMAQALAMTLSTGQVDCKVSHNIRQQQYERLIGPITFHPISVIFETPNHAALLEK VGVKDLVSDVMDELILLAQAQKCNLPADFKQKAIDEMTKGPNHDSIMWQDYVARRPME VETFLGAPVKLAKELGISVPRIETLYTILHNLNIVNRQRPQGPAAGPVSPNPITSPVP RAPSQNGFRPMMNGMPNGNGPRGRPRNPNMGPPMRRGPPISMPGGPNGFRPSMNGAPN GYGGPPSRAASRRGSMEGADLEDFSHLVLYDDIPEGGQSGYGGDHSDLALRERELQLR QRELALREQEMRMRRGPPPRRGPGPMRSSAQMFDDDDDDDDYVDPMAVPVQPLVDPDN FDMMSVTSRKNRKTAPTARDIRKNPEMDNGPPSRGSRFRPSFGRNRSSQMSSIPSVND NILDDPLMGCSSNRYGTVDRGAIQAESRANSLTASRLDELQYGQGPMGGPMGGPMGMN GGYPRRTSQSPSHPYSPSIRGMNGRPSPPNGYGGRRGSPPEGVQQPVPMYPPGQGNSV APQQVEQHAGVSSLYPPQSKNTRSLTGSASASAGSGDSQQSLSSSSHSSLSQRPPIGV R
SAPIO_CDS10021	MAGSTTQNLGNRTSMMTSASAMKARQLTHLNSQLAQLSANLADT ENLLRMTSVQAEAMRGLGSWHAGLFMAASKVLGEESVREQADGSK
SAPIO_CDS10023	MSNEEDLIDYSDEEIQTTEPTTAAANGKKGDLAAGGSVDKKGSY VGIHSTGFRDFLLKPELLRAIGDCGFEHPSEVQQTCIPQALLGGDIICQAKSGLGKTA VFVLTTLQQVEPVNGEVSVLVMCHTRELAFQIRNEYNRFSKYMPDIKTGVFYGGTPIQ KDVEVIKNKDTCPHIIVGTPGRLNALVRDKILRLGSVRIFVLDECDKMLDQVDMRRDV QDIFRATPQQKQVMMFSATLSEEVKPICRKFMQNPTEHYVDEDTKLTLHGLQQYYISL EEREKNRKLNELLDELQFNQVIIFVKSTLRATELDKLLRECNFPSISVHSGVSQEERI RRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVGRAGRFGTKG LAISFVSSDQDKEVLKSIEKRFEVALPEFPKEGIDASTYMAS
SAPIO_CDS10024	MRCATTNHDSSLKPTLYQQPQYADSLSSQSTTTSSGSDWSDASS QSSFESVASSSWSLRSSSSIDSICAAARTDSSCDPLARQPLPSVAAVTAAAAASDVVP PELRQNPRRTNSGLTSRTGCPPKLVHQHDRKVNFVDNLVDAAALLVEAIWPLSSAVCR NEINNKSVLPLRTFIQETLKRSRTSYSTLQVTMYYLILIKDHVPQLDFTMEQPRDHQA TRALQCGRRVFLAALILASKYLQDRNYSARAWSKISGLHTQEINQNETAFLHAVSWNL HITNTVWKRWTRILLDFRPPSTPPSPGGISMMPSSPQISAWKKAMLQLDSDLLNVEPL RNYTHASSPIARETSPVLALETQELPLTPVVLEPSPVTVHTPGRLVPALGLLPTPRLT PQPSGFSTPAASTVPQFLGKGSSMGLAMNHASAVSATQFLDCWNGTAIAPSPQNQIPT RRPSLSTFSTASSPESMISDISRTSRSSSISSSASLGSATSNARLAVPSRFRAAKLRA ERPSLKPLIISSVPEDYEHCLSSSPEPYTGIAGKLGDAYLETPLGRRESELEAMVGES VNDAARTLHELQQWGCASAKTGSIARTGSKRGRSDSLESSLQENVRDILNTNVEGVER WPETLVRSKSFAERRLQLPAPLLTRRGSKRLCCSTEASQDLRGEYMLPSLTGGLGRSG MWAGILHD
SAPIO_CDS10025	MPPLNPIHVRGKKKGSASKASTPSPIGSSAGEDKMKQKDQKVKP KKLSRLERLPNELLAEIFIESCSYNLARCNRYLRSLLHSEYCLTKLVAKTFAPTWSMY FDEPMDGDIADPTTHRSIGRRLDRSRRHIVKAQEHLLGWKFFDINIVLKAQQIWFNAV ACFNHDYQAAKRGIRSRRVGWSQYRSRQDVEPGIYLGPRRLHGPWSEDHARLVFWLYT GGAIVDNESWDGYVSMNLTESHSHLGDFNPFFIYGSSQLVNVKTWPLYDAYHFYLRWK VACDDEPGFEAMIDLRDRLRSTFEDDDMNAIRSLRRNAGRDPNDSDLEYDPDNDSDID APFDGDSNLERIRREVRYQRMLDAQDESEDGENEEEEGEEEEEDEGLEGYISGQDEDK DGEESENEEMNEENNVVAAAEA
SAPIO_CDS10026	MSRALRLPVPSRSLLRFLRAQTEDSVLVGSLCSPRINRLPRSKV SPIASPSIAVTTPRRAFSSRAHTTSSSSTRLSPLCLSSDGSWRPSPRCRHAPGSGIDH TAFLKTSARHNDKIDDHTTPEPRTLTWQERLWGTSASRGDPQLKPGDLPCRDDIDSDA ILRRTLSAKAAIEPRLRCTEVDENGETTITDGEFKKTELIAKYGLLPRDLRKIDSSNL PHILIRPSAILLNLLHLKVLIKHDRVLLFDVFGSKTSYPQSAFLYDLQAKLRQKNAPG VGGLPYEFRALEAVLTSVTSELEADFEAVRDPVIRVLSELEDDIDRQKLRILLILSKR VGTFEQKAKLVRDAIDDLLEADDDLADMYLTEKAHDLYRGVEDHTEVEILLESYHKIC DEIAQEAGNLVSSIRNTEEIIRAILDANRNALMLLDLKFSIGTLGLAMGTFLAGLYGM NLENFIEETNWGFGGVTGVSILFSLIVCWYGLVKLRKVQRIKMMGDERATLPRPHQPW LSQPGADSMLDPRSRERMRRMINQKTPPKAKRWWLW
SAPIO_CDS10027	MADRLTDAIRAVVDSFLTLLSSIRSGLGNTWNALRTFFSDLESA LRGALGCNGNGVGGVRLVDRLRYSLAL
SAPIO_CDS10028	MATLTGAPQPVKLSLPLEYQQILFQELRAEDELVVIARGLGLMR LVTNLLHSYDVAGNNLILIVGATDRENGWIGEALAEHAAVSMAPKARGLTVVNTDFTS VGAREKMYSKGGIFSITSRILVVDLLTGLLVPESVTGVIVLHADKVVATSLEAFILRI YRQKNKAGFLKAFSDNPDPFATGFSPLATMMRNLFLRKASLWPRFHVTVAQSLEARKK KAEVIELEVPMTEAMTDIQNAILECVEISIQELKKGNTGLEMDDWNIDNALLKNFDVI VRRQLEPNWHRISWKTRQIVNDLTVLRGMLHSLLSFDSVSFLQHLDTIHAAHAPAPGS TRQTASPWLFLDAAETIFATARKRVYAAAGRHAASSSDGNIDALRPVLEELPKWGVLA EVLEEIDRDLYFEPPIRDDSNGTILIMCSDTDICRQLRDYLQTMHIKPRTEGGSTTAI DPDEEAEERYRPSANFMMRRKLRNYLRWKKQFAQVNVALFHENQKALNGATDPRLASK SSRAPANKRRRVRGGGNVGASAGRTDNGSIAQYFEQPGQMAELMSEVPVTEEEAEERA DVVVDTLNDMEEYYQLYEMKDLVIVHAYEGDQDEHVLEEVRPRYIIMYEPDAAFIRRV EVYRSSHSDRNVRVYFMYYGGSVEEQRYLSAVRREKDSFTKLIKERASMSLVMTVDPG SEDPQEAFLRTINTRIAGGGRLAATAEPPRVVVDVREFRSSLPSLLHGRNIVIVPCML TVADYVLSPNICVERKSVSDLISSFRDGRLYNQAETMFQHYRNPMLLIEFDQNKSFTL EPFADLSGSLSSVAPSNVSSDLQSKIVLLTLAFPKLRIIWSSSPYQTAEIFESLKKQE EEPDPIAAVRAGLEKSSGGGGGGGEGQIFNQDPQDMLANVPGVTPKNIWTVVHETENV RQVANMSSKELEPLVGGEAARLMYGFFNRNVVMTGSGGDD
SAPIO_CDS10029	MTFKTLSATAAAALDRELMSTCAFSIDQLMELAGLSVSQAGGDG LVAARHLRHYGYSPSIYYPKRTKNDLYQRLTKQLEDLNVPFVDDFSEALKVSDHVVDA IFGFSFSGEVREPFPAVIRALQETKLPVTSVDAPSSWDIENGPPKTGLGSTFNPAVLV SLTAPKPLVKYFAGRHFIGGRYGPCSSPEFSYQELQQRVGFVPPGIAQKYDFELPEYE GIDQIVEVDSSGQKL
SAPIO_CDS10030	MVVRIRLARFGRRNKPFYNIVVAQSRSARNSKPMEVIGTYDPIP KLDAYDNSGKFHKDIKLDVVRARYWIGVGAQPSDTAWRILSMAGILPKRNFGNAQASE APAAQTEAKVSS
SAPIO_CDS10031	MTVYPSPSQGILAPAGYGNDTNRRKSRSKEKEGGGNASIISSIV NLLNTIVGAGTLAMPAVMSKMGVVLGICLIVWSGITAAFGLYLQSRCARYLDRGKSSF FALSQITYPNLSIIFDAAIAIKCFGVGVSYMIIIGDLMPGVMLGFNSHAEEIPYLMDR KFWITAFMLPVILLAFLKRLDSLKYTSVIALVSIGYLVILVVFHFFVDDLSQRGPIRV IEWAGPVATLSSLPVVVFAYTCHQNMFSILNELEDNSPAGVVTVVGSSIGSAASIYIL VAITGYLTFGNSVVGNIVSMYTPSVASTVAKAAIVVLVTFSIPLQIHPCRASLDAVAN WRPNGVRNKNTITPSGSRPLLPSASDDTAKSDHGSSGGMSELRFAVITTLIIVFSYIT ALSVSSLDTMLAYVGSTGSTSISFILPGLFYYKISDPDGLHHQRLSKESDDLDSVGSD DEAALATSTTSLRSLVSAAGATVHRWRTWRWDLEHFDHDILRKLALCLSYYGVVVMVV CLVMNTWFSVTH
SAPIO_CDS10032	MESTLDTTSLSTILLFESRLHRLEHILYGEPTPNRTAEDASIAE KLEDLERRFASLVTHVRVYNELFKIYKAYPSLFLDPEPSTPPPDMPLDAVKSIVLASA SSFPATESALRAVNDCQVPDPAQSAALISQMERMKALETTQLAQAAEIAELRTRSEKV IRGWYEDNALASSEFIAEMEHRVSLVERLVRRAEKEEESQGI
SAPIO_CDS10033	MPSYGSLHSPSLKKMETSRVQYGRKGINMANIIGDPFALATISI SILAWLVSFIGGVIAQIQVNGTRNFPPFAWWSTVYILFLILGIFVVVASDTIQTYHVA VVGYLAAGLILSSSSVNFLIFSPMSSFQATAAGFILLSMVQIVWIFYFGSAPSAVPRA YLDSFALAKESTMNRPPINGYGGMARPETSTSVQPPQMYTSAQLNGFENPSPVAGMTS VARTSTIPPTFGNSTVKGGPTASGADTEVVPPTEYPYRAKAIYSYEANPDDANEISFS KHEILEVSDVSGRWWQARKENGETGIAPSNYLILL
SAPIO_CDS10034	MSSQNIATYNDCVTSLRTSLNFLESSVETLGNGVSDFPRLITIL KTVRHYELIPQPTLAAAEASLRDEIGPYISLLLDRADRQLQRRERRIETLKARAELQH GRLAEGSSSSSSLGNKTRRGAAASATGRKLGGDEKFRARVIRQKKEALKYSVERLELE VLQKERELRKRLDS
SAPIO_CDS10036	MPPTSLQRLQPPLRRALAANLSSTTIRSFATIPGEPSETAAPSG QTKARRPTYFKDTTVAPFSEFVGTSSAAAPLSPTEAYTLRTAEVGPAGRKKTITRLPE WLKTPIPSGNSNYKKIKADLRGLGLHTVCEEARCPNVSECWGGSSKSAATATIMLMGD TCTRGCRFCSVKTNRKPPPLDPHEPEHVAEALSRWGLGYVVLTSVDRDDLADGGARHF AETIRRIKAKRADLLVEALTGDFRGDLDMVRVVAESGLDVYAHNIETVEELTPYVRDR RATFRQSLKVLEEVKRVRGKEGIITKTSIMLGLGEQEHEVMDALRELRKVDVDVVTFG QYMRPTKRHLKVEKYIPPHEFDMWRDRAMEMGFLYCASGPLVRSSYKAGEAFIENVLK KRAGQKAIDGQKLNEAIAAEGQGVESVKEQ
SAPIO_CDS10037	MRLSTSLFWAATLAVRGVAAYWLEDIAHQGKAPYHSDPEYKVFR NVKDFGAVGDGVTDDTEAINLAISSGGRCAPQECKQSTTSPAVVYFPPGTYLVSNSII DYYYTQIIGDPTDRPVIKASANFPTTTTLGVIDGNRYGADGLAWIAVNVFFRQLHNII IDTTAIPASADAVGLHWPSSQATSLTNVEFRLSSAPGTKHVGMLIEEGSGGLLNDLVF RGGSIGARLGNQQYTARNLQFYGCQTAISQLWDWGWTYKSLVVEDCEVGIDVVDTNTA SLTILDSEFTRVGEAIRTKRDGDNTTPKAAGSLVLENVSFDTVTTILTGPKGVVIPGD SAGQTWIEGYADGHLYDYKGPKVYTGSDSKYFPRPAALLDGDKYYEKSKPTYADVPAS KFVSVRTFGASGDGLQDATAALNDLFAHVAGTDLVAFIDAGTYVVTDTVTIPAGARIV GEALASVIVGAGPKFSDINKPRAVIRVGNAGDKGRVEWSDTIVSTRGATAGAKLIEWN LYSPGEPSGMWDVHVRIGGFAGTDLQLSQCPTTPTESNVVNEECIAAYISLHVTKSAG GLYNENCWVWVADHDLEDPNYTQITIFAGRGILVEAEKGRIFISGSGSEHHVLYQYQF VNTRDIYLAQIQSETPYYQPNPPASVPFPPVSSLQDPDFAKSCAGVPAGVPCEMAWGL RVIGSKDLVIFGAGLYSFFNNYSTDCCQPTSGTECQQRIFEVVGSKSIRKRGSCGHGH GGHGHNGTHPSPGHNGTHPSPGGTTRLNTYNLNTIGTVRMITRYGEDIAFASNNTAGF VDTIAVYHDA
SAPIO_CDS10038	MSRFERANKVNTSKTTPTKPKRIDSKTNIIQKRKVAHEDEEPGK TAWKPSAMFTPIEGGRQWTLTFSADQQIAIPGRIGRALAVFNVDEVIVYDDSPREERP RRVDPASYTADTDPCHFLSHVLSYLETPPFMRKALFPLHQNLRLAGKLPSLDMPHHPH PREWIPYREGVTISRPKGKTGTLVDVGLDEPVTIQDSIPPNTRLTLHFPTETTLTPEA VHPSAPRTEGGYYWGFSVRRSASLSSVFTESPYEDGYDVSIGTSERGQTVDRAFPPGK IPDFKHLLVVFGGPKGIEYAAGNDEELGKMLEEGTRTRELFDYWINVLPRQGSRTIRT DEAVFIGLTALGRLFEEE
SAPIO_CDS10040	MSGPISIGSSAQWEDILKTHNVVIADFYADWCGPCKMIAPTFER LAKEYSVPKKVAFCKVNVDSHSSISRSHGVSAMPTFIIFHCGKAINTIRGANPAALGT AINEALKLKDQGTPGEYFKSPGRTLGNGNAQPAGFDFSSILSSVVLFGGLYFASLLSA RVITWLFGGKSFNVVSPKPQGPALQTGSQRGTVPATRQGPRAAYRTFADLGHEETARS GVLELSHGAGLRLPLRQRTLGSSVVARRIAPIRHYSTENQQSQSLEGTGTDLTSSQPE NIEKVVRDAKQRFRDTLPKGYLTDEEYKLYERLYGPPLRETDPDDVGIPHHADMSGNY AETSYGDNSLKTTGTLMTEDGMSDAGEQFPERGDGYVGIVARSKREYDALMKLENDFK IAAEKAKIYETEEAEMRKSRAVDLLQEVEEHYENEYRPEYDDVDIEGFGPRSRSHPLT EEGHFGPRPSTVQIPKYSFVEPIARLLTRTDLTHVKQAAEKAYGGPGLPDSAITPPGQ RNRPMLPMSLQAGHHRMSEIDADVFISTYLPQMYAASRSVLVEVRKRLGTEWIRGLLT RNEGEGPRVLDAGSGGAGLAAWQDIINAEWDVMRDEGLAKGAHPPGKKTTIIGSDNLR QRVSRFLESTTFLPRLPDYHHSADNAEKLLDAPEVPQPRKTYDVILASHLLLQMPEGH RRKAILNNLWSLLSPEGGVLIVLEKGHPRGFEAVADVRAKLLDEYILHPNSQPDLEGV HPSFQKVREPGMIIAPCTNHKQCPMYRIPGKSSGRKDVCSFSQRFIRPPFLHRIMDGK DRNHDDVEFSYVAIQRGLSLPASVQQGKEAVDRAFEGYADAAEEDRPHTLSLPRNVSP PLKRHGHVLLDLCTPAGRIERWIVPQSYGHQAYRDARKAKWGDLWALGAKTRMDRKVR LGRGAEVPDDGGVRARRARETKPKVIEIGYDGHGLKDAVDKSGSGGERRSKGRRKVPR KTLLQELMDE
SAPIO_CDS10041	MATESPSPAPEMPLEKGELCHLLPPSWKPQITAWLAEDTPSFDV GGFVVGDVPRTAILWGKSAGILAGVPFFDEVFKQCGCTVTWHLPEGSRIDTSGSGPEP TGKMRIATVEGPARGILLGERVALNLLARCSGVASYSRGLLLNLRGAGYTGILAGTRK TTPGFRLVEKYGMLVGGADTHRMDLSSMVMLKDNHVWSRGSITDAVTAARSAAGFSVK IEVEVQSEEEADEAIAAGADVVMLDNFTGAGVKAAAKSLKERWAGKKHFLLEVSGGLR IDNAEQYVTPHVDILSTSCIHQGVPHVDFSLKIQPPQA
SAPIO_CDS10042	MTAVANPSSFPLNKPGWGGNGGQSISPMTDDVRAMFGRKGLQRS NSSSSISSNSSSSSTTTVATTSSGYSGTPISSNGEVSQWPSTAPRKRPQSKGPWPVGK DHADLARMAGGRTPIMNGGLGGGGGGPPQHQQAVGQPQNLSQQGIAGRTLSDGMTTGI PVLYLLSLNGTFERKTIQVPFAPDTLRIGRQTNQKTVPTPANGYFDSKVLSRQHAEIW ADRTGKIWIRDVKSSNGTFVNGTRLSQENRESEPHELQTADHLELGIDIVSEDQKTVV HHKVAAKVEHAGFLSPSSNLLDMPFDNLDPANGNVIPPNQGTMHVRSRNGGNAAIVSN GRIVPAAGMMGGPAGGMPHQRGYWVTPITAEQIVKRLQGEMRGARLQGQDLTRTGQFL STLLSKDDIKDLDKPEVPEPSKQQQQHMVNGNGIHFRADPKARFSDPPAPPPQQPLPE KPDIPALKRGITERPKSHPSNTSPIRDNLSQILQLQEDLKNTKRELEGRDARLRELEE SLQKERLARESAEELARKLEESAISATISAAATLELEQEQVELAEELSHVYMNGTAKG GVEKALLEEAFEPPQEPVASDEPGHLVNGVEKSLADQAESTAAAAIEYQTRIDAMASE LRDMKEQLEQWRKRCETAESERDADRKTLSEMVTKIRQDEEARQAAAAAAAAAAAAEA SRGKSRGRSKNRRRSASGQDDQQGQGAPRALSSTGRPSTEDESLADGDVRDDSSDKPT LSRANTITPQTSPRSGLSQDQAVVASLPYASMLGVVLLGMGLMAYINGWQTSPKLDR
SAPIO_CDS10043	MPPVPPQRGGAQAPSVTQKLAMGAMMGGSVGVIIGFIFGTTTIF RYGAGPNGIMRTLGQYMAASGATFGFFMAIGSVIRTDAHPVAQEIYMRSQMRPMIIAR QAFRRPDQASSQ
SAPIO_CDS10044	MGQEESQVDDARTPVTLEERSLSAVASLIKNGKAQQIVVLTGAG ISTSAGIPDFRSPKTGLYANLARLNLPYPEAVFDIQFFRKNPLPFYVLAKELYPGNFH PTLSHAFISLLATKGLLHKLFTQNIDCLERAAGVPHDRIIEAHGSFATQRCIECKTPF PDELMKIHVYQARVPKCVTPGCSGLVKPDIVFFGEALPADFSMNSDALRAADLVIILG TSLTVYPFAALPDLCLPKTPRLLFNLERVGTLGGRPDDVLVLGPCDAGVKQFAEELGW LDELNELWAKIVGDEEVARQRLRQESPAQDLKMLDEEIVESLLESVEGMSLKDYAAGK EQEPARTRDPKEPSETGAPAEPSSIVLPKAIIDHLEQHLQDKITTEAKRGEDASGNGK PPGDKEEVEKAKDELKGEAAPTKSEPTAETDDKPKVEEAKDEHLGKDTQTKSEPRVET ESSGKSDAHESDTTKEPVTTEAASTEGKL
SAPIO_CDS10045	MPSPSVKLALTALSLVQYVVAAPARADSAVIIKRQAELLDEYDF IVAGAGTAGLTVADRLSESGEYKVLVVEYGYLDGSHSITAMGPDAQQPGNVDYPSGTR MYNITSQPLVHLHGRGNPVACGSVVGGSSAVNGMFFDRGSAEDYDAWVLSAGDEADEY AREWGWDNIYPFFQKSVTFHPPDERMQEEYGMTYDNAAYGGDTPIHSSYAPFQWPTTI GIWKAWETIEGVEFPKEHADGNATGVYWCPNSIDPSDRTRSYSRRGHYNNGANKRTNF HLLPAHRVTKVVLEEVEGDEERPYRATGVHIAPRDGPLWESGPVLIKAKREVVVSSGS VHTPQVLQRSGIGHKDVLEAAGVEVKVELPGVGFNLQDHAHYSISFNFTKNFSPNPAD LGTDRNFSEQALAQWREDKSGPHSANVNSGAFLPLSFLSNRSSEIIDAWLAQDPAEYL PENTHPTVVAGYEQQRKVQAELFDSKKSAELEWLFSGRSSFSIIMIKITSRGTILLSP EDDGDAQGHVEPLVDWRTWSNPIDGNITVEFLKFARWFMQSPAMQETFAPVELSPGPN IETDEQIRAWIQTRISPSNGHLIGTAALGPRSLGGVVGPDLLVHGVQGLSVADNSIMT TIVGAHTSSSAYAIGEKAADTILRRAKKNGDTKEEAPAEEPTEEDDACVEDPEEEAPV EDAPAEEAPVEETPDEEAPVEEEAPVEEEAPVEEEAPVEEEP
SAPIO_CDS10046	MTIRFPGPTNWVALDPEANILCSIGTRQSPIDLPGTGVSSIQGT SVTLSIPDLPDGAPVENLGTTIEVAASAAGGTLVVAEEGSSLAGVLDGSFRLEQLHFH LPSEHLDNGTSRAMEMHMVFEGEDEGEEHGKVLVLAAFIDAQGPGVVLEDVFSVVEEV AVPGSAATTPPLALSELARTLTAGQFQTYSGSLTTPPCSEGVTWLISTQTLSISREAF DRVRSVIGFNARFPQNELGQPNLLQGVGQTAQGTADEIAGDDAEAMASMAAVAAVMAT WIPIPIPP
SAPIO_CDS10047	MLSARQAVVRASSSLTTRAFFSRAALLQKHALRPISTSTIRGRP ASSLPNPNQTLRDVVVQTVKNIGSHREGQQYLKYFTSVSSESFAIIKAGGAILTEHLD DFCQSLLVLHQLGLYPVVVHGAGPQLNKLLEDAGIEPQFEEGIRVTDKKTLGVAMKLF HQESLRLSEALNNIGVSNMPITTSLTADYLDRDRWGFVGDVTDVNLEPIERAIKAGYI PIVPSLAMTKDGQVLNVNADVTAASLARAMKPLKIIYLSEKGGLFRGDSGELISEIFL DQEYDELMAQPWCQRGTRLKIKEGKKLLDTLPRTSSIAIIHPDDLQTELFTISGAGTL IRRGEKVEVASSLSEIKDLPAFKAALARGQSADKEVVASIDNYLQMLKDRPFKAYYDD AMSCLAIVLQPTESRPFASWVTLSITKPGWLTNTAENVFQAIEKSNSDLVWTVSKNDE NLSWFFDRCDGSFCIDDVVLFWRGFRLEKYSELSPILDEVQALGRISLGEPSAAENDA PPAKATTTKNSSPSAQQTRAFSTSTRPRAFQSARPTQARTYVTETNPNPPLGKKNASA DRPARVALIGARGYTGQALIDLINTHPYLDLTHVSSRELAGQKLKGYDKREVIYENLS PKDVRDLQKSGEIDCWVMALPNGVCKPFVEAVYEGQKSSNNKSVIVDLSADYRFDNTW TYGLPELTKRADIQQAHQISNPGCYATAAQLAIAPLVEHLGGQPTIFGVSGYSGAGTK PSPKNDVELLANNIIPYSLTDHIHEREIGHQLGVPVAFTPHVASWFRGIHHTINIPLN KTMTSRDIRQIYQERYAGEKLVKVIGEVQVKSIMNQHGVEIGAFTVHSSGKRVVVCAT IDNLLKGAATQCLQNMNLALGFAEYEGIPTM
SAPIO_CDS10048	MVEQDAPYDPYIPSGQQGGQGQQQGGGNSRTQALQAQIDDTVDV MRENINKVSQRGERLDALQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRMCIGIGI IILIVIIVVPAVVTSQNK
SAPIO_CDS10049	MAADMMSFYPGNQPGGTPGYIPLPYYWWEAGALMGTLIDYWYYT GDTSWNEDTTAGLLFQVGDEADYMPRNQTHTEGNDDQGFWGLAVMSATEYNFPNPPAD KPQWLALAQAVFNTQAARWNMEYCNGGLRWQIFTWNNGYDYKNTISQACFFALGARLA LYTGNDTYAQWAEKTWDWTRGVGYIDDQWNVYDGAHIHDNCSELTPYQWTYNIGGFVL GAAAMYNYTEKQVWKDRVDGLLNGSAIFFKGDNNDIMTEVACEPVDRCNIDQQSFKAY LSRWLANVVKWYPESAGRIMPWLRASSIAAAKQCTGGDNGRMCGLKWNNNGTWDGSTG LGQQMAALEVTLANLIDQSRRPVTADSGGTSVGNPDAGTNDIGRETSVTFRPITTGDR AGAGILTALVLMGLVGGMVWVLSEDNEGKSKALGQLPGQFSSALASLGIGGAVAGRHL MGGLGKGKEKESIDSMEKVGGVAEHTSEASIQQGEVLSPVQMPPPAATAPTPVSVSVS AMTGGSGSSAEGSDAWVPAERGSQRSSRRNLDV
SAPIO_CDS10050	MSFEELSYLGASAAFAAYIVSTAADTYYSVVHGQHLTACHTIFS LWAVPVAFVAQRACYRNYVISRTARKKGCKPANALPQRDPILGLDVFYRTIKSAGKGR IVQQWHEWFLENGNTFTTKYLGTKTFFINEPENIKAVLTREFENFPIRGPRLTSTLLL VGKNSILSTNGKEWHDARALIRPTFMRNQVSDLAIMDRHVDYLLDKIPADGSAVDMQR LFYMLTMDSSTEFMFGQSTNTLTSPTEGALEFIKAFDAAVPSAANLSRIGSIAPYLPR KEFEASRKVYVDFVERYIKDTLSKNKASERSYIFLEELAKTGATHDQIRDQILGLLLG GRDTTASALSSLFWILARRPDVVAKLREDISLFGGEKPTWEQMKNMRYVNFVIKELYI ADKISLAPMPTIFVQNDGRTCGPHGSGFHSPEGLAYVSANS
SAPIO_CDS10052	MSMFRAKKLDLGCFINTRIIRDHTKRKVFEQFEPERQALRYIIR NTTLPPRVRAEAQLQLSQMHCYTRPTQVRNRCILGGKTRGILRDFKLSRYNFRRQALD GNLPGVKKASW
SAPIO_CDS10054	MASQSPPRMGSPTQNEDGFREVPARPPSIVSSRMTDIASDDGEE PHFQSTRPAQRRSLVPSESRPGTARTGVSSKGSRPHPQPLRNNYLSGVAAQRGSVGAG SITSSIGRTPSTKSRSHVPSLTSHAFFRPMSSQKLQAQRGGPRPSAIARQAESAQDPS STAPSNLQQSPTSPPPRPLGLGEPRSEDGSFNAPPSPGTEVTGHETLDRTANTSPTQG HHTAHGSISESMRPLQKKKFENQNLNITVDKSYAERSSAIAGVLKSPRSFRSSFLLPG NISDRAQSSANRDLEGAEKLSSGASTPHPESVHRGATPNKAKSPQPQPPRIGKVFEYF EGNTVFCLGGRWQNSRQRPVNVATGLMVVVPAVLFFVFSADYLWHEVSPAIPITFGYL FYICISSFIHASVTDPGILPRNLHRFPPPEDDDPLRIGPPTTDWYLIRSADKSSAAME VPVWHCRTCHIWRPPRAHHCRLCDNCVETQDHHCVWLNNCVGRRNYRYFFTFVSTTTL LAAYLIGACLAQVLIYRAREGILFSEAVSHFRVPFAMVIYGVVGLLYPLALMGYHIFL MARGETTREYINSHKFVKTERYRAFNQRNWIKNWIVVLCRPRPPTYYKFKSKHSFGDQ RLSEHRGRRARKDATQAMEMEAVKPASSGFQGPVSLRSQRSQQLNN
SAPIO_CDS10055	MSSIASRRLFSTTARRLSGEEKAASRSGSNTPLILGAGVAVAFV GAATYFRNTKNAAQENPWEEETLAKHKVGKEAPSALNAVVLPSVTLPKDVHDRLNKWG KEGYP
SAPIO_CDS10058	MPDFPPPPPTFSEKKAKIIAQLQLPPEEYTDLSPKGSVDEALID VIDEVNAVEGFVTTSSCAGRASVFLEGSRVRPEAGSGEGSTVAGVGGKGGGGTWLYVS HDPFANTSPGRDWEAVFGLNGDDGESARDEEKRFIHFKFEPMILHVLSASLEHAQALL RCAQQAGFRESGVINVTSAAGESDVIPIVAIRTMGLGFESLIGFQVKGKRQSMVSTSY LETLVDLANTRFVENKKRIERFRSGFENLIANRKSGREKPEGWEDSEARRARKRAEGL KRREELKKAEQLQNRDGHPDGAEGTADLFTDLGL
SAPIO_CDS10059	MGTSASKPSANGAAYEWKGSGPIGASQELVGSIQSSKETDASRA KLMEIHIEARVAEKLRELHENEAAALKEAREKVSLEDLPADETLTSHKVSKEVEALRA RLEGRKKIKDLPEGVETARNNVIRCLRDNDRKPLNCWKEVEAFKDEVRQLEKGWVEKT AS
SAPIO_CDS10061	MNHEGFQSRLDQVKDVLQRFGLNARDISPIAYEEDCPFPYNNFI YKISLSEPVTADSFAANAAPCTFAPRSQGINDLVIRLSNNKAEGLNQANRVENEVASL YLARKGLESSHKPELAEVVPAVFAWQSAKSSDRELGWILMEFKPGESLDGHFASLSDV EKKSAIDQIADVFSGIQTAALPSTVTSFGGLTVNDKGEIISGQMTTIDSEGAPWSTYI DFWKAKLASQLKGAEESPALKGWEPNGVRERIDKFLASDLEGYLVDAGVDLTKRALIH GDLTLNNILFDKQSTKLTAILDFDFSTILHPTHEFFTSLQDLGGNTGGVFGPDPTAGL LSDALLTGDFDVPGVPDEAADLWTLARTWDAALAARGTLRPSIIEGIAALEGLRRLEA ALCPFRLAHPMFLKRKTGEEIEKERAVAEETLLSRLASLGF
SAPIO_CDS10062	MSNTEINQVLANSLSPDATLRHDAEQQLSQAAENNFPLYLATLV QELANDSAQGPIRAAAGIALKNAFTARDFARHQELQSKWLQQTDDDTKNRVKELTLQT LASSNSQAGNAAAQVISAIAAIELPRNQWADLMTILVKNVSDGAPHQKQASLTTIGYI CESQDPELRASLISHSNAILTAVVQGARKEEENLEVRLAAITALGDSLEFVGNNFRHE GERNYIMQVVCEATQASDSRIQQGAFGCLNRIMGLYYDNMRFYMERALFGLTILGMKA EDEDVAKLAVEFWSTVCEEEISIEDDNATVESSDQIRPFYNFARVAANEVVPVLLTLL TKQDEDASDDEYNISRAAYQCLSLYAQAVGSSILTPVLQFVEGNLRNDDWHNRDAAVS AFGAVMEGPEEKVLDPIIKRALLPLIAMMEDSSLHVKDSTAYTLGRITEACPSAIDAS THLEPLIQSLFNGLTNYPKMAASCCWALMNLAERFAGEPGAQTNPLTPHFNNSVTHLL NLSARNDCDSATRTAVYEVLNVFVQNAANESLQAVASLSDVILKRLEETVPMQTQVVS VEDKITLSDMQTSLSSVLQAIILRLDNEIAPQGDRIMQVLLQILSTVGGKSSVPEAVF AAISALATAVGEDFLKYMEAFSPFLYSALGNQDEPGLCSMAIGLVSDITRSLGERSQP YCDNFMNYLLNNLRSTSLSNQFKPAILQCFGDIAVAIEGHFETYLPVVAQVLQQASSV TASPDGPYEMFDYVVSLREGIMDAWGGIVPAMKASNKTGVLQQFVPSIFNLLQMIADD LNRSEALMRSAMGVIGDLADAYPNGELVEAFRQDWLTTMIKQTKSNRDFQPRTIETAR WARELVKRQLGGQAGTMQQT
SAPIO_CDS10063	MPACPPKNVRADRTSKRDLNKTRREIMALTVKPAPLLDFRKALP VDEWELLPPLPEIIEAVNTFTRHYFQLGFGSGVKAAEIFMERAEQLALSKIYDQPSLE SCQAFYLLSIAQQGSQDNLHSGPISPVSLSASDITTLLPSDEESFATGREPESRAALE DTPPAHDNPSLLADPNRSLFASLIQIHYYWGSIARRAVNRRKSSQQPWDPRSEFTTMA TKRKPKICIIHIDSTKDSARQAYFSEMSLQLFSNVRDLYAQINAQFTLRTPDESVGAQ MASFCVYSCGLFSAYLVKYPRICPDPAISRMGPEMLQRAMSILVECKEVWPLASRWLE ALEKSSRDPKGSSFGLEGSMADGSQSGGGSLSLILETGPATPKSTTQDMYDPTGAYYS PATTTLGPGNDGFEGELQFYIDGAQAWPGSSALFDYS
SAPIO_CDS10065	MKIQNILAVLSFTASALAATNEPCIGSGGAAGVCITTSACSAAG GTSITGACPSDPSNVRCCTKPSCSNGSNGNCRWQSDCAGSTVANQCPGPAQMKCCSSK ATGFGGYSRPGLPAASNACKAVSVNGAKKILDAWPGRVPTMSGREIAEWVMKNRSSLN VKYIIWGQRIWNPSRDAQASWTSWRAMEDRGSITQNHWQVERTTSMSASTRLNS
SAPIO_CDS10067	MWLPGLVYGFGLLSTVRGTIIQNGQVRETNYLDTRIDLVDDSYT TYANDASEISYLGRWDSKKVSWWAAPGIRFGFTGQTVAVTFGEHTIDGTLVGYRLSGL DWQFTNVTSGGTHLFISPEVQGVELTAPVSPSTFEMRVTNWGYGVQIDSVHVSEGEEL VKLPRQPRFIEFIGDSLSAGMYNSYEALSGFAYGVGQGLGNTDYSITAYPGICVSDQD CWGNTRGQSHQWFYTTDNSWRSKEIWGDEPEPWDFGKQQDADLVIINLGTNDANAANN VTKETYVEHYKRLIQGIHGVWPDAQVVVMQMWQGFYQDGNSYAQNADLREEVYSVYKY FNSEEYLSNAVIWDGINEKATKTETSIEPFVHFFNTTGILQHNDIAPQWHPTDVGQIK VASHLIQFITQKFGWYLYATGPEVFADTLYWNDQSGY
SAPIO_CDS10068	MATTMVPNHYAAQQVASYSYVQPPQPPPSPPMDDNKCSLPSISN LLGLADAGSPTSETSPQNQQQQASQQQSPRQTQQQVAQQQMQQQVQQQQAVQQQMQMQ AHQQIPQVASPAPSRPDTRPNSAHYSHPAMMRGGMPPTPPMTSDASFDSYPSPATKSI AGIPVVSGHNYYYETTPPLEEPRHMTPVVSRVPLQTSYPQQSFAASPYMTQPTLASYY PPMQPTPPPQPQISGLYYQRPLPQQFPPMPVSVGLGPTSSTNPWQHHHYIAPSSTTSF PQSQDRYICQTCNKAFSRPSSLRIHSHSHTGEKPFKCPHPGCGKAFSVRSNMKRHERG CHNYDSSNSSSLTS
SAPIO_CDS10069	MSTTTSSEYDVLEKIGHGSFGIIRRVRRKSDGLILCRKEINYGK MSQKEREQLHAEFQCLSSLRHPNIVAYYHREHLKSQQELHLYMEYCGSGDLGRVIKDL TNKGQRATESFVWATFSQLVSALYRCHYGVDPPEIGSDILGIISGAQNQKAPEGGVVI MHRDLKPENVFLGKDNSVKLGDFGLSKMIKSHDFASTYVGTPYYMSPEICAAERYTLK SDIWSLGCIIYELCAREPPFNAKTHYQLVQKIKSGKYPALPDCYSPELSSTIRECLRV NPDERPCTFDLLQLPIMRLMRKEKEVSDMNKTLRAREAVLAAKEAELAQQAAALESTK SLIRDEIDANLRREWEVKAQLEITKHINIELENLHRQFDIEVRAQVEAELKKRAATSL SSTNPTTRSDLMSSLKSTQQSSSSMAAADNSELGATTNTEVTEPDVPSSPPKRVLGTP MRRVQTMFAGNAATPGDVEMSEPSPMAISSLSLSPRRNPATKAPTLGGGIFKANGHQP NPDLLWNVPRDCKTPDSDDEDLIPSPTRNIKSNKNPFMLKNRPILPSKISNKPLPLIP PMQMSPEGPKGGLQDRPASPTRRLSKIPSATSLVHRNNEAGCQLGRKPSIKKEDLPLG KIAAKNNIRGRTLVELQQARAGGRPLSAVIAPGAENVSPKKASKDKLVVRRASDTIPG CVAVWDPERDEMPSPFLVRTKPRGRV
SAPIO_CDS10070	MGTHSGGRGGSRGGGRGGGQSGSRLQDKEVRFAGLEEGSEPVLR KHELELKLYQEKEMIQSGLLRDENPLDLSEEFNELIEACRRGDLKTCQELISLGVNIN GRDRFDYTPLILASLCGHYELVELLLESGALAERNTFQGERCVYNALNDKIRNLLLRY DFSKSTDPLQTWSAHLNSLLSRDVPRTSDIVVTSNGQFFGLHKFLLSSRSPYFERKLS VFPATETWKIEPSIPIESFRIVLQYLYLQEVPRDLVTTNSESTEEEVLRGILKITKDL EIDQLWETILAMNDRRLVRQRYQDEVNRAQEQIQKFFDTSILGHRMVINEEDLTKVQW PRSNSIFADCLLAAYEEEPEAEEDGSAEQAVAQESNSIPVGPVSNMSGAKDSRPRKVV LYPAHKAMLIRSLYFETMFSSEFLEAQESENLHVITIDCVPEVLEIILSFIYTEKMNC PLELALDLLYTADMLFLEKLKNKAATIISALGSGNSNVLVDRTHAPGPAGGPAALVEA EPINIYDVIHAAWDLRVQRLEEFAARYLAYRLEDYIDDEEFADLIRQSAERIEKREET DTIELLDDIRYYLDERFRLRFEDAGLEDIMEEQGDVDASLAEAMENKVNLTDKEGEQV VASAPREGTEAEVGPMRTLDGQVVEDEFDLDAINHQILHRKIDEMLERLKLDA
SAPIO_CDS10072	MTRAVAAGWRSLQSVARQSTCCQARSISTRALRSTQARPVANAS QILRQQFRQPQLTQKRTYKTVEEAKSRYSIGPFSWKAGILFVITCGGLVWYFEFEKQR MQRKRVAEATKGAGKPLVGGPFELLDQHGNKFTHEDLKGRYSLVYFGFTHCPDICPEE LDKMAEMLDIVYENRPDSLIPVFVTCDPARDGPKELKEYLVEFHPKIVGLTGTYDQIK SMCKAYRVYFSTPPNVKPGQDYLVDHSVYFYLMDPEGDFVEALGRQHSPAAGAQVILD HMKDWRGQKKF
SAPIO_CDS10073	MRASVAHALLRAPARGRGIHGVATRSLPTCPTVTRRQLPIESAS KWQSRGQCSPIAVSTRPYTSTSNPSSIFPLGHPPKFAFAFDIDGVLLHVAKPIPRAGE TLQFLHDNNIPFILLTNGGGKHESERVADLSEKLGVPLTTDNFVQSHTPFQQLAAQGK KLRNGTILVTGSDASKCREIAETYGFKNVVIPADILQAQPEIWPFDPLMEQVYKDTAR PLPKPVWDGKMNIQDALKIDAIFVFNDPRDWALDSQIIMDLMMSDNGVLGSLSHKNGK PTPEDWRNHEQPGLYFSNPDVFWATTYHQPRLGQGAFQAALAGIWSKITGGDVLRSKT IGKPFRETYEFAERVLNDHRREVLIRGGHAKDELPDLCHVYMVGDNPASDIRGANEFQ SPRGTIWQSVLVRTGVWRPPPGETTGPVEFEPKIIVDDVKAAVQWAMEQEGFGGHL
SAPIO_CDS10074	MTSIIPGERVSGLKPVLKTSTTNDGSKVLKSSASTPKAVHIADT DPEVAPIDDNSPRKQFNAGLGSKRLSGRMPIPTNSSKSPILGPSAAEDLPSRQGDGAE SLTAVLSSSPVPLNSVANSNGASPASPNAATTTVPHSASTPNLQSQGSNGRPRNNYAG ELLVARVGEWLEHERKKISRRKDGKHPLRNIQSVDEQTNGQDVQPISYRDRADSIDSQ SSEVSFDRLQRILEDSVAAMGLSSIPSMGHMHRTRSSPRLRRGQTKTLQLQRVPSSDT DQFDLDVVVPACEAVLDNSKTMSYSGGSASTEDLSSSTTLSKRESRGRQAWITFKNEV IRLAHTLRLKGWRSVPLDGGEKISVERLSGALTNAVYVVSPPKDLDLLPTNGTNGTNG AGTPTGKKGPKKLLLRIYGPQVDQLIDRETELAVLRRLAKKKIGPRLLGTFTNGRFEE YFNASALHPRDLRDPETSKQIAKRMRELHDGVELLDTERDSGPNVWRNWDRWLDSVGK RASALDTLFESEDAGPERPVENWRIRGRVCGVPWAQFKAIVEKYREHVDKFYGEGNTL RSRLVFAHNDLIVIDFEYAAANVVGLEFANHFTEWCYNYHDATAPFACDSTKYPTPEE QHRFVKAYVDHRPQFPHAGSTPRLTPLDTPSLPHSVSVSSIVDFMLDARASAQSNWRE EEKVREEQSEKRVGELMDETRLWRAANSAQWVAWGIIQAKMPAATDGEAEKEAAADGV SEDDRASAHSAEGGEDDEFDYIGYAQDRAFFFLGDCLQLGIIAPDELPERVRQMCVAT IGRRGVTKGISRKAIKAVQIKKACEKIIDPGAPLALRLQSNLLYGVSRVYSEQCRYVL DDAVKMRSAMAALDIQIGAMNATDPKAGKAPRGQNIIPDDPHFNIDNITMPEIDLTGF DDLEDFLRTHGSGSQGSSMMFSQLSPLDGSFGSLSSDSLRFDFLQSGESSQQLPTPLK GPGSAGPKSLVGAGGVPFSDDDALLPVEGGFGFEVDAEGNLIELGEFELPPLPGPDSQ IPGIAELEEMQIRFEEPEPRAQATKVPTDLDAMMVEEPLPDAEAFQTQEQREKEQQAA KASSPRKSAAPRGPRRRLPSARAFIDVAPPQIDDAEYKSTGSTYVERAEAEAYRTQET HTVRAKKNAFLVTFGTGIADVGRDLGVVGIQHPLAEFFAGDALRNTVLGVPSIEHLRS PESENGRRRRRSASEAFSEEGEEQGRRVKQKTDTYQEQPLGDEIQLPIEGDDILSEVG RRAQSLAEPRSSSGVPWSRQGSVPGSAVKSITGTGRRSRQVSASPLVGRGSVLPDIER FSDPHLPSDDFFPGHHDNSYAHSGRDSSSFGEFASMNESQMQRAVDQAGQDFLAYVVE RAKVSGRADEAKDGRKWVDFDELADPATHGREVAAQAFYHALVLATRREVAVRQGGLP WKPFGRIEIGVDVVEAEAEAEVEDEGVERVVEVTEEGKAVEEGDDI
SAPIO_CDS10075	MESLSETSWDVVICGTGLQQALLALALSRSNKKILHIDPNEYYG GPDAALTLQEVSRWVDDHASSSDKDGIFKSASITKALESESLPSRAYSLSLSPQIIHA RSALLSQLVSSRAYRQLEFLAVGSFFVYEPATEDGAKPSLTRIPSTREAVFSSSAIKP KAKRALMKFLKFVLDYEGEPQSELWKARADEPLESFLESEFKLDPALRAYVVTLTLSQ SGKISVKDGLSIIHRHLNSMGVYGPGFAALYPKWGGTSEIAQVACRAGAVGGGVYMLG MGIKALRQVPAEENDGATLEIELDNDITVKARSLVRGCGEIPAAETTVSRLVTIIGSP LRSLFEAVVEGSPTPTVAAIAFPKGSLDGASDHPIYVMAHSSDTGECPSGQSILHLTT LSSPNSQQILSNALSAVIIALSTEGEESPKRLYQFSYEQSQSSPDLSIDGTIITLPSP RLDLAFDDASLDPIRETWDAVNRILGEGDPGDYMMFEDREGVDEDDEL
SAPIO_CDS10079	MSDPFSVASGAAGIISLGFTIAQGLFQIAGDIGSAGQEIRIYAE EINAFSKLLRCVKTELESSTDVSLDLQSLLKDVTDICDRVLTPLDRLQNTLKPLLVRF KTSPGKIRQLRVRLQWVFTSKDKLLFYREALKGQYQILDTVLDVMILQTTRDRSSQNI HSDDFGEMLSERDVQAIDEQIECDLSSESLESAQDIWHDTGALQRKVLRLASHTLCSS RKTYTEGTTTSTPPSRSGIEFEMPVIFVCPPKNTDGPVVGSEILYLNGTDASLIATDT NLQNEIAMHEQFSIKGIGTTENEHSTWATMLNELQRMERDSMAWEEEEYTRIGDDKRP RVVWDDHTMAVALQKERRDWGTMPSNVKRPYAVTSICHLVEMVAMLGLHWKEFDQSQD RYRAEGNGCVLTGATLANLGIVFNFRISGKRKFRENRLIPANELLPHATESNLLSLGR QVFLSPFEFIERAEELLSLIGNLNLRSFSLRILDSLHEALDECDSILVRRKREVIRIV RRHLQEALLPRDGSPHFRGVNAAGPKERERVFMESYVRIGDIRGDALGSFDTSNAITV EWASIEAITYLAPHLRFGRNTRGKRASRWRRKPSWLWANNSAMPVPALIMFIPSMSAI ANQSIHQGLRLVSSASYTEVEAHTGHPIDVILHFDPPVGIWLGTELLTLMSVQRLMLD IAATMDPKVEETWDLSEDGCYVALTNSSLDVNAVMDKVRSPAAGAIVVFAGTTRDNFA GKPVKELKYSAYHKLALQTMLSIAKAISKKHSLKGFAMVHRLGVVGIGEESILIAVSS PHRQAAWRAGEEALEECKSKVEIWKLEQFEDEEGVWRANRDGTMGQRIDGT
SAPIO_CDS10080	MAQVEGTMDIPTTEGITAIGEALRKTTRGRDSVIHAGMRTMMTP ITPISTDTTDTGRIPIQTMSVTVDREAGGLPTDISANEFQSSLLNSSLISPDDIIELR IPSSGGSRRAFVQFQTVDLAVRFVDRHFPELVVDSTVTRNASEPRRLMLYLHFARPRD DGDRRQPPILSGYTHMLTGETDASNDPSQFLVIYPLDACVTEEVLEFGIKKLEIAEKQ PASKDAGAPAKLKSTAPTGDVSGYGARRGSLHRVFLIRDKSDSQSLKYGFAEFWTLED AAAALTKFRMSRNFTIGGASVNICSIHMGVFIPELQQPSPQEEKFSFVPLFNPALRVK YWDPRVFANQRVVNAEPPVKADPAAEGASVSTEAKKAKKRKADGNLSSGVTKKPVAMA GQMAMWQKKHEELRTDGTVGSGDERASGNEGASESGSSRQQNQPSSTSTMPPKEKQGP IKISLGGLAVAPPATPADDGAGASPNNMTTKSEPPVSYVDRDRVCCLLCMMKYKSLDD LDTHERSGNHKRAMADDEKVKAAMPRLGARDKRLQEQGKANDEGKSQQYRDRAKERRE AFNQPKKPTPQLAKQKVPKPEAPAPAPATAPAPSKGSAMLAKMGWTGQGLGANGEGRT EIIATNAYQEGVGLGAEGGNLGDAAELAQRKTKNSYAEYLTTAQDKARERYNKMN
SAPIO_CDS10082	MASHRASSTTGLVLLLASLTPHLTLAEPIPGGPKCLWNPAGPLH SRERTSCPLAVDDTSPPSALDASPWLQPLKCRHSRTQSGRSSSIVETSTNGEAVRCVY STHDFRGAGLSLVTRPETAANIVGLGGLDDLEASPMSLERVYGRFSTGPSISKSGRPA YAVEDLPGKGKGVIARRRIVKGEVFMVDYPALLVEAGMMSELTPGMRKGVVKLAFENL PRETGAEVLDLAKSTGGDEVLDAFTTNSCNVFMADGEAHLGLFPEVSRMNHACEPNAF YRFSPRSLTVEVAAYRDIEPGEEININYAYLGMPYKERRKYLQDSWNFNCTCHLCLAD TATREGSDARRMAIANTRNALTDAQKAGRHGEAIEKAGELVGLCEEEGLFPLVAGFYD SMARGYLEIGDVEDAQVYAELALGGWLEYYGEDSVKVEGGLELLDAIGRRRDKQG
SAPIO_CDS10083	MTAFAGPRHLATGVDTLTAEDFETASIRSAAPSYVSEAPSYHST VPITESAPPYSAAVADSSRNLTSSHHGATSNHQPQRRGLPPVPTTPLPMPSLNAFSIP TWSTFSANPTTRHYHSVAQRRVAAANSRVGSSINRQFMLDRVTEELQHESHARPGSGM SRTNSGSGLSGVESFFRSSDGRPHEDPNLVGEEAARTARAERLAREREAALIGESRRW DLYLSQMNDWQQRERSWSRFRRPMDRDERKGFMRRISGRLF
SAPIO_CDS10084	MADSEMAPKFAPFVGMAGIAAAMTFGTIGAAYGTAKSGIGIVGV GTFRPDLIMKCLVPVVMAGIIAVYALVIAVLIAQDLNPPSQANYSLFNGFMHLAAGLS VGLTGLAAGYTIGKVGDKGVRAYMEEGRVFVGMVLILIFGEVLGLYGLIVALILNTKS KG
SAPIO_CDS10085	MADYHHPSDRGPPHRPREYGGNSSANYQREAAFSNIFGAAPPPG RSHTMTSSVAPPQMMSADDRTHTMTSTYSTMSGGRPEIHRQPPPRPPHPSQYADQQHR MYPPDQVSGGYYPPPPRSVSGGHQVPSPQALQYMQQRRPPPQGVPPPRFESRPGPPQG YGPPRAATQRFHPGGGPPMPGMNGDPYRTQSLASSSRQQMYHPPPASYQSHANPSRYN AYNPNSARTTAQGRVVPERGDDRSMSMTGYHPQDRDAHQTMSGRVIPNRRFPSDPQGN GYMSVGGGGHGGYAAPGSQTRTASMASSSGYDNNRTMSMASTVAPTITPSEADTVIAT TPTRTSTSSTPTAPSQPQHQHSRSSASKSIDSERPPTAKIRAPLVYPALLSRVAECFR RKIMVGDRTKNELTYKNAFSGAEAVDVLSYIIRTTDRNLALLLGRSLDAQKFFHDVTY EHRLRDSSNEIYQFRETLMEEPEDKPPVNGVFVLLSECYSPTCTRDQLCYSIACPRRL EQVSRLNLKIQPGLRKDHANLDDVDQTDEQKLWINSVPKEIAESVGEREKKRQEVISE ICYTERDFVKDLEYLRDFWIAPLRSKVSPIPIARRDKVVKLIFSNIIDHPSLHTVSSR FAKALTERQQKNPVVRNIADIFLEFVPYFEPFIVYGSRQLEAKFEFENERSCNTYFAK FVDEIERRKESRKLELNGYLTKPTTRLARYPLLLENVLKYTEPDNPDKDDIPKVLTMI RDLLSRVNKESGKAENRFNLRRLHEQLRFRPNEKVDLRLTEEGRELVFKSQFKKSPTD PTEITAFLFDHAVLLVRIKQAGKGEEIKAYRRPIPLELLDIKEMDEVIPQQGAVKRSS SSLIPSIRTNNTDSKKGEGWPITFRHLGKNGYELTLYASNQAARKKWLEYIDNSQQRL RARADFFNTADVSSGYFVATNQVNCVTPFDGSRKLIIGTNNGIYVLDRRAGDAAPKRV LEVPSVTQVEILEEYQLLLVLSNKSLLSYSLSALDPNEPALARRPKKIQSHCNFFKAG ICLGRHLVCVVKSSALSTTVKVYEPNDAMAKTKKQKGLGKMFGGGQDELRPFKECYIP AESSSIHFLKSKLCVACPKGFEVVSLETLETQSLLDQADTSLDFVARKEGVRPIHIER LNGEFLLNYSEFSFFVNKHGWRARPEWRIDWEGSPQSFALSYPWILGFEPNFIELRDI ESGAVHIVPHKNIRMLHSSTHEILFAYEDERGGDIIESIDFWKSNNRRSELLPVPGSP 
SAPIO_CDS10086	MDKPKTEPLKNRAAASKSPYVSAQANSRVAWQLLDDEAVSRAKA ENKMVFLNIGYSACHYCRLMMTEAFAHPQCAELLNSYFIPVIVDREERPDVDTIYMNY VQAVNGAGGWPLNLFLTPELAPVFGGTYWPGPGPGTDSDSGSIETEGEDGLDFLIILK KLRKVWLEQETRCRTEAKDVLAQLREFAAEGTLGRTIEHRASFPAIMAPPSAALGMHV EAALAASSQPDDSTELDIDQLEEAYRHIAGTFDPIYGGFGIAPKFPTPAKLLFLLKLS QLPTEVQDVVGSTQCAHAREMALFTLRKIRDGALRDHVGGQGLARYSVTSDWSIPHFE KLVVDNALLLSAFLDAWLSSGLSKQDEFFEIVIELAEYLSSAPISLPEGGFVSSEAAD SYYRQGDTHLREGAYQVWTRREFDSVIGDSHDSAIAAAYWNVLEHGNVEPDQDPHDAF INRNVLYIGKDVTDLSKQFKVPIEEVKSIIKSAKAKLSAHREKHRVRPFVDDKVITSW NGLVISALSRAAASLQYVEGVDHAKYLDAARKAAVLIKEKLWDAKEGVLYRVYRDGSR GDTKGLAEDYAYLIEGLFDLYQATLDDQWLKWADELQQIQLVLFYDAPKVDENATTID AALQASCGAFYSTEADSAQAILRLKDGMDTSLPSINAVSASNLFRLGSITANETYTLL AKETVNAFEAEILQYPWLFPGLLTGITILRLGGLNFVVLRQNKESAGVASGSVSFDDF FKALNSAPSGGLKAFSVISGKDSWVLEKNPALKKLAEGKDGIYCLEGEEFRPFTSKDL SSFTRQS
SAPIO_CDS10087	MTATANRISTYTSTSALSDGRNGEQKKDLWTSMLDSVASGKRLP EKNILVFGGSPESQREFLDSLSNSDPRRLIDRQRIPPIANNFALGYTYYDVLDSDQED TLARISLYLLSTPSPSFTSLIKPLLTPETIPNTLIVVLLDWSQPHLWLRQLREWILML RDILQSLDSNCVDAMQDVMMSWRDRGRGGGSVNLDGTGVAAADDDAALPLGPSEWDDG LGLPLCVVCQNADRMEVLEKSQGWKEADFDQILQYLRTILLRHGASLIYTTPHLPSPL RSLIHASLGITSLIKREPLRHNVIDRDKILVPPNWDSWGKIRVLREGFDVETVSNGWS EDIHQDWPLPNSSTARDPFRDEEPESHQNQLSALFHYEDWVPDASAGALQLAGPEPSD PTKLEVVSDDPQRFLADQGRLLEMMREKTEELEKKERAAAAAGNTPTRGGRKPLEDLD LVKAGMGGSVVSDHIGPVQFNMGGIQVDADDMVQRLKDRQDYGSDPTTPPADGVPPSL DNTAGLEAFFLNLTKRKPGASVTGSPARDH
SAPIO_CDS10088	MNKIRSIQALNKRELENGIRPEASWHADYRDTAFIYFGGLPSEL SEGDIIIIFSQYGEPVFIKLARDKETGKSRGFGWLKYQDQRSTELAVDNLGGAKILGR VMHVDHARYKPRDDEDPEEGKVDWRSMLRMEGKNAEESSEGEGEEEEDGGRPMLEEER ELEKLMREHDEDDPMKAFLIEEKKKEIKEARERYEKKSRRDKDRHRHRKHRSRRDDGD EDEESRARDKREDRRRRDGSPDTRDSRRRDRERRRSPGEEGSCKDPYAEERERRRREK EKERRQDDQEGDAESIDRRKRRKERDGSLDRRRERDEKRHHRERRRSRSRSP
SAPIO_CDS10089	MNTRPNIESPNTTQVLSVSFNNDSSCFAVGLNTGICVFQSKTCL LKSTRDFNAGVGLVQMMGTTNYLALVGGGKFPKFASNKAIIWDDLGGKVAIEISTLAT VRGVQLSRSRIAIALQNSIRLYSFEKPPNLISVYETADNLLGLCCLTDKFLAFPGQTP GQIQVVNVITGNISIIPTHSSALRAIQISPDGELLATASETGTIVRVFTTSSFAKVAE LRRGIDPATIFSLAFNPSGTLLACTSDKSTLHIFDVPHPKKPPKKPANPVPAPSTVLP GAGDGDGRGKWGILGKIPLMPRLFSDVYSFASAPFAAGDETLIGGLPLSENTTPGTAR PAKGIIGWITEESLVVVGAGRDARWEKFVIVVGEDGRRYCVREGWKKYLGNG
SAPIO_CDS10090	MIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCDKSVLTHKES DDPNSAHIEDGVKIKPITVELDEEGTRISLTIVDTPGFGDQIDNEASFSEIVGYLERQ YDDILAEESRIKRNPRFRDNRVHALLYFITPTGHGLRELDIELMKRLAPRVNVIPVIG RADSLTPHELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELRGLMPFAIV GSEDIVEIGGRTVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHLADLKEITHDFLYE NYRTEKLSKSVEGGAGADSSMNPEDLASQSVRLKEEQLRREEEKLREIEVKVQREINE KRQELLARESQLREIEARMQREAAAAAAAASANQHEANGDGN
SAPIO_CDS10091	MSNAKYTPAPTQDPDDFTQAPPGYQAEASSSTSATPLFAGPRSS EDNIPDDFKFGGSVAEATIDIRNQFVRKVYAILSAQLIATTALSAVSFFSTSYKSWIQ SNPLLLWISVIGSFVFLGLTYWKRKSYPTNLAFLALFTAAEAYTISVVVSFYDVSIVL NALIITAGIFVFLTAFACQTKYDFTSWIPYAFGALWGLVIFGFIYIFLPYSKTADLIY GGVAALIFSVYILIDTQLVMRKLHVEEEIAAAISLYLDIINLFLAILRILNNQNN
SAPIO_CDS10092	MARASGIPGNSTEWEDCMHDEGLDSGEIKVSDISYRSASKLDSR MFLCLKILVGRYHPSQLNVQAIVDASYEPPDFELLKDVIDKCDGQGDPFWVKGLKPNL FSLHQYLTHLVMNSEKIEPDDEASKLTIFQQYLQKKNEKKAIAAMKALSVSSPPPGST ASTSPPSASSTSSSSASPVVAASPSSNPQAQYEAVVNMSLVVFLSSIRLHSTELESAW VAHWHPDPKAFVLKNSQGQQLLQARVDGYLSRKGSRDAFAILETKPFVREAKRDDIER QEGAQMAAWIASDPRSKAPGVLRKANERDIRRRVLISQDRHEIYVTIAEYDVDYVEYI TDERVGGLAGRKTPPSPSRPPVKKRANSDSSSESGASSHASSHPPSHASSHASSKGSS HGRGPDAGFLKMNVYGPFRINHAREMKNLAQIVTHLCVALLA
SAPIO_CDS10093	MATSSRRPQAATVTPRAAWEYMYGPLYKQRTNVLVRRVKRKDES SFRLLARLFVENQIGLAFNLIALLLLAHAFIPKARPVTSKFVTYSYFNPDTGKYGVGF DDGYFITFCIILFTGLRASVMEYILAPIAKLGGVSKRKDLARFSEQAWLLVYYTIFWP LGVYIYYNSKYWLNLHELWTDWPQRELPGLIKGYVLAQWAFWLQQILVIYMEERRKDH NQMLTHHFITIGLLCSSYFYHHTRVGNLVLVLMDVVDIFLPLAKCLKYTGYTTICDIM FGVFMVSWVFARHIFYLMVCWSIYAHTPDLIPGGCFEGSAKTLTGPNDTPADYAYLIE PFLNPEGRVCFTPAVRWAFLGSLLALQVLTILWFFMIVRVAVRVVSGGSAEDVRSDDE GGEKHEDGEVEVLEPLEEEVGVEDLDLKGWERRKRVKRQATSSGVSLPGHSDRKELLG RIGCEKQVD
SAPIO_CDS10094	MPSFRRALVAAAFLLTLLFLLTRSHETEASLAATKPSTANGAES SQKKPVASSQAAGTTASQPDNEPPSTQKPMIDLSTLPLREQLAYQYPYNLQSKFPAYI WQTWKRTPQDPDFEFRDQEASWTDEHPGFIHEVITDDVALALLSLLYASVPDVLDAYK SLPLPVLRADFFRYLILYARGGIYSDIDTFALRSALEWVPTTIPRKSVGLVIGIEADP DREDWAEWYSRRIQFCQWTIQAKPGHPALREVIARITEETLKRKRDGTLLKTMDKNVI EFTGPAVWTDVLFDYFNDKKFFDIKATGAVVDWRNFTGMEVPKKVGDVVVLPITGFSP GVRQMGAKDYEDPQAMVRHDFEGTWKPENERHIGEIFED
SAPIO_CDS10095	MSSTRLADARSAVADKFRHPLAPLTEPEFHRARDILLASHDDPG ALYFRTIQLEEPKKADLVPYLVAEHAGNLSDQTLKPPRLARIQYDVVKRENGITFFQY TQSIVDLSSSRELSREESPVGSNPSFTVSEFAAFYDLCVQSSLFQAAMSEFELPPNFS LAIDPWPYGGPDDSDPSDRYMQGLVFARDDSSGNPDANHYAYPIPIIPVMNWVTKEIV RVDRLATSVEPGTIFASPRRKDDPPIKLFEANKPSEYVPELLDPPLRKDMKPINITQP QGASFTVHEDNLVEWQKWRFRLGFTAREGAVLHDLCYDGRPVLYRLSYSEMAVPYSDP RYPFHRKQAFDLGDGGVGRAANNLELGCDCLGAIHYFDALLVGQPDGTPEVSRSVVCL HEQDNGIGWKHTNFRTSRAVVARLRELVVQFIATLANYEYIFAFKLDVAGNITIETRA TGVVSVVAVDPNIKTAPYGALVGPGVLAQNHQHVFAVRIDPAIDSYAETDTVIIQEET LARPQDPQTNPQGNLYIIERTVVSKPTGIIAEPRNNRIVRLENARIKNPITSRNVGYK LVPSPTQLLLAQEGSIQARRAGFASRHVWITGYRDGELWAGGEFTNQSRNETGGVVDM AARTGDVLADVGQNGEEGSAMAGARNRCGPVVWAVFGLTHNPRMEDWPVMPVEIHQMI LKPADFFTSNPAIDVPSSKNEASVLVGCCKPGENGVVDGRNGTLNGACHGSVNGTD
SAPIO_CDS10097	MFKQAYDYILHQIEAMSLSSPKQDGPFEPSPIDVLVLKAMIQKA RVLPPEIVDSIVEFAEYWPCSHSYVDYRNPVTGHESKAFRQDANTMLIRTPPVGFRNP LTDRYATDGTIPAEVMRKECEPKHFEQSLGEAMPLLRNPVRKIVFTIKSRDQGWGGGA NGATYHGSYTWFEAGLERFDPEGKCIDEEEGKACSHRPVDKPDHFLPYCKLRSVYPEP ALMVDGRIHLHHPLLPDSKHLIQCNKVAGRSPMTHTITWSWLDDIDPKSDEADDLVLF GRGKETGDGEFVRNLKVGDVITVWAKTRFPGWVNNVYSVKVDVYWAVQQGCAEWSANR VFLCGHPQQLLDTECFKTFCAVAEASHDKRCGGQPRKAVLGSKKLNEPCGECKEEKKW KLCNGVWAQL
SAPIO_CDS10098	MTTFTHKQLDIPVTLPEGLTETEISTFKPFNNWLTTLTNSLKLQ STNPNHPFHADPYTLHSITIQAVDRFAKNRLGFVKLAATLANAAGEKLPSAVFLRGPS VAMLVLLVPDDAPPESDERYAVLTVQPRAAAGSLAMVELPAGMVDDGSFSGAAAKEIQ EELGIEIPESELTCLSDLAKPADSGEEALPLAMFPSPGACDEHITIFMHEKRVARHQL KEWSGKLTGLRDEGEKITLKLVPMRDLWREASRDAKALCAVALWEGLRREGKL
SAPIO_CDS10099	MSLSNSKVTEREPLPEKDAVWTKLVRIKYQDAHGQPRTWESAER TTRPQGSDIDGVGIVAILEKPTGPEIILQKQFRPPVEKVTIEVPAGLIDPSETAEECA IRELKEETGYVGVPIATSPIMFNGKSIPPPFLNLPRNYPGFCNTNLRMVHLTVDMSLP ENQNPKPALEEDEFIEVFVVPLSKLWEECKRLEAEGCAIDARVGTLAEGVELAKKFRL 
SAPIO_CDS10100	MSDHEFTANDELSLPKATVQKIVTEILPPSSGCSFSKEARDLLI ECCVEFITLISSEANEISEKEAKKTIACDHITKALEQLGFSDYVPAVVEAAAEHKEVQ KGREKRGDKLAQSGLSLEELERIQAEQFAQAAARHS
SAPIO_CDS10101	MPSKVIIITGASRGIGLAIGRKLTSLAVDAFGQLDGLVVNHGVL APIARVEDASLEEWKKLYDINFFSALGLLKEAIPELRKSKGCVAWVSSGAAVSCYTAW GAYGSSKAALHSLTGHLAVEEPDITSVSFSPGRVDTDMQRLIREAGDAMKPRDLQGFK DAFEKGDLLKPEVPGHTIARFVVNPRRDFTGKFLKVTGPELAPYRDE
SAPIO_CDS10102	MAVDVSPRLLAELPPAKLSIDEIWIHHPGYPEYSNNLLSFPRVE KVRDSDALGVRHWVILLACQIVAGNSFDAGYLTLDRDGRHRVTTSPDGLLTESKYYFR IPDLDEYPIVPSFQDWQFPHHRIPDSWTDTLGSDDRTTSRCGITNVSYAIKRAHLIPK EEEDWFANNGMVRYGAEKNIDDEANILPLRKDLHKCFDDKWFAFVPDIVETGSEEAAS PYVSCILSMPAAQLWPTYHNIIVRHLHPRSRPHLFARFAWAVLLKVKPFVTSGPARKV IRLSFNDKGEAEYNIERLTTTELRRLYGGGGRRSVSARGKKRKRGTDDTTQDESAFPS SDDDNDIDLDEDGPWSETESGDGKDIISDIKLEPKGKVGVENRFSDDMLRAFNFVIFL EFDDGVEWGFRAPSSRYAAALQGDAAGRLLASEAATLKYIREHTSIPVPEVFHYCASH ENDIGIPYILMSKACGHPLGTFDWRTHTHELPKAKGFSTPERVLSTEEKEKILRQLGR YARQLFSLRFPSIGSLFEGDEGYYIGECLSPGHVLSSRETIENLPRGPFSSEEDYYLS LATALRRHAEELPMGHHVLRAPIPVPQEYPTYAKYYTATDRWNDFATLEGIVESSVNR LQYCLASDVLRDSIIPHMIRPTDQLSPGFPLYHHDISIQNLFVDEDLNITCVIDWAFS STVPPAQLLATPGLPHPRDLVLDLPLVIAFRSGFEGENKKGVIESDDWKVGQMVSRFT TLVKLDALQDYHHLEALWARTRELITPGDEGDDTNTLAATLATRSTTREAHVLASELA ADDEPESKIQKEEKEYFDVVGVRRLAITRKVVLASKMNPRFVAEARLWRCIDAVLEYY DGQEEST
SAPIO_CDS10104	MAVFTSKKYRSAADLNSGAAKYRRAIAKHPFLTFGLPFLTIIIA GSFVLTRATAIRYEKHDRRVKQMTRDEELNVRKNPRKVDWRDEYQRLRADKDLDDWQQ KRAERLPGEPDGTM
SAPIO_CDS10107	MPTLLSSPPTMARTLHKPLLPPELQPASSTFKFAVKDNIATLGS PTTCASPFLFTYQSPFEATIVRQLRSRGGALVGKTNMDEFGMGSHSLNSVHGPVANPN FASVGPPRSAGGSSGGSAVAVRLNEADVALGTDTGGSIRLPAAYTGTVGFKPSYGMVS RFGVVPYANSLDTVGLLTRDVRSIYDLVIGSGLIREHDPSDPTSLTEESRKRCAETHG HNNDPTPLDNHFKSPRSRAAITIGIPKEYCIKELDPLIHAAWKEAESLLKEWGATIAP VSLPTTEVALPAYYVLAPAEASSNLAKYDGVRYGTRGEASDGAGEVLYSHTRGEGFGD EVKRRILLGTYSLSSEKMDNFFIQAQKVRRLVQRDFDRAFKLPNPLYENDEPFDLSDM DDDVALMDKKGPAQVDFLLCPTAPTFPPLIGDIQHQTAVDSYMNDVFTVPASLAGLPA ISIPVPVKGATPETGPVAGLQLIGQYWDDARVLAIAHELSQRLPVKI
SAPIO_CDS10108	MLRKYGTLLCGAVAGILQVQAEQPGAAKPEKAELRDLHWGQLNF LHTTDTHGWHAGHLQEAQYSADWGDYVSFAHHMRRKADERGADLLLVDTGDRVDGNGL YDASDPKGLFTYDIVKGQDIDIICSGNHELYVAETARQEVTRNIPNFKGQYISSNLDY IDPKTGKQEPMARRYRRFKTKNQGIDIAAFGFLFDFTGNDNNTVVIPVKQAVKEEWFQ KAIREDVDLFVVIGHVGLRMDEFRIIHKAIRQANWNSPILFFGGHAHVRDAVNFDSKA AGIASGRYFETIGWMSVDGLKIKEKEKEKTEDPSISSSFKVHRRYIDNNLYGMYHHTG LDNATFHTEKGQAVSQQITRARKILKLDHKYGCAPKDLWLTRTPHDAEDSIFTWIGNE VLPGVATNKERADIPRLAILNTGGIRFDVFKGAFTRDSTFLVSPFVSTLKYIPDVPYE IASKVITLLNSGGNIFTDRGLDPRYLSVPEKWSGKDLGMLPVIPGPGLELPGAAEQYR LAGDNTDDKDKDTDRRTRTEGYTTEDDFGKDGDDTLHAPVPYFNIPNCIQSEIAFPVD STPEKVDLVFIEFITPWVLVALKFAGGDYTNEDVGSYTKETFTELLAHWVKDNWRNKC 
SAPIO_CDS10109	MAEAALKPEKDFSKQVDELLPKAEELAQSDLQGAIEKLTALEKQ TRQASDLASTSRVLVGIVTICKNKGDWSLMNDQVLVLSKKHSQLKQAITRMVQTVMGF LDETPNLETKLSVIEALRTVTEGKIFVEVERARVTKILSDIKKAQGDVKGATEVLCEL QVETFGSMDRREKVEFILAQVALCIETGDWTQAGILSRKISTKYLARRPKKTAEQLEK EKKEREKKKARGEEVAEEVEDDVTDLKLRYYEQQIILAKHDDKYLDVCKHYRQVLDTE AVEEDPAKLHPVLQRIIYFVILAPYDNEQHDLLQRILRDTRNSQVPLDAELLKLFTVH ELMRWPEVAKKFGPHLCSTDVFDAEEGQSSDEKAHQRWKDLRKRVIEHNVRVVAKYYT RIQMGRLTELLDLAEDETEKYISELVTSKTVHAKIDRPARIVSFAKPRDADDILNEWS YNMKSLLGLLERIDHLITKEEMMANIQAKSRKAR
SAPIO_CDS10110	MSESATPTPTPSESGPSRPASTQPQVGKKGNQKAPKPPKKELKV LMLHGYTQSGPLFRAKTRALEKLLTKALTPLSTTLTFLYPTAPIRLRPSEIPGFTPSS PTLDPSDADDQLDSWAWFRRDPTSPVVHGLDAGMSAVADAIREAQGVDGVVGFSQGGA LAAMVAAAMEEPRRTPPEGAKGWAEKLKGANGGRPLKFTVVYSGFYMPVEEVKWMYEP SIRTPTLHYIGGLDTVVEESRSRGLIERCEDPVVAVHPGGHYVPVSREWMMPIIGFIR KFAEEAEPKESEPKESARLA
SAPIO_CDS10111	MLSGKLFRASTSSGVRCLSTSAVRASSRTPSMGDINPTHESVEE FNQRQKQFREKLIEARKLRDAGALKHQLPPHDAEDEEHIPGLTTQGLGSLSTAATEKA AELAQKESHRKSGRLTNLIYGTKEGREMDAQIEASFSAVLARGKYVHSIVFHEVYPDK VDEYVELVGKWYPKMAGVENNKVHLVGSWRTEVGDCDTFVHIWEYQRYQGYHESRHLI ASHPEYPAFKEKLRTLIKSQNTSLMQEFSFWPTTPPRKLGGVFELRSYTLHPGNLLEW ETHWRRGLKARREVMEGVGAWFVQIGDLNTVHHLWQFANLEERKVRREQSWSIEGWSE TVHKTVPLIQTMKSRILIPMPWSPVS
SAPIO_CDS10112	MSLGPFPYPPMTDEEREEKIKETKFLFPGSAMLIKVVTDQQRNH VPWLINYIRERELPPIKQTLDECYALLAPVLPGTTLVLSTRRNELVKGTVTRVGTRIV KANVHLHLQTQHPQTITLNSEIHISQLDTLSRQIDDAIRAISVTLTLPPDPQFIKAQL RALSQLVSDSAAILKGPPREIPDTAWTTRSCAPEHFDPDPGSQMSWYFGLQDSFIVLW IRILEPVNAPVHLGTKLGLAIGTVKRLEHDETGLIYDYVYNRKDADGRTPKPNLPPRS PTDPVPPEVVRPAASPSSDPSSSASSSGQPYEVIVPGEYTPVYVREKVRVETADPRLM SLSAKLLSVKATLARIESNLEVVLANLPKE
SAPIO_CDS10113	MTPAPKTKLAPVPKGCKVQKRPLVRQQQPASSNSRLIYVSSSTR FMAVVKRVRKRLDKAAVGGSKPPNKRMHLSARVEALKKTDGTKGSGAEVVVLGTGKAV EKTLKVASWFSEEKDCAVSIKTKTVGTVDDIVAGDEAEAEDESRVRKLSCLEITIKLR 
SAPIO_CDS10114	MSPAADSTPPTPVNGNGNTNWQDDYSFLTSLEGLLGGPVGGSTI PGAYPITIPDGFEPLTPTDNNGGISSAHGFSGVADWRFANGHTNGNGTTAHTTYPSAP FTNGVRAPPAVNPPTPAAANSTTTSTGPHKVMPIAIIGMSCRLPGSISSPAEFWELIA RARGTWSPIPENRFNMAPFQHPNPGKAGTHNPVGGCFLNTDFKAFDAPFFSLTEKEAI SLDPQQRLLLECSFEALENAGVPKQNIVGKDVGVFIGGSFPEYESALFRDTDTIPMHQ ATGCAYAMQSNRISHFFDLRGPSFTTDTACSSSLVALHTACQSLRAGESSMALVGGCH LNMLPEFWISFSKSRLFSDHGRSISFDARGTGFGRGEGCAILILKPLDQAIKDRDSIR AVIVGSGINQDGKTPGITMPNGEAQEALMRQVYTNSGLDPNDCGYVEAHGTGTKVGDP IEARAIHNVLAQKRSPRDPLLIGSVKSNIGHLEGASGIVAVMKAALMLERGFIIPNHD FKIPNPKIPFAEWGIKVPPGQRPWPRNKKYVSVNNFGFGGTNAHVVLERGPFLAQRGR DRLFVDSKHAFSTNGRKLFIFSANDKASLQKVTKELVIYLEQRPEMFELDLMANVAYT ICQRRSILQWRLAVPASNSFELVEQLSKEQFTPGKETEPLRIGFIFTGQGAQWWAMGR ELYFDYPVFRDSLDRADTCMTSMGANWSLIEELGKDAATSQVNQAHISQPACTAIQLA LTDLLRSWGIEPYAVAGHSSGEIAAAYAAGILTFDACMTIAYHRGRLIPVLKSKHPDL RGAMMAVGGSKEEFAPLLADLKTGEARIACFNSPSSLTISGDEPAITELEKVVQEKGL FNRRLQVDVAYHSHHMNLVAKEYQQALRNVERPVSTKVKFHSSLLGRLADGTELEPSY WVQNLTCSVRFAEAVDDMVQPFGEYKTGVNMLVELGPHSALQGPVKQILKVAGANAAK IPYASALVRKRDAVETALELAANVWMKGATLNFEAINFPKPPAKPPVLLTDLPRYPWN YQTEYWHRSRMWEKHASRSAPRTDILGTLANYSNDLEPTWRNIVRLDDLPWLRHHQIQ SLTIFPLAGYITMALEAATQRAQESDIAFDKFELQNVTVSAPLVLPDEDVEMNITIRT VEENVWDEFRICSWTASQGWKDHCKGLIGLRTKEVNSVNGDKLTQDTEATLRASIAEI DAAAQKTIDTTKMYDNLWTLGVAYGPTFQGIESCKAASGYSSGTLKVADVAKEMPNGH LVSPIINPSFLESLIEMYWPILASETSSALDTIYLPSSIGRMTFSKDVIPLIKEPNST LQAYCRGSISASNPKPAKVSMFATIADAKEPLITLEDLTVAPILDRKSQSNGNAAREL CYKMEWEPILNPIHPTDDFEMVEPLESKHTTEDIVIIHGDSDLQKDTAAKLAADLEEA TGKRPELGTLFENNASGKICIFLNELDQSVLTTVTPEQFTAIQKIITSVQGILWVIRG ALSGCENPDANMVVGMSRSIRSETLLRFATLDLDSKTRLSDADSCKAILEVFKSAFGC RASASSELEFMERGGAFFTPRIVNDPEMNEFVHRQTKEGVLEPTAFGQEGRPLKMVVG ATGVLESLHFVDDQMRNSDLAEDEIEIEVKAIGMNHRDVLAVTGKLPNEEFGQEASGI VTAVGAAVTDIHIGDRVAALASNALASYARTKSHLILKIPSEMTFESAASLPVAYCTA YYSVIELARLSEGEKILIHAAAGAVGQAAIAIAQMVGAEVFATVGSAEKKEHLISKFG VPESHIYYSRDASFVDALRHQTGNKGVDVVLNSLRGPVARESWRCLDKFGRYIELVKQ DGANTVNVEMTRLQENITFVTVDMFSVISERPKLIKKLVSEVNKLLQEGKAKTPSPAN TFSISQTDEAFKTLQEGSFFGKVVIAPKADDTVQAPAQKLSQLLRPDATYVLIGGTGG LGRGLAKWMIGQGAKSLVLVSRSGTSTGKVAELIEDAKKVGATVTVRACNVVDRASVD TLLSTGVEGLPPVRGVVHGSMVLRDVLFEKMTHAEWVDVMESKVHGAWNFHRALANQP LDFFITFSSVAASVGNRGQAAYAAANAFLNAFVQYRRALGLAASSIDPTAISDIGYLA ENAEAAAEVAKNLGSDMICEAEVLALAGAAINGQLEKACNSHVITGVRMTPSMQPFWT QDAKFKHLREVMEAQAAAEATASSSTAISYSAALKAAKTPEEREEVVCKGLVFKIASV LMMEEEELDITRSLSHYPLDSLVAIEIRNFITREFEANLQVLELLSSGSIQTLSKGVC GKSKLIVV
SAPIO_CDS10115	MRFLCLPGSYGSSDKFQVQLAPIVTELTSDESATFHFINGNCEA MPPEGFEEFFGQPPYHRFIEPDDPDIVEGADVLSRIRDFPECETPEDTMRELMREGVA DCRRSTVRAIQYLYEIMEKSGPFDGVIGYSEGAMLAATLLLFEQVRKKEFGIEPMLKC GVFFMGWPPLHPKTLTLVLADEADLMIEVPTTHIIGSLDPYLDGSMALYNVCDADTAY IFDTAKGHTLPREKTLVKELGDTLRNMMAQVQ
SAPIO_CDS10116	MDNSKPSPSEAPVPPPAITTTTPSTPPTASFSLPPPAPTPTPSP AGASLNPGPLAPKTSYTSIQTTTESLPPSAGVSQKPSYASLASTKGLVGAAAPIAGAS GTTAAVKPTLADLPESPRSPSSLKKWGAIFKGPQSASQSQASVAPAGSAGSGAGIAAA APAVAVGPGKVDEKVVAKPAETKKAPTKVDEYKKRFFTFWLGFRMNSLRISANLRLTY LTSVLPQPISMLDALPAGRMTAILTNTANTVQLGIGEKLGSLVTALSLVIIALTIALS HSWKLTLVSASGLVVIAITYGTTMPFMIRKLKSVEESDMAASSIAGEALNSVRMVAAC GAEAKMAERYAGWVRESRKRGAGMAKIVAIQQAIVFFTIYATYALTFYFAIKMYLNFE IPSVGTLVIVLMCTMMIIASVSGLAAPISAAIRSSIAAKALFNGIDIPKPHLGGKKAP EVSARGDIVLTNVNFTYPARHDRKVLITVNLRIPAGKTTAIVGPSGSGKSTIVGLIER WYELDGDWKTNLKTYYFRNGRITCGGVDLKEMDLRWWRSQIGLVQQEPFLFNDTIQKN IEYGLVGTIWEDAPQEKKTTLVKKACREAFADEFISRLPEGFQTQVGDGGIKLSGGQR QRLAIARALIRSPKILILDEATSAIDVRSEQIVQAALERASQGRTTITIAHRLSTVRK ADNIVVLAKGKVVQQGTHEQLMAQVGGAYWKLATAQKMNLGEDEDEEDKYKWVRSLER VSLAEMLILGDKHKSMDLMESDATTTSGETKDGGEGEYVPKGFFGSFGTLIVEQGKKW PWYTALLFGALIAGACTPIQAFLFANALTGFYLWGEALRAHINFWCLMFTALAGAVGL GYCILGGSATTLAFKITATYRKEYFKNIISKPISFFDTDDHSPGALTALIATDPSQLQ QLLGTNMGFALISVLAVTGCIILSFYFGWKLALAALGGSLPLVLAAGFYRIRYESKFE KTNRTVFGESARFSTEAVGAARTVTAFTMEEGILDRYEDLLNRHLDKAWHKAKYSTLV FAASDGMQLLCMAFMLWYGGKLLAEGEYVTFQYLVVYIAVMQGGMAAGQWLSYAPNIA QATAAANRILASRPPRQGTVEKQVSRKGKDEDKSFEAGVDSDSQRGVRVEFLNVWFRY PTRDAPVLNGLNLTIKQGQFAAIVGASGAGKTSIVSLLERFYSVNAGAIYLNDTDIST IPLQTYRQSISLVAQESNLFSGTIRENILAGVPDPSTISESTLHEVCTSVEMHDFIIS LPEGYETRVGQKGVLLSGGQRQRIAIARALVRRPKLLLLDEATASLDSEVERAIQKVF ERMKGARTMVVVAHRLATVQNADVIFVLSEGQVVERGTHVELLAMRGAYHRMCIAQAL DR
SAPIO_CDS10117	MSTSSPYSSRVTKRKDGRTKPTSDWETNWLITISADFSKLPDAS RARHHDGWQTMTSPVSEVMEDAPPSHIPTPPPSSTMSTAHDVEMETIMRARLGVPYPE LLLPIPTLELDFRLAVKLRKARCMVNSGDGAAKELTVVESGTWAGSFGKGVVRVGGHD LQPSQLAEKTRARLDTAFELVTDDEPPAKLELRTRGYISYLPGALETILQGNIDDTKS YTFRMVMSLRTQDPRYAETVNLGLWIGSGVWKDDELILE
SAPIO_CDS10118	MKASLALAVANAMLALASPVHKRLYVTDLDIKTVTVFVYPDGSP ATHLGPQPTDKAFVVFENIANPQPTPEPQPEPTPAPAPEPEPEPAPVAEPEPAPVVET PVEQPTAEQPQAQPEPVQAAPAGSAQEASLNAHNVHRSNHSAPAVTWDSNLASYAQQL ANTCNYGHDTKIGGGGYGQNIAMSASTGDLPGDADALQRAITGLWYNDEFSLYPSFGA NPDMTNFEKWGHLSQIVWASTTTIGCAISYCEAGQLASGMRGWFAVCNYGPAGNVPGA YAENVKVPLGNPTVLA
SAPIO_CDS10119	MASRQTQFNQQVLIDTTPLPASIPPVKEIGATSAPLLSAAFFIG ARCRDYNDDYMQCKTEKPGRGELECLKEGRRVTRCAASVLKDINTHCLNEFRRHWMCL EEGNQQLWQCRPEEWKLNKCVYENLKLEKVVPDQPKNSTPVHLRTRQVLADFPLLRSQ GEPFVPPKADSKDSS
SAPIO_CDS10120	MSSIFARPAGQSIFGASQQQQQQQQAAPAQGSIFGQAAPLAASV QQPQQQAPQLPTLAQSQAQLSSSLWQPVKETPLQKPLTEQIQQLMEKWDPANPNCVFK HYFYNKVDESNIPFYKPLPHENPREWEEALQKKPAPGFIPVMCAGFTGLSDRLKTQKR AVADLNTRLHQINGALDAILSRHDLQTSVRAAAARRRHTVLQERCIGLAAKVQVLRNR GYALSGDEDHLRLKLKALEASIQDPALSAKEEELWSRLIVIRGYAERLTQEINKPASR DEEQLDEETEIKAKKVLEDYEKQLLHLKKEVETIKNDFEQWEKDRDSTS
SAPIO_CDS10121	MAMRRLTLRRLPKAPRLATYTTRLCPSPVLRSAAYLSTSTRNGA AVFTERVRPLMVTESDTFPRRHIGPDDGEAVEMLKALSPPAQSFDEFIAQVIPANILS TSRELLNQDSQAKSRPLDERDVARQAIEMAESNETFTNFIGAGYSPTITPGVIARNIL QNPAWYTSYTPYQAEISQGRLESLLNYQTVVSDLTGLPVANASLLDEGTAAGEAMTMS LHALPASRQKRPGKTYVVSDRVHPQTLAVMKSRAEGFKLDLKVVDVSSAEALETIKAL GQDLVGVLVQYPDTRGHVHDFKNLADAVHEQGALLSAATDLLALTTLAPPGEWGADIA LGSAQRFGIPLGFGGPHAAFFSVQEHLKRRMPGRLIGISKDRLGNPALRLALQTREQH IRREKATSNVCTAQALLANMNAMYAIYHGPGGLKAQAEKLTTWARLVQAVAEFYGIET EKSSLDPQGRVLFDTVVLKAGEQAQAILERARQVRCNLRDFGNGDVGISVNEQLTPQD LQVLLEKVLKESATKNQSVSYEEAFEQAVQEVGESSSIQIPSVVKRTSEFLTHPVFNT YHSETELLRYIYHLESKDLSLVHSMIPLGSCTMKLNGTTEMEIITLPGFANQHPYSPA EQNRGYQRLIGELEEQLATITGMDGTSIQPNSGAQGEFAGLRVIRKYHETQPGPKRDI CLIPVSAHGTNPASAAMAGMRVVPVKCNATTGNLDLADLEAKCKKHAEELGAMMITYP STYGVFEPEVKKVCEIVHQYGGLVYMDGANMNAQIGLSSPGEIGADVCHLNLHKTFCI PHGGGGPGVGPICVKKHLVPFLPAKSPQADQPMVSSAPYGSASILPISWAYNKLMGTD GLRKATKFTLLNANYLLARLKPHYKVLYTNEHGRCAHEFILDARPFKESAGVEAIDIA KRLQDFGFHAPTMSWPVANTLMIEPTESESKEELDRFVDALVAIRQEIRDVEEGKVPR EGNVLKMAPHPMSDIVEGDGQGKWDRPYSREQAAYPVAWLKEKKFWPSVARVDDTYGD LNLFCTCPPVEDTTKQ
SAPIO_CDS10126	MTSSTTTKSDALDGIFHSSSDSADPPTLAFLDLEAVGSRRDMSV RISSCNRVNLPVDNLRWKHQQRLRPTKEIEDPYIAAVLIALAQQRRCTQSAGAKANGA IPEVHVEYISPTGPPPIPPRLPGDIAIGQQAVTDAKVHVLALPATDERSLYFYTALIP SAFLNRLDRPSQWFSSSPVLISYYRIPLKPREKMIRAVNRALSAVRNKTP
SAPIO_CDS10129	MRRAPLGLAGLLLTATALLFLFFLILSGVTNSTPLNKTYFLQAD TSGITGARDVTRWTYFYMCGEENANCGKARPAPPFGKAWDANAQNVPQELMGSHGGDT TSSYYFYMWRFGWVFFLIALFFTTLAFLSSFLACCGRIGSLLASLAALTALIFWTIAA VLMTPFINKNASVTFVKARDVFRRNGRDAHIGTYAFAWTWAGWTALLLATLLFCAGIR KKKENRVRAADDGLAYGGATYDAAPRHKRWGRKSKARDSRRVKNEYN
SAPIO_CDS10131	MFQPQPTPFAASYFNSYGGVADFSFPLTLQSLQGASAGSVSPDS VSGHSHAGSATGNASVNANTNAGRSHSQQPPLSHPLSAHHHTHPQGHPHPHPHGHARA SHNPHAHSHLSHSTPQSLLYSNSPHASTSASVSPVASSHGHLSPASHSVPSPFGSTTS KMDQNQILQDELAAQEAAAREYHPRLEGPLVGEKTLSTAISNEYAKADPVYVEKTSAL PNMYSHYRPIQGDGNCGWRAIGFGYFETLIQNGDRNDVEAEVARITSLNQVLISVGYD RFLFEDMVEETIRLLKDIAAHMVDPNAAMAILTDRFNDRDCSNAIIYHLRLLACSCLK TYPAPYEPFIPEGLGVTGYCSEVIERVDREIEHLGITLLVNVLLKPINFVLEIAYLDR SPGSQVNIYRFPDGVESQDPSLGSVIYLLYRPDHYDLLYRTPINIQINRAMSFTHQGI DAHQRLDTFSSVDFESLAVLPGFSYAATSMAPLDSQSLAPTMAGSFVPVPPQPQWMPP PAAFTATVPSHTVPPRQAPVPPSAPPVEPAPLPSRPSHVSGSLAPPHTSVPSPQTTYH LRFSQEYYKLENSTFPEPNFTTAMFKNSHYNKAHYNNPDFHPEEWTPDDDCLERSGGS GGRAKKSRHRHD
SAPIO_CDS10132	MSDDDFMQESDEDYDFEYEDDEDEDSGDVDIENKYYNAKQIKQS DPDDAISEFLAIPGLEETKGEWGFKGLKQAIKLEFLHAKYDKVVEHYAELLTYVKSAV TRNYSEKSINNMLDFIEKGSQDDESAKCVEQVYSLTLESFQNSNNERLWLKTNIKLAK LLLDRKDYAAVAKKVKELHKACQREDGSDDPSKGTYSLEIYALEIQMHAATKNNKQLK RLYERALKVRSAVPHPKIMGIIRECGGKMHMSEENWDEAQKDFFESFRNYDEAGSLQR IQVLKYLLLTTMLMKSNINPFDSQETKPYKSDPRVAAMTKLVEAYQQDDIHRYQAVLR ESQDLLSDPFIAENIDEVTRNMRTKGLMKIITPFDRVTLQWLANRLKISEEDVEDILG FLIADGKLDARIDQQQRTVKFLSRPDASRLKSLQEMANAVTQLSNAVHKDNSSSQRYD LRENKNSTPNTKRSYRSDRESVVPSQPKFLG
SAPIO_CDS10133	MGGDLNLKKSFHPGLLRNQKRVYEEEQKALEERKRTQQRINELK EERAKEEVQRQLEAAGGQKRVDRVDWMYQGPTDGQMGTTEEREAYLLGKRRIDNLIKG TDHQKLEKNAGQESFMVMQSANSAKDMAAKISADPLMQIKKQEQAAYEAMMNDPIKRR QLLASMGITEKEKEKDKRKSRREDRHDRHRSERHRRDYSDDERDRRRKRSRSESRSRS PPRRRRTEGDDEDSRRSKRRRDSPERRRRRSPDDERERRRHKSPSASRSRSPTLRSKD DRSYRERDGKSHRSHRDRQVSPTRPDDDRRSSRRRDESLDRRRPEKERGDDRRGDDRR RRDDSADGRRNGRENGDRRNGDWRRNDRDGPRHPRGGRDYDRRPGPREDPRPSDQDRA KKLAEMQQAASELDLDRERRLAAVEDQERRARDEDDKAREAAAKYGGDKRFINGIRQQ ANSLGLAESMGRGRRGLQADED
SAPIO_CDS10134	MPSLCGGSKTVQRKLVLLWVLPLSAAKRGSRPHRSSDPSHASAL LRYPGDGACGKTSLLNVFTRGYFPTVYEPTVFENYVHDIFVDNVHIELSLWDTAGQEE FDRLRSLSYDDTDLIMLCYSVDSKDSLENVESKWVGEIAEHCPNTKLVLVALKCDLRE SDEEEQGASADAAQGEKKPMINYEQGLEVARRINALRYLECSAMRNRGVNEAFTEAAR VALTVRKEREDSKCVLM
SAPIO_CDS10135	MMPSIRKTWLSALVALSAITSVTAQVHTDCDPRQKDCPADPAFG TEYFFNFNTTPPAGTWETMAGKVTYDQNQGAAFTISKKGESPTLRTKFYFFFGRTEMM LKVAPGTGIISSMMWLSDDLDEVDWEFFGTNNTYAATNYFGKGEQDFTNGGYHEVPFN VHDDYHNYTTVWTRDSLDWYIDGNLVRTLLPKDANNTRNYPQTPMRLSLGIWAGGDPD MPQGVREWAGGDTDFGSGPYTMWVKSVKVTDFTPDSKEYIYSDRSGSMESIHVVTGNS TVKEALFPVHKETMAEKWAKLPSTAKTAIYASGAGLGAVILAFGLWYCIRQRRRGARE AKAAALQAEQDRLELQGFKSRGVNPDGFSNGNNDWTVAEVHDNGVVQEKRPEYVVNER PLDSPRSGSIPPPLVFSNQQPGGNDWNTMGGPASPRSPRSPPLQSPTGGMHAMPLLQE RSNSPRVGSPGPQRTYSPAPARAFSPAPQRSFSPVPQRAFSPAPSQYDNGMHHGGFDN GMHHAGMPQRSATLSGAYGGDGGYQGGFDGPRAQSPAHVMPPQRSGSAAPTYGQGYGA PQGQGYAQQGGYWNNNGPSGFR
SAPIO_CDS10137	MSTYLRTNTRVLGAIKAQPWKISCVAAGRAAARAYSSGAEPDLK ETMKEVIPAKRELLKKVKAHSDKVLGQVKVENTLGGMRGLKAMVWEGSVLDANEGIRF HGRTIKDCQRELPKGKNGTEMLPEAMFWLLLTGQVPSTNQVRGLSRQLAQEGALPEFV SKMIDAFPKDLHPMTQFAIAVSALNYTSKFAKAYEQGLNKADYWEPTFDDCISLLAKL PTIAAKIYQNSYRGGGALPAEIDLEQDWSYNFAAMLGKGGKENESFQDLLRLYLALHG DHEGGNVSAHATHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWILQMKEAIPD SYSEQDINNYLWATLNSGRVVPGYGHAVLRKPDPRFEALMDFAASRPEIAADPVFQLV LKNSQIAPEVLKKHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQ LIWDRALGLPIERPKSINLEGILKEVEKSS
SAPIO_CDS10138	MLSRNLTRSSRRLAVAKRTISPSARPVRLLSTTPRLPFRYTMTP MNSAASPDAFQLLPEAQKTGSAEDALFDAQVAEIEAWWKTPRYDGIKRPYSAVDVATK RGTQQVVYPSSVMAKKLFDLVKERGSKGEPIHTMGAIDPVQMTQQAPHQEVLYISGWA CSSLLTTTNEVSPDFGDYPYNTVPNQVQRLAKAQSMHDRKQWDERRKMTAEERAKTPY IDYLRPIIADGDTGHGGLSAVLKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVLVP IGEHINRLVAARFQWDVMGCENLVIARTDSESGKLISSTIDVRDHEFILGVADETIQP LAETLQAMEAKGATSAEIDAYEANWVKTTPLVTFDEAAVAHMKKEGVAQAKIDEYLAT VTKDRDLGLASRRKLANSYTSTPVYFSWETPRTSEGYYHYRAGIDAATKRAIAFGPYA DLLWVETGDPNVQVATDLARAVRAKHPGKGLVYNLSPSFNWMAHGFTDATLKSFIWDI AKEGFVLQLVSLAGLHSTATISNELAKNFEKDGMLAYVNIVQRREKELGCDVLTHQKW SGARYMDGIMAAIKSGNSTSQSMGEGNTEGQFH
SAPIO_CDS10139	MASASQTRGRLAGKNAIITGAAGGIGLETSILFAKEGAKVLMTD IQPAALEAAVKKVLQLVPNAPRVEGKVVDVSKESDVQSAVETLDSWGGIDVMFNNAGI MHADDADAISTPEHIWDLTQNINVKGVWYGCKHAVLSLRRFNKTKGSIINTASVVALV GSATPQLAYTASKGAVLALTRELAVVHAREGFRFNSLCPAPLNTPLLQDWLGDDKHKR MRREVHFPTGRFGEAIEQAQAVVFLASDESSFVNGHDFAVDGGMSKAYVTAEGSPLPA PVNNALKESLDS
SAPIO_CDS10140	MSAGVSAAAHFQEDWAYFQEDSAHVSDRQRKFSSAPPPGGSRGS GANGQDGDGSSDDSADETPPARDRSSFNEEI
SAPIO_CDS10141	MRRGTKASGSSRHPQTSDQDLESQSSAQDSRPPQPSAQDIEEEP WRYVGYRGYSKFIASDDDFFILRRFASLNIRVALSLQDEIVELERELEKLDDKYTRQG GIDNGSFREDREREGQWYREGEDHRGRDELLSLIHEKLRRYNKFLLQQSALRNYDRAP EKDVKSLFRWHRNHDFKAICTEEQEYLNSTDKTPDGKIKVDTTDLICVVPKDKPPLRR IIDSSLFLRTLKLWEDKKRNVPEDPGLADVSYYSEKRMDRFVTAAIVILGMAMLVAPL WVLQALGDLKKKLVVITVFVSVFLLVVSFAMVAKPFEALAATAA
SAPIO_CDS10142	MRIILSRRQAFSLFFRSYPNRGYSRVHQFTVSKPNVPIRQYSIS TASQSPARVSGLESTSSIERNASDIDVEYDWIPDVEAPEFYEPGGYHPVSIGDTFQDR YRIVNKLGHGGYSTVWLARDIITKSYVALKIGISGSSSISHEIRVLNALLAPSSSPDH PHPGRDLHSGNILSRFPEKFNKLSTDQLLNEYGEAVTVPVTRSDGKPLPPNVPKYAVQ SVDMGLSAKDYQLTDALIFLSDFGETFSPSEASRLGKDCHTPLPMRPPEAHLDPEAPL SYSADIWTLASTLYGLVGAMPLWSQGSGTPDTWIAEHIDILGPLPSPWREKCATQEDI FFDEAGNRREPGLRLWTSLDAAFQVGTQDYRKKWNKETLDEEEEKAFLDLIRSMLRFR PEERISADDILVSDWVVKWGMPGYERALEAAREVEEKP
SAPIO_CDS10143	MASPATTLDPITADSLPQLLASDTKVKLAGIDVDGILRGKLVSK KKFLSIASAGFGFCSVIFGWDMHDLTYFRELKISNKENGYRDIIAVPDLSSFRRIPWE DDVPFFLVDFLDPDTREPVCACPRGLVRKMLGRIREMGYGAMAGAEYEFYQFKTPDTT SEISPAAYLRENPPQSLPSLTEGMFGYSLTRPVHNQAYYYEVFDSCEKFKCDIEGWHT ESGPGVYEAALEFGELGEMADRASLFKYVVKSVSTKYGIIPCFMAKPRQGLPGNSGHM HISLTSAQTGENILFRTTPDPNPQWPDITHLSDLGRHFLAGILTGLPDIMPLVAPTVN SYKRLVENFWAPVTVSWGLEHRAASVRLIAPPTAKPGATRFEIRVSGADTNPHYVLAA ILGLGWWGVREKLTIPCPPLGKGEDVGGASDGGQRLARSLKEANERFMRKGSVAREVF GDEFVEHFGGTREHEVRLWDEAVTDWEVRRYIETV
SAPIO_CDS10144	MDSIPSTPDEASNPVDNNPYFPATVPSPKTGTPLENTRVDQSSG DSSKRLPSLRSVSDPRNMHPSSTPLGTLSSARRPPASSVLGGALAGSDAMLRARSLAE ARMGRPPPNQHSPPVGPSPNRGSPVAGGPFAGGVAGNLRLPPGAMRPGLQGSSMPGPI ASNKPQGLSARRGMKLQMTPDSPATTPKLSGMNLRDGQAQSNGVRQGSKLDDFRKYID AEKGWITFEGAATITNKGVNFSNGKSFHMSLDEVEPLGELGKGNYGTVYKVRHARPSR PRFGQGLSGFRGPSPNTSSSDVSESTTPKANGAPGTLPNNCTGVIMAMKEIRLELDEA KFTTILKELVILHECVSPYIIDFYGAFFQEGAVYMCIEYMDGGSIDKLYTGGIPEDVL RRITYSTIMGLKTLKDDHNIIHRDVKPTNILINRRGQVKICDFGVSGNLVASKAKTNI GCQSYMAPERISIEGMNSVGSDGTYSVQSDIWSLGLTVIECALGRYPYPPEAANTIFS QLSAIVDGEPPDLPSEGYSPSARDFVRGCLNKIPKARPTYAMLLKHPWLKSLTEYDTI KEEPEENGEVEGGVDAIAESVGRMHFRTTTDDAIVAEWVKSVLDRRSAEGDAPKPSLP ALHAAPLNTVGLTGAAASNPRAA
SAPIO_CDS10145	MGSNFDGSGTAVVSFLDSYLNTNTYSNANPAEIDFHQDVLSPQN HESHPPIGRLMLLVFSAILEVVCISLPGYVIARLGHFDADKQKFLANLNIMLFTPCLI FTKLASQLSIDALAGLSIIPLIFVIQTAVSWLVSVLVSRVFRFHKRAANFVTAMGVFG NSNSLPISLVIAFSQTLDGLHWDRIPGDNDDDVAARGILYLLLFQQLGQLVRWSWGYH VLLAPKPKVEETEDPVYGEGLEYRNDDDLESGPYADASQPPTLTHSTTSDDSDSETSE PLDRTESTEDFCHFWPAGPTPVASGSQASPEDSGDEESLDPDAKNADRPLLPNRHSHR GSVREIVFFPEIRSGQPRSSSTLIKTVKSRLQKKVAAVKSSISQRLRKVFNCLPSPLQ SVLKGLWSGITRIYVFLEKFMNPPLWAMMIAVIVASVPKLHDIFFEEDSFVYNSVTRA VSSSGSVAVPLILVVLGANLARNTQQHENHDHDEEKIGTKLLIASLVSRMLLPTIIMA PILALVAKYIPVSILDDPIFVIVCFLLTGAPSALQLAQICQLNGVYEGVMAKILFQSY VIWILPSTMVLVMCALEVVEWAQRGRTSQLN
SAPIO_CDS10146	MEQQIPLTHEASAGDTNKQTTATLPTEVVQCLENARFLHLATCH DNIPHVSLMNYTYLAESPFSSSPVIIMTTNPSSKKINNLVANPNVSLLVHDWVSHRPL TGRRLSNGSPQPPPSSLASLLLNLNSSAMSSISATINGSARLVDQGSAEERFYREQHL ENNTFEGGDVGIHPFAAAAGDVGDSAAVVEDGGPRLGSGDDFRVVVVDIKDVRISDWK GGVRDWVLVKEEDLVNGVR
SAPIO_CDS10147	MRSIARNQLPFHPLRRRLLSTAANSSSADSPSSKVTPITSLLIA NRGEIALRIHRTARRLGIRTTTLYTDPDAGAQHAACSPHSLNLGAATAYLDGDRIISL AKKHGIQALHPGYGFLSENSAFAEKCEKEGIVFVGPPAKAMADMGDKARSKEIMTAAG VPCVPGYHGSEQGEAQLLAHARNIKFPVLLKSVKGGGGKGMRIVMTEEEFPRQLQSAK AEARASFGEGGEVMLVEKYIVRPRHVEVQVFADKLGNCVALGERDCSVQRRHQKVIEE SPAPDLDDATRLDLWEKARLAASAVGYVGAGTVEFILDKDTGEFYFMEMNTRLQVEHP VSEMVTGTDLVEWQFRVAGGEKLPLTQEEIVERIKERGAAIEARIYAENPDKGFMPDS GKLIHLSTPSINDDVRIDAGFVEGDTVSEAYDGMIAKLIVRGKDRETAIRRMEMALKE YEIVGLSTNVEFLKRLCCEDAFIKGDVETGFIEKWKDSLFKPANHPDEVFIQAALASI ASASTNKVPHGDSLGFEAEPPTSQSQVAFKPINHNEDAKEEIVRVSVSRTGNQLFNVE VFRDGQSQPTRYENIVSEASTSPSKSHLTTFFPAERIESTVVVDQDAANPTQARLAVF QHGTKTEMQLLPPAWVEKALGTKESAGSVVAPMPCKILKNEVEVGQEVKKGTPLVVIE SMKMETVIRSPQDGVIKRLAHKEGDICKAGTVLVMFEETEAEESKE
SAPIO_CDS10148	MADSISLLAALNCVSATHLVIGSNPLAAARCTQSLNAGAHPVVI APATADVHYGLQKHIDAGTVKWLQREFQDEDLFTLGREEIGHVVDAVFVTVGPRSPLS AHISSLCKRNRIPVNVVDAPHLCTFTLLSTHVDGPLQIGVTTNGRGCKLASRIRREIA ASLPRNLGAACARLGDLRRRIHAEDRPSGHAEEDVDDSVDQAATFNKLVTDADLDAAR SRRIRWLSQVCEYWPLKKLAAITDADVGAVLASYNPSSSIPSSAATSPSNEPASFLSI PQTLSSPQTSPSPTRRGTLILAGSGPGHPDLLTRATYKAIQSSHIILADKLVPSPVLD LIPRRTPVHIARKFPGNADQAQQELLEMALAGVREGKTVLRLKQGDPFIYGRGGEEVD YFRKEGWGDRVLVLPGITSALSAPLFAGIPATQRDVSDQVLICTGTGKKGKAPTPPEF VPTRTVVFLMALHRISALVRELTAYTEEEADTGEYPVGERNANGGTKSRRTLWPRNTP CAVIERGSCPDQRVIRTTLGFVAEAIEEEGSRPPGLLVVGKACEVLFTLEKGRCWAVE DGFKGLDLDDLVSL
SAPIO_CDS10149	MKTLVLPAHDVASILDPALAHLLDPILGRPLLVAMGATEAEVDP TAVARVGATAGAVAGIVVERLTKNITEDHLHEIFGQFGPIDDLDLPMNRQFNSNRGTA YILYLHEADAEVAVANMHEAQIDGTTINVSIVLPRRKMSPPPPTARRGANINPLVPPP GRRPSANGGGGGGGVGLNAGGKGGPHGRGRRHSPPGRYGSRSDTWRPRSLSRSPSRSR SPSAGPGPRGSGGGRYRGRSRSYSRSLSRSRSPAPRRDRERGRRPSDSDDQRRSPSRS SYDSYRDRSRSRSPSRGHGHGRRGYR
SAPIO_CDS10150	MGIKSYFKAEKPKPTKGKATQPETIEEKTGAPGTALPPSNSNGP ELLRPRASTQRNSYGPLSISDRSSRSAAGSSLMLEDIRHEVMVNYLFQQQCSNLWVGD GSGETEGVLLRKSRGQYMACPPELSISKLANSCAALNVHCAMTVNSRVIKTFLQWAPD AVDVPLANGLRIQILPTIEDLPRARKNQFAAFVASEGILVVWDDDALHVAERAQLIES ELMDLVWKVGEEGDEVDEKKAAEAVIRYAVDEESGMEKRPVHLINAVLVSLALVIVLV SLGAGWRQLAIEIRVDGNFIRLALVALGPVQIFFTLFFAQVIVGCIMQIFGPIRQLTS NSKFYSATPPRRLQSTILPHVTVQCPVYKEGLNGVIAPTVKSIKQAMSTYELQGGSAN MFINDDGLQLLSEEERQARIDFYADHSIGWVARPKHGEDGFLRRGKFKKASNMNFALM ISCKVEEKLAEVHRPADWSQHDEALAYEQALKNVLEEDGRAWADGNIRVGDYILIIDS DTRVPADCLLDAVSEMEQSPDVGIMQFSSGVMQVVHTYFENGITFFTNLIYTAIRYTV SNGDVAPFVGHNAILRWSAIQQVGYVDEDGYEKFWSESHVSEDFDMSLRLQCNGYIIR LAAWAGDGFKEGVSLTVYDELARWEKYAYGCNELLFHPIRTWLWRGPFTPLFRRFLFS NIRFTSKLTVVSYIGTYYAIGSAWILTALNYFLIGFFKGMLSKFYLPSFEVWFSLVVI FSGLGNVALAVMRYRAGENTLIGSLIENFEWLPMLVIFLGGLSLHLSQALLAHMFEID MTWGATAKELDQSNFFLEVPKVLKKFKYSMLFYLSFVAVMPIMALAPFIPHDWRITDF VAILPQASITVSSLLLPIALNPALMTFSF
SAPIO_CDS10151	MNNDNPPDHNLYASIPPSTAPASTRPATAATDSPNNDPFNPKTV PGASSEAASASGLGTNPFASPEASRPTSSLGDFSGSGIAALTGVSAARSEERPPRYFH SRRVKKGDVEKPWLKHKDPKEKWVTILPIIGILVGLGISGFLVWDGIRSVVQHKYCEV LVEDFSQGLRESIWTKEVELGGFGNGEFAMTTPGDENVFIQDGRLIIRATLQDASLIE NDSKIDLLKDGTCTSDDPLRCIATTNTTVGNATIVPPAKSGRINTKKGASIKYGRVEV TAKLPRGDWLWPAIWMMPVEDTYGEWPASGEIDIMESRGNNWTYPQGGNDVMSSALHW GPDSENDGWWRTNNKRGALHTTYSDGFNTFGLEWSQKYLFTYVNSRLLQVTYTNFAKP FWERGNFPNFNSDGERIEDPWSATGRKEAPFDQKFFLILNVAVGSQNGWFQDAPGKPW RDRSDNAAKDFWTKRNEWYPTWTQPQMEISKVVMWQQCDGNEEL
SAPIO_CDS10153	MSPPMVVAEGAAPPPSQEDVGAFITTIFNAKTSAASVDAAYGLC ELLLGSVGCVGLTQYGIVAEIKKAAADKKSGLKRESAQNLLGAVFERFPPRQPVSETV LLVQDGGLLSVALDALSDKGAVVREAAQYGIDALFANLSPEALVVGLLPALIRYLSKK TGKWQGTVAAYKLLQRMADKAQITVGCTKEESIEKDILRDAMGTKLAALIPIVEEGMH DMKTEVEKQAGVAMTSLTTLLSNDDVAPRIPLLIDTMQHPSATTLQKAIHALSQTTFV AVVTSPVLALLTPLLERSLNTPTTSQEVLRQTVVVVENLTKLVHDPIEARTFLPKLKP GVKSVSDRASQPEVRELADRALSVIDKAMGDGQNVVERTSAGDVAALLDEQIKKNGGL AGQADLYKLARSYICEMVAEDVNHGQVLRIAGRIGPYLKGFTRGASAHDAVADAVQEF YVLEDRRKHGEPEKEDDGEVEIVNADFSLAYGGMLLLSHTNLRLLKGHRYGLCGRNGA GKSTLMRSIANEKLEGFPPQSVLRTCYVEHNQGEDADISILEFVSKDPEIAKEGQERI SEVLAEFGFTPGPEGRQAQKVGSLSGGWKMKLALARAMLQKADVLLLDEPTNHLDVAN VKWLENYLKSNTQITSLIVSHDSGFLDEVTTDIYHYEPNKKLAHYRGNLAAFVKMRPE AKSYYTLSASNVQFKFPAPGLLTGVKSMTRAILKMSNVSYTYPGAPKPSLVDASCQLS LSSRVAIIGPNGAGKSTMIKLLTGELIPTTGRVEKHPNLRIGYIKQHALEHVEMHLEK TPNQYLQWRYAHGDDREVHLKQTRILSDKDKEQMNKFVDIGDGKPAKQIEALIGRQKY KKTFQYEVKWRNMLPKHNSQVSRETLTELGFAKLVQEFDDYEAAREGLGYRELQPSVI SKHFEDVGMDPDIANHNEIGSLSGGQKIKVVLAAALWSKPHVLVLDEPTNFLDRDSLG GLAVAIRDFKGGVILISHNDEFVGALCSEQFYVNDGKVTYKGQSAVHSDRFEDSSAVP STAASSAAPSAAPSAVPSAVPSAVNSGTEDNSSPMNFKARKKKKGLTKKELKERAVRR RLREIAWLSSAPGTPKPPDTDDEETRKEREEPLDKVRPPRTILSLLYLDRQPRKAELL ACILHRGPSFVRDILDDVRQLDWGHLLIWYPRQVPPPAATASREEWMRWADTRYIPDL WPQPSIDDFTPAQRKFRSLVTNVEVIGTTPDAIKWTLDIDLNQDPHDIMDETTRRVAK ESCFSLIRPQPRATESVDKRVPPRGASPEPRAPQQPTSPSPQRSARRRRHQHKRAGIA IDSPRNRPTAQSNAILEPALEVWRPRLVIGSHPSRHGLGRAATEATTATAPAQVAKEV ESQSTQSDGVKDEVGRAAIGKVAQKLLGLEQ
SAPIO_CDS10154	MHSYNYSPLTDADSIRVMVLYPLQLEGTYERLIYCDLVQTRLSD VTSDENAYTAISYVWGEKRYSNLICVLSEESYLYIGSNLYSLLKNLRQADEVLCLWVD AICINQDDITERNRQVHQMCDIFSSARETIVYLGDADGGNTGLSAWNFLESRSTWALN DYGHIDFDRPATMREALDFKGDISDVEIDVLPRPWFRRVKRYDDGGTYIVNSLQRARR LEASDPRDKAFALLGISTGFDKGHPDTSANYEKTTKRVYADLAAYILQTTDSYDLSSY LDNGSESIQGQRVFDASILDLPSWVPNWNRSEWGTGHISRSLFGTLELELEA
SAPIO_CDS10156	MLVNIFSSIIAAGAILASLSGVNAGEDKDFSKTCGSWRLGENHI GVALCRSSKGIMQTGLDLNQCFGNKDGQLVSMELRINGEWVNSDIDMNLYIGNFNAYF CCGTHCSKWAYKRYIDDVDEGIGIEPTTFATRATAARIDPSSAAAAAAATA
SAPIO_CDS10157	MATQNLASAMAAWNRTKIYPISTGPPVSQPPFAAISNGLWELPW ELPWDLPFAPAPSRPWFSIMSSRGSSRGSGSGSSKGSEGSKHSKGTHKTVRKLIESLE THRVNTLTELCRIERFAAACDNEEDARAFQGPMTAAWDYYVSSNQFLTELRGLTRDYP ICADIIYAAEVRVRSDPSSNRSWNLAWLLLRKMIGDDLVATFASIEAAKPEMWGDMEP TEEEIRQLAACFEYEWDRAITTMLRHWAASPRWC
SAPIO_CDS10158	MMLAPRREDELALSNERMRNRMSRSVPRPPAPLSAVTERTERSS EGTTSESVPSLPRRLPPINPRKPNLKERLVRRPSFSGQGRPALSAPPMSSRPPQPPMP LMHKPPHRQQDPPRRPAKPHHLSSRRSVSSMVTHHPDDDNYSNPETESEYSSGLSNLS AETQATSVLSSEAPTIKPAYTEKRIIHIKPGTLKNSPLHAKQGSRSRVANTPAPRRTI HIEVESDKQPKSKTPPPPPPPQPKYREAIEHYKEEIGRLKREARELQERQTTSERGLE DALDRLSLVTLEREEVRRSHEEGKRSRESLRQEIDEQVKTIHGLKARLDDREETLETL KARLEDREQTLDSLNVRLEDKEQALDDLKARLEDMQDREQTLDGLKARLDDRNQTVED LNARLEDREQALDDLEARLEDREQALDALKRKLDGRTKSVDELRAEIEEQKKNIDHLQ ATLAGQDKAVEALGIDRENAIKDRELILKEREDLVKDFEELKKEKEELSQGKEKIVLE MEVLANENEELRTGKIELEVKCEELQKEIDTRLAVRTEQEKMLIERVAAQQIVNESLD SQVDSLTSEVVTAEGEIARLKEAAAALEEQLEKESARVKALEEEKGTLQEEAAAALEE QLEKESARIKALEDEKGALQKEKDELEDKKAGLQGGKDALEARVGELDAEIAELKAKV EGFEEEKANLQNLISVIANERDELKTKTTEQDDELTTLRESQTSSQADLEKLREENST LQSDITAAREATAAAVAAAAAAEAAAAAAAATPEPAPEPEPEAPAAPDTAELEKLQTE NGDLQKDNGELKEKNEELTGQVGKLTGEVDQLTGQVDQLTGDVTKLTSEVGTLTEEVG KLTGEVTKLTGEVEEKSKQLDEATAKLEGETARAEQLQTENAGLSVQLADVTQKLGDS QTAHQADVANLRVQVSKLQSRSSRAPSRSSRSGSPKKGRGKLGELVVVRNPQDRSQLQ VMRKSDLKSSTSSQASDNDD
SAPIO_CDS10159	MVRPPLSGALSSSGRTRTSASLHAGAPITTTGFFDSVPRGRRFR RPPPSQYQTFPTPPAAAAGDSAGREEGALRPGQSPLPIRELSLLALLSFAEQTALNSI SPYLPSMILSFPEIPPEQVGFYVGIVASAFALAQLSTNFLWGYLSDQIGRKPVLVLGT SLLAACFALFGFCKTYWQVVVVHVAMGLLNGNAAVVPTALGDLTDRSNQSIAFTWLPI IYSVGSISGPALGGLLVGVMGDEYPFLAPNLISTVILVATAIILGIWLEESFQDRSGF HFDFSALRKRFQQRREQSNSSSPWTWFAPPNKIRVEARNLLSSSADRPDDGGEDRHDA DDHDSQRDEVEESTRYLSWSDTFREIFNRSTISLLVSYLIYQFSNISFNALYPIFAAA PAPTGRDLDPATIGVTLSVSGIVTIVFQLLLFQPIKARLGNLGLYRVALLGLAVSMGL IPWTGHINSDPPFHFGTGRQWLYAELGGVLIIKTISAVGGLSCVMLLITNSAMSYSSL GTLNGIAQTISAAGRSIGPFLAGSVFSLSSRVQPKGEVLAWGLFGGISLLGWFTTYGI DGKGLESDDWNGEDESEASV
SAPIO_CDS10160	MPASQFEKHGRTVPWDEQYVEDLSQALQACKVWAIYPIVWLCYE QNQTNLVSQSGQMITYGIPNDAVSSLNPIFVLVVVPLFERCVYPYMHKAKLDPRPTVR MTLGFALIAVSMAIAAGVQQIVYNAAPCYDRPLECPASNNGKIPNQASFLLQIPVHIV GAIGEVLWSVSGSEYAYNKAAPHMKSTLQAVT
SAPIO_CDS10162	MNGRTPLLIAAANGHVAVVELLLAKDGIDADSKDSMNWTPLSKN WTPLSLAAWYGYDAVVELLLARDGVDADFKDRDGQTPLSLAAAKGREAVVKLLLVEDS VDPNSKDKWGKTPLLRAAANGHEDVVKLLLEKGADVTVADNDGQTPLHAASLDGHVEV VKLLLEKGADVTVANNDGWTPLNLAANKGYADIVKLLLKKGADVMGSKIILK
SAPIO_CDS10163	MSPQILDLRIELADSAEDIERGFHCACETFGRQTQDGIWIAMNP GWDTPEGYARGVKNMVDRWRGVTMDREGNLTTAFIKATVPDHQSDGGRVIVGMAIWVQ ASVVEGCGQPPVEDFSQAMDLDLLYPSDKAQQRYLCQLDYSLHKRRIEVVKEKANTSS PAVMVLDFCVVDPAFQRKGIASKLVQWGLEEAKRRGGLEAITEASAMGRHVYQRLGFQ QEGPEIEYIVDDEFKQRERPSNIFMRTAGVAFAAINKCKFPADHIIERDVAIVGGGAS GAHAAVLLKEDFGKSIVVVEKQNRLGGHVATYADGSGKTFEYGVQSYLEYGDALAFFE RFNVTTGVPTRGALTSAYADFSTGLNVSTFINPANDERVAALNRFLEAAELYEDMILP GYWNFPEPDAIPKDLLLPFGEFAKKYELDAAMPQMFQVPGPGVVDWTDAPTLHVMQVF GAPMARALVGAAPTFGPLSRNNTELYGKIGASLGDDVLYSSTVAKAERDDTGVKLVAK SKSGEEFLIIAKRLLIAFEPTIEAMESFDLDKGELGVFEKFDYSTVYAGIVSHPSLQI NVSLVNTVPEAAPDDYYHFPKAPILARFDYMGAESDLFRVLIVGDKTLDEEGARQLVR DSLANLIEGGALPDGDVDDLEFVAFVDHGAMHLRASLDDLKEGFIQEQYALQGHRSTW YTGAAWSVQFTTILWAFNDILLPKVVEEL
SAPIO_CDS10164	MSEPQQTTKVPETTAEEAVPSPPTADAAPTEAAASAEAAPAASA IPNTLPGQYWVQQQNLARDDDDADSACGDDGASSTASLSSSILKYRTIHGRTYHSEQG NAEYWWASPRSSITMKVVDIGTGTGLWAIDFADEFPNTEVIGTDLSPIQPSWVPPNLQ FQIDDCTQDWTFGENSLDYVHIRWIFGSIKDWTALFQQAYKCLKPGGFIETHEPSTSF RSDDGTVHEKTAMSQFGKFFEEGGKKMGRSMTVLEDCIQRKALEEAGFVEIKEVHLKT PIGSWPKDPKLKEIGTFQQMAVEQDTEGTMLYLATMLGWSREEVIAYIATLRREFRNK NIHGYYEQKILWAQKPEPATEE
SAPIO_CDS10166	MGEKSEVENIDDCGKKPVVDVVHNDEGTKVLAAYTGDRQWTEEE EKQLVRKIDKRLLTLLFLSYGFQFYDKVILGQAAIFGLREDVGLNVGNRYSFAASIFY LGFLVGSVPATLLAQRFPVERVIFGIVFVWGGCLMAAAGCKSFQAIYVQRFFLGFLES GVSPIFMMSVGSFYKKKEQALRQGIWYSSNPIRVRGLSDRERFIAISRLQSNNSGVRN THFKKAQVYELLLDVRFWLLFSLAFLNMIPNGPGSSFIPIIINGFGFSRLNTMLLNMP YGLSAGIVNILLPYLAYKYKNMRCYIIILGYAVAGIASLLLWKLPRSEKGALLFACYL FPIWGGSFGTTMGLATANNAGYTKRSVASSGLFIGYTLGNFVAPLLFKEKDSPAFLPG WTAVLSCCCAAIALTIVYRQYCVWENNRRDKAGIKEGFEHAFEDDVTDMKNPQFRYAY 
SAPIO_CDS10168	MPGSLNLEVPVLIIGAGPSGATTALLLGRMGIKSMSISRHRSTA NTPRAHIFNQRAMEVLRDAGIEGQMNEIACSHTSWMECLAGEEFGRIYAWGNNPKQKG DYELASPCQMSDLPQSLMEPVLVKEATKLGAEFRFSTEMVSFEQDSDRVRVTLQDRDT NDTYTVTAKYLVGADGANSKVIEQLGIPISGVTHSDAFNVHIKCDLSKYFNHRTGSLN WVLNSSAPEWAAVGNFRMVRPWDEFVVSMHPASKGLNPIDQPDDVIQERLHQLIGDDT VDIKILSTFRWTIREQFADRYQEKRVFCIGDAVHRHPPINGLGSNTCISDAFNLAWKL AYVLKGWASPTLLDSLTPERKPVGDGVVRRANDGMLAHRRLWALIGTDPESRKNFRDT LASGTSKGAEARENLRKALANTQDEVQALGIQMNQVYVSEGVATFIEPGDEPPTFGHL NALREVKVSTYPGYHLPHVWVAANGQGSRISTLDITGQGRFTLLTGIGGEAWKEAAKQ ISSTGSGVEIAAHTIGFRGDFIDCYNDWFNARGVGETGAVLVRPDHFVAWRCQELVSD PVGKLCEVLDRILGKNFQTNEVA
SAPIO_CDS10169	MPSRLVAWDRLVRYIPSSGEAEIRYGEPLLEKDSDVDSIAQLAQ DGKLQVKMLEGQDIWSARPTDRVETVGQLLGPLEAGTVPIIRCIGLNYKTHILETGRP LPTCPTVFTKPSPSVADHGEDIPIPKIAQEQCDYEGELVVLIGKDAKNVSATDALQYV AGYTAGNDVSARDWQREVGKAGPVPQWTFSKSFDKYAPLGPCLVASHVLGDAHGLSLK TFVNGELRQNTNTSDLCFGVAKLVEFCSQGQTLQKGSLIMTGTPGGVGLFMKPQAFLK DGDLVEVEIGKIGRVSNHMKFE
SAPIO_CDS10170	MPLPPNDQRVRILRTAFTVYYHNDLIKTHKFYLDFGLKIAEERP DGQIFFKGYGTEPFVYVAKQADGESKFGGAAYEVESREELEKAARLPGASPISKLDAP GGGEIVTLTDPIGFKVFLVHGQTPKDAEAPHLEKLVVNYAEEKPRKGEFHRFKTGPAL IHRWGHYGVTYPEGKYQEMYDWYTKTLSLAPSDLVYRDGKPITCFFHIDRGLEYTDHH SFFFKPCKANTAPAVAHSAFEVHDFDVQQLGHQYLQSQGHELCWGVGRHVLGSQVFDY WFDPSKFVVEHYADGDVVNIETEVSKVQAGPEALSIWGPPVPPVF
SAPIO_CDS10174	MSGYRLHIGLDEEQTERLVKQLQESARVDYDQRLVRAYRKALED TKMFNLRLIELVATAVHQLGAIVYQMNLRLHDGDVDSVVNWSMPPDLWGNVWEVPKPP PALFNLPHYGAHDIYPEGAADMVGYWTEDRILGGVVMFKSQYYGRRRDAQCLYPFLSR KKLPSLESCPLPILPNKENVVRIDVHEATLHHRVYRDVWERRPLSLREIESLQRRPRA EVDYPEMRAR
SAPIO_CDS10175	MAPSATETIQASAPVEDITKLTGDERAAALKANSKDRPLDVFTY GGHPISGHQREPIHQIRIPKLATLEDEREWRKLHHAAALRWLGLNGYNNEGAGGHVTV RDPILTDHFWINPHGVSFSHMKPEDLCLVNEEGEVVRPGNMHAINPAGFSIHVAVHKA RPDVIAACHCHSVPTKAFSALGCKLEPINQDACRFYEDHAIYVHRLTLVPGVASRINQ KLHLQTGFQ
SAPIO_CDS10176	MENRVPDNSTAQSFDDDKRAPAIHAEHDAEDANSTTAEERFVDS FPAEKRKKLLRKLDLHIVPCLIALYLMSYIDRANIGNAKIEGMNEDLGLTGHQYNVTL SIFFVTYILFEMPSNFILEHYFHDRPSWWIGIITIVWGVLMTLHGIVQNFGGIITVRL LMGVFEAGLFPGAILFMNKWYTKYELATRFSLFYVGSALSGAFSGLLAYAFARMDGIS GVAGWRWIFLMEGIITVLLGVITPLVLADTPENGSRWLSEEEQRYLTVRMTLQDGGAK VQKAGSHFNWSLLWDIVSDWQFYLMVFNYWSNTVPTYGLKFTMPQIMKNMGFNYQQRC PAYSMLIIAYSILTPLAPKIKDNIGACYFAIVLASVGLYPINPGSSSWISNNLAGPAK RALGIAYMTSLTNLGGIGASYIFIDSEAPAYPTGFCTSLAFAGLGITASILLDVIYIR INKKRSQVSEAEVRDKYSDEDLAALGDKSPLFRYTL
SAPIO_CDS10178	MGGWGLWGVLASGFRALARVCLARRLPTSFVRYASAQREVLSKD ILQAENAIAKQLLATNVWKPHRSKARATVKGEKTRINITGDKLCKNIEKYLGPSLQRH VGCDLIDLFPGVGVWSRKLRDLVLPRTHILMEPDEPLYAPFLEPLTKNPGTTIVPKSG LVWKDLHKVLESLPHQTRLDPHSPTPPPRNDTLLVTVNLCFFPRTRSVALYLYQLLSA IRSGTLFQEYGLVRMLIWVRPEDIRSTILPTTVQLRSRSAIEAELACEWINEVVGIDS EDADLQAAIYRSKRSGGPRDRSIELESAYRTWQRLREQGITIPKGRETALIKEAKKIR SNSTLLSTRVQSPNVALPYAEELAELEAALEEGEFEKGSTEYKRLLRLRYRQTNDTKQ AGSYVDILQEYATLADLYKSGIASPEELLEKDRLLNDKVASLNPHSLSTFRLIRDNLH LFRQNPPAMLWDRRTLEPLTVKPEEFFPNVGCSLLDIQPKAINPVLRGSGEGSKVFDL LVKIMLRNSTVPVSERIDDVWPDAAEGILPGCPSLRDPSKGGTFGSEHAELCARALNE RQWVEMVEAWMKWPFRPRYERLERQHSEVEEDDDDSGGTAEGEFVL
SAPIO_CDS10179	MASIEKNGTLNGNGVNDRTNSSSSAANGANGTNGANGAKCPVQH NGYGQANGHKSTPTAPIDGGPVGPLAKPKKEPGFFASLSTLKQLSKRPLPTAMGDGTY PQTATRPKLRQDLRTISIKDFKTLIEVIKNKVLGDGIIDDKTMIMERVIALVADLPHQ SKLREELTNNFLNELWNTLDHPPLLYVGDQYRFRMADGSFNNPILPQLGAAGSVYARS VRPGKITMGALPDPEQIFESVMARRQYRKHPNNVSSILWYWASIIIHDLFWTDIRDPS RSKTSSYLDLSPLYGSNQQMQDSIRTFKDGLLKADSYADKRLIGMPPGVSVLLIMLNR FHNFIAKNLAAINEGGRFTKPRADLPEEQAAVAWKKYDEELFQTARLVTSGLYINITL LDYVRNIVNLNRVDTTWTLDPRQEMGINAGTKAGTDRGTGNIVSAEFSLCYRWHSCIS DKDDKWIREFYASIFGDRAETMTTPEMMQAFVGFEKSIPEDPAERTFGGFKRKADGTF DDDELVECITSAIEDPAGAFGAQNVPRVMKPIEILGILQGRKWNVAGLNEFRKHFGLK PYEKFEDINPDEDVSEHLRRLYQHPDNVELYTGIVAEAAKEPMVPGVGIAPTYTISRV VLSDAVCLVRGDRFYTIDYHPRGLTNWGYKEVDYDLNVNHGCVLYKLFLRAFPNHFKS NSVYAHYPMVIPEENRKILTSLGRVDRFDFERPGYHPERINIVTYGAAKYILENPDKY TVTWGEGLSAVLGDGGSRFMLAGDSELHAKQRQCMASRLYRAGWRADVKTFYAAMSEK LLLRKSYKLGGTSQIDVIRDLGNVVHVHFASRVFALPLKSEENPRGVLTEQELYKALA VIFTCIFFDFDPAKSFPLLQAAKGAAEKVGKVIESNVKLSKFGLPIPYTQKLDKNDAL SAYGKNMIKALAQAGLSNHDIAWSQILPTAAAMVPNQAEVFAQAVDFYLSPEGTPYLA ELNRVACMASTEETDALLLGYAMEGIRLAGTFGSYREVAVRDVIVEDDGREVPVSPKD RVFVSFVSAARDPKHYPSPNEVNPRRPLDSYIFYGKGPHTCLGMEASQVAITELFRTV FRRKNLRRVPGPQGQLKKVPRPGGFYAYMTEDWGTFTPFPVSMKIMWDE
SAPIO_CDS10180	MASINEKVGPPPPADGAPSLASSDGYDTSHYSDNADALHRRLNN RHIQLIAIGGSIGTAMFISIGGGLAKGGPGSLLISYALYSCVLALVNNCITEMTTLMP VSGGFIRLAGLWVDDALGFMAGWNFFFYEALLIPFEITALNLVLSFWNENMTNPGPTA GVCAACIVAYGLLNVVAVRGYGEAEFWLSGGKVILIFMLFAFTFVTMVGGNPQHDAYG FRYWRDPGAFAEHHSTGATGRWEGFLGALWTGCFAIVGPEYISMVAAEAKRPRVYIKQ AFKTVYWRFGIFFILGALCVGIVVPYNDPTLMGILDGTVEGGGTAAASPYVIAMKNMN IKVLPHITNALIFTSIFSAGNTYTYCAIRSLYSLALEGRAPRILTKCTKSGVPIYCYC VVMMFPLLSFLQVSNSSSQVLTWLVSLITAGGLIDYIVMCVTYIFFYRACKAQGVDRK TFPYTGWFQPYSAWIALVAEIVVTLCYGYSSFQPPDVETFFSNYTMVILAPVLYIGWK VIKRTKFVKPEDADLVYQRPIIDAYEASIKTPPVTFWTEMVQMVGLRRRKAKDGEEQA EQ
SAPIO_CDS10181	MAAAGNSQLAISGFAPKHFPHSNLFEYISGDPFKEECDYVPAAH KLAPIEPSRPIFVDHKSGRTLTHGQIKKDALAIAGSLQSLGLDPHDVHVLPPTPTCPR PEVAPVVLVQVPNCLSFPAIVLGAFASGLTATLVSPALTSDEISWILQNARPKVIITA NSCLKAMKEAVAKQEDKAYFADIPIFTVEVANETYPLRSSSASSSSSSERDWTDLLTL ASPLARPVDYSDETSRYRTAVILWSSGTSGRSKGVLLSHHALNFSVGSIWHDADYFQG RQQQWLGYVPFYHVFGLCNILLLSMCIGATVHVMQSFHLETVLQAVPKRQITYFHMAP PVAVMLAKAAVVEKYKDAFGSVVAGVTGGAPLGHEVVVEVYKRLGFRVRLGYGLSEAC STTLQRGVGEDEMHAHAGDSGKPHWGVQVMIAAKDETYDSDTTRPGFVGDEGEILIRC PGLLTAYLPIGGLNPGAHPDMSISLEALTKDGWFRTGDVGILCAEGRLRITDRLKEMI KVRAFQVAPAELEAILCSSDDVADAGVVGVYDQSEATEWPRAFVVPRKQGKDENELRD LATQLAKLVEGRTTKYKWLQGGLVFVDAIPKSPSGKILRRILRDGQVKGHEVKLYERK RRDTKFSDPSYFPALITRTTFAKAGNRLLRISPTNRSSFVRDSKRRVFSMSFGFAIGD FIAAGELCWKIYRQVYEVSKGAPAEVQALHNELSNMSNVIRALVEDVKEPNSAIAQAG SDRIQLTNDIMQRTMETLGSLQNLLKNSSLERIKSQNEKLLSCVEEIKASIFGASQRI NAPLIDGPFDQQFQYELTRGMMAKAEVNGRAWSSIGIDDWIQAGKWWLMKAQARLYSA KPGTPESYQAYADLLKASWILTDIIAAHPQMILVSNGSQQVEIQHLSNAIKAEHEKVE RHLPGKPQPQQIIKANLSIWNPISTTSLMPSLHPMQAKQQSLWKTESGEILYQRFGIY LSRSTCLEIECIIILEANADDRALNIIAQTCEGQEVLRHDALKWKGTSFNFSVYARVF NLLLWQIRLFKSKFPSSSFDVRMLRGGALFQYLHLLQEEGRQFSEPEANADIQRLSEE TTDSISEEDLFDLWDLATRASKLSGRAPSFEAPRHKHGGIPISLLSVVCWASPTALCS LSALDSIAPKGSEGYAQYVGELVQAAVYRQDEAFLVETLKQLGIHPQPVGKSYSGPPD TFIKTLLQYLGPLKELAGPFVLFWTSLQRKHRGLFDAIVELTRGEVLAGLKEILGDAI ENRDLEVCRVLSNIPKSMNQDSHLHELLLRAISFRFPEGVKCVLSVYRGRPDPQWTMP ALLTFDPDIIRREQLRDTIDGLIPFSAEERLKSYLLAFDTADTLIGVNPEEKNPFMLE EGPVGGYSITGDDELELDREGVKYTTVALPKSLETQATWTKWREEVYAILDKALKCQF PREEGESSEMEKFK
SAPIO_CDS10182	MKFSIAVAAFAATQLDLAAAHSYIYGVFLNGVDQGSFNGIRIPA FNGPPPRGYANSPVKDVTSPDIRCNVLGDRAVPYTIDVVPGDTLTLDWHHDERTQKDD VIDKSHHGPALAYLSPDPPTENSFVKIWHKGKYEQASEPLGEGKWAITSEIKPRHGLM NVRIPADLKPGPYLLRAEMIALHEADAKFNENPIRGAQFYPNCVQLMVGGNGTVELPQ GVSFPGAYSFDDPGVHHDVYCSTKTTTTVPCTTEYIIPGPTVWSGAWPETTDVEVGPT TGATRITAWSSWVQNGVVTSGVYDGASVKIEGSASYVPSWPTTYEAPQPTS
SAPIO_CDS10183	MHVDMCTSMVKSRFLSNPHDLGVVAVGFSGGQGKAGVDDGPVAL IESGLISQLSQDLGYKIHGDEEVQVHSYSDIVPAEDPPIRNMKNPRSVSAVTAKIADQ VYSHAREGRMVLTLGGDHSIAIGTIAGTAKAIRERMGREIAVIWVDAHADINTPETSG SGNIHGMPVAFVTGLAKEERPECFGWLKEDNLLSIRKLVYIGLRDVDAAEKRILRENG IKAFSMFDIDRHGIGRVVEMALGHIGNDTPIHLSFDVDALDPMWAPSTGTPVRGGLTI REGDYICEALHETGNLVAVDLVEVNPRLAADTPLGPTETVRAGSSIVRCALELICRIA LLTPYMNTLAIAGFSSMMQSTLSTIVVGVMTSKLSGRTFDLDSDVLRYDTKDVNLSIP LSVIRQRPITRSDFEDYEPETAQARLTIPLPTTLFEG
SAPIO_CDS10184	MSSYDLGPLAGGRFHQYANSIGQVYYVDNITNTTSYSIPTGFDN RPGDSWALDESKSWPQWNNNRTGRAVLIDPNPPPLQTYLDDANVQAALNLLVKVPDSP ERVYRRPMVGILHFLFPPTEGYEVVQEIRGRPGFCILKLSPTPGGALHEFDFLLAESM KLNEPWGATEDQLRERLAENMNETKNCYGMIHIGLVVQFYKYEQGVLEKIGQKMHLIT DVHDVIRWAQYLKEHPMPVV
SAPIO_CDS10185	MAVSTVSGDTTTVYTRRRRVPHVHTYKWPWIPFNIWMFLMLLCS CSIVGIFSMFINIQYQLLLPVPWYFPYYITVGSFTVLYVATLVWLLSQHRLLPAIVMI GAFLVFVLWFVGLIVVSIELWGPKGSVNSKCNLRVFNRHPKGDTLETLAWLQERSICQ SWHAVFSFALVGMLCLIWIMVMAYEVFVESL
SAPIO_CDS10186	MDEEDPQRAPASLQPQAGQSSTPTGTPSQETSGSKPENSTNKPP PPLATSILETTFTDARPDESHLDSFHEQAVHAHLLDVESSFHAPLSPLTTTNPAGGID DTYLFDAGPRALAAQSPSPNLPPLLEDDLSFRSASPQKQQTLLNRPNLPPPSTHSDGL PALEPLDNIPDVSNTTSSLENLSSSPTAAAAARTISRAISSASARTQATAARTSEQDN NDTSSTHEDPHASSLDLSRSSPSNNSLPVPSGVLPRQRRSPSPSKYALDAGSTPGHAL RTDQRPKYLRSRNSSQRSSTSSFTNPESQDDADSDVTVGLATDYALQSGGAVPSMLMP RSLSSFLNRSISMGSMASGIDDLNEGSGSKGYDHLDPLDEDASYHAHGNRESDEGLLK TPKPPHKQNLKDPTDTVIARHVQNVQVPESLAKEYKFRSGLVTPRQPSSFKSSIGPSR SGKNLTLKEQSSTIERLSKENFDLKLKVMFLSDRLDKLSEEGVKEMISENVELKTSLA VIQRENKALRRRVKELEKQLKEEDERPSTAKSGASSNSNQSSDDAAAEREEELFYLRE RVEEYIVEIERLRHECINKENEKRKMSEMVRSLGERNVGESLGRQDETDVWKDLLEQE TARREQADEDNRRLRDEIFRLKQEMASGGGLHHTTNIYNITKKHGQAGSPSRPMSGLS GDMESTNGMSTASTLIEELRRESEQLRHENAELRREVGAQTSMLTSRNREKERLYQEI EDLKMAQRRGGPAPSTVDTLLERSASRAGVHHHQRSMSRNSGTTRVTNPQDEAEKEEL ENQLAEVRDKMNEVKLQNQELQQELDKCMQDFETAIESQRQTEEIATALQQDLETTMN DLVALQAERDEALREQAEMESEFEALRKEAQTEIDALEGDVDQRNDEIHRLQLDLQDR SENFAALQEEMRKMSDALLRLEDEQDNKLRRIQQLEQETAQANTELEELEAKLNESND KANRLGVQQESSQGEIAFLREEQEADKIRIGNLEAELASAEQSLRDEKDRVTELEQRL QAERRQREMVANREKEEVQQFVNGLNREASAAKDEARKLRKALTSREVEAAEWKERLM ELEGNLRVALGDLNGTRSSLLNSISGLQRQLEDTVRELDATKADLGEKDRLLKQRDGL LESHGLESRRLAELLDKERQAHRTTKSQFETFQKTHQHVSVTAATQETRIQELEVQRA QSQKKLMSLENTLKDQLTERNNLLLILWSRLSTLCGSDWAHDNSLINGRALPSLEAVA TMLPGFSKNLLGAVKTLEGMVGGFQSRIKSVERELWREYQNLENGLEVRTKKLDRLET MVRNGIASGSLGRLGSSEVQARVDGLEDMIRQLRVENATLRTANDVRARAVYGSVPGD GTAAIVDAVSGSPAPSIPTGPRDRESSRSRKGVYTSSGRTTTMTRTSSSSHGVGPGSS GKEVMSAAEHLVSGSVGSSGNDHALLLRLRDLERKLRVEREGRNQDRTAARQRLGELE TQNRDLRSENGRLKRLSEVD
SAPIO_CDS10188	MGRKKGNLPAEGHVSGQSNKPLTAPAHALTFKQVEQELKSDALS GLSAEEAASRLLEFGNNDLGEAEGVQPLRIIVAQIANAMTMVLILAMAVSYGFKSWIE GGVITFVILLNVVVGFFQEYSAEKTMDSLRSLSSPTGNVVRQGRSHVIPSGEIVPGDL IELKMGDTVPADVRQVPLTSFLSPMVLFIDIGLTTHQIVFRLTEAINFETDEALLTGE SLPVRKDEDQVFPDHTGPGDRLNVAYSSSTVTKGRAKGIVFATGVHTEIGAIASALRK KDSKRRPVKRRPDGTAKSYRYLEAFTLTITDAIGRFLGVNTGTPLQKKLSRLAILLFG IAVLCAIIVLAANKFDSKTEVIIYAVATGLSMIPASLVVVLTITMAAGTKRMVERNVI VRNLRSLEALGAVTDICSDKTGTLTQGRMLARGAWIPALGTFTVENSSSPFNPESGDI RFTEKLPTKIDFKKSGDAETGPITAAANLIEKGRDALVDYLRICSFANLATVVHTDGE WNARGDPTEIAIQIFASRFDWNRLKFTSGEHPAWTDLAEMPFDSDVKRMSVIYRENNT GALHVFTKGAVERVLDQCINYLPTADSTQLVPLDEEMRTQILQNMEVFAGLGLRVLAL ASKPFQGVLKKGDDVDRASVECELTFRGLIGLYDPPRPESAPAVRQCHEAGISVHMLT GDHPETAKAIAIEVGILPQRMDRVARDVAAAMVMTATQFDALTDDQVDELPVLPLVIA RCAPSTKVRMIEALHRRKKFCAMTGDGVNDSPSLRRADVGIAMGQSGSDVAKDASDIV LADDNFASIVAAIEEGRRIFDNIQKFVLHVLAENIAQAGTLLVGLAFKDARGLSVFPL APVEIVWIIMATSGMPDMGLGFERAVPDILRRPPQSLKTGIFTPEFLLDMVVYGLWIT ALCLSSFTLVVYRWGGGELGENCNESYNDSCDVVFRARATTFACLTWFALFLAWEMID MRRSFFRMQPGSKKYFTQWMHDVWRNQFLFWAIMIGFVTLFPLLYIPVINKVVFKHKG ISWEWGIVFVSAALFFGGVEFWKFCKRVYFRRQAVKKQGTAWKDMDIEQRVFGEYLQH DSGPASDEEKAAASRNPVE
SAPIO_CDS10191	MFRPLLVLLIQFEDHIIQTILRRPGFHRFVGRIQRYVDEKQNGR HPDQPLHPGEATELPSTPESSFFRHFVSELKNQAKGNPTKDDPPHR
SAPIO_CDS10192	MKASRDIITAVGLTVLYEPEDPNAAVADVVFVAGIGGHPVKTWL YTPPTEVPKLPPASKLPRRARSFRSKDAKVLTKLNPALSKSSSHLRLLSQDSIQTQTE QEQQQQQASSAEPAPEIYWPLDLLPHSCPSTRILTWGCSTVAPNGRLQANQNDIFAHA EDLLQELTLLRNETNTVTRPVIFVTHSLGGVIVKEVLRRSEADFETHARDLLPSTSAV IFLACPHRASEHAKLTDAVRSMASVCLDIPAGDHALQYLTGTSGFEIDLGREAFARMW NDYNFRVKTFQENLPVDEKKRQSAADFEVRRASSAIGDPRESAETFQATHLDICKFRS SQDQNYKILLSSLSSFILNETHKRRELNSKEKECLRALLPPGSAFRDTQPTASYPGTC LWLYDIPEFQAWHHRQSGYKNKLLWIKGKPGSGKTILLKSLRNRVDKQWTSAGSSVIW SVAEGRDLDTVFYLPSQNRRYGPNPAGVYRSLLGQLFPQDLKLRKAMLALYERNEKSG AETPISDAQIVSFFLDDYIDQVIETPTKRTFIFVDVADDCGPAYLQDLLGHLAQMARN SDFSICIASNHYASVQHHDTIEVVMREHNTDDILRYISLNLIAEWEDRNITVHRIADK ANGVFLWAEIVVNILNAAIEEGAMQELIDDTIAELPAEIDGLYEWILSTLSPEEKDDA LCLMQWVILASEPLRLNDLRVAVRLTRPWNPQKSSPLAALQVHQPPTSIRHIRRPGSA TFESPYQFHRFMRSRSVGLLELKPDTRDGVTHEPLGLQRVQVIHDSVRSFFLSGRGYA CLAGEKAASTATFPDAAHYVLLRACLDYLNMSDFESLGNGPLSPQTPPTPYEAESKFW RRNVTDQRNLVMSSYPFLQYAVDNLLYHLLSPLPFRYFFPQMALLRLLSSNRSRLWRR WTSLLGATTPSTILSASKSAEDLLTPLYPARFALERVLRTLSRLSSPPSPTAEAPTSP SSVLASSSSAMGLSSPRSPLSPITPRSASEVTTLWSAARGGASTGTGTGFGSLRTPLT PLSPGGDFLNLGVLWEGEDGKSHEQGYVFREVSA
SAPIO_CDS10193	MSFMPTSPGRGGRGGFDAPRSIKEITDRAEDFYFNTNIPFKYWI QSCKTLRQEASFALRDGDYARAYLMLYRESSLVMNHLRIHPEFKDPESRRLYRPLLDD LDNVVQELEQIKPIIKEEYDDYQRMVASAARRESAAERQSLRPAPVRSAPRIVEVTDN VDYAVDLARDELRRRNGSRRVSRPANFEKGPAQSRKEYWVEPDAVDDHYYDRDGDYRR DVRPARPVSRPGYEPHEGYRDNYRDDYHQDPDDDWRAGLEATRRTLDSMTSKRRSYEP EPEIPSRPSSHAQPYYPTTSRSRPVNYIAEPPTTHLPSSPRPQRPPKEAYRDDNPFLP RPTPPKPDPYRRSSERTDHYDYPPPRPPPPPQTRTPDLEPEYSHYSNNSLPPRPRKEP QIRESDPYRGSDSYERRPYASREEPPPMPPAKYAPHDLRPPEDPPSSKRVSFRPAAYL ENGDPVRYVFLPDQLRSSFLKIAAPNTAKGLETCGILCGTPVNNALFITCLLIPEQKS TPDTCETINETAMLDYCISEDLLVIGWIHTHPTQSCFMSSRDLHTQAGYQVMMPESIA IVCSPRHEPSYGIFRLTNPPGLPYILNCSKTETFHQHHIDNIYTGALRPAGHVCEVAK LAFKVHDLRP
SAPIO_CDS10194	MVINADGKAIPTRSLATAPKKATTISEKTSAAIAAMTTYFNVNP GHQVSIPTNISLYSITQTCYTITHRTFALPEDPSSEPLSDLTSPSHVIDAIFDTDAKL FFQDAPLLAYLTPDLKSSLLAQAITGLVIFFRRLSDALSYEELPFITPYLFAKELESF RHSEERIAALAAVEASDLFAGCRGRGQIATLVSRVVERADDESELSDMIEDAHVHEDS VGMLGWAVERPRNMGLPWGFSILPVN
SAPIO_CDS10195	MDELQAHLQQLSDEYTKLQQDLDAAVQSRQKLESQRQENESVKK EFDRLKEGETIYKLVGPVLLKQEKFEAEGTVKGRLEFIGKEIDRVEDNIKDIQKKIES KRAELIQVQAAAQQAAQGGAPGGKGKEVAT
SAPIO_CDS10196	MSGITDFLGRAISTVKKAIDADNAQEYAKAFQLYTNSIELFILA AKWEKNAKSKEMIRQKAAEYMDRAEKLKVYLAESEAKTNGNGKAGGGGGGGNGGGANA KKEKATGGLDEDTEKLRNALQSIVVTEKPNVRWSDVAGLEEAKETLQEAVVLPIKYPS MFTGKRQPWRGILLYGPPGTGKSYLAKAVATETGGAFFNVKSSDLVSKWMGESEKLIR QLFAMAREAKPSVIFIDEIDALCSARGEGGESEASRRIKTEFLVQIDGVDNDNQGILV LGATNLPWGLDLAMRRRFQRIVHIGLPGPAGRVRLFQLAVGDTPSTLTPQDFNALAAM SEGYSGSDITNVVHQSLMYPVRKITHATHFKKVNIDGVEKYAPCSPGDPQAMEMNYLD IQDEQLAMPLVEFRDVVKALKQSHPTSTPDSLQKYVDWTKSAGTDGS
SAPIO_CDS10197	MAAQIDYILYDSSLGYSVFQVSHQIDGVALQSAEYQNAAASLDK FGKLVKPVGFSPFRGYADAFDNANKIAEGVLSEALESCIAATLPETSGKKSKITLGVY DSATARVLKERFPGYQYESRETSQAVDTLLRGIRLYCDKMVSELKSDDINAACRALAH AYSRGQVKFNTSRDDVHIIQRSAALEAIDKGLNQWTMRLREWYGSHFPELATIVSDNQ TYAKLAQAIGDKATLTQDRLHEIAALVNDDEEKAQAIIDAAATSMGPEYTEYDMQQIM DLATLTVDTFSRRSTTANQLDTKVGLVAPNLRELLGAPVSARLISQAGSLVTLAKYPA STLQILGAEKALFRALKTKGNTPKYGILYHSSAIGKAGAKNKGRISRYLANKCSIASR IDAFSGTPTNLFGQAMKQQVDDRLEFYATGKKTPKNADVMASTVQAMQAILGDAADEM DVDEPEKATKDSSKDDRAARKAEKAAKKAEKAAKKAAKDAKKRAAEGEAADSPAGKKK KKSKKAEA
SAPIO_CDS10198	MGYRTVAYFVNWAIYARSHRPQDLPAENLTHVLYAFANIKPDGE VHLTDAWADTDIHWDGDSWNDVGTNLYGCFKQLNLLKKRHRNLKVLLSIGGWTYSPNF KGPASTPGGRQKFAETAIDLLKNLGLDGLDIDWEYPANEAEAADYVELLRVCRQALDA YGNSLPTPYHFELTVASPCGTEHYQRMDLKGMDQYLDFWNMMAYDYAGAWNPVTAHQA NLYHCAEKPESTPFSTQAAVDYYVNAGIPPNKLVIGMPLYGRSFANTDGVGRPYQGVG PGTWEQGAHDFKKLPLDSAEEVDDPSVGASYCFDPNTRTFVSYDTVPMARTKAEYIKE RGLGGAMWWESSADKSGDQSIIGNVADAFGSGGGLLTGENCITYPHSKYDNLRNGFPG Q
SAPIO_CDS10199	MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKVYTTPKKIKHKRKKVKLAV LKYYKVDSDGKIERLRRECPNETCGAGVFMAAMDDRQYCGRCHLTYVFDKN
SAPIO_CDS10200	MPKKRKNNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAATRDILEASVFGSDYPVPKMYLKLQYCVSCAIHGKIVRVRSTEGRKNRAPPPRV RYNKDGKKIVPTQGKS
SAPIO_CDS10201	MSTAASSRPSRRAATRRTVVDSSDDEEMNTTMTKHAADDEEEFA PQTSSPRRQTRSRRSIASAASTSTAAPRARGRPKKSIAPVEPSEVLEPDTTIMSTATV EEPVKKAAATRKRKSVKQEAVPEETTLPIPTPEPSLSPEPSVLQGAPLADITVTAVNE RRPATADDNTIKPIKPMDTVLMRPMDIVLKSRTMAIPQAEDTGPKPRIVITYLILTNF KSYAGRQEVGPFHASFSSVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHNSA EYPDLDHCEVAVHFQEVLDQPGGGHTVIPNSDLVISRKAFKNNSSKYYINNKTSDYTT VTTLLRDRGVDLDHKRFLILQGEVESIAQMKAKAGNEHEDGLLEYLEDIIGTSKYKTP IEEAAAEVETLNERCIEKSGRVQLVEKEKNSLEDKKNKAIAYVRDENELAMKNAALYQ IYMGEVEDNIAVTEEAIAQIQSLLDAELEKHHGSEEVIKQLEKAYAKGSKEFSAQEKE TQALVKEMAKFDQERVKFDEKRKFLDDKRKKLEKAIANAEKSAAEAEQTIEECGEEIE SRAAEIASLEEQVKAEEEELARIRDSLKGKTQAFSDKIAAKQKSLEPWNEKINQKQSA IAVAESELNILREKANAGAVALAEVQARVASIEENKAAKLQELEECKVEKAKLEEEAK HVESEIKDLASQEPRIRTKVSNVRQRAEEARSSLAKSQTQGNVLTALMRMKESGRIDG FHGRLGNLGTIDSKYDVAISTACSALDNFVTDTVEAGQQCIEYLRKTNLGRANFICLD KLRARDMSPIQTPENAPRLFDLIRAKEDRFRPAFYHALQDTLVADDLAQANRIAYGAK RWRVVTLAGELIDKSGTMTGGGTTVKKGLMSSKLVQETTKEQVAKYEADREAIEAKFQ EFQDYQKELETRLRSLRDEIPKLDTRMQKIGLEVDSAAKNLADAHRRIKELSKEHQPS QADDKRVADLETGIAKLNKEVDKLHAETSSVEAEIKLLQDKIMEVGGEKLRAQRVKVD SLKEEISSHNEETSNAEVRKAKAEKSKIKLEKDHAKASKELGAAERDLEKLESEIENQ GQIADELKARAEAAEEQLAVTKKELAGLKADLDEKTSELNATRAVEIEMKNTLEENQK VLVENKRRREYWTDKLSKLKLQDIEDLTGESSPAPAPVKQEKVQEGEDSEETSETSQT EEDEGSEEADSSSVEEPTKPARKSHTLEIPRYTRDELSGMSKDKLKGEIAALEEKTQN AVDLSVLAEYRRRVEEHANRASALAEEVASRDAAKKRCDELRRLRLEGFMEGFSIISL RLKEMYQMITMGGNAELELVDSLDPFSEGILFSVMPPKKSWKNISNLSGGEKTLSSLA LVFALHHYKPTPLYVMDEIDAALDFRNVSIVANYIKERTKNAQFIVISLRNNMFELAS RLVGVYKVNHMTKSVTIENKDYVHGRAAAPQPAPLPHPNRDYTTMLVGPRPGGI
SAPIO_CDS10202	MATFDYRKFEHVFYNVKPFPSREFFQETEAIRRKFDGALFIDRV LKALGVGKAKIYPAKTEHALRTLHQQVCEANMTMHHRLSIFYYVLLDFEYANKRTAFT EDFATLSGVPKKYQIFMRGLWLLDRHEFKSALEYIAHPSLMPDFADDIITTFIRHAED DTLALAYFHTVQPVLKTPQALELLFSALADASISQALHYSRTYPEHTRQLLFQRLVGS VLESGAGEEAGERGVELISLPLDADEERWFEECLTTEFAKHKKAKDTLVMRRIVTGKL DEAVAQRGLGSQWGTIIESMKQGLGNRV
SAPIO_CDS10203	MYSPITYAFIGAPLIVSAADIPADAPADVTAPAILGSPVPMAPI PLEAIPFPVGGPFLPGVQDDNGDKQDASASGLPFHIGPPWLPGVQDGDGDDQDGVYSI WPTNLDEWNLPVTTTSDAPAEGTPLYGTPSVVTVTVTSTSTIDPNPSSSEAVIPIIPV DPLPGSSAAEAAPTAPESYPDDGASVVPAVPAVPSVSNAPVHHSSHAPAVSAAPSSGS NRSGTGTSTASRTRPSKQSDGKDQDGEGVGKSDEGDESASARIGSPTGLFVAFAVVVA GMMAA
SAPIO_CDS10204	MGILTKALLTSTLTAMATAAIASPAAPAAPFIQDVVFSGTSCFN DSLNHVDLEGNRIKVSFAEFNAMVGGPDDPLNRFVNCATHLTFVGGVPGFALSAKKVS GEGTFYATPKVALSLFATMFWETDPAVTKQIDYPIQNETAILQRVTFEEDFKETPFVS RCVRDDGALGPLTIRMRARLSASEENAVGLFLGSEEWPVREEVEFEWVPCNGDVPDVD FKPAPVEETPVEEEPVEPTAPVDPPVKEEPTEGIEIGEPTEGDVEIEEPAEGEVVVEE PPAEGEVEPEEPEEPTEGEVEVEEPAGGEVEIEEPKEGEVKPEVPADEPWSP
SAPIO_CDS10206	MESHLLFRVCTQNDAIQAQQQTGFEKLDKSVQHFIIQCGRGHKN LCDLVESKAQSTKDHIEEVARHIEQHVTKEVSTVEESLAKLTIKSIDQATQHISENVR AGPDSDGPRGRFLHSLKFPSMNERYNHITDSHQDTFLWIFRDTESDLHSSTKGGTRQQ HNTMDKPWDDFQEWLRSESSLYWISGKPGSGKSTLVKFLITADQTKTSLAMWREGPVI LSHFFWKPGITMQRNMKGLLCTLLYQAVSRESVVLEKTLGALSSSTDKDSDTDWSVAE LKSLFLATLSDYPSPVCIFLDGLDEICLDDVPALLKLVNDLKSISRVKICVASRAENP FQNHFRNVQKMRLQDLTRGDMWKYADTILNQREEIDVSDDIHDDLLPSQRQFPSRIID DLVWKAEGVFLWLQLVTRSVARGWANGDTEEEIESRISVLPGELSGLYSDMWKRLNED SLVYRRTAAEYFNLVLAAATFDGAGPVATTSHTRYRGGKLDLFQFMAMMTESFGPGVL GDIRNPVDESYIDGICQEAADSIRKRCAGLLEVRATIPRSECSNIVRYHIYSKVSFIH RTAYDFLMDSEEGRKILSFDPSPSEARYLRLANGELVSSRFLPHSLRGFLTTLRYALL PSLEDQIREALHNAWDLYEAGYLFDHFGRLPFLLVVAIQGFEDFAISMIRQDPSSSHL ADQVLRALFKAAIGCQPSSKIYREAIQNGNIVRSLLSLGANPVSRLVGFELDYQPAGE VHLRTTTFALASILIAQELHFGLPPLEPYVSLLDGFRGYLPDVNERVPVTLWVGETGG WLDFDTLPLEREMKSLPEEEKDLRQDERLFFVLDMSLAYLLERINAILPSRGLTANVT DLESQRPDDDPPFRILPLVALQAVRGLRARAHPFRMVSKSAKDKLDFLSCLVGRWLGS NPCPKPHMHQELWILGKEIRQHVVESPGAYEEIMVPIKFILAEEGCGYEGDKSETGSA ECRSSSDTSEPASESTSESTSVQTQESPRVQIGNAIVGDVNDDDGDTSSGDGILTRFN CICKLPLTRSDIGATVYCYSCNQWQHLRCYYPPGFSPEGYAHYCLDCNLSIWEQADVG FDLYLLDYERQVNLLILGTLGQATLLVASITDSAASGLTAASPGWVYSESHKRREGNG GLEVQFVHEEGGHPIAAPKNPFCTAKLRC
SAPIO_CDS10207	MDLATTAGASDPPSESSPVMQFTTVEALFEEIEKVEKVDGDILT VKGVSPTACTAIDKGRERKRRRIRFRRYMPQKGILIITIPTAVHEQLHCVLGGEVENR IWTMGLRDEWNTMAATTFRSSGGGEGEGDWTGGPRPLRTGRDKWPTLVIEAGTSETLD ELREDMRWWFWASNHEVKIALLAKFDRRHRRIQLEKWIEVPLGPRHGATNTRNDPEPE PDCPQGTITITRTARIADTDPARLNPASYIATSGALRLEFSLLFLRPPGHGEGDIVIG AQDLQRLAMRIWEWV
SAPIO_CDS10210	MAQSLPPFAPFPISTWRDNLPPSDWITCQVAWSTLATAYLELPD AELKAKLSKDSSSTDFLLAFADEVSQHGTTILGSSPASKTLLRTVFTLASRLVYLPSS PLLSWEFLADLSHIYPRKLTTPLLTSLATNDATKQPLETSLLALKKSLSRDLESGIDG SPRAIQTTLSRLNHLLHASPDAAAIFLAGSDFLDALVAGYRLTDPPLRRTIVTTTYLC LVGLLADGNPRFSMLSDQLYALKIHADTHRAGPLNANDSLVPELVTATPLLRQTLNKA EEADAATSALKARIAALEAYKKASASTRKPAARKRKLDKGKNVVVLDEENERFRNEMH VHRMSQISQVQDLFPDLGSGFVARLLDEYGEDTEAVIAHLLEESLPPHLADADRSEEL STHPAKTVHMEPNPTPPLIPERRNVYDDDELDQLTVETSKLHFGKRDPEKTADELLED KSAAPGKAAILSALAAFDSDDDERDDTYDADDVGGTVDPLANEEADADREVIEEALYR AYQANPRVFAREDYARTGHDREKLRQDTGMTDDAIEGWAVLLERSPHLKRRLENKYTS FSGVQTELVSTRWQGGDESEDGGDSSAGRGGHGRPHRGRGGGRGRGRGRGGGSGNAPA ESSESTEAARRRKEAHKASRANHNRRDQRARKMARGGGMVG
SAPIO_CDS10211	MSYNKGDKDFGEAPKVHKIRITLSSRKVKSLEKVCNELLERAKT KDLRVKGPVRLPTKTLKITTRKTPNGEGSKTWDTYEMRIHKRLIDLTAPTEVVKQIIV NIEAGVEVEVTIAA
SAPIO_CDS10212	MAQVCRFYQMGSCKFGDSCKFQHPGAARNNRFQVFGSNPASRAT GSAPYSLSKDTIEKDLTSEPPTWILSCYGPGRDAPEQLFGGYPREQSTDEIRLHYEKA VQAGKQQQALQEIQQLYTNAQQQMQNTVSNLDNAIQFIANGANKHPNRLDIISQTNPP GGTTGEFAVGKRPMGGQQQTTSPFGGGGTAGGGAFGTAPATGSAFGQPSTMGQRPSPF GAPAFGQPSQPSGSAFGKPAATPVFGSVSKPVSAFGTSTTPAFGQPSQPTSAFGQAPQ PGSAFGQTSTLGARPSPFGAPAFGQPAQPASGGSAFGQPSQMGAGTSAFGQPSAMGQK PSPFGAPSGGTAFSAFAGGAAAATPFGQPGQKPSPFGQSSQPATTSPFGKPAQTATTS PFGQPAAASPFGQPAQPAAASPFGQPSQPATSSPFGAPAQTATASPFGQPVAASPFGA AAQSQTGAVNPFAKTQDTTMDTQPTGVFGAAQPAATTNVFGQPSQAGVTPSPFGVPTP TAAAAAPSEPQNPYPPGAQIQHPPPSAYSTSSMGRLTTWKGQPVTYRGDVPGYVNPAT RRWVKIWFPNGPPAYNPETEPQDQAAIASAKTLWDAFGKTGRFDGLLPEVAPLRIQCR WDV
SAPIO_CDS10213	MVMFIPSLLAAASFFFLLSSAFPNERRQEEVSTVSWPDGPFRVE GRWILNASGKNVTYAGVNWSGHGEAMVPEGLQHQSVEYIVSKIKSAGINAIRLTFAIE MIDDIYAKDGDTDLETSFVKALGEQNGKKILAQVLEKNPSFTAKTTRLEVFDAVAAEC AKQQIYVHLDNHISKAKWCCGETDGNAWWGDREFPVNNWVRGLKYMAEHGKNWTALTS IALRNEPRNPSNNAAAASTYNWESWYKFVRQGTQGIFESNPNVLIFLSGLSYDTYIEP VFLGTALTPGNGRFSRDDFPGHADKLVLEIHNYERTIGSCQSLSGNLYKKGFQAMHAE DRGTVNVFPTMLTEFGFPQDGKAHRDVYAQCLSTYLPEQKAGFFYWVLNGCYYIRSGT QEYDEAWGLLTADWKEWRDPAYINGAFKTMVEGVKGYLE
SAPIO_CDS10214	MQFHTSHLFFWLGAALAAGAFYLFALVVYRLFFHPLSKYPGPWL AKVTNGYQLYHAWKGDRHLQFWRLHQKYGPIVRFAPNGLSFNSSTALRSIYSYRSNVG KAHFYDAFVHPAPNTHNTRDREIHARKRRVISHGFSDAAVKEMERYVLANVRTFCEEI GPRGKEGAVSVVDEKKGWSPARNMSDWCNYLAMDILGDLCFGKAFRMLESAENRYALD LVAAATKRHLICGTMPLVDQLGVDKVLFPEIAAGRARYMAYSKSQLMERTKMGDDTDR RDFFYYLLKARDPHTGKGFTTPELWAESNLLIIAGSDTTSTAMAATLFYLVRNPSALA RVTQEIRSTFTDVEEIRQGATLTSLTYLRACIDEAMRMSPSVGGLLPREVLSGGMTIC DEFVPAGTVVGTPHYTIHHHEEYYPSPFTYIPERWLAGETNPVTGKTVTEDEVATAQS AFCPFSIGPRGCIGKGLAYIEMSITLARVLFLYDLRRAVGVVDPGEGREDLEWGRHRR EEFQLIDTFTSMKDGPMIEFRPREGVEA
SAPIO_CDS10215	MRSSSFRRCAVPHNLTSPSQIPGIAWPALVTDLVSTLAAFLSAL IIGSLLHYHKIVKNEWFGYPDEWFPSVSATIGDRYPERSIFQIFIAITSGPRFALVGL WYLLTRKPGHALPKFVAAMGLFRTFTCGGWTYITSTDDHDWHDILMIAYLVGTLPWTL GCIALSPPNTKAIKYRKYLATAFFGTLVPMVYFFLQHKIHRVPGAYTTYAFFEWSLIL FDVAFDSVTALDFSTFEVVVKDVKGTTTGYASNVPSAVIEKEYDIPLLWTMDICARRP RALTEYNLFRKELPLGNVLSLRFTWTEAFDTAAEVYHGYVFWSVITSLGVAVWYFPLW HMGISGYEAFIMTTTSPFLLANKSIRSYLVNNQRLIHLLSLAGIAAYLVENPPIRLCI IGFGVFMSCLAWATTFYAESIHEVRLESKILALAVGLILSSTMKFAWWTNNPIWPIMH AANGGWNGTGLVLGVLAVLRYTRRAPLTSGQAPASPKGGSAILAAFGFAGLFFGLHSL LSDTSTMILWVWEGFPIRGPYSSTHGWCTVAAMSAGLIVSLYRHNLVTSWAAYAVGCA GAAVLTLYSHWLGFYGALTMATYLMSIAIPFISNAARQNPVITFGVGFLIYNFMVLFH VWVVAYAFVPGGPFLREHTDWIMISLMALIGCGVYDLHAQRAKADSKRPIVRRHSPSQ YKKYHAAATALINILFLSATVRRVPSNDYTPYHPDEHLITAGIWTIHFSLDNDMWSSE YRMRDLIKELEIDVIGLLESDLQRIIMGNRDTTQFLAEDLGMYVDYGPGPNKHTWGAA LLSKFPILNSTHHLLPSPVGELAPAIHATLDVYGRLVDVFVFHSGQEEDVEDRRLQSE YLSKLMGSTDRPAFLLSYLVTKPLKGNYNKHVSDVSGMHDVDPSDWDRWCEYILFKGL QRVGYARVSRSTITDTELQVAKFVVPETEAKSAELEAMDAEARNRRTTEAEVPPGWRF PAMFRGDGVRGHRYHVFDEPRYYN
SAPIO_CDS10216	MSNVADKARFFLERSVPQLREWEEKEIFSKSPETCLTDTQSPSL EQEEIRTIVQKRNDFEHRILAQGSQPADFSQYAKWEQSLDALRIKRCIRLKLRNLTSA HASQSRILQIYDRGVERHKFSRELWLEYLDYMAKVKASKRWRRVMTKMLRLMPSDAAL WVLAARRSAGHGDMATARSLFMRGCKFCVADGTVWVEYARCEMEWLQKMDEKRKKTGK KAKDVIKESQVEDEDELRLGGSDSEDDGVDEDGVITRDPDVLNTKPKKVFNAETKETL NNPALDGAIPIAIFDVSRKQAFFSPTVAEEFFTMFSDFVYTVVAGPKVLQNAMDAMLE SYPTSAETCFCYIQQPVAGVSPYTADFPRGLRTVFARLNEGVDRTEDKAALQAKLVSW IDALLRMEGLDSAIVTVLEHMKGLLTRYTLIRREGEIILRKSTAQHALDTWMTPGGSL WLRDDLPKKLPESRIFMYEYDSAVVSGDDKSTFIDKANDFLERLRVKRIKDPHRPLIL LGHSFGGLLIKQALINAFNNRKYQDIKRAARALAFFAVPHHGEDGTLISIGRKIARTL DFQKGGSLLATLRDGGIFSDVMREHWKHRLLDFHIVSFWGTRDKIVSKASATFGLPGD RENLVSLQADHSRICKFGSGNEDQDNLELVLANIQDLYEAVLEEAEKQGETGDEKEYR NTLYNPARFYLRDIVLLNGERRAFIGMGDFIAVFDKAKKLHDRFANAPAHFKAISNEV SSLTGVLKNVEPFLSERDMAGWQEEQLKKLLVECHNVVTDVGILVNENYYLEPSNAHG MRGKARRTWKRLTLEPKDIQDLRSRMNWNVTCLNAFTQTLTSKAVMDTHASVKILRER QDNREQSEEHMLVHNWLCTIDYGSQQSDYIKRRGLKTGEWLLDSQEFRTWLGTDKQTL FCPGIPGAGKTILTAIVVEHIIGKFGQDPNVGIAHIYCNFRERENQQLDNLLASLLLQ LSRTKPSTPRVVAELYERHNPRQTRPSTDELSKALESVAVSYTRVFIIVDALDECQTD KRCRDKFLSEMFAIQEKCNISFFMTARFIPDITSKFDGKTWLEIRTTREDIERYLDSR MGNLDSFGDWDQDLREEIKKAISQAVDGMFLLAKLYLDALDDTTSVWEVRKALRQFEV QTPGSKEAEQQKVLDGAYDEAMRRITGQKPCFRRLAEKVLYWIICGRRPLTTSEIQTA LAIDVGDIELNEEKMTSVNRMVSVCAGLVTVDEECHIIRLVHYTTQEYFQRTQARWFP TAEADITTICTTYLSFDIFESGPSRTDKNFKKRLHSNRLYDYAARNWGHHARNALDGS QEIETPMQEEAGQVILNFLERRGNLEAGVQALFATKTSWGGDSHNSQDFPRETSALHL AAYFGIKFIFKALLDTANVDPDIKDSDSQTPLHWAARNGHEAVVRLLLDSGADPDIED IYGRTPLHLAAWNGHEVVTKLLLDNGADPDIKDYYDQTPLHWAAKNGHEVVMKLLLDN GADPDVKDDDGETPLHCAASDGHEAVVKLLLDNGADPDIKDYYDQTPLHWAAKNGHEV VMKLLLDNGADPDVKDDDGETPLHCAASDGHEAVVKLLLDNGADPDIKDSYGPGQTPL YWAAKNGHEAIVKLLLDNGTNPDIKDDNSWMLLRWAARNNYEVAVRLLLDRGTDPNIK DDNGRTPLHWAAENGHEAVVKLLLDHGTDPDIKDSYDPGQTPLHWAAKNGHEAIVKLL LDNGADPNIKDSYGRLLRMARRLL
SAPIO_CDS10221	MRLFRLAQTLPTRLPNLPIRLYATESLTIPSSTTTATSSAATSA TTTSTPYLVSRTKSNRLPVYLRIQAGGSKRTTLIRRIDGDALALKADVQEALGVDKAD VSLNPVTGHVVVKGSHVPSITEWLKTKGF
SAPIO_CDS10222	MATDTNPEEYSLLDKFAGQLSRHLFFHLLDFEAGKAADAGDEQK EREVLEAKIKLLEGTNMSDYVASLYSQLHGTDPPEKYAKQRQAVLQQMEKFVQDTARL SELLITDEVVNHLRSDKVANLAFLEKEHGVTIDMVNALYDFGQFQFRCGDYQSAADLL YKFRVLSTDNDKVTNATWGKLASDILTANWDSAVEELMKVKESIDTKLFNNPRAQLDQ RTMLIHWALFPLFNHEAARDPILDLFLSANYINTIQINCPWILRYLAVAIITGRGRTR NSSVHQKQIKDLVRYIRQEEYEYHDPITEFVSSLYISFQFDEAPTALAKAEQLCRNDL FLAESTDVFVDAARHLICESYCKICCKFYIRDLSEKLGLNPDDGEKWIVNLIRDTRLD AKIDAQQGVVTINHPPSNVHQQVVEKTKGVFFRTTVMSSAVSK
SAPIO_CDS10223	MASVKSLGLHQPSGLRHAVQEQILPPNPKPSDYRWEISVEHNGD QDIEDELLITDTCVIWSRGQVFRKAFRLDLEKETITHALLTYFPTSEHERQSETTSTS SKSSTEARLLAKALVVFLKTQAHIYFLSGTSHVVHMPFEVESACAAPQGVIIQRKQRS DSFAPVSLRFPRVPPNSFVSSQTTNFSASLQRPPSSSFSVEGLGKPKVLPLRLSSTLG NMWESPMEQPESHWPRLVCLTDPLLELGLVVGAPKVNSRGKSKPTPASTGRPLFLDPA EEILHIEKVYDAGLGNAPASTLTLAITVNRDANIYTVWRLDYLKQEDPFITRPKKSRS KSIRRRSSMQPPCASGATTPTQSHFRESFGAPLPGKRTRARKSEGADKTKDLVASSLE QDIEQGTRRQSRRISSMLARADLSSSQYAEQPMMTGHNTSKRIESYGSQYGRHSGGYG SFNYSQTIHPSLNSLLEAPIDNVLDELRAGGDFEGFHNMGLDDHDFDGLAQEISFTKI KSISIDTSNIRYSVSSQPATSQCRVFILSAPPSAVDENQRTQVVIGIQDTLEKRLQLL TLHFQAAEKPDILSKASKKPASLTGEAIIPQCGELRRAESVVDSCKISHGNLAMTFIL SEKLGGGHELSIQAPWGPMTTIALPLLFLDNVRSLQFNGREVDRDLRQQKSETISCEN GSIVRLAHPKGRGVVDLVDTEGRLHQVRIQLQPSSLLVRRVLEICSNVLPFPHGGKYL GGWWHVMQWCKQENIEVADLEWSCLVIELLASYLALDPAHLKAQASRVGRSRRNRRTA STSLSIAQATEDWNAMLSYEAKNSLACPDWMLGPGWQWAFDDIMNKDPEQDDTTLFQT GFMATHIRYAKQFLTSSIGEHAFGAAGFLPTSQALGADFQRRAASDIFMALHLLLEEQ KLDIMTPEISSSGGVNLKVVLCQIARWLQWSNFSTLYGVGIQEDLDPSLDSELSLSTP IPEPPSVPCVFEWAESYLTTRKGISFPTLADIYFETMRISEKNRRNTDRFACLTPRTF MLKRLFMQLKPGSFFVETVEAIHKAGITTKVMESLPEALLIPIRDAISRCQPHPPNSW SRELLELVNRSDMNLVTAATKRSRPYTGQLLAPTHTASWDFQMLCQSLDEYNSVGCDD GEGNELQSIIRALFKDDRRLSEAQDILSTHKARVVRLDPVPGWTESEYLEQQKELVSR IAIGTLAIPAGRGLLYYSLRFPLLTQKFHIGGFNLNCVVKPTNVTVGVDKTQFTEEKV CWGFFHQGVSAGLSISPNAKGIDTSWILYNKPSQDLSNRHAGFLLALGLNGHLKGVAK WVAFKYLTPKHTMTSIGLLLGLAASYMGTMDSLITRLLSVHVTRMLPRGAAELNLSPL TQTSGIMGIGLLYCNSQHRRMSEVMMSEIEHIDEEDEEEPLRSEGYRLAAGFALGFIN LGKGPDLRGLHDMRLTEKLIALATTAKKVEITHILDRAAAGAVMAIALMYMKSDDHIV ARKIDVPSSIVQFDYIRPDILMLRTLARHLILWSKIQPSQEWVKNSLPKEYQAGHLLT GYNHLTTKSLPFFSILGGICFALGLRFAGSANTEARDLLISLLDQLIRITRLEPTSED QPVYDEELARTYARTCQDIVAISASIVMAGTGDLVVLRRLRSLHGRDDPTTPYGSHLA AHLAVGALFLGCGTATFATTDVAIASLLVAFYPVFPTTVMDNRSHLQAFRHFWVLATD QRCLVAKDFGTGQPVSVPVKIELKKNAAAPGRKGGAVLLKNTPCLLPPLEDVVRLRTD AGPTYWNIDLDLSAYAAATKRPRVKPQTLSSSTTAPATAKDEATNTTKVIHLRRRPPK PTPFSTQLSSVHTSTTGTPSPFTWLFNLPALASLSPSDRNAVLDADPDRLGSAVDVRL ELQQAVEKGERDALLGLKLVFEWIRRREQIQQKKRRRGGRTWQEEEEEEDGEWWVRKG VVEGLKGSVWLAGREG
SAPIO_CDS10224	MTGDSADQSDSSLTQLDPKPEPEPNPTTSESYRASKIEETAASA GNNGEGENPDSKNKGVGEDTNGESGAEPSGQRRPAETSKRAERNDSAETEEDEEEEDE DEGEDEDEDEDDEDEEDDEPTLKYARLTQHLRQVYRNGDATSSFLVAGDKMIVGTHNG NINVIQLPLFQSLRVYHAHSASVTSISISPYPPPLPSATPEAPSLLRSHTLSISRPES RSTEATVGAGSSRRPREVPAVPNTPSNNIYIATSSTDGNVCIQSLIDMKDVQLRSFAR PVQTVALSPEYKSDRTYLSGGLAGNLILTVGGQPGRSVSTTIGTAAATASGWLGSMGI GTNTGKDTILHSGEGTISTIKWSLSGKYVVWLNEHGIKIMRTKLKLESSDQEDAWKRI GHIDRPQTDEWETMASVWKGRAEWIDEQALESDESETRPEDAATSPAADLLRRQAKAS TRSIERLLVGWGGTIWIIHVHPGGIGVGTDVGERSVGRAEIAKVLRMDCIISGISLYT ENLLLVLAYCQPDDEDEEEESNDGLSPQKSQKGHRSQSSTSTVSSEPRGGIRRRQNNL QPELRLIDLTSQAEVDKDGLSVSRYERLSSADYHLGILPARNAASAVVSQRGALGAIA GIGTEMWNAAINPRSLFSSGASIMSRGSAEDTASSLRSSGGMGVIGLPSATVHPNLVK PGAKIFIHSPYDCILATKRDLGDHLNWLVEHKQYKQGWELLDEHPEILTGPTERAPEA LPSTPSKAEPEEIHDDRSSITELPGHALFSSAAKEKRRIGELWIQELVEAGDWVTAGQ VCGKVLNTPDRWEKWVWTFAGAKKFDEIVNYIPTAPMTPPAPKTIYEVVLGHYIQTDK LRFRDLLERWPTELFDVNAITTTLENQLTYRDVREDSVEGGEKGRDWRIVIESLAKLH EASGRYREALKCYIRLQDADSAFRLIRDFHLADAVADDIPKFIGLRVPANKMDDMGAG DFEAATSEAISLLVGEAQHGLVKPKDVVSQLEKQNLNLYLFFYLRGLWRGEGCQEHGI ESRDRLLVETKSLVDDFGDLAVRLFAMYDRTLLMEFLRTSQSYAFEKAVQECEQYNYD DELVYLYSKTGQMRRALFLIIDRLHNVKKAIDFAKEQDDPDLWEDLLTYSMDKPSFIR GLLEQVGTAINPITLVRRIPEGLEIEGLREGIRHIMKEHEIQYSISEGVARVLRSEVA AAQRELRKGQQRGIKFESVPSSDVVLESEASDDDDSATVKEIDIFSEPAKPGHCSKCH KPFTDFEVESLVGFACGHVFHLSHLMEIIRPGAALEAPFSVDEEDRGGRYLVGMKVTH ARLLKDRLRGLLVKARVGISRVRMVAVSRIIPVVLILATLVRPIVSENENGNLYFFLL PTSIASIVATAKNAESAAAPNNPSNSPSKRTTLNDTAAFEQNLANEAKYVHEVIYDLA VVMILLFTIVHFL
SAPIO_CDS10225	MRDLLLPTVLLGLLGGAQCFNFPFEDLDLTEENFQRGQGGYSDI YFGDASRPRLSRAECKATPGTADWPSDREWERLGEFLEGALLKPEPPTAVCYKDHPLY DEAKCRDIRTNSGSNRFFIDDPLSVLTAWPQGNTCPLEVKPNTTCTHGGFPEYVVNVT EVWQIQTAVNFARNKNIRLVIKNTGHDFLGRSVGAGSISVWTHFLKQFEFLPEYTQGR YKGPAARVGAGLESWEMYNFMDEHNVTFVTPGRYTVGVYGGWFQGGGHNALSSWYGMG ADQILSLQVVTADGRFVTADTEENTDLFYALRGGGPSTYGIVTSAIVKAHPRVVVRNA ALSFQTSKDVDVEAFWTAVNLYHWYGQYITEVGGTSYNYVTYTGKNSYTFTADNEFPD LSDEEIIYFLTPLWDSLREAGIPVKNITVAPTSNWAPSSNGGTGDAPGSQRFASRLFP RKNWKDEDLFVKTMAAVREIVEATYTFHGIFMSPTSTIAGWPGADSGLNPAFRETYMH ADIFYTGDISKTEPFNAVMDKLRNVTADGGAYINESDVEEPNWQQSYFGNKYDRLLQI KKRRDPWNVFWAPSTVGSEGWAVRKAEGDVFPSQNGPLCKVSAAAAAAAA
SAPIO_CDS10228	MDALSDDFSSTVPDMGAYVDAQAESKTVLDAYRAASDEGEDDTP AFFNTVLESLPKEGQLTMMKELVLFKKNFESIRQLRHFFMDAVLKAMKHGFVHSEISP SPHPEAEPGIEEWKRVLAEEPPARCCREALKEQCLRRDRFRCVITGAFDLPSLERGRT AADNHFMTDTEFYRPGGKLAKNLETYQAAIKKAKAADMTVKGSNIFMMEIR
SAPIO_CDS10229	MFGWGLPPPPSRNNHNNNMGSPFPSWGSGPSWWDVISGGNNNNN GGGTGGGSGNGGSGGTGGGSTGGNNGGSTGGGNSGGSGGTGGSGGNSGGSNGGSGGST GGSSGGGSGNSGGSGSGSGTPSNGGSGSGSGSGTGNGASNGGNEQPATPPPSQGNNDN SNSPNPTPSNPVSETPVAASPAGESSHSQNPSQSGSGGSGTQSSAEEGSSRGADAALP SSTESSSTLNPNGSVSGNANTNGNPSTSPGDSNGGSASLPGSSGNSPAGIIAGVIVAV VIILLLLVFLFRYRRVKKDATLAAYGRRDRALFAIGAKKRPSKRSSTVLGGLLIPSAA SRSADDSPPFSSSQPAMAEHPINPMPIAHRRFSTRSDETGTTMPPSPTSTVFGGTISM FPLPPSQHSLPQSVGHPFPPTPAPQRPAQYPTQYPAQYPEQHPRDSISTVSQLSRPSE IRVSFSDVSGLSDTGSTHHHDMYYATHPTYQPDSPAAGPPRLNSIPHQHQRVEQLSGM HRHGQAPPSAGNRV
SAPIO_CDS10231	MDDDENIPWGEFIGDTIHVAVDSLNELQALTGNAVTSTTSTADN DAQTQQSQTLTSFPQFPNLPPEIRSRIFQMAMLQPGIIYFSMQPSHFEDHIHLHAVTN CNSTWRQWKMIAHTSVEAAHAVNLVVTKGATKHYVDLSKKRPFNKVDDLLVIQFATNP QIINQWTVATAAPVDFVYNSYFRSLRRVGFKWNEKTFRCHGHVEDEVSPDWPVYHDET DDDDDIVRCIFPCKDMFERFPMFFRDADAVYILYSVKGKDLSPTFKKQARDRNVSPMV DAANYIRDTPKSCVRLILDDNFAVSQLETETRCDARQKWVEMPIGRMRDFLNLDKAGP 
SAPIO_CDS10232	MTDALADKLNTIQIGYASNLSPPALQRHPPLSVDSLTSPSDGTN DDWKKALKIPAKDGRKQTEDVTNTKGLEFEDFGLKRDLLMGIFEAGFEKPSPIQEEAI PVALTGRDILARAKNGTGKTAAFVIPALEKINPKVSKIQCLILVPTRELAMQTSHVCK SLGKHLGINVMVTTGGTGLRDDIIRLQDPVHIVVGTPGRILDLAGKNVADLSECPMFI MDEADKLLSQEFTPVIEQLLQFHPKDRQVMLFSATFPLSVKDFSDKNMVSPYEINLMD ELTLRGITQYYAFVDEKSKVHCLNTLFSRLQINQSIIFCNSTNRVELLAKKITELGYS CFYSHAKMAQQARNRVFHDFRNGVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETY LHRIGRSGRYGHLGLAINLINWDDRFNLYNIERDLGTEIQPIPQTIDKSLYVYENPET IPRPISTLKPGVNSTAKPNAPQNFNGQSQDQAQPAQQRSQHTHHQQQQPAGPAPNVNW QTQNLTQNGPSHYQSNRGRGRGRGYHGQGRGRGSYNGGYGRGRGQGPAIQQS
SAPIO_CDS10236	MAQRATSLLELVDVVDNVPSDFDFTTLYRLYLSDDPRPHGVLLP ANVSRLPWTSAFQIDHAARTIHLADTSSGASPGPALSASLAEVIDAALEADVFPQLRR QHSEPYRIIGANHFVTLERWPSPIFGVASRGAHLTAYVGRGADMKIWIAQRSKNIYTY PGKLDTSVAGGVKATDSPGDCIVAEAWEEASLDGKFVRDNAKSVGMVSYVGENKRNGT IQPVVLYLYDLEMPEGMELKPQDDEVEYFVVMSVEEVRNAMMEYKFKPNCCLVMIDFF VRHGIITDENEEDYLELITRLRRKLPVPVSPSKLSKPAL
SAPIO_CDS10240	MKTEPKQEMHVAAPGDCHLSEPSRTAETVRRRVNHLPIQDDKPN SPKYATSPKTTTAIKREVMDDFDSSQAHSPTTNDCEPSVIDESDKIERSPSPSSTSTL TNCSSQTSQTSTSGSSSSSGSTIRQTSSSMCEPSDDMDDVTEASRHSNRSSRSHLSQS PLRSPPPPLQSPQHPHRGRGRERGRPNALNFLEPDSPVVTVEAIQRSIEESTARWRGS GSGNKKSPSTRSSTSSGSSSMRSDVFSLGDHETDRSSSPENSVDGDSSGAAPPFSSSA PSSNVRTQFATDPRKATTPAMTKSYGTPEMPRGKANLPHIPPNELQPRLGSQFGHAKH LPRAEKLPLSGYQLLASKLSPGYAGGLSPTIQPMYRRFEALNHRILLHLQDELAEMEE QLHRLDTADTQTRRLQNCILPASRRSDYLAGGELQWHKTDILGKIGFKLEQYNHVLSS FEKTRNMSAPSKSEIDDYRSFLETKRPITEIETRFLDVSDDLVTIARPAPPSYDRSEC FSSGAPTPMPRLPGDPPSAEFPGDHSHSDGEDEVTKSPELPPSAVEDTSPTPSIEASI PSLAAGLAVSILLPILTFPTIPGLLGRLMVICLVAIGVLNPLLQAGNLKGLGIDTRNS VICAAIYGGAMSVIAAVFS
SAPIO_CDS10242	MKFFTAFAAGLALISSALAAPSSSSSSTSSHGHIEARAGECPSV ETIQEWLRGNTGIGERSVFYTGSASNEQAKNYAAKVGGQYWGSLFPQDKFFDWIDECG TGPEQDKLVPRMAEAMAKESYGEAFVLLPKNEPVNTGKIWMTTEYPNLRGRVQVTAVN SKNPDETQPNWSPDSDWNRPPAPEPAHPQPPTPPTPPQPPRRRSQVVHF
SAPIO_CDS10245	MGFGGSRDGGRSDDPPPYEDVVASGSARSSLIVPHQPDLSPGNN IGEASSSSPMASYPGPIPQQFPPIFSFYKDRYSLTITIAPHQRTETIYAVSRYYGGMD KPAVQLHCGPNATFPIIAAAELQPFTRDRLITLPPLPGSPETPTEVQLRAEDHFLTMK WCFRIEAGLPGKLESYEWRCSGGAAVSSLGVDWARGWKLVRLEKGPPDGAPPGTKFCP GEFVTSDGWEVVAMWALSAMNLSRGGVFAFNGTGASGLLGERWAVMAVISALAIVDFE ARRNARRSRPPSTASSQANSASPYSQDNLFASASAGEPLFASAFPSPGNLQAFNGLSV PSYPSLFVDVQDWRDPGGLVLDEAAAFDFGHVEDPVLASAEQYDFLNDMNMDFTALAH FNPQHLDTTPASDPSTVSATQATSFLDLPPSTGASPLSSSSTHSSTSNSKSASKTPHS RPPKEDDEAAVKRYRNTLAARKYRQKRIDRIKDLEEALEEVTRERDELRIQLARQEAQ NEALRSMMKLKESGS
SAPIO_CDS10246	MVRITIAALLALSATALAQVIPNNAGARNVGNGQGAQFITGGCV SDADCDQAIACCANNGQGQGVCSGIAAALQNGKQGCGFNDPNSAATIQAAEEQVARQG F
SAPIO_CDS10247	MSNLPHQPDGPEDPSPSFPPGTVCIIALDRRPYPISPDTMLNHT TDLVRKSADGLRARGLRLEGDEDIRAVGNILFAGYQQSSMPSTPPDTQVNDGPNANAA ATVDSDPAPTAPVSGTARPQDRRNASVADPLGLKSRRANPDFKPEDWTEIFPRFAVLL IQQFNVGVWGEAAAMAAVVILYQDIPPEQWCSWGQWRTQFTNRELYFSKEGNNRDYIE WCIERGRFPLNPARACRYLGENNPGAIYGSTSEKILTDRFKRGDFDFLDNLSDVPVRR RGNNAPVNAHQADAANGPVEAEDSLFVSAGDGSDVVDTADPDQTATEVDADGNSPLGE DADLTFGGFVNSDLDSATNQNAPDVPHDINHPIPAAAPLEMANSFVTAEDVSLNGGNF TPTPELWAEDFDEGDFNLDSATGRIVTDLVQDASFIPELTPAGMGDSSFMTHNRPPFI QGQAAGGEVGYGTVSNVAANRNTGLDNDPFRNGPVTDFVASNMYPASGYSSMYDDYAD ALQTTGQFGQHDSTSNAEDHMMTDVPIDPAILEEYNRRVSEGNNQ
SAPIO_CDS10248	MSLTSGGLDSRHHLLLAASAQGKEARVREILAETPTWNSPADLD ALRQALQKASARGSLPIARLLLEHGAATDPPSGDPRYVNEISALYRAAEGGRTEVASL LLKHGANANWQNKVGATPLFPACLRGHVETLRVLLRGGASVDHRDVERETPLLFLARQ KNFPKNLLECVTTLIACGADLNAADKDSKTPLIWAATNSNLLLVSTLLTNDFGRIADV QARTNRCRNALHIAAETSAVEVVKVLLSHGADPCRTSEGGWTALHNAAQKGHADIVSV LIEYKSRVNAQLSNGMTPLHWAAAAGHEEAVNALLDARHCDVTIKDNINRTPMLCAAQ GGHYELARRLAPSNLPERLPGIARRACQLFNATIYDFNFHGGKQRPFKEPVYKLLYEH VPIDWIETLLAKAFVEGNFQDFEGFKALQRCFDQEHRGSFTHAHFMRTYCTRISAPKT DPVPSQNMTKSPLVVVSEEPSLTPAGSDGEGDAPAPKPGLHPHPPTPRTPKTPPLGSP RDVSPKGEKGETKRKKKGEQIASRHPKSHKRGTGPPGNPPGSKVNKAMQRQATLQLES SNLSPSNGKIVLFMPFLHYETYERQINMAETINSVRADPRLQPPPNACPDHYLFHAYL NHIPPLHPRRTLDQYLYYGIDTTARDSDQVVYRHCLRSREEPKVFMVDQLWLFVLGSD LIITCFPQRWNQSKDDPLKVLEGIIEDTNIKTRSPVASVYDLAMVIVHRCSGLFGRHL MSEPGYQFLDMFDSSIGHVGNQETELFSRFNRASELAAKWLRDARQRPYDDSNLSISS EGDGDSMEGDDVQVSDTLLDIGYETKLLAEVKDIRDELGIITSVLEAQLTKIPEFEQL IIEELQTENNPRSVDNIVADIKKRSREMTRLVIISLTDIKDRMDKQAERIYNSLTHLL DLKQKHSNALEARFARDQAVIAGRQGQTIMVFTIVTVIFLPMSFIAAFFAINFTDWEG NEKLTIGYVSKYMFGIGLGISIPLIVMALTIDDIHGAMRRSIIGITRSLQKIAAKRHA QANDPAEGRVSVCGRPSQDVAFIRRAGGDMGYSTWEALGSRARSGSYTAAEYQDLAAS RLSPVLARLRGSRRSASSGAGTGVGYDMEYIGFSADVERVISRPNSSVKY
SAPIO_CDS10251	MALARNSLRVSLLFLSTAHLGLALSLRVSQGSPCEAVCPIAADH TSVELRSQICSDADFYNGQTGTKARGCLDCLKDSNYVLNYVNGSESDLSDLLYPVKKE FVNGTGTCDHFRYDLGFDAADLQSTDKYEHCSASEDYEKLTGGFLQVLEFVATACSLK PKDGTKFGLSIDIFRKTSSNSASPDDADGDEYSDDKKEEQKVPPTVIIGTVLGVSALF GLAAAMFIVHFRSERKFYQESKERRYSRLLAARLDRELRAAPPPEPPWDPKWRPRDLF DNDK
SAPIO_CDS10253	MVEAENRSPDYKSGDALKHDDSQSEPVQVGERYEYSDERKIGIT GSVFLILNKMIGTGIFSTPSGIFAATGSVGVSLILWIVGGIITFAGLSVYLEFGLAIP RSGGEKNYLERVYRRPRYLATCILAAQMLLLGFSSGNSLAFGRYVLYASGSRSGDNYK ARGIGVVCVTFAVLLHSIAPKWGIRLFNVLGIFKVVVLLFIVFSGFAALAGHLRIEDP QNFKNAFDLSDAHRWGGGGVYAYATALLRIIYSYKGWENANYVLSEVKNPRRTLALAA PLAIGLVTTLYILANVAYFAAIPKDALVESEVIVAGLFFTNMFGDSAGARTLPVFVAL SNLGNVLAVSFAHARLNQELGKEGLLPASRFWASNKPFNAPAASLFLHWIVTVVVLLA PPPGPAYNFIVDLYTYPGAIINVFVPLGLLWLHYKKSENWSSPWHTYFPIAVLFVLSN VFLTVVPFIPPNTDWNAEGYPYYVFPVVGWGVLLIGAAYWLGWTKIWPRLGGYRIVAE RIIDADGTEVVRYRKVDRFTRLD
SAPIO_CDS10254	MSSTDDDISDSSDGSASNDSIVELQGNPNHVGTVCEIRKFESYW NKNGERVVLKAGTKLIRAKVKPASITTKAALLSTRVWDRTQTEFYTELEVQSPHMKAA LKAVVPKYKDIDPKATNIVFRDTPKCVFHYRDELLGYRRRCSDQTASQHVQYLLNYVD ELLLDEISIYNRYVKNCAHLGRPGIDYVNLWMVFVPGELLYGEFGQIFKFHELTGYAC LKSRHPNCEWTISGYIIATNGVELGHKKTEFTIKRWDGIRALDDLPLYPLRFCERRDE IRSEVLRRSKMFQSLIDSSEPNHHQYNNLAVLFGYDREPTADWEIGGFPCWTSTINGR IIIDPKAFHDQRPSFAPCLSASQKKFNISEGDHKRMTEEELLICSKVIIGYSLSDKQW GIFEIDHIRDPEWNDIAFESLILDPVNKEMILSLVRNHSAASAHKAWDFVEGKGGGIV FLLHGDPGLGKTLTAEGVADYVRKPLLKLDSATLGVDSATVEKRLKEVLALATSWHAI VLLDEADIFLE
SAPIO_CDS10256	MFLSNYLLAVAAVSVVSGSPTPGRPGTVKVLEHIHQASPIVWNT TVNSDGTVSKFAVIENSVWDQAAADLHADIPVKGREGETPRELVARWSKETFSCHRSG SWAKQATLSRWVNEACGVFGSSSAGSGSTLIYTRADSNSVGDAMTIYYTKKISQDLGN GQCGSGLRDLIYHSGCAGKSQDTRGGSHTYYDAKGKEQEVWSVDPQTWNCNC
SAPIO_CDS10257	MHYIPSLVGVAALLGQFGLANAFESARVGNVIAHMADAGVDLRW QPIDDFGNEHLILDLADYNFYEESLLESGNVTDLVAVAPGGNLAVRESATATCFGSGS WAKHATIIGVAGVICDSFAYGLAEGTVQIVRIWNDLNGNRLRNEANDPMMVYVRFVVG RTQVFTKDTCNQAVKTLVSKYCQGKNQDTRGGELTVKRSEGGETIGKIMVDPTTDNCN C
SAPIO_CDS10258	MPSPQQHPADRRSCRSPFPINEPFEPYRYEPLPSPRSIRLVILR PALTFQHPLRCSLRVVSLDEPPTYEALSYVWGSPQGTRPIRCNGREILVTPNCEDALR NLRIAHRPRALWIDAICIDQESMAEKSVQVPLMGDIYRLAKQAIVWLGPSVDGLSGTL MRLNLIGKIHFEMSTDPLSERKKRRANKILPRGEVDRICRICSNEWFQRIWTFQEFML SSHVVFMLGRAQCRPRGLYTMYHYGRELMDHDTAQAFELKSYLLRYFHDEEFSAISSL LDLAILNRATDPRDKAYGFAAFLQQKISRVPPFDVDYSKSVAEVYTDFSWRFMCAVQS AGILSLVPTGQEAGGWPSWVPDLRDPALIKRDRCDWIDCSCERRLTGDEQKREFKTIS FSEPATLSIGAKHCAIIDLIGPTMPRPEGRHNHDGVYTENSQSCPGLSSWISFVDSLD EKSAALSGLRFLLQLTPGLTRWDIVPEGRAVPEREKEGWGRWHKYQTSLDGCTIFSTA TGQIGVCKGEVIPGDHIFLLATANHPFVLRRVGESFRVVGSISILGLGVHGWKSVRFT DSDGVKKINIV
SAPIO_CDS10260	MHFSIIFLSLISLAVASTPVRHVTDAARIPDRYIIRASENTNLD ALESEILSRPGATIFKRFEHRFFRGLSVVSRSESISSLSEIDGVDKAWQAGRVILDVP EISSTSRTTEDQPDVDEVPPASSYSIHSFTGVDKIHAGGNFGQGAKVAVIDTGIYYKH PALGGGYGPGFKVAGGYDLVGGGYPTAPINPDNDPYPETRDPQLYQNDHGTHVAGIIA GKSEWFTGVAPEATLLAYKVFGHTNAGDEEVFIDAFLKAYDDGADIISASIGSPGGFS DNAWATVASSLVDQGIVVIVAAGNNGRSGPFFTDTGASGKNVVAVGAIEAPHKPGDFH VTAKFRKDSGVMKEVIMPMMTNSNPALFSQANGFPIWSLARGFTACHELPSDLPNLEE VVVLVSQADCPYSTKVRNLSERNARYVLFYNDKKVLHPPDEFYPGIEQPFYSGIIEQS ASDAIFRALENDHTVTVKMSRRHAEHPLNLVDPYGGKPTYFTNWGSLYDLDVKPSVAG YGGHIWSTAFDWPGQGDFVPNWKCFGGTSFATPYVAGVAALWISRYGGRDKHGPGFAK ELANRIISSGRTVTWGLPVQDDDVENLYVPPTDALAPVTQIGSGLIDAWKVLTYTTSL NFETFALNDTAHFKPTHSLQIKNGGKEAVTYSFGHQPLTAYEARGPEGLFIAGYNQLK TIDLEVGVQLPANITIEPGEMATVNFTFTRPVYEDEFRMPCYSGKILVRSSIDEELSV PYFGVAFDLKEQFPLMFVPGTPVVESLGAISNYTFEWFDQNYTTPQLAVEMLYGTREF RFDFFESGWAENSWVYPPVVGKAGFIGSAVDIWEVLTRQSPSHRFKFPLTYYARSPTR AVEDFTSRFFAWQGELSDGSWIQPRTYVVRLAALKPFGNPAKGEDWDVWNAPEITVKY AESAE
SAPIO_CDS10263	MGLYSWNHVAIDHPHPVSEPPENSFNKYEGWYITAARDMLSREC TFEDDYLKSFAGFVNYFSIAMGEFRFALPLSKNIDDILRAHFEVPIGFESSFQMPAIF NPSHMHVFWTSSAFFYVQRKQHHATTIRPMFTVIHPNPQIGVIGRILLDPDWRARQPD LLEFVVLGSTSTLADMLIEKVGGIAYRVQIPDRKEDDTGYFMRLTPKQWTEASPQKKL LMLA
SAPIO_CDS10264	MPATSTMAGLDYTLYYLAGCGLAAFVIYVFWLVVYRLYLSPVAR FPGPRLAALTNWYEFYYDVIREGEFTWHIQKLHKKYGPIIRITPTELHIDDPDYYEVL YTRGLGRRNKYTYFSGRFGYASDTFSTVDHNLHRQRRKAISPFFSVTKIADFQPVIRA KVEKLCDKLDGYANNKGSVVRLSRAWMALTTDVISEYAFARSYDQLSSPDFEETLHEA LIAIYVTGHFALHFPVVFPILDMLPEWFVRWGKPEIMPVVGLRKDLAAKVHQIRDGIN AGHKSASHPTIFHELLNNMELPKEEKSDTRLGDEAQLIIAAGLITTSWALSVASYHLS ANPSFTARLCDELREVEQPYDWRKLEKLPFLYGVVHEAMRLAHGVVTRDPRLAPDTEL QYGEWTIPRNTPVSMTTYDILMNENIFPDPKSFIPERWINHPELEKYFVPFGKGSRQC LGINLAQAELYITIATIYTRFEFQLFETDISDVEMAHAYLVPYTKWESKGIRATVKML D
SAPIO_CDS10265	MADPGQENVAHIQVDSDLAETDSSYGDDSQSTHSLYSTITNYVY ENGRRYHSYQQGSYWGPNDDAALDNLDLYHHLFTSTLGGELFLAPIGPDPQRVLDLGT GTGIWAMDFADQFPSAAVIATDLSPIQPVMVPPNLQFQIDDFCLPWTFTPPASFDFIH ARCIYGCVADYPALYAQVLEHLKPGAWFEHAEISVVARCDDDSLAGTYLNRWGPLALT AGERFGKSFRIAEDMAELMRAAGFVNWTPEQVHVLVAHLRKDLRNPSIHAYQYMYICY GQKPER
SAPIO_CDS10266	MSKATTFKLSNGISIPGLGFGTFSNERQKGESYNAVLHALRAGY RHLDCAWFYQNEDEIGSAIKDFLLENPSVKRSDLFITTKLWNCFHEEEEVKWSLNDSL KNLGLDYVDLYLIHWPIACEKTEDNQPKLGPDGKYIINYDLTNNPERTWRAMEALYDE GKTRAIGLSNFTIRGIEQILSFARVPPVANQVEIHPFLPNTKLIEYCLARDILPQAYS PLGSQNQVPSTGEKVSTDPTLNQIAKEGGYTLAQVLIAWGLRRGYVVLPKSSNPERIA SNFKQIELTDEQYDAINHVAEGRHTRFVNMRDTFGYDVWPEEVNQDVGE
SAPIO_CDS10268	MASVIRFFAVALPLVGSVLSQSVSVHDYIPKCAVKCFADAIQSE TTCAVLDAECHCLSDNLHVIAESATPCSVEACGDDATENEVLPGARAYCSAASGSVAD STAPASQTPSSAASQTSTVAEPTISQSTIPESTAQTTVPSSTTTPVIVNGAGMQYVSV YQLMLIFAGVLVLS
SAPIO_CDS10269	MTEVESTQAVPQDTYRAPRDEGEYDRLRLQHDMVKDVMGGKLLL SPVDLSAPNLQVLDSGTAKAHWVIDLARSLSSESRVVGTDIAPQHFPPESQRPGNVTL TTHSIFENWPLDYINAFDVVHQRFVLAACPDEQVAAESVARLYACVKPGGWIELHEGN MLIIREGPEHAAFMRFRDMMVKSWALIKQQPAPGLQIGKWLREAGATDIQETTQVIKV GAEAESKAEGERAMGVLFQMLDGMKAMLGGHFTKAEFDELRRDLEVELSTVGNFWQYH LAYGRKPL
SAPIO_CDS10270	MDLDSYLTEAVEILSNTPFIDGHNDWMHLIRGYYDNKLDERFGS QRNLAGHVDLKRLIQGKLGGAFWSVYVDCPQEDNFADDAIHFEAIRDTLQQIDILLRI VDLYSGNMALVHHADDIMRIFSQGKCASLIGVEGLHQIGNSSSILRIYHKLGVRYVTL AHNKNNLYADSATSAAPDHHGLSDEGKALIREMNRIGMIIDLSHTSEAAMLAAIEISA APVIFSHSSVYGIVPHPRNVPDHVLDKLKDNGGVIMISFIPNLTHKDAAMADIDALID HIVYVGKRIGYDHLGLGSDYDGMAKAVTGLEDVSKLPRLVARMRSRGISRQDVEKVIG LNVIRVLRAVEDVAKSLSGEPVLEDKVKQLWGPTMRDFVMREYPDAEPHEGART
SAPIO_CDS10271	MTILPQTTSDEPRTSSQDPSPPDTAVKAIEKPTKEDVERSPADS ENEASSKKSSDIYPQSNWRLGMVVVSLCLALFLCGLDQTILTTAVPKISDEFRALDDV GWYTAGYLLTASTFQLAYGKLYSVFSVKIVFLAAIALFEVGSIICSAAPNSSALIVGR AVAGVGAAGIFPGSTLVLVHSAPLEKRPALLGLMTGTFGIASLVGPFLGGVFADGPTW RWCFIINIPIRLVTVVVVLLFVRTPINAAYASWSLLQKVKHIRIPELIVVIASLVCLV LALQWGGSIYPWSDGRVIALLVVFGVLFLGFVGSQVIFPKSATIPVTIVKRRSVCLSA LFAMTTSGAMFVAVTYLPIYFQAVKGASAVKSGVMLLPLILGFFVMSILSGILTSAVG YYNPSMILGPILASVGAGLLSTFGVDTPSKEWIGYQVLLGLGIGFCLQQPLIVVQAIL PETDVSFGVALMNLSQMLGGAIFVSISQNQLQSRLVSGVSAALPQLDAAALLHEGATE FLHLLSDSDRATVLPVYAKALSTTFMIAAGLSAAAMVGALGTEWKSTKQKTEKTEKPS S
SAPIO_CDS10272	MATDVPEDLSKRPSIPDDRLVLYVKKASPTSTANSVKPLMLIEA LKIPHEIHIIKSTSNETWFHDVNPYKMVPAIEDVEVYETVSGKTQRMNVFESSAALYY LADKYDTEGIYKGRDLCERTIIMNWLMSYTAGLGATGKWWLLLKIPRPIAIADALQVF VNSIKSEYNFLEKRLSEPGQTYVAIADRPTIADFAIYPLANEGVAATADIDFNEWPNL KKWSERVSSLPPVAKALYRVARFGLSEEELKEKNLAEA
SAPIO_CDS10274	MLSRICKQRNEVIIPRLLGQYDAVITAAPDIVDLSTAENYLLMG DILKDIPKLLDPNSLTHYDLSYPEPVGGSLKARKLIAKLLNDYFNPKIPVEPSHIVLA AGASFALNALIEQIFEPGDGVLIATPYWAGLDLSFSVHNQVTAVPVHVPLDEFFDRSS IGHYEKALKEATVPIKAILVCNPHNPLGRCYPRETLDALADFCTFHKLHYISDEVYAL SQHNGQPGPAPNPKFISALILDNPNGLIHVLYSLSKDFACNGIRLVHHNPFINTMEGA VVDALIIGGGPAGLSTALTLARQARSSIVFDSGSYRNDATENMHMLLGFDHASPSDFR AAARDNIASRYDFVTFNDANVQSVKKLDNNLFEVTTEGQQESWRGRKLILATGVEDIM LDIEGYSECWGKSIFHCLYCKGFEERNCESAAVLVAGSLTNNALALHIARQVLALTKA VTFYTNGAEDKVEELTASFAGATAMKIDTRRIQKFTMGSENSGIVIHFEDGSQVQEGF LAHAPQTKVRGPFVEQLGIERSPNGDIKVNTPFCQTSMPGVFAVGDNSAMLKSVPNAI FTGTLAGTGAASQIMAEQLGQKPLFP
SAPIO_CDS10277	MAAISIATALLVVFASTAAGSSGPKPFGFFVNNTIYQPVGDESI TYPRFTELSDGTILATCSLFNHDPAFFPVFESQDGGASWEWVSDLHDTQNGWGFPAQP ALTELTEPLGRYDAGTILASGNSWSSEGTRIDLYASTDGARSWEFVSHIAQGGAPNTT NGADPIWEPYLLVYNHSLICFYSDQRDPDHGQKLTHQISTDLETWNSPVDDVSYNEYL ARPGMTVVAWIPPIEKWIVVYEFPVGNSSSHGANYPVYYRLADTPLDFRFSEGLPIII NEAKAPNASPGLKKRKSGKALL
SAPIO_CDS10279	MDTSTKAEIWSLASCSAVFLFTRLYSKRRNNGWHFDDLVLFMSW IAVVINVTLITIIENTRPRHGTPPIEIINRLALTGLFSLTFAVLSQAWSKTSFAVTLL YISEIQMKFFLWFAIISINISFGIGALLFWIDLYSGLMDLAFVSVSWKIITPLHLRRK EKIGALAAMSMGVLAAVAAFIKASKFPSLRLGSGGDAMQLGIWGVVEPAVTIMAASTP ALRLQLRKITDPKVIEDSGDV
SAPIO_CDS10280	MALHKLAVVLSAVSRVFGASLTQVTNFGENPTKIQMFEYVPDKL TESPAIIVNLHPCGGSATSWFRGTRMPSYADQLGFILIYPGTTNMNNCWDVQSEGSLT HGKGGDALAIVNMVDYALKTYSGDPEHVYVMGSSSGAMMTNVLAGSYPDVFEAGSAYS GVAHACFAGAPSATPMSPNQTCAQGLTHTPEEWAAFVHNSYPGYEGRRTRMQIWHGNS DFLVRPQCAVEALKQWSAVWGETNTKNVTGVPSAAYTQILFGDNGANVMGFFGQGVGH TAPVNEEFTLRFFGLMD
SAPIO_CDS10281	MRFIQSTFTAVAALRIGTAIATASPGCGKAAQLTAGKHTIDVKG KQREYILKLPDNYSPDHQYKLIFTFHALGGSAQQIADGGMGTEPYYGLPPLANNSAIF VSPNGQVAGSAFNMMGWGNVGGEDIDFVDAMIEEIEAALCVDQGLRFSTGFSYGGAIS YALACARASKFRAVGILSGGSMSGCEGGRPSGPIATYQQHGSRDQVLNIDTMGRAIRD TIIEANGCSPVTPEPQPSGNQATKIEYKDCADGFPVTWVIFDGTHTPGYADAGNSEPM AGPNFWQFLSQFE
SAPIO_CDS10282	MGPFSSIHINEVQVQGCQLVAPQAAFSSAIVYLHQLRVMTEAYE LPMSEQSLETAHLEGFALEHLKKELFKFIDPHWESGPFVLSRLDLRWPNIIVDDDLNI LAVIDWEWTGSIPRQLFTPPSWFAGREPLFVTGRAEFAHFRKILLTKADTSGACRLLS EEWDFELLSRVGLPIAELLRHHSQLIAIFYRTLYPRLFAAPKQEVIPRFFEDDENDNS HGKSIGEWNAQSNTHSILRIMDYLCLTKRQRLPAQIPSKWRAAFRVCIKPLARSFPRP VSQPVAGRDLFP
SAPIO_CDS10283	MRLIKAIASAALAGLVAADAVNDLENKGRPALEAAMEKSTTCSK DKLKVRREWGDISKEERKAYIDGVLCLLDTPSKLDPELFPGAKNRYDDFVVVHMNQTL SIHGTGNFLVWHRYYVWAWENVMRTECGYNGTQPYWDYGRWAEDPLASPIFDGSDTSL GGNGVPVARKRNTRLDRHKRQMEMPGMPGTPGGGAGGGGGFGGGGGFGGGGGFGGGGG FGFGGGGNGGGCIDSGPFKDMVVTLGPMSPVVQPAPARNPQANGYGSNPRCLRRDITN TLAMNFGKTEDIVKSITNYNTILAFQNFMQGGSGVHGVGHFTVAGDPGGDFYISPNEP SFWLHHAMIDRIWTIWQSQDYEARRMAMEGGTSMMGGGRAQTLDDPVDLGVVADVVYP IRDLLSSVDGPGPFCYVYE
SAPIO_CDS10284	MVHLQAQTSLPMSEEAAESPPHPPSNAEQSQPPGSSDNGEDRPF KPETTEDAPSTADPDRVICEGCENDGEKYCGKCRQILCTECWAGLPLHRRNDPRHPVI PYEQYKLFKDAIDPSWTEEQWMHHHKQDLFTLWFSIDRQQDGKLGLEEYPRLKQLAVQ HRRELSFQSECYAQLASFIGDTRAGKSTLIRSLMQRPWDIESLKSIAASSVMLPIVGR DVSQPTSGDVHLYKAPHVDATQPWMLLLYADSEGFYDGSQPSAAKVAKDSVSAQLSRA KADKKPEHADWMEELFSFFNPGSKRPLSSWGNRQNAVKELFPRLLYNFSDVIVYAMPS SATQKIEAALVKLVEWAQNSRETAVNRVVLPHLIVVLNRSPAISSDWDPAETTKRILT QHKSAAESNRVLRTYRRLFSQFIRVPETANPTLFSHQLQRLDLMIKDAACDAEKTKTK ANFLLPTSIHNRMVSMAFDHYKDREDQPFDFLESLFLHNPLKEGLSRGFFELLKAAEK ANRMSAADIPLSGLDFCSAITPVICSTVAIDACRSSTKYPGRLQDIYKGSTMDFLHAN LHAGGASKTAVTYQKQVAEAVDSLFDRVCECDFIGPKGQRCITARLAHDNTYLHQDKA GKVFSYGTFQSEFVDQLMMRWNDEIDESMQMLDEMQSASNAVLKPGRESESEARYMVF WAAHCANLRELYSVIPGLEVRSIEACSWCLRGDTSLWPLSCGHKVCWQCARLLGDPVA GVTEGEVVGIRGCDLHRDEQRFEACEYIARGKGGGAIPRDPAREKKRKR
SAPIO_CDS10285	MLSNTHNGQKFGPEELKLTCDIADIMDELKMIRTLLDTQKEVLG SMRDVLLRLHPGEANADKQQPGGLVVHNSTFDSIKVVGAPSSLVQLFDISAATLEIEE GAGIPLMTLGIKGLAGTTIRKANSSLENHATRVQRLQADASTIHRRFLELLDLKQKLA SLDEARMTTKQGYAVILFTVVTITFLPLSFFTSYFGQNVIEITGDEKNRKSVDLWKIA GIVNPCYPLASTIPLSDLTLLKGLYLR
SAPIO_CDS10289	MGALTTLGLPLVALFAIGFQIFLKDPVWLGLGIGKEFQPLSHFP YECRRIDSDPHLQGCEDMWLSEQTRVLYLACSDVMSRTHWFPSNDHFNLSGRSQKDAV IALQIDSPAGTSFKYNVLKTSGYAGTAGDGLLDLTGIAGLDVASEGEKSEGDEESIVE LLLVNMRPSLDSTGKIASDQSVTGGNSTIEVFQVRGRDAETMTHLHTVADPNIATPNN IALANNENSKQFYITNDHGLQKVGIRSHLSPLLGTGNVAFCTVDGGCKLVSEGHKYPN GLTQHDGFVYVPGSMYGGIQVYRIAEGTNGLEKVEDIPINYGLDNLSVDAKGDIYAAA FPKGIEILQSFKDPYNSKPRSTVIRVRKAKNGTHSWEKVLEDGEGGTLPGATTVVHDA KTGRLFLSGVISPFITVCEPRS
SAPIO_CDS10290	MANNGNPPPMAPKEATAKPQTRTRHINHIDRQDLYTDLEARIRY LHSFLDFNSGDIEALITGSKYVKALIPAVVNIVYNKLLSYDITARAFTTRSTSFEGPL DEQPDENSPQILHRKMFLRAYLSKLCTDPSRMEFWEYLDKVGMMHVGLGRAHPLHVEY IHLGACLSFIQDILTEAILCHPRLHLQRKIALVKAIGKVIWIQNDLMAKWHVKDGEEF IQENAEIVIEKEGYLHGKKMLDEEDMAEPVGAPTTCPFSGMAKGM
SAPIO_CDS10291	MLSTKLRYLVRSPEVNPITLKARSVPVFNPIDRYGRVFFFSWLG FMVAFLSWYAFPPLLTISIREDLKMTQADVANSNIVALLATFLVRLVTGPLCDRYGPR YVFAGLLLCGAVPTALATTVKNPMGLIAIRFFVGILGGTFVPCQVWCTGFFDKNVVGT ANALAGGWGNAGGGITYFVMPALFDALVHSQGLTPHVAWRVAFVLPFVIVTATAAGML LLCDDTPTGKWADRHLVIEGLPPTPPSEVATLHPGESKERYKDPEKSPAESILQARST EIDLPRGELVAAPSFRKTLQVAFSLQTLALAVPYACSFGGELAINSIIGSYYYKNFPH LGQTLSGRWAAMFGLLNVLFRPAGGIIGDIIYKYTQSVWCKKLWLCFLGVVMGVFQLA IGLSDPHHQPTMFGLVAGLAFFMDASNGASFAVVPHVFPSSNGLVGATGNLGGVIFAV IFRHFGKAYHTSLWILGVISIVVNLGTAWIPPVPKDY
SAPIO_CDS10292	METTNGSVQNGHATRNGDEYTTTSHTNGEHRIESMNGRRKDEMN RSLENNANGYRRKRLVVVGLGMVAMAFIEKLTKLDAKRREYDITVVGEESHLAYNRVG LSTFFDHRVIENLYLNPLEWYSSFGDGWLSYHLNTRVTRIVPEAKYISTTNGDIIPYD ILVLATGSDAVLPKYTPGHDATGVFVYRTIADLEALIDFSTRNKGSVGVAVGGGLLGL EAAKAMMDLDAFSSVKIIDRNEYLLARQLDADAGHLVTEKVRELGLDVKHKKRIAAVD VDDCNHVTGVTFEDGEKLGCSCVCFAIGVRPRDELADGAGILIGPRTGGFVVGEDLQT SVRDIYAIGDCSCWKDQTFGIIAPGVEMADILSFNLTEGRFQEPRKFTRIDPSTKLKL LGIDVASFGDFFADRDGPSFPNGLRRTVPGEELEDGGRGAPPVKALTYKDPFSAVYKK YLFTLDGKYLVGGMMIGDTNDYAKLAQMVRNRTPLEVPPSQFILGAKTDTDPDGSDLP DDTQVCSCYNVTKGDIVQAVQSGDCKSLADIKSCTKAVCQHFPYSRVDLYNIIAVKQI ETFEAVMQEAGNNPGSLGCEVCKPTVASILASLFNEHIMSKRQHHLQDTNDRFLANIQ RNGTFSVVPRIPGGEITPAQLITIGKVAEKHNLYCKITGGQRIDLFGAKKKDLLGIWG ELVDAGMESGHAYAKSLRTVKASELIRHLDIGLPANFVGMAIRVEERYKSIRAPHKLK GGVSGCVRECAEAQSKDFGLIATEKGFNIFVGGNGGATPRHAELLAKDVPPEDVISLL DRYIIFYIRTADRLQRTARWIENLPGGIDYLREVVVDDKLGIGLHMEKQMQDLVGSYF CEWTEILNDPERQKAFRQFDNTDEEVETVEIITERDQERPTYWSSQPAGEDFKTHQWS SLSWQPLIQANHFDNFPSAQVKRGDTQLAIFKVKGGYYASQQMCPHKRAFILSDGLVG EDNGKYWVSCPYHKRNFELNGEEAGRCSNDDSMNIATFPVEGRDDGWVYVKLPPVDEL DALLGTSRWKTTKQESCAPFERLDQKLGNCGKGRRGRKPREVRKPALSTVSLSW
SAPIO_CDS10293	MAVVNTLRVKLPSPPSGPAASSVLDKDKDTPDSHVARDGRLIRL TGVHPFNAEAPLTALYDEGFLTSVDLFYVRNHGPVPQVLDDDVLPWEISVEGLVENPF TITLRKLVEEFEQITLPITLVCAGNRRKEQNIVRKTKGFSWGAAGLSTALFTGTLMAN VLRKAKPLRGARYLCMEGADKLPNGHYGTSVKLNWAMDPNKGIMLAHGMNGAPLRPDH GRPLRAVVPGTIGGRSVKWLKRLIVTAEPSDNWYHYYDNKVLPTVVTPEMSAAEPAWW RDERYAIYDLNVNSAVVYPQHDEVIDLTLPRQDYPLRGYAYGGGGRRITRVEISLDSG RSWRLAEIDYPEDRFREADIDMFNGRLDVFSRETCFCWCFWTYSVSILELRNSDSILV RAMDESMMCQPRDMYWSVLGMMNNPWFRVTIEKGDQWIRFQHPTSLLPGTSGWMDKAK KAGGDLLNGRWGEKGAGLEEPLTPPVEQEIQMTNPDSTRLFTLEEFKGETSQEKPLFI VDGHVYDGTAYLQDHPGGAQSILTSAGSDVTEDFMAIHSENAKAMMRQYHVGRLDQDA RKRLGASANEGELLASRSEFLHPKHWAKATLGHVKTLSHDTKLFRFIFDEHQTVGLPI GQHLMLRIKDPITNENIIRAYTPVSEGIQKGSVDLLIKLYLPTPVLAGGKMTTALDKI EIGMEVEFKGPIGKLEYLGRGQVTISGVHRKVSSFVMICGGSGVTPIFQVFRAVVQDP EDTTFCTVIDGNRLEEDILCREELDDLSTLKRDSCRVIHTLTSPSADWTGQRGRISES LLRAEAPPAEDRIALICGPGAMESFVRETLKKIGWSEDDMVFF
SAPIO_CDS10294	MRSPILSGAILGATTAFAQLNLPFSRATDNAESSSLQRRASLGL QGPTGGPYPNYVVNITVGTPPQEIQVLVSTGSKYTWVPDVEYCSDSYYLVRNCDYGAL VWTNQSSTYEFSSSSFQDSSTRTDSVYGNYFRDTVRVGDTEIKDMTLGLATSGSGYSA QGVLGLAAASSGLSDEAYFLQQLTNAGIISSPGYSFSVDGPSLESGNILFGAVDTSKF TGTIKTLSRTRSYSYSGFSVALNSLNVSESSDAVTSEPVISALSLYQPYVYIDPSSPL TNLPSTLARKIWSLAGASAQPSANFATIPCSGAEDTTKELVFGLGQDDEIVLRIPLRD LILPRALYSGILDGFSESNGTNTCMFGIQTITNGDDDYYDYGDDYTYSSNNWYLGSSV LKGTYVVFDNYNNYVGFAPSRSPDEATSSDDTIVPFASYGAYIPESDLASNWCQSQDC SSDGDRDRGTDSFPGGGGSNGAVIAVIVCVCIAVVAVIIIIISLALFCCRRRGLCCWR GKRKQDGSPGYIIGPPPGMAGIPPPTQRDGKVLVPPPPVALPGDQALAPGAAQNRDLP PLPSRPTPSPDQSTSPRGDVSPVSPGPASLAEPVPVAPIPVPAVSVTPPPNEEPSSKQ EKTPYRYA
SAPIO_CDS10296	MLAPALPTLAEQFNISSNIVTYLLMSIFLLAYAVVCAWSTTKEQ MLAFRFLSGLGGSAPQALGGGVLSDCWHAEERGIAVAIYSLAPFIGPAAGPIGTSRLL NKDHSPSKNPIVFVPSSLSPEAAGYLTQYLNWRWIFWTVSIADALVQILCFFFLSETY APTILLKRAKKLRKETGNVKLHTKFEQPGNSFAQTLRKNLQRPFIMLFTQPALQVTAL YRAYLYGLMYLVLASFPLVWMQQYNQQPGPASLNYISLGVGFVIGLQFSGPLIDKVYA VLSGRNDGRGLPEFRIPLMYPTAFITPLGLLLYGLAAHFRTHWIVPNIGAGIFAAGLI LSFQCVQTYTIDTYERYTASATGAAAFVRTMAGFSFPLFAPGLFDKLGIAGGNGLLAG IALGLGIVVPTLMWRYGAWLRSKGMQYD
SAPIO_CDS10297	MALTSEKRPTGLAEYALTTLDAIVNWVRQGSLWPLTFGLACCGV EMMHVSMPRYDQDRLGIIFRASPRQADVMIVAGTVTNKMASAVRQCYDQMPDPKWVIS MGSCANGGGYYHYSYSVLRGVDRIVPVDIYVPGCPPTAEALLYGVFLLQRKMRATKTT RMWYRK
SAPIO_CDS10298	MDPLSVTASVIAIGQAVAAIAVGVRVLKSLSNTPTEFSALLNDL GMLQAVLETLQTSTSRPPTRSLTALQTLYEGLRRATTELDELAKRLLAQSKGLDKKGR HRIPRIKWWREKDNIAKLHELVQRLYLELSTCFAAVSASQGTQQTVLVSDVKSIIEAS NTCLVDILHNLERAQFPSVDLNHILSHACEVLTRNEKSLQDIGPQLGHIMQQSGESVE LLQRHATVLGDIRASLSLITRSAADWAREYLHTTHEFDVGVRQILQQLAESDGDEPRS ASIIHDAILGNSKIPLEECILAQPCHINTLDDLGNTPLHWAVMMGDLQAVHTLANLGA DVNHPTRHNGETPLHLACHWGSEEIAMELIARGASIHLQDFTGRTPLHVCYNHLNLTK LLLSLGADPNAQIKFQWTPLYCLLGDDYMLHQQRTREIVKAYAEAGANLDAAYQGWTP LHIAAQENASLVPLFLELGAKPNVATDDEETLLHLLATMPTGFKQIGDIPPYLLRGLN PDLLDSRGLTPFDRLECRVTEERNFAGRDSLILGDVVLFVELILELRELNWEAGLFLE CKEEFEADGSHNRMRRWVRKQRKLFDRDEDARTLVCGSLQPYWAEDTESTSTDLGEEW ETEDDEFPSMFDSADDSDEDDENDNDQDGGRMSEEAEDEEFFDAIQG
SAPIO_CDS10299	MNERFTGLHSDIETQFSLSYNGFERTENNLQGIRELVQEVRNRA IVLIKHDRWSRHHQSRRSVLAAAAEGCYVCSIMTHHPKFRDGDKDTPFRPEWLLYPSI SIEIEGLLQLDINGDEEGHGSNDDSKQSGDLQPDSNGDIIRLPVDGTEVNAAMPEILM WNLILVPADYFRPSRLVKLLDEARAKLIICSQEQTMAESYATLSHCWGKAKTLKLLTT NIECDPQHIRRRRSESSMSSFTNRAQSLILPLTVNPTWVNNEGRSSAYYLIDQNSYYD AVENSPLRRRAWVLQEAYLSGRNLSLTRTQLWWECRELAACEAWPAGLPHQLRIGPAP KTTSLEKAYRHGLVSSRGVATNHMLWDDLVEKYSACGITFFSDKMVALSGLASHFQQL VGGDQYVAGMWRSRLLSRLLWLSDKYRQAFRPVAYRAPSWSWASLEGSVSYINTRIKE DVASIKQEFPMCEVVDVNVTPLDRDYPTGPLKGGCLRLRGPILEVACRGENLAVARGD GQFGYIPGSDEDSGQLPDDGSSQNSCLADLDENTADDQPVISSLDVASAQSIDFGDLA NCTRISRPLTAWRGGMWSIPLVEWNSHGTPTLGGLLLVAVKDGTTGVFERAGTFHATG LTATAFMKGGNRRLMEGDAPWALGVMWTLTFIVFVFVILRLYTRVLIVKIFGVDDLVY NIAFNTFEIKDVEDVVRAVLLEVIGQTFAIIVFCIFVDFFFAIFPWILVWNLTMPKRD KIIIAGSLSLGILWVTIHFFLTRLVGIAMLTYNQSAGACGIKRTTELPNLIKQEYLKD TVRAIVWSAAEISVTMICIGIPVCRPLYKRLFRTLTSTDASSFTNPPRGSALALRTVG GSMAVRHPVKPARPWNVSENPSSPDVQLGYNGPSTKTGQDTGPMGNNSNDEIRGAEFS HGRLPPNASTSSYTAAQLAVA
SAPIO_CDS10300	MRLMRLNREKEAVSATVAGTDDKKKEAPTRLLYGYQSFLVYRVI AVAIIFVFNVAWLIWAKVQYGIVRNIGTIQRGDCDAIENLNTRLHVVINVLTTIVLAA STTFMILAYSPSRLEIDAAHAHHKWLSTGSIGFRNLKYISREKVLIYVILVLSSVPLH LFANSAIFTTMSANGYRWAVVSEQFVLDQQGRQPIVSTTVMPAEELKSFRDVQSSVSG YARLSNQRCVETYSNVYQNEYRTVLVVTPNIRNDESFRNVSQIFSHGLSYAADNANSW ICGQQEQQAANTTSQQRCDITPTNALSVLDIANFSDWKIFERTVLFCLSEPQETPCSI KYSGVIVGLLIIFTFTTLASMATILILAWKSTNKSLVCPGDAAESFLRDEDPTTMGMC LASWMNVRKLWKSPSSGKMFKAPQYRVWKGTGPYKWLCLTLIALLIVLFGLAFIAATL ITARNSGREVKFFSNFGKLNHNLRPISRGSTQGELLAVAATSNVPQLVLACFWLIYQG LVSCSAYARDTARFTDDGQRLMVSKPDGEQRELWFPSLQLG
SAPIO_CDS10301	MIIDIATSRTPPTDPTSTFRKLIILGLTSTTLALLSQAWSKTSF AMTLLGITKKRDWVTYFLWFAIFSMNISFVLAGILFWVQCTPLEGLWNPSIQAKCLDP SINIIYGVAISAYSGILDLAFAVLPWKILMPLNLNTKEKIGCAIAMSMGVFAAMAAFI KCSKFPSIAPGSTGDSMQLAIWGVAEPAITIIAASMPTLRLFVRRITGPKDFDMEEGR AADEIGLQEMPARGNTRHGNTPSHESQGHP
SAPIO_CDS10302	MNRLTQLVSHFCGIDDTLIQQPAGLRSDFTSRSDEEIADEIIEL LKDTEKNGQELRKQVDKSIGPQGWTESIAKRVLERLVDLIMNSREKLGQAFSEAIDTA EAAAKACFSFAQDHPEIVAGLLIIVAVGVLVLMAPWTVEALGFGELGPVADTFAAWWE STYAGVYWTSYQKPIVPTGLPQLPPPELKLLTRHEAQRKLLNLIEMVPPYWFNEFRAQ DIIGLLKAFKRCNRNIDNPRNQPLNQDIRRMLQAIPVVKPPVYDMWAGLISCWDARIN WFPWLFLNRINANKWSNMVGLNPGRDDADPDILYGPAEEFISWMEHDNHFRSFSDDNR SAPPLANDAAEDLRDLFRAIVVTEVTAVEISWRLPVDLDKSPEEIRTMLAQPIQPWSA AEDGQQEEKIVTTPETTIIAPTPSMEEIETQAYSADWPLRKVVSGLTDKVVFQER
SAPIO_CDS10303	MKPFTLRRRDSDALIAPRTSVGAARRGQRPRACDACFHSKSSCD SGNPCSRCLSRDSECTYKRLEDPSLHVTDELISSTATNASEGTTRLAVPFLLGVSDPH ASSMVESVIDEDNVDEYPREDILDAPPSNASPAIPVAEDFPMDYGPFSWSMNQYYLEE FTQGIYGDLFLQDLDMGTPSSGNGSDLVFDSLSLCSVRLVDDLDRFRISTHADHSPQD ASSIPDIDMPTMKRIFSAENLKSHLSAFFRWTNVVIPLVHRPTFDIDIADPALLVSAF LCGSLYNPTEDVAERDACFDIAEEYVFSRLKEQVDLQRTLQTPVWKNRELVALMQAAM LTYGRQWITSRPDARRRSRKVRLPALLEVVRGLGFTRIKHAITPEGNVPSWEDFILLE TCISLRALTVKDLEILIFGESSSGGQP
SAPIO_CDS10304	MGLANPDKFPPPPEDEESLTLTVDWTPEEERKAKRKLDFIIMPL LTLGFFCLQLDRGNVSNAITDNMMEDVGITQNQFNVGQQMLSLGIVLFEIPSNMILYR VGPGKWITLQLFLFGIVSTFQAFQNSYGSFVACRFLLGMAESGFIPGGLWTLSTWYTR SETAKRVMFFYFGNQFGQASSKLLAYGILHMRGVGGKAGWFWLFVLMGCFTIFSGFIL GFFLPDSFKNPCSTFVPGKSLFTEREIHILRTRIIRDDPMKGKKKKSIGRPAFRKAFS NWRLYAHVIITLCNNGPQRAFDTYAPTIVRSFGYEALKSNAMASVGLFLQIPTSYAFS YVSDHFNRRGETAIAGLSMHLLAYVMNRIFTEMTSKGVRYFGVVWTQTFGTFSHPLNI AWMSLTCEDSEERALAMAIYGAQIFRSDDKPKYRRGFGVNIGVLTLGVTLATVRLVDD VFRRRKLAKQLQLQDNENGSQENAARVSDVQPAPILLGDEKKLVVSN
SAPIO_CDS10308	MASHLLPAGAYDTHVHVFDKRLGSYAAGRSYTPGEASRESLLEF ESGVSVTGKPCNIVIVQASPYKNDNGVLLATLESFRREGIKTARGIAVFDSRIVTDEE LQFMHELGVRGLRLNVQSDSSGVDVGALEEAMIHGAERIKNFPGWKLQLYAPSSVWDY LYPVVSKLPVPVIADHFAGLKALSKLPQMDGENNSATDEKLVLTQPGLEAVVRLAEES RLIIKLSGFYRASNSTADCYLDLAPIVRYLAKKVPGQLIWGSDWPHTGEAQARDIATA AMTIEHFQKIDDEGILRNLREWLGSDNIWHKVMVENPRLLYE
SAPIO_CDS10309	MATLAPRQCSTPLNPTITKRKTPWSNLLVGAFMGVFQVSTLGQP MEVVKTHVAANRSDTLRDALTKTAARGGWRGFYQGLIPWAWVEASTKGAILILSSSEV EYYSRAHWGISKPAAGVLGGIAGGAAQAYLSMGEKVPGTLATFTNIVRTKGIRGVYKG VNAVALRQITGWSSRIGISRLADGWIRKLVDKPEDQKLTASEKILASTVGGALSCWNQ PFEVLRVEMQSLKVDPARPASPTMWSAFKHIYHTSGPLGFFRGVVPRLGVAVWSTICM VGLGDMLKEEVTRRTTLTM
SAPIO_CDS10311	MGAECGSTTSIFPYSAAMSAYMDATARPEHAQAARTLSAHLQAD EGAEYDLVIEIDLSTLEPHINGPFTPGLSTPLSKFGEAAKEAEWPEKLTTGLIGSCTN SSFEDMTRAANLAQQALAAGLAPKMPLLVSPGSEQTRATLKASGTMQIFESLGSTMLT NACGPCCGSWDRQDVKKGTKNSIITSYNRNFTGRLDSNPATHVFLSSPEIVMAKIFSN DLTFDPTRDTIPLPDGSEFRFRPPHAESLPPRGYENADAVYTAPPTEGREEVAVQISP ASERLQRLKPFSPWFGKDFTDCVVLIKVKGKCTTDHITPAGPWFRFRGHLENISNNTL IGAVNAENDKVNMVENWLTGEVNGVPDTARAYKAVSQPWVVIGDHNYGEGSSREHAAL QPRFLGGVAVIAKSFARIHQSNLKKQGMLALTFAKEADYDKIKSSDRISIHGLAGLTP GKKLAIRVAPTDGRAAWETEVAHTFTAEEVEYFKAGSALNLMANRKAARTA
SAPIO_CDS10313	MASSNVLKRLAEFATCDIGDALVKLKHPYGGFLEGITMWSPERR AGSAKIFGPAVTVKMVLASDTAAPTPARHFVDCNEKGKVMFVQQPKGLYSACWGGLMS TRAKVLGAAGVIIDGKFRDIGEHRELGFPLFAREMSILGSNTFTKASEINVPLQFKGD LWINPDDLVVGDADGVVVVPPSLAEKVVALCEERAEIDKKTIEALLNGAEMGPTIKQL RKQ
SAPIO_CDS10314	MTPEHDKETLSNIISLVNLTAGIGALLSFLLNDRIGRLWSLRLY SSIVAIGTLISTFSYGKAGALYVGRLVSGLGIGALTVTGPMSIVEVAPRVTRGLMTLW FNIAMLLCQTIGIVVVYGCSVHISPEKNLQWQTPFFVQVIPPFFAVTLSFLVDESPRW LCLRGRSEEALSVLARLRGNPANTDFVAAEFDSILTPIQLEIAEHGKPTLMSVLRETF TVRSNLRRVQLTVVAYILAQMSGANSITNYLPTIFGYIGVDTDEAKIYSSSLYAMAKL LCCVLASLFFVDAVGRRKSLFIGITVQMLCHTYLGTFLNISAKREVGAGASDAAIGAI YIHAFGWAVGLYSLPYLFGAELWPNRIRSFGGALSQCFHWLFLFAITKATPSILTSMD KWGAFIFFATWCVIALVYVFIMVPETSGRTLESMDKLFEHRWYEMRKHAYETNLPESK DIEIAEHVEDETLERK
SAPIO_CDS10315	MTIVHMVFFRFRADVTQAHKDTFVRELKKLKDLPCVKDNRLIVG GPSITDPIERSKGFEYALLSFHENRAALDEYQASKEHHWVTSTYMFPFKEDLCRFDFE VDSDDEYMCYFGSFAKANGVSLNNGANSSGE
SAPIO_CDS10316	MTEKSRTFYEDGQVALESALQGIIALHPGLQLHKSQKGGAGHEP CHAGFVGTNMLDAAVSGAIFASPNAGQIETGLNLIQSSHGVLIIVKNYTGDKLNFTLA AERFGLASGVPTRLVVVADDVAIGRSKAARVGRRGLAGTVLVHKIAGGASADGLGLDD AADLVEFVTRHMGTMGVGLDGCDVPGKASSVRLGPDEVQLGIGIHNEPGSRKLNPKPP PKELVGILLANILSRDDKERNYLESSPLDGNHKVVVLINNLGSLSNLEIAALVGETYT QLTADYGITPTRIYAGTYLSALNGPGFSITIMALPIAHEYTRKILRYLDSPTDAPAWA SSIPTSTWSLPRGSGTINSAKDDDSAACLNIPNVPCDMSLFASIIDSIHSKLIAEEPE ITRLDTLMGDGDCGTTLLAGIGAISKGLQNGTVEPSSLTHGIMSIAEIVSQSMGGTSG ALYAVFFTALSSSLCVQRAGDFPSIVRALDAALKALQGVTAARVGDRTMMDSLIPFVK SLVENSGCECAAALDAAVLAAKEGCKGTASIKSQFGRSTYVSTEDSGDTTGGLVDPGA CGVVAIVQGVLEAVKGVNDEAI
SAPIO_CDS10317	MQELQKGCLREASSQPPPPLPPPSPPPPPPPPLLPLAAEQSQQS INVLPVQPPSPSYSTRGASPQRSWQPGSTSPITRDAGNPDPRDQQQRSSSTTTTPQGE TTTPHSTAASTSQIKPSEPLAHEVGLLSLANSTEPKYLGPSSGVPFARLILSAFPHAH GLSNTNPTTPGGGIAATGHLRPEPFPQDWRFDVDLKHFVDAYFDAYQPLYPFLDEETI LERVNHVFSRQLGDPYALSTLQLADFGKALSPIHSVQLLLVIALGASTLETRLSVDFS SERYLASAISGIDGLSLHDSTEGLQTMLLLTLCSLSFVDGPNAWFLTSNIIAACLDLG IQRRWIDGPSSKGEGSDGEKLQIYKNVRRGIFWSAYSLERTLAVVLGRPLTLRDEAID IEFPGAEDLANFDENQTNRDSYDSHEYPRKRSCVEITPYTTASFSFRIDQLAAEMKLM LYRVNNKPGAFPWLIATEEWQKNAHTRCEALLDEMFRVVKSRTRRTSAMASTVPNLEI KYHQCIMLLHRPSPATPRPGATSWKICYSSAVRTILIAAELNRFSKLQNTWLVAHNIF ISGITLLYCLWTSPEIRNETSLQALESHIGACTRLLQFLGKKWSVAADALVKFERLAQ LTVNAWRLGATTDNLWNGNEEGEAGQSSLNAQPPVERPQYPSAQVAGGNVVPLGGENG DDAFWSQFFQSELEDVSGWFDLNWMMNADAVE
SAPIO_CDS10318	MASQSRYYAQPSKAIRFAESLLVRAGLTKDHANLMAHCLAQADT RGVDTHGLARLQQYMKRVSSGLVNARPNLQISEKTPVAAHLDGDNGFGFIVASTAMKD AIRRAQTYGIGIVTVSHSNHFGMAATYVLQALEAGMISLVFTNSAKQMPPFGGKETLL GISPFAAGAPSGKEVPYILDMAPSVVAKGKIRKAARRGEKIPLGWAYDKDGKPTEDAE AALDGSMAPIGGPKGSGIAILMDIMSGVLSGAEYGGQVGDQYKESRPQNVGHCFIAIK PDVFISPEQFRARMDTLVQRVHGVQPADGFSEVLFPGEPEHRIALDRMSKGIPYAEAE RAMFDDLSKEYGYLADLGKPDQTFQILEAARQGGHAIGAFNCYNEDGVIAVIRAAEQC KSPAIIQLFPWTMAFQGPAFCKYVVEAAHTAKVPVAVHLDHCIEPEDVELALTLPFDS IMIDASIKDPEENIAQCKRIVQIANAKGITVEAEMGRINGGEDGLPAVDLENILTDPK AAGDFVTETGVQFLAPSFGNIHGNYGPGGPEKYWRLPLLEQVRDVVPEIPLVLHGTHQ VSPELFVAARRAGMTKINLNRTVRDDYTAFMADNSGKLELTELKTKAVEVYTKSIAGA MESFLGSAGKVS
SAPIO_CDS10319	MANLQGIFMKSSRNPASSPWAPNHTHKISALQYTGTALSLARRE VVQGVDVLVATNLLLSFVEMEMGTFEGLRRYLKSIGQLIFRTHHKLEVPKCSSKLLPG IASSRPILKHVAGQTHRPDLAGACGKLLEELGSLSARFHARKPPRGLPLSELGEGTVD VLSTAQMEILGDIEPIYFESHEQAMEAADYVFCRLVCDDAPLQRRSLATTPRRPAALP SPGLSTDWPCNEPKDMIIIDGFLDRLIAIGSGWEDSTFPTAVTRSILELTHHQLARGR TILLASTIEGEVTETETIHASDRMTVYSLYGMEVDGRAFEEFVDLTSDSAT
SAPIO_CDS10320	MSHCWDYHSDASLVRGGGGDSEGLANTVNWAIKEYNGDATKVFS FGGSAGAMETNVLAATYPDVFNTGASYAGTPAGCWAGSPESTPFTSDPSCYRGQKTYT AQEWGDIARKSYPGYEGNRTRMLIAHGTAEPVVPIILLQQQLDQWSNVLGLAWTKNET NTPTQGWTKIIYGDGTQLVGKVCDASSWAAYKVHRVKRDRPVHEESQQTSGGIGNFET KAVPLILESQARWNDKVARKSPVSESNTAGVPVMDRFAPRDPQRNATPEEALITASAG SSISQSGLPIRSSPATTVEWIP
SAPIO_CDS10321	MSPSTVPASSFGPDPEALEKALSEFEHDNEWYNRRFGNYTITEE PIFKKRALRVICVGAGATGLEVAYKSKRLLQNVTLQIYEKNADIGGTWLENRYPGCTC DIPSHSYQFAWARNPEWSHYYSPSPEIWKYFKDVAVKYDLEKYIKFSHRVKSARWDEE AGLWKLSITAPDGGEITDECDILINGTGVLNSWKYPNIPGIDIFKGKLMHSANWDVDY DLSGKTVAVVGGGSSAVQIIPSIQPTVGKLVAFLRSPVWITMGFGVKFAGPGGTNFKC EQYYIRIVIKSFKENSEEYDKYCRDLEGELNKRFTLNHSFSQDQDQTRSMITEQMKQQ LNNEPLAQHLVPHFALGCRRMTPGSGYLESLTKENVQVVTSSVARFTETGVIDDNGHE HSVDVVICATGFDASFAPPYEVIGRGGLPLKERFGDFPRGYLSIMVDDYPNFFLVLGP NGPASHSSFLPIIEWHTRYIFQVIDKMQRENIKAISPKPECVRDFFQHTHTLMRRLVW SARCSSWFKNGKKNGPVTAIWPGSRLHYFEALKTPRYEDFEIIYRSGNRYQYFGNGYT VVETEEDGNPVWYLDDPFLKV
SAPIO_CDS10322	MMKQSFYKRAKALYDADYEKDKIPLIQSVILLSFWLAEEDDREG SWQWHGIAISLSQSIGLHRNPISTNTTVSRINFNDQPLSNEQYRLWRLIWWMCYVRDA WLSFGMGRPMRIHLDDCDMPMPTASDVRALYDGLQPKEAESYLPNDTSHALPKMWQGF FELSLCLGQILRTHYRVRKVASEDPPGPPIAILIERDRKELVKCRANFPSEEEFENRV LLAHLYHLCIYYEAATIGLHRPYITRSGDKIFIEEAWRLRATRRAKSAASNINSILNK SIASQTVDICHPMMVTALIPALQINLLCSISSDALTRSVGRLQLDICMLVLSELRKTY WAADFIYSLFAKARAKLLASGAWDSVPSSNTSRTSAAVADTRPPIGRRGNATDPPQLT RRELGVGASGTSATPVADSSPLDVVHGAPSQARVPASDLRQEQVTCNEGGYLGDTEMQ EDQSDLMQFAIMDIFPAGLDFTACTNPYGGTLGLPITLPSIASRSTSDYAANAGGPDV QGPPLLERPWRAETDPFDAMPSPCEIIDQSVQQNPVSPNLLNAYTASLTYDK
SAPIO_CDS10323	MATQEPKKTSIPEDIQIETTGDLEAVDVSGEKVENGGRDWTDKE EKVLVRRIDWRLLPMLCIVLGLSLIDRTNISSAYVIGMGDDLQMKKMMPGAVFILSSW YTKFEMARKITIYIMVAMIGNAFGGILAYAFSRISVGDGIFSRGWRWIYIIEGILTVV GGAAAFFLLGDFPEKSKWLTTRQRHIASKRLKLDGDHGEYRHVTGSEAWHYILDWKLL VYSLLYMMSNSSIYSVAFFSPIIISDGMGFDYSTTLLLTSPPWAFCIIMSIAGAYLSD KYRLRWPPATLTYATNNTPNLNKRGVTTAVIISAGAIGGVLGGTIFRSQDAPMYLPGM WATIAMQMANICLITFMTFYFKHMNKLADEGRVSTLEGPDQWGDLLPDLYWNQTTVAI MAARTPALPVLDLFRLDGKNAVITGANSGIGSCMAIALAEAGANIIIIQIPNDPETIT KDALAKLPVETSVYDCDLTEVDSIRKTVAEIAERDSRTIDILVNCAGVSGHRPALEVD DEFRERIFKVDMTATYVMTQEVGRRMIARGKGGKILNVSSLAALRAFKNISAYAGAKG AVNQFTSAFANEWAQHNIQVNCLCPRYV
SAPIO_CDS10324	MSELSITESSIGDLRGKVAIVTGGASGIGQCIVELIAKHGGIVA FGDRNKTAGESLERRLRGAGSTVRFFPVDVTDWNAQSFLFSQTRDEYGHIDLVFANAG VGDNNDTFTDTLDSEGNLQAPDLLTIHVNVIGVIFTSKLALHYFKKNPIPGGGLVMTA STSSYNERPNIPVYSMAKHGVIGLMRALKHNAPKDNINVGAIAPGGTGTGMFTSVAAD AFRALGIGLNSAMSVATAAVYLANNKETNGKGITIIGERYTEVEDAISAHQKLWYGEY NTEMARRAAGVRLDQLANNS
SAPIO_CDS10325	MSTESDIVYNLSAFEEKNIDPVVVSLNKKLIELGLKDQKWWDIG AQRYRERRAKGETPFPPAPVHPSGTNGVAICASTRGDGATSVLRYRVFRPKEGEPAGL FYHIHGGGFVLGSSAGQDLLLEHISAKANLAVVSVEYRLSPEHPYPAAYHDVVDFAGW LVENGKKEFGADLAFVGGESAGGTLSAVVLFYLRSIGYISHLKGAILNYGCFDLTYLP SARLARPEATAILATDSMYNFLNAFMPSTPCEDRKAPEISPAYNDLRGLCHALFLVGT EDSLIDDSVLMHFRWLTAGNEALFKLVPGAPHAFMTFDGNNPGMPAVQAGWRIMVEYI LERLKA
SAPIO_CDS10326	MTTTITQSEMVIRTQPEKTQYPAPLKLSGALDQFKHVEVTPVIG REYPNVNVVDDILNAKNSDELLRDLAITISQRGVVFFRAQDNLTDDLQKTLVHRLGQL SGKPADSTLHIHPLLNSTHEFGVEDNEISTISSAGFKLFPRQVQKKDRDDRKGGAASW HSDIQFEEYPADYTSLRLVELPASGGDTLWASGYELYDRFSDPYRRFLEGLTATYTGE GFHKAAQADPERFQIYEKPRGNPANIGGGLTAVHPVVRTNPVTGWKSLYAIGNFPQRI NELSAREGRDLLDLFKRRIEENHDLQVRFKWTNKNDLAIWDNRSVFHSATNDFEHLGE RLGHRTVGIGEKPYYDPQSVSRSEALGL
SAPIO_CDS10327	MQQLQRASSAGGSSSSGDANAANGNDEGGGSSKAGVIAGAVVGS VSGIGLLAGAFFPYRFLKNRPKPEIPPNPVVEDGPTGRSELGGLGKTAEQIGKPEIDG QEKSAVESSAVFGTGTPATVELGSPGLSPLPHAQELSAQPTAAELASSTGAYHSGSIG ELPASMVVYEMPAEPYTGSNHDPRVIRT
SAPIO_CDS10329	MAPAIIAVVAGLNMDLIFTVNRMLNVGESIDAPSLFVHPGGKGA NIAIATSRGCRPKPIPKEREEESGVASIDFSGGNNIQVFMNGAVGDDGARARASALFS LRLIAYQGINMNWKPRDPGSVDCLAAGSTPELVIAHLGIQQEKIFRVLETARGDGVDT LLSPSPPEPLISNMYAHLTHLILNQTETAMLSDRCVEELNTVAAWGNAAQYFIHRGVE NVVITLGDRGAFYATHRGQTGLVDAEKGVNVVDVTGAG
SAPIO_CDS10330	MTSLRWQTRDEETGDRIVSGNRPSEDTETVVKELRRSASRSRRS IDLNTALPIQYRSLSLDIEEAQRNERRGLAKAKDATVFKFETIDWHKLSPKDVQKRLT VDTTQGLSSQDAQERLRKHGKNKISPLPNPWLWKILGYFFKGFGSILFVGGILVFVSW KPLGHPPALANLALGIVLIAVFVIQAAFNGWQDWSSSRVMQSITEMLPESCNIIRDNK RIQVSAADIVPGDIILIKAGNRIPGDVRFLEVSHDTSVDRAVLTGESKPVKATIESTD DNYLETRCIGLQGTHSKLTGKPKKGLTTMEKDILRFVVLIFIIMMTWIVIVVAVWGGW LRREHPEWISVSALIVTCVSVAIAYIPEGLPIAVTSSLTITANLMRKNKILCKSLKTV ETLGSVSVICSDKTGTLTKNKMFVSDCSLNIRAFSAESAEEDVKGKGENPGLKQIRAM TGLCNSADFDTDSQSTPLIDRHIFGDATDQAALRFSESLGSISELRAHWSLVFELAFD SKNKFMARAFTISEPHGVSTCLPAREAEKFKPGSILLTVKGAPDILIERCTNIVLPDG NVIPLTEAGCNAVKQLKDQWSSEGKRVILLARKILHDEESIAPADPETKMLDEIRSGL TLVGLISIIDPPRPEIPGVVSTLRGAGIRVFMVTGDYGMTALAISRQCGIVTANVVHE SSSLQRFASSKNTSAASPRDAALLLTGADLMSLNDYQWEQVCAYPEIVFSRTTPDQKL RIVREFQKRRQVVGMTGDGVNDAPALRAADVGISLASGSDIAIEAADMVLLESFAAIV EAVRYGRVVFDNLKKVIAYLLPAGSFSEFWPVFTNVVFGLPQILSSFLMIIICCFTDC AGAIVLAYETPEADVLVRPPRDPRKAHLVNWQLMFHAYAFIGLIESVCSFTMSYWYLE RKGIQFSDLWFKFGELPSGMDREFYNARLNEASSIYFMNLVVM
SAPIO_CDS10331	MTFIRLEPSEQENDDGYSSRDAIDGISARSASLADATETAKPRR ILPSQLHKMAREGLGDRPRDHVLIPTPHILSSFSPPEFKDIPDKEKAEFEKERRKARK VIEGLKSRQEKPKPWTRICREKARSILTHPWPRLQTIQEADAEPGIELDNHTDMEEAR LYWKPSEMDILRARRFYFPEREMIEMQICDYKKDSGKLEKLPLRDFEDVIKSKPDGVA VRWIHLPIGVGLLQSTLEELFNYEGTQEMGLPFKNCGYPGYPFVSNIHTLAFYSREFY NEQREAWNVLSKEASDKGDSAGLVGTINGLSDLVKDDLGWRSRYRVRDLNFREMTKAD FPYILSHRSAGDLNPRNEKLPQKIPAADENMISRISEFEGSVLMISHLRVFHRSDGFL LTFSPKPGVDYLGCHFKNSMNPARNILDNPHASAIAHTAATFLDSGTQRWHRKTVEWL AVYLLTEDDERRRRRKQRKSRKKGDFDSASLVDKYGEYLPELENIQSINQAKIDFLHE LEKDVEKMEEIYKSRGFEECNDKEVDSMPERVGWALRVVKATQREIDILVGLSKTAFD REHRRYTAEQNDSTKKAGDQGNAILIFTVVTVVFLPLSFFTSYFGMNLSDIANTEKDQ KFFWGTCGVAGLITVIVVIIYAFSAAVWQTLSSSDDEDD
SAPIO_CDS10333	MSKQDATTSTNTSGASISMPMERSNFKKAPKGPRFWAILFVLAL AGLLTASEATITSTVLPVIVRKLGGGDNYIWAANGYFLTISIDMAMLISGRVLQGIGA SGVSVLTETVICDLVPLRERGTYMAVVFGMISLGTSLGPLFGGLIVSNGSWRWAFYLA LPIGGPALVLLVAFLHVNYDKSNSLATKLGNLDWLGNVVFIGASSSMLIALGWAGAEY PWSSFRVILPLVIGIVAFAGFIMLEGSRFISNPMMPLHLFTNQISAVTFALTFLHGVA TMWAFYFLPVYFQGVLGATPYRSGIMLLPSILAIIPFAIIGGLLLTKVGRYKPILVVA FALIVIGFGLFSLLDETSSVGAWVGFQIIESAGAGLAIPTLLPALLAPLTDKDTALAT GTWAFMRSFGVTWGIAIAGVIFGSRAEQLAASGAITDPAAAAEFLDGGAYKHATADFL NSLLVETRAEVVAVQNSSLRRSWQVAIAFAAVGFLLSVVIKEVPLRKELDSEFGMEEK RDKDDNSVTVP
SAPIO_CDS10334	MSWGPLDPSSSAPELSDEFTLSFTTVLASLQVSPNCEPSFEYNG LGTQAVMTHYVGAQVDKVSAMDLVYKFVEKLSSDASLGDRFGAQICEEGKPGRTFGIA VDIRGESTVKRSACDRCRAKRVRCPRAEDSLEPCARCIRAAAACVTGAAGNPGRPRKT IVVDGTPTPRSVTADHVSSPGCWITPRDGDDLELRTPAVGEPIPGNTEPARTVGTERS LNLVVESPRVAGHSKPTTRPQTGGTLPTGSPDPWLAHGGGGFFDFPLSEYSFASNSIP TLQQQLSTVPNHQELLGGVDGNLDTPYYASQQCNNDVYGLGPFDLPEYQPDPISGKYP SATSSLMRFRENMERRVSTMGTFLSNSRNTVEDCADDPANMPIDNPVATAILCTKEFV EIIQTLMAPTRSRASSPSSFNQIVSPPESLAIQPESLDTETLLMVLSSYLQLMKLYDS LFREGYRSLCEIPSETIKSIKVKAVIRIGGISSLQDMPGKAYAKGIVEVIQSHIQTLE RCLGIPATYCLTSELANPAPDGVFANADRARLLQAVMTQEDVRSPGGKGPYVESIRDN MKSLLALFAD
SAPIO_CDS10335	MGRSLFGSVAIVLALAIPLGTAAPRGLHKARVLKESGELEDAYD YIIVGAGTAGLTIADRLTEDEDVTVLVVEYGPLSESPKIATVQGGFSGMDSEFMFPTQ SVPQVNLGHRRTAVLAGKVVGGSSAVNAMMTIRGSAGDYNRWGNFFGEDSEWSWDGLF PYFKKALTFVPPNEDVAAESDIIYDTSYWGDTSGVITSWPSFQYPGTAAQVEAWKVMP GVNFTPDSGSGQTGVFWYPQFMDPKLGERSYARTGHYSNLERSNYHLVTNSKVIRVEL DDGAATGVSFRPGDDPEGGITTISAKKEIILSAGAVHTPQVMQLSGLGPKDVLEEAGI ETIVELPGVGKNFQDHPMITARFTLRNFDFSPSSNDLFANSEFRNWANEVWEANKTGP FSIATGNVAAWLPFPVISERYEEVATLLEEQDHAAYLPEGADDTVAAGYAAQMKSYAE ALRSNHTAFYNLVFTAGPSNGILVDLHPLSRGTINVDPKNPEGNEPIVDYRALSNPLD AVIMADILRYTRKFYMENSVNAEYDPTESQPGARVQTDEEMASYLQQTLSPTEYHPAG TCAMMPLELGGVVDEQLRVYGVKNLRIADASIIPTLPGANTCQTVYAIAEKAADLIKA GASRGDSDETEEPEAEVEEPEPEEPEEPEEPASED
SAPIO_CDS10337	MRPSHSLIGAAVLAAANAESCVSLRTRTSMKEVIVTKTLEVTEV IDCPGPTLIPSQWPSHVIPTLKPPATTTLTTSYIVTSCPPTVPSCSIGETTTVTITTT CGDDEPETTRSPEPSAPSTAGPEPSTSEDCEDETSTTPASPTTSSCEEETTASPEPST SSDCEDETTTSPAVPTTSDCDEESTTTGPEPSATSDCEEETSTPGPEQPTSSDCEEET TTADVQPSTTSDCYEETTTSPPAQTTSDCEEEKSSTSESTPTTSDCDEEPSTAHPSPS TTSDCDEETTTSPPAQTTSDCDDETTTTLSVPATTDCEDESTSSPASPTTSDCEDETS TPGPEPSTTSDCEEETTTSDVQPSTTSDCDEETTTTSPSVPSTTDCEDETTSIPAPPT TSDCEDESTTPPSQPTTSDCEDETTTTRRPTFSTSDCETSTLITSTRRGKPTTTTTKA PSSTSKKTSSTSPPSTPTDCPRNYPADIVDELRDESIDKLKDYLAKNPPSSGCTLENV SIRREWSDLSVPKREEYIAAVKCLQKLPPKSSAKEVPGARSRFDDFVAVHILQTDSVH NTANFLSWHRYYVHAYEQALRNECGYTGAHPYWNWDRYAKDPANSPIFNGNSSSMSGN SPSKGKCVNTGPFADMSVNLGPGKSTKYNPRCLERDISKDWASMTTVEYSYPLIAESN SISRFQDRLQAVPGVHGGGHFTIGGDPGGDIYTSPGDPAFYLHHASIDRVWWLWQLQD LDARLSAVAGKVVGSSKSGSLDDPIGLGVNGATKKIRDVMNTMDGDLCYIYM
SAPIO_CDS10338	MTVKAEQAQQTFNDLVNSMKGRDTLSDWDVLVSYDEIQINALLA HRAEGLGLHQPLSWKKVDIDPVTEEERTYVFDVTLLKPTLQFVDTSNSVIITCGLAGS FHLQGSTKVKDLPSGLACEISTSLVNVAGEWHPEDGCVPSATDGDKPANNWIAVLEPD SNVARGVCIDFTKCKAALKDAGNTGGGGLAHLNPLICAFLEEHFTNVCGLRYCLAALS NHFDPSDENSQVLQPSHFCFTLIPGVLMMWIGLRGGSDSGTRQSGQTTLTFAPDSRAV SPIPEGHTASIIFSQAMMMNLFLKCEKIVPSAPPIDLALKPLDYFLTTNLLFPGEHVF HADDPVPSSTKVQSGLAMPRDTILTGNLMRNEALHSKCLAAIDEHRMLLSQTLLSPTS TKPTLDKLKEAFLSFPSHNILGDFFTAVSSESEDGDDTPIPDLLEKHGFGNLVGVDFF KLWGTSWAELIKTDDFVLLPEDDNLGSSQPQKVVDLRLFSGIYTVVQPERDRGEQFVV HPTLGSIRMAKKDWTPQQLYDPSSGKITISWKTGTEKTYAAQFELVWDAERRRLGMHC LGTVRENDNATPEPFEAYLRGYLPQEEVRLKNEEKTPLDKAYLYMGILAGGVTILHMF VTCYSTFAINKLKKKVKLLETELKETKTGIDKVKQDVERQVTEAVHKLYQQNERLVED ELERGSRAIKEKVEARLEDLVKKDPKLMDKLQDPSFRDITTKELVETARKQLEAQFPT IISRLVNSPINKAAMQDRFYNAIMTAVEKQAVSVKIGNEESHHIIDVLDRNPGEGQES LSELIVKEVLGQKEAFEAERQMMELVQRGEAIDFDMAQRSREIAIQSEEVDKAKEALK KEKDSVEKEAKEKKLEEARRKLLEKYGNLGKGVKEKQEVTREAKKKEEYSRRSRKELE EAKKKTDKMHERFAK
SAPIO_CDS10339	MSTNSSPTFKVELPTLQSDDYVAYHGTDTLAIMTVRDHGVALTK ALNCTERTLLIYSEVVFLSSELIKIPGLNLGIFCNQLVLSLGRACIDVSGANGSSGTS DHIDGVDGGDAGSITLYVEDPSPDLVTNLTLKAYGGDGGRGFSQAVGTGAGGKGGDGG LCGTVKCYTGSGAQQYAQSLYKKTSKSTWTEWVQAISETMETDAEALLSYGIDKTIVE AWQLAIKKSVDWATALKKVHTSLTYLIDENSMDLDHVSPGALTVKSAETLIKSVKKLL EGSGVPFAQDGLEVEAFLSLADHCDSFTGSVQKEKQIQELIGSATSLTEKFVRNEGGG GEEPWEDEPFSSPNFLLKKILLDLRVATVRFENALAHKVCQLGGGKGGSGGTGATSDI PSGKRGEDKPDKPAVARVISLEGNAKDCDIDEACAIPEQCQMLLDKADGMYFTNSALQ YPLAMRLYYRLTRRLAFLDALAKDKEASTRPLAKAYGTIETTYALTTDALGQLDRIYE TAKLRLNKMKLGQDMFCHSPTWVSRLSLTFYEQRVKNMLENLSLIEKVYGQYAEAEQR EQVLKDQVSNARQTNDINISAARNQIDVLTKPGGELDTAGITIAQYSPKLAAERKKLG ASLEKLQKCIEGYFHVSTENLLEAFCMISFAPHAPMIAVQGAEVLYKSWTKVENLEGM PVQKEYIVDKLEACRGTISSLTESYKFAKNGELTVDDPGYGKVLAMADTLQQLLNDFK KAIPKELQQAVQADVKKFIELVAKRNDAVIVYNAQVQYLLELKRMVKALEQESKSLGE QALTLNASLPAIYFWLKRLRNSTQLDILQRLNYEGRALAFWGPLDIKTVKFDPPGPLD GSVQLQNHQEKLEKMFEDCYGALQGGAWNHWPGSRNLVEAGTAVDVSNDVLDQLRDPV PSTEDDGGEESEVYEAVFSITPRSNRSFLDMANVRLTQVRVWLLGATVSGGDDGHSPQ WVKVEVEHIGGETVWDQDGTPFKFAHAPVHLQFTYNTAIFNAERKFSGESKLVYGRQA IENDFSGRGGGKPKAEDRPPLGPFTDWRIEVRRDVNPGLDLTGVTKVWIEFCGRNLPA TKLKDA
SAPIO_CDS10342	MDGEVAAVMSAGEEVVECLCGLNTSGEKPLAEIAAAFSKYVTAA YFDVRGRLQTVWLAFFDIVGETPPEDQQRLVDFVLELRKTTAENFSGHAIFHEIDGHL WHDLPGLTRAARDYWNFNEKTAFHNVSAFLARLTNFAVDPGDPRDPLNYSSFGLCALL ETFEETETHGDDDYAAIRSASMWIQYSGDSLKELALREQDKMEARAGIPKELRDKLWK GLVQEKWEVWKAGFEEVEDSVAEAKIAAQIMREL
SAPIO_CDS10343	MNILKISVVLSLLGLSAAQGTSSIESVTCGTNKYTQKQVNEAVD EACRLIATNQQLGTNRYPHRFNNREGLEFATSGPYEEFPILSSGNYTGQSPGADRVVV DRNYQQSCVYAGAMTHTNAPTRNGFVLCNETAIASSGSGGDSTGGTGSTGGSSTNGTS GSGDSGAATSGISSMLVGGLVSFFVLLNAL
SAPIO_CDS10346	MYGQLVFASLLAAAAAQGVGTEQSETHPKMTWKRCSGAGSCETV NGEVVIDSNWRWVHVEGGYENCYDGNEWTSNCSGESDCTSNCVLEGADYSKTYGVKAS GDSLSLQFVTEHEYGKNIGSRMYLMNGAEKYQMFKLMDNEFTFDVDLSTLECGLNGAL YFVMMDEDGGKARYPTNKAGAKYGTGYCDAQCARDLKFVGGKANVEGWEPSSNDANAG VGAMGGCCAEMDVWESNAHSSAVTPHPCETNEYHVCTDTGCGGTYSEERFNGDCDPNG CDFNPYRMGVQDFYGKGKTVDTSKVFTVVTQFKESEIYQFFVQDGKKIDMPETTVEGV SGNRLNEEFCKNQFKAFDDRDRFNEVGGWSKMNEALRGDWVLVMSLWDDHYANMLWLD SSYPPEKAGTPGGDRGDCPQDSGVPSDVEAEHASTLLKATVIYSNIRFGPIGSTVSV
SAPIO_CDS10347	MSITRKLAKAVGIDVDAHKDRIPSDLPAGAYIERPAGTKEFLAD HTPTPGGIGRYFLSLFPFLTWIHHYNLIWLMGDLIAGITVGFVVVPQGMAYALLANLT PEYGLYTSFVGFLLYWAFATSKDITIGTVAVMSTIVGNIVNKVHDVDDSIPAEEIARS LALISGAILLFIGLTRLGFIVELIPLVAITSFMTGAAISIASGQVPAMMGIKGVNTRD STYLVIINTLKHLPDTKLDAAMGLTALVMLYAIRIFCNIMSKRYPNQQKTWFFISTLR MVFVILLYTLISWLANRGIKSSKEAKFAILGTVPSGFKHAGAPKMRTHTLSLIASEIP TTVIVLIIEHIAISKSFGRVNNYIINPSQELVAVGFTNLLGPFLGGYPATGSFSRTAI KAKAGVRTPLAGVFTAVIVLLALYALTSVFFYIPKSSLSGLIIHAVLDLITPPETVYQ YWKTSPIEFIVFFAGVLVSIFTTIENGIYVTVGLAAAVLLWQIARAHGTFLGQVRTIE APLKKSDPDIKGSSDDIVRDAFAPLDHSDGVNKEAPIHSPYPGVFVYRFDGGFNYLNS ALHFDTLSLEVHEQTRQTETNKYEKKGDRPWNDPGPRRGKDSDAPDTRPILRSIVLDF SPVSFVDSTALQALIDARNQLARYASPEEVEWHFANITDRWIKRALVANGFGFPHEDG DQSYNVTLNIAAVSEVNRGSRNEKTDLETGNEITPLEPSRSSGSEVTAAGTLYGANWP YFHADLRTAVKNAVDNAVRKNKAGSL
SAPIO_CDS10348	MRIPVANSSTNGSQGEPKDHRILIFLPFPLTEESLQHIRDEFPG ISVSAYQVPWRSTKPPAEVSAEELANATILVTGSVLPAKEQVPKIRYIQLASAGANHI LDHPLFTETDILFCTANGVHGPQISEWVISTYLAFQHHLPRYLELQKQGKWDREARLV QDSVNRRVGILGYGSIGRQTARVATALGADVHAYTLHPRDTPESRRDTSYYPPGLGDP DGTLPSKWFSGESTADLHAFLSSGLDLLVIALPLTPKTKHLIGAEEFKVLGKKAFVTN IARGPIIKTDDLIQALEEETISGAALDVTDPEPLPEGHALWSTKNVIITPHSVGIIRG AKGAWNITTSISIVTFSLQPSAFKMSGRGYSYKSSGTNSQGNHYCARDYGSSASNSNS YHYSNSNGSYYYSNPNGSTYYNNGQGSSTYTPPSSNGNSGSSGSAKK
SAPIO_CDS10349	MPQLNGWVFWIIEYMYSLPEPPPRKRTKPMEVICVGMPRTGTES LQHALLRLGYDHTYHGWDINFESPNYAQQWVRLSADFDAVMGHSLAVADAPASVFAAE LIEAYPDAKIVLNYRKDIDAWHKSLSSTLARGPNLWGLFLLSCLDKECFWNWHCYIRY MWPGLFRALDGKVETGMKRCAHYSMIRGMVPKERLLEWCVEDGWEPLCEFLGKPVPDE PFPHTNTAAGFAGQEKKLGLRYVKGATKNLAFIVGAIAVGVWAFTHYAYRYGDKK
SAPIO_CDS10352	MEDRKARQRTMPLVPEPFHMHAISRQSVAFKSALPRIEPIAEMW CQFCPNLDIPKYREGLSDTKKTELDQRASKLCRRAATNHLYKSSEFTWEVCAWHDAFA LIHDDEALRMDKKPYEFIEKSSNGEIDVKIKIPDATLGLKAYDDYDLEHGFICGITDC PHDHKQPDKRLHKDKLGAMMHNPNCGLVVDGVWGKTDLVFPFAVYEAKKRALSYEAAE DQIYHACRTYLAMLDDLARNPNNVSEYQTEKSHRYQLFAFTSCGSYWEVFVAFKFLET CIGEQTVETIWEGDVKEFSRAYDLICIVDQIHDYAINQHRSYVMQHLEAWYARHEAIP TPDPGVSKPSESNLKGVPDGLDDFGDITMDDADADASNSNHRDNDSNDSDNDATDLLS DFNRYSKEQVTEEILRELVGEGPAWLRLKEKTKMAKWDKAQETRARNRALRELARRGA SRQSHQVSRESGKSGSGKIGKPEGSKRNRVQSQKAREAATKTAMKAAFANLYPD
SAPIO_CDS10353	MHFYTALVLLSGAAFTSAATIQARQNFACTAARVRILGALNDAD TAIEQIQDPATQASAAAALEQAQGGVQEIAQAILAGQTPPASGRSEVEAGLTALGQSL SSANSADSAVLEAQEALDDAVAAGQDVVARC
SAPIO_CDS10354	MGRFLLLLTALLLAPVYVRAQAVFAHFMVGNTETLSQGDWENNM RLAQEAHIDAFALNMAYDWPHNRRSVSRAFAAARARNFKLFFSFDYAGNGPWDVNEVL FFLNTYGRDSAHWQHQGRPFASTFEGPDQADDWIDIKAQTGCFFVPDWSSMGAGPAMQ LGGGVADGLFSWAAWPYGVSDMTTYVDASYLQALNGKPYMMPVSPWFFTNLPGYNKNW LWNGDDLWYHRWQHVWSVRPEWVQIISWNDFGESHHIGPLDDRQYEAFDVGRAPFNYV VNKPHDGWRVHLPYVIDTYKNLRPAIGRESVVSWFRQTPGRACDSGGTTGNTASQLQI ELDPASVLGDRVFVTALLDRPAQLRVGGWIINEWDHEPREGIGLYHHSIPFNESIGLE SGVSVSLWRNNAPFLTVNSGAAVSSACPDSVMNWNPIVISGQSSSTSNVRASLSLSEQ ACVEGTGTDNGGFRQLCEFTCKYGYCPVSACTCTLMGPRETPPPLDPGVSKPGYPANG DANYGGLCSFACNWGFCPEAQCSTSPQPPFIPLQSPFAFPACISGRGQGDGRWDGLCD YGCHFGFCPMHRCQCVATGHLIVPPTPNGPGFSSTGEEDYGLCAFACGRGYCPTGCAD AQWPILRAGGPPDTRFLAFGDSYSTGVGAGDPLGSQFDPQNRCMNSVNSYPRYLRRAL DGLPTFESSDISHVGCTGAISRDISVPDKDGRTAQIDLVANNGDLNLAGWATLSIGGN DCGFGNVAESCLFVYSESGCREAIRQARDELIPDLRRKILATYLDILTGALNKRFLLI VTGYPKFFNENPANCRNGDSWQWFYPWGGLLSDDRRIEINALVGEANRRIEETVQEVR ERLSRTGNRQIKFFPVDHLYEGHRFCEDSPADWQEESWFHHIMSYDKLPAGNLIPPGG GPPMDLREHARTCSQIQYDQDEWAERILCRIAVDVSNGTLEDPLLPGPLDVWHVIKAM HPRSIGNNAVGQAIFEYLKTLPQVDGWQ
SAPIO_CDS10355	MKGSPGERGDLSVKFSRLKGETVFVVITGDRDMRPAVQKVFDSG IRVELWAWSFARAREYFALNASDDLFSLHDLDSIYEKISFTNFRPEQFEHSLCHKILS LRRVFYTAWSRDGGELFVEYPRAKGVDEFDNIMEKMRQLFDRLLKVLSWPEYITNYGG WDFTSSVEGTSYRYVTMGNDVEAEQDVEAEDEAGNDSGTKEVASTGEVTEKKKDNDDG WQTVGANPAKNHHRAIQRTQDCRYGIRCARKGECGSKHSEKDRKLFQRYPNIDFR
SAPIO_CDS10356	MKFQTFVVLFTAAAVSAHRSPAKTCNDTPSCKSLSDRDAKESQG HWEVVTSLLPAHTVTKTRTQRQTTVVVTSTIAPSQATEAVSTTKTQTGEPVALPAVTI SSTVTEVNTITTTDTVTATEFETSLETQIETDRVTETVTNMATSTTTVPWQRPTCQAP AKRGIPAGSDKKLPDKCSCLLTTTKRAATVTTTVTAAKAPITRTVYKTGSQQPAVTKT ITVTESTAGPSLSAPEVTIVVTEALTQTEGAVITVTEKQTDTSITTTTQTETDTVTAV TTTIATTTRHPCDDLDYILVTDESWSNRDVVSGFVADSWAARDCCVNCYMNSDCVFAR FGNSLCEGFFTKRDVTNPCQSDQCPRGLSGVNVSSGDGLAYIVGPCMGGRTK
SAPIO_CDS10359	MGDNHQLTGRNPFMGHPEDEDSEGDQQFGEFRPLYANHPNELPT HWGEHGELKSELTPNIKGEQGEDDIGLVDPASYIHGWPREGDDDDEEDGSEESLPTSS NKASSSKSKSSTKENSDKPHKCDSPGCGKGFSSRSRLK
SAPIO_CDS10360	MKVSTILSALAATASGKILFAGVAESSGEFGLWSPDSTPGTGLP GTFGKEYAFIDEKAVDVFVDEHKVNLFRVAFALERMCPLEYGLGAKFDETHFGHYKDA IDYITVTKGAYAILDPHNYMRYNDPSQQPMTGSVIGNTTDAKAATTKQFAEFWTELAS RFKDNERVMFGIMNEPHDMPTKLVFDNNQAAVDAIRAAGAKNLILVPGAQWSGGHSWT ENWGGDLLPNSDFMHKINDPENNFVLDVHEYLDEDYSGTHTECVNSYPEHLADLTAWL KKNNLKAMITEFGGSNTTSCEKLLGEALEYMEENEEYVGWTAWAAGPFWGPNSPCCTD QAQLGSLEPGSQAAGGGPSLYETIWKKVFQPRIPKTLQWEGPAVIN
SAPIO_CDS10361	MPWLSDQLDLQTSPGARHVTSNAGARPGSDPCEVLPELVGGDHN VLPSCVRALALSIVAIGCHGRALICDAFEAQSSALRALQNAIGRPNIPLCDEVAAAIM YAAPHVMP
SAPIO_CDS10362	MACNANFKSPLEQTLTGSLLEAVRHFWFEHMVDDEHFVVPDKED AGPWFSQTDAFDAACSSKFRDPLLAIMSTNASAADIIEASKPKSPLDWVSLIVLLDQI PRNCFRGADAGIAYDFFDPRALGTALQAIKAGVPEHFQVRYRQGYRFWFYMPLEHSER IDMQEMAAMEHEKMFTDSRKLIDGLIDAEDTDGLYYRDILPRRRKQFETWVGILRGMD EQHKGLLDRFGRFPRRNPALGRQSTQEEREYLRGMKGY
SAPIO_CDS10363	MMSLHPELATVGRKLHVGVILMGGRGASTTEILDVAPVDMIYSL SKNFIDTFPGDLFSPHYRAQAPDIEVHWVTEAGKAAPSRLTSNITLLPTDSFETCPRL DIVLIGANNMGYTPNEAELAFVRKSFEECVAFLTICGGVFVPMVAGLLEGRTATGPRM MLGELRKLSPKTNWVEKRWARDGKLWTSGTLLNGTDLMSTFCHAVWEDLGDPSLVAYQ AQLASWPKRDVDYKDEPWEVDLA
SAPIO_CDS10365	MRQPDDTEAEAAFMGTLLLLRTIGQSFNVTSPPLLAWLVAGYSL TVGTFILFSGRLGDVFGYKRMFIMGLSWFSLWSLVAGLSVYSNYKLAVVSRVLQGIGP AICLPNALAILGITYPPGHRKAMIFALFGSGAPVGSVFGGALASLLTLTWWPWALWAM SIWLALLAVAAGYVIPNLPRKTVHASDWKSWVRILDLPGAFIGISSLVLFNFAWTQSP IDGWATPTVLVPLVLGVLLFGLFALIELRLSPMPLLPLDAVNADVAFVLAAVVCGWAS FGIWILYLVQILQTIRNLSPLLTMAWLSPSAIAGAVAAVVTGKLLGPFKVKPAVLMTM APAAFTAGSILTGLAPTDQVYWGQIFVSMLIMPFGMDMTFPAATLIMSDSMAKEHQGI GASLVNTVVNYGIALGVAFAGTVEVHTHGDGESSEDILRGYRGALYNGDGTRGFRIRR VLRVHGERRASVSEAISVPKKRPDGPRGRRMNSNERA
SAPIO_CDS10367	MPPLPFPLLPRRAFQPTKFLPALSAQPTCTTRMNLKSGFGDDRR DDENDEAYPMLQLAAPLDGGTPDRYSLDSEDPLADVETKEKELGDDRRGGKKRRYAWR VSLGLSCIILLILAGILLSYFFRQQSRDPEPGSTSGIAESIVNSAELELDTGFIISRV PKTREYVFNVTRQLAAPDGIEKPMILVNGQSPGPLIEANTGDILRITVNNQMPEESTT IHWHGIDQRNSNWMDGVHGVTQCGIPPGETFTYEFNVTGQRGSFWYHSHVSVQYTNGL FGPLIIHDPDEKVPHYDDEKIIMFGDHFHEDAEKLSALYLGPEHPWSPMMAGMEPPPD NILMNGVHVSNCSTPPSMDPSSLTPDASSHCAAGSLYTTRIRSGDQTRFRLISHSTST PFWVTIDNHTLEIVEIDGTEVEPIATTRIFVNPGQKYSVLVSANQTAGNYLLRATAAT SCFHLPRAASTGLASVNYEAVGILSYDDINADAGVIGSPWDLQSKSNSVFGDEPWGQE CDDLPFDIAKPVRQATAYEVGERNFHYFSFERHSINNRIQTVINQTAYKPLVDDATLW NVTEQYSSNLDANAGPRKGQNFQVVGWGNKDYGDGRTIWNLENPIRRDTITVPGYSHV VLRIRADNPGVWAIHCHILWHAEDGMTLAIAQRLDELEEQLNALDASGAGHSLRRRFC SAN
SAPIO_CDS10368	MRVSIDDLFNLTVLTNYFDATKSLAPWTDRWMAFININDVKSHE GGLMAKFLWIAWEFGGTDDFTIVSRRMLMELGSTALEESFRALSQPTPPDITERIEAI RIQSSQALLNIFREMADNLIVVDERVRWCRHHTWMGHHRCESMILGSMTFCLTRAGLW PLPESQDIDYSLLELYEKLTTLVIHDIGKADTSNPEANHKECNPRPYLLEQVQKVMRE MPNPVSAFHIKHMEKQARRLFSIDNLNPPPPEPQQEPFISVDETVT
SAPIO_CDS10369	MDPITSLGVASTAIQIIDFGVRFLSQVKELSDSAKLDLDEFAPL RQEATQLRSLNGILIDAVRRRKELHESNPMKRRHSASARSRMTLRELVNLSDQSTYSP KLAWDEALTLQKWVTQQRSYMENLHARLLTAVQSSQHLVLRSLSQQKWDGLRSWDDSP VVQTLKTSLLENLEFDEIAERQRRIPCAFDDTFEWIFSDHNSAATDGERTWSSLSKWL VEGDGASIYWITGKAESGKSTLMKKLFQDPRTERLLAECGGSVPVVTANFFFWCSDGL DEFSGEPSKLIALLRRLAAYQNIKICVSSRPWMEFEDAFKKQPNLMMQHQTARDIAHY SRESLSKNPAFCEIATYDPKYAENLIQNIVTKASGVFLWVVLVVSSLLEGLAQGDRPS ELQMRLEKLPDELDELFTKMLHGMEGQNFRDASHIFRIVHAAAEKPSLLVLSIADDDN VGWALERDVVPLETGELWYNAVRMRRRLGRGSVVPTEIFDSGPQRHISASDITVDDGY LLAAPKVDYLHRTVKDFLLSSPVWDRIEAACDSGFDPHKGLFRAYLVHLKTLPEDKLH PVLFGKLISLCISESRHFAQTDTSLYVRYLDELDQAAVSLTSKKGNMGVTYINRFWKE GNHHWASTLSRSKPTDNFLTVAACCDLVEYLSAKFADNPPSRELASALRADVLTRSDM RSILEGRRRRGQNPCQQVLELLSQYDTTKGKGPLGSNPFHNSRESRGPQPPEFDPTDR MRRASIKGVYKGRRGRGQVSLAMSVRGLMPFSQTASTIPRPAIAEMFYYSEDPKTDLM 
SAPIO_CDS10370	MPDPGLYTIGWVCATAIARTAAKFILDEVHDDLDATLPNDTNSY LLGKVGVHNVVVCVLPSGEYGISTASSCATNMLRTFPNIRFGLMVGIGGGAPTPEDRN RDIRLGDIVVSSPFGGCSGVMQYDYGRAIQGRHFQTTLSLNNPPQFVRSAMEALKSEY MAGQYTLQDEIDRILANNPEATEDFERPDSSNDRLFESYVLHPGENWVACIDHCAKPE SNMKIRKKRPKFWEGKPRVFYGIIASANRVMKDANVRDKFAREKNVLCFEMEAAGLMN IFPFLIIRGISNYSDTHKNDDWKAYASLAAAVYAKDLLSHIPPQKVKAQENLSQLLND IKGRLEEEDKREILAWLTPIDYTSQHNDNLNRREGTIGEWVLNSQEYRTWKDGNNQTL FCPGIPGAGKTILCAMVINDLLASRHDDTSVAYIYFDREENDVQRREDLLASLLKQLA ARDIKLVQELYDHHQEMQTKPKAPELLRTLELVIQRYKQTFVILDALDECQTAEKTRY LFLRDLFEIQKRCGIKLLATSRFVVDIEEHIEGRSKLEICARPADIELFLAGPCQVHF 
SAPIO_CDS10371	MSLSSLNAAGNLTLKDISSRVKKSTRSNLDDLLVIGIDFGTTYS GVSWATVVDFENDQIKLITKWPGNGREEGKAPTELSYENGKVTWGYNIPAGAESVQWF KLLLLRDEDLKPEVRESEPLLRARKYLRRNKKSAIDLIADYLRGLWKHTLETISRNRG QTVLNALRFHVVITVPAIWKSYARRDMKAAAEKAGILDIRRAGPTTLDFAPEPEAAAL ATLAEPGRSVGTGDVYVICDAGGGTVDLISYEVRSLFPVELAEAVTGQGGLCGGIFID EAFEEICKDRLGRQWSKISPMGIKEIMKDSWEYGIKPQVGFNDNGTTYVVPIPHEAQQ GGSLDDDSKRPIIKFGRMHFTHSDVRPAFTETVNRIRSLVDSQIRGVKRKGLSMKNII LVGGLGCSPYLFECLQAAYAGQNIEVLQSEGIRPRTAICRGAVFKGFLDLPQTPGSAT DTMHLAHVKSPLSVISTVARQSIGMIYWAEFTKGVHSELDKIWDENEGIFRADNQTRW YLRKGENVSKKTPVKATWYYRYKNESEYTRLVGIRICQCDDEKPPSRVTSSVKSSYTI FVKHEIRFDSLPFYYAPKSGNMLRELKVEIEMVPSGASVEFKVYYAGKMLGSQKVEIH SG
SAPIO_CDS10372	MFKGNGSESDVDMEGQDARGIATRNVGSAGSAGPGPISRQGSVD HLHAETAEATEVPGERLNHHRGIAEPPDPASVADYEGLLIKFHSLNKDLDEERRLHRR TKVDLQHKEQEADEIFKDWKQATIELNKLRAQGQFRWAGAKAAVAIANLGQVLEPHSQ NVEAKCRYLRWKATTSALLDNDQIQGSDDSRRIEEMCKSLSPFSGSEVTHLVENLTRV VGEATDLDKAINKQAAEITWFSNCVGREFDPMWMEISPSSSHRDRGGYVRLVVAPGLK KRGTSTGEDFEIVNTLLKIEVVCEIGSMDSEGESLSSSIVYGKTFEDRKGEVLDATAH EVHGDKWQNHKPLGRSFFNHLLTG
SAPIO_CDS10373	MPPKAASTAAPKSKPSHGTYQDMITDAIVALKDRNGSSRQKLKQ YVRQNNNIAVSQNMFDSLFNKALKAGVEKGVFQQPKGPSGGTKLAKKTAKPAPKEKKA AAPKKPAAPKKEAAAKPAAPKKEAAAKPAAAAKKATTTKKEPAAKKAAAAPKKAAAPK KAAEKPAAAADKVKTGRVTKKPAAPKKAAAAKTGTAKAPKAAPKKAAAAKA
SAPIO_CDS10376	MTEFSNPINLYFYLPSERFILVAGESYPETLQDAAFIIVGASSR VAIPNKLHVLRRDKADGGFKPLLAVSVTKESHRLDISTHDETADAVPFDSIEAHVEDL AKKANTNETSKEIAVFHGLQAASTKAVNKVPFAWEEEYPGLDGPAKPVKYRANIFRFS RGGAIYTLEPLKPKATAKSPEPGPYLDPTSAPSIICKALVMYLYHSDWFPSAKGWKEK AVDPEGAKPSRMIPKLILLSAVGAAFSF
SAPIO_CDS10377	MTTLEEHVVKASERYSSTYKSAGFRSPPTKHFTFVTCMDARIDT HAAFGLDIGDAHVIRNAGGSARDALRSIVISQQMMGTKEVAIIKHTHCGMDGLPNEKV HATVLKNLGIEAIGELKSRNLIDFLPESDGIIQGIKDDVQLLKSSSLIADDIEVTGWL YDLDSGTTTRVI
SAPIO_CDS10378	MKLLPRAAALAAAFFSLPALAIFEETLVEFRPSSSSIDITTAPI VLSSDDWTGVHIAGGSLSTDLEAITELSRDVLSYSVDEAEEYLADLTSVILTGSADSA LIRSLVDAELLDISDIEGKWESFKTVVVDAPFPGVESALVIAGSDKRGTIFGIHTLAE QCGQSPFHWFSDVPPKKHAQVFALRKTTTQGEPSVKYRGLFINDEEPALNTWWAKYNN QTRYPLNTEFYAHVFDLLLRLKANYLWPAMWKSWTPPPGNIFFTDDPGNQQLADDYGI VISTAHHEPMQRATNEWDEAVMGPWDWSKNRDNITEFMEAGIERAGNNESYFTIGLRG LGDEAANTENAIEMLKDVFDVQRGIIEKYHGSPDAVNQVWALYKEVASYYDAGLDPPE DVTLLFPDDNWGNVYRLPTGDEASRKGGSGVYYHFEYVGLPRSYKWANTNNLAKVLKE LMHSYLRGADRIWIVNVGDIKPMEIPFAFAMDLAWNVSNFSFERIPTYLKLLATRDFG ADFADEIADILLEHSHLIGMRRFELVTSGTFSTLNYHEAERVLGRWKSLAERAKVVYE QLDTQTQPAFYQLVYHPVASGTIYYSVMVGVGTNYKYALERRNSANTVARQVLDDFDA SYDMVEEYDAMLGGKWANMMSQAVYDAAWQEPKSWAGPARDMLANLSFVQLRQDMQFS LGNLGIYAEGSTSPIEQGRWAESVDSSMPTTGYTALLPQMDRFGPRVRYIDLFARGDY RVPIDWELEEIPVDFISISPARGTLNRDKPDERLNVTIDWSLVPDDFDDTVNIGITST PSRYPYFDLIRIPVVNTQVPADFKGFPETAGMIAIEAPHFQRSSAITHKKKDEQDPTV NFSVFPHLGSRSESGSIGLRPFAEARQVDNAATSAWVEYDIYLFSDAEAVEATVYING CLDTDPRLRMQFSLTLGDAPANFTRVLGDYITNPYAGDIPPEWLDHVADQVWTKKVDL GGLEAGKHTLRWAANSPEVYLEKIVLNTRGGVKETYLGPPETRLVE
SAPIO_CDS10382	MADSTPSKSVYEGQQTSSSDATLPEHSMPEAETKSDAEGHASPL PEAIEGIHTGVSVSQAEADFAQLQRELSRISRVESTVTRRRTTDVEKADAAVPSSITD GSIEPFDLEATLRGGLDAEREAGIRPKHIGVYWDGLTVKGVAGQDNYVSTFIDGFLDS INVISPLARLFGLGKKGSEATLLDGFKGVCSPGEMVLVLGKPGSGCTTFLKAIANQRY GYTGVTGEVLYGPFTAKEFQQYRGEAVYNQEDDIHHPTLTVEQTLDFALDMKVPGKRP AGVSKAQFKEQVITMLLKMFNIEHTRRTVVGDHFIRGVSGGERKRVSIAEMMITNACI LSWDNSTRGLDSSTALDFVKSLRIQTDLYRTTTFVSLYQASENIYKVFDKVLVIDGGK QVYFGPATEARSYFEGLGFAPRPRQTTPDYLTGCTDEFERDYAKGYSPQNAPHSPKTL AEAFENSVYQRRLDDEMAQYKTNLEVESQKHEDFKVAVKESKRSSPKRSTYSTGFHIQ VAALVKRQFYLKLQDRMGLALSWLRSIVIAIVLGTLYLKLGTSSASAFSKGGVLFVAL LFNAFQAFSELASTMSGRAIVNKHRTYAFHRPSALWIAQILVDQAFSATQIMIFSIIV YFMTGLYRTAGAFFTFYLMILSGNIAMTLFFRIVGCASPDYDTAIRSTVFLITCFVIT SGYLIQYQSQHKWLRWIFWVNPLGLTFSAMMMNEFRSVKLTCSAESLIPSGPGYDDIA YQVCTLAGSVGGTAIVKGADYITKGFSYDPAYLWRNWGIVFSLIVFFLIMNVVLGEFI SYGMGGNTAKVFARPNAERTELNTALIKKRDEKRKGEAVEESSDLSIKSESILTWEEL NYDVPTPAGTRRLLNNVFGYVRPGELTALMGASGAGKTTLLDVLAARKNIGVISGSVL VDGVQPGKQFQRSTSYAEQLDLHDPTQTVREAFRFSADLRQPLETPQSEKYAYVEEII SLLEMEDIADSIIGTPERGLTVEQRKRVTIGVELSAKPELLLFLDEPTSGLDSQSAFN IVRFLKKLAAAGQAILCTIHQPNASLFENFDRLLLLQRGGRTVYFGDIGQDAYILRSY LKRHGAVAGKTDNVAEFMLEAIGAGSSPRVGSRDWADIWEDSPEFAQTKETIKQLKEE RVRATASAVKDTEKEYATPFMHQLKVVTTRMNKAFWRSPNYEFTRLLNHLIVALLTGL TYLNLDNSRSSLQYKVFVIFQVTVLPALIIGQVEVMYHVRRAIFFRESSSKMYSPFTF AASIVIAEVPYSILCAIIFFLPLYYIPGFQHESSRAGFQFFMILITEMFSITLGQVVA SLAPSAYMSVQFDPFIMITFALFCGVTIPKPQMPGFWKAWLYQLDPFTRLISGMVTTA LHKLPVVCKPHELNNFRAPDNMTCGEYMRNYFSNGGLGYLVNEDASDCQYCAFREGDQ FYETLDLSFGHRWRDLGIFLAFIGSNLIILSLASRYINYNKR
SAPIO_CDS10384	MAHSYEVGTRAWQPDPTEGWVASELTSKTINDTKATLIFQLENG ETRTLHVAVDALAAGSDPSLPPLMNPAMLEASDDLTNLSHLNEPAVLQAIRLRYAQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGKQRATQAPHLFAIAEEAFMDMLRSGK NQTIVVSGESGAGKTVSAKYIMRYFATREPPENPGTRFKKGSEAMSETEEQILATNPI MEAFGNAKTTRNDNSSRFGKYIEIMFDKQTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGASDKMRQELNILPVEQFDYLNQGNCPSIDGIDDKAEFEATRQSLKTVGVK DDQQVAIFQLLAGLLHLGNVKITASRTESVLSPTEPSLQQACALLGVNAAEFAKWIVK KQLTTRGEKIISNLSQAQAIVVRDSVAKFIYSSLFDWLVETINRSLATDEVLNRVSSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYLREQIDWTFIDFS DNQPCIDLIEGKMGILSLLDEESRLPMGTDDQFVTKLHHNYAADKHKFFKKPRFGKSA FTVCHYAIDVTYESEGFIEKNRDTVPDEHMNILRATSNTFLRQVLDAALAVREKDLAS STSNAVRPAGGRKIGVAVNRKPTLGGIFRSSLIELMNTINNTDVHYIRCIKPNEAKES WQFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVHSTQWTSEIREMA NAILTKALGGGSRQGSDKYQLGLTKIFFRAGMLAFLENLRTNRLNECAVLIQKNLKAK YYRRRYLWARESIIRCQSLARASAARNQARQLRTVKAATTIQRVWRGQKQRKEFVRIR NNVVLAQAAAKGYLRRKEIMETRIGNAALIIQRVWRSRRQMRAFRQYRKKVVLIQSLW RGRSARREYKKMREEARDLKQISYKLENKVVELTQTLGTMKTQNKTLLAQVDSYESQL KSWKARHNALEARSKELQAEANQAGIAVARLQAMEDEMKKLQQSFEESTSNIKRLQEE ERTLRQSLRDANIELDQAREEKLHVEDEKLSLRQQLTELRDQLEMAKRAPSVNGEVTN GVANFPSAASGLINFVSSKTPKRRSAGAEPREVDRFSAAYNPRPVSMAVASGTRGQNL PGATFIPGVDNIELELESLLADEEGLNEEVTMGLIRNLKIPSPNTNPPPSDKEVLFPS YLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDEAISPGAFWLSNVHEMLS FVFLAEDWYEAQKTDNYEYDRLLDIVKHDLESLEFNIYHTWMKVLKKKLQKMIIPAII ESQSLPGFVTNESNRFLGKLLQSNSTPAYNMDNLLSLLNGVFRAMKAYYLEDSIITQT ITELLHLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPAGTLQLEHLM VGPSQPGMLGLGAPVPGNGLHHDPEAKGRAKGR
SAPIO_CDS10385	MSATQARDIEGARRVSYSLSFSNSPTMSFHSPPAGSLGANLSGR ALKQLTPFNSQNIKILLLENVNQTGRDILTAQGYQVEALKTSLPEAELIEKIRDIHVI GIRSKTKLSQNVLREAKNLLVIGCFCIGTNQVDLDYAAKQGIAVFNSPFANSRSVAEL VIAEIIALARQLCDRSMEIHRGFWNKVSQNCWEIRGKTLGIVGYGHIGSQLSVLAEAM GMKVIYYDIVSLMAMGIARQVPTLDALLEEADFVTLHVPDLPETRNMISHAQFSKMKT GSYLINASRGTVVDIPALIEAMSSGKIAGAALDVYPNEPAANGEYFNNNLNQWGEQLR ALNNLILTPHIGGSTEEAQRAIGVEVGEALVRYINEGTTLGSVNLPEASLRSLTSDEP DHARVIYIHHNVPGVLRKVNAILGNHNVDKQISDSKGETAYLMADISDVKVEDLKEIS EQLDALSSRILTRILY
SAPIO_CDS10386	MAEPIPNKRPESGAAPTPQNTPANNAPISSHAQQPGVASIKEED LDRAAASIFAQDPRIIQMIQGRLGSLVGRSSGYIESLPPSVRRRVAGLKGIQTEHAKL EAEFQEEVLQLEKKYFAKFTPLYEKRASIVNGKAEPTDAEVEQGEKANDDEENADQSP KTTEKSAESSEVVSGIPEFWLSAMKNQISLAEMITDRDEAALKHLVDIRMEYLDKPGF RLIFEFSENDFFTNKTITKTYFYQTESGYGGDFIYDHAEGDKIDWKAGKDLTVRTESK KQRNKNTKQTRVVKKTVPTESFFNFFSPPIAPDDDDEETDPDIEIRLELDYQLGEDIK EKLIPRAIDWFTGEALQYEEMDEEDLEGIQYDDDDDDDEDDLSDDQDDEDDSDDEDDA GKPNKEAAECKQS
SAPIO_CDS10387	MATTLNQWGEINILEVEDRPYGQPLSTVFGPPGKAMSFDGDDDD DPLLPTLRMLNYRYVRLFFHPTQDMFVLFHGWKDPAWTDVWSARTGIDEEERSIRETV FGLNLIDIEQKSIPKLLVDEVFHPFYVFQIASLILWSLDEYYYYAACIFLMSVGSITT TLIETRATMRRLRDISRFECDVRVLRNGFWRYISSGDMVPGDVYEVSDPALAQFPADS VLLSGDCIVNESMLTGESVPVSKFPATNESLRQLDLAASTMTPAMVRHFLFCGTRIIR ARRPQEDRHGEAVALGLVVRTGFNTTKGALVRSMLFPKPSGFKFYRDSFRYISVMAVV AMFGFIASLINFIRLRIEWHEIIVRALDLVTIVVPPALPATLAIGTNFAISRLKRKQI FCISPQRVNVGGKLDIMCFDKTGTLTEDGLDVLGIRIVSRTENKFCETVSRPEHLREF ALERDPDQIASQTYRSALFTMATCHSLRSVDGELVGDPLDLKMFEFTGWNFEEGDQGT GEHDDEDQGGISPSIARPPQQPPLGTSDSGSDPRSALELGILKSFEFVSQLRRSSVIV RHFGQQSGDVYVKGAPECLKEICREETFPEDYDELLSYYTHQGYRVIGCATRHIRKLS WLKAQKMKREEAESDLEFIGFIVFENKLKPTTEAVLAEIGDANIATVMVTGDNILTAI SVARKSGLMDRSAHCFVPRFVEGTFQDPNARLQWESIDNHQYQLNSETLALCPLPPQV DASLPYDIINLRNYSLAVSGEVFRWVVDFAPPLVLQRLLVRGKVYARMSPDEKHELVE KLQSIGYSCGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRVFDIRCVPEIIRE GRASLVTSFSCFKYMSLYSAIQFTTVSFLYAAASNLGDFQFLFIDLFLILPIAIFMSW GGPHPVLWPFLVTVFTTLLVTLYMIISPAAPVKRLMQLTKTRWDFQLFTIFLGALYVL LAWVSDRILFPRLARLFGALKLRITKTPKKRKEYKVILEAIPS
SAPIO_CDS10388	MGIRRNCRGRLNSIASASLSVATERKWAYINKLHSRPIAVETEA ANKQHYEVGTGVLTACLGPRMKYSCCLYPKGTETLAEAEVAMLNSYVEKAELKDGMSI LDLGCGWGSCALYFSEIFPGSKITAISNSRTQKEYIDSKAKELGLTNLNVITGNIVDY EFESESFDRVVSIELFEHMKNYEQLMAKISRALKPEGKLFVHIFAHRSTAYDYEEGWM STHFFTGGTMPSADLLLYFQRDLKIQHQWERRLENLLWFYDAFECSDVEVSEVKEAQE LANTPSPDYTATPLESDLFEWHFTFKGPPNSVYGEGIYHGRIILPPAYPLRPPSFRFM TPSGRFETNREICLSISGHHEETWQPAWGVRTALVALRSFMETDPKGQLGGLDTTDEV RKRLATESLSYLCPACGKSNADIIRECSEQSEANPDTSAGNKEIDVPSDLRMSWKDEL APGPGTQTQRDQSTAQPIITDHRPDASVDSTEQIKPAEQATSPRTQSSAPGPALSTRT AQSQPQPQPQPQNVGVPRWLDQLIFFLFVVLAAAILHRLLSSTTTTI
SAPIO_CDS10389	MANSRGPEHARKARKLGVVSGVYIPVCLSILSILMFLRFGLILG QIGLIGILGLLITAYIVDFITALSLSAIASNGEVKGGGAYYLISRSLGPEFGGSIGLL FYLSQVLNTALNVVGLIDCIKLNIGAAFPQGYWQGYALQTLVLVACTSLCMAGSAIFA RASNVLTVVLFVAIFSIPASAVLLQPFRDENLGIEFTGLSLQTLRSNLMPRLGKESFK GLSAFSFNLSIYRDLFGILFPATSGIFAGASMSGDLRNPSQAIPRGTLWAMLTTWIAY LVVALSMAASTTHESFLRNANIIAETNLSPLIIFAGECAVTVFSALMGVIGSAKLLQA LSRDRLLPGLDVFGRGTSQGDEPILAMLLTYAIAQFALLADLNQIATFISMGYQMTFF VMNLACFLLKVGSAPNFRPGFKFFSWQTALAGSFMSAAAMFFTDETYAAAAVCLVIFL FSLIHYLCPPKRWGDVSQNLIYHQVRKYLLRLKPEHIKFWRPQIILLVNNPRHQTRLI QLCNSMKKGSLYILGHVIVTDDFNTGVHEAKLQQHAWASFISEIPRLKAFVQLSMSPS ITWGIRNLILSAGLGGMRPNIAVLGFYNMDELRRSRPLVPVPSAPTSPTSKAKRPGAK AVNRRRGDTAARLLEGFLPTDVIRREDMISVTDYMTILEDLALRYRLNVAVGKGCEKL ELPRHDGSNTKKYIDLWPIQMSAEIVSDGKSILTTNFDTYTLILQLGYILNSVPAWRK AFKLRVLVFVEYENEVAEEVMRVKALLDKLRIEAVTRVFWLASGELHTYETIVNGRSG DVDSEAMVNDILRDEDWWEELRYLRGRRDSMTASQEMDSLAAILDAPMGRPSTPNPRI DDIDLKKRRASMADLRDLRSKPSVSKLARLGVEVGIHTHHLGDDVFDDQIDRLDHLDS DGENSDIDPSHELSDSDLENSSPDAASDEEEEEATDPSRRPLLGSILRRRSFGDALRD HDSPKRRRYGPPSQRRELMSNTGEASSVSYGTLVAAAPPGQPSPYGSSSSRPPEGKAP TGGLESQDKLPPSSQSGGDKPPDPDKQSPASLQGVAEGVQGRPKQLPTERTRVRSPDR HPRLPRAVSDRPPTLVRGLDGDTLTDRRSQAGESSSSAHAPATLSRQSSAMRFTSKPL PETKTDVEGHSGPTIMFADPAPPSAPLFTERPSLSRQSSTGRFSSRLAMEPRLPKMPG EVDNSRVSFSEPLHHSRRGTARSELSLDQRINIPGLLDSYRSETTPDKNDDGSSYSTQ SLSLSFNDLPSRAQHLILNELMRKNSADTAILFTTLPIPKDGTCLDENMTLKYLSDVE VLCNDLPPVLLILSNNMTVTVGL
SAPIO_CDS10390	MKFTLLVCSLLSLLVSEAAATALTYKLGSSEKACFYAVTKKEAE KIAFYFAVQSGGSFDVDYIVTGPGGKVILSGEKERQGDFVFTANYPGDYEFCFSNEMS TFADKFVDFEISVENEARAELPSKQGTSPEHTSLLEESVYKISSQLSTISRNQKYFRT RENRNFSTVRSTEQRIVNFSLVQCCLIVCMGALQVFIVRFFFQVAGVGWRVKQILGRA ARLANYFAMPTDSALEGTTTSLTRIAFGDEKCTCSATYFSESEMTSIADSLAASLPKP KYTGEEEEVPIRAQQRGPRIVGPGQLDESQIVLRQSGPPPYGQRKGWRPRNPEDFGDG GAFPEIPVAQYPLEMGKKSATTSNALTVQVDSEGKVKYDAIARQGHSDKRIIHTSFKD LIPLRQRAEAGEIDLSRPNQEEVEAIAERTKNALAALVNSAVAAQKPKTVNTGQRQTT FVKYTPASQFGDNSKKQDRIMKIVERQRDPMEPPKFKHKKIPRGPPSPPPPVMHSPPR KLTAEDQEMWRIPPPVSNWKNPKGFTVPLDKRLAADGRGLQEVAINDKFAQFSEALFM ADRHAREEVRQRSLMQQRLAEKEKAQKEENLRMLAAKAREEGTGARPSRRSRSVSVSG SESYSESESASDDSGRRARDEARRERQQEEKRKLRQSRMGAERRIQVMAREQNRDISE KIALGLAKPTQTKESMYDSRLFNQSSGFHAGFNEDNPYDKPLFAAQDAISSIYRPRAN MEEEDEEAGDKEMERIQKSARFGEVLGRGKFKGAEEAEAREGPVQFEKETTDPFNVDK FLSEVEQSSVQKRGYGLQEGESQRKPKRTRVDDDDDGSGD
SAPIO_CDS10391	MSFQVAIAGATSTALRILSYLFLRWFPGPGLIIKGAVPVTVFTL FALYVPTFISSYSSELQYEIVEDEIDIVVKTSASSPEEEDDDDIAAASNEAEATIEEV DVQETVVARRRSSQPLRTLLMGIPSPTSTSLSLATFLINLGLVLMSADFLLSSRLYYP SNDLSFVRLGYVSYNEANFLIREPDEAKLPVALDIRIKDPQAPFDNTRWTRGGNVLRI TNDTDYTVPLTVPLGHSHQRVYEWRTSNNHSGEFLVPPRPGQTSEYHGGNFTFLATSC ILPRFPYTPSNPPLAIPGLRYLAEILPSLGAQFMLFMGDFIYIDVPLRFGKTKEDYLQ KYRQVYASPDWHPVSQNLSWIHVLDDHEIENDWSANTTGIYHTAFEPWQIYNNAVNPP RAKISGSGPSRESATWFEFTQGPASFFLLDTRSYRSSNDAPATSTEKTMLGQEQLDDF LSWLRRPEPRGVKWKIIASSVPFTKNWPVNSKDTWGGFLSERSVILEAMWDAGTRGMG VVIVSGDRHEFAATKFPPPRDSKWSASVAPYEFSASPLNQFAFPLPTYKQTDEEDVML EYINRGSSKFGSLTMSNVAAEEDQASLHYRLFIDGQERWNITVKTPPTPRRKNEKSTG SFWDFLKGYGA
SAPIO_CDS10392	MASPLRTLRVAGGLRRSLVHRPLRTISTTSGPRKVAISASGIEI TSGSSPEPKRVTLPSIWLRAEEDVSYRYWGAEIAQDPPTVEYAEVMEESGGEKGVGTL TKLIREYGFAFVANCPHTPEATEKLLERIAFVRVTHYGGFYDFIPDLALADTAYTNLA LPAHTDTTYFTDPAGLQSFHLLSHILPSSASGKGEELGGQSLLVDGIHAANILRKEDP GAFDILTKVRLPWHASGNQGITIAPDRRYPVFELDEETGEVHRVRWNNDDRGVVPFDG GVSPTDWYDAARKWYSILTRPDIEYWTQLRPGQPLIFDNWRVLHGRSAFEGVRRICGG YINRDDFISRWRNTNFPREKVLSQVIG
SAPIO_CDS10393	MDADAPAAPSAPITQDEKRTMQVDNAIRAIQQKKPVPEIDFTIH TMEDGTQVSTLERVCKDVQAPATTKPSDEQFFQDESRTKPNIAFLKQHFYREGRLTEE QALWILQRGTEILREEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLG DYVDRGYFSIECVLYLWSLKIHYPKTLWLLRGNHECRHLTDYFTFKLECKHKYSEAIY DACMESFCCLPLAAVMNKQFLCIHGGLSPELHTLDDLRHVDRFKEPPTQGLMCDILWA DPLEDFGQEKTSDYFLHNHVRGCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMY RKTRTTGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDV FTWSLPFVGEKITDMLIAILSTCSEDELNSTEETPTSTSPGPVSPPLPSSDPDSIEFK RRAIKNKILAIGRLSRVFQVLREESERVTELKTVSGGRLPAGTLMLGAEGIKNAISSF EDARKVDLQNERLPPTHEEVVRHQEEERSAALQKAAHDAENDKKLHQLSRRLSTDRKS RSL
SAPIO_CDS10394	MRGKHLESSIEGLILRIACAGYKGCSVEEFLATLISFSRDSQGP GRKKAADQPTDADASSNQLPTISRVTEGNRSIWRWVTARPDVSVGENRQWNHLTLDEL LSIPEDGSQPLPTESSGLLSPVLQSSAEERAPSAELPLVRPRIYVTESRLWGCLTGHG IDYQKVTRFEWLALVGIASVMEDGILQGDLTRLVGQDKRSLPKRTDALARKGYIAKRP ILARGCKTSKLWLKPFAPTVQIKKHSTETIAEICNTDFPRDAIVGDLEPVPWRHHWSG NTIDYATLGRSIMGVVKEFGVIRYQDLRMKLGVAGHPWQMKVVTRTCRFFIELGLLQY VTASMGNRIFRDCIKFKRDITPEDLAAFISGGGSAFKVGNNHEPKKLSAKMPKDDAIG SNPTERWCPDKPLTTGVLEVILGSGEKGITNKGLAFNTIGVFFERHVAAISTAVSLKN LQPDHLRQFRARKEFCRSGRFAFYKFFPDEKPPRASPYGTQSSETIYGFGPSRLGRPS PNSEPHTRSARAAVSGRKRPFHFDAVSDGKGKKKRKTGMAVSDTVSIENEQIVPARKR GRPRKEPASESSRKPKRKVPSASNGKPFTCEKCGGSWKNDVGLKYHQRKSRTPCNPDF VAKPLPRVNRVSHRGHSRKVPGATGRAVESKALRNFRNWKGDSTIQVRPFTPEQPPTT GPSPVRDDSSNNTQISNDFGSLMAAQEPFIGGLIDDCLVQTRDSAIDLSHHPDRPSPS SKKRNQGTRKTRGPCLSPSRKGEVLLQRAAQDSSTLALGNPTQTPERSSVHPEPENTE TQSSVTPPVTANGISSAKTPGIASQGADDLQTKLRSVPAFEPSPALLDTALIGDARDV IRAKEIIKYLIKNNDGAFPSRISLWHAILKVWHKTFTGDNIPTYTISQRAVKELVKKK EAVENTFAFRNSAGTISDCYLLVEAGIDPNLPRFQDLKARMKESHPRPYIPPEFSHAS TDAPTDDETGGPQWGSGRRKHVMSVEVLDAPVYMTQAAQRRSSLREGSKATRRAGRAF KVTPASAWSQMTREWRHANGYKQIRFLKPNTHLGEEGSTGAVDPRSLAIPPQFASPSD GPSQNSKDDFEGSTDGYPDIRFTECVEIEQANSEWPTADNDFFESEGCSFTLVGPMPD REAVAQHMRQPMAYSSLGKTYGSMYGSFLAQEQPDYLFARPVGPSTTRATPARGRPRI RRDRLLPRPRLKERILTGIEDESEIHQNNLLVESVRIDGFTVEEALTVAFIAVRTLLG GSSKAIDWGLLVRLFPGQSLNDLRRFWIKLSKDRVHFLTSFTEKFQDAFLKAYENGEL PPLDYDNIPGYDWQSLILWAIELRRTNFVKLPIKKSGSGERAMTRGFTLDDQPTQPRH WHERFYHQQASIFSRFELSTSEAAALEAFSRKQDSPSNMEPTPAQVAMSWVRALSTTP PEKCPPELVKSKLATLAASRDEVNAILDEAVTSLNTRRIITKRIRSKPYMLSRPFQSN LAKFGQEKKLRAASEFKAVLDDTFRRGDPFKIPFLTEDGANMALINLYACGRLSITGT NVPDIPLGFKPGNYESRKMPKSHLLFGLVATPSNRYLFDEDIDILKLAKDTLPPVDAP SKLTPFWCDFFGEINFAMWTKLLGLTKAYLEEFDLRLLVDWGKEIGLFAEDPKSGGIT VTEWWWLVVGRQTVWPEPTEEKPQGPPKEKAPRPRGRPRKKKAAETEAVAVTAEVQPA QQVS
SAPIO_CDS10395	MPHKHTRKGTDPTDYDLPPTRVARPLAPLSSRKRAESSSAASSA PASSGTKRKRGHSAAGKDDTPRAFKRMMAFASGKRIHSGLDDGAPKSVKKPSSSAKKT KEVNEAKKTEEQVPAPSIRPGESLSDFSARVDAAIPVSGLITKTTKGGKDPLGLKVYR TLKEKKMHKLYDQWRAEERKVQERREEELELVAEREMENGSLLMTSGIDFMNSLDGGS KGGKRKNKRKRGKKAQKEEDPWEELRRKRGENKPRLRDVAQAPPVLPKVSAKLTAQI
SAPIO_CDS10396	MSARILLRQAHRLAAQPGLVRPAPWRRIGRANVTAYHNAVLVRN ASFARFLPKLAAKFIRVPAMFGGVAVGALAWVHYQTIQAGNYAVNIFNNTREVVSDTA SGIFDSARDIAKQTALGFERTRDGAKETWNGWMQDILKPSPEGSSNGGQSGGGGPPKQ SRAGAATAAATALAYDQASQDDTADQTVERDDQMMALTKEMIEIRNILQRIGQSSTLT LPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRRPIELTLVNTPDSVVDYGEFPD LGLRKITDFSSVQRTLTELNQAIPDSVCVSDDPIRLSIYSPNVPDLSLIDLPGYIQVV GENQPLELKQKISELCDKYIKAPNVILAVSAADVDLANSTALRASRRVDPRGERTIGV VTKMDLVDPSRGSSILKDKQYPLRLGYVGVVSKAPVQTVGLFKKGPRNLMTAIAQNEE AFFSSHMEEFGPRTGVSTGTTVLRKKLINVLEQTMSASLRTTGEAIERELKDTTYKFK SQFNDRPISAETYLSECLDDFKHSFRKFKDSFGLPQMRDLLKNELDQKILDLLAERYW NKPIRDLSPASPEPLESSLADLPRAAVDSPYWTRQLEASSSSLTECGVGHLSTVALKE ALESHFIGLVDESRFKDNPLARRAIVEAASSILNERFYPTVDGVEHAIKPYKFRLNID DHEWKEGREHSAVLLQKELKLCQSALKDVEASVGGWRKLKDVTSFVDKARRGEVIVDG DSENRTGAGGFSADLLAEGRKAVFLRDRSDILNMRLKAVKSKQCADLKNKMYCPEVFL DAVARKLTATSVLFLDVELLSEFYGNMSHALDLNIGRKLSDEEVERFAMRDPKVRRHL EMMRRKELLEQALEKMNNLRRRESRELDRRSRFSFK
SAPIO_CDS10397	MAGDDTINDAEPQAPRNPFNFQTQVLSTSPVKSNVGQRRGHRYK HSSISAQHQIFQEPPPRPPPILPASLPVPTVKEAWRSMHHDQRVRLYWCLCHLVVAVF VFFRSEGSLALTALSHLVFFDAGSAAICVAVDVLGNFEVWKRSSIRHPFGLERAEVLA GFALSIFLLFGGFDLVSHGVRHSLESGSSHESHHHQLRHDNAHGVVSPRNLSWAATLS IVGTAISAYGLRNHARIAKVMRVSYLASMPRILSSPFHVLTLSFSLLLLVLSLLGINY SSGLDHLICAVIAISMFALGLRLAISQGLMLLMSYGGNKSVGDVVQEIESDPGISHVE EAQFWQVHYGLGMANLKIRVPKGSDEGTLSRLRNRIASLIQSRLGEGYGQGGSLRWDV TIQMTTDINV
SAPIO_CDS10400	MVTKSILKLALADEKGTDFAKIKEKRRLKALRKAKEQKKAAKEK AAKVSAEPGDGSDDEEWEDEEEEENSENERRLDIEELDDSDSSDSEVEMEERLPRPPK KQIQTPAKSKTSSKNAKVTAGDSDDDEDEEEEDEDDIPVSDLEDLEEEEKEDLIPHTR LTINNTAALLTSLNRIRIPTDSSAPFATHQSITSSTRTADDIPNVSDDLQRELQFYKQ SRDAVLKARTLLRKEGVPFSRPNDYFAEMVKSDEHVEKIRQKLIEEATAKKASAEARK LRDLKKFGKQVQIAKLQERQKAKKDSLEKIKALKRKRQESGNAGTHEADDLFDVAVDN ELRSNDSKRFKSAGKGGRSDDRVPNPKRQKKNEKYGFGGKKRHAKSGDAFSSADLSGF SVKKNKAGFKAKRPGKARRKAITSRN
SAPIO_CDS10401	MAPFAPSKPQGTNGASASTRNTVKAKPQNQEALNENPNFSNAAF GTCTILDVDSTHFLTADPKEKKVFYSTSHLLSSTT
SAPIO_CDS10402	MELHHHQGTLSQRSSFESTVYDDSCAQASKLESGRSLLRGFPSD TGESRTRGSFESDELLKVKSEFYEEDDDVLIIYGRRVGRGTVLCGVLLIFSVLLFSVV GIPTFIARLIYADSSYIEKPNPISCHSHNDYWRKEPVFSALKTGCIGIEADVWLVEGD LWIAHEWSDLRRGVTFTSLYVEPLVKLLEQRNSYSLEHGWTHNGVYETAPNQTLALVI DLKSESRDTWPVVQAQLQPLRERGWLTHVTDGKVIYRPITVVGSGNTDFSTLRSNSTF RDSFFDAPLNALADSEYDATNSYYASVSFTEHLGISLTGFLTQGQIERLRRSVREAHA RGLKARYWGAPAWALSVRERVWKVLSDEGVDIVNVDDLQSFRDWTTARAELQDE
SAPIO_CDS10403	MWHKSLKTKSNNTRETLNKDPELDSHPPIAMCRFLVYKGSDEIL LSKLILDPSHSILKQSFDSRLRLDTRRGQNNADGFGIGFYTDPKLGAAPCLFTSTIPA WNCTNLHRIASKTASRLIFAHVRATTEGTLSEDNCHPFYHASLMWMHNGGLGGWKHIK RRLGQRLADKWYLGVVGGTDSEWAFALFLDTLERMGHDPSSKSEHGFGPTVLRKAMLK TIEIINELTDSIPRDVIDSENVDTRSLLNFAVTDGHSVICTRYISSSTDEAASLYYSS GTQWEEKPSVVPASGQNFYMERRDKGADIVLVASEPLTFERENWVNVPTNSILTIHKQ TVMVHPIIDNYYSRSPNHFRSSAFVQTKGLIANEKASTRGPICPIPDLQNGHAKKPMG ITLPLRDGANGSPPERLLSPLSPGRGFRVNGRLASMEHS
SAPIO_CDS10405	MSKRPAEDLRAASEDAGSLSAGSKARFQRNAIKDPETGDLYLGP DQFIDAVAPVDEDYHKVKRDQYSILFHVADRRGTGKVTLSDWTAFENVLSKPDAEYEI AFRLFDINRTGSVNYADFKKLYQMNKGPESIPFDWNCEWAKLYTGMKKTRQELDYNQF SQMLRGLQGERIRQAFQLFDKDGDGFIDPEHFERIILETAKHKLSDHVIQNLGSLCNI SKGSKISYANVRAFQNMIKEMDLVELIVRKACAKSADGKITRTEFLNQAAKITRFSLF TPMEADILFHFASLNEPSGRLGLTDFAKVLDPSWRHYESDESIHKPQPKRSSILHSIL SSTYSFALGSVAGAFGAFMVYPIDLVKTRMQNQRGAIPGQRLYNNSLDCFQKVIRNEG VLGLYSGVLPQLVGVAPEKAIKLTVNDLVRGWFTRSDGNIWWMHEVIAGGAAGGCQVS LGEWLLFVPEVDPANRVFTNPLEIVKIRLQVQGEMSKAVDGPPKRSAMWIVKNLGLKG LYKGASACLLRDVPFSAIYFPAYNHLKKDLFGESPTKKLGVLELLTSGAIAGMPAAYL TTPCDVIKTRLQVEARKGESHYHGLRHCASTILKEEGFKAFFKGGPARILRSSPQFGF TLAAYEVLQNAFPMPGQAKEVQSISTAASTLKEKFDPTPFGRSRNALKIILDLDEDFG KLKFPNQGSWTALPRSLGGRS
SAPIO_CDS10406	MDARPQRLRVFPSFGNENVPPAATASSAHIREKSLGGASAMVGG LKTAAKRTAFADLSNTAATQPLATQCGQKKGTKNEGVACDVAVAGGKDAFRRPAQRPL KPLAGVGNQAKVPAAVQVAQPAAKVVAPKKAAVVIYEDSQSSSTEHANPPPAVSTVTN QYKIRQYKSHPQLKLEAPVLRRTQSRLLGAQSGSQVDVLPILADLTEALYEDAVEHLV DPDTQAPAAPEMEDVALPVDSGASAGVLLPVAADDILAEYQEEKVTSATLMSEPEEYW EEEEEEMYDDQGYTTAHSFRSRGDLTTGGVTTLPFPKTTNKVLRELEAAKAFVESTRP QYDVDEDVWDVSMVAEYGEEIFEYMRELEVRLLPNAHYMDIQTEIQWSMRSVLMDWLV QVHNRFNLLPETLFLAVNFIDRFLSYKVVSLGKLQLVGATAILVAAKYEEINCPSLQE IVYMVDQGYTIGEVMKAERFMLSMLGFELGWPGPMSFLRRISKADDYDLETRTLAKYF IEVTIMDERFVGSPCSFIAAGAHCLSRLMLRKGDWSPAHVHYSGYTWSQLKPLLNMLL DCCQVPLQHHAAIFEKYSDKRFKYCSEFVQDEIKAGFELPSHQPSILPYSTVQYRDTF DGTSGVAGSDFMYPSVQQAAEA
SAPIO_CDS10407	MASVSPTSTSNGYAAHGSMSPRQSATPKNVAFELLFTESPQYRA RLPMRVQIYPHDTTDSIVTTVKNFYGLYSSPTSSKGVSFEDEQGNTLIARYENFRNNM VVYVRVIEEPTQNPAAFGPHPYPPAATGAQTYYNNDGIPPQAAQRLEQDISRPNSRTS RRRSRSPGSARGRRSDSASTNPATGKTGRSRSGKSRNPSSQHGADGYDSHNGYSSGDG APGSTSGKAKDQIGNTEISVENIVEGGRRKRAKFESSELPLFAPPQMPAATSNPSISP ARRMDQHRSSLSYAPGAPNPFTNPRPLQSPQSYSNGFGHGLYTTPDGHRSRGSIGYSA NGIGITNGMGGILPTPDPTVGSCVSEEDKDVAIQLMRLGEMSNISHGRTSASTLDDTF SGRADVASSTGATSDGESDSEDDLPPSRRQKLNSGVSRPAFGLPERAFPHGPEAVQPA ASEIQPGEVAIGNAMLAPPRTQNLQGASKPKSAPVAKATKTTKQTKGGSRTTAASKTK KASAPSTLPMSPASLPPSRKQSISSGSNIPQPSIEEEQPDLSTKPRCQRCRKSKKGCD RQRPCGRCRDAGLSADQCISEDEGNGRKGRYGRHMGVPIKKEDMPAPPQPSLLPAAPI MASTAPATAAALDKSKKRKR
SAPIO_CDS10408	MSAVERELNERHDDYPEKKVAGADNLPAEESEESLPATPIADDD VDYPDGGLVAWLQVLSSHLMNCLAWGYASTFGVYQLYYTETMQLPRSQISWIGSIQIA ITFAICTVSGRLSDAGYARTTVVAGSALAVFGTAMTSLAKTYWQILLAQGVCTGIGLG LLFMPGIATVSSYFFKKRAFALAVAATGTGTGSVIFPATVQYLIPQIGFPWAVRCASL VALVVCALSVVLLKPRLRPRKSGPLVEWEAFKEPPYVLFTLGTFFLFWALYFGFFYIN AYARAEIGFTSTKAVELLLITNAMSIPARPVVGYVADNVLGPINTVIVAMFVLSCMFF AWIGVTTKTGIYVFCVFFGLSNGAAQGVFGGALTSLTADPRKMGTRFGMVATLLGFAA LAGPPTAGAIIDRSDGKYLGAQLWGAIVILAGAATVGASRIAITGWKLKAKV
SAPIO_CDS10409	MAESLIFKRHSYASDHELQSLATWEFPLPTADPSAYWLIFIHGG AWRDPTNTYQDFLPTINALLSAQSVPPAAIRGFASIDYRLSAHPNHPQDPATPPDKFR SAKHPDHINDVRLALQYLQSKYDIRDRYILIGHSVGATLAFQLLMGQLTTALSSPQIA EPLPAAIVGVAGIYEFYDFVYRHSAPYVTMVEGALGPDHSKWNDDAPLKASYAGLESG GPKVLLAYSPEDSLVDGDRETTAMADHLRADGIDTDVIFIKGEHDFSWQDGKQIATLI AEMHRKLTES
SAPIO_CDS10410	MARATASVQSALLYKGAIPGSPSKMLAQSSSLAADSAIYDLEDS MALVTKHIAEEALHPTDERRVPKNQVSMRHKRRGSSQA
SAPIO_CDS10411	MAGVDVNEYNGGVLVAVSIAFLTLSSVSVLLRIYVRQFMTNSFQ LDDWFMVLGQDAEIDSLMWQALATATYILDMMFIKLSIAIFLVRLAVQKVYKYILWVS LVVVTIWSIVIFFWNMFQCAPVAAQWDYTIPNGKCVNAEQIVSAAYSISVMTILTDWL FALLPIPMLWKVKMTKQTKLTVIFILGLGIFASIATLIRLKFLANLTDTEDILFAGTD AMMWTLIEPGVAIIAASLATTRPLLRAMRLKGFESTGRTGKSADVRSGVSNRSRQHTI DDIESRIANSKHADRIEMRPLPGHDNFSPTRDRFGSAVDMPNMPGIAITTDQTERLGQ DSRTRRATSEMFVIEGERTKWTHEDSRLSTATPYSDEGASTRTSSMDIIGMNPTGQHG PLSHSTGGR
SAPIO_CDS10413	MVRGAPSGGRGRPRGRGRAAAASANTRASTSTPTAPDPTSASPS SSATPIPRPATTASATLPESSSSSSTIQTQPQASTSTNTRGASKTAGSSLRLRPKVVR RNEEEREKLAQQEAKKDSERAAEERRLRGRLRFRGRRGRGSRFNTTGPRISKAEPSAL FAGQQGVPGSSRGGFGFGGGQAGFFPRGGGRGRGRGYNFESIERPWEMRINTDKLGSS TPSSQDQENAEEEEMTFMAVNSQPGPKPLGIARREVKEPETVVVTTAELEAQMKEEDD ENLYVGDSPESPPAMPQADDKVWSAAPEDHVQVKTEDGELRSIIPPPGDIDFDSMRKV PLPQHSGDTGSEATGDESKPISMTDSDGAKPSRKTKKSGAPLTDEEEWRQESYQYVLR TLAAASLRDSDAEDGAEDEEAANALNDPQPFLCKFGPIMPPLRPKVRPGPQIKDEPTD TVMLDQPSVDLTGDTTKASDENANADADIDESNPFKYGGFVGKLIIRKSGKAELDYGG IKYRMSRGIKSSGVTSYMLIEEDEAKKVGQDQYAGRAIAMGTLLGKFNFGPIYEEQEE WVVDEEDLKAPAEDDA
SAPIO_CDS10415	MNSNASPSQSPGPESSRRRSGRVVKAPQNFLADAAAAQYSAAKR KRGIDQEGDDVENEAPVASDEEMSDDQDDDASDDVDTENDDDHSPPSRRKTAKNKKAS KPSRPRKPANKRVKTNGASTTIAGHPSSLPSRPKKTVRIERLPEDGTGLFAEIFGSGD SSDSVAEQWLTRYRADECAAVTELVNCVLQCSGCDLSVTEDDIRDPDNCQNRIADIQS VWQDQQITDYPLISKAKSTKSFRDLLNGFFTSLVNLLHTTDVLYNDESLMDNIHRWVA TMSSSTLRPFRHTATTIALALQAGLVEVAAILDHRITSVEQQLQASKRGKNKAKTQAM QQALDEANNYREICGNYIRDFFDTVFVHRYRDIDPKIRTECVEALGYWIWELPTVFME PEYLRYLGWMLTDAMHSTRHEVLKQLTRMFKRDASQLGHFIDRFRPRLVEIATKDADV SVRVSAISVIETLRENGMLEPDEIDSVGKLIFDSDLRVRKAVVGFFTACVQDLVDAKV EEVGGSDAVEEAFGSVEVDDYETPRQEWIHIKCLAENLAAYDAQIEASGQQDPPAPLD ISATLLQAAVPDTRISLAAQVLYEKMAEVKDWEAVAGYLLYDHTTSAKSRSKRGKASV EEAVKAAVAPTEEEEAILLEIMAAAAKLSLHPTGHHDHTKKRTKADLAEDAEDTAVQL ATTIPRLLNKFGADPDTATLVLRLEHVLDLDLFPSLRQDSNKYEKLLDEISTQFNRHH DRRVLAEATKALLHARQYDELEELTDGRLAALWENVVEALRNFDKYCELSSRGNLDVD RLTELGTVLAKISKLASISDCIDVLETEGRSADSSASVINLLVEIVHRGKFDQSDPDF DEAEDQVVGFAIEGCQFYFMWKIRSILRLIGSGSQVPTKDMEALSYLKEKYRRNLIVS FTTRTAVDELCRSATGSFCDFHVLLSQLRPVVENTTTEAWKAQNLDRVAGLVSDIEPS LVKELTAIFESVEKHYAKLTKRVLNEPAEDEDPIDFEDEDEDDEDDRLSPAERLSAQL QAEKHLCELTGKLVLAISAKVLDHTPGQRLKRRLERNATRLGNNYKEIVAYLDEAKVA ALLSGKKKGGDRQKKAAPATNATNAAAPRGKPSPKSAEMVVDDDDDDEEENEEDILAA PEDDEEEEAEQEESEPEAPPQDDADDESILGD
SAPIO_CDS10416	MTSSSGLPSSSTLVLPRQIIPICDILDEKVDPQQLVNVIGLVRD FRPPVETRGADWKASILLFDLSTQHGDDDFIFTIFRPRDLMPDVGAGDVVYVQSARVQ RHGMNSLSILTNRSTRIHVFRASLIPKPPGCPSRALRTSNNTLPSQDVLNYVSALYYT IDKGRVPDVASFQEMAKQAVNVKRKYEQLKDLRPGNFYDLIVRVVRRPYDLGDSITIW VTDYTENPAFYHFSEEGSEGSVYGEPMGYANTAYPATQESAGAGPVGKMSMQITCWEP HATVLRTKAKAGSWVSLRNVQVKYGRNNANLEGYLREDRRSHSKVGAEVLDHLADGDT MDQRLKNAIRRKYVYERNKNRQPGKNAANQKFKKRRAEDDESTARLSCKVRRVMERAN KMVKERESQEVLDLNPDVSCENVDVPISTILSMVKTVYYNLSLDGVDSPMRIPIPFNN AKYRVIARVTDFFPQKLEDFSYSRKVTEYDALSGISSNDDDEDSDAHGTPDGKRRWEW RFALQLEDASPQARSKPERAWVYVSNADAECLTDLNASK
SAPIO_CDS10417	MADRYIPEHRRTQFKAKGAFKPEELRRRREEQQVEIRKSKREEN LAKRRGIGTGERLGASLGAAPDSDDETAPTESQLNEDLPQMVAGVFSNEIDQQIKATT KFRKLLSKERNPPIEEVIKTGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSATQTQV VIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPHCRDYVLTCGALKPLLALLGD SRKLSMLRNATWTLSNFCRGKAPQPDWATISPALPVLAKLVYSLDDEVLIDACWAISY LSDGSNDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINCG ALPCLLALLSSNKDGIRKEACWTISNITAGNSSQIQAVIEANIVPPLIHLLQNGDLKT RKEACWAISNATSGGLQKPEQIRYLVSQGCIRPLCDLLACPDNKIIQVALDGLENILK VGDLDKQASGGPEAINRYALFIEECGGMEKIHECQNNANEEIYMKAYNIIEKYFSDED EGADEMGQAGQNGGTFGFGTNGGAAGGQTGAFNFANGADSMDM
SAPIO_CDS10418	MVEVADTEKHVTFDDDDDDNQSLSSISSEDHEHGELEVTFDAGK THRRKSSIDVLHVAMPAWKQPKQQTSCIVHHLLQGQQRDLMSASSLLDEEAEPSIRQP SSSTASQSAFDHHLAPVQEVPSPPESEEAATLTPQMTRYGRVKPRALSTGNIGQPDEM AWANQTGHTVISCDDIDTNNIPSVATPPDQAALNSRLLTKRQLSDMAWGVRELSRRLS TLRLKPKVRSLFILTKIHDKDLIPKTRELTLWLLSPERERRYAVYVEDKLKDSKRFDA DGLIRSVAKEYAAKTGMDEATCIEKVSKQLRYWNTNLCLFKPHSIDFIITLGGDGTVL YASWLFQSIVPPVISFSLGSLGFLTKFDFAHYDDILTTAFVKGVSVSLRLRFESTVMR SIKRKPITDDPDHPDETEEEFNRRRDLIEELIGEENDDEHTHRPDGKTFEVLNEIVVD RGPNPTLSSLDLFGDDEHFTSILADGICVSTPTGSTAYNLAAGGSLCHPENPIMLVTS ICPHTLSFRPIILPDTIVLRIGVPYDSRTSSWASFDGRERVELHPGDYVTISASRYPF ATVQSEGRRSEDWIKSISGKLGWNTRQKQKRFQEWEKGGK
SAPIO_CDS10419	MAPRKPGEPEPELEPPAEDAPKPAANAFEELMAPKPKRPPPPSP SPTAAIARRWGGISRDGLGAYLQHPETFPSTRVIYYTDDFVAVHDLYPKASVHTLLLP RKATFSDQHPFDAFLDPAFLTAVKVEAAKLKGFVAKELQRRFGAESAAEARRQAVLDG EVELEGDDEELPPGRDWEAEVKVGIHAHPSMNHLHVHVLSRDMFSPCLKHRRHYNSFN TPFFVELADFPLADDDPRLHPGREQYLRRDMVCWRCGRNFKNHFKSLREHLETEFEEW KRE
SAPIO_CDS10422	MGRDPPPVADAFERLPINERIEEEDVPNYKAERFYPVQLGNVFQ SRYKVVSKLGFGTASTIWLCRDLEKNDFIALKICITGKDTSDIDNEVAISEYLQSVDP AGHPGKDRLRLVQDHFRITGPHGTHQCLVFAPLGLSYTKFRNIFPEKALNKLLLQQSL QLVLLGLDFLHQAGVVHTDISPNNILLGVPDPSVFSEIEKAEQEHPAARKVLPDRTIY RTSVMPLTYGAPVICDFGAARMGDGKHHGDVMPGVYRAPEIIMGMEWDSKIDIWSVGV MIWDLFERGRLFRAVKDGHLNDELHLAEMVSLMGPPPKQFLERSEKCRQYWDGEGNWI AATPIPDQTLESREIRLEGKDKELLLTFVRKILRWLPEERPSAEGIFEHEFLTQHYEK S
SAPIO_CDS10423	MKHIFLVGACYVDTILSVPYFPEEDSKLRAQSLAVRRGGNCPNS LEVLHQLLLHSSLKNGAALSDVRTHLVSCLPDISSTATARIKASFRTRGTPQGKEEKE AEGYEELVEGCFLTCIYRDGVEEPASSFIVRSLATGSRTIVNYNGLEEMTLEEFRGVV AEFEGAESSWWHFEGRIPETTLQCIQHLRSVLPNAKISVEVEKPGREGLTSLAEAADV IFYSRSWAEARGHGNAAACLENETPRTASLALCTWGSQGATAFTPSDGLLAECPVGTP ADGSHIEVVDTVGAGDTFIAGFLYGLTCHSTDWDTEAKLKFAVELATLKVQREGFGGL GRDARGCL
SAPIO_CDS10424	MASSSRSSKSSRSSRSSSTSSKSSKSSGSSTSRSTNPSKSSSQL LGPIVSSSSPSSPSSSSSARHAPRSPKHDKALASPLSTDPSAKGGDYMSDSDVESLWQ ELREKDNKGSMIVAVVRPDQAKEVKRVVKEKNRKAKDEDKLSSKVVITTPANLQQIIE EKLSGVFHTLQLLVASGVDSITYYIPDVVKKVYVSCSSQRADNLRIGASSTYCGVRTN SWDLLGQQFRKANYKITHEDGCYFDLPEEYAGSLCSRSTTIGSDMSDQELTELREKIA DFHDAVAAGPSDVKPEASPSPVSQGQPQPPPRANETETDWFNRWKSLLAVIIGAGAGG AAAGGVFWCSAKGIFISSSKFTLMAGSFKAGAAGAAGAACTAGLATGIAAAAIVYLIP WDKVFSYVQKKLRAIWEYARDALTWVWDKIKQMAGYVVSIVTAPFTS
SAPIO_CDS10426	MVQFIFTPWRDRQELLTVRGQFYPQAPDISGDDAPELGLTDDHD AAEDQRQARQKLQHRAVARVSMWVQRGNCPHLVESTALLTAAILSDEELLGGPDRQGS SAYAVRAAYSTAFSRFVTGLMDMQQDKQRKMSMFSIAKTIGLPATFVELRHQATHEQL PSLARLRSAAEKALAWIWEYYWKHLEDQSSTQEPPTDPCYESLVDYLSHVETKDASPL VESDGFQELLRRWGKARVLRTLMAISDKMENGRVLLRCLQALRQLIEIDGSDGGNSTS RPSELKDVDTVRDEITRAQDQLSTEDARVSGAGPGGQTESLDPGSGEDIGWSRYERFA AKCAGLTPLPKRKMHIQSIPMWNDNYAYLVVDKKTKDAVIIDPAHPPEVLPTLKKALE SDGLNLISIVNTHHHHDHAGGNMRIREGLGKPNLPIWGGRNCEGVTLTPGHNEKLKIG NISATSLHTPCHTQDSICWFLEDGDRRVVFSGDTLFIGGCGRFFEGTPSEMHKALNGV LAALPDDTAIYPGHEYTKSNVKFALSVVQDSPVQKLSTFMDENKESQGKFTIGDEKKH NVFMRVEDPEVQKAVGLSDPIDVMTKLRELKNNFK
SAPIO_CDS10428	MENILARNRERLDARAMVMLLKPDSTCADLWDLAAELVRALQNL PASRLLPSRTRSGTSFHDLSRLSVALIPHFYPNRIKFLQGAVLNREPDDIIWSKRDES ITQSIPLPSIPSSQWISPVRNSSNFVNSSEYRKHVEGVLKGEVGLVHVGVSGFQEAFF GDIAGLDSTANAVFERCKEGHVHSTKKGPTGTRRRRLARLYTHLRDSTDKIKLRIGFV DHANLGNRSKFHWSQILVTRELKPNPVADATPKAWFDLERYSEDLLYAQDSRRFVLGF SLCGSLMRLWEFDRLGAIASMPFDINQDGLRKGTSRSTGATGPERKCSSYNTGAPPSK HGRSTSPVKPETTPPVPNTVRRRVIVRDYGKPIYKSSSPAAMLSALEDCIEGYESLHR QAGVLHCDISSYNLMVNEDDLTSPRGFLIDLDLAIKEEQSTSRSGARGKVDTRAFMAI GVLLGEERSFMHDLGSFFWVLFWICIHYDGSGREFDSWHCQSDNDLVRSKIGTIGDES ELLRDADENFTPFYRPLLPWVNRLRKVFPNDEARSGLVFGNEKNSSGLLFFELASGFR A
SAPIO_CDS10429	MPPKRRSGPSTDPIPPKPRQSRLAKEHNVTAQEESEIKEAFSLF AEPMEGEREGVIPTSDVRSAMIALGIPPKDGPELSEFISILDPDSEGYATYEPFFAIC ALKFHARDHDSDAHARELDEAYRLFTHGSSSSSGPITVAHLRRVAALLKEDVGEDLLR DMILEANGGEGVAAGVRKEEFDEVMRRAGVWR
SAPIO_CDS10430	MATRPALFTRGLSGLAQSSDPDSPAVASPAEKRDDAKRNFLKTM RPLPTQHYWNVYFDRQAKERKDDQEYQAQLEQLGTQIESVQDFWRYNNNTPVDQIKMR ESIYLFKQGFRPIWEDRRNINGGSWTFRVPKAIGPDVWTRVQLLAIGEKFESVLDAGD QLCGVGLSVRFNSHNITIWHRDSSKQKSIDGILACVLEELPPELRPKPDNYFYKKHAD HPGFKAPPELQAVLDSQKRNAEREAAAAATAAAAAAAKEKEADA
SAPIO_CDS10431	MVKKGKAKAAPAAKDAAKPTGASASQGKKGGSAAATGKAPAAAS TSGSQKQQQQQVPESHLLAPPKPTAKQIIGGSSWTGKLPMNLFNELCQKQKWNTPVYD MRKTPEGFSCWVSLSAKDPKTQEVIKLEPFKIPPSHKHLLCKPTALEARHYAATYALF RVCSMQNRHAVLPPAFRALWKEFAALKAQDVKDGKEWMYSADPFKTYREREEAKAAAE KKRQEAKAAEEKAKSMPGASGLVLRSNALNASGKSSNLMKGWSTAPKIEMGKRARVQL EGLLRRTATWNPYGIQIPAAERRAIVAELSKLGFRPSHIEEATSYCKDKEETLEWLLI HVPEDDLPSWALPESYAAGISVGASDLKREAAIKRLAQPGYSIDLCRRVFDRENADEG KAAEVLQRILLDKPEHAPEAENEDEMYFGTPEENWQEETESLESVFGDKFTRESGSHI IQVRLDDITDHSKKVVNVAVQIRKSPSYPAQLLLGIVANLPSYIKLAILRKTLEYVEE SLKGEPMKIYFVVDWIQQNIQGIIENPGKLLDISSVSSAASESQTAGAKPLRSGRRKP PAPKPTNWTPDPASKAEWLKRQESPAWKEMVSSRQRLPAWKVKEKIVRTVSENHVTII SGETGSGKSTQSVQFILDDLYSKGLGRCVNMLVTQPRRISALGLADRVAEERCSKVGD EVGYAIRGESRRSHQTRINFVTTGVLLRRLQVSGGRIEDVIASIADVSHIVVDEVHER SLDTDFLLTIIREVMRRRKDLLKLVLMSATLDAASFKNYFASEGLSVGLVEIEGRTFP VEDYFLDDIVHFTGFRPEGYDQSSRAGIGGDGIGKAIQKLGHRINYTLITETARAIDS ELSAAKKTGGILIFLPGVAEISQACNMLKSLGSLHVLPLHASLETREQKRIFARPPPG KRKVVVATNVAETSITIDDIVAVIDSGKVKETTYDPQNNMRKLEENWASRAACKQRRG RAGRVQEGKCYKLFTRNLEQSMAERPDPEIRRVALEQLCLSVRAMGIRDVADFLGRSP TPPEATAVEGAIKLLRRMGVLDGDELTALGRQLSVIPADLRCGKLMIYGSIFNCLDDS VVIAAILSTKSPFVSPAEKRDLAREARERFSRGDGDLLTDLNAFRQWDELMRQRTPQR QVRAFCEENFLSYQTLSDIASTRTQYYDALTEAGITAPSSVSESTNPSSSSSSPAPST SAPLLRAITAAAFTPQIARIQFPDKKFISSVSGAVEMDPEARLIKYFTQDNGRVFVHP ASALFGSQNFSGGAAFVSYFSLISTSKIFIRDLTPLNAYTLLLFAGPITLDTLGRGLL LDDWLRLRGWARIGVLASRLRRLLDGVIARKIRGGDDDDLGGPGGIGAGDADEIIGLV TRLVEFDGLDA
SAPIO_CDS10432	MASSTAPSPMVSSTGQPSPASSGQASQDKPKRNRTSKPKVKTGC NNCKQRRIKCDEKRPSCSQCEKSKKACPGYPAPSRSARPHEVVPLAPKPLLAAAPPLL QPAPATRPPIPNVDLPTRRAAKQAARKRAAAKPAPKENSTANLNANGLTIYRPSANLP LEDQEGLYFQLFRTQTARELSGFFDSVFWTQTVLRECHSAPAIRHAVVALGALYKTLE QSSLSPPSSPSQKQLVPAGSTASHWQMAVKEYSAAITSVVALNAQNQGSHRILLMASV LLACFDSFIGDHKQAINQIQNGLRLLDQLRAARQHPLLPGGSPDTIEDELIQMFTRLA IQAKSYDMAFHFPQPYVIRLTPQTPDYHQPTSPRSDSSSSSHSSLNTPHTIPTQFGSL LEARRSWDALCEAMLRFTETLFLSSNPNGPMGILPRALKQYGLGFKEKLEAWSDAFQP LLERRSAPNVSSQEKAGIAVLKMFQTMGQILFLMTFCDSESLFDMFESQFKSIVDLAL EVVGDEERRAATVRCPDPAQCTHRNLTTDFLSGHEYTAYHVKPSFSADLGIVPPLYVV ATKCRNHSIRWQAIRLLRSSSRREGMWDSNLSAHIGEWVASIEEEEGLSPGTRSQPSR RSSSNDSLPFGEDVALGPGGNARWDYRRDGNSPGANSRSSKRLVPEEKRVMVRSVDFD LKSRFARLTVGTRGLQPNAIDLRARQTTVRW
SAPIO_CDS10434	MAANDYYSGYGAGDHNDRNNTYGDNNIRPAAAASSTGGYIPSPS PLSYTHSPYSPSPSPLQRPPNADRPTHTSPFDTVFDDHAYPMNNNSSSNFGSYNNSNM NLPQQQDTAYYGPGRGSPETGHQVSENIPLQNRPHKDIEFGNDHVYDVPDSGRPTPTQ KKRKGNIRLGQLGMFGADRKRIPWVVYIFTLAQVGVFIGEIARNGILTGTPIMIKPSF NPMIGPSPWVLINMGARYSPCMHNIDAIQGSDIPIQWPCPNSTATTGTCSLSDLCGFG GVPEPNYNGDKNQSPQPNQWFRFITPIFMHAGLIHIGFNMLLQMTIGKEMEKAIGSLR FILVYMSSGIFGAVMGGNYAAEGLPSTGASGALFGVIALVLLDLLYSWQERRNPVKDL LFILLDIIISFVLGLLPGLDNFAHIGGFVMGLGLGICVLHSPNALRRRLGQDTSYSAV RDGAVQGPSFLKSPAAFFKGRKPLWWVWWMVRAACLLSVTIGFIVLLNNFYVYRSSCS WCKYLSCLPVSNWCELGDIQVTREEDGTTPAKRAIVDVFGRSALSMMGY
SAPIO_CDS10435	MASTLPQKRVLADTTNSKQNLPSSTASAKKRRVEFAPSSQPRHP GSSQNDPRTKLSASQVKSVFESDVLEKLSQDVSELKNNNAEKDQVWDRPPVGDFVPSR DNLCFQAIEAEEGFIHGGRTAVKLFGVTEKGNSVLLHVTDFKHYLYVPAPVSFQPSDC AAFATYLDSQVDSDQPAIHSVTIVMRMDIYEYQGNIESPYLKVTVSDPRFISKVRVAI ESGQANWKKMFPVDKNGKVTTYDNIQYVLRFMIDCHVQGMSWVEAPAGSYSILPHGSK QSNCQIEAEISYKNLIAHKPEGQWSKIAPLRIMSFDIECAGRKGIFPEPEHDPVIQIA AIVNRYGESKPFVRNVFCLGDTSSIVATHILEFKSEAEMLKQWQKFLVTVDPDIITGY NIANFDFPYLLGRASHLKVNGFQYWTRLPTVITKIKDTKFSSKQMGNRDSKAVNTNGR LQLDLLQLIQRDHHLRSYTLNSVSAHFLGEQKEDVHHSMITELFNGTPESRRRLALYC LKDAYLPLRLMQKLSCLENYTEMARVTGVPFNFLLSRGQQVKFISQLFRKALEQKLVV PNMPSQGSDEQYEGATVIEPKRGYYKVPIATLDFASLYPSIMQAHNLCYTSLLTPDKV KQFNLKKDEDYIVTPNGDMFVTAKVRKGVLAQILEELLAARKQAKRELAVESDPFKKA VLNGRQLALKISANSVYGLTGATTGKLPCLAIARSTTSFGRQMIERTKNEVESTFCIA NGYAHDAQVIYGDTDSVMVKFGTQDLAETMKLGEEAAKTVTGKFIKPIKLEFEKVYYP YLLINKKRYAGLYWTKPEKYDKMDTKGIETVRRDNCTLVQTVIETVLKMILIDRDVDG AQEYVKDTIADLLQNKVDMSKLVITKALTKDDYAAKQAHSELAKRMKERDPGSAPGLG DRVAYVMIKGATGAKNFEKSEDPIYVLEHNVPIDTKYYLDNQLSKPLGRIFEPILGEN KARSLLTGDHTRIISVAAPTIGGLMKFAKKVETCMGCKKPLTGKDEKGGAVCAQCAPR VGELYMKTMDKVSDLEVRFGRLWTQCQRCQGSMHCEVICSSKDCPIFYMRMKAKKDLE DAGKDLKRFDCDKAAIW
SAPIO_CDS10436	MSASFRSIALLRTARSSLRAGHATNPLQFAVKRQNVVGMMNFAR GYAEFKRDKPHVNIGTIGHVDHGKTTLSAAITKRQADKGFANFLDYGSIDRAPEERKR GITISTSHIEYATENRHYSHVDCPGHADYIKNMITGAANMDGAIIVVAASDGQMPQTR EHLLLARQVGVQKIVVFVNKVDTIDDPEMLELVEMEMRELLNQYDFEGDETPVIMGSA LCALENKNPEIGTQKIDELLQAVDEWIPTPERDLDKPFLMSVEDVFSISGRGTVVSGR VERGLLKRDAEVELVGKGTEVIKTKVTDIETFKKSCEESRAGDNSGLLLRGVRREDIK RGMIVTIPGEVKAHKKFLCSLYVLSKDEGGRHTGFGENYRPQIYLRTADESVTLTFPE GTEDASHKMVMPGDNIEMVAELHLPNALEVGQRFNIREGGRTVATGLVTRVIE
SAPIO_CDS10437	MEPVKKATNYVTESVQSATSGVSKETNKEVARDSNNPIGTRASA AKDALGDKFNESKHDARAEANSPSRGTNNNQGSNQGGGNLI
SAPIO_CDS10438	MASQLPPDLFDTTTLYSLAGTVAIALTGILLSRALLPASTTTAT RFLFIWHAADALCHIILEGSFLYHCFFSSVAVSELDAAATYYPTPYNFLGTGSDRVFG PQVGDDAFAKLWMVYARADKRWAGVDLGVVSLELLTVFLDTALAIAVCWGLVRRNPMA NVWMIVLATCELYGGFMTFCPEWLTGNINLDTSNFMYKWVYLVFFNMLWVFIPLYAIY YAVGQISSAFKAQAAKGKKQ
SAPIO_CDS10439	MDSSWGWIEPRSDELWARQFGGVGGNNDNDNDDGNNNNNNNRNG TFRGGGRNSTNNLISQFRFQAAKSIRTSTIILSVFNVVAAFATALGILWDGYATAKRN NPKYKFRTSAFNCIGSAETFPFVLSCGIVIQGIVFAVAQSTGLKGSQVLGCTIVSQMM LPAVFIVPYIQLIFGVEVAIRALRRKNPFPPRTRWSVVICLTIVGTLLLVTYLVTHFI RPPNFCFASLFWFVQRYSMGCFVVLTIISVGVLAATGIIFMKLHRNAAIDPTERTASS RMVYYLAVAFLSTVLIIPFFFSLAFQNQRNRDVNAPLQLSMVASVVANVSGLMTGGLH LFLRSTSVSTIGPRDKDGKYQEERRQSFKQRIRMWPSRDAEASGQSTNVPTSLRRMNT DDSFTSSEGRGGYDSDEEKKDIWDDRVNPLRSHAVFPTATATALRATQPHQTHAPKNS YSLFPNNNSTLKPPTLLPATTYDPSAKEVMVDVGTLKPPAPIHGFRHRRDSSLASHAT VQIGLRLSNVDDMPPLNSKYFNDTHKVHNLECPLARGADAQQRPSPLSNVAMHFQTTN ERDDDSVTMYADDASIAGDYNRKSSGEREEDCTLSPAVYKPPESPKKTKVTSPRGVGF TIPPPRTQLSPRQSPPSSSVLRDQSEVKKADWI
SAPIO_CDS10440	MSEDTSPLASLSLTHVYYDPTDPLSHLCAWLALLPQALCIVYVT LSLSTREAEVLLTFAGQLACEAANFLLKRLIKEARPARIPGKGYGMPSSHAQFVAFWS VSVALFLLVRYQPVPSPSSASHRRGVWPFVPRLVVSVVALVTAIAVAASRVYLSYHTP KQVCVGFAAGVVCAAGWFAITAALRAWGILDMLLKLEVARVFLLRDLVVEEDPCWAGW ERWEGLVRGREKARGKGKKRN
SAPIO_CDS10441	MDDANEDPRLDELGSLEAIFPEIRRSADPGDFTFTLEVPVNPAN PVNVVFPVVASTATAPDPTVTADGGLANTPPPPQNHASHQLSYLPAVSIHMSLPNGYP AEKPPKVTLTTSPPWLTPDVLGTLESDAERLWDEMGRDMIAFTYIDHVQQAADNVFGM VNSSGVLEIDRAHMVSILDYDIQACRAAFENESFDCGVCLDPKKGHSCHKMNDCGHVF CLSCLRDYYTNAIVQGDLVVVKCLSPGCAKEREEAHAKDPSKKGRKPRIHLSPGELLA IGLDQDLVSRYVSLKYKKELESDKTTVYCPRKWCNGAAKSKRHKKPRGLEFGEPDDNE EAFDATNETNTAGDDGVQASGNNVRLKTEDLLCICEDCGFAFCSRCYQSWHGEFFRCR LKCNPDEMSEEEKASLEYIEKWTRPCATCDAPAQKTEGCNHMICFRCNTHFCYLCSAW LDPQNPYSHFNNPGLKDCFQRLWDYETGHGENNDFHPHIRQRRERREPPQQHLERPDE PPFQFIIARPEIEEPDDDLDAPLEVNNADEVADVEWDWEAGGPRRPPPNVQVAREGPL VLRIEQGPEQPAAGRGLRAIPPPVPAPPGNFEGERGRGRRPPRRRRGGGEGGRGRGRG GRGGAAAGGGGPGVGEGAGAAAGGRGGPARDDLGQNRRDAPRNQNPNNRQPQRRQQGQ GRGQGQGQGPRGDGLQLPPLAPMLEVVEHDGNIPQELNEEQLQWVRRFVELALIDQED SGSDDEMAPF
SAPIO_CDS10442	MADLKAEPGPSSLWHNYGSPVHMASARFNPPMESALPNPPSAST PQHPARPRSSHHSLEQPPYSYNRYPGQEDSEAYERHQPHPNLTSHQSFPNLKRTYSQT EQAPYQDMVQEIRDDNSKLSVNHDHKLLSFKRTQDKTTIVDQHGRIQQLELSAQLHGM FFLSEMPSSTSDGTILQPELTCYRRNLFQISGSLITPRGQLSVVSESGETVPVNNVEV TISAIESVDGNPVRLIVIPWKTPPPNSPEIPQSPDQEPQSLPLIPFQDDGTESEGEYA VYPIGWRRLQFRIATANNGRRKELQQHFVLHLKVMGTLSNGTKTVLAESTTAPIVVRG RSPRNFQARKEIPLLGSSAGSRGQALVETGLGIVAGPLTVKPGEGKPRAVDMQPPRSA FTFSAPKMPGSQMGMRSNSYPSWNQPSHIPQIPVSGPSTYSSTTIGSEPYAKVPLTGA NNFTAEPQEMPLQTSMPSVQLSLATNDSQQPAIRTQYAYAPPTTAPPPQLSSIPSQGG ENSLSVPRYVDSNPRPSKSPRHATHQSVHSASSISNNENTGEYRYANSYHDVALNSSN TNSSGDMTNSYGPESNPPPPRDYYPPSNTWTSTAGGPNSTVAYTNGEARTYSYPEPYK GGSAAAPPAALPPPPPRVNTTPAASSTPVYGGSLNHYSWSAN
SAPIO_CDS10444	MWPQRTTGDAHPDTLKLAEDVADMGISGSGPEPPRGRPTLQRNQ QPAPPPAPNTSQLILQPLQHQPQQQQQQQNMTVQQATDSLSLMQLRRIVVDIRQADPV AYDFTYADTAPHGEEIDEWFVYMVWQWIRLNGIQKTFEWQWQEEFGASWDDVDEAQRR RFVAEAVIGLGVAEDVSERLPHISKIAYVVLGRWGDTAVSRVGDEKKRCVASESQLGA ITEGVKLVGELGGFEGVWKALRKRFELFWDDTQTLGQTELQMAQEELSNLMTILYIGI QSALNDPDKLADARERLVRLNPSLPNFMLLATSKLRWDDGAYLPQSQMFLLLWKSILL VFGGTKELITAKKETRETPEDGKEKNIITASPLDYHAFRQEITSKYPAYIPPPSLIPL EADNTSLLPPLPNQPSKSGVNGGILQHPSSSQSSGASILHQPVHIATPVPSPPPSPAA GGKGGKKQNYQTNQNFPFLYPPLDATSNTAGGKGQAGMQSQLINRKWEGSDIPASILE AGDIFSKRVRMTRAARQLWEEREAFIKFDRGWDPAVSADDDDDEDDIEELFLDELNEE ERKEIEAMRKGYKEKPPPPTNLVDFGPGGEGVSEEVKRQLRAVEDLYRESLPHLQSLV IVLLKAILVNITAVIVQGPASQQHPGMANRPPPNGNVNGGPATGRPGGEGTNGGSNGS PVPEPPSIEEIDGARTREITAKAATGILLLILKWFKVSHILKFEYLGQLLLDSNYIPL VLKLFAHQDIQQVVDSKTDRTEHSFFRFCNLRSRMKEQPGSPPPAPEPALAPALEEED ESEDEACPPPIKRRRSPPPPAPQVSPALQPAPTDLNGPGRPPEVDELGYPINDLPKEP ITDFSWRNFFTHINYLRIMQKVCKSKAHRNLLLVSYKSSNILRKSLKVPQPDLRLYTL KLFKNQVPYCGRKWRQSNMRVITAVYLHCRPELRDEWLAGSDVDAEVDSAVPLEQALR GLTHWFNVRRYPEGVAPGVRSAVREEQDFFAREVERLEVGWGEEEGGEWEHEGMVMGY 
SAPIO_CDS10445	MSRGPALKTLSTVLRSLQFAGATIILAIYSYTLGALANHGLSTP TFVRAVEGIAGITIAYAIICMVVTRFFAGRTLPSFINMIFDTAFGATYIYVATANRGG AGSCSGEVDTAFGKGKSGDKVNDGGHGGFMALPKFGDACRLLTACLAISIVMIFLFIG SIVASLYLGRNHHREKRQTLSQEPLNDTTYPGPGADSSNPDDPFAQPPAKKSWFRSLF SRKPKPQPLVDSENVLPQHTQPDQLSDRQSFLENDHHGRPDDYIRVGEGGGRRPTPTP SPNGYTMVQVPPQHQQQQYFGAESDLGLGGGRPQGYQRIPLNNNNNSPYETTHPYDDV SPIENTRPPPFASPQQHQRPYYSDTASPYSPTSPTSPIYPDPRYRPSLPHLAAGTYHP PSSTIGHTSSSSALGEIRHSSSQQGNDAYFPAGPYDNLRPVSRDDRTASQVRLGGGNA NGTGNGGRRRPEGYTYDDGVYDVA
SAPIO_CDS10447	MRSKLSSLLYLSALAVTAAADPTWPNAIDDLEEIMYQVFDFRAR KFADLVHPCDNQGSGAGRQNAAEWLRTGFHDMSTANTFFGTGGLDGSLQYELTNGENA GPGFGRTLSFMAPYFSKKTSVADLIALGVYTSVRACDGPVIPVRHGRVDATRKGSTGV PQVGNSVLTFKQQFSRMGFDEFEMIQLVACGHSLGGVHSTEFPALVPSGQFPNDRAPL DSTPASYDNHIVTEYLDDSTDNPLIKGPSVGLGHNSDFKVFSSDGNATMQAMADNTVF QSTCQNVLGRMIDVVPKNVVLTNPVQPYMVKPVNLQLLLNAGGATTLQWTGYIRVRTT ELPLADIQSLTITYKDRNGGSNCGSSTCSRTLTVSGIGRGFDDSFAFFPINTAIPASS GISSFTITINKKNGDQLPFDNNGNAYPMQDAVVLQKQQTCLLQTSGAFTLTAAVRNDV ADQAVSAKIAYKTTRDTSPVPLLQEMTLALSKGDCYGGYTFFSGSTTIPGALSFESTV DISAGEYTEAFRRVDEIGGSCREFTGVATCSSANPSSSLSSSSLVASTSSETSAVPTS DSTSADSSTSVTANPSSSSSSSPSSTSVALTSSEASAVPTSDTTSGDPSTASSTSSST SPSTSSDDSSSTPSSASSSTSGFSSASSSVSSTSSSEPSSTSSSTPSSTSSSDSTSIS SSASSSSTSSSTSSSTSASPSFSTSDFSSASSSTSSSTPSSDSSSPSATPTQTLPHHR PTIGGYTLLSCWTEGANNIRALKEASFASDAMTLESCMAFCAGYVYWGTEYGRECFCG DSLDATSSEAPLSECDMVCSGDDSQYCGAGNRLELYSTTATGVPTATPTPDDHFPSPI GPYNLVGCWKEGANGVRALDAKATASPDMTLDKCAEFCDGFCGNTLHQTSSPAPISNC NTPCPGNATQHCGAANRLTLYQLPDYQEPHHPSPIGPYTFAGCRTELGPGARALSSAA FADDGMTNGMCAGLCEGYAFFGTEYARECFCGNELAEGSEVADVGDCAMVCAGDGGEL CGGPNRLSVYSLGAV
SAPIO_CDS10449	MSSNNNSSSGKADTAEDSRADLNAAVEDLLNSLSNKFAGVSSEI FAKMDEMSRRLDSLEAELRAAKEKDSGSSSSAK
SAPIO_CDS10450	MVLLKTLLLSGLVAIAAAKSAVLDLIPKNFDQVVHKSGKPTLVE FFAPWCGHCKNLAPVYEELAIAFEHASDKVQIAKVDADAEKSLGKKYGVQGFPTLKWF DGKSAEPEEYNGGRDLDSLAGFITEKTGLKPKKKWTPPSKVQMLHDQSFNEAVGKDKH VFVAFTAPWCGHCKNLAPTWEALAVSFEPETEILIAKVDCDSDGSREACAQEGVTGFP TIKWFSKGSTESVVYNGGRAEKDLAKYINKQAGTHRLAGGALDDSAGTVESLDAIAAR YIKEGKAKLTELAAEAKKEAEKLREDVKAKSAAYYVRVLEKLEKSQEYVEKESARLAN LLKKGGLADQKRDELKTKLNILGRFKKVEEAEEEKKDVKDEL
SAPIO_CDS10451	MCVPTSAYEPAAVPAIPAEPEFQQAYNELASTLENSTLFEQHPE YRVALKVASIPERVIQFRVVWEDDNGVLQVNRGYRVQFNSALGPYKGGLRFHPTVNLS ILKFLGFEQIFKNALTGLNMGGGKGGADFDPKGKSDSEIRRFCVAFMHELSKHIGADT DVPAGDIGVGGREIGYLFGCYRRERNRFEGVLTGKGLTWGGSLIRPEATGYGLVYYVD LMLEHLGKGSMAGKRVAISGSGNVAQYAALKCLELGATVLSLSDSKGTVIIDGEGSFT EEDIHTIIALKADRRPIVEFESNGRTKYIAGVRPWTHVGKVDIALPCATQNEVSKEEA VHLIENGCYILAEGSNMGSTQEAIDVFEAHRAENGPNALWYAPGKAANCGGVAVSGLE MAQNSRRLAWSAQEVDDKLKTIMKNAFDNGVATAQKYVASKEGELPSLVAGSNIAGFI KVAQAMHDQGDWWAKSVQ
SAPIO_CDS10452	MSISLPPCPMASPPLCTDVDQSFFPLGYGASAPKPNGYRSRSSG LQTPEERPQSLAGSKDCTAQVLDELDEEFEEFEELLHPGEKTFEQEASEPRHPRTRQH IHSYTDSGDEIYPRISRPVELMRNSYDYVIIGSGYGGAVAASRLARSVGPDGRASVCV LERGKERWPGEYPAGPIDGLKNLHVSGEFSPENAPGVPVDRGDPTGMYHLIMGKGINA VVGNGLGGTSLMNANVFLRTDHETLRSPPWPEEIRKNPESLDQYYEKVEQVLEPTTYP DDWPELSKVKLFERQAEAIGMKDKFRLVPQTTRFHNGPNSCGVEMNPSALTGQDATGL NDGSKTTTLVTYLADAWNWGAEMFCECEVRYVQECTGPEGGYIVFFAWHGRKRDVFKK HFHGDLMWVHAKKCVFFGAGSIGTTEILLRSRQMGLELSERVGQGMSGNGDMLAFGYN TNYEANAIGKDYPDPYNPIGPTINSIIDNRHGHSNPLDGYVIEEGAIPSALAPFLQAM LETQSHQSVLMGGGGLLDQVKSGAAILGSFVFGQRRALENTQVYLVMSHDSSRAKLTL KDDKPILDFHGISRRDQVKKINRILEKATSKVGGTFVQNPFYALMGKQQITVHPIGGA CMARDGTGLTGATNHKGELFKGTGSETHSGLIVMDGAIIPASLGVNPLATITALAERN VDLYAEKHGLVIQDDRNGILDLLGEPAHPFTDTKSEQDELIERQEIKQVASVISIAKA MRAPGLGFTEVMSGFIHRNRQGTLTSNKRETYERAYKTAKSMCESARFFLSVQSFDTK SLVSRPDHPAMLTGTFVCPVLEGSPFMVQRGGFNLLVVDQKSSCTRNLTYDFDMRGTD RRLLHFHGYKVVDSSVGLSVKEFWKATSTLYVTITRWPEGAAADDPRGWRRGDVIAKG ILNIEPEDFRQQLRTLAPTGDTFLKKAWSVGSFVHYFSRTSLQHFLGPFAPLQYPLAT YDGYINDTPPDRSYVIVADDQVKTRMHMWESTNPDIPTRNVFLVPGAAVDHQIYALPT IPYNMVNYLTRAGYRVFVSVHRIGQLIVAQNDHTTYDCRLDLRACIDYIRTNHPEPAS INPGNKVYILAHCMGSVALSAGMLEGDIPAEWISGVTCSQVFMNPVWGPANMAKATAG PIPLDRLYKKVAGNWYNITTGTGDAVVQRVANQLLRFYPDPMKEVCNNASCHRSSLVF GRCWNHRNLNEATHRQIDRFFGGVNMTLMNLLMRQGREGHVMNQGYEHLDTAKNVARL RGVPFLFFVGADNAVLSQAATERTYSILCETFGMDGSVGGDGEDGASVMGNKGEARED ADSEVMYRRVVVPGYGHLDGFMGKDAWRDVYPMLREEMDRVVRGREYKFVEPKDKFSK MVFNGELLY
SAPIO_CDS10453	MNPRPLIRLRPSPRSRSLLQPSTYASFHTTTHRSQPRQPPSSSS TRTFPTVEECPAPTCECSPAPDLEIDRKSKLAGVFVPYVEQVLPYHNITFLASSFPSS PGPNPSAYLLPSFTYIPSIAPSPTSLTHLSKSLLLPETLHPIHASLPPESRAHLTRDP SLGGTVPSVPVSDVMILICGHGSRDLRCGVMGPLLREEFRRVLSREDGISLVEDDHPP SEPAEAAKGDSARMTARVGLISHIGGHKFAGNVIVYVPPGATIEDEVVGSRRKHPLAG CGVWYGRVGPENVEGIVRETVKGGNVILEHFRGGLDAERRILRV
SAPIO_CDS10454	MATQLEAACIPATFSNLTLFGAEILTLEATLVTNHSASVPSAVR FTQPSIEVQNATFCNITVSYTHPGQGDNIIVETWLPVDAWNGRFQAVGGGGWVAGRYD PSYSAMSGAVGDGYATITTDAGLGGAEDAGPWALVSPGNVNLYNLQNLASVSLEDEAI LGKLLIKSYYGRGPDFSYWNGCSQGGRQGLMLAQRYPTAYDGIAAVAPGIQWTDFFPS MYWPQQFMNMLGEYPHACELEAITNAAVSFCDDLDGIVDGVIGEVDACLDGFDPFELV GSTIQCSQENRTLEVSSTAAAVVNATWRGMRNAQGVQTWPGLNPGTDLTAAVAITNCT TETCVGVPLPIAVEWLSLFVSRGPEVDLGGLTHAEFDRLAHQSGQKYRSIIGTDDPDL SAFRKAGGKLVTAHGLIDQLLPPKGTAMYYNKVSALSPDVRDFYRHFEVPGMGHCAGG PSGEPTSLFNQLRAWVENGTALEQTPVKVQDTEGVVHDRILCPYPQKTVLKRGCKSPG KGHCWSCSS
SAPIO_CDS10455	MSSTPRQPPDFPLSASPASNRGLAPSVASSVPRASSIARLASPV PALGTSPALSHSSVPRGSNNGGTNTNGQQTGGPAPLAGAGTSMSGPGVSALAAALSNS VGTSPPRFGTPSSRAAQGDPTLRANSPAPSNIPPSATPTNYGSFDNRSRPVAYEDHEV VKRHLVQPTDAASDTSSGQHATKGKQPADSAADASADDEEFSSLRLQGGDITRGIYKW TQDAETRSKVQRSQSFVQPRPLPESEVLDIKNMKVPGGFRRDYIRRTAEDQQGALGRE EAGEASGQPPRLFTTSFLEFLTLYGHFAGEELEEDDEVLLPGEYFSSGAEDGGYFGDE ESESDREPMEDSALLTPSRRKRRRKERGGSGKNSPMGAALLLLKSFVGTGVLFLPRAY LNGGMLFSNLVLLFVAALSYYCFVLLVSVRLKIDGSFGDLGGILFGGWFRKLILFSIV ISQIGFVSAYIVFTSENLRAVILAVSDCKNHVPITWLIILQMIIFLPFSLLRDIGKLG FTALVADAFILVGLAYLLYYDILTLNANGVADIIQFNQNDWTLFIGTAIFTFEGIGLI IPIQESMKHPQKFPRVMFAVMIIITTLFVTMGAVSYAAYGSKTETVVLLNLPQDSKLV NATQFLYSVAILLSTPLQLFPAIKISENALFTKSGKYNPYIKWQKNIFRFFLVTACTL IAWGGADDLDKFVALVGNFACIPLVYIYPPMLHYRAVAKSRAWKISDIVLGVFGLVAM VYATTLTVISWARAPSGPTLPGYCDGR
SAPIO_CDS10456	MADPTNTPMPPSTPIPSGTPRSTSPAPPLPDLAANGLTSYSHSS APLAKPRVLHIGDPVKYNFETYASFSTACDIVRPSTPERARPEFVKALKEERWGRFDA IFRPFWGTGGEMGQWDAELIGLLPESVKVFASAGAGFDWADTKLLGSRGIIYCNSGLA AAEAVADFGVAMIISTFRHLPWCMTAATSSSGLAFKDCHIRATAISRNLRGHVLGIIG LGNIGQQLASRLRHGFGMEIHYHDVVRKPVSLERTFDATFHETLESLVRASDCIVLCT PSGPKVITRESLAWFKKGARFVNVARGSLVDEEALADALEDGTVSTVALDVHAEEPHV HPRLLKFAGDRAMLTCHNAGGTVDTHRGFEELSMRNIMAVLAGGEAITPVNMHSLDRI QINHLLRPSAIRDEIQTMLTPLPVRSSAIADPPQSSLPDRLAEVLPKGYNFGIYHLST PPTKTDPLCHAPPKDRADKTFVENHFLALSIDVAKPRTKPHTPNSEPANGTKLETQKV LVFALEIFIFTTAHSSTFFVSKADSTGYLHLLDLPKGAPSLIRDVSTTFVSYLVENRR RKDLQCVVSLFARAQSQYLFPGSVDNKGKHVLDDRGLVRWWCRTMNPLVEKPPSGGNN NAPWKRVKAYLVVPGLDKYETKALLPRGLHSVAENWELGHPLELISHYCREYDYVPPR CLIPGFPDDPKARFRDELDEEASKSAILQVGGSWKSVKSLDQFWETMAFRQECSSGRM TGFLWIVFDAVDYKAPAGTVAAGAQDASQQSGRPSTPPQEGKQLATPFSTPRKVALSP TKRENDISSPTKITSTTTSTADDKNPRKNTESKKKTKKKSKHLRGPITTRPPRIKTHQ RNHLSERTPIASAYYYWPPEGRGERLFDEKGYKRVIELLLHLDFSTLELAVGSTRRWV GEVGMGSSWGVDVTGEKEVVVAARQGAGGGTAVVNNLAGLVKRKREVGSEEGKVNVLG GGLTDDLADDWSMGIYKIKHSACTEMASGGARTVCRAARRLGLAPSATLPTRILRPST TRWLSSTPAQLNQTTKFDEFAAQAEKAADAATAPPPSANNVEGKPRWSYTPPAMKAPV SMQRPKNPKRSVWKTNEDPEVLDQFYERFLGPQGPGMLPDELKWLAVTHKSFDQGRRG FNDRLAYIGKQAIVLEVTKNIISSQPPQAPADSFGRQPFEHPSLAGLENFAVKQPHDV ISREALHKLGMEVGLLKVLRWKPRLPENLPGSGVQVVLSGAIHAVIGAIQLQHGAEVA SRVIQEKLLKRLPN
SAPIO_CDS10457	MSTATSAVSPIPVAFFGLQVELSQKIQAALLPEYDVVHTVFNLA AAEAELLPLLQGVKTITPSSKLGSNVDRPAAERKVPVIVFFAGAISPEDVGKVTELVN KVAPGTGLVQATREEVREAGATGPDPVIIAKVLKGKFGEVLKK
SAPIO_CDS10459	MSRVVKEHIGVIILGIFIFFAVIAPAGCIVWARRREHRKYHPSP NAFRKARRKLATVAECRKDTERHLGGGDSEWTGNCPICIGPLTAEANGSSAENISTEK ATTEDATEATAEATTEATTEPAPPVAGSSTQNNTQDADGSGSSKEIMAAPTSSTAETR RGGGDGSAAETQTPPTSTKEGGCSPIMLWITRWLPRSLQKKCKIAPDRDVEILKLKSC GHWFHARCLSSWFLIDRYDCPVCRKPYWDGKPKKGPLSTWLTSNYNVDAVRVGAGTMV 
SAPIO_CDS10460	MAKKQDPAIAAHVSGQSNRPISAPPHTLPVSQVIQELHTNPNEG LTPAEAKQRLEEYGRNEFGEEKGVQPLRIIMGQLANAMTLVLILAMAASLAIKSWIEG GVIAAVIVINVTVGATQEFKAAKTMDSLRSLSSPTASAVRGGQTIVVPTVEIIPGDMV DLKTGDTVPADVRLIEAINFETDEALLTGESLPVRKDAEATFEADTGPGDRLNVAYSS STVTKGRARGIVFATGMYTEIGQIAAALRGHQSRRRPVKKKEDGTANIGRYGIAYTLT VYDALLRFLGVSEGTPLQKKLSKFAILLFFVAIICAIIVLAANSFSSKQEVVIYAVAT GVSMIPASLIVVLTITMAAGTKRMVERHVIVRNLRSLEALGAVTNICSDKTGTLTQGK MVVRKAWLPGVGTFSVGHSSHPFNPTEGEITLAKKPPREISIAEKEDIIDRETATKDN DTLVQFLNIASLANTATVRRAEGDDDVWHARGDPTEIAIQVFASRFNWNRLNISGEGN QWKQVAEFPFDSDVKKMSVIFKDTTSKQQWVFTKGAVERIIDSCPTIRVGDENLPMTE EIKAGILENLEALARLGLRVLALASRTGIRKVDSKEELDRSEFEHDLIFRGLVGIYDP PRPESAQSVKMCHQAGIGVHMLTGDHPETARAIAKEVGILPSRMQEISADVAKAMVMT AREFDRLTDDEIDKLPLLPLVVARCAPSTKVRMIKALHRRKRFAAMTGDGVNDSPSLK QSDVGIAMGQAGSDVAKEASDIVLMDDNFASILNAVEEGRRMFDNIQKFVLHVLAQNI AQACTLLIALAFKDHTRLSIFPLSPVEIMWVILITSGFPDMGLGFQGAAPDIMRRPPQ NLKQGIFTPELMLDMLVYGLWMAALCLSSFVLVLYGFGNGEIGENCNNHHSPGCDLVF RARATCFTAMVWFSLFLAIEMMDLRRSFFRMKPKTKHPFTQWARDLWHNRFLFWSIVA GIVSIFPILYIPGLNRVVFRHEGISWEWGIVFVETAVFFAGVEMWKLAKRIHFRRVAK KKTGGVKDLESRVFGRYYSIGRSDSDTDNPFVRTKIKDENREG
SAPIO_CDS10461	MASTSPVLHSPVHSPPKQPKGILKNASHHHSPPVSPVSPDHRTL SAKELTIVNTQYNAGRRRSSSGAQLRRLSSRTPSHHGDDAEESAQRLKWDEANLYLTE QERTSTMKITEPKTPFARGYDPLEDPSDDDEIMGEGLGEPITSAAYSTTRPAHRELSR EDSIPNLDIGEPEEAVPAREFSPSSADGEAHHHRTVHVDDSGSGRDDDEMVGMSPEER EKHRRFEELRKKHYEMRNVVQLLGHPEDVEDDDDDDDDDDAPPAIPPMPPLPANFRTS NGPTS
SAPIO_CDS10462	MRSVVPILLALTGAASAQFGFFDHMFGGHDGHGHHHQQQRQQNV PSDSSVYQHNYKSSYCDKYLCPDTLACVHFPHHCPCPWPNHQEKFELGEGSKICVSRG GFKPGEAARKVELARKGLI
SAPIO_CDS10463	MSLAQLQRPLGVAASGLTARLLLTTSWISRGPALGNMLVEGRRG AKVKSQGHYNLKPKRTIPKKLGAKRTGDQYVIPGNIIYRQHGTHWWPGENCIMGRDFT IHAMASGYVKYYRDPALHPDRKYIGVVFRKEDTLPYPKHAERKRRLGMVATPMRGAAR EPELSDSGIPNVVKKVNPDRPHAEPQVLHLRKDYTYREDNWRIGRLVKTTGLQIKGYK SRRAYLRHRRWARERSLAGMRKVREKLAADDTNEEWVEAAREKAAELRMSKKKKGHAK SKKGKNPKKR
SAPIO_CDS10464	MSSDSDDDEFSEFRVQSDLFPSRSQSLTGSPAKQKQAATMAAKP QLANRQEIQGLQAQWQKDNTLANGSLGNSLFASSSPQSGTKRQRPNEGGGQEGSRSSS KRRRAADENLGGSSDRPDASALYSSAGVPFPSSAPPSASRPSASSGAGLKGFVLRESA ASSSSATSTPTARRTVVAPTAPVIRAPRTAGNNTPSKMNSTPTARPKPFNSGLDLAAP VISCSSGSEDEIPTVPAALRERVTTQSRGTPGTTEAQRSAKSTSGKPAAPSYPVPATI TPVPIPRPFGAAPPRTLAVASPTTSTPEAKPTNLIATRSKKLYALWINEQGRSVSTNG ALIPDHYKKHPDPKTPWACPIVGCPKRFVDLRALGGHFSAGHRGIQLNDNCDGTFSII DKVGQSAPIVVSQIPRDRSGTPVGVRAPTATTKAPDSAPRSRKNTPTTTRTNSTSSRA ASAAQRTGQGSASASLPEKTNSSIPDYLKLVLPNGYNMHIFTDHKEWNELKGLQLRRS WSAEILNFCLKLAMANNYPALGLILYLTGTEVKRSCEECATRKQTCVVADDSFPRSLI DLFGDCCALCHYRAKKWHWRHRCTLGDGQKNRSEDGDSTDSDDGSPDAVGPGSEATSE RSATLGETQQPVRRMVTLRSGRIAELGAGLQRPSSPTPPTNTTRSPTSTELSQGGNGQ PVVSADSLAMEDWEVGPGRISTAGPDGENGIGFNVIFIKPGSPHNWQPEADSIRICVV AAGKLVVKLGDGDGAIDVNVGPHGMFKILPGMGCVVKNRLYIDAVLHVTTIDMG
SAPIO_CDS10465	MRALVALVRENARSNIEPLKTFGALDAEVGKSELLTSLAPVRLT CAGGCVAVKYFQLRSATKDVDCLLDPNIDAVEDYRNDFLDAVRKAADKCGLMGDWMND EVKLFISRPKRLDLFLQSVEQHIVIYDGTNIVVYVANLEWMLERKLRRVEGAGRNARE QDLSDAVAMVRALKNGGPPLGVDYLSRLNYKWL
SAPIO_CDS10467	MMFGARADSEPILPSGDGPDRTKTSAPASAPTTENGEHANESQQ TTRENYLVGEAIPSRGRFVQIDGSIGGSGYDETTVDVITVPCPGADPVETWSRDALVE NYFGAPSMRSSQRSSDMRESQRSGRTGNSGNSSGNRTAQAAPSWVRLGVRKEAHHARV LMYAHGEIEEGTTLSKLADDLLRQVLDLRAMNNSSSRPLFFICHSIGGLVVKMALAKA RRNSLYESILNDCYGAAFFATPHRGSTYLSMPDISSSIQSLLHLSQPLPRSITDELRP GHPLLLHIDEEFSILASDLQVWTFYETIESRICSQAESRPGEVSFTAPITSVKSAILG IRQETIYPLQSDHANCASFGKNNAQTMKLFLKTLARCIARADENSGGKSHTPLNLEQR VPIEVHGFYEDPVAMTNMETLTTIRAWSTRVALKDFLDKGPVECLNDRLNEVNDGEDP GPTEGQFLAARRRTTRLRESDFPSPPTTPPEPGENSLGIGQVYHGDERSANSETALLL SKAADMPEATPGKNSPDPEASSSEQRGREVNLIPDSVDAVRSRSTSGTRATRSKGSAV AVDSTRSRRRVALMRRFTDQFNAKWSGPLERSFFHGSPFAPNPEIPASDPLIPVFAKP DEKNRRFIWTHLPFTNPAWVKKVFETLQVKDGRDFTELVSPLSNRSSIVTMNEPTVYL FIPFLHFDSYKNLLRRRNLIQRRLRQGRARPVPQSVAKLDSIELQVIWEYLGYDPPVN CRRTLDQYGYPSLHDTRPRDDDQMLYKMTKERIALSDQVGPDTYENPGGGDGKSARGT GRETSSLNNANGSAAANTNAHGHEDDCECSDEETSAPEEDVLNGNVLMVDQLWMWVIG PNTLLTFFSRRESDPTEGPLYQQADLRDSIFNDVNSDVTRQCESALDMAALIALHAVT VLLDRASHPDLEVFRIFEEAISILTEKMTSSLKDFRTQGLRDVSHATDTDTDPKPLST RDQSDRVLHENRDSTSALLELRDIEDELSTLHSLFDKQEKMIGIMRNVYLRADASPTG ISFLTEALGRLAEYKQRTGEMIKRVRSTRDDYDKLLQMVQRQAQVDEVRLQRLQADVA SSQSRSVMIFTVFTVIFLPLSFFTSLFGMNTQEWGGENFPSLRTICVVAIPSSAFLIG LALVTAWSTRVRRFFVFLATCYSVAQAAVAEAMDASVLLAPFRRWEDARRKAMREEAA RRKKKAAAVSKRRRRFAKEMSADSQDFWERHRLEREHAYRIPVANRKSVTRERALARA KAEKKGVVGARG
SAPIO_CDS10468	MASPPNYTQSPTATSPPYQAPSISMAKKRSGSDMTNPPSLKRRK ASNLSITSAVTTPSALRQTSFPPESYDDVAASLRRSPSVDAVSVVSGSVVSGAPSGAP KKKRGRKKKDATAADAASSKEATPSLVGGKAGAGAGEDKEEEEDDDANDMKLQESTTS EEQRREENRLRALLAQSLDREQYKRFEAWRGTRIAEAGVRRIINAAVSQSVPQNAVLT TKLIAKLYIGDIITLARRVQEEWMEAGTEPQPKTELPTPPPSNDENGENGEAPPASPV SPIVPGDDWRAPLRPEHLQEAVRRYKAAGEGGLVGQTGLWHHQQNSGVDRFSSRNSKR VFR
SAPIO_CDS10469	MAPSEIAVEPMSGISTPKIPSTRTSSDGDESTSNSTNGERSKRR RRNRNRRRSRNPAVNGTTTTTVTVAEKTQKSLKRTNGTTQHEEEEEAAAVAAAAKRPR IEEDKSKSLVLAAGKKTTHDPAADAERLEKMCGAVRTILECIGEDADRPGLLDSPARY AKALLFLTKGYQQTPREIINNAIWEDEGHNHHGMVIVRDIEIFSLCEHHLVPFNGKMH IGYIPNTRVLGLSKLARIAEVYARRLQIQERLTKEVANAIMDVLKPQGVAVVMEAKHF CMVMRGVEKTCASTVTSSVLGCFERSSKTRNEFLSLLRLDRQI
SAPIO_CDS10470	MVRLLRLAGLAAGALLQTAAALEVNFADQTDVFEAASTIAFGLM KYYTGNNTGDVPGNLPDPYFWWEAGAMFGHLIDYWHITGDETYNNLTIQAIVHQSGDL RDLMPKNQTSTEGNDDQGFWAMSVMQAAENNFPNPPADQPQYLALAQATFDLYVSRWE PQDCNGGLRWQIFAINPGFNYKNAISNGCFFNIAARLARYTGNQTYAEWAEKVYDWET EVGFITPQFTVEDGAHVEDQCAQKTEFLWSYNAGIHLHGAAVMYNITGSEVWKARLDG LLATTVEVFFNNSIIFEQACEPFQVCNIDQRSFKGYLLRWMASTAHLASHTADIIRPL LQASGEAAALSCDGSGSPGVDFRGHPGTACGQDWSKKTFDGFAGVGEQMNALAAVIYT QGGASAAPVTLDSGGTSRADESEGTTKKSKYDFAPIETKDRVAAAFVTVAFCLGVVIG TVFMILD
SAPIO_CDS10471	MDNNNKPDGQDASHIKPVSSLRSHFESMSKIKEANPTLSAAPFR PISPAPKPIALRDAKPEREAAPTPPPVPKNRPTFNSLKIPSNNDDSHSLSPARPVAPP PPLSPKPTKPMRPPSVLIQPPLSPPKERTTHPNLGEHTAFLNPDSLVSSTTPASSPRS FKIPSRTHSPGPDPRRLSRITGSNPPSPPPPRRSGEFKRETKPAPPPINRAEKPVMPS RNSQIIAPKPQNGIAKAPPPPPHLAQETSPFSSPPSSPDSSDHEAPPPVPRRPRPQSE YFQPRSQTWTNFEPPPVHPSLAGRRPERDSHQFSKSYISPQCTGERRPALPNRPRMSM EPPPRPPPINNSTKPPPPRPPRPTINTTNHTFVDGPHTPHVPASATRRVSPSAAINRL PPPPSRVNTRSLPAEQQATDRQSSDLKVITNNSAPPAASAPRTEPPGQAGSYPDASNV NRRPPFIKQGAHEISTKYDAKVIDVCGQYVCSVGQLTRAWNLQDGEQVLSLAHGEGTK ATAAIFKPGAHVDEEGARLWIGNNVGELMEVDVVTQSIISIKPNAHGRSEIIKIYRHF NELWTLDETGALHVWEPTENGAPSLGGNPSQIYRVPKGHTFSMVVGDQLWYATGKEIR VFTPSVSGKGQFQVLMRALVQDTAGDVTAGTIMRSDPDKVLFGHSDGRVSVYSRTDYS CIKVMNVSSFKINSMACVGTYIWAGYNNGRICVYDTSKTPWAVKKEWHAHENPVIKII ADPASAYRMDKLQVISFGADNLIRAWDGLLQEDWLEDQMKSLDTKYCRFQDLKVMLMT WNAGASTPHSLRYSESDASFIQNLLHQADRPDILVFGFQELVDLEDKTATAKRFLKPK KKDKKEGSVQERMSHQYRDWRDFLLKSLDDYMPADELYHLLQTAHMVGLFTCIFIKST VRDRISNLKNVEVKRGMGGLHGNKGAVIIRFMIDDTSLCFVNCHLAAGQSQANARHTD VAAILDSAILPAERDPTTCLDSFVGGGDGTMILDHELCLLSGDLNYRIDTMSRDTVVM AVKANNLAKLLERDQLLVARRRNPAFRLRAFEEMPISFAPTYKYDVGTDTYDTSEKRR SPAWCDRVLHRGGGRIRQIDYRRHEVRVSDHRPVSARLIYTVKAVDRVRRAEVWADCL QRFEEVRRRVYHDEKFFYLTWVIGYDEGTSRALIKEKTERKLHRSPSRHRE
SAPIO_CDS10472	MNTARSFWFGWGSLCVAGAGAYFFAKKSINADRAANLEERRQRK LRDAALAEQQYLATQNGQKEAPRTDAVGSPSQEGSQDPAPTRHAPENEHQRVYEKSKY ESDKPFVSRKGDRFS
SAPIO_CDS10473	MDPQAPPSDVPDSYEPPTLDPTPADPSTFPDPEGAAAMKAMSDK VQSMYDSGVPLFTKDALRHAANQLALYRARPRDDRQVTLIDMTGKSVEYTICLPFSDH SWERRKRSGPLPPLGCVGDLQQIFEDARAEWDSSTQHDELQQILAALKLPFGLSKVIA FALGSMGGDFRASRQSSIQHALLLSLRRGLSNSTQTPELECFSQEPGYSDQDKQVLQA SGVKILEDPVGFLEVDETSVILSIAPNVPVKQIITDLGRPAIMIWCKPPESDDRPMTD PESSRVREMLKNEYSKTDFPRHEAYPPLSLYVRKAVIGRVSE
SAPIO_CDS10474	MTPLDNAMKSKNLLLTFGGVITAVAAWSIWGGDMFPAQPDPTGN PEDWTLQEMRRWLGARNLMPAASATREELLERIKANMRHPRTEQPGFKKRNPTNKWLS ERERVEASLSGLTLGCGLRFEATQGVQINTMEPLDILAISAAVAQFIDFGTRLCSQAF QLYKSPSGLTTDEADLTTIANDLAELGRKITSRRALLGQGKPEDGSFEALLVDICGEC SVAAQDLDAAIRKFRPEHFRMKFLFGEQGGKAVNSLMDALRSVRFDASEWHRKLARLR EQMMAMTTLVLWEKSSSVVHEQQRLSHRQAEILGTLNKLAADVSSFKYSLVHVVDEDP SKLDHRRQAIAESIWSSEGISSRLQLSTIGAHLASDEVGNWNGAQDTALQAPNQTDRY ASRLLNRQETESEFYVKAIVDSLRFQGVKHREDAIPEAYTRTFQWVFERPPQSSPRGP WTELPRWLEQSVDDVYWITGKAGAGKSTMMKFLTTHPKTIEHLRKWSCGQQLILASFY FWNAGSSELQKSQTGLLRTLLLQCIEQMPSLAPKICPRRWAWFKIFGATDVNQAPQWS WGELVECFSALLLLSAKNNRFKLALFIDGLDEFTGDHQKLIDFVKLFYRRQGTKVIIS SRPWNMFKDAFSATPSLRMEEFTSRDVEAFVNGEFNQTQGFHELRQAYPVEAKRLTQG IVDKAQGVFLWVSVVVRALCEGLTEGDNLGELQALLNMLPTDLSQLYQRIWRSIKPQY IAQTAKLFQIRINAKTLLDVTTLHLADMEWEEALGYQMAEITGEKRDHIIRTMSRRLD SRTRGLLEVTKDGQVDYLHRSVRDWTETSWQDICAKVDPDFDPHLALLQAFSVQVANP KIWENSSLHLPVEAFWSRTSMCLFHAASVRDEPGRVDALVKIMDRLDRELGALSTSFV DGSGYTLYRDRTNASLVADSAADLPHWSNTQYTMSPGQPVCTFAGLAAQWAILPYVRA AIATTKKPKLSDPQQLPVLSCAVLGFDHFARPDVLDIVERYCPLIDPAIRLEVVRIIL DTRDVADKWTKKKRKKSSSGHGNDDVSDLLGEVVQKRDFFRSISNADAKVRTYWEDVA ELLGEYVARQDVMQAQQPSRGKKTRPSFPLLFQSFARLARKA
SAPIO_CDS10475	MDPPPLTERQIHALLNILTHAETYSEIEAFKHPHTISQYGYPFA PAPPVSTDGHDDEKKPVASATSPLLQTLLVKFVLPLPGIRDLPPEFWNVRVQGLLAKL AEEDLSESYDKGAIGTRKTLATGASALIEMLARGCLGGYQCSETYSDARDGSEDANVS VNVNGNGGKGEEVEKSLEDSWEEFLEELIHGEMIEALCEWLEESEDVEGHSDLVKAAA DYSIINLASLLHHVFAVTPEGQYVLSLFRNSHRLIPYGMIRQILKFGNAATMLNSLLK LLLAKLSLGSFSNWAGLTSKADEGMNLLQRIISGVMYWDSSEFKKTADKIEKSKAAPS SKHLKAIRKHAQGPREKRLEIRQLSIQSSKSIIFSILDDAHPNLSCTLTETQHHECLQ YYAAHLSTRDRDVIVNTFCRQTPDLLTQAVRDVVGVYEPMIRNIHDNIDLAEHLDAAK AFIEDLIAVSSSRKVSGEGRETAKNGHGHRDDDGAYELPTVEDYVDLLHRHKGSVYKW LHHVSNKCPEVRDMVKEWAQGAAEVFRAEGPSAGTRGDAFTQHRLQLMFASLPKEKQD AIRPVLDKHSDYLDSLHGITHARVQTILDKAESSTSCGPGMYLASWQSLLDESLVSPG FEGNMRKGKDVINRITPGKPGVGGETAWDGSVDVTRAMEEIELEERLRPDGKVVVDAL GQQFHELLREVAKGAVRDAWRRENT
SAPIO_CDS10476	MLRTAARQAGHVAQTAAPAVTDAALYPKIGEKLHGFTLMRSKHV PELELTALHLKHDKTGADYLHIAREDNNNVFSVGFKTNPPDDTGIPHILEHTTLCGSE KYPIRDPFFKMLPRTLSNFMNAFTASDHTYYPFATTNAQDFKNLMSVYMDATLHPLLK RTDFLQEGWRIGPENPQAEAGEEGNRLVFKGVVYNEMKGQMSDAGYLYYIRFQDHIFP DINNSGGDPQKITDLTYEQLKQFHFENYHPSNAKFFTYGDMALADHLQEVNERLAEFR ETHQLVDHRRPIDLSSGPMEVTVTGPIDPLVDPDRQYKASVSWIMGETKNTLESFSLS LLSNLLLDGYGSPLYRGLIESGLGTDWSPNTGYDSSPSHGIFSIGLSGLKEADVPKLK AEVRRILEGARAKGFDQTKIDGAIHQIELGLKHKTATFGLSLLHRLKSKWFSGVDPFD SLAWNETISAFQAELAKGGYLEGLLDKYLLNDNTLTFVMAPSPTYGEGIAQEEKQRLA SKIEQAASQLGGEAEARKVFEAQEQTLLLEQGKTRTEDLECLPSVHVADIPREAKRSV LRHDKLDDVSVQWREAPTNGLTYFRAINTIEGLPDELRELMPLFADSIMRLGTKDMTM EQLEDLIKLKTGGVSVGYRVASSPTNFREATEGIAFSGMALDRNVPAMFDIIRKLVVE TDFDSAEAPLRIRQLLQTSADGLVNDIASSGHQFARGYAESGLTRAAWLQQQVGGLSQ VKLITSLASRPAADSLSDVIEKLKRIQNFILSGNNMRTAITCGSESVQDNTKALGQFL SARAKAPFDFGSSTNSSAGLPQDKKAFFPLPYHVYYGGLSVPTTSYTAAEGAPLQVLA QLLTHKHLHHEIREKGGAYGGGAYCSALDGLFGFYSYRDPNPLNTISIMRDTGRWATE KEWTDRDLEEAKISVFQRIDAPRSVNEEGMNYFLYGITDEMKQARRERLLDVTKDQVR EAAQKYIVEGLEQDAGRLCFIGQKQPWVDDSWTVHDMNSNGSQN
SAPIO_CDS10477	MANGSDVVPQSAPGKKGKQRKVVDNNDTTRLLQARISQLEQDAA GEKDQEAEIEREVKRANRDLMQQMAKIQDPGDKIDFLLRKSSELLADMRRMERENQKN KKRGDTLQKEKDANRTELSKTVTLKEKLEKLCRELQRDNNKLKAAGIDKPNLQNENKT LSDTLKHSDAAWDDKLATVLAKLDGYQDVKDNPGRASVDTELDELFRVRFKTFIEQYD LRDLHYQAGMRSKELEVQYHMSRYERERKRSEAEVVKSRHLESQVQTFAKTEADLRSQ LNVYVDKFKQVEDTLNNSNDLFLSFRKEMEDMSKKTKRLEKENETFKRKHDATNANIF RMAEEREDLKKRQEAAEKKCDKLMSIIRNMQQQGRKIPPGAHEALESYSSSGGNGESE YSNEDDPEDEEDEEDEDDEEEESEEYEDDESEEDKPLTNTTGQQNIPAVKAAPRPFGP ERPPHQQAQKNQQLAHAQTVINGH
SAPIO_CDS10478	MVMATAVIEHYAPLNHHVPMKSYDLSDGDHHHHGVKLPKQIIAE AMRQRIDDIDHERCEPGDEDTFFVADLGDVYRQYQRWKLNLPRIRPFYAVKCNPDPQV LKLLSSLGTGFDCASKAEIDQVLSLGLNPDRVIYAQPCKTGSYLRHVRAVGVKQMTFD NGDELRKIAKFYPNAELYLRIMTDDTSSQCRLSMKFGAAMDTTDSLLALASELGLNVV GVSFHVGSGASDPMAFLKAVRDSHIVFEQAREHGYDLRTLDVGGGFCGETFEEMAAVL TAALDQYFPPSRDVNIIAEPGRFFVSSAFTIACHVIARRTIEDPSMAETGYMVYVNDG VYGNFSCIMFDHYNPVAKILRAGDRLCYDKPEASASVTGEGIQYSIWGPTCDGIDRIT ESIRFDQILDVGDWIYFENMGAYTKCSATRFNGFSDNHDVVYVCSEPGAKALLTM
SAPIO_CDS10479	MKPLKERLKKFRKGRSCPANVSSNDDAAEPASGVTSSVPVFSQI PSLGEPPQTQPRIQFTRSEDQEQNPKANQNRPSPKTDDTSSLASQLWTQAYDEVKSDN EKLVDEYETIILRTAFQDESTLQDEGARDCQIGVAQKRTRMVQAVEAGLKKTEEEAAL KQQVQEGIRIVTSVKEFVGKALKNAPEAAAAWGGVCLLLQVLENPINEATANRSGISY VVSRMDWYWELSNLLDKPHGTQTSLRGELEKHIISLYKAFLTYQMKSVCSYYRNRIAG FLRDVIKLDDWEGTVKSIKDAEQVIQQDIDKYSSEDIKQSLRDIAKHANTQCESLESL CAKQEAWRQDDKNVKCLSDLRLTDPRLDKERIEDTKGGLFKGASNWILGHEDFRKWIE DDNVNLLWIRGDPGKGKTMLLMTIVDELERKISKTSTLAYFFCQGTDEKLNNATTVVR GLVYMLAYKCPNLITHLQRDYDRAGRGLFEDGNAFYALSGILERMLGDASLGKVLIAV DALDECIVDQDRLLKLILRTTRSRARWVVSSRNKDEIDYGLQGASGTKLSLEITENAE QVADAVNKFIDHKTSELPVLRDDEKKRNIVRDVIRRKADGTFLWVALVTEELSKAQPW RVQQVVEGIPSGLNGLYDRMISQIETSAGSEWDLCQPVLATVVLARRPLTLAELGILS GLPPEISDNPAYVRLVVASCGSFLTVKGQSVYVIHQSAKDYLSSKASVCPDGKEAMHY ALHFRSIQALSSRPLQRNIYAIPQLGQFTDDVKIPTPDPLAALRYCCVHWINHLCEAF SKGSGSKHRHHLGDDGPIFCFLEGHFLHWLEATSLIQAMPEAILSVKSLRNLLRTLGG HSYSVSSVAFSHDSKLVASASHCTVKIWDVETGGQVRTLEGHSDKVTSVTFSHDSKLA ISVSRSTAKIWDVETGGEVRSLMSYIEKVAFSHDSKLVASASGCTVKIWDVNTGGEVC TLAGHSDKVTSVAFSHDSKLVASGSYDRTVKIWDVETAGGEGTRGVVRTLKGYNHQVI SVAFSHDSKLVASASDDGAVKIWDVEAGNGVNLTTLKGHGYWTQSLAFSQDFKLVASA SQRTIKIWGLDTGNGVNLTTLEGHGDQVTSVAFSHDSKLVASASYDRTVKIWDIEASD NVVDLTTLEGHGDQVTSVVFSPNSKLLASASYNGTIKIWDVETAEDEVCTLKGHGYGL MSVAFSPDSKLMASASRLTLKIWDMGIAGGEVCTLEDYGYNDYITPVAFSHDSKLVAS ASGYTVKIWDVNTGGEVCMLVGHSDKVTSLAFSHNSKLVASASDDHTVKIWDMETGVE IRTLKGHSDGVTSVAFSHDSKLVASASYGDIKIWGVETTRETTSDEVYTREIPSWTMD QLEWITSTLAAPRLPAIVLGCVTDEGGGRLRFREGRYIEVFRST
SAPIO_CDS10480	MPAEKAASNDDAAPQLPEAIGHLKQKLSFKTDYAPSRITQYVSE RSGMQVFVADRKGPKVVGNFALATEIFDDSGAPHTLEHLVFMGSKNYHWKGLLDKLAS RAYSSTNAWTATDHTAYLLDSTGWDGFAQILPLYLEHVLVPLLTDEACTTEVWHVDGE GNDAGVVYSEMQAVQYRSSELLDIAARRLLYPESVGYRYETGGMMEALRVLTPDRIRE FHREMYQPRNLCVIVVGDVDHLNLLEILEQFEESIKEHIPPLDSPFKRPWIESTQPPQ LTETRIETVEFPEEDLSMGELTMAYFGPKYIDLVESTAVQVVLTYLCGSSASILENTI VEKEKLASVIQYYTDNRPDIVIWIQASGVETENLEKVEKRIVDLLEEVVSKPLDMDYM KACFDREIRQIKFHAEESYNFFSSSILDDYLFGNRDGSTLKTMETLNEYEVLREWTEE QWRGFIKKWLVDAHHVSVLGKPSEKLASRLKEEETARLAKRKEELGEEGLAELAKRLE DAKAKNDVRIPPEVIAKWEVPSTESIHFIKSTTARAGKARELETFDNKAQAIIDQSDK AKFPLFLQFEHVPSNFVHITIYSGTKRIPIELKPLFPIFNEAFFNTHATHKGKEMTFE EVVVELEKETISYHIGSSRQLGDPDGSGIIFAIEPEKYETVIEWIKTLMFHASIDEQR LKAIISKLLADVPESKREGQLMANEVVLAHHFEADTMTLAKRTLVRAVFLKRLKKLLE ADPQKVVDWVKTVYRSVFVSGNIRILVTGDVAKIPNPVAVWDIIGKELAADGSECGRM EPIPLMDACLSDEGKNPGNVGAVIIPMATLDTSFSVSTAKGLSSLHDPRFPALLVAIA YLETVEGPLWKAVRGHGFAYGSYFGRDIETGLIHYKVYRSPCATKAIAASQEAIREIA EGDVPIDHHFMEAAVSQIVMMFAAEESTMAAAALQSFLLGVVRELPLDWTEKVLAQVR AVTPEEMKASMREVILPIFEPGKSNVVITCAHLLKDDIEKALVEVGYKTEVETLSHFY EAYGLEGEDAEETEDEDEDEDEEGSEYGSDDESVED
SAPIO_CDS10481	MASPELAIAKAAFSAALLRKDPVLPNSCTREDVDTFNALFQDVV RQCSPQNKCKRWILQNIIRSPARSAALGKYLVAAANSFDDKRSNNPGSGDRPRPSAKR KRLHVLYLLHDVLYHAKFRDPGNDEFAKSAKDYLAPLFTSVASFSNAPKHIEKIQDLL SFWEEKALLEADTIRSLRDVVQAAVVDKEKLQTAEAATQGAVAGNGSGKTPKEVPFVL PPLHGDPSMAWFDLPAANWLPHLTPNSTKPMKPDMIRPLQLASGPADKVIVDAVKTLL AKVDRLFSKDKGWYDDPHADLNEMGECVILDESNGEVVGGETYYGWSRDFCKKMKDRQ RRARGGNGPGDRRGRRSSRSSSRSSSQSRERYGSRSPSSTPAHKRRRLSRSYSRSRSG DRRASQHRGRSRSRSSYSQSRSPTRSRSRSRSPRRSTSRDRYRRRSRSRTPSPSRTRT DRRRPDYKDRRSPSPPRAKPGLGSAPSPAYSQPQQPPPPPPPPYGAQAPGGFMGFPLN QQHVPPPPPPPNYTGQWPPPPPPPGHMPGWNPAAAAMMMGGNMPMPMPMPPVPPPPPG MPGSWGAGPPHGGPQGQYPYGRGGYRGGYGGGGRGRGRGW
SAPIO_CDS10482	MKRFSQKVLSRGKDPNKSSKKNKEPKDGTASPASSRDSNQSPVQ TPSSSTSTLNDIRNKPLPPSNAGFRPGDHNAANQQAPQLPALGVQSSPDRLAGSAATN GQGTPSRHGALPPTVIVSPSPMQHIPPPGATETLPGDLAPPKAGKSLMFDRIHQMTPK DVVPEGLRTPKRQHSSRFEISPHRELEKLPGFHDVPPNRRQELFMQKIEQCNVIFDFN DASADMKSKEIKRLALHELLDYVANNRQVIVEPMYPKVVEMFAKNLFRPIPPPVNPQG EAFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHSFVLQLLELFDS EDPRERDFLKTTLHRIYGKFLNLRSFIRKSINNVFFQFTYETERFNGIAELLEILGSI INGFALPLKEEHKTFLTRVLLPLHKVKSLSMYHPQLAYCIVQFLEKDASLTEEVVLGL LRYWPKVNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSVASPHFQVAERAL YFWNNEYFCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYNAMKLFMEINPQLF DDCSHEYTEQQNSAAARESMRQRKWQAITDQANQRRLLMNGTNGRGHSGSLSRGGEVD PATEDNQKRLDSLKLQDGDRRERLPALHERHPSAGSSRSGR
SAPIO_CDS10483	MNPRDEPMDDRSSHTEDYFDESDEDHVEANRSIQEVPEDNPEEG EPVEEEEDEGAPRYVVPPRAMGAVEVPMIVANVDRATKAFGNITSYKPFLDPNRNSLP LYMDPENAFCPSILSHNASTHNVLLKVTVPKRTGRKRKRGTDGPWEDWREVGNDGSSA APPVNVERDEDVASKKRLDHPKTLLRKLQDNVETYRVETVGVIKHTHRYRGLMDFQVD LSRSEFASNFVDKILSKDVNKIKQFRIGRDIDSGPHSDIMPPPKFTHMTLPFNYAYEQ NPFVHAIKGDEGEEQVVNTTAPALVGCFIAATDPTPQAPNRLPNLLDPLTAEVITAVE EAFEFRPIWTRRSLLNYLGPRLKNWGPLKRFLGYAAYQFKGGPWRDALMPYGLDPRSD PKYRIYQTLSFKLPSIKNVTSNKNAKKKSWKNFRRARDADPYKFEEDTAESHIFNGDT YYSNGKVWQVCDITDPTLARMFAEASIRPTCDVESSGWYHQGLWGKAKAVMKCKLVAV LFNRTLAPDAFDHLLHGASTYEVEQSVPGGGEDGDEQQTLQYQRSTSLTPPPGAIVSL RLPDLQLTNEEVRFLKGRRIRSTGRRKTEKKKFMSVQLSRRPNRPSTGFATPVKVGGP STVRGKEAGGRPAVSDAVRPSGRDRAGEEPTDGDVARLRGQRKDGRVSEAGPSRIDDV YDEMEVGDEEDMDEVEEEEEEEDYDEEGQNERYDDPYSYLDDDDGVEYIYDD
SAPIO_CDS10484	MAPRPSEQRPACEECHKVFPTCDALAAHKLEMMDSGKGHNHCVE CGRMFSNPDTVLLHQFQYHAKPQDLQCPGCGLSFVRVGGLISHIESGQCRRISKEALD ASRIQKLQMGKGLEDAGDPNHPNKGYYAQYIVKNLPPAHAKPPVPYKLPVLDNSPVLA KSPVPDKPPVPAKEDPVPVKKVALPKPLDISNKDDFPTLADAVAAFKEADKRRAAKAL KGAEDKQSKEPEASDKSSVTDDVQSKKQGEVLIDIGSVKEPSTVSGPSHEPAKSGGTV KKRKPMLSDGLRQIRFTRTGVYLEKLKSDDGVEEAHSEVGVENSISGGVTKTPKDGDV VTIDKESCYEAADGSDSAGVPKLDNVSANAVADLPAQIPNTGSEEYVPPHLRGKKGKQ PIYPPGEQQARDDNSIGQSSSHSRNASGANQMGSSDGGLPKTAAAQNTGSPKKADPHG GASTWIGESWDAPHNPQVKWDNGELLNGGVGESLDITDPRHRDFNLAHHYREWSKKFH CPKADCKKSFTMGHALVDHLTSGAHAYDGRKLQCPHCLRYFRSATALTQHSESQSTRC GIRMTEDFRPFLDQLTAGIADVSGTLEDYTNRYVVTEESIRKFGDQGERGVKVIKALD KFAEEKEKERSEYWAEKQREMTW
SAPIO_CDS10486	MSRRWARRVERSCCKFISYVPLIFVWSLTSWAVWVDVMIGSTTS KVSWIGTGSSMGAVTLFLLLNWSYMTAVFTHPGSTTSLNGDYTALESPPHATSFTVKA NGEIRFCKKCQARKPDRAHHCSTCRRCILKMDHHCPWLATCIGLRNHKAFLLFLIYTT VFCWYTFILSGAWLWEEIANDTTYLESLTPVNFILVCVVAGIIGVVVGAFTVWHLVLA GRGQTTIECLEKTRYLSPLRQSLQNSYIAQHSGPSAASPSYGQQLLDIHANSLPGITR PEEGEEVRSYTPAAPSAQLSYAELERRQREKRYEEYLDEQDSSKLPHAFDLGWKLNYK HLFGPSPWLWMFPIPNTTGDGWSWDASPKWLAARERIRIEREAQRAREIQAGWGGGSE YSPNFPNGAAGEGPAAAAGAGRHYLASPMNSSSPGWRTPSKADRVLGRDPNLYADVVP MRTLNKTGRTVLHDDLLDTDDNDDDDDEEEDFGITESTPALSKPSLSRPVRPTNLGGS TARWQAAGASGILRKTSPTALTSRSTFDTAAAQHSASEDTVD
SAPIO_CDS10487	MASASSANGIGDAIVAFTLDGRFPESEDISSLPITSESLPPALA ALAKTRTDLEKEVHEINEDTKDDVSSWVRNAKSLQEDIVRSKVIANDIIRQSETPDVS GKAIEDAESRVEFLSREVQYTRQLQETLQSIKHVNELLSKAEEASNERRVLDSLHLLE QSWAAMDGLPIGKTCRAVKLLNVRSFELKSRVHEVLDQVWKDLVNVDIDNNLIRIQEH DDGNQLSLADAVIGLRAYKEVEERMEQLWHNLDGAILTPRMNLTSSTLPSIVADTNTL SLSGEASTSAEELFADLSLVFSFLAQRLPADLLEILCSVMMGDVTTRIVKVWLDSAIP PALKDVDKFQDVIKSAEQFCAVLDAHGYTGFEDLKNWVNNAPTIWLSKCRETALDSVR SKLSSGIGKSKAVEKIEKQMVSLAEGKELAKSGTVKSSANEQDWDSAWGFDEEMEEEP EEAPEPTPDEAAPVASAEDDGADAWGWDDGPEDVPEEEAVAEAEGPSVEKMDEDDEAA DAWGWGEEDTVEEPPPPPEPKKQPAATRRKETRTRDEHETREMVLKETYHISSMPEPV LSLIFAILEDGAALTGPGNETNLVASAASGLFGVPTLALALFRAISPYYYSLDVGGSM YLYNDAMYLAEKLAEFSKEWKAREDLSVRARNMLRIDNEVKNLQSFANRAYSTEMATQ KTVIRDLLGGTHSLMHQEEMESCVDSTVARVRAMAVTWDDILARSVWCQAVGSLVDTL ATKVISDVMDMSSIGQDEAYNIANLIAKVTELDDLFLPSKLSGREAESSAGEVALTAQ YAPSWLRLQYLSEVLQSNLNEVRYLWFESELSLYFSAEEVVDLVNASFEVNARSREVI REIGERPHPRVE
SAPIO_CDS10488	MDFLQRLARALDRPLFPWKRLIMGFSIGEYLLETFLTLRQYRVL QKPVVPKVLEHEVSQEVFDKSQDYGRAKARYSLVNNLWGLVQNLAFIQFDVLPKMWDF AGDILLRFAPEGFRGEISQSIVFVLAFVLVNQVLSLPSSIYHTFVLEEKYGFNKQTPK LFVTDLIKSNILSVVLIPPILAGFLTIIKKTGDQFFFYLWLFTSGLQAFMITVYPIFI LPLFNKLSPLEEGELKTGVEALAASLKFPLHELYVIDGSKRSAHSNAYFFGLPWKKHI VIYDTLIEKSEKDEVIAVLAHELGHWHEGHTTRLFGITQVHFIYIFSLFSVFINNASL YSSFGFTLQRPIIIGFLLFSDVLSPTESVIKLLMNILSRRYEFEADAFANRLGHKANL ARSLVKLQIQNLSTMDADWMYAAYHYSHPILSERLKALGWTPEEKVSKEEEPATAKAT GRDEL
SAPIO_CDS10489	MDKQALRPTPSMGFGLSMTSSDEAHSPDSLAPTSFLEYDDSNVD GKAKRPREEAGVEPKTKRVKAASGKNPRAKVKYRGMSQKMANGLYQQIIKPRNLGVGA VSGSAVAGGKPFALDEIVEEREGVSLGNALLRFKDRILPSSPSEGPYLLQGISSPLSP IQITTIHWMIGRESSRGVHGQGGILAHGMGVGKTLMALGLMIVNKTGLTYKKNKGQCA TLVIAPSDAVIEHWLEECWKHASEFFPSSSMARYRDLRKLDNVEAIRQYKVVLPADKR TKRKNAQWPQESQPAPGAHMHSTADTPTPLIFQAEWHRVILDEGHSIKNDKSLTFRAV AALKKRHGWAITATPLSNDKDELFPYFNFIGIKDSESMERFREVYFPQKVASANLRKT LATVMTRYQASDSFLRKEVAPLPPSHVSTIACQPSEEERVILGFLETRIKSSATKSLE DSIVKEENAKVAMKRAKSSISGLFHIYRFAAIHPFMLERAIIDQFDILDVKEIIEKMA RIKSPASRHLREILELALYDKELRGQRCLSCLKECETLRMELFRASCGHMFCGMCFMK KFSMYATLSAPILHREEDDGEEEGGEDGDLNDWSSAQQAKKPAGRGRGKKVQKKSPGP GTDIHGNEPNLDFNKSRFLNYSDRFIKKNQSVVPSAKLAKLQSLLRQWSNEAPNDKII VFTQFMLVARMAGRVARLENLPFLYYTGDMSDTSKKAALDTFRNDPSVKVLVASLMCG GQALNITAANRVVLMELWWNSPAEEQAFSRTYRIGQEKDVYFVRLTTEGTKDDDMLEI QQSKEANLSNCLMTEKPGMSNHSYLKILSLVGNPIEDEFGRIIGIEKPLESYHEGNYE EQTSVDEGSGGEDLGE
SAPIO_CDS10490	MPREIADIKQFIEICRRKDASSAVIKRNKKSGQAKFKVRCQRFL YTLSIKDSEKAEKLKSSLPPNLQVTEIGKKDGKSKA
SAPIO_CDS10492	MPEPLHLPNGVNGTPGGSRHVNGGNGLAVRQRSQRKQSSPMLPA FIVSAPGKVIMFGEHAVVHGKAAIAAAISLRSYLHVTTLSKSKRIVTLRFPDIDFLHT WNIDDLPWSIFQRPDKKKYYYDLVTTLDPDLLAAVQPHLADVSLHKPEDIRKIHRNSA LSFLYIFLSLGSPSFHGCMYTLRSTIPIGAGLGSSASIAVCLSAALLLQLRALSGPHP DQPPDEARLQVERINRWAFVAEMATHGNPSGVDNTVSTQGKAVVFQRTDYGKPPTVSP LWDFPELPLLLVNTRQAKSTAHEVAKVGKLRDTHPKLVGNILDAIDKATRTASDLLED PEFDSEEVESLRKVGELMTINHGLLVALGVSHPRLERVRELVDHDGIGWTKLTGAGGG GCSITLLRPDVSAEKLRKLEEKLDQENYEKFETTLGGDGVGVLWPAVLKNGLEDDDEG GMEIDVEKFLNADGIAGVERLVGVEGAGRGEGWRFWSVEGR
SAPIO_CDS10493	MPPKSTTRTAVSKAKNPEPSKEDTQPSAEPASVNELSKRRYYQT NPVTKRIETDGLPALTPTERQTWANAQFLPRVAGKQTNLPAKVEREYWKQVAKDNLPI RSLRKGYTWGSDKTGRDVGEYTPEDFEARRRKQDRLAALTIEHERFLSRRELQERGVR DRKGNLYVLTEEDIEKEKSRRKEMAQLNRELYDGGRPSRYATDPEWDDVVPIPAVEPE NALAAIAYPDDYAEAMSYLRAVMAAEECSPRCLRLTEHIISMNAAHYTVWLYRFKIVS TLNIPAPDEIAWLNEVALAHLKNYQIWHHRQLIIDHYYPQIASDADALKKLGRSESQF ITTMLAEDTKNYHVWSYRQYLVRKLNLFNLPELLSTQNLIEDDVRNNSAWSHRFLVVF SDPEASTPGSHATEYDAKVPAATVDREIAYAEEKIALAPQNQAAWNYLRGVLVKGGRK MSEVKSFSEGFVTGLGDEDAEEVKSSHALDCLAEAYLEEGDKEKANLCLERLATRWDP IRAGYWKYRQQLVEVA
SAPIO_CDS10494	MSLPSEDTQVKVASEGAQAFVESYYHALSTPSPISHFYINSSKP YTTSGALTADISINGTVLATPADYEKLVAEHGPACRYEVESFDAHAVNPAFGFASEAA PNIPVAADRNGSKMSLLVQVTGRVQFGKGREAVRKAFNEVFVLAPNWEALGRNAPRGL RRWLIMSQNFRTL
SAPIO_CDS10495	MTYYETKALPASDLVCGDIVHLRASNNIPTDIRILSHSGDIRFD RSLLTGESEEVEGAVDVTDTSFLQSRNIALMGTTVLNRSGVGAVVLTGVKSVIGHVAH SSVNVKKEAVLIQQEIWRFVKMIVCMTIFLALLILLTWFDSIVDAIRLDRLVFQSLQK VSWSEIWLVILNVFFASRPSARPLDYSQIYAQAYLFVGFMETITAQSTFFLYMWRHAG MPVSELFSLFEHNREGFHGYTQVELDHFDATGLCVYFVTLVFLQLGNVLAMKNRRPGR PVQKEAAQPVAHTEYGK
SAPIO_CDS10496	MADPTASASGTSKEKTVDRDLNVQHTSHSSSRNIPASERKEVVP KAEATDAGTSLTPGANPSGIDAENGETRDNNEPSSEQFYSVFSRKTKIFLVLMTVFST FFSPFSAFVYLPAITPIAESYHRSLGQLNLTVTVYQIMQAIAPLFFGDLSDRVGRRIV YMLTFAIYLGANVGLALQNNYAALMVLRALQSTGCSATVAIGNAVIADIATSAERGGY ITAVVASAQLSPAIAPSARKIRRKPNELEERVHSEPASARPAKRRLKIPIPNIWAAIL IIFEKDVGPLMLFMSLFVMANYAMLVPLQDVVRRRYNLNDLQVGLCFIPFSVGAVAGS AVVAKLLNWNYVRVARSIGVSPDRKKGDDLRRFPIERARLDIMWPWTLLAVGMIIAWG WVVDSGASLAAPLVVLFLAGAGLSGPIAILSTLLVDLYPMNPGRVSSSFNLTRAGTSA IGTAVVQYIIDAWGYGYTYLFMGLVVLAACPSVWIVRTWGPKWREERYRRFEEAENDA VGR
SAPIO_CDS10497	MAAPNFVTAFPLETGALPASDALETPHVTVDAPSPTQHFLAARS WADPSNCVDDYADTESQCDHLQTEVNSCVSMLDAGNGNLHDSRFVNCFCVADIYTDLV KCQNQHRLCLRDFVMDHQFRHYQDQWKTFCTSELGSVDVTEPPLITPEPVTSFKNGCS YIKSACNRYSQYTSDCQSFFAQSSCYCQSHVVQMESICSVDGIAMCSKSKIEDASTIW GYASCNGWKSGESSFAIPTWAFADAKVDPPRTVPFAAFPLTYNDVPTPSADPPTDGAS AAAGNQIFLIFLALQLLLLL
SAPIO_CDS10499	MAPPILGIPPSAPLSRLPPGPEPGPSQPHARRVSIPYSEDNILT NVLSRQSAHRRSFSRRLSIPDTSNISSLVYSLKRRSSTELGSDTSARLLNTTYDSLLE WIGAQRMSQLPPEGSSYDKVLAWAQLFVERLNSFDMAIEEFAGDSYLAAQLAYGYCAL LLQLGKENAPALTICFGLFYNMSGSLVNLLERTEMFSVSPEIREQLVLALSDLVTLVA GVSTHFHKAISGLMTSPVSINIYSLFPVQIKAFMDRCERTGEAIWRSQLLKEGMDASK IVSDVKSVKNWVAPEEHLLKNLVNSTSHLAHDREELTCLWMAPYITRFLKSPQKMLSI SGKQGSGKTVLASVIVDYLQQPIGGAMYTSIFVPINSRIPAEATPRAIGKAILNQLFE RRIGNIQILQVLTDAYEQSRKVTSDKEYDEIIWNALADVMASPLHGAKELIVIIDGMD EASCSEPALFQRLNTAAKFATNVRFITLGAEKQSLGPFQSNLLITEDMIFDDIVAVVR GQLDHGKVFHSMSEVDQEKLVTRIAHASNGSFLWARLAARRVRLEMNVDHLRKAVDSL ASSKPSVTDLVTQFIHLNGVTDGTRHILLWLATAERPLSLMELTALATMRTDKGAVLD PTHPDMLATLRPVEPLLFLQDGLAYLRHGLIRAAILDLFAKGRLVQGIKDRHEDLVTR LLLYIKDNVTQHHEISLSTLDVHDTNQLLNKNPLLDFAVRHWPAHMRHTSVYAKEGST GVAKSFSKVLPTSVAAILLQGSLWQHRPAPVLLLHLPMVTDIYRHMLTTKNPVTLQCM IFQAMLYRRVEFFDEAIPLLYDVTMAAQNLLGPRSTVTMQLSGIFLETTAPKMDTRNT ELMNNREEMLLLFVECYQMHYGQDSEHVVSALRMLAEHYQMTKQEEKAQKILDSIHAI TTKAMRERAGELHARHRGRRERAAAEGGVSLLLDVEEHDELAEGSRSYDFEFSLKQAE NYASEGWLDRAEHLYIDMWQRASREYRVHHSDVWEERKLKAILGYSRFLLAQNRETDA ASILSTVWEDYKTRHAMTLTQASCDLFHQMASIMKEAGLCTESLSVLKHCAQYYESTN RTQSAMYQEITETIQNNSKEIMEMMSSAETRVTSESTLEEMVLDACKSGSIDQTTLTA VFNLVDLYTSQHRWQDATRLIKRVLRSIWPSLFCPSAQDVTAPSKHVDECVELAERLA QCHHTRRRPTKEGDLRVRIYRAMRTSRKVDDKLRDRVTLHLLRFFENQSQTEKLIKIR QEMLDDCTDHHGPEHPTVIKMLWELAELTRPRPIFVEYYEKIIRTLNKNSYITNSEAF EPVSIVANELWSKGLFSDALRYYKMMFETFLRQPEINDKLQDQSFVREIFTRYTHCLR NVGTEFTVIYKVMADYRAQCKTVFGSNASITIQATLALAKMCQDTERHEFEAIQLYEE LLKTESGEINHKDIATTLDSIYEEQIDAAASSQPEAVSATQISRAIQVLKQRMSNIRE THGWAHEESLSKLSELVRLRNQQHEMDLLANELADAAANVLSTETSSARLIAAASTIA SGYIESDQTQRAMELQHELYRQIVMKDTVNAATYKFNISSRGRDSLVFLAQFEYNLRR NSATVNEILAMLTTQYVYFEEVRRLMKSKSSSFLDVTVATGRLHQCLLANERLAPAAL VFGDFTNYFIDTEGKRVHLTEPSQVNIFLQTLLQHFSTHKSRDFIRSVGISGSDGVVY LLRAERYEAACDLALATFTYISAHDEYRTPVVAKLVLVLGMTIAGRDLLPQPDEPARR KMLETSSTIVRDVLHVLSELKVSLEQISLGHLNKMIGLLGEQHDYRTLSWLLTTLWHS REAQSNWHPSITLSLAKRYIMAHYLVGDSTAAVRLAEDIVYNCRRVHGTRHPSTLDMS VFLTQLYTSIAQRYQGQKSGGREIANRYYKKSAAVHENILRVFTDPSYAEMEAGLDGT MTDGGSSSGFEAMEDLEQATLPDGQYVRRHLHFLKLALERLGSWPKDYAEYERLNADI FRKYAEDLKGVDGVEKWNLSGYGSGKAEDKDDLLDAEFNSWELVIPEEGEDEL
SAPIO_CDS10501	MDSYERVRTWLENAEDGENVSDPHDQIERQNIDDEDVDDDQLPE LSTYRRALPQSSAYRWLVQTLLRERDLTVPGNSNTMVSMRDQIIDAFGRPKRVSRKDL QEVKAVFFSNWDPFTFWKDQQYESPLKDVLARAVTLTGYGNNVEAVTCLQYLRQTWPE TGPALLGLIQSGIDRRSGSCWDVAEIGEQVAWLGAALRSSSLDDGSQYCTPYVSNVSS ESNLIPMGGRQLGQNALAAFKLGYRFEDLSSTELLAQGRCWNGLFRNPTIVPGYPIPR RQESESGLDVPVDIVAALINAKRLVKFGGTMYLKGFSALLVVTKMAVDTVFWHLVYND DRSYISYQDPRVPRWPESAEGPTPDVLEKRRHVVGWCDKIISFAGALGANYSIGWSGL PGPTQSCAFEKVTISGGSIVTAGVSCILGLKDKPRYISFGDDYPGILRNVADRYFVFY DRDDRRAWLVDGASTILHLLRASIQNYEDDPRFRSILCSEKTILKEAKASSMGAVPAC EVLLDVENQSIPLYPKKTEAWKENTLTPLTEPDPIVKKKTTYFCVRDRVEQLCYHLLE IAAHQDDSQTRSGVGFRLLQTPRRQLEGFDFMDIATNQGTIWPKVATLHTTGEGWVDF SRAIHAPTLFGSGFGDLFIPAVMTSSSASPSCPFCLWNSPLPTGKDYLARDWHEPRFR GFDSAYTLPGRFSISIEKRRWFEK
SAPIO_CDS10502	MSAPEVILSPEVAAEDKGPAIIAVIVVVTILETLFTLARLYVRG RIMRHLQLDDYLIILAVICGWCAVIFGILAVSSGNGKHFAILNDKQKSGAILWTIVGF CPGIMSFGVPKLAVIHLLTRLMNPSRIHRIFLWFLGTLCILSLLGCVVVLFAQCTPAR AQWDFSLERKCWSPWVLVNYAIYAGSLSAATDLYLAVYPAVVLFGLRIPFRKKVALSA ALGIGSVATVVAIYKTTRLPNLASQDFSYDTSDLVIWTCVEGSTIIIAACIPVLQPLA DKLTGGRFFSSKTRRNYKHYGSERSGARVGQSDVELSYHGRKRQVKDPNALTFLDQTK VGSEESILGDKENQVQESSKQRRQSLQPGVNIVPGRITRTDVIEVSHSSGSQQTLHGI PEQGRRHV
SAPIO_CDS10503	MTADETKNAPASAANGSGPATNGSSANGFAKVPVVDISVALKAN DLDSVQGLTKDIFALGSEASSGDEQARLALVEKARSLVRALETPRETMIKHCWSQPSA FAALTVGVDTGLFTALAENDGSPKKAQDLAQKIGVDPPLLCRLMRHLGAMGYIQEVDE DTYKPTNFSSSLTIPIIGDGYPCISGCLMASLSKFPEYAMKNGYKTPQSISDGPLQYA YSTELNMFEHLHANPPYGFQFNHHMGGYRQGRPSWMDAGFYPVQERLVDGANTGPEDV LLVDIGGNLGHDLDEFRRKHPDAPGRLILQDLTPVLSQIKELDSKIERMEYDFYTEQP IKGARAYYMHSCLHDWPDEVDVKILNRIKEAMKPGYSRLLINENVIPPRHAQWEATGL DIMMLTLLSSRERTEGDWRHLLETMAGLKITKIYTTANGVESIIECELAE
SAPIO_CDS10504	MLAYFPCITLGADETVKSLARERPFVLLAILACTSGNRSIQCHR LYDEEFRKVLGLKVVAGGERSLELLQGLLIYCAWYPFHLRPKDKRTYQYLRMAIDLLH DLDLDKCCDDCNEQDVAVTSGQLDGIRAYLGCFYIVTTFCPIWPRATWTTLNYTPWTS TCWSALLNHSSRPEDTTLACLARNVYIGQEASRVSKRSQGDDREPQLLLLGLEAQLRD WQSKIPSDIATSAPVLLSALFAEILIHCSVLMTFPAQRASCRPLKPDADKVSHAIPLI RRFFEIAAQLDHGHFSALEWAKLVSCVILGTNLSFPLKEISGWDYMQARSELKFREYL EQICGGGDAAEQSAPQEGSSITRPTDIKCASRAILNVVLRKYNDKIERERKSDEPGRL GCPMLDGSADEYLYMWDDNTPMLMPAIGDQADVVSLALHMGSGAEAFNDRERAPRPGH GGAAQVDPRIMYHDLWATMTLGWGERGLDSLG
SAPIO_CDS10505	MADPKTVKVGVLIPAEAQLLDTACVDILAMMGKDYVECLEDLPK HLLQIAPVVTISYITSSPVDAPMCLTANMKLHATHHIADPDVQPGKLDILLIPGPDPR VSFEEPVLEFVRGHFQNKSTDILSVCTGIMLCGAAGILDGRTACGPRGLQAGLKKKYP TANLVGEKYRWIQDENLWSSGGITNGNDLVAAYARAGKHFPGPLVEIMCMMADVGDRG QSYSEGQTAFTIGFVWQLLRSMFVRKR
SAPIO_CDS10507	MRLSTLAFVAAVEAQFLQGLNHLRFGCEQITIERLDPLVNPGQA PTPHMHQVVGGNAFNATMPSTDISKLATCTTCGPADDFSNYWTANVYFKARNGSYRRV PQMANRLLFGDRFTTQTKGGVTVYYIAPSKNTVTAFQPGFRMLVGDPMRREPLYKSQS CFRCYSGPNHGGDNAAPCSDNRLDFEGFPNIPCLGGIRSNVLYPTCWDGKNLDSANHQ DHVSYPSRGPSNFLSTGDCPASHPVKIPQLMLEVIWDTTGFNDKSQWPEDGSQPFVLS TGDPTGYGQHGDYVFGWKDDALQRAMDDQGCFSATCGNQKSQDISEAQKCTIEKTVLE DVDGWLTELPGVPMS
SAPIO_CDS10508	MALCIDTYCPLTGNPSMSLIEDYWASHLGTGTLGNYKYVPVMSY HDALSEARADESDATLNTNSTEASNATTHNTMDMRILKARRHVSVIERESGLITFDVS SPLPMAAGARKPLNVTSFVDPEDWQMQYNYLSNFEMNESGHSTMAIIIAIVAILLPIP LSLLRLIPGLSKSRGWTYAKSMLVYPSVWGKYHREPVAGAWVPTRGQAMYIFLISFLN LILLLAPYVITQPQASFSSRDLQTLSIVGNRAGVMAMGNVVALFLFATRNNVLLYITD WSYSTYLLLHRWLGYWAAFHTILHSFMLLSYYIVNGTYQDELARPYWSWGIVGTVAAS AILPFSLPWVRQKLYEFFLASHVVLALLFILGYYYHIWYCYEYKWGYEIWIFIAGGIW GADRVARLARTALQGSHTADITLIKDTDGEYFRIDVQGTYLKDGVAYLCFPTLDWRFW ENHPFSVAYCSFGGRNNGEQESNPTSDENQQDAEKSTNSASTAIATADVSTHNSKDAT TTFFARSRTGITKKLLARISGVSGSSTRLRVLIDGPYDHSGHTSSQLAECTGILCVAG GVGITACLPHLEQNTAKERKLFWSIRKPGLAAELAPALAALAGSVQVETLVGRRLDLD SILGQEMLGGKNKGPLAIIVCGPPSMADDVRQKVVSLARGSPLSRPYVLLDEAFSW
SAPIO_CDS10510	MSTQAAPTGPRVQRNNRLNAQFQAFIRGERKITSPTDGQQFLLS VCAQESAQAAVERLRVRPFGLEAVAQAVRCDLGLDYVQSYVAKFLLHVSVQEVKSLNS GAFLGKILERIVDPPTFVIQFLDFYKTGKLNDESIEALAWLSLELLSSTALPVEDIKQ ELLETVNNGSLMKSQNQKIRGYGYRLEKLARLLTTTGSPNVPGGPGGRHDNDFEDFRK IAVYPTRDELLYPGMPFYRLAADITQVPMEDRARAHLDNQFRLLREDMLDELRHDIQV ATGVKKRGRKSPVVLGELRLEDILLRTKFNTNARVSLIVSCKTGLESLTKLPVKQRKK FLQNQPRLLKHQSFGVFCKGQEPFAFGFLWRDEDRLAEAKPIIEIQMSHINGFQRVLE AFYSQDAINFISVDTPIFAYEPVLEQLKGIKELPLEEYLLDPSSANFQSSKSNKGMEK ALSLFEKYTDAAGITLMGHPVDRAQVRSLIAALKQPLCVIQGPPGTGKSYVGALFVKL ALSCGKRVLVLAYTNHALDQFLEDLVKLGIASTDMVRLGSKSTEATAAMSLDKQQFGR RSYAQRAAMEELDSEIHELMEDLNATLKAGDVSFEKLLEYLRDMEPDAHKALMFEVKT SDGFSVATGKGKGHRSDPGHLVMKWLKGQSPAPFWDRMKGTSRRYWQMSRGQRLMLHS TWLTAIRDEKIANIVSLCEKFSLARASLETMYNQGKVEVLRGKKLIGCTTTAAAKYHE LIEASTPDIVVVEEAGEILEAHILTALSPSVEQLVLIGDHKQLRPKINKYELTVEKGG GYNLNMSIFERLILAGFDYSVLKKQHRMHPEISAFPRMLTYPDLEDGPKTSQRQAPRG LQGRVIFVNHEHPEENFTGIGDRRDQGSSTSRQNTFEATMVLKLVKYLSQQSYKTDQM TVLTPYLAQLRLLRDLLSRDNDPVLADPDSAELLRAGLLTEAAAKVGKGKIRLSTIDN YQGEESNVIIISLTRSNSRGDIGFLAAPERLNVLLTRAREVVILIGHMDTFLNSKKGA EHWGKFFNILKENKQLHDGVPVQCQNHPDTRSLLRSPDDFDAKCPDGGCTEPCGAMLK CGVHQCHRKCHVLKDHSQVACIALVNKVCSQGHKYKATCGSKDTCRACAKILEDIRRR AERDLKLEEERRARQAAYERESDRLKDEIDHEKRIMKHRAEQKSHEETLKQLKNDLES TRRTNKNEERIKQREDEAKAKAMASQEAKKGEGEKNRAQAEEDDDIAYPPANANQEWA YMKKYEGQSSTSMDALMKMVGLESVKETFLEAKSRIDTSIRQGVSLGKERYSCSLLGN PGTGKTTVARLYAQFLTSVGVIPGAKFEETTGSKLANMGVQGCQTILDDLQNEGGGVL FIDEAYQLTSGNSPGGKAVVDYLLAEVENLRGKVVFVLAGYTKQMETFFAHNPGLPSR FPIEMKFEDYTDEELLKILKLKINDKFDGRMCAEDGLDGLYCRIVSRRIGQGRGRDGF GNAREVENVISRICNRQAERLRKQRRSGTVPDDLLLTKEDIIGPEPSNALANCKAWNK LNSLIGLKSVKDSVRVLLDTMQSNYQRELAEEPPIQYSLNKVFLGSPGTGKTTVAKLY GAILVDLGLLSNGEVIVKNPSDFVGAHLGQSEQQTKGILASTKGKVLVIDEAYGLYGG AGKGGSGYSDPYKTAVVDTIVAEVQNTPGEDRCVLLLGYQDQMEAMFQNVNPGLSRRF PLASAFIFEDFDKEELAKILDLKLSNTGLEATVEGKQVALEVLERARNRPNFGNGGEV EILLNRAMERQQARISKSKAGKNNMLEAIDFDEKFDRAERSETNIRMLFNDSVGCDRL ISLLEGYQSQVRTMRKHKLDPKEAIPFNFLFRGPPGTGKTTTARKMGQVFYDMGFLAT SEVVECSATELIGEYVGHTGPKVQGVLDKGLGRVLFIDEAYRLAEGAFAKEAIDEIVD CVTKTKYMGKMVIILAGYDNDINRLLAVNPGLSSRFPEVIPFYSLTAEECLTLLTKRL QQMKAQIEKNSPDSKVDLSALENMTPEFRSNLLGTLRNLTTLKSWGNARDIETLRKKI YRRVLADPNQLAVTESILTDELTEMYTERLSRDIPNANQVPHHTFNLPTKPHDRSATP QPPAISTSSSTAAAPKSPKAPAEEKIPPVAREEQEQPPPPHDFTTCRRDAGVKDEIWE QLELDKIAARGREAHYQALVQKVNADDVDEELRERILDELIEEKKRRDEEKKKQEKLK VLDS
SAPIO_CDS10511	MSPFSQGLQRIADISPETKQACAFQTLLLTPFLAATTVCEPSET QLETKHCPHSITGYSLVLDIQRLDHQLMVTAIYDAGVIGPKEVKSLLERFSLIVHQLS MASDSQLLSEIGITTDYDLDRLWAWNTVVPETINKCVYEILEEKARLQPTSAAICAWD GQMTYAELDRLSNYLASQLLQSGFLRCPETVVPLYFEKSKWVPVSIFGVLKAGGAFVM LDPAMPEQRLRSIVGQVEAKFAITSRGNYTSGLALCDQVIAIDDGFFSEYQSPVQKAA MVQPLPRPGNPDPSATAYVIFTSGSTGVPKGASISHKNLFSALHHQAKAIGLTSASRI YDFSAYSFDLSICNIFTSFACGGCLCIPSDADRKDNLAGSIRDLQVNTLTLTTSAAEL LKPSQVPGVEAIMFLGEAVRVQDASRWLDYNVRVINTYGPCECTPISTVGRHSSCPTQ VTAIGKGVGAVTWVVDPDNYQLLVPPGCIGELVLEGPVVGKGYLNNPAKTTEAFIEDP AWLVRGAPGRPGRRGRLYRTGDLVQYEADGNLRIIGRKDTMVKIRGQRVELGEVEHRL LQCIPEVVQVAAEVITVAGPNNSPKVLAAFLLFSRHTVQTEALQLYQATPEIERNLAE HLPGFMIPTFFFSIREMPKTYTGKLNRKELRELGAAFSARLADGGHGPKQQPTSGLEL QIQGIWSQVLGVDSARIGSDDSFFHLGGDSIAAMRVVQEAREGGICLSVAKILRRPKL KDVAAEASFCEDNEDSLQEVAPFSLLDNNSTIDGLVSAYALDPASIQDAYPCTPLQEG LVSLSLQHHGSSVMQRIIDISHLDIELFRRAWEEVAQTNELLRTRFVHAGKEDLMQVV MDEQIEWAEATDLDEYLKADRQRQMGLGDPFVHYGLVKGEDGQYKWFVWTIHHALYDG WAMNLIADALSIAYRGGPLDKKAPYSEFIAYCKNLDISQMERFWRDTLADCECVQYPA LPPSKGEAMLEQVTWTLPAFQSHRYNITYSTLIRAAWGLLAGNMTNSNDVVFGITVSG RSAPVAGIEDMAGPTFATIPFRVLLKQDQPVVEYLEEVQQRGAEMIPFEQMGVSRIAK LSPESHQACQFQTILVVQQGRPSSIPPIIDDLDDDDEVEWFDVPALVLEVHADHHHEI TVTARFDSQAIQAPVVHDLLARLEFILQQFDYAVTSAPQRTIGDIDVVTTRDLEWIWK WNKNVPQTIRKCVHELVEERAMAHPDALAIHAWDGDFTYSELAGLSSKLARYLIDAGV HPGQFVPICLEKSKWAAVTMLGVMKAGACLVMLGPNLPEDRLQAMMQQVNANIILSSP LQQDLSSRLCDRVITVGSSFFTDLHNTPPQSLPQVDPASGVYTIFTSGSTGKPKCAVI GHQNLASALVHQAKTFGLDETSRVYDLSPYIFDAAIINAFHTLSVGGCLCVPTDEKSD LAKEMRNLKANWVLMPPSGSALVSPELVPDLKTIVFGGEASTINDLKPWWGKVRILNA YGPSECTPASILNNDASSPEEALSIGRGAGQVTWVVDPNNHHRLLPPGCIGELVLEGP LVGQGYLDNPKANAASYIEDPVWLVNGNPGQQGNPGVPGRRGRLYKTGDLVQYNQKGG LIFMGRKDTQVKIRGQRAELGEIELRVQEALPEAIQVVVEVITPRGGRSGPMLAAFLK MGEETTPSGDSASGTARIYSVPPIIEERLEASLPGYMVPRVFFVMNELPLTTTGKLFR KKIREIGSSFSSQELSREGIENQECKERPQSDMELGIQRIWSQILKIPCEDIGLDDSF HRLGGDSIAAIGVAAAASKEGIQMSVADILRCRTIRKLVTCCEYRVTEALVEQIAPFT LFGEGLDVDKLVRELSSQYGLEKTMIEDAYPCTPLQEGLISLASKRPGDYMMQAILEL EPTVKISAFKRALEETVLATPVLRTRILQHKQFGLIQTVLREHIQWLQATGLDEYLHA DRRRPVGPPGSLVRFALVSESAEGRPKWFVWTIHHALYDGWSFPLMTDTLSQAYRGKS HNSGAPFQSFIKYVQGLDKSQADAFWRSTLDNYDSAPFPTLPASIGQPLSTETICARL SMPPRLPIDVMPSALINAAWALAVRQMTGSTDIVFGTTVSGRNAPVPGLDKMPAPTFA TVPFRITFDPGQTISDYLKAVQRQATEIIPYEQTGLHHISKLSPEAQAACTFQTLLVI QPPSKTEEPDPDLGIWKMQEQSQWFNPYALMIQGRLGPEELAVNMNFDPRILEPWVVQ KFLRQLGHALNELGDGSNLGRTISEIQAVTHQDLDIIWDWNCSLPISVDKLVHEMVEE QVERRPNATAIHAWDGSLSYQELNSLASALAVQLTAAGVRANVLVPLCFEKSMWTPVA MLAVLKAGGAFVLLDSTLPEQRLKTITSQIEPNLILSSHMNADLSSRLCRAVVKVGPS LNQLRHHSGTTHERQGAQQPSSTTMFVVFTSGSTGAPKGAKLSHANFASGLHYQSQAL GFSEDSRVFDFASYAFDIAVHNVFATLVTGGCICIPSESDRRDNVAKTMATMRVTLVD LTPTVARLIDPATVPELKTLILAGEAVTSEDSSRWWGKVRVVNAYGPAECHISTINSD PSTPEEATLIGLGFGSTTWIVDPDDHHRLVPVGCTGELLLEGPLVGQGYLNDPAKTTA SFIEDPQWLLRGAPGRPGRQGRLYKTGDLVRYSGDGRLVFVGRKDAQVKLRGQRIELG EVERWVQQQMPDAEQVAVEVIEPLGDASGAILAAFIQSKGTPEPIVGPENLKANPFSV PLEVEEKLSEQLPAVMLPSAFFRVGKFPLTPTGKLNRKLLRKLGSSFTVEELTKIRTT SRGPKLQPVTLAEQQLQKIWAQILGIQPSLIGRDDSFFRLGGDSIAAMKVVGEVRKLG VELTVADLFRHPRLRDAARHDLLLPRGASGVIPRTTHAGPVEQSFAQRRLWFMDRLYP GLTWYHLPFAIRFRGPLDLAALGRALQAIENRHETLRTTFESRDDVHLQNVRPFVAWD LRVTELSSGDEQILQGVLEADQATPFDLSAEPGWRVSVYRLGKDDHVLSIVMHHIITD GWSINVLRRELAAFYSAAIKGLDPLSQVEPLPIQYKDYSAWQKEGVREDEYALQLDYW VDQLQTSRPLEFLCDKPRPATLSGEAGHRGFKIEGTLFQGLHKFCKEHEVTPFIVLLA VFRATHYRQTGVEDATLGTANANRDRWELRDIIGFFVNVQCLRIEVGNESFEDLVRHV QKTVTASFDNQDVPFERIVSQLRRGRDLSRHPIVQTIFALHSRETYAEFTLEGVESQL LDVSPGSRFDLEFHVFEEAESMQVSVVFSTDLYIPESVTGIISIFETLLSQVLEDPKS SIASFPLLADTSKAELETLGLLEINRTSYPRDSTIISIFKEQVASRSDTVAVKDSSAR LTYAELDQKSDTLSAWLKKRALPAESVIAVMANRSCETIIAFLGILKAGLAYLPLNTN TPPERLSVILSSIPGQRLVLLGYDVHGPMSSLGNAIYIRIRECLENPAHSVNDNLEMA ASIAPSASSLAYVVCTSGSTGEPKGVMVEHRAVIRLVKNSNVTKNLPSTGTVSHFSNI AFDATTLEVYTALLNGLTLVCIDDNVVLEPTALHAVFEQEEIRWVFITPALLKQSIQE RPSILRTVDVLLIGGERFDPEIRLAVQSTIRGQMFNVYGPTENTGLSTIYSFEEEDMF PNGVPIGRPISNSGAYVMDEKMRLVPLGVVGELVVTGDGLARGYTDARRNIDRFVEVD IGGTMVRAYRTGDRVRWRPTDGQLEFFGRIDFQVKIRGQRVELGEIEHILCSHDLVDD AVALADESDAFDTRILAFVTLRSSEKVGARHRGLGRELDDHMHAYLPSYMIPQTITIL DRMPVNSNGKVDRVVLLRNAPSKPKLVRSIRQPTSDVGKAMQQIWGQVLQIEPSTIGL DDNFFRLGGHSITAMKVVNEARKAGMQLTVADLFRSNTLEELVRQQTTEFKLVIQAPE NAPLVDPDTKLALLSELDNLDLNIRSTDVADILPLTSMQKLYIRQSETVRRVTHYFYL DLAANIDVSRFQTSCALILEKYPMLRASFLNLLGRYWQVVPNHLEPPLVIRDVDDYLD DATDLFCRQDWENSQPSAPPVLFALVRHCGGQATRLIVRLSHAQYDGISLPFILQSIL DEYLGKSIQIRTEFSTFLSYAQSRRSESIAYWKNALEGSSLASIRHKLPHNAGSPVSA QLNPKATGPVSGLQALAVEGVLGLQPIAAEAEVALPHLPGSTTPAMLVNVAWALILCQ VTGLQDLAYGHVVTGRNSAMQGIDTVVGPCLTIIPFTEMGEADSLGFDDILEHCTDWP ADSDFDCVLHHANIDEHPEFDFGGTTCRMQFFDNYRVANAGLSLISHPKGGHLHIKLQ TNSHFTSAEVARALVDSLCNVMRKFEDGWKLPVSRFLEHIRVPE
SAPIO_CDS10513	MLEETEKRVAAATKTLAEPVGAPESDIIKEFKSHGTVDHVEDGK ANGKYGKYRAAGLSEDDAAFLAGFSPAQERSIYRKIDFRVVPLLSLLYLISHLDRANI GNAKIEGLEKSLGMTGSDYNVAVSVFFIPYILCEVPSNIILAKFKRPSLYVGMIVVAW GTVVTFTGLVQNLAGICVTRFLVGMFEAGFFPGSIWLISQWYPPQKTQARTAIFYLSS AASGAFSGLLAAAIAQMDGVGGYEGWRWIFILEGILSVTFGVAALLFLPDTPALSGRW LKPEEQRFLNLMHWATRGDNKQEVDEGRKKTPFRWSILKQVVTDPHLYLQALVQASNT VPNNGLKFTMPQIIRNMGFTSTRAQLLSAPPYFSGAIAAVISSIWADRHSRRMPPILL FQGLVIIAMAVLFRYASNIDTQVALCYSMVVLACIGVYPIVPGVNAWTINNLAGPEKR SMGIAFVVTMTNIGGFVGSWTFLDNEAPEYPTGFGTSLAFAAAGMGAALLVEYLYMRH NKRWEGVSREHIESTYTPEQLDKMGDRSPLFRYGL
SAPIO_CDS10514	MRGPALLSREPLESPNSLVRGDFHCGIGLPPHELADRLVDAYFE HVHVLYPFVHEGSFRARYEALWARGMDNQVEPSVWLAIVNLVFAYGHEFCAQQQDNFA TLAAPFVDRAKAIVLSHMFTSTNLHLVQALLLLCHYLQGTLQLNECWNLVGLMIRSAV SIGLHLNPAKDESLSTVEKEERKRAWWGCFVLDRTLSMKFGRPPSIIFDNAKDVDLPL EVDDQYIDETSLTPRQPWGRPPRLSFFVHTIKLSEIIDNILHRLYDTSRRSQMESNQK LWWSHSPEDSTRLGNAVLLDGQLQAWWDAMPSHLKDEPDPLDGRGFRRQQLVMRIRFL QMRLLLQRPSFMLFRKNEIKDDFLRGVALSASQICMSAARETIRLIHLHYDKQLLNSL WYNLHYVFTSLGVLVTVQTMEASLRKLLSSSEDAQALRCGMEFLRSASRNSILASRYI AMLSRPNKILTPQTYNGNNHAVQGQVLGHHTELGSDGTGTAVDDEVVITGTSTGAGAQ GNGVREQSPSQQGGLDGLGNDFDFAHLDSMYSYELLFGTGLPQEFVSTDWPSYEAMIQ L
SAPIO_CDS10515	MVLKLSFACWDYDRMKAIEDGSVCAEGIELNFLNYRVEETQLRF HEFDVSELSLSSYVLTLNQDNPPFIALPVFPSRFFRHQSMYINTNSGVRQPSDLRHKR IGTPEYQMTAAVWQRGIMEEEFGVPITEVEFFSGAMEPSSEERKSKVPHSLPPGVRVN AIKPGQNLSQMLEDGEIDAIFSASKPSSVDRCDHCTYLFPHFKHVEADYYRRTKIFPI MHVIAVKRSVYESNPWIARSLQKAFARSMQVAENALRDRSALRYMLPWLEDHVRETTS HMGEERYWQDGFAQNRHVIEKFLEYSYSQGLAARRFKPEELFAPNTLEAFVL
SAPIO_CDS10516	MIAYIVVPAALGAAILLINYLSPKDLLSHVPTHSFAGDNSRKRY MTDLKSLLESGYRRYNKAGQAFKVTIPVGGYSVKYRVILPKDHLEEIKHRSNDVFSWQ LASRVIFAQDYTGAPDRGPWSGKALRVGIHQNLKDITARLERRISDYFDDNLPQRKGQ VASVDFMGFFVPTITHVTNALLVDERLSSDPEWVRQTSEFAVNRYGAADDVRQWPPYL SALVAPFIPSVKRLRQQRKYVMDKMRPVYEDLRAQGLLEKKSRRKGAFGYEWLWSGSP DDVTLQDFSDTMMRTLIASIHTTAKTISVAFVDLLTRPDLFEELKTEAREAVDEDGSV DLEKLVKLDCFLKESQRLSPVFLLTMNRMLTEDYEFKCSGLKLPKGTMIMAPAAAIAT DPETFRDANAFDGHRYLRMREDHKESASSLVLGMSTIDSLGFGLGNQACPGRFLAVNN LKLMMAKLMVGWDLEIAKNGREYSGPPPQMEYNDFSVVPPGEFSMCLRKL
SAPIO_CDS10517	MRTQRSSEVPKKACDAYLGMYDDSLKALRQRRLTKLKAHASPSR YYGWKPQTWDEVSENARKARTTQ
SAPIO_CDS10518	MESEDVLPNDNKGPMLLGIVWSLWALTTIIYIIRLCARPSRKFD FTAAEYTITAALIAKTISVAFMTAAISRGFGRHNIYISPDDRGLIRRYTVGVFMSGVP ASCLARVSIACLLLRLTTSRLWRWALKGTIVLQALVVLVYELSQFIQCNSVITGISPN DSKCLPKSHVWGFTFMSFASAMISDFICATIPFFLLRHLTRSVVEKALIYVLMASSLI ATAIGIPKLYHVLTYNYGGPDGLYELLPEFFWCRMEEAAIIMAACAPLLKCPVERVLK RMGLPTFKIPTRGLKSLRSLSGLGQGSDTASNSGPDSADSACWGGSTKTTPDVSSSTT DAKSTSQHH
SAPIO_CDS10519	MTLPIALLLSLAASATALSHVAVKRQISELGENYDFVIAGGGTA GLTIADRLTEAFPEKTVLVIEYGEIEYAPGTFDPPLLVWGGAGASASRWFFESLPNPD VLNKTAVVLAGQVVGGSSAVNGMFFDRPSRWDFEAWDRILSPECDDDEKWDWNSIFPF FKKSVTFTEPSAAAASEHGYTWDLAVYPGTTPIYSSYPPFLWGDHNVARDTWIDMGIP VLQECAGGDKNGLCWIPISEHPVTSRRSHAGLGHYAAVNETRSNYHLLIKHQVTRVLY PDGLQSGPPIVEVRDRSDDSLFNVTAEAEVILSAGTFHTPTILQRSGIGPASFLADAG IPLVLDLPGVGSNFQDHSGPGFTWNYTTPGDWSPLPSDMSDPTFAADATAGFDETPAR GPYTLAMANSALFLSLANVTDDYLTIVNKIRDMVDDDSAASYLPAEYSSNPEMVAGYN HQLSVLADFYENPEAPSLECPFATGNSMRAVMLHGLSRGTVRLDLTNPLNQPIVDYRS GSNPVDFDLHLAHLKYLRGMFDTQTMEEFGAVLVAPGANVVSDEDLTQYTKENMVFSF MHPCCTSAMMPKTKGGVVGPDLRVHGAAGLRIADISVLPLTPSSHTSAEAYAIGEKAA DIIINYWT
SAPIO_CDS10520	MKVTYILSVLAATGLGAFAATDGSFDILAMNVAGLPAIFNGNDV PGDKATNARTIGSKFSQYAYDIIHDFNYHAYIYETDNHPYRTPTSGGAAIGSGLNTLS NFNWINFSRVKWDDCSNASGADCLTPKGFTFMRLSIDDGAWIDIYNLHTDAGTETADL AARNSNLRQVASYIDTWSVGNAVLVFGDTNSRYTRTSDQISIFSTQNGMADPWVQLVR GGVTPTQETICENPSTTDYCETVDKVFYRGSPIVTLSATSFNYDSTKFLQADGNILSD HNPIHVEFNWSLSNRFRQSNYWGGPHGNWFTDLPTLSSKSGSIKTSVITFRGASRLDS VGLTLTDGTKFVHGGTGGSETSLKLGQNEYWTSAVLCQGQKDGRTRNFYIQATTSAGN KVSAGSLTSDCNTFQAPAGWQIVGYMGQDGDEIDQLAFIYAPQ
SAPIO_CDS10521	MFSLTHLVLFFPLSAAVAAGSSPRFLAPKQDIVLWRGKGSDSPL TRLGANGPWASGPNVHGISTAIPENCYVDQAAYVSRHGSRYPDNGAYNEWLEMESRFS AGGYEAKGVLSFLPAWKPVLTNPDIQIAMLGPTGNKEAVDMGYQLRTRYPQLYDDGDD FYVWANNYTRVLQTASGFVNGFLGASASKLGNVVAVTSKGIAEAIGNSLAPSDMCPNF KDQSGGDYIKTWNSIWQPRVQERLQKLIKGNLTLTLSDVNLMPYLCGFESQITGRLSP FCDVFTDDELKMYEYSNDLRYYYGVGPGTELPPKMMTPFLDSLVDLFAKGPGVEGVGL DGAQSFQVPKLLVSFLNDGQLTELVSASGVFDEQEHLSATEMDHDRLWIGSRFITMRG TIAFERLNCMVPGPKNETTTSHPSSTNTSLPAPTNTGKCGPRKSRSPFDASRGYVNAT YVRIRLNDQVYPVPSCRDGPGSSCALGKYAEYVKAKYAAEGDWMENCDVTMEGKPTVV QGASFFTDLSQPHLAKYTQ
SAPIO_CDS10523	MTRGLSTSVLLAIISAFSGSEASAITQNCSAFTTDAGSAFTHHR FYDFRNLSRDAGPSSAGSVSPALSRTVGDYWTTDWEVSNIFKWEAHPGWAPILYSPES VDRKDNGDGSLLELTTTQLKNGTQKSAELIYREYNITTLSLRILARITGSPGACAGFF TYYNDTQETDIEVVTRDPVDMFHGSNQPTYDGQERPIPDTSFNISMTTNNAGKSREEW NTYRLDWIPGQSSFYLNGVQLAQTGKNVPFEESRLIISMFGNGGSWSGPMEVGMDATL EVQWIQFAFNSTSASPGSDGVICNIDDPNFIKDLPPPDSIEDSGQPHSAVPFLKLIVL FVSVYGIIIFF
SAPIO_CDS10525	MATKTLFALLLAGGAIAKSACPVVNCTDVSALSDYSTLRLREVG ARNTLDWRIWLLRDGKPISFWHDVPLYPDESNHQVVNMVVEIPRWTNGKIEIKRTEPL NPIFHDEKKSLPRFVENVWPHKSYPFLYGSIPQTWESPNFKHNFTNFEGDNDPVDIID IGSDPGYVGQVKQVKVLGGLALADGEETDWKIIAVDVNDPLAALVSSVEDLEKHRPGL AQTYRDWFTYYKVARGDGVIPIVGDTWQNADFVVNVLEESHGFWQDLVAGKVDTGEIN YNQTSDASLESFISRCEAVDELSIPKKNAKKPAAAIPEKYSWWYYLDADYKLIELPSS S
SAPIO_CDS10527	MMLKSLVALATAQLAVGQELMRFGCSQLTLDRIDPLVNPGALPA PHMHQVIGGNSFNATMDPASLDPPRDSSCTTCTFAEDFSNYWTPNLYFRARNGTFKHV PIFANLGLGQIQGGMTVYYIRGYRASDKVTTFKPGFRMLVGDAANRDPKKVPRQLCYR CEHNYQQSPFGGAPCTGSDTTTFPKEACGGGWRVTITFPTCWDGKTLDTPNHQDHVTY TSSGSFETGGPCPASHPVKIPQVMYEIMFDTAQFNDPNDWPEDGSQPFVWAMGDTTGA GIHGDYLFGWKGDALQRAMDSKCNGVNCPGMERQSVDVSNQCAKGQMAAEPVGDDGWL TSLPGNWELS
SAPIO_CDS10529	MLSILVAGLYALSSIQPAFAAVAGDRVCKGPVSSVKCEGKSYVY EKLAGVGLLPGNGRDKYGDTLGGLGSSATIDLKSWKKVKGKYTGTLWSLPDRGWNTEG TLNYQPRLHKFTVTFDPHAKKTNVSSSNPASNLVFSYEDTILFTDPSGKPLTGIDPTG VLNFTGLPSVPAATYTGDGFGAGSSEEGDTRVCLDAEGLVLNDDGSFWISDEYGPFVF LFDKNGKMLSAIRPPDALVPMRNGTESYSAASPPRYDPDFKISPASPTSGRNNNQGFE GLSASADGKTLYVLLQSAAIQDGGDSKGTRRHVRFLTYDVSKKTSPVLVSENVVPLPE YIDRNDGNKTRVAAQSEVFSLANGQFLFLPRDSGAGTGLEFTESNYRHIDIFDVSKAT DIAGTKYDEVGGAVSPKGVLASDITPAVVCPWIDFNINSELAKFGLHNGGAKDLGLLH VSNSQNEKWESISLVPVHVDEKGKRKGKKGGKQHEEYYIITLSDNDFVTQDGAMNFGK LPYSDESGSENQNQALVFKVRISA
SAPIO_CDS10530	MRVLIVGAGPTGLVLAHALLKAGVENLVILERRQTVVEASGAGI GLWPHTVRVLDQLGDGILESAQEMVPRMKRNARLGSEGNLILSTDMYEQIEENHGHPF MLFERMRFIELLYTHLPRASDLVLTGKSVASLSQTDKLVSVTCDDGSEYTGDILIGAD GVHSITRSLLFGGLEDRRAKLADGFSASFRCIFGCGPLLPGLVPGEMVERSKGPISFQ MLISDDTVMWFFYQRLEKMTTNKTYYSDAEMEELADTFKDFEVVEDRSICFGDLWQTK RRARLADLEEGLISQWSKGRVALVGDATHKMLPNLALGGNCAIESAVSLVNRLHNLIQ TSPTPSTQELESVFIAYQQERESRARLFTRLSGLMLRHWWLLAKFLGFVGRFTSLEND RTVTDKVLTRISKPGLVLDFVPETQPKTGKVPWDISIVGLSTG
SAPIO_CDS10532	MRHRLLPNGTRNHNSSDGWTSADYWTSVHIDHALDTHSISPGER KLAIILPADNPSPDLCKVISSAVALGYPAPIIVNWRKDFHTNEDGIGPSQLGKISGTL NYLEWAMSEKAPQSERLTNDDLVLMLDAYDIWLQLPPSVLLNRFFTGNERADRRIARE FGGGVSRGLMRQTVVVSAQKGCMAPRDSISNLHCDGVPESTLPKNVYGLLTDSPLSRI LRWKYTRPKYVNSGSFMGPASDVRRYFRRVKDRMDQNLLAMDEGQELGGDQGVFAEIF GEQESWRRRTQQIGPGRDRAQTKLSGEFEYHVGLDYTQELFYPTCYSEHDSYFVSLND AEEVARESGRLGVSPPRVQGVPRDIEVAERPLSLLDDDGGDDVRRGGRGWGDLPLYVD FWTTAIPVAIHHNAWRDGLKNRRRTWWDKTWYFPYLRRLLQARVKLTETKAPPLVTLP ALNGSLDVWPYFSSSPDTAAVLFVRNRNTTKEEWSLERADWGAVCKSSNATMEAKRPW KSAPVAPPLVATDRIVPLSQEDDTQINRDLILNFMMRFDSVLDAEKLHSALEGLLNRP DWKKLGARLRLNSEGKLEYHIPQQFDDTRPPFTYSHINYDVAIGEHPLACRVPSGFAG EEPATVLDPYELFPLMRREDAPTKIEDYLYSDKPQLSLHVVSFTDATLASLTWPHTLW DAMGRREFLLAWTAILAGRDDEVLPLHGFDTDPLSDFCESPKEESKLIDKSLSILQLI VFGIRYWFEHFWYTEEETRIVCIPSAFMKRARETAISELKATRAESGKPTDDVFLSDG DIISAWGARLLAEHLQVGKNQTVCVLNAFGWRSLLSPDILPRSKAYVANASSGIFAFL KGGDILSRPLSYTASEVRRAIAEQGTRSQLEASVKLTRESIRARGVPPMYGDATMQLI IVSNWSKGRFFEMDFSPAIVPGSKSARPGDATGKPTYIQAAGHANGFSIRNAFQISGK DGQGNYWLSASLRKHVWSSIEKALTGTKSTN
SAPIO_CDS10533	MVSIKAIYTALVLPVLMVTAAPVDDLPIAKDGTDISPLAVKASI TYCEHTNWNGQCETRLQPLTECYNLPSSWNDRISSIRNDSRSSYKCTWYEHGNCQGRS YDNQNDANLADGDGFFNDRISSWSCKYK
SAPIO_CDS10534	MRTIVLLRALLLLVVGAPKTLPSLLMQHEGDFIGEIPTMADDEC PVRYRRPGARFGPRGIRSGSWRIKPESAWSVYTGENVFKSGAKIVDCGDVPMTWLDNT VALRQLETAHNIVVGRKPVSERLSSIPRVITLGGDHTTTLSALRAAFKRWGKFSVIHF DAHIDTWEPNVLGGDISDYAGLNHGTFLHIAHEEGLISNTSIHAGIRGALTRPTEDSK NDLRCGFASITARALDRLGIDGVVEQLKGRVGDSNVYISVDIDVLDPAFAPATGTAEP GGWTTRELLSIIDSLAGLKVIGADVVEVAPVYDNVGETTSLTAAEIVQSLLYLMLKSP FS
SAPIO_CDS10536	MAIMDIETLDALADALPRLLKKDGMYIFPAGHHCLTLPTRLSVL AKFQILKLDYLESLELESLKSISTSRRGEVSLWLTSQNGMYVDPGKEREQHAAQLADR RLTWEKLYFHRPLHELFGVFFKAGLVMDALEEPTFSAEQAATGDRQRTDRTQIPVLLA FRLRLAK
SAPIO_CDS10537	MSTLKVAIVGATGHTGASVVDGLLASETHFPKEITALSRESSIN NAANQSLRERGVHVVPVDLTGPQQSLVDILTGIDIVISCIVAFSLQQQIPLAEAAKKA GVKRFVPCNFGTPAPRGIMWLDDQKYEVLDAIQRIYLPYTVIDVGWWSEQITPALPSG KTNHALLQNEGSRVIPGDGNVPVAFTSLPDIGIYVAKIIADPRTLNKKVLACTDVLTM NQAYDIMDELSGEKTVRQYRTAEALEGILDEVQESLSRNPEDRNAFIAKVVNEYYYSW GVRGDNQPKSAEYLGYLNFKELYPEVSGRTLKTVFTDILQGKSPGIHY
SAPIO_CDS10538	MAAMATETLQFEPPQPPSTVSESSVTDKQPSDGPDVKVPRYMNE CPPMALPNPPTFWLEISDVQALRPQDSLPPIDALSTPIGRPSLTSQTFVHPPSNSTQT SPFCAYSTVDLAWNAFNTAPVPQTLPPRPQFLRSRLRFVVEFTSTHGIANLFSCGDRT SRKQIMSSFSGNRMASSILPDDITTGSDVGLATTWQGLENSDPAQGRFVAANRVNASG IAISADNCQQVWLHPLTAKSHEIVRRLGESHCARTRDPLGWTEARQRSCARFFSPENL DRFMSSFWLFWSPNWPVLHRPTFVATRRSPHLIAAMALIGACLTSEKSDRDQALEWLE DMEEWVFTDIHFHYTPIPQTGDAFELMQVHSRVDMVHASFVTLLMLMWEGTSESQATR ARRIHFSEIISVGRTLYPFAWCSGTMHRSIMTTTRFDAWKLFVLREECIRSILYIFLL DCAFIIYNNTSPRMFTDELQYGLVCPDSCFQAADPDTWFKAMQEWLQGQPLGHITVAE LIDISLKEDLNAEEWQVFEQASLLNLFAIASAFHNLIFLHRNGPESHMKSLRVSRGLR NWVRVWGDRDPVPTENDDPQPDLRRPGFIRYVREYWSLAVIFHNQNEYKGKRNLMHPE DSGTQKSGGLLVEPDTSDMGPIHELMVRMQDIDLSEALIREFGEVHLTGQADAVNIHS SKPSREFLKRLKENKKLDTLGRNYTTRTQPLISPSTLAQRYEKPADADDAAAQITINT VSTSGSGCPKGAVAVTISPDRTVVTLGFDEFHVGIGPAWSPSDREKNCNIHLNLHYPP GYSYAVVETTYHGFAQLNDGVQGTFDTEYIFADENGKGLVGGLVGGLLGGLLGLVGDL LGVVTHVVLLGGDRFADGDNFSITEKVPVNKQVLAPCDGKDVNLLIRTTVSLSASSRD ATGHLVDDDATIDLTQQVHIEWKKCG
SAPIO_CDS10539	MKVCVAPASTKTGRAAIDALLKDTGQHSVVGIYRDLGKVPEHFK WHPWFEARQGDVASVETLNFSGCDVLLTMTPPFLFTSDDPVATAKEISDNVKEAVLRV GSIRRIVYISSGGAQHESGVVSVKDVGAFCAALAVDMKISESTSYPFIVELHGPKAYS PEDVEPLKSHQTGAIYQLSI
SAPIO_CDS10540	MFASTFLLVALVTLGLAQNDTDTSKIRRVYLTSNVNTKFVVTAG GTTAGSSLVVQTLSEKPEQQWLLQDGASTLQLADTTLCIDGGAQSNWKDMGTLSLAEC EEGKEAQQWTVMEDGRIALTASNPQQCLDLVFMRAVENNAVGLYSCAGLGNTGAADKG INWPIVDVEAP
SAPIO_CDS10541	MVAAPKPKINVGTTADPIVTRLVQEDKVPWYKKPNLRLMYLWLF CCCMGVEMTSGFDSQLINTLQFSPNFHTYFGNGRVDDDDKPAIEPGLLGFINSCYQLG SIFAVPVAPWFAQRYGRRWSIMTGSLIMVVGAILQGFAQHVAMYIIARMLLGVGILFC IISGAALIGELGHPKERAVLTSLFNSSYFIGQILASAIAIGTTEIPSNWAWRLPSLLQ ICPSLLQIATVFLLPESPRYLISHDRDDEAAAILVKYHAEDDGNSLLVKAEIAQIRET IKTEMEVSKQSWMELLSTAGMRRRVLITIFIGLFTQLSGNTLLSYYSNILFNMMNYTE QSQKTRINVANACWGLINGTLLALIIPRFKRRHMYMLSASTMLLVFIAMTVSLQRLEV ARDADRKDTAAGVSALFWYFAYSPCYNMGNNALTYTYLVELWPYAQRSRGIGVQQIFG KLAGFFSTNVNSIALSAIAWRYLAIYCGWIFFELCIVFFLYPETSGRTLEELAFLFED KEYNDRLAAAVEKHIQYDSPNDTADGQQPKEKEKELV
SAPIO_CDS10542	MIWSTLLAFAIVAEAAPQFGMPGAGGGGGGRGFSLIRFGCSEVV ITRLDPLVNPGMAPSTHMHQVVGGNAFNASMPSTDISKLATCTTCTFADDLSNYWTAN MYFKARNGTYMRVKQIPNRFLDGADAGMTVYYMSPGAGKVTAFQPGFRMLYGDAARRE KDGNGWKTQSCFRCYSGPNFGGDVMSPCADSKLDFEELPPHACAGGIRSTVNFPTCWD GKNLDSVNHQDHVSYPASGPTSFSQFGTCPDSHPVMIPQISLEIVWDTTPFNDPELWP EDGSQPFVLSMGDATGYGQHGDYVFGWKDDALQKAMDGACFGPTCAGLKTQAYADANK CRVQDYINEEKDGWLTELPGQRVEESH
SAPIO_CDS10544	MANQDFKPIIKDQYLAGQVYFACVVGLICLEAVSVLPAYLLKLF KSGPFVRRSHRHSTFYVFLHRLATLPSPVPFLTYHRIPDALRCLAFIGLNMLFGWNRL KYTTDYKLYGWLCIANGGVSLLLASRTNLFSTILRIPPPVLLYYHRLVGLATIFHGTF HWATTAAHYVRTKQLATVLASGFTQAGLVAWAALMIIALTAILRVVRRNAFEVFYYAH FFFIVYVIGACVHAKHSPEFLLPGLGLWLADRGWRFYYNFRRVTPKSVVHYPGGVTKF KLEGLRVTRPNQMAWIQLPSISFFNWHPFTIASAPGDPITTIAVRGLGGFTKKVQNLA IEGYTPDGEKLDPRSPVAIPPHAVKIRVDGPYGHPSLQWEQYPVVVLIAGGIGITPAI SIASHIIHWASQSGCSGSLEEETRYIHILWTIKDITHAQWFEEEMIEMARRIEEENIP VSLDISIFASGLKKTESLEEALIANEGYVFSGPATVHQGRPDVEAWLKDVRRRRCGLD AAVNLCGPRPLVDAARKAASMVSKERGIFHVEEEVFEF
SAPIO_CDS10545	MAHQAHNIPWSSFAAQLKWSSKYHPGSGDLHFRDKPNQGKVVRH FVESFVQNLLEHSRTTRLKYPPNYKVPLEDDLILDDETVRKISPTICRIRSDIGRRSH FHETAWEHGSTDDNDLLPRKERLASAFLRQYRQNPCYEFLIYNGDAYFNLTIVETLIL YGEMDAVFRVTSHPDIGLSKWREVSQCYDTDTDIGWNRIYEKALLSYIGLNLLCSFPE LWDPASGGVAKGEYLYSGLYQLILLNAVESGSLADVASYPHQQFFGMSKDQLLQLRSI RRCISGCTMTARRRFPSALDVLFSLEGRRYRPHAPDVETVRRILFGLGLPMEVILEIM KYAEYTPQRGLYLANDPLCWENRDELAKYLTYCWLLLVRPPSEFLDKSGHDPGVPITW VATIRNRRRNSGLDDMTAAPVSLAPLDPVRSASLPHHNWDVPEFEPERVRDVASAIPP PSAFSVAQRWNETKRNIGRTISCFFAFVVLHEMYGRRGVAALATISYTVSYIGMSLHP PFGIVIPLLVLTGYGSGLMNGSWNSWVGGLVNGGTVLAFLHGCWGAGATIAPLVVSAF VSRGLKWWEYYYLMTGLAACAVVFTTSAFWDDAGMRHTVSRSSRAYGFTLAVLKDKIT LLLSAFMLLYVGSEVTIGGWLFTFMMNARKGSPSASSLVASGFWVGITASRFALGWVT SYLGEKIMVATYLVIAISLELAFWLSKEFVVSAVMAALVGFFIGMIMPSGIRMMTKLL PPEKHIVSVGFGTAFAVSGSSIFPFAVGALAQFRGVEVLQPVVLGLFGAQLLLWLVVA KIGVRNEVHVV
SAPIO_CDS10548	MGLPKTLFLLGLVSAWALASALERRQSTVINVNLDQKFQTMDGF GASEAFQRAVTMQRLPETEQRKFLDLMFSMEKGAGLSILRNGIGSSPDMSDDHMVSIQ PKNPGGPSAEPQYVWDSSDNGQLWVSREAVHTYGLKTIYANAWSAPGYMKTNGNDANG GSLCGVSGATCASGDWKQPYADYLVQYIKYYEAENITITHVGFLNEPDLTTSYASMRS SGAQAADFIRVLRPTLDNSNLTHVQINCCDTMGYSVMNSFLGQMRGVESMMGVVTGHS YTSSPTSPLSTQLKTWQTEAGDNEGAWTSAWSQGGGPGEGMTWANNIHTAITSGNVSA YLYWIGAQDRPSTTNSKLIRVVNRAVDPSKRLWAMGNWSRFVRPGAVRVGATGSGVRT SAFVNVDGALAVQVINGGNEQSISIKISGGGNYSASSNATAWVTDNTRDLDQITASVG SDGTISGSVPARSMVTFVLHPAPEEPVV
SAPIO_CDS10550	MGFSWEVFESSDVFRTLRKLTEQPTEAFTTQDALQHIHHLIVIE VAANRGKPVNGLINGAKPELAGILPDCVADVLVELACRTDPPDQGRLVEFASQLYRQT ELDPESGEPLRQDNRKVWSENNSLILSANRTWRDRLGVSDSDWGGVFNIRTYADDVQL SAEKKGRLVNLVAFTAQLTQAAPPELAYGNNPLHWAHIALWSMRAAFEDGIQLHEIVG TTTLQVACLWFTYAVDAVWACVEKELQGGNDFISGPGSQYKGKDWKGFNKERWDIWVH RLEQARRLCNNSDRETINMIEDALNKAETVKREK
SAPIO_CDS10551	MLFDLKGVFLFGLLALAQASPVSVPGAGGLEARAKGKKVTDVIC DDGQRLTAEDVGLALRAARNAADESVGYPGLSWWYPHFFGNQPPVFPAQAELRSFPII PGGTFTGAGGPRAGVYRVVYKAGGSKDFVGVMKEGNGASFSLCPPAPALPPPPPPPPS PPSPPSPPSPPGAPAKA
SAPIO_CDS10552	MSYTQEPLPTKLSTRTLEDYGPGSPFRHHATIREWIEGIFFRSN YDKLLELETTVERAVKENGEWVLTLRKVASGRNYWWRETFDALVVSSGHYNIPWLPEL PGLVEFDKAFPKVIRHSKHFRRASEYKGKRVVVVGSSVSAHEIIHEILDVAEAPVYAS IRGEPLPAFGWEPFKHPRISVKPGISHLEPQTGRIHFTDGSHLENVDAVIFGTGYTFS FPFLPDVQERVREADRRLPGVYQHTWNIEDPTLTFVGMLGGGFTFRAYEWQAVAVARF LAGRSKPLPPIPEQLEWERKRVAEKRGGKDYYSIAPNYEEFFELFRDIAGPPAPGTNG RRLPPFDPKLLEVWSGMVTAKLEGWQRKRNAAENEGLVRAKL
SAPIO_CDS10553	MVSLSTYLLAFVLPASLALAKPTSSPVCIVGAGPAGLAAAKALE DKGKDVVVFEKKATVGGKCQAIYKDGFFHPLGAVLFEKAAYVETVKLIEQTGVPYSNL SSGERWYFDWKTGTAAQAPPTPQDVATALVLEYQQYTEFWNTVYRPSSVSGYKNGVPP ELAVPGAQWLAQNGYQILGLAMVDAFVTYGYGDYREVPALYVLEFFTPSILAYLLGLT PGYKIDFHKLFAEFSKSIKAQIHLSTNIILISRWRSPIIIYREGHNWLPRIQTCSDLI LAFPPTVEALEGAGLILSREEEDLFSAVRVVNYFSSAVKMRQLPGNVSFSPLKTDPFT PVAPEGQPIFFTALHPGSGVANVWSWDADDATKDISRVRRLLTETLSKYNKDPKDTAQ KPTPVRDKDVIGFSAVTDYFPHVGPAELDGGWYARFNALMGTSHTYYASGLNSFETVE FALRAGQDIVESYL
SAPIO_CDS10554	MAQSIPQTVKQWNVKGEGSFDELKFSEQPLPQVGDSQVLVKIQG ASLNARDVIPGSDGAGTVLAIGNNVTRFKPGDHVITLFNQGHIGGSLNGLSIGTGLGG TIHGTFRTAGAFDEQGLVHMPPNLNFVEAATLTCAGLTAWNALFGLSDKKVLPGHWVL TQGTGGVSIFALQFAKVAGARVIATTGSNAKAEILKKLGADYIINYRETPEWGAKAKQ ITGGAGVDHVVEVAGPTSMKQSLASIKIDGVITIIGFVGGTDKDQPGFLENLTHVCTT RGILVGSRVQMEEMCAAIEANPKTLRPVLDPKVFTLDQLKEAYEYQWSGKHQGKVGIK IE
SAPIO_CDS10556	MTTADRQQNPQMAVVWLLEELGIVSMYQSKRDIKILCLQRLVRL FAFGASTLVLIPFLVEQHMSQAHVGIFMSLTLIGSVGVSFMLTLSADRLGRRSVIILG AVSMAASGLTFAMSSSYYLLLGAAIIGFISPSGNEVGPFRAVEESIVAHLTLPHQRSD VYAWYGLLGPVGSALGVITCGWVLSYAHEQLRWSHLDSYRGIFYAYAVFGLIKASLAV MLSTAVEFEPEIDKQVEGDSTIARDPAETAPLLQNNSAEGPPIENQEESPRPSPTFFG GISHASIRIALPLCILFALDSFGSSLTPQYVVAVSRTPKTRPPL
SAPIO_CDS10558	MAGQDLPRKLWEPRNPKTTQMHLFMETINKNHGIQLESFQDLYE YSTKHRAAFYAELFNWANIIYTGSASSVVDESAEIDTVPRWFSGVNLNWAENILYSRD ASATSHRGVVGKENDKIAFTEIREGNTEVRHFSWAALREDAGRLAAALNRRGIREGDR VVVVGSNSLHTLLVFLATTWLGAIFVSASTDMGVDGILQRTVQIDPKLIFFDSISVYN GKVIDLTTKMREVMDGMRSCKNLSGLIVMQRLDGFVDTSTIPGSETLSSLLSGATADI CPPFVRVPFHAPLLICYSSGTTGTPKPIVHSVGGVLLNLIKEGCLHDSVSADSVILQY TTTGWIMYVLQVANLLLGARVVAYDGSPFMPDRTTLVKILAQQRVTTFGTSPRWMLEM ATSGINPRDIADLSALRMVTSTGMVLSDRLFEWVYDSGFPPSIHLINKSGGTDIAGCF GTGNPLSPVYVGGTQGPSLGVPISIYDSSGEDFGTPVPLGTIGELVATAAFPNMPCFF WGDSSLPAPALEGSKYYSSYFSRFKHVWAHGDLCMIHPQTLNIHFLGRADGVLNPSGV RFGSSEIYSVIDANFSEQVNDSLCVAQRRQNDADESVILFLLMRDGHRLSRRLISDIK AAIGRSLSKRHIPKYIFETPEIPMTTTHKKVELPVKQIISGFKIKPSNSLLNPSSLNY FYQFVQVEKMARGLEKL
SAPIO_CDS10561	MASEWTHAVRFIAEEDGEAHLGQVDPTKWQDVGLAVSRGQRVDV NLISGSLFNGQITDTVLHIALLLSPIAPEEVPIIRGIGLNYYGHAKEADLPIPDEPML FIKPRTALIGPSPSLIKIPPFVQDGTSDYEAELAVVLSESGRDIPEASALDYVLGYVC SNDVSSRRQQFKDSQVSFSKGLDSSCPLGPVLVSKRAMTNPDSLRVRALYNGEVVQDF NTRYE
SAPIO_CDS10562	MTSPLSSLMMTTPLSMYLFGLAPAVAVLLVLVKVAKVGSRPAGL PPGPPTLPLIGNLHLMPTDRPHLQFQKWAQEYGPIYSLILGTKKVIVLSSDTAVKDLL DKKGGIYSDRPDMFIGQKIASGNHRLVVMRYGDNWRMIHKMVHSILNIKSAISYVPYQ DLENKVLLNSLLDTPEDLLFHIRRFTYSLSTQMIFGYRCIDNKDPNLLQLFSCFEKWG KLSGSSSAQLADLYPIMQKLPEIIAPNVRYARKLHEVERKLYVGHWLRSKKALDDGTG LPCFCNDIYEGQTIHGFSDDAAGYMSGSLLEAGSDTTASTLYGFILALLVWPEVQRRA QEEIDRVVGPNRLPTMDDWDNLFYVRCCMKESLRWMPTVHLGVPHAALKDDTAIHMDP ERSPNPRVFNPDRFKDDHTTLYQSAIGDTKKRDNFVFGAGRRLCQGIHIAERSLFLGV SRLLWAFNFSPAKDDSGRPIQYDIEDLVGGITIQPNDFPAVITPRTKEKVAIIRKEAR ECQKLLHPETGQWLKIPEGMAFSKWTPQKAVV
SAPIO_CDS10563	MVVTASGITECQIISWLVKPGDEVQEFDPICEVQSDKASVEITS RFEGRIEKLHYSPGDMAAVGSPLLDIDVEDGEEDLIEESFTADSPIENDNEAIGTTAS TEISKPPVQTLDPVSRSESSGIKVDYESLFLKQQNAALVSPAVRRILKEHDIDINEVQ GTGKDQRILKEDVQRVLKAREDIDATENPPPFWPSPESSNRNSPIGDRLVTLSPIQAQ MFKAMTRSLSIPHFLYTHTVDLTEFVRIRKRVATRPAIAARLQTGDGKPLKLTLLPFM LKALSEAVSSFPIVNSRVVENGKTGHPTLEIKGSHNFGLAVDTPQGLLVPVVRNVQHH SIMSLTREISRLGHLARQGKLKPEDMQDATLVVSNIGSIGGQVVAPVILSPMTAIVAI GKAEEVPVFAVDSDGNESIVKKTKAVVSWSADHRILDGATVARCAQQLALWLENIDDM GLVLK
SAPIO_CDS10564	MEWDVPSNAPKIPTFRVLNDMNRIDDKSRMSSDITSSEVLKWYK NMLTVNIMDAIMFDAQRHGRVSFYMVLIPSAMKGLVCATNKLTLHNREHGVFLQRGFE LKDFMSQLAANCNDPGKGRNMPVHYSGRSKVGVHAVASTLGTQIPHAAGAGYALKMQD REDTSSPPRVAVAYFGEGAASEGDFHGALNIASVIQSPVLFICRNNGFAISTPASEQY KGDGIASRGRGYGIESLRVDGTDIFAVYEATKAAREKALEGGGRPILLEFMSYRISHH STSDDSLAYRSADDVAYWKSPQRDPISRLFQWLKNEGVWNEELDAEARKQIRKDVIRE LTMAEREKKPELRAVFDDVYAELTEEARSQREELKRLIQKYPGEYDAEQHVGGIEGL
SAPIO_CDS10565	MPFKFRPMRGVAGRICRYSTKVESTPARRLNLPIDFGVTPLLHH SRDTLATAPGLPKTGTSTKLNLFQAVNSALQTALRSSTRVLCFGEDVGFGGVFRCTTG LQDEFGRDRVFNTPISEQGIVGIAIGAAAEGMKSVVEIQFADYVFPAFDQIVNEAAKF RYREGATGANLGGLVIRMPCGGVGHGALYHTQSPESLFCHVPGFQVVMPRSPSQAKGL LLSAILDSKDPVIFMEPKILYRAAVEEVPDDLYTLPLGKAEVVKPGKDLTVISYGRPL YTCLAGIQAAENELRGLSVELIDLRTIYPWDRETVLNSVRKTGRAMIVHESMVNYGVG SELAATIQEHALYYLKAPVRRVAGWSTHTGLAYEKYIFPDVASLSP
SAPIO_CDS10566	MTLEKANTGEVARVAPAGTVLIAGGGPVGLILARVLSFYGVKSI LFERNKTTTSWPKMDLTNARSMELFRKLELANDLRKQGVPGHINQNVLISTGLSAPSC ITSWELPGVDEFRKRILANNDGTQPLEPWQRVSQAIFEKWLKAKCDEDPLVDLHYGCK VESVEGVDDGVLTRITEVDTGIRTLWRSDYVAGCDGASSRVRKSLHMPLDINPVPTCA LLVHFKSRDLTRLHRQGRFWHIFFLGESGGFEACIISQDEEDTWTTHLFMPLDSELDK MDAKQAVYKVLGGLHGDYPIEIDEILVRSVWRPNIAVARTWSSPNFRVFIAGDAAHQN IPTGGYGMNTGIGDAFDLGWKLAAVVNGQAGPGLLRSYEQERKPVAIRNMSHSGVHFQ VHNQLKEILAGGDPRRVDEDTQEGSELRRRIHEYYQENDGENKDFGIEMDYRYTSPVI LRGDADGAEPPWTARHYTPSTWPGSRPPHIFLSNGEAIFDLFGAHWTLLVFTEEDVGQ NLLSATAKQLSIPVKVVNLAHELLAKQLYGRNLVLIRPDQHVAWRGDSLESEKIADQV LQTVTGRVKLGPVGFGSNGDMKDVSFKPKALKSNAEEARTHDLTAAAF
SAPIO_CDS10567	MTETTSNVKAAIEEVASAPTDAKVPDPEGEGYPPLGQNEPTKEE KGTPKDVPTSGTKPQDITLNPFEDRPSVVHLEDEVSSISKEAQRIASIAKPHIKTGTR DQQPASSAEQAGPAIETVDSTASKKESTKKRTKRT
SAPIO_CDS10568	MASLASSAFRQAGRRLGPRNTLSSRIWQSYSRIASPVLPSIARR GYVSESKKDEAQALGEAAIKVNNPPFSSSSAAAAQARDPSTGSISGLVKDASILDQGA RPIYLDMQATTPVDPRVLDAMLPFYVGEYGNPHSRTHAYGWDSEKAVEVARAHVASLI GADPKEIIFTSGATESNNMSIKGVARFFKRSGKKNHIITSQTEHKCVLDSCRNLQDEG FEVTYLPVQPSGLIKLEDLEAAIRPETCLVSIMAVNNEIGVIQSLEEIGKLCRKHKVF FHTDGAQAVGKIPLDVNAMNIDLMSISSHKIYGPMGIGACYVRRRPRVRLEPIISGGG QERGLRSGTLAPPLVVGFGEACRIAKDEMEYDSKRIKFLSDRLLNGLLSMEHTHQNGD PNHFYPGCVNVSFAYIEGESLLMALKDIALSSGSACTSASLEPSYVLRALGSSDESAH SSIRFGIGRFTTEDEIDYVLEAVRNRVQHLRDISPLWELVQEGIDLDTIQWSQH
SAPIO_CDS10569	MFRTPRELTPSSLKYKPAPEPAPQQFRAKFNIGENTKDAVYADA ELRFQELEKETKRLHDESKKYFEAINGMLSHQIEFSKAMTEIYKPISGRMSDPDSFKA EGNPEGIRACEEYEAIVKDLQETLQPELEMIDGRIIQPANELLDVIKAIRKTVTKREH KKLDYDRHRATLKKLQDKKDRSAKDEKAMWKAENDVELSTQEFNYFNDLLKEELPMLF TLERDFIQPLFQSFYYMQLNIFYTLHIRMQECDIGYFDLTLDVEEAFHKKRGDIQEQA EKLSICKFKTTGQRRPPKYGAKPGAIEAGASSTTPRIAYSHNSDVIDNPPPPYSPNPV SSAPVSPSLAAMAAAKSKPPPPKPKPKRFSAAPTPAVETVTALYDFAAQAEGDLSFRA GDVIQIVKRTTNENEWWTGKLNGKQGDFPGNYVRLN
SAPIO_CDS10570	MNLYHMPVEIMLFMADYLDPDDIFHLSLTCKGLKYLIHDRHICR HILLKYAPYSPEALRASGAKEVDRYIYTNGVLCYTTECSKLRVCDIRNSSDYESVIDV PAMLRSVPREVINLDSFTFYPAYYAHGMLSCIYWSKLTGTTVLIVLDPRHSKFIVTRT VEGHPKVAVRNTDKFLFFCLSLPSGNRHDRRWRIYQFDIEKKKWFPEVVLEDFDGREI GGDICFEVIDGYFYAISDHVAHCVRNDDPTVLDPHHVLRMPISSLGLGPIEAALPRRD WKRDYSSDLADFRWNSMQLEKDEREGELTVFQVRREFLAEGYHNRRTCYKKRLGFPRP SRLTASDPENAGGQQDGGPPPVDPLDDEMDELAITRERSAEEVTDLILDSHSYQPEAQ TAGIKSHLFVMPQSSERLNSRNPWCAVPSTVHHGDNATTSPQFPYHQCFVRSYFPSCD SFVDMVNPVIDTPRTTQKLRLRIMTRNGWPCPATGRNPNGPPAEVNQTLFWPPELDNG WEIADGDACLFVGESALETLHRILNPRLYSGEVEAVVDYGSLIYAVPKPLAGYDKYPR KTLIYIGFDPSVNLHSLHSFHESSAEREEESEEESEEEREEDKLEKPEKRFSEVDRAR SPLPEKPMYSTRISNWLYLQVTLSHQHRMGFHFHP
SAPIO_CDS10571	MADLENLRTASLYINNQLLSRGLLRDGETIDFSNPGNNEGGTAA TMGRIMGIVNDLILRRDRDAEHRESLSVAMRTLRADNLRQTSDLTRLTEKHAETERKL NLADASESTLRTQLKSAESALRGLKEEMSRAKTLVAQTRASCATEVRRRDRQIDTLKK QLSEATRSRGSTKNPAITVISVTGDLGLEKGSPSQAGCTANSGYDLRSETNEFLTELA KSLSEENEHLLVLLRQIRDDIRSMSGWEREAPEGDGHVVTVIAGVDELESDLKNVLEH LRTILTNPSFVPLEEVVTREEEIGRLRDGWTKMETRWKEAVHLIDGWRKRMASNGRPV NEEELKMGLRLSPVRVKDVEETSHGVELRLPAVQEEEEEDYEGYDAVQATEQHVLANS PCPVIPPEPIATYPDHVDLPEDESDSGSSVYDDDFDVEDYDVEEPNVQILEQSTAMIR PTQGMMDSSPLPVPPQLSPLKDSESAGNRSAANATGPRGKSIDYSKIAAEDTFELVED VPESQSGRSRKELVTRRQIRPATAHPSTSQTEQEFESKQVELESSTSSLDSLLLESPS KKPTQARIRTVTARPRQAQPTIRPRAAPAPRPPRSAATPSREVKTVAKKSVSPRERVQ PKPAAAPTPSQPSIKRVNSRLPLPRPAEPAPQQSPLTMAAIAAKLAASEREADAARVR AKLKAARMAKRKPELAPPTDSNEMEAHTVESLQDTVDPVKTDPIQREDVREDAEAEAF GNGEPEVQTKRKREKRTGKAASRRTSRRRSTLSPWELESLISGNVVATPAK
SAPIO_CDS10572	MARDGPPSPAARKGALERGREAYQSQRYKNGVVFFSQALDYRSA CYDALSKLEQARRDAEWALELYPLRLEPILWRSLVFEPRARSIAGLNSVRRIVKYSGN DVRSLTITDTNRFNFEAKFPALLNGSRKTTFLSLEGSPVNAGDCLSRASWTLANLKHL ILKSHMFQKHSVPGPSDTNRLLRDILVRNVDHLESVCITSDHVNFGPTWPRMENLKAL KIVETLKVTISRNTVGQRHAQLVELPTLWTKAPKIQQLWLDVSYCVGHDHDMYGPAPS TAWPNLQSLVVGAGVNWGHLGAPYEQLRFLWCLENTQDGPPEMLTLAPDVEDTISQGP DGALSKLQHMYVPRFCGDMTSANIPGVVLIREGVEAAVASGTLRTLAMKIAMKTQERE YINQFNWLRGCVSLRTLSLFVTAEPFRARLVASYSGRAHMPWFSTSAKEVAGLVASFP NLETLEVSHEEDEISTIGAIIEAVAQESGSVKVIYQDKIIGAPYDNLKDYLKKRSVEL RYGRLSLPEFPLKLEGL
SAPIO_CDS10574	MLSTAPASSHTLFSLRRNEPSSSNDLGDTTTSFDFLPSVSFDDL QSSLESASTDFKLAQFPSPTGQGSILEPSQIEDSVNMPEKSNVTRSGNTTRVVIPQSS AASMATTTATTTATRPGRSGSILRRPSTSSKQGTTFSSASRPPSGAHEAPTAPAAMRP RRQSHYPPVSNSNIVKPPRKSIGPGIIDSDYGARATAHRRRPSLASNTDRTATVTTTT TTTDSARSSIDTSTSASFAGVSSHLTAATRASKARSFQSTTRPNYNPPNSSTLVDNTR AASIAARSPRVPPKGAINLQTNKRVSVMPGMPSPSHLTGLGARTISPTDTRRMKRLSM HHTATQGGNGAQHRTAADLRPSSRSPSMLPRKATATPSSSRTTPDVNRKSYSSGLSVG SNNSYNTVRTSTGSLQPRVPQPGASSRLPAPKPLSVNQSLVPDDDEDVPPVPAIPKVY ESPKEPPLDINFIEKKKSSLAQDSSSINSNSTGTVSIVLQSDTSSRVQRKGSVRKSSF YPQNGDSNNVRSNTLAHSKKNLAPLRLPPMVLGPISTPTAAKIAALQRKQEQADRDLS SPPPSKMIPKTPTTPMTASKTSFFSRHRSEKVDLPSLRSSSSIQPMRTDSSNGMNPGS SSESLSAVVSPRSSRKPSMSPFLSSSVPQGGGEHMPLKRSKTGTDFATINESLFEAKA SSKSSRPPSVKSTAKSPAQSSPEEPPTPSSISALRRKLSLSSWKRGTSKGHIALPPGL VEKPPEYNTRQESMPPPRIPASAIMANFGSTSKPPSPTPSAKASGNYLDSRRRKSSTS SLNTYVSVDRTRVDTWGANTQSVSVKIPINDMSTERLTLSRNSSVMQKILKPKPSTAA MRQPNVWTAELDKDDLIAEEEMRKLGSRRKETEIAARTLDALRKRATPKERVDPHEAI RIAMLNVYERGEIVDYPDVYFCGTQNARKVVGDLHSQKPNFGYDDDRGDYTIVPGDHL AYRYEIIDVLGKGSFGQVVRCIDHCKGALVAVKIIRNKKRFHQQALVEVNILKKLREW DPDNKYSLVHFTDHFYFRGHLCLSTELLDMNLYEFIKANAFRGFSLKLIRRFTKQLLS SLNLLKQHRVIHCDLKPENILLRHPMYTEIKVIDFGSSCFESEKVYTYIQSRFYRSPE VILGMTYGLPIDMWSLGCILAELYTGVPIFPGENEGEQLACIMEVFGPPEKHLIEKST RKKFFFDSMGKPRLTVSSKGKRRRPSSKTLQQVLKCDDEPFLDFIARCLRWDPDRRMK PEEAIRHEFITGHKASIPRIPTRDYSPAKRGSNTLSGGARPLPDPPTSYRHSGSTRTR DTAGMSPHKALSTVSRRTSGATANGSVVGGPAKRTSTGAGGGTTLGTSNLPRAAGRSV SSKQDLASAGATAAMNRRQ
SAPIO_CDS10575	MDEYTTNIFVNDDTIPAADGATSDHEDPQNSDTEQHPPSSSRGI RGRVAALRDKAAIQDRLLEKLLQQVIPPDDDGNASILNYPSGPAQAERPNFNITTMSN NFRRFNARVYTFVCLDPYLLSVLPLAILIVGVLIPSFLTRHPAAPKPARPSTEQTIAY SPRGPPLAPAMTVKPVKELSRDFFSNMRDLQNSMDDFSQAHDQIIATIVPLTNFSNEA LSSALFLGLFLGSITMSIAAHLLPWRAIFLLVGWAVVGMGHPAVSRILASMQKTHVEP QVEKTRSFLDTWIANDIILDTAPETREVEIFELQRMSSAGEWEPWLFSPSPYDPLSYH RLAGERPRGTRFFEDVSAPEGWEWSEKKWALDLWSREWVEERIITGVEVETEGERWVY DIYDENEARTGVIDHTESPGRHLAVPQTSWEESLDGMGRRGGWRRRRWVRLVKRKTTT GTT
SAPIO_CDS10578	MSPPRTRFAFLGLAIGIMAQQEYGHIEFPEPTIMPRYYLGAPQP ALYKRANKCQEGQHQCVDIGFADTCCGNDKYCYVDHASATVSCCPLERNCDSTCGSTA YYCPQTTSTVSAGSTITSIVPYCCPRACTSKSFYRCEASLGGQCCPYDHDCANSICLS TKTTAASPRVTALDEGCTTSQTKCDDGKGCCNVWMECTSVSGEAVCAPGPSISTVPDG NGGASLSDGAKAGIGAGVVIGVSAVTAGLTWLWLSKRRRARAAAMSQASGDDDLMEEV GSPDPRATGLARDYSGPDPVAGPFTEHGPHETPTPGRGVPAQPHAPGDIAAPVEIDSR NVVSPIPAELESPVPMSPYSLPSSTALTTENRAELYGSEVPIAEFPVNEEVVSPPVSP EPGAAPKVPKTP
SAPIO_CDS10579	MAEPPPEPANPPTTSAPAAQQSTTSRRPYTNIFAVPEPIRRLFK RFPLRTYPENGLPVRCAIAPKEVPVLFVFADDEAARAGAPSFNPTCLKWQTLLKISGI QFHIHPSNNHASPTGALPFLLTPSQTTIPASKLSLYVATHATTPASTTPPPRAETYLS LLDSALRPAYLYALYLSKSNAPLLASLYLDSSLPRPVRASITHTLRTAAEEEILTSTR RQALDPPYIYAEAEAALVALRDVLEASETEWFFGEKETTVFDAAVFAYTNVLLDERLA WGDARLTEVVRAFEALVAHRERILGRYWPELI
SAPIO_CDS10580	MTNPHPTDSDLTADYSWNSPRFTYTSIASPPLPGTTFLNHPART HAFSQLLQHDLDMTSDSTAGAPASAAHASYNALRNHAMDSHRYTSTSTSNGVSSRPAA GATGRQSQLGLLSRAFEMFTSPTYLQQDGFFIPSYLKDTAYANRLQDAHQAKLRALRD ARTQEPQAGGAALATSTTTNGSIHSKPPPQAESASFDVIERPETQDDPSSVPPLPTRW SKTDKYQGIDIMGEGVEVRYTSHKQGHEYETAAVRANNPIPPECGIYYYEVTITYGKR DDTTIGVGFSTKNAQLSRPPGWESESWGYHGDDGKCFASSNSGKQYGPKFTTGDVVGC GVNFNTGYIFFTKNGKDLGVAFRDIKGELYPMVGLKKYGEHVRVNFGQTPFMFDIDAM MRGEKRKIHDEIWKTSPSSLEVRIGGEPVTLNETDLIQSLVLQFLQHDGYVETARAFA EEIQAERQALAIGNQTVNVVNIKDDEDATNRQRIRRAILEGDIDRALRLIDSCYPDVL VENEIVYLRLKCRRFIEMVRRSAELRLHYEGKKSNGVDTLQAMELDTNGVEGGAWETM DTEDTVNLKDQVDHLEQEMLEYGQQLQAEYQNDPRKEIGKTLEDIWSLMAYPNPLGEP KVAHLIDNKNRATTAEMVNSVILMSLGKSSRADLTKLYEDTSNLVRDLREGGGPGAFF SMRDITSSIPKTPRF
SAPIO_CDS10581	MAPARKSGKGGLTVTLKVSPEKLRELFSDEQEKVDSPMKDAASN KDITEAKDGQDTQTPEANGSPAPASSQPVAVQAVNGETISDSNPSTPGPSAMGPPGKK GTKRSAATNGEPKSRGKPGPKKKAKLDDDAGTGARGGASHKLGPKASQGAINAGLRAL DRSGKPCRKWTKGTFQLKSFTGVTWGINRWKAPPKPVPEEAKPEGESADASTSGSVKD KEGTNGKAEQKSDGKTDEKAPNGVTNGTPNGVENVNGTGSQDVEMQSAPASSPPAPVP IAAAS
SAPIO_CDS10582	MVAMSFAILNTWIAIAGTMGLVLPSGGAVSLIYGFIFCVLCNFA LVSSLGELTAIWPTAGGQYHFVYALCTDSWKNLMSFLVGWVNIAGWLTLVTTEGFFGG MLSLSLSPSPSTLRVPRIDIEINLRFYFADFFQTIAQFISAAAVMASGGKYQAEPWAT YLIFLAMLTFATILNIFGNKILGQWNNVALYWSILSVVIMCVVLLATSEKTDAKTVFT EFQNETGWPNGVAWILGMLQSALSLIGFDVVLHMTEEMPNPSRDAPLALVYAIGVGGT TGIAVALVVLFCLVDPAIVLESETGLPIVDMIYRATGSRAAATVIALMLSVCFVNGTN GSTTSVSRLLYSMARDKGIFFHEFFSHLHPKWNVPIRTIMLSFVFNVLFGLLYLGPAV AFNAYISSCTIFLNVSYAFPVIMVLIRGRKVLDRFQTAETPFKLGKIRGLILNWISAT YVVFISIFLCFPPGLPVSANLMNYVTAVIGIFAVFITIYWVVYGKTFQGPHFDEIIGQ NMADQGMVVQGDLKKDHSSKASKEELEHAHGA
SAPIO_CDS10583	MAESKCPVNHGHVNTADGGTKNRDWWPNALQLHILRQNHGPSNP LGASFNYAEAFKTLDYFALKKDLRDLMTDSKDWWPADFGHYGGLFVRMSWHASGTYRV FDGRGGGGEGQQRFAPLNSWPDNVSLDKARRLLWPVKQKYGNKISWADLLLLAGNVAL EDMGFKTFGFAGGRADTWQADESVYWGNETTWLGNEDRYKPGVSVPDDETPNPRKLES PLAAAHMGLIYVNPEGPDGNPDPVLAAKDIRTTFSRMAMDDEETVALIAGGHTFGKTH GAGPSSYLGPEPEGAPLEQQGLGWVNGYRSGKGPDATTSGIEVTWTKNPVRWNHDFLE YLFKFDWELVKSPAGAYQWQAKNAPLSVPDAFDPKKKKLPTMLTTDLSLRYDPEYEKI ARRFLENPDHFADVFARAWFKLLHRDLGPRSRYLGPEVPSEVLAWQDPIPDVNHPLVD DRDVAALKKEILQSGIHHSKLISVAWASASTFRASDKRGGANGARIRLAPQKDWEVNN PAQLSEVLGLLENLKKRFDSVGGGKKISIADLIVLAGSAGVEKAARDAGFDITVPFAP GRTDALQEQTDVKSVGYLKPLADGFRNYGKSTTHVKSEHFLIDRASLLSLSAPEMTVL LGGLRVLGANWDGSSHGVFTNRRGVLTNDFFVNLLAMDTQWNSKLDGELYEGLDRKTG ARKWTATRVDLIFGSHAELRAIAEVYAQADGLPRFVDDFVKAWTKVMNLDRFDLEGQV PQFKPISRL
SAPIO_CDS10584	MALTVKLSTPVTGPYDQPIGLFIDGKWVEGVDRRKFKVINPSTE EVITSVCEGTEKDIDLAVAAARRAFDTTWKETSPEQRGRLLSRLADLVEKNRDLLAAV ESLDNGKSISNARGDVDAVVSTIRYYAGWADKIEGKTIDVDPNMFHYTRSEPLGVCGQ IIPWNFPLLMLAWKIGPALATGNTVVMKTAEQTPLSALVFTQFIQQVGFPAGVFNLVS GFGPIAGAALSSHKDVDKIAFTGSTLVGRQIMQAAALSNLKKVTLELGGKSPNIVFND ADIEDTISWVNFGIYFNHGQCCCAGSRIYVQEGIYDKFLAAFKKRAAENKVGDPFDEE TFQGPQVSKVQYDRIMGYIESGKKEGATLETGGGRHGSKGYFIQPTIFSNVRPDMKIM KEEIFGPVCAISKFKDEEEILKLAHDTPYGLAAAVHTRDVNTAIRVSNSLKAGTVWIN CYNMLHHAVPFGGYKESGMGRELGEAALANYTQNKSVALRLTKSRL
SAPIO_CDS10585	MSIVPHPFDPLSAQEIELAVSIVKKAHSDVRFHVVNLHEPRKAE MTAWLANRGSRRPKRVAEVVVQSPTGTVYEGLVDLETPKLDKWVQKDGVQPVITPEEL ASVEHGIRTDPKVIEQCVISGIPAEDMHKVYSDPWTISYDERFGTDRRLQQALLYYRP DIDNCQYQYPLDFCPIWDPLKEKVIAIDIPKVRRPVVKSPAVDYHHLAIQRQGGYRTD LKPIQITQPQGVSFEFSGTGREIEWQNWKFHIGFNYREGIVFNNITFNDKGTVRPIFY RMSIAEMVVPYGNPEYPHHRKHAFDLGEYGAGYLTNSLSLGCDCKGAIYYLDADFPTL SGQVRKVKNAICIHEEDNGILFKHTDFRDGSTIVTRARKLIVQQIFTAANYEYAIAWT FHQDGTIQPDIKLTGILNTYVMHEGEDTMGYGTEVKKGTVAHNHQHLFCLRINPNLDG QNNSVVMVDAERSPAPVGSPDNYYGNAFTARRTRLETTAQAMTDYDGTTGRTWDIVNE KKINSQSGKPVSYKLVSREVPGLLAKPGSLVWKRAGFARHTVHVTKYRDDQLWVAGDH VPQTSGEPTKGITEWIGDGTESITNTDIVLWHTFGITHFPSPEDFPIMPAEPITLLLR PRHFFTCNPAMDVPPSYSITPSQVAAKGKGALDATDRISKLANDTCGSTCRL
SAPIO_CDS10586	MDALMAKQKTLQLMPSALHNINTIGGDILLAAAVFLVNVELLES GTRCWKPHLEGAARIMSLVQTLTPVDEPLRDYIMSDCIVTNDTEDYYSDDDDILSSTG MALLERAQNVDLAAWACRLDKPDTIASRYMAASAHQMATCLYVMQSFPSLLRWVGDGA YDALIDRLYNTLSQIPDPDPNFKATIWPTFIIGTTAWTVEKQNWVIDRLRRLTVAICW GFVYTAIDTLQALWRLDERNYQIDDIL
SAPIO_CDS10588	MAVSNRPPANGFVRVMRFIYNPIGFSKGYNFILFFIFGGALLGF TLARFQYVDFHGRFCGPPNGMDHALAGECYYYNVGYEKIGIIMHLVCILPASLLVCFQ FVPVIRHKALIIHRINGYIVILLALAGTAGAIMATRHAFGGGMDIQTGVGFLSIIFLV SLVMGYINIKRLQLEQHRAWMLRAWVYAGSIITVRIIAFAASAIISSMGPFYQLRECG QVEEAFGNLGNWTAVVYPECTGYMAGNDTHALTRVKADVNGSPIELAVAFGTMFGASL WLSIALHAIGVEIYLRLTPAESERLRNISYQRQLEAGMKNPGAAGLTADRFGDSDKWK PKYESCSGEEHGLTPIPQKALGEETTAYLGPGSSVPIDERHSSTPSVQPLVSQGQVPP NPARPYAYDYHNERGAYSR
SAPIO_CDS10589	MLGKIALEECWTIPEELSNNDPGKFVAPGTGSRLTNELLDIHDM RLKQMDENGVDFMILSFVAPGCQGIPDKAKAEAQARLVNDRLEAEVMKAPTRFAAFAA LSMHDPAQAAEELRRCMTEKKGFVGAILNDYQSCGDDGNGMLYFDQPEYDVFWKTAAK LGAAVYMHPRPSNKLIHKLMWEGRPWLDFSALGYADRLNMHILGIVTNGVLDRFPELK ILFGHMGEHIPYDLYRIDHKLDRGRFPNMPMRKDKLVRDYFGEQLFITTSGHFSTSAL LFAIGEVGASSIMFSIDYPFESIRNACAWWDEHVWPNVNQHDYANIGRNNVLKVLPRL TQEPHNLKPMTPRECQVGGLPQGEVCFGLYNKSWNKREIKTIPS
SAPIO_CDS10590	MASVATQHQPILVLDDTRPDDMTLPAFMVSKTRGFLPRMDPIVH LPDEFAQVESILDRMPVKKLDGTPGLLAESKLGDVVEKELQDLTDHVDKYKNNLPVVN ALYRDYSFLASAYLLEPCHERFVKGEPYGLARQTLPAQVARPIAKCAEIAGFKPWMEY AGSYALFNYRLVDPSAGLEYSNLRLIRAFEEGLDHNSSEAGFVLVHIDMVKNSGPLVS GTLAALDALDTASTSSPATRRQDFNAGLRTVLETMRKVNKTMETMWGKSKPTSYTSFR TFIFGITSQSMFPNGVVYEGVNDNKPMSFRGESGANDSMIPLMDNLLCVTMPDTPLTE ILKDFREYRPSNHRAFLQFVKDRALEVDTKAFALAKDSNDADEDVLESRRLWLQILSE VRDFRWRHWCFAREYIIKRTSHPTATGGSPIVTWLPNQLQAVLDEMAKVYDEVSDKGD LGEECRDIMDLVGRQRETLRKEVEKYCAERGVSRE
SAPIO_CDS10592	MKTIITYQTLVNSSRSRTRTAAQSLRQSRPSPASTPRPHLPHLY PSSRTAMSLPPLRPGSTTAATTTTTTTTTTKFLTTAARKSSYCTIAPATAEPPQSPQK PPTSPTVTPTMNPAEVIASTFRDVPAESHGPMWERLWTQSVTPWDRAGPSMPLHDLLA ERTSLLGPAAPTAASGNKRKTALVPGCGKGHDVLLLASWGYDVVGLDLAETALKEARE NAEAVKDSGAYKLQEGVQERGKITWALGDFFADDFLKEAGVENFDLIFDFTFSCALPM SLRPKWAARQAQLLGPEGRLVILQFPNGKPLSLQGPPWGVNSDMYLALLSRPGEEISI DEDGNVKEPEKIERREDGLKRLDLYTPKRTHVIGVAEDGTITDRVSVWAH
SAPIO_CDS10594	MAYQGGGRGQEYGQPLQDLPPGQSYHIPPQGDGHDEEVERSLLR DPHPQQGYDNAYLGANNPPLRPVSAYSLTETYAPGAHSQTPTPGFGETYGGGQGYSTG YDATGGYGYGDRPVSHVDANDSWVRRQQPNMAPGGGLKRYATRKVKLVQGSVLSIDYP VPSAIRNAVQPKYRDSEGGSDEFIKMRYTAATCDPDDFTLKNGYDLRPRMYNRHTELL IAITYYNEDKLLLSRTLHGVMQNIRDIVNLKKSVFWNKGGPAWQKIVVCLVFDGIEKT DKNVLDVLATIGIYQDGVVKKDVDSKETVAHIFEYTTQLSVTPSQQLIRPMDDSPQTL PPVQFIFCLKQKNSKKINSHRWLFNAFGRILNPEVCILLDAGTKPSPKSLLALWEGFY NDKDLGGACGEIHAMLGKGGKKLINPLVSVQNFEYKISNILDKPLESAFGYVSVLPGA FSAYRYRAIMGRPLDQYFHGDHTLSKRLGKKGIDGMNIFKKNMFLAEDRILCFELVAK AGQKWHLSYIKAAKGETDVPEGAAEFISQRRRWLNGSFAASLYSLMHFGRMYKSSHNI IRMFFLHVQLIYNVLNVIFTWFSLASYYLTTTVIMDLVGTPVPGGSESAEHHAWPFGD SATPLINAILKYLYLAFVILQFILALGNRPKGSKYQYILSFIVFAIIQGYILVLSGYL VARAFSGGIGDQISLASGEDFVKSFFGESAAGVIIVALVTIYGLYFLASFLYLDPWHM FHSFPSYLLLMSTYVNVLMVYAFNNWHDVSWGTKGSDKAEALPSAHVSKGEKNEAVVE EIDKPQEDIDIQFEQTVKRALEPFSAAEEIEAKDVEDSYKSFRTGLVVCWLFSNALLI VIITSDNFNSFGIGDTASSRTAGFFKFLLYATAVLSLVRFFGFLWFLGKTGLMCCFAR R
SAPIO_CDS10595	MGDELNPLVIVTSDEQVFYEALRARELYPRDDDNETCVKCATNG RLVCDASCDETQGWVCKQVVKVDNCKECPTTKCVQESQVDTPIAEPVQSHSNGPSAGV IVGAVIGGVVGIAAITYLIWRFCIKNKRHTLEPVAYDDITEQKLEAERAFQARRDQRS SMHTVHSIASTVLTRASNIIQIAYIPGVTNRATPASPGVLVPPVPPIPITVTDSNSPS HYEDQHFFMPGDLRDSTYSAMTGYTDRTSFARTSYAPRSSVASTIYGKNVVVSTPQTG MRARPAMISVRSGAATTSSGSTTPPVPSVDYDKYSRPKSRDSTFSIGSAFMKNASAST ATPVRAQLVRVASGKRVNIKSKASDDNTPSIRSPLGPDSSSPTIVEDTLPEDGPFLDP PEPAASRLSHSSTPSLSAVIEEATRRAAHVEKPKSLTRETSPFGDEHATRD
SAPIO_CDS10596	MPLKRHFSDRFYEEGKKVHGPIKALNPTTAYFPIEHVRPPPEEV AARLPAAWYVWRSRDNRKGRHAAVVHKENLETGKIQTVPVTNTWKGALRGIWKMVVRY PVWDVSYDVAVVFTLGSVIWCFNGSFVWLPLVAPSSEFKNEVRWGGGLTGFIGATVFE LGSVLLMLEAVNENRTDCFGWALERSISNQHLRLSTAPGHACRHHHFSRRSFLKAPSI ASDGKTTDDDGDSTSERLWNWWPTWEELRTHYFREIGFLACFFQFWGATIFWIAGICG LPPISDQLTGRLLDGVYWVPQVIGGSGFIISSWLFMLEVQTKWYLPNLSSLGWHMGVW NLVGALGFTLCGALGFAAEKPAFAYASTLSTFIGSWAFLIGSLVQWYESLSKYPISTA ENVPKMMNGVSSPPA
SAPIO_CDS10597	MAMWETEDEYSGPTAAASLPTPQDTPYRTPSRRSSRRSRRSATP PGQGSSPPPIPSSDRIDKRSSKDISTDETISILDPRRFTPTLHANLVAEILSLRRDQE EKTKFIEDLETSLQAAREEQESLQENVTNTTKENRSLKRQLTLLEGGTSSALGELARE RDEAIDSVTETKKRLEAAQKKVRAQEEDSERVHKQWANEKDAWEEEKRKYERRVHVAE SRLKVVLDEVAAYQAAHANGLQNGIESEAEDMPKDNDAASVRTMSMTNSIRFSVLSGP NAAKLNGHSLADELNFDGDDDWQTDNDGRESVLSNHNHVRNMSRDSVMSRMHRRNQSM ESLRRPGSVARGRLFVNQSVLEILEDGIGEDDETAESQPPKPTYTDTGIQYSPPPSPK LQPSKPSTPEPVARFEKAQDWDSPSGRGSPRGDNEIEANQRRKRVHHGRPLSIDPMKS HVHQMVSAGSQTIEGPLSPPKTPKSPFRSITPPPVVAQPTPLPVPMVSSSTQTDPPPL PRPDTFPMPQLSIPSISIVPPTSRPTTPREPRLPQYFKDFGCQVNMTKTVEVSSIAVQ TEEIRIDQRLAQLPEHLRPSAITSRPPTPASPMEDGRQFTPIPGNLPPRNPRRLLSRT SVPEIPSSPPPQIPTGPEETHDAYPGNNDDGPLSSQKAPVKRPHRISSLFAGFDGGSS DEADEFAEADVSDSEFRTALSAPRPKGATSAAPRTSKRNSSGTSSSMSPEQFMSSRRN SSRSSVRPLGSAEIYSSYSLPEGREPLSRRPSLKRSLRSYEKTAVIGTASKVNIMRRA AMIQSGIATHQGRARTPSFTDARDPPFPIPTRASSRRPPISASAPSDGQRSPTRSGES WHRRGSSRSHYRANSIRKVRSAAAIPVKPRYRRHGSRSPPPLFHEPIDPPESPSLPPL PSNDITTPRNRAGSGTRYRVHQSQPSTNTAFTSYTDYAASTSQSTGVVDAIAQTMVGE WMFKYVRRRKSFGVPEASNRDETSNDRHKRWVWLAPYERAILWSSKQPSSNSTLMGKS GRKFTIQSVLDVKDDNPSPKGNPTLFNRSILILTPQRALKFTAVSAERHYIWLTALSF LAHSSQAIPEIVAVQPPKPIPDFELPRPKPRRPGIRDSIRLTKSKAAFSKAGPPSVPS IPDEPPPSQIGDISTYRNPDAFPPLPGNHQRDGSREAAEPPYIPRFHERNFQDRGIQE RANNVMVHGRKRSNTGGHVPPPLSFRGFSGPAGSGSYQHTATNSVAGASIGTAGSSDI YQSQASSTGTWAMSNTASQRTSEASSRPSNFFDAIGTVRMEAFISPLAFPRLDGYPDE LDEFQNFARRRSKELRRRNSRNRNRDSYHSRGTRATDDWSRNGEDDFFSRDDPFKGF
SAPIO_CDS10598	MLKIWSMKKEQQKAENAEGAAGKKKKVTAAQLRVQKDLSELSLG STMKTEFPDPDDILNFILTIEPDEGMYRGGTFTFTFAINQNFPHEPPKVRCREKIYHP NIDLEGKVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKEAADDLRQTREMF KRNVRTSMNGGSVKGTTYDRVLK
SAPIO_CDS10599	MASRTFSRALRSQLIRQLASPAVQQRTIAAAGRSLARQARPAMV GPAQQVRGMKTIDFAGSKEDVYERADWPQEKLLDYFKNDTLALIGYGSQGHGQGLNLR DNGLNVIIGVRKDGKSWKDAIQDGWVPGKNLFEVDEAISRGSIIMNLLSDAAQSETWA HIKPQLTKGKTLYFSHGFSPVFKERTKVEPPTDIDVILVAPKGSGRTVRSLFREGRGI NSSFAVFQDVTGKAEEKAIALGVAIGSGYLYKTTFEKEVYSDLYGERGCLMGGIHGMF LAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYEACSTTARRGAIDWTP RFKDALKPVFNDLYDSVKNGTETQRSLDYNSQPDYRQKYEAEMEEIRNMEIWRAGKAV RALRPENQK
SAPIO_CDS10600	MADPKTSPPLTRAAVQAAHELIKPHVHRTPVIHSRTLDEFASRR RTASELKGTAWEGKKEGAKPTLRIWIKCENLQRIGAFKARGAFHAVGRLLEDEEWVKA GGREKGVATHSSGNHAQAIALAAREHGIPAHVVMPAISNPKKVEATKGYGAVVYESGS TAPEREEMLVKVVSETGARFVPPYNYPDVILGQGTVGLELQEQATQLIAENAASSPTP TSRKALNAIISPCGGGGLLSGIALSCEGTGITVFAAEPSHEGADDLARAFKAGLKSPI PAVKSLTIADGLRTPVGPIPWSIIRGERNLVRDAFSVTEREISKTLKFVLERLKVVVE PSAVVGLAVVLFNEDFRELAERQGGEEGWDVGVVFTGGNLSLDALPALLKIGEEEL
SAPIO_CDS10601	MADLPDEKGNLPAGEKTQQGAPDSKNSAGSRHSSESTIPKKMGS RSRESLREGEAVNDVKSEHAHDDHGDESHDEHDSHDDMDSDEDEESHAHSDVEDQRVP TRTSSTHSRPVSVIPRSKRRGLLGRFSVIPEVDRPYDYSRSTKWMLTGVVSMAGAVAP FGSSIFYPALGVMSEEFHTTQTITNLSIAMYMISMSIFPLWWSSFSEEFGRRSIYVVT FSLFVIFSVLSAVSTNIAMLIVMRLLGGGASASVQAVGAGTIADIWQVRERGKAMGIF YLGPLLGPLLGPIIGGALSQDLGWRSTMWFLTGYGGIILVLIIFCLPETLAVKNQQPL RPTTTRESTASSVISVHAKRSADFLRRIFLNPFRVIAMLRFPPILLTVIWAAVTFGSL YVVNISIQNAYSNPPYSFQMIIVGLFYIPASVGYILASIFGGRWIDYIMIRQARKAER YDSRGRLIYLPEERFAENAWTAGTMYPLALILYGWTIQKGLHWVVPSIGTFFFGVGSM LVFAVATTSLTEFMPKRGSEGVAVNNFVRNILSCVGAIVAEPVISAIGNGWTFTILGI FSLILSYICIWMMRTYSQKWRKQIDDHLDKTRTR
SAPIO_CDS10602	MSLTNADPSQAALEAKTAALTLATLSAEDRNAALDAIHAGLLAS KDAILEANARDMEKAREAAAGGSLSQSLVARLDLGKPGKFDDMLKGIRDVKELDDPVG KITLRTKLDDGLTLERVTCPIGVLLIIFEARPEVIANIASLAIKSGNAAILKGGKEST DSFVAISTVISNALDQTKVPKGAIQLVTTRDVIPQLLALDQYIDLVIPRGGNELVRYI KDSTKIPVLGHADGLCSTYLAESADADMAVKVVVDSKISYCAACNALETLLVNRAALS TVLPAVAKGLAEKGVTLRCDGESKEALLNADSSLASSIQDVQDADYDTEFLSLTLAVK TVGSVDEAILHINTHGSHHTDAILTSDKDEAEKFMSSVDSAGVYWNASTRFADGMRYG FGTEVGISTNKIHSRGPVGLEGLTIYKYKIRGEGQVSLDYGEGEGKRQYKHESLPL
SAPIO_CDS10604	MPPPPPLPLLPPGATKPLHRGAIYDPWNSSSTGHQRAESSSAGT HWRDSRNAKLTAQYGGGRGGGVRMYDKVGRGSEDFVEEMGMAVSKAVRIRAEVSVVDM LMKPGAMKGTLLPGDTKGTENEADDERLTSMERLMLRRKEEDERAERKRGIFDGVVIY VNGSTHPLISDHKLKQVIVENGGRMSLHLGRRQVTHVILGRPGRVGSGAGGGLAAGKL EREIKRVGGCGIKYVGVEWVLESLKAGRRLQEARFSNLKIAHSGQQSVFGIYTKPNKP NPKT
SAPIO_CDS10605	MAGGADLHHLQDEVLHHSLNDPKTFWAHQADHLHWHKKPTSILE ISEKKLKSGVTHDTWTWFPDGEISTCFNCVDRHVHDGHGSAPAIYYDSPVTATKQTIT YAELLYEVETLAGVLREQGVKRGDVVLVYMPMIPAAIIGILAINRLGAIHAVVFGGFA SNALAQRIDASAPVVILTSSCGIDGTKPPIPYKPLVEEALRQSKHKPSRTLVWQRRQL AWPQVNEQLGERDWATLVKDAKARGVKADCVPVKSTDPIYIIHTSGTTGSPKGVLRDA GGHAVGLHLSISYLFHIHGPGCVSFTASDIGWIVGHSYILYGPLLTGAATVLYEGKPV GTPDAAAFWRIVEEYKVTTMFTAPTALRAIKREDPENKQIDRIGKRGGLRTLKALFLA GERSEPALISMYQDLLEKHGAIDSHVVDNWWSTEVGSPITGRALVPHAGKDRKTKERG HAPPKIRPGSAGKAMPGFDVRIVDDHGKEVPRGEMGNIVLALPLAPTGFRTLWQDEDR FYNGYLKRFNGQWLDTGDAGWIDHEGYVHVMARNDDVLNVSAHRLSSGSIEQAITNHP LVAEACVVGIPDELKGQLPFAFVTLLTSDHPTAAVPDKKLIAEIQNLVRTQVGAIATL GGIIQGRGMIPKTRSGKTLRRVLRDLVENAVHGEVDKPVTVPSTVEDAAVVEVAKAKV KEYFAVKGASHRPVELRAKL
SAPIO_CDS10606	MKDIIINDRYRVDRKIGEGGGGLVYSEDLFNYCGRKFSLKTVLL IADQAISRIEYIHSKGFLYRDIKPENFVMGVGQQGNTLYTIDFGLAKEFSLAELHRKN RLWILYGTRRYASINNHNGKGSLPWQGMEAKLDEDGDGEGMIRDKKMGLSGEELCEGL PGEVEFATFINYARSLRFEDEPDYAYLRQLFSRLFLAEGFKYDNVFDWTEKRFNEI
SAPIO_CDS10608	MKAIDIKGGSGPLESLFINSDTPTPVPAKGQALVRVKAFGINRM DILQRNGRYPVPLQAPATLGVEFSGVVHSLGPRDEAHEDSGRHRFEVGDEVFGLAYGG AYAEYIAVSTGMLLRKPRSMSWEVAAGIPETWITATQALTLISEFSEGKSILWHAGAS GVSTAGIQLSRRLGASQIFATAGSPEKTAYIQSSLGATAAFNYRTEDWVQGVRDVTGG KGVDVVVDFVGADYFQKNIDVVARDGRIVLLALLSGGKIEGGVDIAAVLYKRVRIEGS TLRSRDEEYQRRLRDALEEYIPDFEEGKLKVVIDTVLPWDQIQEAHRIMEGNKNKGKI VCTIPWE
SAPIO_CDS10609	MSSEKLPSLPLPYPQATYSSGTTSPRVSSIASSVSSHGSRSSFT SVASSNGPKTPSPTLPITSSIPGQQYDHYPAMSQAPQDMYYPQHMSAGQAAPPQTVTS GAMSHYTHQQPPLLQPGPAQYPPPPPAPYPHQYGYAGGLTSPPTAHPVSGNMGSSGLL PPPAPLPGIPGQAAAMQNQYTGFDTTGQIAPPGMKPRVTATLWEDEGSLCYQVEARGI CVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPFERAL DFANKEKITELLYPLFVHNIGALLYHPTNQTRTSQVMAAAERRKQEQSQLRGPQPTPP GLPSIQQHHHAMGLSGPQPPLPSQTMTRPTLERAHTFPTPPTSASSVMGSMAPSDNFQ WAQQQGMSATQGTHSMSIETGLSNARSMPNTPATTPPGTSMQTMQPYPSATQSYDTSR QMYNHSSTQQSPYQTAPPAPQDRSLYGQPPTYVKSEMAPPSSRPAGPVSSTEQADNKP PNGILQSDQSGQTVSHVPHAAGEDEQDHDHEAEYTHDSSYDANRASYNYPAPAVGSMP GDHSHIAPEMTGSPSHPPASGRATPRSAAQPQSYYPQQTGYASPPRVPSASNNVYTVM NNDRGTASNGPNDVYAPQADMGASMPNGYGSQPPVMNGASGGLKRQRDDDDVPRPSSG GPGMGGLDLKRRKTMIDSTVPPPTYEAMNRPGSAISAQRRR
SAPIO_CDS10611	MKYAAVLALAGLAAAGAVPAQNGTVYTTEVVTAYTTYCPEPTTI TDGDNTITITEPTTVTITHCPGGCTIKKPIHSTPAVVCGEECAPKPTANGTIPTYHPT GSIPAPTQPAVPAGAAKVAGAGLAAFLGVVAFAL
SAPIO_CDS10612	MAGPNIATKSPESDAQEDIPLQASPAHSTLVVGEHCVTDRPTPS GQASTGEIIQINDVNVYISKPTDYPHTPARLLLLLTGGTGLHSVNNQIQADKYAGEGF LVIMPDLFNGDPAPTSAAFEEEDTGSLLAQVRMKAVEAAKSFLIDMWLARHTEEKVLP ILYKVIEGCKEKYADAVKHGDGIYAVGYCIGGRYVLLLGREKQLPAAQVPDEEAGAVR TGPLIKVGALAHAASVTPDDFVGLKVPVSLVCVENDSLFTDEVRTSGEDAMGSANLEH EVKVYPGVPHGFAVVGQYEDEGIKEAQATAYDQMLNWIKER
SAPIO_CDS10613	MDASSALSRGWLHATFFEDATAAERFPSPVVQILVVKTIPTEGG GPDRHRLVLSDGELFCQAMVATQSHYLIANQQLEQHKIVRIKQYQKNVIKQKRIMVIL DLEVLQAFGTPPKIGTPQQLPSVGQEGQIQTITGQSFYGAKTEEKKPPAPRRVEAKPN ANIYPIEALSPYSSKWTIRARVSAKSEIKTWHKNNSEGKLFSVNLLDESSEIKATAFN EQVDHLYPLLQVGQVYYISTPCRVQLAKKQFSILPNDYELTFERDTVVEKAEDQSSAP QVRFAFCNLGDLKDVEKDTTVDVIGVLKDVDDVTSLVSKATGKPYEKRELSLVDDSGY ATRLTIWGKQAKSFDVKPESVIAFKGVKVSDFNGRSLSLLSSGTMSVDPDIPDAHRLK GWYDSQGRTGSFATHNNMASVGRATGRKEEIKLIKQIKDESLGVGGDAYFLLKATVIY IRQKNFCYAACANPNGQCNKKVEELPDETWRCEKCDMNFPRPNYRFILGVNVCDHSGQ IWLTCFDEVARMITGTTADHIMEVINDGGETAANPIFEEANCRIYNFKCRAKMETFNE QETIRYQIMNATPVDFKAEGNKLAELIKQYSIS
SAPIO_CDS10614	MDHCLSVLKAFPPENLYLKEEAYHTGIRSHLASLDGLLAKHLGN LVAHAEAVLAALDPSFHTTSYITVLEAVIKTDPKSLASREALLDNILRCLVLFDPRQV RYVGAAFRAILEHVLLTKTFSPRIAVDAAVAAILRLDPDSRVLTSSHCILANLAYTTG CLEYARPVLEKPILFFPEPNFKPRFLCEKSLPPSAYVTYGTGLSARLSSTSLLEYDLM RAMMHIQHQEWAPALDALEQCISHPTDENAVSTIMLQAFFKWTLVSLLLHGRIIGLPG NPLSGAAAAFDGLGEPYLDIDGLFAEENAEGLKTLRGRHEARFIADGNLRLVDLVISA FQKWQIVGLGNLYSKISISEVRQLTQSAVTGKPLETDAEVEALLKSMIESKMLSATLE PASFGRPAHLAFLPSPALIPEAEYATQLEAAVQSVAKVTADIEAANAALGRNREYLRY LQKEKKRREKEGDPAIDFEATIEDEDLMLGIGGNSA
SAPIO_CDS10615	MSRQPTAYTLHGERFRAPLNGRTHNQAHQQRYAIQGQGHQNLHH LQALQNLQTIQNLQNSPSSQGQHHYTQQQPQHLPASSLAPVSLSRQTMSPMMLSAPRS MYSNWGIGFDTDFGPQPILHQTSHAYGNGNGTGAGGEVNLNAAGLAFYERYGGVIGNG DGRTSNGTLVSAVPSEPRLDSAFAYCYDRGNGQYTRLIPADLLPELKDIPRMQNSSAG MIVLPQPMGIPPAGVASNTCPVVIKSPRNGTAQASDSIQSQIDNIVKSAPVRRPKIYC DKWVHEGVCAFTQQGCKYKHEMPFDRQTQHQLGLFHGLPAWWKKHQAELQRQRDVSEP ASPTAPMSAKQSATPVAENSGERQGWRPETVKRNTSPLSSPTPSTTVSNNSPASPRLG FATGGIMSVKLSNLTRRGNGTANHPPRVEDKSSTQRVAFHPKTAKVPLTPTSACVWGP IGPPQRQTAGEVEVSRGRTQNGSRNGFTLLDSFEDEFLGGNEQRA
SAPIO_CDS10616	MDPDSDAPESLRSSTPDDQTRESVISAASESEDAPSPPTRRVRI EVVIPAHAPSAFDEYVDLQSKVVERVVEEVSAPEGGDLWYQVEFRDGRHNIVSFDELI QLEGGAEALNSCQYGPNSETTHRPAKRRRVSHDLYESDEEGLDRMNIEESEDEEDEDG PAVDESDEDEEPRSRHVRRSSRRALRPKQPVSYKLDHWLVDGGRSVEEPRQLRPRRLT SLVRSKNGDEDVDELAGEPPALQSSSDDDDDFQLVRSDLTPAPKGRSRRRKKHRRLRA RASVAMSTRSRGSSIEFERPRRSGRSTRNTKVMTDSAPMDLDSFYVSDDKAPGAPKAV TIKEIFKPLPSTSEFVQYHMSVCDVCNQRASPKRGGLIHCQGCTLSFHKMCIGIRQVR EHVVTKVAEDSFVIQCKFCVGRVRAKENTARQSMCQACRQFGLSCAPFSEKKTARQEE ALRQQNGGVDPVTDVAPSLINNADNVLFRCIGCRRPWHIEHLPTTRALESDLADIKDE RFKEYSVDWKCNECSSVNNKIDTLVAWRPVNQNDTQDTGALSYADVGEDDKEYLVKWG GQSYFHCTWMPGAWISGVAHGIMRTAFGKRADQNNLLRLTKDDAIPEEYLRADVILQV KYDRFAPRATNKEQELARVSHISKIYVKFQGLGYDDVVWDEPPSQDSGAIYAAFESAF IEYVNGLYFQSDLPSKMRERVRQFKEKSFKDEIELAEQPSGLRGKLMKYQLQGVNWML HRYHQSQNAILADEMGLGKTVQVISLISTLVYESPRCWPFLIVVPNSTCPNWRREIKH WAPDLRVVTYHGGKMPQDLAYKYELFPNDSKEMKAHIVVMSYDSAQDERTRGLFRSVH WAGVVVDEGQRLKNDKNLLYLALQAMHFPFKLLLTGTPLQNNKRELFNLLQFVDSKYD AVQLDEEYQVLTAEKVRELHGIISPYFLRRTKAGVLKFLPGMAQIIIPVTMTVVQEKL CKSIMAKNPDLIRAIFANGKLAAKDRGSLNNILMQLRKCLCHPFIYSEAIEERDVDAK KMHRNLVEASGKLLLLELMLPKLREQGHRVLIFSQFLSQLDIVEDFLVGMELSYRRLD GSMSSLEKQKRIDAFNAPGSDIFAFLLSTRAGGVGINLATADTVIIMDPDFNPHQDLQ ALSRAHRIGQRDKVLCFQLMTRTSVEEKIMQMGKKKLALDHALIERMDMEDAGDDLEG ILRHGAEALFNDNEKEVIRYDAASVEKLLDRSQADKPAAEDEADEGAFSYARIFSAEK GGIEETTLQDLDTPGEMQQSVWDAILAEREAEAERVRKTNEEILGRGGRRRQAVNYRT NAKQVFGLDAADLSDSGHGGESSGGESELYKGGDKEQASSTESESREPPRGTTDTLAD QGANDQVKSDVLSLNETQDGAGPGTRKRKRKKKSPESQPNGASKSKNKLVNPQQERQQ QSQQEQIRQQNPQQQHTQQQIQHPEPQQQRLQQQIQQEEQLRRQQYLQTKFREQQLKQ QQQHVLRQHLQQRQRIQQLAHQMRENGPASGPLRWPAPGAGLTNGVPLNGLAGPFNQQ FLVQQPTPAFNAPLVMTAGAPSAQAFDAQFSFTDPRRPGIIFYTPLSTAVPNNLQVQY RPPQPSTARPSPRQAHTPQAAQSPTVHSADQALNKTEVRLALDNLRTQDLPSSVKEER RRILKSRLKELE
SAPIO_CDS10618	MNLYKKLLGLPPALLLLVVSQVTAQGPDQIPTAIRKMPPDSGAK FFPEYVAFSPTYTYDEIPLSPREAAAAALLDREGELLRRSDGAVSFRPVFKAHEEEQN AFRRAAEVLRLLQKRESCPSNMKSCSEIGFPNKCCFEAEECVKVEDSTVGNVACCPQG IDCSGGVGACPATAVTCPADLGGGCCIAGYICKGLGCVLPPPSNSGSAEPTTITTTST TIVDGSPSTVIVTIVTTITNKPDPVTKTTTHVVTESESSSAGVGAPFRPTLSESDEPE TTAPQGDFCPTGYYACLARAGGGCCQTGRDCKETSCPPTPMTTIITNGVTIAVPVADM PNEASATCASGWFMCGDEGGPVAGCCPDGYSCGTASCTLASPTETSQVQKQAPDSSAP AKSRSLSAIAGVISLLGALYLI
SAPIO_CDS10620	MAAILILGAVIENCWPVFLTGQALFGTQLDWFLLAGSLAWKHKD ITICVYELILPLLPALIIGAVFVLFQPLRFLALLLDHTEAGPTDCGRPVLLKCKTTHR RVFPKKHGFSYSYLVAGIPVSWKGQVGGMLSAGMPRVPNKLARLVPSGARQMTWFEVN PEDHLQRGGAGMDLREKLDVYLESEVNNTFDERRVYLVSRDEASPSLELPGGNIDDSV SSSQPLKIRNRVTKDFHVSPFNSRKGHYSIVASDPLGQGFQSFRSLDTTITLSSSKGH AKLIARLFSLREPLNPAKMSVLEKLTLLLGWGWIGFATYPRIVKEAAMLWFARKLHVW YRPEPLSTSLGRRADSVEKELEGIFREYLRYLVQQSTTALVVKYVPSGVGAPTENMVS PPAKDGSKETRELEFKVLTPVFYTRFVHYAHDFEAIFNEFRESCTISISNPDLLPSLV LKKPPAPVRVGNPIDYLYLRIIWHLRRRPDRIERPLRSSDKPKSEPTNQTIVDIRDFR PSSMDGYVFTKATAETRRQYRSAVARIFLAEYMGLGSLGVLWLEGFILRAGLAWFVAT GMYPFVTMVVSEVRQIVSTI
SAPIO_CDS10621	MVYSGHCLCGQVKYTIDADAPIITGYDHCDDCQRQSGSTYSLVA VFPKDKVNITGPIKKWSGKGSSGKAVHRLFCGECGSPIAHDPDAAPEIIAIKGGSLDS EQKKNLKPDTEIWTVGKLPFCQEHLAKPFTHMPE
SAPIO_CDS10622	MDDWDTPRPKPVPRETVVRGKSALNAAARRGAIVATEKKYATGN ATTKPAVEGQRLTKVDRSDDIIKPNTIGKEVGNAIAKARSQMEPKMSQKDLATKCNTT PTVVANFERGTGAPDQKVLAAMERVLNVKLRGSDIGAPKFAPKKK
SAPIO_CDS10625	MSSAAKIVLYHYSYSPYARRVAWYLALRGIPYSECIQPPMMPRP DVAKLGINYRRIPLLSIGRDVYLDTRLIIEKLEQLPGRPKLGAANNNERVTERLLQAF NIDGGIFNYCFQALPTDLPLLQDPAYYKDRGDYIGFDVTKESLIRNRADAIAELRGAF DFLEKTLLADGREWALGTDGPRLADIEAIWPFHWLTGLPGALPQETFSPVTYPKVYSW VARFQKAVSDAKKTYGRAKGISGEEAFRAITSSPYNEQPGAIDESDPVVAAEGLKRGT QVTIWPSDTGSSYKDTGKLVSINQTEVVFETLVPESRSTAVRVHAPRHGFRVRNSGGQ TRL
SAPIO_CDS10626	MAASRDASAVADSKSPRLSNTESSSQQDPSSDVKKSDAATKPDG STGDVSKSLGPPPRPVEQGTNTPDYFSSQVGGSLSLEPNPFEQSFGGGGQPETPGGTK LPSVAALTSPSSMIPGSGATPFNWAGGSLRSGPLSPAMLSGPANDYFGDTHHIRGGFP TPNESSLRTGLTPGGSGSMFPAPSPNPALLALTGGGATPSTLEFHRTAISAAAKREMQ NAAQAQPLPPTSQPIEVQSNVTNTAVKAEPRASGPFDPHDNDAANGLFMLAQGRNGAP NPTSFPVTSQPQVHAHPAPAPPKPATNVSPQIATVNGNSGAPGSTRGSSETGSTGSDD NEQPSRPAGRGKGKRNTANGSAATNGRRKAEDSATKGSAKKAKTAAAAMSADDGDSDD DEMNQNSKSKDESGSKSKMTDEEKRRNFLERNRVAALKCRQRKKQWLASLQSKVELFS NENEALTAQIAQLREEVVNLKTLLLAHKDCPVTQQQASLHGPYMQVVEPYNGPMNPYG MAAPPMPNQPVMADQAKKAGNHSATPHRDPQHTSASSQPIESEEQPELRFSIAFSTFV STRFGHISGSLDNGRVVVWKRKRTKSNYRGQTWDSTYTTNEQRANALRSIYHIVEFNS DIERRKSKRLAGRFSVRASIALYDEQDGDFKFLRASKRPRTSDVVEEGPSQPAPATQP RRSNRAKQTKEAAPPKAPAEEPAPKRRSARRKASVDASEDAATLVVPKRLTRRSTRHT PATVEEEPQANGAPVDDDDRMDIIGGTPVQPPTSTDEEDVRRVALPMSDTPVINRNKE MRKKAGGGNRRSSLGSRGRRASSLIEMGHTALPHKEVDASEFYKHIESEGLPEPRRMK QLLTWCGERALSKKPPLGSRNSGAVLGARAIQDQLLKDFQSKSEFSNWFDREDVPAVE AVKQPNPRNVEHAQKIEQLEEKIKRLREQKKMWLSLKKIGPDTEPLFPESSHGTQLPP PDESLLDPTETQMLQSLTGKESSFEQLHAETTSRIQAIQSKLRFRIDVLADSVHKLEQ RVATAGREADRVLSQSAVRLKEREEREKKAAGTKDLPIMEVVRSLGRILPEGDG
SAPIO_CDS10627	MASSLRPFRLLLARQSAPSGLVARRFISITPRAMNIDNPREQYR AFQRQGESDDTLRRRLIYQSRYRGTLESDLLLSTFAADHLPKMDRAQLEEYDQFLLEE DWDIYYWATQDPEDPASVASASSSSTSASERPATEDTYKRDPVPGEWARIAGNFEAGY RPVPERWRSSELLSKLRQHVKDKSAADGKSGSGGGMAARPLLFGEGTEKD
SAPIO_CDS10628	MAQRTSILRFICSLLLLATFGNALKFELEARRANDVRERCIRNF VGRDTLIVVTAIVSGNKGDGQQVNMHIRDLLGNDYGKPKDVAGEKRIVFTSLADAAFD ICFENIQTGKGGHHLSRDVELDVDIGADAKDWAAIQATEKLKPVETELRRIEELVGEI VKEMEYLRNREQKLRDTNESTNNRVKTFGLGTTGLLIALWAWQIIYLRAYFRSKHLI
SAPIO_CDS10629	MPKFFCDYCDVYLTHDSMSVRKAHNSGRNHLRNVVDYYQRMYLS QEPRAQASMLTTTNYYPEIGHEKAQSVIDSITSSYAAEGQAHANPMLPQNQPGHHHNF PPFPFPGGAPPPFAAPGGGPPGPFPPGMPPLPTAGSGMPPPIPPFATGPGAPPMPPGG LPFPPLPGGLPFPPPPGQPGSLPNFPPIPGMPPPPGGKGFPLPPPGAFPPGVVPPPGA FPPPGAPGIPGMPPMPSKLPADDKK
SAPIO_CDS10631	MAGIPIIDSHIHLYPEAEMPTLAWLKPDHPLASEHSVTEYASAA GSCKSLKGYILVETDRKQQLTEEGWKYPLVEVAWMSRVATGNALEEEDFTPEDAKKCL AIIPWAPVPSGPEALEKYLAKVEEAAGDAWGKVKGFRYLLQDKPGGTMLTEEFVGGIK YLGKRGFIFEVGVDQHRRGKKQLDELVELISRAHEDVPDEEKVTFIINHMCKPDLTIY NLSDKGFTNWRTAIYTLSRCEKTFIQLSGGFSEMTDSLKNQSPDEIFEAIFPWFGILL ATIGAEKLIFGSDWPISTVGVEGNAWEKWHGVVNRMCYMASLTEEKQKLLYAENAIKA FNLDV
SAPIO_CDS10632	MASAGPGIPDSLIPKVTDYVRTYMSNYDGSHDFNHIKRVVHLAK IIQSTESSPENPTDVSVVTLSALLHDVGDKKYLREGENPETMVREVLLRFGADEELGD RVQAICLGVSYSSEVKDPERVKRLIAIYPELAIVQDADRLDAIGAVGIGRTFAFGGAK GRTLGDTLDHFDEKLLLLEGMMKTETGRKLAKERAERLRTFASWWEDETKSVSDI
SAPIO_CDS10634	MKSLAVLALATTVVAQNFDACTDAGQAFCDGGSLATESIIQCTG PGVGTVSRCRDALISQGVEPAEVSQCYQTSAQSGDAGCERDCVVFNSVSSFTLDSSVC TPGRPQQPDRPSSGGPAPTGAPGGGAPGGGAPGGGAPGGGAPGGGAPGGGAPGGGAPG GGAPGGGAPGGPGGGDGEEPPRQPPGPPPSEGGGGGGGGPGPAPTSAPGGGPNGPGGG RPTESGSGRPGPTSGEGGERPTRSGAPGGPPGEGMTTATRSGPPGGAPTTTGRGPGGG GNSTVTSRPSSTFSAVPAGAEVVRAGGIVAFLGFVAALLV
SAPIO_CDS10636	MTDHRRNAVYVASSSYFISVIFLILVIIGNTSDKAVLRDIFFFK LQVANVIPLDAPNAGLLNSVARSLGLHDFYQVGLWNFCEGYNDEGIVFCSTPVRFYWF NPVQILMDELLAGAKIALPTQVLTILDLLRLGQQVMFTFFLVGIILCFILMFISPLVL RSRGWCIPIVAFSLLACILVGGATVLATVFSVAAKYALTLQSELNIGVDLSATMLASM WIPALLTVTAFFLHSAVGCCCHTHKSEDGKCSPEAVNSPPVSQKKRFSVQDFINKRRS AHSSSARFTPT
SAPIO_CDS10637	MSRSTAPDSPASIVWTEEEKRYLRAMLRLDFLESSATSELRRAL TMRRPNGGTGPASPQNHIRNNDSKPPAGEFRVLVIGAKGTGKTSILTRICKGRLPNPD EPHDPFYDQGCRHITEIDGQNYTVDALEMPSKHLWRDDLVQQAINITEAAILVYDVTD PDSLRLARGLHELIRDTISGGPTTMATGQPSNSTTSPNNAAAREYALLLVGNKSDDEA ARRIAYSDGSKVATSFHVKTSFMEVSARTGDQITLLFPKIAREILTLRSMNQQRKEFA EKMERMRVEAQEMQAKRKQGLWKRLSRPFFLRREVAQI
SAPIO_CDS10639	MRLLTPLLCFVSFFAPLITAEPMLVSSSLNPCSEASGFSASLFQ VVFTPNNGTASIDMVATITVEGMVVFDISITAYGYEIMRKTINPCETDLIGLCPMKRG KLAIPPFNLQIEQNDVKQIPGIAYTIPDLDATVKVFVNKTDTGESVACVQADVSNGKT VDLLGVKWATAIIAGLALSASAVVSGLGHSNTAAHIAANALSLFSYFQAQAILGLTSV HLPPIVAAWVQNFMWSMGIIRVGFMQDIFTWYQRATGGKPATIFDTLTTISVQVQKRS LETAAHAVNLFKRAEAMLPRAIQPRNNIQTSDGRFVVFGIQRVAFRAKIETTNLFMTG LTFFCLFVILTVLSVLAFKGACELAAKKQWMKSDKFLEFRNGWKTVLKGILFRTALIG FPQLTILCLWEFTQNDSPAEIVLAVFFFFGVCITLGWASSKVILIARQSVTTHRNPAY ILFSNLEVLNKWGFLYIQYRASAYYFVVPLLGYLLIKGMFVAFAQRANIAQAIAFILI EAAALIASSVLRPWMDKRTNSFNIAICAVNFLNAIFLLIFTNVFGAPLLVVGVVGVVL FILNAAFSLILLLTLIVSTTLVFFRKNPDARYQFMADDRASFMKSQTQLTTTTELDAL AATARGDKLGFQSKLDLDDDNASLSSASLQRRANNMGMQPVGSQFSLHNEKSSYPPPQ SPVNPSLPLFPGSNQSPPGMMNRPQSPFAESFRNNNNASPSGFRRQNNASPWQRGAGY D
SAPIO_CDS10640	MSAHYYPPPPGVASSGQQKSYPPPPMSAPAHKTQFFPPPPAAST TVQIQYPPPPGQSPSPNQHARTQSTHYPPPPSTASSTTAAASPEHSSLPIRTAATPES APSANQQQQQFSPPPTAEQWPDEKAQYEEREAEVEAQPVQFVGASTVVDDVGTFNGGS YRISHRDSNTILTVQLAINCPFNAKPGTMIAMSHSVILRGSLKFSVKKLMAGGDFGHS TFVGPGEVLLGPSMLGDITTIRLTGKETWSVGMDAYLASTQGVIRDHKRQGLTKAMFS GEGLWVYKVSGTGLLWITSFGAIIQKTLAEGEKYIVDNGHLIAWDTKYVLERVASGGI ISGIASAEGLVCKFTGPGTVYMQTRNARAFGAYMTGQNYQAP
SAPIO_CDS10644	MATPTPGKTAASQQGRTPQVAASPPVSTPFSLSGVQGVFSPRGP RSSPQQVKKSPATTLAGHGSAGPLNFDSPSAAAAMGSLGIANGLDMGLDNVGVGGLAG LHGLGVLGGEDERLKRLDAIIGILSQKKGYVSEEGLERLVQRIGLDCIWDTYTAPGGQ KMKMLVIAGKALQLDISIDNNIVRNVALSFPLSAPIVIERVGRATAILMRDLELRPGQ NPLTKKLDDFYANLSRLADLDKLSVIPGLDLQEALAGMCSSLERIHHYDLEKLRAEHA GKGERYVHNMVTCHRHGYPVMHARNRVGLNLDYWIQRHCVPPKNTQAEKFVEQNEGVW SLLITCAPMGEMIYPPVRISESWISPKIEKEDPSAEDVLSATTGPALDWLEPENTVIP PPEENKDSSVDMSLKYPEVIFKALLEPSVILPQPVWLQMYELVGAQPPPLYAYPTFDS LYFPIPPSTEAHDPSAPRTIEYQREIVCVSKEGQTSTKKHKNTLYIYKPVYGQTLSEL PFSHPKQLISLLPNLRQYALLSTLLRRSFGDSRTALNGAAVESPSKTGETAGSPEVLS TRTVPKKQELNAFAEREGPSSPAEEKFNVDSIDVTLTVHPAPRLQVVFPLQSTTANIT LEIGPNGKMLVISQNIVPDAGSVVEGGEAAETKGKGKGKAIPVESLGRALEVLEDIDQ WCEWVRTRVDCG
SAPIO_CDS10645	MSPIFSSLGLAEESRAATLTTTPTQLPPHSSVSFKPSVKLSLRS ARFSKPQTGSTTPLGPVPRTRVSPKSPLKRALSISSDSGNSIPSSSADAPGQENGRSA IPASPLSRRCLNKPSRHSLHLDVSGASHSIFRNLDTTTSDFSSPVAGTGTLKRTDADM DFGTGSVASPVAKRRSLHGIASFSSIVHDANVFDHASSPSLSFDIHDESSQNNYTLVG STIRRDSQPSPTPMSSATRRSSSLRKSTLQQRYGDKGSWGRRTGAQQLAQMQMGAEVP SPNGRNRPRLSSDQFVPPPAARENPFPTSGAFSASVMHTNPPANQAGNNPHPLSRSLK PSTSGSCFVEDSPIRFPIEQSANKLPNLRSDRFKFSLPPAANTIFTRSLPNAVQNAQA TYTPAVGRPSMFMSSGLVSKVNRDLDEDKGMVVPDTPCKKNSSSGFATYPPSSAVRFR RGPATPIALGSTRADGDENSSYGNVGKGLGLFHRLGGRVHRRASVLNLDEENNANANA NGGTSSGAHTPEGVPPTPTKTFATPSATEANLDSNITDSPSANRRGPPISAVKPMFSR EPSSSPIRPGQQTPKTPLENMLQLDPSRFSVSRNGNTAAEQQSPAPVTPTTSQNGRRI VTPVHLGKSRVDIDEYLLHKFYKVDEIGRGEFSIVYRVAFPRRSRASLGVELSSSPLA KTPPGSPSPGTAYAVKKSRRAFTGPRDRDRKLNEVRILKSLSHASHILHYINSWEVNN HLYIQTEYCDEGTLQTFVSELGHKGRLDDFRIWKVLHDILLGLQAIHNANLMHLDLKP ANVFITHEGVLKIGDFGLAAEWPVTKYIDAEGDREYIGPEILKGQYDKASDVFSLGLM TLEIGGNVQLPDNGLAWQALRNAQYTELPTLTPFEIIGVTGDIPCSSMTFEDPLTGKM MTIANPRPDFGVPKHAPLVQPPPFMARSSHPWSMDFLMHQLTRPEPAQRPTVDQILQF DSFRWVATRRRCAATVYEGTFGPDDGPNDYAQSDVDTVMMDA
SAPIO_CDS10646	MAPNSNKLVDAVDRLITHIVPTNPKEDEEAAQERHDNCFELVKN ILESPASPAISSDVNHASDMIKRKLIQTNPSQALRFSNLYSRLLSLPVLKQKWAILYL LYQLSDSPDPNEPLPLEPALSRYEREAPRRAEQNHTPKSLTPAPNQDEEVFNEAFAQG GLKKFPPQPREGKKEKADEEKERASPTTSKESPKRDVALKSKHLANNYVETNPSEPSL LRDLPFTLQGLSSTTMPFEKQDTLTLPPTLPAPIISLLHTLAEPSLLYKSLDTFVKSP AKGLLGQSLRAAIGTELKSYLSLIATLEVQIRRALATLDEEAPRQGIGKAGVTLKRCV VWTREATMGLRLMCLIAEESEKQRGGKLISMIHSYSSSYGDPMVAAFAERLLGQFTRP FFDILRHWIYDGELVDPYLEFFVREQTPGENDVNKAKTGGNSVWEDKYEIDKDMIPSI ITQDFAQKVFLIGKSLNFIRHSCSDSQWVMAYSKAASKELRYGDTARLEEWIDEAYKT TMKRLMDLMETKFHLFEHLQALKNYILLGQGDFIALLMESLAAYLDRPAGAQYRHTLT AQLENAIRGSNAQYDSGEVLRRLDARMLQLSHGDIGWDCFTLEYKIDAPVDVVVTEWG NRQYLKVFNFLWRIKRVEFALASTWRKCMTGARGVLQNGDPAVEQTWKSTRGVLAEMI HFVGQLQYYILFEVIESSWGELQKRIRREGCTLDDLIKAHTRYLNDITHKGLLGARRR HAVDPVTGEDDRTSYLSQLGDLLRTMLAYRNSVDGLYSWSVSDFTRRQEADVRTSTRP RRGAATPADEPLGTSVASEFPVLTERLRHLGTSFRTRLQILLGDLAYQPDVDMRFLGV AMNFNDVYQPARRKSKAPQSASSAVGTSA
SAPIO_CDS10647	MPDIDPAALSRPSITLSTPPLSNKAIIVSAPGAQKITKTSQIIP ARIDLEPLYTALKSVIPSEQWLVYKESTTQFLIGRLNQAEYSSRIDPILASPNGEKEH LHNQLIAAIYGNVTREMPDQGLAPWVSANDKPATGVTAKPTTGDANERRLKGEVMQLL SRDRRRIKDLVNNDFDAHESLSGVFSETYRRPSKVIDVPQSAAGINNMNVDLEVRKRF ALPLAVESGEFPDVSVIEGRMLPFCYEAGLVSGHSPDAAQLMLVATETFIKDVLSTVF SRTRSNAPGASGSTGMDVGTTWIQTNSYKKQLAIEEDASRRGEITRDKGGLLPVEAKA ASERGPLGLADMQLAMELADIGMANFPVIATSITYAYREGELENWDDYTWVDEEYSKL PPAEIARLPSINGGASGDIPNGHVDAMDVDTDVWWEGTDAQDMSSLDSVLDSCLAVGY 
SAPIO_CDS10648	MDRQPVTYQHIIARLEEDLIRDQPPDVIQFCADYFARLLATQRA SRPSSLNRGQLLPSTTATVFTSTSLLPETLYQPTRRFVRKVALDSVRFVREPQESRLR QSDQPDVAREQLHRKIASCHSMSAPFASGVNPFAGGEGSKPTVSSPGMQRVVEEDEDD TGASSATPSFGHGGASLFSTPFNTDSSADTLGPEFRHMPNPESYPAQYNFARRTSVSA ESLKPSADTDDNWSPPNHQKTPEQLERLQNALKDNFLFAHLDEEQYSQVLGALVEKPI PAKDIRVIVQGDVGDYFYIVEKGIFEVYVHPSGSVQPGPSGLGDHVGTIKAGGSFGEL ALMYNAPRAATIVSASPASTLWALDRVTFRKILLESSFARRRMYEHFLAEVPLLSSLT PYERSKIADALESSKFAAGESIIREGDQGSTFYLMVSGQADAYKSNEEGVVKHYEKGD FFGELALLNDKPRAATVIATTDVKVAVLGKSAFQRMLGPVESIMRRTKYVGVKSGVEE MDPLQKD
SAPIO_CDS10649	MSIREEIFESLPYIDPEPTESQLAAAQSLITAELPPTQPPHPSL RPSPEPTFSPAISSLLFQQTTPPTPLTAIDLTRYEEQDPLPPNAPLDAALTSLSRAYA SAAYLSGRTTSLDLLSSHGKNAWLVANWQLESYLTILEAELAAARASVDRLALERRRA QDHVAAEMAGLEDAWKKGVAKTLETEVAVEEVKREIRERMRARGDVMNTE
SAPIO_CDS10650	MILRLTRTRKLNTPDYSAISDGKPRQEFIPKYFGKSGFSDQDPK KTKKNGGGRGNWGNVGDEVLDDEFNFNKPRRRSNSSSVSSQLRDFKTKFDVNETEPVF EESFNEAEEEDEEGSGPSESGNSVN
SAPIO_CDS10651	MAARQLHTVRVLRGSTTCQASFPSLGALPALRTRQLQICPQGRC TPIHDGLRLQAFVRYQSTEQGPGKSRPIFRQPPPPSIGAILRKAFDFSGLFSMFNKGG FRNAARQNPGELILAILTLGGVSGIVLYTVYLYFNYFNAPQFTKFPDPIAKSLRRALY YTNIKPDPKLAQKYYKRALEQCNELRLDPFSDDVLGIRIQTAAWLEGIGNYSGAIMVL GGIVQDCLRWVDWMEKGVADGSLPKSGKVPIPKPPAEDQQSTPPTGDAPTEEAEVEYL PENLWRKRNRLLAKCVGTSVKLGELNADEHVLQSEESQSRLTWAVETALREFKRRHDE GEKEDEGPWMSPTEIGGALESLARNYEKKSQFQLAIPLLFHALRLCEDPCHRPVLMNN LSAAFAQHTPFLPLIVPAPPTDSSQADPSSQQPPSREYLLQSALNWATNAYTHAVDVK GDARTSECDEACAVALCNLGDIFAMSGKPAEARRNYEQCIRMSKELEFPEGVKQAQAG LDRLGKTS
SAPIO_CDS10652	MPIHSRWAVPVPECSIQKWIFGSSFEPLSNHKAFMDADNPEAKY LTFSDYRLVSKQIAVGLQAAGLQVGDRVLIFSGNNVYFPVVFLGVLMAGGIVTGANPG FKPRELAHQLRDSGASFVLAAANSLPTAIEAAREVNIPTRNVFAFDTTVPGSSSVEIP PSLGARHWTELLAPRPQAEAFDWVEPADPRNTTCCLNYSSGTTGLPKGVEISHHSYVA NGTGVITLSQQREDYEAFIKRSVGLCFLPLYHAYGQTYFVANLARQGIPVYIMPSFDF AKMLSHLAKYRVNHLLAVPPILVLLAKHPLTRKADLSSLEVVASGAAPLALEIAQETQ TLLRENVYVRQGWGMTEVTCTCLAWAGSDNMGTTGAVGELMPNCRAKLMSLDGKTEIT TPETRGELWVTGPTLMRGYWNNPKATSDSTSVDADGTRWLKTGDVAYVESYKAGTLFH VVDRLKELIKVKGRQVAPAELEALLLERPDVADVAVIGVDIDGEERPRAYIVRREGTN PSGKEIAAWVESRVAGYKRLTGGVVFVDMIPKNPSGKILRKILRDQAKMESQKSKL
SAPIO_CDS10653	MSETPPGAGPADSISEDLAGKQPEKKKSRRPANTAFRQQRLKAW QPILTPKTVLPLFFTIGIIFAPIGGLLLYASAQVQEIQIDYTNCRDDAPAVTSITDPG SAMPTDLITTAFKAQNANANAMWSKIENVTVDYGRGLPVNVTQCILQFSIPEDMGPPV LFFYRLTDFHQNHRRYVASFNQKQLKGDAVGKGEIDGSSCDPLRSDNVTGLPYYPCGL IANSIFNDTFDSPELLGGSEPIRYEMANNTGIAWDSDKELYGQTKYKPNEVSPPPNWR RLYPNYTEELPPPNLAEWEGFMVWMRTAGLPAFSKLYQRNDTTALAQGTYRVVINDEF PTRAYKGTKSMVISTRTVMGGRNPFLGIAYVVVGGMCILLGTIFTITHLIKPRKLGDH TYLSWNNAPSAGKAAGPSTAVASGRDLGRADA
SAPIO_CDS10654	MAEIKIDSQLFQERISHFVSSWKNDARSKEGLFNGASSIIILMG KAEDSQDFHKNNAMHFWLLGYEFPATLMLFTMDCLYIVTTAKKAKHLDQLKGGRFPIE ILIRGKDAAENEKIFVKIADKIKASGNKVGTLAKDFSRGPFVDEWKKVFADQCKDVTE VDISQTLSVAAFSVKDETELRAMRTSSKACVALMTPYFLEEMSNILDQEKKVKHSALA DKVDKKLDDDKFWKTVQLPNKSKLPSDLDPSQLDWVTGPIVQSGGKYDLRLSSEANDD ILHPGTIIAAMGLRYKSYSSAIARTYLIDPNKSQEGNYKLLCNVHNAVLKEIRDGVVA KDVYAKALSLIRAKKPDMEKHFLRNVGWGIGLETKDTTMILNAKNSRTLKDGMTLCIT TGFSDIENPNPQDKNSKIYSLMIMDTIRVTTTEPVVFTQEAPIEANSNSFFFKDEEET QPTPKKEKKDHRVGAVATKNITQTRLRSERTTQVDEDAEAKRRKHQQELAAKKQKEGL GRYTESTGGQNGVEVKKFKKFESYKRDNQLPPKVRDLKIVVDSKSNTVIVPVMGRPVP FHINTIKNASVNDEGDWVFLRINFLSPGQGVGRKDDQPFEDATAHFVRSLTFRSEDKD RYTDIANQISNMKRDAVKKEQEKKDMEDVVEQDKLIEIRNRRPPVLDNVFIRPAMEGK RVPGKLEIHQNGIRYQSPLSTQQRVDVLFSNVRHLFFQPCEHELIVVIHIHLKDPIIV GNKKKTKDVQFYREATDIQFDETGNRRRKYRYGDEDEFEQEQEERRRRGDLDRLFHGF AQKIAEAGKNEGIEVDMPIRELGFHGVPFRSNVFIQPTTDCLIQVIEPPFMVLTLEDI EIAHLERVQFGLKNFDLVFVFKDYSRAPYHINTIPVEQIEDVKDWLDNSDILYSEGPL NLSWPTIMKTVTADPYQFFQDGGWSFLQQESDEEGGSDEEEEESAFEIDEDELDAASE SSEEDSDYGSNVSDEEDEDDDDVSEDEGEDWDELEKKARKRDRDSGLDDEDRGRKKRK H
SAPIO_CDS10655	MSGKFEPKEPVQLNPPNYTPISVEELGKHNGTNGQPCYVAIKGI VFDVSGKEAYQPGGSYSAFSGKDASRALGLMSTKTEDVRPDWFDLDDKEKKTLDDWYT FFSKRYNIVGTVEGATNKDPSSSL
SAPIO_CDS10656	MRLPYVPDPPPTTTEQDAAIVSRIRARRAPRPLQALDLTLLHSP PVADGWNSFLGAVRTRTSIADDIKELAISRVAVCNRAWYEWGHHAPLAVKAGVSEAVM EVAKRQEPLSREERPEGVSDKEWAVLVYTDEMTRKVEVADETFALVRGLFNDQEVVEI TATIACYNCVSRFLVALDVGERNGLGPDAVAH
SAPIO_CDS10659	MTKLILSTGNIVSGGPSIIRKPGTFRSNLELTNSLRSNFLAAQQ DYAEAPDRRNGNTNGANGASNDAHSSPARRRPAVEAWTERDGPVLYVPRISWSVAGLH EERSQYDITLKLFFLPDAPVHERAQYVTEALSLVHKELGITTIDLLIASFPGMSFEGD CEWEADKKNATQGNLDEEVATWAILEELYREGIIRGLGIAEFGSEKLTNFLKRVSVRP VVDQINIRDCCKVPPLLTKLAMEENIELYVHTDCTNILPSGTLRELLGRGPQGASVLA DVDEGIAGLQGDIIPQWVVKYTAFVKNRGVIENKGYFAGAEIIDG
SAPIO_CDS10660	MAIHYLILLSRQGKVRLAKWFTTLSPKEKAKIVKDVSQLVLARR TRMCNFLEYKDTKIVYRRYASLFFIAGCSSEDNELITLEIIHRYVEQMDKYYGNVCEL DIIFSFTKAYYILDELLLAGELQESSKKNVLRCISQQDSLEDMEVEDEVTKIM
SAPIO_CDS10661	MKYLPVPAFDSVTSALNFNTPDCNVTGGCDLYTTKSTGSDKKLY KNINDDLDSQHAELLRLGASLSPPQKAAWMATSPSLFSQPSAFGPLSELANRRTFAYL IATLNASHPHYDFSNVLRPGDFKRERSLRRIMANLDSILQNVRGCGDTRKSTAAANAP TSIWSQHCWSLIDKEMRLDECTIFNYEPDVDPFEDDESAIWSVHYFFFNRTLKRVAYL YLRVVPVISSQSPMLNPTAMGKRGARAMDPGATKRANYWLSAQDAAVAETYDDEEEED DGFFWNRREDGDLVQFSEDDYIEDDDYDLEDDDMDLDHYSPQARGMSEDIAGRMEL
SAPIO_CDS10662	MRIAIREQLVLLVTLTVLVALGVVALPTWFFVHRFVIEVRKDAL SLTASLKASRITSELDLVRSNCRTIASRLLIQAGLKEWSNGTRTDWSQAREDLAIALS ARSQTNLLQAKIYTRDGSGDEGGIVNVTGINSPSIELPFGNPSGGPVYLGDDSIFGFP PQLYPNITYVNLNTTNPSNNLTEFDAFAFGSVDLTQPSGLLLGPLSVNESFALMSLTL PIRDNNQLSFPIGFLTIVASAVTLVNIVQSREGLGTTGIVLLVGPDEPANRFNQTVAQ ADMPQADPDAIGDKNLFKNVLVHYLLPASSLPDQEDRHPTRTYAKRTSESNFPLKDYP AALRSWTERIVSVNNASAMLSTTNEEHASVAVGFARTNSTLANWTILVEQTRKEAYGP IMTLRKILLGCVFGTAGVIFALTYPCAHIGVMPIRRLKAATEKTVSPPGYDDDYFSPS YGGGNGETPGSGQHSQSSQGSIKGWFWKMLTWMGYKPTPPSKKKPEDSLDSSRRVFKI PGKVVDRKHFITDELTELTTTFNDMSDELLKQYTLLDEKVAARTRELEVSKKAAEAAN ESKTLFIANISHELKTPLNGILGMCAVCMEEDDLGKIKQSLRTLYKSGDLLLHLLEDL LSFSKNQIGQQLSLEQREFKLADIRSQILIIFDKQVREGNVNFSVNFLSTDSIDLENA GTEPKLPAIGPQGTGKLKDMCLWGDQHRILQVLINLVSNSLKFTPKGGKVQVRIKCLG DVEEIPSGPGGHGASRSSSTSKNSGRGRSRIGSTSTRSASSRGQGQGQGQGGYVTPEQ MKGTALSINPMEPKQSSQVYIRGRSPTPPPANAKSYLFEFEVEDSGPGIQPEMQQRVF EPFMQGDPGLSKKFGGTGLGLSICQQLATIMGGNITLTSTIGVGSTFTMRIPLKFVRD RSSSTASSSIKSCSASIDGIETEPACNSVAKTNGEGKSTPVLDTQPRLVGLRQPFFTS TPTPSPPPPPQTTEAKMARVETALARKTKFGGGKLRVLVADDNSTNIEVVSRMLKLED IYDVTIAKDGQEAFDRVKDAMADDRPFDVIFMDVQMPNLDGLQSTRKIRQIGYKSPIV ALTAFSEESNVRECIESGMDEFLSKPIRRPALKQVLKKFSTIPEEPESAATTPGETTA GSSVMLGGLSSPPVVNGNLGGEKDGFEVVEDTTTDSTETPPSSISR
SAPIO_CDS10665	MADQKSTRRRRSSSILQVYHEPLETLEQISDQAALPNLNADWVN AKGAWTIHFVLIACFKIFYDIIPGVSQETSWTLTNITYMFGSYIMFHYVRGVPFEFNG GAFDNLNMWEQIDNGAQYTPTKKFLLGVPIALFLLSTHYTHYDLAYFIINFLAVLAVI IPKLPFSHRMRVGFFSGLPEE
SAPIO_CDS10666	MARHLGLPQQGCLAATVVVCLALFIDAVPRPVFHCHEQLLLGSL AAASNRLRGDVSSTSNTQQPTATTTTYSHSTLITISGCGYHNGTSASVGGLNGGAACL GGGDIFGYLNPVGFSSNSGAVHRIDSGEKITQHFHYTLQKASNGDQVNKGTGGRKPER STSQGVKMAGRDHAAGQRRREQSKYTPPPVDRIAHDFYNLNFIQLENRHLFGAIMKTG APVLYPNEKVAERVTEYSQNHSTPLPSHIPEYHAWVDGNHERSGYLTSNFQSQLHVLL SRLIGAKRVLEVGVYVGYSALVWAHAVGPEGKVTGLEFSEEYAKLAEKTFEKNGVKNV EIIVGDGLETLPQLNPSEPYDLIFIDAQKSGYPTYLQQILEKSQPGAANRLLRPGGLI VADNVLRRGIIADDSSDNPWVAEQVRLRSEYAVNNDNELIRKYNDEVVKNPRLEAFLL PLFDGVSIARLVD
SAPIO_CDS10667	MAARSAIANGSFGAFAPRTIFIKASPPPLTFAERRAVLHALKRH CRIDFFRKIRNNPSSFLSLAESEDAARTLIARSPLKYQLEIDAEDHSPQEAPGNDDGP SNGMTSSSARPTAHDHPAESSKEFTIHIFPRHTGKKHNELIEDSPLHGPWPGRPDATS FVARYLRRVIPDGTLKDGLADWETGGQLQEDGDALGKAADMGPRFYVQRRQRRRESKM GLSGVLEDT
SAPIO_CDS10668	MKANFCHMIGSFLLLVATILLIVTSITAPVVNRLAILTVDLAED SNAGKEVAFGTFGWCVQDAGPDGEDDCSKAKVGYNPAQVMEEIDGTDMASYSESTTKA LTRALVLHPVATVLSFIAFILTLGASAFGSFIASIVAFTAFIITAIVMLCDFVLFGIL KADINENEDSESHAYFSVGMWCVLVSALCSLVASILVLATCCAGRFKKRRDVEKSSPA VAGPAAKRRFWPARK
SAPIO_CDS10669	MSSAAPLSPTSTTSSVKLRRDTGFPEPFCGSRNTTLPHPEANLS PDATLEASDIDPSRALTRTRRSFLAKKSKYRHTLSHGKIAPTTSTDSGLSHDSDPDAG GSSHYLEEIHVVMLPDGRISKDGEFSRESIESAMDGTESQKKKKPFLKRVFGQ
SAPIO_CDS10671	MSTAVIDVDDVMEPSLQSILDQKSLRWIFVGGKGGVGKTTTSSS LAIQLAKVRRSVLLISTDPAHNLSDAFGQKFGKEARPINGFENLSAMEIDPNGSMQDL LAGQLDEGDDAMGGLGGVVQDMAAAIPGIDEAMSFAEVIKQVKSLHYDVIVFDTAPTG HTLRFLQFPTVLEKALAKVTQLSSQFGPLLNGILGPGGQLPNGQNLNDTIEKLEGLRA TISEVNNQFKDVALTTFVCVCIPEFLSLYETERMIQELGNYGIDTHSIVVNQLLFPRK GSNCEQCNARRKMQKKYLEQIEELYEDFNVVKMPLLVEEVRGKEKLEKFSEMLVHPYV PPDLDE
SAPIO_CDS10672	MPSSVFFKFKSQKEPTRVEFDGTGISVFELKRDIIIKSGLGDGT DFDLAIYKYDDDTTIIPRATVVTARRLPAIKPGAGKAARYVTGKMPGHAKSSFKKDQA AKDKADKAASTTIQEASTEQEKMQAMFQVLSGAWSAEREDLAHEKPVFFNKPGTHRPA NVPDHDPPNGYICYRCGEKGHWIQMCPTNDDPDYDNRRRVKRTTGIPRSFLTTVDKSV ALAQSGGDDEAKRPAGIMVNADGDFVIARPDKASWEKYQAKAKSSATAKGETAADKEL REKGLECPVDKKMFIEPMKTPCCGKTYCNDCITNALIESDFVCPACKAEGVLIDDLKP DDEMTEKIKEYLQEKDKEVSKSPSPAPKSPAADSTTKSKSPGPQVDGAESGTQKTDAA VKVSSPQSTAASDQATQSDGTGKSQETAVTDAGTTTPDTTQSSTTSKKRPADELLENP KIPKAPKAMQQKMEKEQQNTAGMPQFMNGPNAMNGMMGMPNMPNMPNMPMMPFSPMNM MGMGGMPNMGMPMGMPMMNPGMMGMPMGGGFPPMDNNMFHGMMPGMNGNMMGGGMNPP MNPAMNGGMNGSHMNGGGMMGQGMNGGGMNGYQRNRNFHNQGYNQGPGNHFNNQMGGG SEEDAYFRKPVNPHRHHNRQKRVRPSDYREL
SAPIO_CDS10673	MAPQLDGFFKQVDDSTDHFIDRLRRAVAIPSISADEARRPDVVR MGEWLGDELKALGAHVELRPLGEQPGKPGLQLPPVVLARYGNDKNKRTILVYGHYDVQ PAEKADGWATEPFDLTVDEQGRMFGRGSTDDKGPVLGWLNAIEAHQKAGVDFPVNLLM CFEGMEEYGSEGLDDLIEAEGKKFFADADAVCISDNYWLGTEKPCLTYGLRGCNYYSI EVSGPGADLHSGVFGGTAQEPMTDLVRLMGSLVDTDGNIQIPGIMEQVAPITADEEAL YDNISFTMDNIYESLGSKTTIFDDKKQTLMRRWRFPSLSLHGIEGAFAAPGAKTVIPA KVIGKFSIRSVPDMEIEKTNELVCKFVQDEFAKLKSKNTLSVYPQHCGKWWVASPKHW NFSAAAKAVERVWGVQPDFTREGGSIPVTLTFEQATGKNVLLLPMGSSTDGAHSINEK LDKRNYIEGIKLLGAYLHYVAEEPQS
SAPIO_CDS10675	MEHDMDPERQTRTFTKTTFTKAMQPVRIYNEVAALKLVREKTNI PVPKVLETGESAHGPFLTVERLDGIRLDDIHLWCCQEPVDGRLPDGHQLKKCSACQEM ANQNASTFIRETPLPQLKALRSNVSGLNGTVTPPPWVTEYDRRETWKSKTSKIDDAFG FCHEDLGSQNVLMDPGTLLVSGVVDLENAGFYPDEFADQWSLDVYYDLYSDEDSLSQL VSLLE
SAPIO_CDS10676	MSPMKQSNNYDSSNYGESVIPNVLATCYIVDAMGSLKGLHYCST GANGLPSPPSSPPLVAITSSNELAILPKDRSKARDIPGRRMRSRRGGATHLIREECVR FFCETMRAVFCGERNSVDNGSGLMDVDSLATPPDNRFSLLHDDRARRPTAFSGGGAVS ISAWAEVYDYVGGTSYRAFVADHNNEKTLFAFLDSSLVDMELKQGLMALIELAESALG CERIVICIDRDISRSQAQGLLKSFRWVGFDLVTLDFLANDLDVTSEKWLFMGMEV
SAPIO_CDS10677	MPTVHLLDYVAGNIRSLVNAIEALGYSVEWIKSPENVANAEKLI LPGVGHFGHCLTQLGGAGYLEPVKKHIEAGKPFMGICVGLQALFEGSAEDPDVAGLGI IKSQLSRFDDSVKTVPHIGWNSANTDGQIMYGLRPDSKYYYVHSYMSPYVRGELEAQG WTVALGTYGSEAFVGAVAKGNIFATQFHPEKSGIAGLRTIKAFLTGTGAKELTLNKDG LSPKFQDGLTRRIIACLDVRTNDQGDLVVTKGDQYDVREKGDDRNVRNLGKPVELAKK YYEQGADEVTFLNITSFRDIPLADLPMLEILRRTSETVFVPLTIGGGIRDAVDTDGTK VSALEIATMYFKSGADKVSIGSDAVLAAEEYYAVGKKLFGNTAIEQISRAYGNQAVVV SVDPKRVYVPKPDATRHNLVETAFPGPNGEKYCWYACTIKGGRETRDVDVVELVQAVE AMGAGEILLNCIDKDGTNSGYDLELINQVKAAIKIPVIASSGAGNPGHFAEVFEKTKT DAALGAGMFHRGEYTVKQVKDFLGEKGLVVRKFESEL
SAPIO_CDS10678	METTGNEQPFKEHRVPPMTATSNLPSANAKIPALEPDDESEWEY EYSTTETETYYLTVDLSLPEFVYRNPSGIMHFGRGGYYADWIKDPESEHKRSIAHRVV PPGGTLDDEDDEDDDDDEPLPEPEDDLDEVDPELDGYMGQRPQDGDTEVADDQLVERD IEILDLHTEHPIISYRGKVFEGSWAEVLGTELIFAGRDEAAPLPALRRLPGDVDLLAA SSARILTTEKVLKIPDELDRLKKTREAYGIRLPVLGKHHYDEKKKQVRFLENLMALKI KKGERDLVTVTARPAPDKLFIDDRDPDWMPRRPRTRILPRELTMPVGETPRKDGQRKP LPFSRPSVGAPQAGPLGGVPATLRETGGSVPTPDSWADILPEADESGEGEDEEEYDED EMEYDEDGDGDVRMG
SAPIO_CDS10681	MAFHQQTRQSVPRPAQSTSRDGDQLHTSLAPQVQQPPDESQTWV LFAPADNATASSYLGESERSLETPRASDLGDLDSNLRLDGDLDSHTRSVGFPAAFEDD VAEDDAELDSLDSHLPDFRATHNPYAETPAAPTSQNMPVLPCHDGLGSFRVEQPAGAP DMQEQLYAFEKFNPHRINQRDDVPDFPELMTDPDRAHKMQRIESWRLEQSRLLLEHIQ KETRSRSLSQSTIATRTHQSVRSSETENAQDGSDKTVSGVVQGEHDNVDWHQGSTPEP EVQSEGILSRVAKSLIKELIGIDDRLLSILFGESRPDDGEDLEVSAMRPSEERSWQLR MLETIAKELGLLINRLSHHPGAFSSYVRTQRMPLPYAGLPVIPEMPATGAAQVQQQGD QDDLSAAIPEFQPTVPRQSPATDAQSTNQQTGKHDASASNQHPDAFTQQEWEQDLDIR LAFRYLRSRFGSRSSPAPTTTGTSHLATSSTQDIAAKIARLRESEHEAVWAEE
SAPIO_CDS10682	MAPQKKSKKDAHSISSKLALVIKSGKVTLGYKTTLKSLRSGKAK LVIIAQNAPPLRKSLLEYYAMLAKAPVHHLSGTNIDLGNACGKTFRTSTMAILDAGDS DILSDQQS
SAPIO_CDS10683	MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRAGVD DFPLCVHLVSNEYEQLSSEALEAARICANKYLVKNAGKEGFHLRVRAHPFHVVRINKM LSCAGADRLQTGMRGAYGKPNGTVARVNIGQILMSVRTRDSSMASFPYRAIALEALRR SQYKFPGRQKIIISKNWGFTPLRREEYLVKRDAGHVKVDGAYVKFLTNRGSLAHNMKE FPDAFEA
SAPIO_CDS10684	MSTPSRKPATPGVGRVSAADSTSPHNSPSRQTPRSSTPTSNTPS SVNRTRSVRSSAPVSARSAAARRESLLSNGTTETQKQAREEAAAAIEDLKDRLAKAEG AAENYRKQIEVLQSRHDDTVKEQAKLEERLHEAEEQIESLTNEKRDAARKIREMETIY EAERSAMMKEKEDMANREEEMQTVIQRLKESLAAQKYNSNDDDDVRPSRQANNSPSVD GGSFAPPSSLNRSDSRNNSKLLLQKDKLIESLRLELAEAQIKLVESENQGGGRLQEVE RLLMEARMANARLMEDNESYQLLLQERTLNGEFKNSLASMGGAAANQDALNALEGRSS GTTLADELSVAAESESDNYRRLEAELKSLKEENKALILYIDKTLGKVLQHPEFEAILD QSMSMDKANTQKELPPPPPAEKDQQWAGASLFQRAKFTVGAGPKPKPRPMSVMPTSTT QNSIHNNPETAPKIPIGNLTRSSSVRRSRPMSEQYTGAGGSMLGQRYRGSDGPASPTF SEPRRSQTFFSPGGISNAGARSPSGQHAPSSGNFPGMRSESSSISGDSGDITTPPSQS PPRPGHGDKATFGGNKPRPLRLLQEHPESAKPDANKRASWIGWASGWTGKKEEVAPTA GAITE
SAPIO_CDS10685	MDKSANRPGGTSTEPSHSSTGSRSQLPSPQPVSVSSSTSLSEQM NQSKQSSTNNSVAPSPLGSRDPSPIRLYRQSTTTQPSFPHSRSRKNSVVDTSPTRVAR SGLPQGHGNSRTLTAANTPALPPASQEPNVRGPTPQKPATSDQTRSNSPRWPISPRLR SPPPQLNRASIAQSIRANETPSVILPRGPTATLPPPSTDQAQMSESETEDIQLQSGLR TPAHGSTLETVQEVSLPNSPKHITDAAMEQVKEKLASELVTQTEGGYTTESKTLRARP LLYTAESGSESGSVKVEGRRTAGGITAPPMMSRQSSSMSTKAGKTKPEGSTQNMTVET ETVISVPNVALAPAGPQGGNGTLRTRPSAETIKPKKEKKKGSRKQPAGAASSKAEIFE AKVASAVDEADDSDSEETFVYDSNPPDDRRRFHSRTPSATSMMSQAERAAAMRSIHSV MESAGPPVSVRKNMKFASTINSSTADSLAQGEDDNKGVGRSSAGSGRGTARHHHHIGR WGRSGHPSLFDNESPFPAAQRTKFSRTPSKQSSAPPSPRNYVRSGTNGKRAPPYVSNN YDLDDTTGPDDERTPLIFSSSRSYRSTRGRRGPISQRQLESQSYHSPPSYLNRLAACL VVTMMVLLVVTGAISFMFATSQPLTNIELTGIHNVIASEPELMFDLTVKAHNPNIVVV TIDNANLEIFAKSIHAGSDSEWWKHPQGPPDGGRRGGGSKGDKGVHISDDPPTDMPDD DAAPNMRLGTITEFDSPLSFEGSFFHKGMSSSTGAMRLHLPGNQTAGGSERWGRILQD EFDLVIKGIVKYNLPLSQKTRTASISGRTTVKPNSANDPSLKPNSTRIDIHPVAIDGG PE
SAPIO_CDS10686	MASRRDFLNQPAPENYVAGLGRGATGFTTRSDLGPAREGPSEDQ IKEIVAKRAAALGIGGEGKKGGDEGGDDSERYQDPDNEVGLFAGGIYDKDDEEADQIW QWVDEKMAKRRKAQREAREKAELEEYERKNPKIQAQFADLKRALGTVSDEEWANLPEV GDLTGKNRRAKQALRQRFYAVPDSVIAAASDSTQMTTTVADDGTVSSADAADGTMTNF AKIGAARDKVLKVRLDQASQGGNGVESTVGTATSIDPQGYITSLNRRALTEAQAQVGD INRVRELLQSVIKTNPNNPLGWIAAARLEELAGKIVTARKVIAEGCKNRPKSEDVWLE NIRLNEGQNAKIIAAEAIKHNSRSVRLWVEAMKLENIPSAKKRVIRQALDHLPDSEVL WKEAVNLEEDIDNAKILLAKATELIPLSVDLWLALARLETPENAQKVLNRARKAIPTS HEIWIAAARLQEQLGEGTKVNVMKRAVQVLAKESAMPKREEWIAEAEKCEEEGAIITC GNIIRETLGWGLDEDDDRKDTWMKDAKSSIARGMYETARAIYSYALRVFVNSRTLWME AADLERHHGTKQSLWDVLEKAVEACPKSEVLWMMLAKEKWQAGEIDNARQVLGRAFKQ NPNNEDIWLAAVKLEAENNQVEEARELLEQAREQAPTDRVWMKSVVFERVLGNQEAAL NLVLKALQYFPGAAKLWMLKGQIYEDMGMVPQAREAYATGVKAVPTSVPLWLLYSRLE ENAGSVTKARSVLDRARLAVPKSPELWCESVRVERRAGNANQARNVMAKAMQEVPRSG LLWSEQIWFLEPRTQRRPRSLEAIKKVDTDPLLFVAVARIFWTERKLDKAQSWFEKAL VLDSDNGDTWAWYYKFLLQHGTEEKRGEVISKCIMNEPRHGEYWQRIAKDPKNARKGI EEILKLVAAALDR
SAPIO_CDS10687	MSQPRSKLPTYLGLAAAGGIGYYLYTAGGSPKAAADEAKRSSTL SPHPDFTDDATKAFPTSDKKSAAGVGSKIDNAVQEAEKSLHNAKTSVESYAKETKDEI TRGFDKADKKIEEGAAKAKGWFSSK
SAPIO_CDS10688	MPRIRSSRRAPGLKESDYDHEIGLIDDDDARDSLAAATSRESPP EVASPDVGGPSTARTGSHASSSLSVPSGKLLPIERVSEALNASAEAQSSELEPEEQPG RGPSIEIEDATPNVVQTVSSRNTLSKTSTKDREAVIDVLYENERGGFLCGIPLFSSKA LGSLDPPPWTNAFHKTSPTDTQTTQVPDPTWEWAWPEWRIHFHDKVDEGGWEYSFMFS KKFSWHRPRWWNSFVRRRAWIRKRVKKDLELLATTTTDPHLLNTDYFTVRSRESQTHS RTRSRGSSVGGGSRASFASYHSEAESAFDVNAHQIEDVETLLHVLRKARIDREKLEAV SNYLEHAGPDLQNLGHEMHEVMINFVFQASRKILLSNLSQALDKTVADLKKDKSPSLE ERKANLEVAIQHADEEVRKLAYWSDIKGMVESGKSAHGVQEEMGWGKAWRGVDQSGPA GPQKGDGVKAKDGDEDIPP
SAPIO_CDS10690	MGQTLSEPVVEKTSARGEDDRLLYGVSAMQGWRISMEDAHTTIL DLLAGAKSNDAKAHASRLSFFGVFDGHGGDKVALFAGENIHRIVTKQEAFKSQNYEQA LKDGFLATDAAILKDPKYEDEVSGCTACVGLITDDKVYIANAGDSRGVIGIKGRAKPL SQDHKPQLETEKNRITAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELPPEEQIVTAF PDVDVHDLTDDDEFLVLACDGIWDCQSSQAVVEFVRRGIAAKQDLDKICENMMDNCLA SNSETGGVGCDNMTMIIVGFLRGRTKEEWYEEVARRVANGDGPCAPPEYAEFRGPGVH HNFDDSDSGYDNTDLEQRTARSLGLAGFPGRVIFLGDGTEVHPNSSDDSDMVDQMEED KDLESQVTKGEKTDVVTGGSKETTDSEKATNAEKPKADDVKKD
SAPIO_CDS10691	MRDTGIPSSRRPASDVASSIMDDRASSVSRASNISRAMSYASTA ATTVSISGEISSQLHGGYHHPLARQWQAERQLTKDMLIYPIFVSDIDDEEVLIPSLPN QCRRGINRLIPFLEPLVHKGLKSVMLFGVPMKPGSKDALGTSADDPEGPVIKSIRLLR QRFPQLFICTDVCLCEYTTHGHCGILREDGTLNNQLSVDRISDVAMAYAAAGAHCVAP SDMNDGRIRAIKLKLIDQGISHKTLLMSYSAKFSGCLYGPFRDAAGSAPAFGDRRCYQ LPPSGRGIARRAIVRDINEGADIIMVKPAGQFLDVISDAKDLGRDLPIAAYQVSGEFA MIHAGAKAGVFDLKSMAFESSESILRAGATIIVSYFTPEFLDWL
SAPIO_CDS10692	MAPPAGDPPNGKKLRKAFTFGSRSEKREAQGSMKHHGKKSISKM DIGYPQLQPTLAHDAIGILNTDAFERTVAHPQDSKGKGKQKAGDHNGSGKMYLNRNVN IEGNVAEAVDELSPLPMAGQNRHTMKSRYSYGSGTEPDKVSIARANSTSRTSESAKIG SHGIELARSTTTSFSPVTAHAEPSIKTPGPSAYYEAEEINRKVSAMLAATAALEGRSP LNEPTPSKMSVMKNKVMAKMSSIWSRIHDKKPQKEAEDEKAKLVAPVLRQTRHISSPV KDTGARTVAAGSTGGHKAETVTPSYRIARKPVPSGSRVSLPAGNPGQGSPTANPFADQ EVSASATGSDPMASTVRQRGVSQFGHEVVNPFNTEGDFHFHMNDLLATSPIAASTPRG SRQQKAIAGAGLGQEGQQVAYSPGPDSSGAAHASASADDEDGNLSDCSGQTVIVRKEY HKEFSDLNRHINNPSEEREGLEDVQSDTVAKVKKHPSPSKEELEELEEQFQILAPTVY NPHATDDELASIAVEIARAIPVVARHVPQRPAEASANGSASGSGRVDAQPAPAVRTVT VLPPTAWVPRGRLSRRTRRLGEPSGSQPTTENSNTNNGNHWSGLQ
SAPIO_CDS10693	MEASRLYTWLFTVLIGAVAVTLGFITLQRPLVPLIDDEVVYCYE GIRTHDEALPTASCFGVREGKFSRVMAKGGEGEDESLASQRSDGYVIPGLWDGHGHLM QYGEFLHSVDLFGTKSFEEVRQRLAEYLEANPGAGSKDEWVRGVGWDQMALGRMPTAD DLKADSVLSRIYIMFDRVDVHCTWVSQAVLDLLPEDIEDVPGGEIIREPGMGVFCDNA MEMVYGLFPEPGRKKKQEFIRTAMRNLNKVGLVGMHNAGEYPKTLEIFEEMADEDEWT VRIYSMAECPERNTFCPDMVKKVDRPDGRLTVRSIKLFADGALGSWGSAMIEPYSDRP DTSGSLLVNKSTLINVAKSWATEGFQVNIHAIGDLANRYAVDALEDSLKALCPNAVHL EDCQESNRFRIEHAQIIHPDDQARIRSIGLIPSIQPTHATSDMKYAEERLGPERTSTE AYRMRSLLDLNPILGSDFPVEPPSPFQGIFAAVTRRSPHTGLGVGGSPDGWQINETLT VDQALRGFTLGVAYGGFMEGKAGLIKAGAFADWVVMDKPIETLDVDELRDLRVKETWV GGRLVYRRGDRS
SAPIO_CDS10695	MATKLSPMLFRSAMRAACRTARPQIQLRSLTVSARKQSDVLMVH RNTPDNNPDIPFKFTPENEAVMAEIIKRYPPQYKKAAIMPLLDLGQRQHGFTSISVMN EVARILEVPPMRVYEVASFYTMYNRTPVGKFFVQACTTTPCQLGGCGSDVIVKAIKEH LNIKEGETTPDGLFTFIEVECLGACVNAPMIQINDDYYEDLTPETVVELLSALRESAN ATGSAAAAKKVPAAGPLSGRKTCENSAGQTNLTAEPWGTETTRSDL
SAPIO_CDS10696	MDYDRVSYPLQSQDSTSMIWTYIAEDEPWNGHGVSQLNTQQYGS MQSLAFQRYRNNDASDYGTNPAPTLASDSGYASQRRPIPGDDSLCGESILDPEIQAIE SGFDQFGLISAPVPRFPNLQSQSTPKHSVSDWVCPDCSSSFKNKTTLNLPSQSQDILR AIGSLGLDPAESRASARRGGDEQDGLQAELETLLEEPELPASSATSRQRHVADISQKI KAADLDSQSPTALSGPATSTFAPPTEIYQTATGFENPLAHAMPGVQTHASIKSQPVFC AKRSDGSYVDANTPLTVLAQKRAVGDNPQGREDSVHDHTTQPGCEIDSPVAFPIDSPR GLADTDTLDNTEAQNGFLHNPSTKSSALQSDQEIFQLLEEIPEHILQSFMERKLRNTS MDTDEVRDDPGSVAATQKHEHGCSECDKSFPRKCELNKHMKRHEKPYGCTFPECTKKF GSKSDWRRHESSQHFQLEIWSCDQCSKAYHRREIFKRHLEDDHGLIDDEELANKLESC RVDRHSSKKFWCGFCEKIILADMEPGLWNERYEHIEDHFIGRKGFPRRHIGEWKRGDS EHSKAIENASQGTGDLNGYSARYKREPQSEVVMESLRGPRQLERKRQRPKAESPSPGA SEQKRRRVGVRGHMA
SAPIO_CDS10698	MADPPVGSHAGSDMLLSPDGSHSKHESTTETGPEAARPIQQSIS NISLMEQPAVLATGRTLPDTSDAALSGSDGISNNSGGEDDGSDSGIDDPNWDAVSETA SETLSPEEILAQERRREEIRKGKAKEVISSRPGSPSQSHHGENTRDPPSDAWLPSSDM GQPLEVAIRQLALREPLADLLERSEDGGASQHAVPGPSAEGGPVLSEPKAGETAETEG YFFVPWERDPDRPLQKLPIRFRDCLGRTFLFPWEKARTWKGTKHLINEALGHVDDIGG QVKAGHYDLIAWDVWESEKFASINPKLTSYVQPPQESQHSEDSSSGSPAAEAPPAGFV GSVVNGNGSPTKDMGVKTRNAVILPELWEFVVEPGMLISMVLWPWKKKKKPFHPSSSG SGWSSFTPGGQMQTVTVGTGRGRGGGMIPPNQPLGRGRGAPPPPPLAPHGPHAAVPPH LIHNPPPAGVPPGAGRGTWQAPPPPRGITIVHARTIIPKTRKKQER
SAPIO_CDS10699	MFSPAVHEATGPAAGTRSRRRQRPKSTDSLVQPPKAKRQRVPLT EQTFVNPDVRPEMLEVKPDRLSAIDIKPDGIENMPVPKRDLGIRAKKPKASERVSKGD GSVVLSTNNAYTVSKLPALPDRLRADVTAPQHGDIFSSSGYAVTLTHTHAIVWPYTST TPSPETFTFSLPYPSRSPTDPLPLASLVPPSASSTEPGLVVVMPASGRITFWETISCA ATIDLMRQQRHGVEYAIHGMSSGERVIQITPAESAGFVLAMSSGRLAHMMVRDSHGRP SISVRFLDTSLGASHAGIFGSIRHVLSHSTGRGEIAAVRAERSSRVGERNVVAATVKG KLIAWKFHRGGHYDSLGEADVRESIIDAFYDADQSVSDFPADSFELLDFTYVPKGLES KYHDMSRLSDAIESDDSNIQHLLLVVSLTKRFSARYALVEVILAPRSARIGMVRPITC YTTPINTKPAVNALRPRIHLPRPALVAFVVFDRAAVIASIAIPPLSPDAQLQEDTHMA PDLYEDVIDLRDDNVLEIIGSGFEEPPAVTGHEDSRTLRHKAKNPTAIIMVRGVGTLR IATTEVERFASDRAPRVTAKSKLEQAVFYGVKADNPLLFDGRRDVQFTHDEMSEAALE LSHEIVSSTNPHIGTLPVSLEDNLRSRSLALERLIHYLASTNVQMSRRTRWMLLWNAE KMAVSVALWKKQEVFVAQRPVDNKKTLITEIVEYIHEDQKSNPNTVVGEVDRVRHWFI NDVWRLEIFIAWAYEVIKHMYKGQTLDDVKLSYLMEEAVSVNVISLKGGIDFRLKNLQ LYGLDGEEMEHGILADYTGLPEPWTGSHFVCNNAKRLLELCHQWLQQFYPSMDDHRQF ARRPTAGILSEIVANLPTLTDQYLLSVLEQSRWATTTNDAKKLQWAHVCAEAYMTSRY DKVLALEYLELWDDAMAIAEKHRCWTALADVLIVQIHGLIAKSQDPKVPIDASDLILA KVDSLRSRLKGYFDRYGQDFAFAAYDTLLAKDGVMGVLDFDGDVHGYKTKYLRSKPEL AKISWINDVEEEKDVSHAADTLLDLGLSKEQLLWNKKVELSLGKLALMASDSSLGREF ALGPADTKSAPETVREEQLFKVDNELEIIKIQDALFREIYRTVETAVDEAAEIELAME AHAPEVPKKHKIFSQLLETGIRRLLRHETLDPLTLIDVLTLAKFNDQTPGVVDERFFL ALSVAHHALVGEEYKQTRRLIWRRCFTRDDWSKINDTQRKPDIEVADIISNTTLFRTY AACFGNYQDLNEHFKPLSPKDSLHVYLEELDRRFKDADKSFRDKLLDAMKWEDTQLRK FIDKCRLEEWARSTDQEARNAVNSLVDDTLAKKLEATRVHTDMDEDGYLADANGSAMM M
SAPIO_CDS10700	MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDRCGR RFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLVGRPKTKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTVIKDLCEGFPEEFSKYLTYVRNLGFEDTPDYDYLR DLFTQALHSVGEVEDGEYDWDKIPTESGKGWDSGKHGPGYLHNPNARPGPSQMDLHGS RHVTNSTPHQQTQNLTVGRLNAAQPPPPSPIKQLNKPRDRSNVPGGLAAPMGNGVGGH HGMPTPNGSTQAQFQNSTQNLPQRPAQAPHMTAQPQTSNAQLPNGAAATPEPTGFQKF IKVICCG
SAPIO_CDS10701	MRALFPLLALSGLAQALYFYIDGVTPKCFIEELPKDTLVVGDYT AEEYDEQRRAWVSHDGINIYISVDEIFDNDHRVVSQRGSKSGRFTFTAADAGEHKLCF TPSSSSGRNGWLSVNNPNGGVRLNLDLAIGETSQLESSDKNKLEDIASRIKDLNARLN DVRREQVFQREREAEFRDQSEATNARVIRWIVIQLVILSATCAWQLSHLRSFFIKQKL T
SAPIO_CDS10703	MSTFTALNGADQKPAEPVINVAEEPREPREPRPVSAGRVNGQTP ITPSSSLPDAQKPAETPRENRSNSTQERPASYTQSTHAEAENSHKRKRSDADELRRDP PPPQERSPREEPSRPAHTDARIAYDGREREYRRYDDERREREGWYREQSRDKPSSYER QHFQPSQSSQRRQTPPSHQTAEDKSSESARKETDYPNTSPDIDDRSISVYTGSPYTAE GRKDTVIQVDPKKRKRNFSNRTKTGCMTCRKRKKKCDEQKPECSNCLRGGFLCAGYPP QRGPVWQKPDNKASAIPLESKDPSYVPPGAYGMPQQQISQQQQQSSQQQQNHYASASA TAQKPPPLPQLQKRDPITSYRGQPLRIDPPQGRPLVSDDDRHTASTIPSASIASPDNK LSALSYTPGASVFPTPVSANAGPAPPFSERMPKEYQRVPPLHDLTRTEPEPPHHGTTL PQINILHPTRTNSPIAHQTATSSAQVAAQLALAHTQYAPAASRQRTQKEEMLSGRHFY PFDKELVLERERCNAACWRFNNAMNPTNGVSPLERARLFREILNPRDPIQMSPNLMTQ VTNIGRVGENVVVEAPFVCDYGYNIRIGQNVVIGRNCTITDTCEVKIGDNCHIGPNVS IYTTSLPIDPKRRMGSKGPQLGKPVIIEPDCWIGGGAIILPGRTVGKGSTVGAGSIVT KDVPPFTVVVGNPARVIKGVSS
SAPIO_CDS10704	MSITIEKILAAAPATTRGQPTHLSTDKKGERIAYASGKSIFIRS IDNPAVSKQYVGHTAPTTVARFAPSGFYVASGDASGTVRVWDAVEAVNTKGEYHIISG RINDIAWDGDSQRIIAVGDGKERFGHCITADSGNSVGEVTGHSKVINAVAIRQQRPLR AATVSDDSTMCFLHGAPFKFNSKEADVHRSFVFGTAFSPDGSQLVTVGADKKIQLYDG KTGEPTVSIGEGEHTGSIFSVSWSQDGRKLVTASADQTVKLWDVEAQKSIQSWRFGPE GAVSVPDQQVGVVYPHGRSDGLIISLNLKGDLIYLREGSDKPNGVVQGHNKAVTAVGS GSDGTGQTLWTGSFDGRVLQWDVASGEGTAVDGQSHSNQVVKFAPGAVGHTYSAGWDD TLRTIDEPAQTFTGSAIKLPAQPKDLASGNGRIYVATASGVAIYQDDKLVKDVTLPYV PTAIAAHGSYVAIGADQNRVLVYKASPDGSLQQIHAALTKSTSQISTLAFSRDGGHLA VGNAIGKICVYETSGDWAVATDRWSAHTARVTTIAWNKEGTHAASGALDTNVHVWSLA KPGSRVKAGNAHKDGVSGVCWVEGGKVASSGGDAAVKIWDVKLQ
SAPIO_CDS10705	MASSNNPESGPSNDLYPTPRSGILHHIARSVTEANYGGLPTIPD PDMDRYCLVTVGATTTFRPLVEGVLERPFISALITAGYTRLTIQCGADAEEFRNVALQ FVHPTLKIDVIDYVDDLSRLMRKCRAAEGLSRRRAGMMICHAGTGTVLDGILNNIPIV VIPNPALQDNHQLELANELHKQCYAIHGLLDDLPATIEHADALHARIQERMPPNAVEV DPLEEAEPEFWDFVDAMVAVPDERDVGLDPGARRFMASLSEPEREIYERLVPEPEPEQ APRVAAPAAQRAGPRSVTPQYGHGATGHPYDLGTTMLHPLFRPAQQTTSYGPGGMLHA TAEIGPQPMAAGVAPRGRPARNPGHDF
SAPIO_CDS10706	MAAAGSAFRLCLRTIARQRPAPRLRSTVPLPVLTQRTISTTRIQ WAADESGTAKTTSGSEGEDASASDYSFIDEMLEGIPEEERTPELVKELQNIQQTLEAQ DRNMHKSLDEEAATLFKEPRPLKDSFWFDEDDDDPMTHDVQGEDFDEDDITSMAHGKL DELREYRHYARITAWEMPLLSKLAKPFEPPKEDEVLRFRYTTYMGESHPAERKVVVTF CPADLGLTPAQELKLKKLAGPRYNPEKDEIKMSCENFDHQAQNKRYLRDQANRLVEAA KDPTDMFEDIPLDLRHHPIKLKPKFPAGWLLTEERRRELEQIRAQSLAKDVKSGEKGK IVDGSAVVQRWLSEPAQEAQTQQREKVPELVGRRRR
SAPIO_CDS10707	MAPSPLATPQLYPSRRPQRVYENGGEMEAQEPQLTQPLTLRNRN RTLNLNSNSNLSLNHSRNSNPIPNWNPQSNRLTTSQSSVLPSPAPSDEASSPPTISTV AVPDPDSLPPSNTALPSYSPSHPQAPPPPPPTDARPCHVIQEEETEENRDRDPRPCTL PMVATLFAAPSITPTQAEAGRPAGDAGVTGLGPSPPRQPTELWARDLELMIESLGGKD AADPVYEIPRYNVVRAAVTEGDFFFLLVHEVVCLRALDSARVNALLGLPNAVVESACQ ILEGALRPTARLRFGMIHWYADFPFGLKTHEFPADGYLIPYRISLCEFFGQLSQKWPS LVNAAASRRTPVRVWELVEILKIRSGCLLGIFFRMSRRLIGVKDGPKTQGIIHALEAN FTCDRNLYFNKSLTDDERHIASNEVELRYQQLMATLDNLSLQDMPNSPALGSLQQGQH PQPRHQLGGHPAAVSLPTQVPPTYQPIYRPSPPRQGNHSIAPGISPISQPPSSYHQTA APYSPTTLLSNVPPGQLVTSPGMPSSPHPLPPLRPPLQQPPHHSNPQSASGIPSQPSQ LWPSRGTETQRAFAAASNVYSPGPQSPVAIAPPSAVWATSSSNPTNSASARLQPPVIS PAQAMQPLPSQPATNYGGHQAPHQSGPHFAHAVQPASSQPGQPQHGPQRGQQARNLNY PFLPPASAIIPPMQYPHVHTMMARRSLHMLETMSPPRRLRKLGSQDVVPVPHERYYQY VGSCLARPTQLSTDGTQKKLKFQILPQIHATFSRVVEGIGKPFKFAEYYQGSSWIRFR ICEAPSNIAILPEGDWLYKPTVWPSALSIKINEDGYPQVARKENFGKARPCDITSFVR VDRNELSVVILPSSHNSNASYFGAVELIVTASHSSIMRIIQEKKRLAEKSTLDVIKSR LSICSVDGDDEGEVLADQELCISLTDPFSTTRVKVPCRGVDCRHLDPFDLSTWLETRP SKDRCAHGLKDVTDCAKCAVYGVDWQEPSLVDTWKCPICSADARPNRLVVDGFLQGVV DSLEKQGLNTAKVIYVNAEGKWRPKEELPDDEDEGSDGDEGPALKRHASDLAKKKVAA PQAEVVVIDDD
SAPIO_CDS10708	MASASSTNAPGGSSLSGNTRPELGDILIVIHDFNARSADELSLA KGDRVELIERDDEFGDGWFLGRHLVNNNTGLFPEVYTRPAPKTAPAGSSNNLSNYPPP PLSPLAESAPESSVHTTQATNSASPLTTSILSISSSIPATVTAHATIPASVPSLIPDS PPATLPLSSVHTPKPDAPSSSTIPSSIEEALARLNSNQDSHVLNETLNVIDEHITDLR SPASNGGLHAATDSGSDYSAPIDPRMSYIQGEETDEEEESVHTRAEVEKWTPDEVAEY LFTAGVEKHHCEVFRDQEISGEVLLGMDQSSLFIKALDLGSVGRRLKTWQKIKTLQDE VNSGTYTRRTTQTYGSEVGSDDTRRMRSRTNTITNSIKMPTIDDRPSSAQAGRRSTGM SSRKDPTNLGSPTSPTRIVESPTRVTHARRPSAAEVRDLHHSRRHSSTDYRGTPVLAS PAMNAPGPYAAGADSNHKKQASFDRNWTMGSAYNQRPLSSTGFQDILNPGGNDHQDSA VELDRGYFSGTEVDGRKKNVLKKRDSGVTKASYTEEQRVRSATAISRHSRYGSIDSIR DGGLTAAQKYYGLAAGNHKKTASTNTTESIRPVPPSKDAPPPTVTKLDGISDSPGASP APHASPVHKHGLHGDWLSSVVNKPVGTLKAAGLGLRAISDSVSSSSDRTKVASPTDSS TTRESPVLSPARTGSTTPSAGHSFDLDSAELKASAYGANSKRDARKKGKKETSAYTRG LQKISPKEAMEDADYSGWMKKKSSNLMTMWKPRLFVLKGRRLAYYYSEDDTQEKGLID ISFHRVLPADNERLTGLHATLTGAGSSTPTLPNQGTSSAGGDELEKGDDTMFIFKLVP PRSGLPRAVNFTKPTVHYFAVPNLKQGRLWMAALMKATIDRDDTQPITTTYQQKTISL AKARAMRHRPPALMNLEEGDEDEKRRSAERDKKDGLDIALHEADSGISGLEKMGLSKV ESAKATQHEFTGSEKGILPPQSA
SAPIO_CDS10710	MMPLQLRIRLERANRHNIATLRASPLAEISGAFGDAGTLLPIIV ALALQGSINLDSTLVFSGLFNVLTGVVFGVPLPVQPMKAIASAALSGRGDPHPASVAG AGVIVGLVILFLSATGLLHHGTRLVPLPVIRGIQHGAGLSLIIGTGSGLLSQLGWASP ALDNRLWALVAFLLLLGTQTVARFPYALYVLVAGLVFSLISVLTSGEAAQLPWFSFWR PQILALEDFFRFRESSLTMAIGQIPLTTLNSIIAVSALSADLLPHLPSPSVNALGFSV AAMNLTGPWLGCMPLCHGSGGLAAQYRFGARSGASIILLGLFKLGLGLFFGGTLVDLL AHFPKSLLGVMVLAAGLELAKVGATLNRGLVEPDCGTHVETGTSAADGSSRPRRPGRP SAREMDERRTVMLMTTAGILAFKNDAVGFAAGMLCSWAYRLSDRVTAWKLAKSAPSDT APLLN
SAPIO_CDS10711	MVRIIPSRLKSSSSITSSASNNGRSNSPPKNRDKDTMNSTRDNG LALRVSILKGRNLAAKDRSGTSDPYLVLIIGDAKAITHSVPKTLNPEWNVIEDLPVNS VQSLLLDVICWDKDRFGKDYMGEFDLALEDIFANGEPVQEPKWYPLRSKKPGKKTSIV SGEVQLQFTLHDTSNPNATPQQIFDKFNALVKTVPESASRNITPAMTPSHGKSRSPKL GPTSTLLAPTPNTVPGEGLDTTLSDLDDDEDDEDEDYDIDVSDEILEEDATKPEAIER RKRRLRIKRLKRKRKENAYEFSGDSDVLGIIFLEICSITDLPPESNLTRTSFDMDPFV VASLGKKTYRTRRVRHNLNPVFNEKLLFQVVSHEQQYSFAFTVIDHDKYSGNDFIASC NLPVKDLIDKAPKANPETGLYDLRDPPEYEQPKRQRFRKLGSKIPSDTAPAASVAPSA TSNSAAPSAQPVVVASGDTLAVPAPPGEGPESPPTEPETQDFYEFSLPLKMKNLEKWE DKHSPVLNLRAKYMPYPALRQQFWRAMIKQYDADESGQISKVELTTMLDTLGSTLRES TIDSFFQRFPHRINGGEEQDLTVDEVVICLEEQLLAKSNKQPSLGDRVKDRVKGIVAP PSGESDGSTASSESSATTPPGTVGPVEPAARPPILHQDSSASSTIVVPELIAPGEEGD FPSKDELVEDRGEEHVVEIRECPICHQPRLNKRKDADIITHIATCASQDWRQVNKLMM GGFVTASQAQRKWYSKVITKISYGGYKLGANSANILVQDRITGQINEEKMSVYVRLGI RLLYKGLKSNSMEKKQIRKLLKSMSIKQGKKYDDPASKSQIIPFVEFHNLDLSEVLLP LEEFKNFNEFFYRALKPGARPCSAPNNPRIIVSPADCRSVVFNRVDQATKVWIKGREF SVKRLLGEAYGHEAKRYENGALGIFRLAPQDYHRFHIPVDGVMGKPELIAGEYYTVNP MAIRSALDVYGENVRVLVPIDSVEHGRVMVICVGAMMVGSTVITRNEGDNVKRAEELG YFKFGGSTIVLLFEEGKMRFDDDMVDNSNTALETLVRAGMSIGHSPNESQWKPDMRKD EKDVTEAEKAEAKRRIQGSSSPPETPEASGDDKAPRLGAPQDGVGELGDGKGNLS
SAPIO_CDS10712	MQVVIPPRDHKPITYRYTWDEYGEVQSVERVGESVDHSSHRQTH RWPFQNNSSPDNLTSSSQRTGARRTTWQPRGLSSGQTSPSTIDKDLIPDYVINYLRGE TPETVALRASMKARGPQGVNLEQRDRAHRSRAADFYFSASRSNSDPNGAAQSGEEGGE TKRRRVSGLVGWRFGVVINALIAFLILLVGIICLALDISRSRLGGESTIYTGDCDGAR RINWVLQVIINVSIVVLLAISNYVFQVLSGPTRAEVSAAHDEKKWLDIGIPSFRNLIY ISRLRALLIITILVTTLSVQILYNGVIFVSRVGSSSSLVFVTESFLSGAPFSNASQTN SAMFSRIDLLSLQDSANRGELTNLTASECVTSFTGPYVGDFSTILIVTDIKSSTGSLL QTGMAGSRGGRFLEAEISEGVRLQKQDVLFCMAESAELGRESCELKLSSSLLGVVTLL NLILVIGVGVTSLLRKFKPLATLGDAISSFLETPDATTRNACLLTKSDVRAGRWPLYE AKYWVPREHFWILTPSLIRWAVFALAWITPVALAAAALAVSIVEQPNGQLTAFGKPAP HQIYAFPAGTSRVAVSILAGLPHLLIALLYITLNALLSTYFVGNEMSEFAVPDAFKAL RLSSAAQGIQQTSLYITLPRPWSWLLILLFSAAGLLVANSLVVVAVDVLPPPSDLSFT ETTQLTALSTSSTALLALLAVLLVILAIPLGLGLRRANPTASYVNGRPAGNPLAMRGG TCSAVISARCHGPGDEGDNLVDPTAERLVWGVVREGEGMEVGRLGFAGERRGPRPVGM IAVGRAYA
SAPIO_CDS10713	MDRQSTPPLGTSPQRRPSWFSNISSKFSASSPAVPQIASVPENQ PLAQPKPVAAKAASVPQGGRNGNDAPYTPAPPRVNPGSNLLGIFRRLSSGAAGLGHGM RGNHGLVERRVLNIDDGRERCKIDELNQAKLRRVAFCVDVEIAPQPKYADDPSRKKKS DKKTDPTEKNGTTAASLKDSAASEPNGSPPRPESAEGKAAPNSTADNGAAKKKKEKKK KSEEERKARKEKKRKVAEANGTIPMEIHRSSSDSSLETTTAASATGPVTPKVSSLPTT NPVRIYRRCCQLRETPILKKITEQLSDASNCSTETGFVEKLDLSGYWMQLPDLITLGD YLAVVPVKEIFLEGCGLTDEGLRVVLAGLLAAKKPDSRRRRYANYADHSVQGGVIERL VLKNNKIGPEGWKHVCLFIYLCHSLKFLDLSGIPFPHPPQEPVQNGNGNGVAASPTYT HVSSSANIAYLLSRSLGERLAGSDLELLNLGETGPAPEQLGVIIDGAIKCGLRRLGLA RNNIDEEGVAHVARYLQGGTCEGLDLCGNDLNDYIETLATAIGESHKLWALSLADCSL GPTSICKIFPPLLKLSDFRFIDLSHNPELFKNEARAMQALRRYLPKMLYLKRIHLADV SMTSDQAIALAEVLPEVPQLAHISLQDNPDLAKLADAHTEEAQEEACALYASLLAAVR VSKTLVSVEIDVPTEESSEVVKALAKQVVAYCLRNMERLQVGDLEDSAAAEVIVPYPD VLAHLVGREESGYDEASADTDAAPDDDYVIGGTGVVKALACCLDNKGNGDESRRPSFE SLRDAGSGSTTPGVGLAAPGRAKNMSKHLLSSARKIRARLQPALARAKEQSGDKAEDL RKLIFLEYTLNGIIKRFEEEFPETREIGEVAQDAVQPIDSTEQPQDADMQQSIPSLPE GEDSICLLSDAEEDEDALHIRPISRSSSLHTHTKPLDLEEGRMHRRGHRFRTGIVNPF EKYEEVLVTSLDEMGENPKHLQMLRDMIDDLNDPVLDAAVAEKGPVRTFKEERERVVE GLQGVDPENWEKFRESQVITRKNINVDEGWPPRASEAAAVVNAKNESAIEE
SAPIO_CDS10714	MEGVHAHEVDVSWMAHGPAKVLLHLNILYPLLSFQSITIVWTLA SRYIRIRLLEIIINMATCNRQSGKVINLYLYQDKNYPSGVSPTPPAHRYTSSSNHDDG DINNYRGFCTAKVSQPATRVSNQQRHCSKRWRDGR
SAPIO_CDS10715	MAFHKLVKNSAYYSRYQTKFKRRRQGKTDYYARKRLITQAKNKY GAPKYRLVVRFTNRDIIMQVVASELTGDKILASAYSHELPAYGIEHGLTNWAAAYCTG LLIARRVLKKVGLDETFTGVEEADGEFTLTEAAETDDGERRPFKCFLDVGLHRTSTGA RVFGAMKGASDGGLYIPHSEKRFPGYDIETKELDSEVLRKYIFGGHVAEYMETLADDD EERYKSQFQKYIDDDIDADGLEELYTEAHAAIREDPFKKVEGKGPKKSKEEWKAISQK YKTRKLTYEQRKANVQKRIAEIRDA
SAPIO_CDS10716	MISHEYANVEQVLELVTAEMAAIKKPKVLLFDVGGVCVLSPFQA ILEYELQLGIPPGWVNYSISKTSPNGFWHRLETGSIPMDEGFFEGFKEDLHNQDRWES FYKAQQAKNPSLPREIPPLPTVDADWLFHEMISISRTPDPWMYPALQALKQSGEFIVG ALSNTVIFPPTHKSHEFYNSNPVEGVFDFFISSAHVGLRKPDRRVYELALQTANEYAE KYRGTERAKRLGWEGGIKPDDVLFFDDIGENLRGARQFGFGTVKVHLGRAFEAVEELE KATGLKLAGDHPKIPVKLRTEVAKAKI
SAPIO_CDS10717	MDPYSAESELINIQNHFYQGQYSAVIDYDISSLSPENHTAAQVL VLRAKIAQGDASDVVSEVEGAAEPELAAVGALATYELGETEEAVKVVEKLAEEAGDNA TVQVLGGIVLQREGRSEDALNLLGKHEGSLEAVALIVQIHLTQNRNDLALKEVNAARR WAQDSLLVNLAESWVGIRLGGEKYQQAFYVFEELAQAPSTSSVRSLVSQAVAELHLGR LEEAESAIEQAIKTEPKYADAIANFLVLSVVSGKDPKEIAQKLKQVDPEHAFLVDLEE KSSLFDKAAAKYSAKASS
SAPIO_CDS10718	MVRPNLAVAGLVRRRIEPLGGRSEGEISSITESLPRASRRTRAR GFATTSRLRDWDEQSTAGATQKPRPRTAIFFPGQGVQKVGMLTPWLEAFPATAKPIVE QIDHCMGYKLSDIIQHGTSKVLTATSNAQPAIMATSILILKILEKEFGFDLATRVNVT LGHSLGEFAALVAGGYMEFEDSLYVCTKRALAMEQATQNAREQYGGEYGMVAIVTEPE YLPGLIDAVDGFVERSGATGFAPIEQVHIANINSKNQIVLSGNIDRIKTLVAHVRQFL GHDPRAVRLNSDSPFHSPIMQPAVSVMRKLLEKKSRVEGRADKDIVTFPGVIPCISNV TARPFRSKEELKDLLARQAVETVMWWDTIKYLDQEERVRRWIGIGPGKVGRNLVGKEV GLRGHDVVKGAGVWAITTPEDIEEVLKGLEETESVLEDMEDEGEL
SAPIO_CDS10719	MNGVIEALHIFDEHNHAIVSHTYAGRPLPATQLLPLYLDHPAPQ PNVIFLPNTNPPTLVYSFTHANLLLLATSSSEIEPLLVLEFLHRVVDAFEEFLGAPLL SSKIEQNYDVVAQLLTEMCDAGAVNTTEPNALRDLVEVEGWVDKLLGSINLPGKPGFA ANSAATSLATLNAPALPWRRSNVRHTSNELYADVVETLTVTLAPSGRPLAAFANGTIA FTSKVSGVPDITLSLTCPSGKHNLGSILELPVFHPCVRLAKWKERPGELSFIPPDGRF ILAGYEVNLLPFTDTLPTGNLKLPVNLDVKTGLGPTGSDFEVKVQTNRLIGASSSSSG PIGRGGGGGVGGGRGFGGPHSGTPGAPLLEDLVVRIPLPPDVRNLPELRPSRGDAAFN PSDGVLEWIIPTKEISNGTSHFALRCTVVGPVAEDDEDDGIPGTSLTDYGYEEPYQGS QQTTPKKGKKGKSRRAKEDDGANGGGEEARENDEEARKVGQNKLLMPSSVSASFSVKG WVPSGLKVESILLDARRSRGLGETVKPYKGVKYLTISKGGVEIRC
SAPIO_CDS10720	MAITIIQPKPVSHHDESINDSDSDSDSSGGIDLDGDVSMTAKSL RHAELDLVTPGEVITDDAQWMRGHGTYIPAGTTNITSSVAGTVTKTNKLISVRPLRAR YTPEIGDLVVGRITEVQARRWRVDVASSQLAILQLSAINLPGGIQRKRTETDELQIRS FFAEGDLVVAEVQTLHGDGAAALHTRSLRYGKLRNGIFLSLTGVGGGGGVVRAKRQIW TMETKAGKIDVVLGVNGYIWICKHIESESAADLGAAGLHRLDEMVSLNMYSSQNDHIE VGMMREIARIRCVIVALVENGLRVDEDMVCRGYELAVELGNEDMEDSVYLGGERGERL AAELRKK
SAPIO_CDS10721	MASTKVDIAEFTHTPVESIPGIVDGLRTTFRSHKTKDVQYRLRQ LRKLYWGVVDLQPQFIEALNRDLGQSTFEAYLGEIDFVLEDLRHTIKNLEKWVKEERV SDMNPAYFMMKHRIRKEPLGVGLVIGAYNFPVQLCLCPCIGAIAAGCTLVLKPSESSP ATASVHKTLFENYLDSDAYTVVNGAVEETTALLDQQWDKIFYTGSNRIARIIAARAAE HLTPVTLELGGRNPAFVTKNSNIALAARRILYGKVFNTGQICLSTNYVLIDKTVLPAF IDALKASYKNFFPDGAKASPDYGRIVNQRQFLRIKKMIDESTGKIILGGETDESQLYI EPTVVLVDSKEDSMMIDETFGPAFSLLPVDNLDEAIAIANEVYSTPLSVATFGNDAEN KKILDQVTSGGASINDAYVHASFTPAPFGGVGQSGCGSYRGVASFNTFSHARTVAETP GWMDGLLRVRYMPYSLKRLNQLPRPSRKNLDFDRNGNPIRGLKYWLRFVARLGAGGSK GALLRWVVVLVSAVALTRKGALVGQ
SAPIO_CDS10724	MTGDCTEANVCPVEGGFLSYQPSIPGNSVILAAYAAIIPIALVL GYRFRTLGFAVNLATGLCLEVLGFAGRLLLNGRRASQSFFSLYLLGTILGPSLIASAI FVVLPHVLLIYGAGVSPLRSGHAGLLFMALVVLSVVLEIVGVAFAVFGLDGEVVTQSA RVLIAGLVVQMFSLLLFIGIYVWFALRLKGLEANLDAQYGEVYRSARFKRFLVVTQSA VTLLLISTIVRIAEVAEGFDSPLSQNEAASSIIYGVLPLLACILLTAFHPGLAFGPAW AKTNPRYVSRLASNLEARPSTNGSFQQRRLESNSHSRFSVRKVAPMPLSPDSPNPYEL FHSPVSAGHPSPLRASYNSKSPKRFSYTSRSPGHSPRNSPRHSPRKPPERQLVDDDDL W
SAPIO_CDS10725	MSGQIDESSGVSPVEPSSEQSFVEGSQAPASPRSADSGLFPIFD FPQSTQGDMPMSFEFPPSDLPPDPDPALVEDRAVFLQQSLEDGTPNPFYIEDDGSPER PGENLEQYARVDLMRFQKNLPSTDILEDPSLAAEQAVKSDWESYVNGVLFSLDLQPTV MVRLLGVFQQVPRTLGMIRPRDVGAWHQLDFTAAKKRAFELLNDDQRKLLKKKAEIEM RIEFEKEFLRRTRDILTAELDWKELILRQAQVKAELELVDEHGRSLSERREKLLRKIK EDKEAEDSSEPNPEKPSQASLKNELIKLERELRIGRRRHRRLEELSQSLNAILFSGLT LETADEDLKRLWQQLERIALDILDLEEIIETTKAAVGDENTPDLSACESRVLELKATY EGTIAALQASALKASAYEKGLECDEEVASHFAKKYREIEESKRREVDMLRDSYERRIK ELEETHETERLNFEIQKSALRELADDLVEPGEAGDLMTEISEAKEQEAKTEKRRENLE QVQRRLGKLQREIDLTEKMMGERRKFYEAKEAHDQEIRQLADEKASLEAQNAMLAVRV DELETAQTLDEASSDGITNSWVKEKFQYSDSRYVWYTQRRILDTHLNRLENEKAKSDA ELAKLKEENTRLQEVVKVLEKKEKELAVVDSVNIIETGMKKRAKTFKKLMQDEEAGGD SSAPEADVDAETVELYTYRKVIENSMISLDESVADLVNLMLTIRSNMQDQAGQEATEG QATDPIHEAMLAADPVFRMVEDRALDISARIRLVQDEAESFWKGKATPPTSLQLVRAV KAKDVQIGKLEAKYMTMEKAFSEILEYMETMRDEAAITSPDDIGPTKGPNDTIRLLMT FIGTFHHALGMILDEEAKRREEAQQDEGYDSEETATNDFGSISNNEFGNMIKMAAKFQ EAKDVFNRRIEEYRGLLKAYKDSQESIVRRADEAERASTNLHQQYNALLERVLEEKKD IVGKYETELRNTAARNNEELESLQNMLTDCRENCKARESECDELKEQVLNEKKRLLAY KSERFEGEGEINPVIQKLTIQWEAEKDDLKKEVKEQSDLVLQIFKINNNLEAQLESER KRYSDKLDAINQVVELERKHEAATNAAREAEEQFAKAHKEVVSLRIDVDRLKEKILEF IAREVDHRYDVENLRLELEIVREELEVAKIDHADTVTKLNLEVSRAKDEFTQCLQASE ELLERHHKLVSQVATGEAAPRDPDELLKITLADKASLETELLALKRRAVTLQGDHDSA VRERTEMEEQLEFIRLVERKLRTDIAGEYIQQAHLQTQYAKCQQDCRDLETRLQEVAI RKSDPANIWDDAPVEPTKKLLHEQVEKLRKDLANVLREKGPTAHLDGDEDEPKIKDVK TLFKTVHRLYRVDPQSSPAADGPDLTKGDMNNFWILTEAQKERIAILRAIKDGDWDAG HHHSHRAMELLRRAGKGYFDKELWGSIQCLQGYLGLLSTGDVSAANAALSRVNWYKPE EWGNPVYRTMVKMLEQDIEEVIQDQKQSGEAEGQEMKPCECRYRVLPCAVHADDSCTC RFHNVVEFCEDHEKAFSFSKSRSVPIKEDKLEVFRRLSHHASRREAR
SAPIO_CDS10726	MSSGGWSGGSGGGGGGGGGGGGGGGGSGGGGGGGGGGGGPSAFP LLFAKRTEMNMKTMEFYAAGICGLMGLFIFLHLTRVFAQKSGFNKATIFAPFHYVSRI VRRTLLPKFPGLPSRGHAVLAALFIAINVIVSFVNMDNKSLGIQTNLAARWGWLSVSN LVVVVFLSLKNTPLAYISAWSYERINVLHKIAGSVTLAVVILHACTYASYFGNSNNIK RLREHEEIYGMVSGFCLLVMVLAAVIIRRFWYELFYVMHLLFFLATVVFVGLHQPEVV KKIAIVTCIVGGLWVFDRSIRFTRLVFYSVNNEAVVYPLSEGGTRIKLKKGPGGNASG SHCFVWIPGVRFFEMHPFTVVSSESNEFVVKSYDGFTRDLHRFASAYPGRSLMASVEG PYGTFPNIPSFDRIVMLGGGSGASFTVGIALRALNKWNNKLPIQFHWMCRHRGYFKWY ADHIQELRASGKVNVNIHVTGNTPPPSADEDKPNLYLATPPRSTTPIELDREVRGDVE KMDSIEKMESQSSSPAHSEHIPVCSGNCGAHQLCLDTGIDISEGRPSVSQIIKDEVAR AGRTERILIIGCGPVSLMDEVRNTTAACIQCEGPALELHCEQFGW
SAPIO_CDS10727	MAPSRQNTETDAFMPDAPEHHVASEMEVDTPDYSDSDTQPNTTA SSVVGEPITDGRKRRSEANQLRRSVFGKKHDRLGESKEDDTIRRFRYLLGLTDLFRHF IETNPDPKIREIMVEIDRQNAEHDKTKGGARRQGGAVNARARRTEKEEDEELLKDEKR GGAADTVFRESPSFIKGTMRDYQVAGLNWLISLHENGISGILADEMGLGKTLQTISFL GYLRHMMGITGPHLVTVPKSTLDNWKREFEKWTPEVNVLVLQGAKEERHQLINDRLID EDFDVCITSYEMVLREKAHLRKFAWQYIIIDEAHRIKNEESSLSQVIRLFQSRNRLLI TGTPLQNNLHELWALLNFLLPDVFGDSEAFDNWFSGQDRDQDTVVQQLHRVLRPFLLR RVKSDVEKSLLPKKEVNLYIGMSEMQVKWYQKILEKDIDAVNGAGGKRESKTRLLNIV MQLRKCCNHPYLFEGAEPGPPYTTDEHLVENSGKMVVLDKLLSRLQKNGSRVLIFSQM SRLLDILEDYCVFREYKYCRIDGGTAHEDRIAAIDEYNKPGSEKFVFLLTTRAGGLGI NLTTADTVILYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTDNAIEEKVLERAAQK LRLDQLVIQQGRAQIAAKAAANKEELLSMIQHGAQDVFSTKGGTGLIANKGGKIDDAD IEEILARGENRTKELNAKYEKLGLDDLQNFTSESAYEWNGKDFQKKKTDLNLMTWIEP AKRERKEQSYSMDKYFKQAMYPSSKAEQKPKAPRAPKQVPVHDYQFYPARLRELQDRE TAYYRKEVGYKVPLADGEEDTLEEREAERTRLQEEIDNATPLNEEEQEEKAQLSEQGF GDWNRRDFQQFVHGSGKHGRTDYDRIAEDIDSKTVAQIKTYAKVFWQRYTEISDYQKY IKIIEDGEERMRRVEHQRKMLRKKISGYRVPLQQLKINYSVSTTNKKVYTEEEDRFLL VLLDKYGIDSEGLYENIREEIRESPLFRFDWFFLSRTPIELSRRCTTLLTTVMKEYED VQPSKANGEKKSKREPEDEENDEDSILGMAPAKKRSKNGVKNKALDNVKSATTKGSKS KSASPSRASSVASNASGGAASKSKAKPKGKKK
SAPIO_CDS10728	MRPILLSGHERALTQVRYNADGDLIFSVSKDNQVCVWFSHNGER LGTYKGHVGAIWTVDVDPTSTIAASGSADNTIRLWEVKTGKCIKTWEFPTAVKRVEFN EDATQILGVTEKRMGYLSNIIVFDVTIDPEAEQTDERALTIVCDRSKATVAGWSYMSK YIIAGHEDGSVSQYDGKTGELIMNFAIHDLNQPITDLQWSADRTYFITACKDKTSKLI SAKDLEVLKTYPSDTPLNSACMTRKKDFVILGGGQAAMDVTTTAARQGKFEARFYHKI FEDEIGRVRGHFGPLNTVAADPTGKSYCSGGEDGYVRVHHFDKGYFDFLYEVERERLN RSP
SAPIO_CDS10729	MVASKIDGTALAKSIRERLKAEIAEKQLTNPRYQPCLKIIQATY VRMKLKAAAEAGISCELLHYPESITEPELLDQIHRLNNNPDVHGILIQLPVPGHIREY AVTSAVSDEKDVDGFGTFNIGELAKRGGKPFFVPCTPKSAMALLKEAGIDPKGKNATV IGRSNIVGSPVSQLLTNADATVTVCHSKTANIQEHLKNADIVVSAIGKPEFVKGEWLK PGAVVIDVGINYVPDASKKSGYRLVGDVHFESAAQVASHITPVPGGVGPMTVAMLMQN VVDATNRHFDQQKDRTVTPLPLKLQDPVPSDIEVSRSQIPKQITSVAVEAGVASHELE PYGAYKAKVDLSLLKRLEHRRNGRYVVVTGITPTPLGEGKSTTTMGIAQALGAHLGRL TFANVRQPSMGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDT RMFHENTQKDAALYRRLVPVKDGVRKFAPVMFRRLKKLGIDKTNPDDLTEDEIHRFAR LDIDPATITWRRVLDVNDRLLRGVTIGTAPTERGFTRETGFDISVASECMAILALSTD LADLRERLGRMVVASSKSGEPVTCDDIGAGGALTALMKDAIKPTLMQTLEGTPVFVHA GPFANISIGASSIIADKMALKLAGTEPDEDHASNSGFVVTEAGFDFTMGGERFFNIKC RASGLVPDVVVIVATIRALKVHGGGPPIAAGAPLDPVYKQENVEVLRAGCCNLRKHIE NAKSYGVPVVVAINKFFTDTDAEIAVVREEAIAAGAEDAILSNHWALGGEGAIDLAKG VIAASEKPKDLKLLYDLGGTVQERIEAIGKKMYGAEKVEFSELAQQKVDTYTRQGFGN LPICIAKTQYSLSHDPALKGAPTGFTVPIRDVRMAAGAGYLYALAADIQTIPGLPTAP GYLNVDVNVETDEIEGLF
SAPIO_CDS10731	MTTSSASIAYIGIIGRNNNPLHTTILSPIRTPLQFSLLLSSTID IFDMRAKSSAPTSSSASPNLTGELGLLHAVDDRLAAYGFETNTGVRFVVVVDVRAGED GIRSGVGLKDSDVRPVFKALQSAYVALLQNPFYDPDEHTPPYGQGGKKIASRKFSAEV RRIGEKWRPGITAI
SAPIO_CDS10732	MGAYYFSRCHTDERFTTERLYPLPDGGNKRFVWDWDRRLSFDVL VRNEEVEREFVLRSIKKFVNDLPADVVQVEIDSKDGALLSRSSDPANDRALVPFYPPR TDFPRRVATVRRGDLTEVGRLGAQVDLATYSPLASPGETRQVVFKYYIDQDNVAISWH EANCVMRMPRHPNIVPFDALVVDTVGGVDRVVGFTTRYIPGGNLSENKDRVFKLKYLE ELINVVDYLNLRLGIVHGEVCPWKLLIEPETDTIQLCDFSWSAKLGWEGDTSNQQEFQ YDADRNDVKFVIFTLYEIITREFCFRLDFYPDELDASKIMKKPKWKKHRNAQLDSPVE EYRRVLGEWAKRRAEIDKKIDHFTKASKPLNWPPLVVSPDMAIDQGNFKRRGAMRSTL TRLGKDFLRWERPPTQALPLPDGQRLLETGEVVRDDEL
SAPIO_CDS10734	MSSPLRPNPASANRGATPRASRKRSRTGGDDGNSSVGAASSPMP SSPPAFNIAHGVDDDDDIEEDVEIQDDIDDLDEMAEEGVDLFREGFERDYEEKMTEDG YEEIGLDDEGDYEAMSIAERRRLEARLNKRDREVARRAAIPAAFLPGEDDDGDIDLSA QPRRRRHHYDEDPDEDMDGDIMEEELSLEALQDVKASSLTEWVSQPAVQRTIRREFKA FLTSYIDENGSSVYGNRIRTLGEVNAESLEVSYDHLSASKAILAYFLANAPAELLKLF DEVAMDVVLLHYPDYERIHSEIHVRVIDLPVHYTLRQLRQSHLNCLVRVTGVVTRRTG VFPQLKYVKFDCTKCKATLGPFQQESNVEVKITYCQNCQSRGPFTLNSEKTVYRNYQK ITLQESPGTVPAGRLPRSREVILLWDLIDLAKPGDEIEITGIYRNNYDAQLNNRNGFP VFATILEANNVVKSHDQLAGFRLTEEDEHEIRKLSRDPNIVDKLINSIAPSIYGHTDV KTAVALSLFGGVSKTTTGGHRIRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQ GASAVGLTASVRRDPVTSEWTLEGGALVLADKGTCIIDEFDKMNDQDRTSIHEAMEQQ TISISKAGIVTTLQARCGVIAAANPIGGRYISTKPFSMNVQLTEPILSRFDILCVVRD TVDPEEDERLAKFIVGSHSRSHPSSQAAAQDSMEVEPDSARRDTQEPGSSTKEGEIPQ ELLRKYILYARERCSPKLYHMDEDKVARLFADMRRESQATGAYPITLRHLEAIIRISE AFCRMRLSEYCSSQDIDRAIAVTVESFIGSQKVSAKKALARAFAKYTLARAGGKAKRA ATGRGSAAVAA
SAPIO_CDS10735	MDPPPHPSQVGDASGSEASPANARASSVSAQSQDSQTAADFIRD QMQLEADAREAMPYAFDSCTNMLGPLKQSVFSCLTCNPAPEDVNAPYEAAGVCYACSI QCHGDHNLVEIFAKRNFTCDCGTTRLPATSPCVLRPNPETGKKGTVHSEEPFANSAPN VYNQNFRNRFCGCSCDYDPFQQKGTMFQCLGLGTHLTGGCGEDWYHPGCLMGLGPQWF DDAKGKKEEKEEGDDPEDPPMPPGFPNEEAFEGFFCYKCVESNPWIKRYAGTKGFLMP VFFDKESGQPNQPASIPETTEPNTECKKRKASELEVDEADPPDKRRRSSADSDCKLNR LPPAPAGRFSLFFEADFREQLCQCSECSVYLEEFPQLKEEEDIYEPPLSEDGGSENGA STHGSGSLYERGESVLKNIDRVRAIEGVMAYNMMKEKLKPLFEAFAGSGKAIGADDIK DYFAKLRGDDEAIKNAGRAAVASSSGEDQDGSGGRREQSGY
SAPIO_CDS10736	MATSDPRGKDTELFEMVPIPLGDSEGGDSSATENKNENEAKFHR SIDNRQMVFLSLAGSIGAGLFVASGSALSSGGGGNAVLNYAVVGFMICTTMGSLGELA TTFPVAGAFYDYTDRFLGEHWGFAIGWMFVLNWLTVLPFELTTMGAQLQFWIPNLRPE YAVAPILAGISGASFLGSKVFGEIEHWLGVGKVLACSVIIVVALIIASGGVPQDTREP KPLGFEYYRNGAAFKNGFAGFLAVFRVAGMSYNGTELLAMTARECKTPEKAMPLGTYL AFFRIAVFYVIGVFCLGLVVPSDHPDLSQTGHGAKYSPFALAAQLAGIPGLAHFFNAM ILAALLSMANMAVFATSRALQALCAKGCGPAILAKVNKRGVPYYSQILALSAGLLAFI NAAPQGAQIFDWLLNIAATFGFYVWIAIAVSHIRYRRALQRINVSSRSLVFASPFGVW GSYFTIAVGSFALLANPLSAVFPLQGAKVTLTSVMRENVGMLVPWALWTGNSLWHYFK NRKNPDRKKWTVFKPIEELDVDSGRVTKRVQGA
SAPIO_CDS10737	MGDQDGCSLPTSERTPPSTLTRSPIVSDLKTYFRTSGSPATTAD YENQVSSPPRTPETLRGGNSTNSSPPVTAIRHGPANSARSPTNSPLRTKRFVFKRRSL PSTHQEGGSPNSPSNVRTSSLVDAGLCHLYPTIPNRDDYLSHEGHDRDTFSTDTPRSP VAHVDQLDHVLGLSMPPPPPHAQNLGAMNGMNGGSIPAGMVGMPTPAGHQSELNYIYG LVEELSKQLAENRRQTEEIVLGIGRVRNKARAQALGNEELINVASEEINGQEKNLDNF VSILTEALEKAKYSRDANAALLNDYARTMGNMVRQLHDYKANQVEEIARWHRSYRNQL AEEREENARLREQIWEMNHRASRANQMLREFRNRYEESEERWKRRVDHVAVRQEIRFW KRMAMPEIPDDDPYWSDDDDLIDIKEKMRLREVEKQAREQQEQMAAQEQEEAEGLDLL PPIGHPSQSGSSQGQFGGVAMQRDEVPARPESAASTGSSGQ
SAPIO_CDS10739	MAPVGVAIIGSGIWVKKHHLPAVLRCRDLSLKAIYSRTRASAEQ AVEAIPNEAGPDPAIYAADAGSGKSYADLLARSDIQAVIVCINISDGPAYATQALRAG KHVLAEKPIAANIEAAKKLIADWKDVIAGGKKVTLGIAENFRFFESVNFAAEESKKLG KLNGFQGRMFFPTPPDSSWMTDWRKKPVHQGGFVLDAGIHFIAYLRAVLGRENALESV SALTAQVQPHLPPIDTIHAILRTKSGVVGSLCITAGTLYLDGAETSFSFENGAIIAEA GKVIVKALDEDEVETEEEQFDLSTYGVSEEVNAWAAGLVAGKPNPAQSVEEALADLEV MEMMFESGKENGAVKKLKYQL
SAPIO_CDS10740	MATQYPSAMSLQRLINISSAPSEPQHRRLLSDQPHDSEEERRRS RAVHDAMRLILFRVSVPDKFLVSWNTDAQHLIAMHTVNSSNLSMWPGLPNDFDTLPMV PSKQNSDLLRIFLKLLSRYKTSLDGNPDPNNPIVKFYFPFCIQDPLLLQIILYTSACF LNETGHMPKMAVMAHKGKAIAMLNNQLRSHNYQTGDAVIAGVVQLIVDEWYWGDTDDL KAHMRGLREMIRIRGGFHTLGMNGLLAKLVISHDIGIALAHEIQPFLGNGIEYDYHDP AILPFRISHNTPLIFNLASFAASAEALGLHPMTASILDDVRFLINAVMSLPDHPSPAE LQKVSTTAAWMYERIRSLPEDSPDTKRLFEYGELTSQANLGGLSEDSPNPDSAKTAKA GTATSSNLPSCRRTTPESESRIQQREDSGGGNASEVGSGRADGNPRVESSAKSKVPLP ETLKVTSGPDYMYSVIRLAAMVNIRAIRDRIPLSRACSIDEFLQVWTTSWRVPLTTWR CALGIFNWAMFAIIPACHGGPHERFSRTMYMISMISRAAEDWGLMFAASRVAMRLQRW LAQGGKGGAPMGGGQAVARHGFLNTNWE
SAPIO_CDS10741	MDSNGVRRRDTTRDPPLRVLSLDGGGVRGYSMLIILQEIMYRTY VEIEGKAPRRDQIPKPADHFDLIVGTGTGGLIALMLGRLRLDIETCKELYVRLTRYVF ETDKTIAGIPYRSTLFKASKLEEAIMEAIREHTLSRTEGNDGMEPDMGSSLNAAAFSS AASPRRHSSNASVLSFSARSPQAQMSQRPYLHPKYGNSQARLYDCRENRTKTAVTAMY QGSRKGTPAVLLRSYDSRREPPPEFDCKIWEAGRATCSIGLAFKPIRIGQSVFHDDGV GTFNPSPEALDEAVSEWPGREIGVFISVGTGKRPKSSDANSTMWYEGFMGEFAEARRR LIAKIEGCETIHEYMMREHLGKRGVNIENYYRLNVEIGVGEFGMNEWHRLAPISTGTK QYLRREREHQMVVGSASKLAKIHFANKRFEKPAAAAAMQPGQQQPPPTFAIELPAEVP DSWPAPPRPSFDPGPDIVSVQRLEDASPRSSADLGRVSASRLSQYKDLPPPPPPRTPD SVPSVIEDDPDRFAYHAPTPSQYRLGSGSDKIAIVGQDDHPRVSVDGIQSSPRLPAKS PKLVPYRIEPPPLPPKTPLLDDHGRGWNTSAPALPYPIDEDEAPPMVNMARKPEYHGR 
SAPIO_CDS10742	MDPPNKKRRLAPKVDAPPASAPINPPSAPVLVETLLPARVEFER FARHLQDAAMLIQRSAERSPYTSVSVLLLRWEEDTTVESDLVSLEKVFREQYHYRTER WHIPTVPNPSIKLGVQMASFLDNARPDHLLIIYYAGHGYLGGDNQLYWASNNRDDAAR LKWHGVRCLFEDAQSDILLFLDTCSVPDVPLTGSHGLKQAIAAWGPEQKGRDPRERTF TAVLADCLQKLGGMKNSFTVQSLYDAVLAQREAESVTLTNGSGKPLSPSQIPISFNIT PGRGRSITLAPSLPTTTAPPNNQGPSPHKPIDGADTELSKTQDQTISPQSVHGLLFDE SRVLACTTFVGEASPDMAYFNKWLEDTPAIADKVAVEAMFFGPPTMLLISMALPIWEV VKHDKVCIFLGYIGSHNFVHLYQRLIGFSGARQSGRASAKEVEHGRILLEAREAAASV KRSRQDAEAADGVFRGRPDRDVSVIDGTPTTGSQVSPYGPGRYPPRPGVKDEGEDSAE MKEAAEQLKALSHIRHLSNDTPSKPQRSSLPDRAPDRHGDSGVNGNSLERHGLEYSTP NKKHGRRSIPKQTPKHETRCNLCSHAPFKDSSSLRKHIAAAHTRPFPCAFSFAGCEST FGSKNEWKRHITSQHLCLQYYRCSACPTSTAEGKYNEFNRKDLFTQHLRRMHAPFAIK KSLSMVDNKTLAEWESRVKEMQQSCLVQRRHPPQLSACPKSDCQSVFEGPGSWDEWTE HVGRHMEKNEGQRLGVDRHLAKWALEEGIIERDERGEYRLVQENFTGNRAQEQTKDSI SVSHPDTLVRDTSGTAASSGANSGSGDVDSGSSGAAAAESATKTPSNGNEGDKMEVDE Q
SAPIO_CDS10743	MPTPRFTLIFYAPLTALEACKTAIFAAGAGRYPGSGNYTECCWT AVGTGQFRPGDAANPHLGKPGDLEKVEEARVETLCVGEDVARKAVEALKKAHPYEEPA YAVHRMEDF
SAPIO_CDS10744	MSDTIGEEPSSGATAKASSFTGIVAQPQLPHNEVVTELKVIIWI LIGLASVFFTLRIYCKKSRGRNLWWDDYMLLISWLAILTSGSLITVAAGYGFGKHIYD FPNATFADLLFTLNLSGSFSTLSAAWSKTSFAFTLLRISDSGRVRKFIWFVIISVNVT MHTAAILMWLQCTPVARTSNPWIEGTCWNKWVIVIFNSVVSAYSGMADISLAVLPWKI IRRNTMNYKERIGMLVCMSMGVFAGLTSLAKTPTFPAMADSDMINTVPLVILGVAECA VTIMAASIPVLRALLRVNRLPNASEITQGRIPTPTTGSTLGWQDEEAFAKELESYSPV KISMSRTPTSTTGRSWRI
SAPIO_CDS10745	MEKGVVLAAPAVSRRRRHPHRVNRNRSLPSLLSKVEDRVEPVAR TYHTSPAMRTSQLVFATAPLYLSMAASSPPCFNPCAALAAALPGAVAFPNSTAYAESN TFWSNRQSEVQPACFVTPRSTTEVSAAVKIITSHNVPFSVKSGGHTAFAGASNAQGGI TIDLRNLNKITVSDDRETVSVGPGNRWIEISTVLEPLGLAVVGGRAADVGVSGLVLGG GISYFSGKRGWACDNVRAFEVVLASGKIVRASPTEYKDLFWALRGGGGSSFGIVTRFD LASFPQGDLWSSDSIYPGTMAADLIPHFIDLTISGLPSDPGAHTYFVLTNQPALGGQI ALTSFYHSTPPSPPESIPPVFEKIKNQPNAIFSSTTVANVSTLSRNIDQPYGQRQTWW DTTVRVVNADLFVEILPLFDAHVSRLLAAANGTPLTPFLVFQPISTNIIEAMQKNGGN ALNLKPEEGPLMLVQVTVSWDEASIDSVVESSCKQLINEVKALAKEKDVYKGLVYMNY AGSEQKVLESYGKESFNRLKSISRRYDPSGKLQSLWTGYFQV
SAPIO_CDS10746	MASPKIILYTNHNCPWAHRAHIALAELNLPFEEEIIDLSVPRTP EYLKINPRGLVPSISYNGEIITESAIVSQFLADAHPSHLLPVSNAEGGALRRARINFF VDTFFTKAFPLFIKSFSAPEEEVEGLGTQFAEIASKELEPLLSDAKPFFGGSDKLTLA EVLTGSFVIRVLTLPEKGVLPKSYVASLPEKAPNFYKWAQVVSAHPSVNGIYDVDTIA ENTKNRIAKLRAQSK
SAPIO_CDS10748	MPSQTAGIPPGIHVKPEASPTSTSMAAAVDASWSEHSAPPPPQT PSIEPHGGIHWDLANFSMDSFLGSGGAGDMATRQQMQMAGAENNHHHLQHNNAANIRV DLEPTILSPTESQARSSAEPDGIPSKSRKRKGSRTVSSLTPAQLERKRSNDRRAQRAI RERTKRRMEDYQNVVAQKDDMIMALSRRVQCLEEELARLTHGEPCSVATQVGDVCEKA QDLSRRVGPTSRSSSLSISVADSQSSTATSDETAASSIASSRRGTLAVVNPGPSGVVG AFDRLDSDYEPSSLLGFPTAAPSNTTPSWPPPPSAQALPPTVHTGATSTAPVPEDNIH AYFRNAALIGSSNVQARSQRVMEDPSGGEPHNFRTMSVRDGNYGGWISQAQGPQHSCW HVDRQAGTWPSCGGERDAEYGKVYYYSSCVF
SAPIO_CDS10749	MADINVEEVLKKLTLSEKVDLVAGIDFWHTKSIPEKGIPSLRFT DGPNGVRGTKFFNGVRAACFPCGTSLGSTFNAPLLEEAGKKMGEEAKLKGAHVILGPT MNMQRGPLGGRGFESLSEDPVLSGLGSAALIKGIQSTGVQATAKHFVCNDHEHKRNAV QVKVTERALREIYALPFQICVRDAAPGAFMTGYNGVNGEYCSESPKLIDGLLRKEWGW DGAVMSDWYGTYSTTASVHAGLDIEMPGPSRFRGDALKFNVSTDKVREHILDERVRGV LKLVKACAASGVPENAPEVTGDTPETAGLLRRIGNEGIVLLKNDREVLPLKKDKKTVV IGPNAKVATFHGGGSAALAPYYAVTPFAGITSKLTSTPKYTIGANSHKILPLLDGLLK TPDGKPGFLMKVYNEPPSVPDREVQEEYVITKTELLFIDYSSPKIKSGTWYADFDGSF AVEEDCEWEFSLIVCGTARLYINGKLVVDNSVNQTQGSHFFSQATIEEKGRIQLKKGE IYAIKVEFGSTPTSELSKSRSLFGNGILRVGGCLVIEDKKEIEKAAALVRDADQVIIC AGLNADWESEGFDRESMDLPGHLDAMIAAVADVNPNTVVVMQSGTPVTMPWISKVPAV VQAWYGGNETGNCIADVLFGDANPSGKLPLSFPKTVQDNPSFLHFRTEAGRTLYGEDI YVGYRFYEYVNREVLFPFGHGLSYTTFEFSDLSVEEDKDGKISVTVTVKNTGSRKGAE VVQVYVAPKQAAEVSRPIKELKGFAKVELEAGESKPVVVEIETKYAFSYFDEVRNKWC VEKGEYEIIVSNSSQIKEGQAVSGLIRIAETWWWSGV
SAPIO_CDS10750	MAAQNQREDVPEKVQRQTGTLEERRIRALDHQDSSAESESMPFI GSGLASMNRGGDVSPSLHPATISADPIGSIYNDKPTAARPLWRIWWLEILFLGLSLAL FASIVIVLAKFDQQGLPDWPQSITLNTFLALSTTLSKAAFMVPVSIAISQAKWTWFLE ERPLHDFSILDQSSRGVWGSLILLWRVRFRHFIALGALLTIAGILTSPVSQLAIRYPV RDVVALGEEATARTTWRMKAQGDGLSLATTLAMRMALAEDTTNFMTPISPRATVCSTG NCTFDQYPSLGVCMKTTNITSLLEIEKFEDPPEGTLMMGNALGSLAVPGQTIWNVSLP VGNRMVHQSPASFYTDMLNGNNTLGFANDTNLLQTRVASFIIIHTIPTDAASLADIAA NRTVAGSIYGFRHEAHEFLFHLCVQTYETAVHAGLEHTRMVSELAIPVEPTGDVFLDI DCGTLLGDIAYACKGNESRWDEVLDLERPASIATKKVNRTDEEAGFNINYAAMESMAS QMKSAMEGYGRLLPDDTMQVFGGDFPFALLDEVILDLEHLTNSTRLHTRITNMYMNVA IALSFMMRGNIPKVGVRGSHNVTGQAWKEASYVDISWGWVSYLAVEIILAIGFVAVTI FTSTAGGDRLRDLKESSLAPLTALSPECRSMMGGEIQPADELERIARRLRVKLWENEI VPIKVEEPLASSRSPS
SAPIO_CDS10751	MALPPLPPPPPPPLPKPPPKRRGPPQEVIDEFWKEFSAQTPSKA TTVIPQNEYAEKAAKRTGQGPERTTQSSYAEAAEICRTKVRKIADECRAINRKYRDPH FDLEYDLKCSKRDCLESLSNKRPASAPKIDDDGSDTESDGPDNNPPPFKPRAVKRVAD IFDEPQFFIDGPSMQDVRQGNGGDCWFLAALCTLSNKKGLIERVCVARDEQVGVYGFV FHRDGEWFSEIIDDKLYLKKPDYDESFIERMLWDDRERVDSEEAYRHIYQSNSGALYF SQCENPNETWLPLLEKCYAKAHGDYASIEGGFTGEGIEDLTGGVTTEIYTSDILDREA FWKDELMQVNKEFLFGCSTGIWGRGLGNRKGIVELHAYSVMRAVEIEGKRLVLLKNPW GKGEWKGPWSDGSKEWTAEWLKRLDHQFGDDGAFWISYSDLLRKYQAFDRTRLFGDDW RVASMWTPLSIPWKHDYHDTRFEFTLSTGGEVVIVLSQLDDRYFRGLEGQYTFSLSFR LHRAGQEDYIVRTSPAYRMSRSVNVEVKLEAGDYTVMVKVDAVRNNSILPVEDVIRNN AKTRREKLLRIGLAYDLAHSKGRYKETEEEKALREAHEKRVLNRKREEMRGFLTKEKM QIKYVRWKKQARERKEIKKARARNDRHGDQEWDGRPGSPGPRRRPGFRPQMDRPPPGG RFAPRGPGPRYRDRRPILKDSKDGDAGRTNSSESRTQHTPSSPSTSARPGGVEDKSTQ TLLDQQTAETADKTTEDSKPKPEAPTEPGKKKASDELKPSVGDASEETKPKEGNKEEK EKGDAEPPTDKKLKGDEPTSTKEKEQDACGEQPKSTEGNEPPKKDESARPRMPERRHV RRVSSSAEQTDLDPPSSTRVEDGSADRHRGRRPLHRMPPPPSGMPRFAMPRDGMAPPP LYREPEAYCESDSEGSDSEVSSISSISDVTDREVDMELGLVRESQNRGNGLPPPGNLP PPPMMPLPPGAGDKPDIETDPWNAVVVVGLRIYHKIPEEDKEKEVVKLRVVRPNPYSD DEASSADDKGEKKNDKSGKKKRDKSKGLDVDDSAKDATLEGTEKERKMSIVPTKAAAG DHRRRVWSSRRVMTYRPVPQFYETE
SAPIO_CDS10752	MADPPTIIAKKLSFLTQQTRLLTTPDPLPSRAWNRSNASSDSPL PQRAVDDALAKLTQVLKQHARRVYPPQAARHVAEQIERLYWEDTERKAEGIGGDGIGR EVDLTRDAVIARLPPVWPSDEEASAHPAEAHRYATQIARLSALADERKQLLARVRRLR RMQELLRPFETSFVDERGRETTAGVQESLLTRRGPMEKELDRMRLLLLRVSARLGGVP GGLGHAEDKTVKEILEGDEERVTKVLRSL
SAPIO_CDS10753	MSSLSRRACYKCGNVGHYAEVCSSAERLCYNCKQPGHESNGCPL PRTTEAKQCYHCQGLGHVQADCPTLRLSGGAASSRCYNCGQPGHLARACTNAPGVNMG RGAPMSRGGFGFGRGGFPGGPRPATCYKCGGPNHFARDCQAQAMKCYACGKLGHISRD CTAPNGGPLNTAGKTCYQCGEAGHISRDCPQKNSNGEINNDVDMNNASSIPAAAPSVA PIAPVA
SAPIO_CDS10754	MTVARPRPEGDEAAGRPPMLGLGGYAIPRVPIRVVLARDEEAAG IESETTKLRPPAYGLWRESVRVDPNRLFWQRNDDPQTAESSRWSEPQTGPRPPSYASD DGISYVVDAVPRSTAPTTDVPLPVHPSERGRWDGAQAPW
SAPIO_CDS10755	MSGEKRQASDEPASTQLVKRPNLGSDGALARFNASRDKSALIQS APRTSGLSAPVMQLSGHTGEIFAAKFDPTGNLIASGSMDRSIMLWRTYGECENYGLLT GHRGAILDLQWSRDSTILFSASADMHLASWDLEAGTRIRRYIGHEEVVNTLDISKRGE DLLVSGSDDGSIGIWDPRTKNAVDYIQTDFPVTAVALSEAGNEVYTGSIDNDVRVWDM RKMAPLYNLVGHQDTITSLRVSPDSQSLLSFSMDATARTWDIRPFAPTERLIRTFDGA SMGLEKNLIGASWDPTGKRLAAGSGDGSVLVWSNDTGRLLYKLPGHKGTVNCAEFSPG KDPIILSASSDRTMLLGELR
SAPIO_CDS10756	MASNKASRLGEETRVDKVNAELVILTYGTVVAQLCKDFDGDYVE VNKQLDKMGYNIGLRLIEDYLAKSNTMKRCSNFRETADMIARVGFKIFLNITPQVTNW TNDNKQFSLVFDENPLADFVELPDDGRAQDELWYSNIFCGILRGALEMVQMQVEAHFI SDILRGSDTTEMRISLIRYIDDELPPEDD
SAPIO_CDS10757	MNPAANVNMANLGVMGGPVGAAPMPMMNNAAGVQQAGPRQQAPI NETQRTLLNTYIYEYFIRFGMHDCARTLLQGDHQVNVIRDGVNRRRDENGNILGNGVG GDAMDTDSKDEMDGKLPDDLPPPKLPRTSEGMSFLHEWFCLFWEMYSAQRKPGTGVVH QYVNHTQVQNRMRQNQHQEMLRQMPQQQYQAHMMRMQNGAGMGMAGKQGLVRTAMANN QNHPQAMLHQAKQNQMQRDPSDMDGNRQRPGSPGSADNAPSPSKRPRLGDAAFNPNQP GMRPVQGMPGQPGVAPNLQQTQQLLISHGINPNQLTAQQLQQFSQQSPAVQAKSIATY SANLQQQQSQQMPNKQMPNAGMPQGQGSPMMPPGPDGAAIGAYYNPEMGAGPGGMRPG PGGAQGAGGSNHALQDYQLQLMLLEQQNKKRLMMARQEQDNMGGIPRDAPNGPGGPPG PNGQMMPDASPQGGRSGASPNPTEQMKRGTPQMNNMGSPHPDGSVQSRGSPNAMNFMA SEINPGLAPHFFKDMNGNMVANGQMNGMRPPSSHPNQQFNGPVNPQMMAGRGQQAPGN NPGNPQIQWPGGPNNQMMQQGPQGQQVQGTPQQRNSMPPPSGPAAAASNANNRNTSSP QQNTQSGTPQPPTPSQATKPAPKKKETKNAKAKAAAQKKSNTNLNAAAAAAAAAAATA PSEPPQDAEPPTPATPMTPGNATAAFKAGQAGAPTGGAPAASGPAGPQAAPAPAPAPA PAPAPPPAQQIHTDPTQGGSFSLDGSGAMDVFPGMDFTNPMTTSDVLNDFDFDAFLHE DNDGGGFDFNAGYGSMEGTGEIGAD
SAPIO_CDS10758	MTDTQLHEAGGFMPPTPTSMPSPHPSLPGSSQATSSSTSFLSDL PHPRPRALRPGSNKEDMVRNYASGKLMQVSRRYVKKFGTPEPGEEISGYQNFSELCKD LDAVINILWLSGTPSLQIQILLRIASEFTEYVPSFPPEPDATLRLLRKLDHCFASLAS GQDLATKEPLPGFENGLNGGMTTTDMVRCRSSVESTRALMFKLLGDTFEDDGRPAGDD DDDDIDVDVDDDDDDDDDMGVGRAAGAGRTNTDVDRIYENTIIALGERLGDGL
SAPIO_CDS10759	MPPKQQRIVVQRAGGPGPQSKGFLSSTYSTLTSSENASVVRSIL AFGAAVAVLSSSWAELLLPPYASPIQKQPACAREL
SAPIO_CDS10760	MDNRVHRTPSPGHPLQHGYQLDDNPYDDRHATHLQMPSGPTRYH SPSDNLQLNAAHSVDNLSNTYDRNYQGQGGDYSVNPEQHHDGYYNQPYEPHPNDGYDY DDNRPMLGHQDSMSEAYRDPIDYHSPQAQQQQQQQQGGLGIKRWKTVKQVLLYRGNLV LDCPVPPKLLNQLPHGERDEFTHMRYTAATCDPGEFYESNFTLRQKLFSKPRPTELFI VVTMYNEDEILFARTMIGVLKNVEYMCNRTNSKTWGKDAWKKIVVCVVSDGRAKINPR TRALLAGMGVYQEGIAKQQVNGKDVTAHIYEYTTQVGMQIKNDTVQLIPKQQPVQILF CLKEKNQKKINSHRWFFQAFGRVLDPNICVLIDAGTKPGGRSIYDLWRAFDLEPMCAG ACGEIKAMLGTGGKNLLNPLVATQNFEYKMSNILDKPLESAFGFISVLPGAFSAYRYV ALQNDKNGQGPLEKYFAGETLHGAGAGIFTANMYLAEDRILCFELVTKRNCHWILQYV KSATGETDVPDTVQELILQRRRWLNGSFFAAIYAIAHFHQFFRSDHSIFRKLMFFIEF VFNTINMIFAWFGLGNFFLVFKILTTSLADDKLLGQVGNILGIVFAWLYGISLMTCFV LSMGNRPAGSGKFYGIMVYFWAIIMVYLMFAAIFISVDAIRRDVNDENGFSPEDLLKN PVFYNLIISVTATYGIWFIASLLMFDPWHMVTSLIQYVLLTPTYVNVLNVYAFCNTHD VSWGTKGDDKAEALPSVSTKDGTGKTDLPDEGDLDAQYMKELAVFREKPKVEKKAPTP AQLEEKQMDYYRAIRSITVLGWMISNFALIAAVLSAAGLDHIVPGSDSDEDQRVNIYM SVVLWSVAGLSAFKFIGAMWFLVVRMFRGV
SAPIO_CDS10761	MAQKKSESFTIRTPCSSANIGPGFDVIGLALSIYLELTVTIDRS KTKSEYPLNCRITYEGEGENSGDISLDPSSNLITRVALYVLRCHDQRAFPVETHVHIK NPIPLGRGLGSSGAAVVAGAILGKEVGGLHHLDNERIFDFVLMIERHPDNVGAALFGG FVGTYLKPLCAKDVARVEIPLSEVLPEPAGGVDTGKRPPEPPFGIGHRISFPWAKEIK AIAIIPDFFVATHDARSVLPKDYSRADVTFNLQRIALLPVALGQSPPDPELIYLAMQD RIHQPYRSTLIPGLGEIVSSMSPSTQPGFLGCCLSGAGPTILALATSNFEEIANKIIS ILKKSNSKPDLACQWRILEPAKGTEVIR
SAPIO_CDS10762	MAEPKTPPPAGIYVPAPTFFASKSAQDYNPVSPPLDIDTQVAYS IYLAKAGIRGLVLLGSTGEAVHLRIAERVRVISGVRQGLDAAGFTDYPIVAGTAAQNV DDVVEQLQEAKQAGAGWGIVLAPGYFAGCTSQEGLVRWYTAVADRSPLAILIYHYPAV SNNVKLVPSTYATLAQHPNIIGCKLSHGDISHHCQIASNPSIDHTRFLTFTGLGQQLL PVIAVGGAGAIDGSAGFFPRTLVRLYELSREPRPSDEQLAERRRLQFKVSSVEELVVA YGTVGIKEAVSRLRGFGDVDGARAPLALGIPGENTWEEWREIVDAVEEEEKRLGG
SAPIO_CDS10763	MDYTGGKLEADHLCVLVHGLWGNPSHMRNVAKALRAKFPAEKLY LLIAKSNSGSFTSDGIELGGERVCAEIQEELHAVKSKGGNFTKLSIVGYSLGGLVARY ALGLLYAKGILDGIECMSFTTFVTPHLGVRTPLKGWHNHVWNVVGARTLSMSGRQLFM VDQFRDTGRPLLSVMADPKSIFMKGLGKFRRHTAYANVVNDKSSVYYTTGISKTDPFA DMAAVDVNYLDGYDEVIIDLRRPITPRPQMRPQLTLSTTSLAIFSWRIKRHEKGLTGF PIEEYRMPLWIMEAREEAERAYEALNNTHENEYLGGSSEDEVDDEGTDFGPDAEEVMS KERLLSKRAEPTLALSPRQFTMIDSLDSLGWRRYPVWIRKDDHSHAAIIVRVDKPSAT EGWVVLSHWLKEEFLV
SAPIO_CDS10765	MAQALNFAYRMAVPAAIGVAFLQQSMYDVRGGYRAVIFDRLSGV KESVINEGTHFLVPWLQKSIIFDVRTKPRNIATTTGSKDLQMVSLTLRVLHRPDVKAL PKIYQNLGPDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSQRIRTDLTRRASEF NIALEDVSITHMTFGHEFTKAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEAE SADTISKAIAKAGDGLIQIRKIEASREIAQTLASNPNVAYLPSGKQGGGSQLLLSVGR A
SAPIO_CDS10766	MQPTPKLNGGAAPLPVGKYGHYLGHFGHFGGQPQKGIITYGLSA NRQNPLANTAHDAVFNIWRRFYSQVFFWAPPFVAGYLILDWANKRNHYLNSKAGHAEF GGEEEE
SAPIO_CDS10767	MAADRPSKKEKKDKKEKKRSAEEGVKKDKKDRKDKKEKKAKLAA ALDEHLQAEAAASALASGVMAVDVDEETTTAVVVAEKIAPLSMVPFAVPLADEKSMKK VLKTVRKAAKNNALKRGVKEVVKTLRKSPASSPTNRAFPGIVIIAGDISPMDVISHIP VLCEDHNVPYIFVPSRAELGAAAKTKRPTSVVMIMENPETRKKAAAAAAKKDEKDEGE DDGEKYSDTYQSLVKLVQKETERQSFW
SAPIO_CDS10768	MSLQYFPAVKPSAIAIGTAFNQTVELAVLSPLFGQTYQRAKAAN TKEEFLRSREASSAAVAWGTTLVGSALQSYGVGALINATGTLTYKGAAYLGGLIFLAT SAPTYIAQVLQEKRPLDTVGVSVAAKLVETVGLSVFLTWWGTRSNPFD
SAPIO_CDS10769	MNSLNILSARVSPPPSTPPSRSNSLTSLGLAVQSDLASGDHGEG VGEDPNSEDSLSQPSQPPQPTPPTEDDDPNIIPESNYAEQSSVDDESSPLLGESIEGN TRSTCSWQLAPRRIAASLINSLRWFLSTLAAPGVYLFAFFYNDQGQFAPFYPFKRLYA WYDVEARAAMSSTKYSEFAIDEKEGPPLGPRPRRRSNAARPRPSVSSGSSSAASESER DATRPRTRRTSQSTIRHTRSKSLDEVEDSTAGRKSIRIKLNNEEALRQRRHRKTQSVA PRTGKAGHLATPDISAQLKSPTSPVGALTRYPKTPAPPRPLIPRRQPSYLNLEPTPLK HQKTLILDLDETLIHSMSKGGRMSTGHMVEVRLNTTLVGAGGQTSIGPQHPILYYVHK RPYCDHFLRRVCKWYNLVIFTASVQEYADPVIDWLEQERKFFSGRFYRQHCTFRHGAF IKDLSSVEPDLSKVMILDNSPLSYMFHQDNAIPIQGWINDPTDSDLLHLVPFLEGLQY VSDVRALLALRGG
SAPIO_CDS10770	MASLNAASHAAALEKFNKSLKGQALETSIYKLTSLLKLRQIQGS EPCAIATANLLLQVVAKSKVSEVDQLLAHISAVGSQLVAAQPKEPVVGNIVRRVLGLI RDEAEEDRNGGISRSSGHATPTDFQPLTSAVPAVPSGSDSLSNLRHALARSSAHPSPP GYSIGKTKSLLHLLSAAGPTDGSSLSGMNLSGASTPTGHGRARFQAVRGEVIDGIEEI KDEIDQVDDQISANAEAQIRPGEYVLVYEPSATVRRFLLKAASKRKFTVVTVVHPSRR QAAASIYGDLSKGLAKHGVSTISVVSSAVMAYMPHVDKVVLGARAVFANGSVLLDADA GNIARTAKKRGSAVVILSGVYNFSPEIPFDEEALVDWGNPSQSVNYSDGGIVNYIAVQ NPTTDLIPEDLVDVYITNLGVHSRDHLSNIIDEHYKPEDLDFYIPAAAH
SAPIO_CDS10772	MDIRVLQPSDLPLIQHANLENLPENYFLKYYLYHALSWPQLSFV AVDVSRPKKSPYDYPKIVGYVLAKMEEEPTDGVQHGHITSLSVMRTHRRLGIAEKLMR QSQLAMVETFGAQYVSLHVRVSNNAAIRLYRDTLGFQTEKTESKYYADGEDAFCMKRD FADIRELLEEAREREEGTGDEEAQDEGEAVGDVGRAADKKRKVKVGRALGVGELVERD ETHKS
SAPIO_CDS10773	MSDNIKLTPFEAWTLRASDSQFHATAGSIGGIASGIVTCPLDVI KTKLQAQGGFIPFKKQGGHVGHPKMYNGLVGTARTIWRTEGIRGMYRGLGPIVMGYLP TWAVWFTVYNKSKNFLSQRYKHEFLVNMCSSVVAGASSTIVTNPIWVIKTRLMSQGVS HQKGVHYDLFPKSSSTPTSRPTLQVPWHYSSTLDAARKMYTSEGILSFYSGLTPALLG LTHVAVQFPTYEFLKTKFTGQGMGTIQTDTGEHASTQLLGVLCATILSKILASSATYP HEVIRTRLQTQRRPVAGEGFAQGFNMEPNGRQAPPELKYKGVIKTFRTILREEGWRAF YAGMGTNMMRAVPAAVVTMCTYETCMALFKETRKSAQEKVLSGGGDELQLT
SAPIO_CDS10774	MPPVLRKRKAPEPPPAPPAKKAAPKATKGAKAAPKAKAAPKKKE EKPVKETAAKVAAPPAVGDVISLDGFGGEIETNDGEKTTLKALVDESKGGVVLFTYPK ASTPGCTKQVCFFRDSYDELTETGFAIYGLSSDSTKANTTFKEKYKLSYPLLCDPAQT LIAAIGLKKTPKGTQRGVFVVDKSGKVLAAEPGSPAGTVDVVRALVEASGAGEQKKED ESEEANGAEAEGKKDEKEEANGKDEKNDKDDDKAE
SAPIO_CDS10775	MASKVVAKAAGGVMDIAQKQTLQSTGVWEKIRRAFAVDPNRSNG VPLNPYFRNPPPGALDPLSYQDSVTIPAGDIADNPYWKRDVRRNYPRLSVVDQSDAVA LLTVGSAVAPKAELVGEAGQQALVAAKEDGQTKGLATFLEEKTAKVVAADVLVDGLPP LPSGQSLASGKWDVHKYKLTPEKTAYSEMYPCRSFE
SAPIO_CDS10777	MSRPLEGKLAIVTGASRGIGASIAEHLANKGSDLALCYTSARSQ QSAVALSEKLKAAHKIRALVVKADLATPTGASELIAATKAAFSTAGKFQIDIIVNNAG VAYNDKIPDIKISDFDISYKVNVLGPLLLIQAAQPYLPTDRSGRIVNLSSVSAGCGFV GQSVYGGTKGAVEAMTRTWARELSENCTVNAINPGPVLTEMYASNTDEFKRLIKPFIE HTPLMAAREGIDSAEVVEDAKTSGGRPAYCSEIAGIVGMLCLPDSAWCTGQVVCANGG MLLGTQ
SAPIO_CDS10778	MSAVTKLTGAAKFVARRPWLQAFVDRANEWQSRGYRQLGLKYDD VLDVEESPIGQLALKRLSPKESYDRVYRIRRAVQLSITHKILPKEQWTKVEDDKPYLQ PIIDQIKAEIKEKEALDSMEVIKSH
SAPIO_CDS10779	MLLPKGYNWKVARAQLPPARAVWVYLTRTRILLLVAAAGALLLL WRGVSSSASEMQNFYCWGPAKPPMEMTPNEQASWYGHLKTPVIFNNHRPIEVNSSTIQ HIDLNPVLSTPEPVKNGERVLILTPLKDAAPYLAKYFELLSELTYPHHLIDLAFLISD TTDNTVSVLADELDRIQSRSDHLPFNSAMIINKDFNFKLSQNVEERHSFEAQGPRRKA MGRARNYLLASALKPEHSWVYWRDVDIVESPKRILEDFMEHDRDIIVPNIWFHRLDKD GKDVEGRFDYNSWVESDKARKLRKTLDPDVVLAEGYKQYQTGREYMAKMGDWRDDPKM EIELDGVGGVSILVKADVHRSGINFPCYAFENQAETEGFAKMAKRAGYEVIGLPNYVV WHIDTAEKGGKA
SAPIO_CDS10781	MSTGKILLALAAVGASVVSAQSNSLCASATITVAAPAEATIPCE TVKGSIVFDASDALAGVINISGPQSVAGDLIIRNATNINSLSSSTIETIGGKFELTGL RSLSSLDFTALESVGEISWISLTQLENVRFGSDGVTTVKTVRISDTFLSSLDSFSMVS VGTFQIDNNQRLTTWETRMTSIGERLIVADNSPDLEVSLPRLAWAANLDVRGVKSLSV PLLKAVNDSIQLTQNKAMESFIAPNLTATGDDVSFRNNDNISNISFPLLEKAGGSLTV QNNTNLASIDGFPKLRQVGGAVTMRGSFTNIELPSLDDVKGAFDVSSTEDINDDCAGF KSLAPKSSGGNGKIQGSFSCTSKNANANEGGKGGSNSSDKEDGAGFLGVNTPIILGVA ALGALAQLL
SAPIO_CDS10782	MSAPSQPPPPKGGGGLSLYANLLESSGDASATISSAPVLYNEPA EPQPTKKPLDSALRFQPIRRPQVKQKPKPSFPKTIPGAMPSKPAPSSAEPTPTSATTT TAAAPAPAADPPAKSNLAAWTATGDEWKYSAAPTEKRQRGGRRKKKKRQEQMETDWDE LYDPTRPTNVEEYLRSDERIREIHEWKDLLYRHRRGREDLTSDSDGEDRREPMSNQFA PPPNYSFAPPPPSPPRASIPTDQSGEDAYARRLAMSQGAPPPPPSAAIPPPPTPPLEE NQGISISRAPVRYSPPPRQPDSDSESETYRPTLGLGANKEDEDSDGQPRSSRPGQKGF AQRLMSKYGWTKGSGLGADESGIVNPLRVQVEKRKKKSDADGGGWAEPAARSRILGGN RKPGRAGEEEGFGAMSEVIVLRNMLEGMDDLQGEIASGLGQEIGEECGDKYGRVERLY IDVDTRQVFIKFTDQVSALRAVNELNGRIFNGNAIQPKFYDSDRFEKGIYS
SAPIO_CDS10783	MSLTLKTAIKGSRDATAVIIPSKPAPLTVTYSGINAEIAKFQAK LADLGIKTGAPVSIALVNSYEFIVSFLATSWQRAIAAPLNPGYKQDEFEFYIDDVKSA LVLVPKGAVAQNTPAVRAARRFKAAIAECYWDAAKGEVALDVKDQGQLRGRQPVQEPQ PDDVALVLHTSGTTSRPKVVPLTHRNLTRTMKNIQQTYQLTSADRTMLVMPLFHVHGL LCGLLAPFYSGGSMVVPTKFSASEFWTDFIAHKANWYTAVPTIHQILLKNPTPSPRPN IRFIRSCSSPLAPTVLAQLEKAYGAPVLEAYAMTEAAHQMTSNPLPPAKRKPGSVGIG QGVEVRILDDAGDEVPQGKEGEICIRGENVTKGYLNNEAANKSSFTKGGFFRTGDQGL KDADGYIIITGRIKELINKGGEKISPIELDNVLTQHPAVSEAVSFAIPDELYGQEIGV AVVLKPDQNLKADELKSWVSQKLAKFKTPKKIYFTETMPKTATGKIQRRIVAETMQKQ DKAKL
SAPIO_CDS10784	MATAKKTEWLVIIPDKEGVHEKRLEVRPKHFEGIKSFEESGKFK MGGAVLNEVPKDNNPQNFSFYGSTIIVVADTKEEVLDIIKKDVYTESGVWDFEKIQIW PVLLAFRNP
SAPIO_CDS10785	MDTPALPPPDNTRDQAVLDKLSQIRDQLVLLKTDRTTYLRSQEV IPLYDETLQQVKQLEDLGFVDGKPQTQLAHVLDSCFRILSLCFLTIGRNNEAPAVYAP TTIILRLLDHLAESDLYSAKDLEAMEETLNKLAVNVSRDMACPRPYVTLLSRRIELCR TSLAALQERLKRLEDPLPEIYERLISIIRTISRANTRPTVSTSEITELQKKAQEIADQ RKDGNFVTEDGGVPAGNDIVNDVLARCLAWAEVSLERKGAASIPDRFRALYDKLIRVR NHLEKLSVTHAWALREADLYDYHRTLDRIDDMRVNGNWVDEEGNPAESYVRRMLLYLI RRSYGFVFSLMIASQPVSEALLPVYNQLRTLKRCLTEVMDNGSIRTVREVYPYSMKLN SIDNMRVDGKFMVGDDIPEGQGRVTELLEDCFDLSRELKLAAEAAEAQASSTDLESRT ASEVESDEEEPEEEEEEEDDESGGAPVMKPHNEVPIHA
SAPIO_CDS10786	MSNAELASSYAALILADDGVEVTADKLQTLIKAAGVEDVEPIWT QLFAKALEGKDVKDLLTNVSAGGAAAPAAGGAGAAAGGAAEEKAEEKEESEKEESDED MGFGLFD
SAPIO_CDS10787	MAPSDLNLLLEMGFDKARAELAIKRAGALNQALEWLEANQDKPL DELLAEDKVKQEEEEEDEESKIIVDSTGEAGMKSLVCNECGKQFKNADFASYHATKSG HTDFSESTEEIAPLTEEQKAARLEELRQKLKAKRENQAVLDREEAKRNEKIRMKSTRD QQDIKEELQRKEQIKQAAKKRQEKLDDMEAKKRIRAKIEADKEERRRKAEAEKAAREG RPVETSPLASAPAAAAAAPSAKKVDHNEARLKLQTSKGPVMKTLSADTTLFELAQLLE SEQSVRVTSFSTTFPRKTFEGQIDFGKTLKEAGLTPSAVLNVK
SAPIO_CDS10788	MSVDIDPTELSFRRPFTQEVSQVLIIKNPNPSPVAFKVKTTAPK QYCVRPNSGRIEPGHDVEVAVLLQAMKADPPLDAKCRDKFLVQSVAITPDKEFSGVAS VLDTAEKSSIQERKIRVAWLPPTQSPKLSAATPAVLETPVRNSVAASENHDATPDISR AYASPSNDDVISNPSPPPYPEEVKITKKEPIEETPKTTVSQIKPQIAVAAQPPTYEEL KRKLADADATIDSLRQELSLRKRKIGTDSEKTAAQVHQLATAARPVSEGVPVKIVAIL CFLTFLLSYTFF
SAPIO_CDS10789	MAGSSTATPASTSTVKSRVNGSAKKEGKEPLEIKEHDFFWTYTE EPHRTRRLAIIKAHPEVTKLCGPEPLTKYVVAGVVGLQVLLAYLLRDTPILNWKYLAT AYVIGATANQNLFLAIHEISHNLAFRSPMGNRLLAIFANLPIGIPYSASFRPYHLTHH KSLGVDGLDTDLPTAFEAIFLDSILGKAFFATFQIFFYALRPMFIYRVPFTWIHLFNV LTQAAFDYAIYTYLGPNALAYFIVSSFLAGSLHPLAAHFIAEHYVYETVTPEQRDPDN ALPIPETFSYYGPANILTYNVGLHNEHHDFPAVPWTRLHKLRDIAHEFYDDLPQHQSW TYALWRFIWDENVGMRCRVKRKEGGRMVGGGSSSWKQSELES
SAPIO_CDS10792	MRFFVAISFLIAAARAKPEQIRGVREPIYHLYLQAHPDDPTKVV LGPEASADTFEIGGTIQSASTKLFINIGDDSTSYKTLTFGKEGETTAWGLEGDTIITT TGSSFGRQLNFLVCSINGGYWEVFLQTGSDQPSGKTCSNYQTIHLPCLC
SAPIO_CDS10793	MWWLFQVILSALFLLSVVLSVPIAFDVGGRDSGLAYSLALFLYY LSYSTIRAATTESRAGRTISGVLHLSQWVIIPSLLIWALGRFAVDAGSAHWVERTFEH LAKQKPATWSEWISAVLETVTLGGWDKTLRYSIPVFQLLEGFCTLLVIQAAGQVTRWL VNRGRSDTWMIALLALSGSIIASAFYFLWRVAQFPHISNTDAILIGVTMTTAVFLCAF GITSRKGNPVESSLLFAYVVLCIYQIFTDYLPSSDAAADQQPSSQPDFPPLPPIIMAS YTTFLHMLGSLPTAVHSAFALLYAAFQTTTSSVIISLLYRIIVFYLATRIIPAVREYG AQAMIEDSALEDSDGANKILELLSWFAPSVLIAIYTSLLLQHFTVNDGPDGWTLRGGD AAGGNLWRWVNVAATMALYTIELYLGNDETNHWKVD
SAPIO_CDS10794	MSTTRTEPLPPPPSVSKKTIPMAGLLVDVYGLAELSAPSPATRL TCLWLHHPRMQTKEIMGDFASRTVAAWNERRKASAIAAGRGLVALAFDQRNHGTRLVS EIANRSWKAGNETHAIDMMGAIRGMVEDTRGLMDVVEGYLGCAGAEGAVVDGHYVMGR SLGGHSAWQLMFGDERVTAGVIIIGCPDYMALLSDRGRRTALATYKSEDGGASFLGSR HFPRDLVDVCRRADPKGLLFGTDPIAINPDEAKREKLKPVLDRTIKGKRFLSCSGGAD RLVPYHMAEPFINFLQGASRGWYKDGNVVMDDRVYAGVGHEFSDDMIRDSVEFLIRAL EEEGKNSEEKARI
SAPIO_CDS10795	MVIKLGLERMQGLYGKSPQLWKAIHVAGTNGKGSVCSYVSSLLA HSKISYGRFTSPFIRRPEDSILINNAPIPSDLFRSFYYGADKVSPADKPTHFERMTYA AFAAFDEFKVKYGVVETGLGGRLDSTNVMRNKAVTVITKIGVDHQEYLGDTIEQIAAE KAAIMNGAPCVVNGRNSPAALEVILDMARQVGSKVILSTDDSRLSQEAASLSGTWPQH VLDNAICAIKACEQLEEYRDSSLSIADLLQLPSVHMEGRLQKVDISSTAPGSREGRTT PIILDGAHNVDAAIALAKHVDQHLRTTKTDTQKPITWVIGMSSPREDTASEILQPLLR PQDKIVLIEYDHPVRPKTSASSSDHFLSFRPLPLDIAKLESAVKSLPGFDASQSQVVG CIVVCCHGIWLGGKSLGHDESEWLIAAFQKGETPTFIEHIKAGLQCIAEDKNAVLMFS GGPTRKETQLTEAKSYANIAIQNNFFSIIPPTEAHRILVEERALDSYYNVLFSILEFW RLHDCIWPERLTVVSHAFKKSRIYDGHCAAIGFPLERARYVGVDPAEMVEGSAAKEGA LKGVEIALRQWTEDPHGIGEALAGKRRARNPWGINQDLFLDDKGRERSGVVTIRIDDG HEVLKPESPRPW
SAPIO_CDS10796	MGLPLYVARSPTSESDSQAKKRDKIPGSTRSIRRRARIDDLARD SERERARRRQRAVFIANYVNERSSDEDQPAGSEDARPRDLGRSWGFSQPRRWDRSDVD QMRRLENRVRDQAAYELELMARSNRDSLDAVLPQNDGDAGSGGEERRRLSAGFLDGVR RAREARRAREAERTRSNLTGDSRQPVIGFDPWELYLLQTGRYSEIELSNLDDSRNPLQ DSTPDEEEDDNNEAWDTLLTTLTPDPQPPSVGSSFASATGPAPAAATAATATGQTVTR STLSTSASRVPAPTHDCGGVCEICARARASATFTYPRIPQRPDAGRSLRRAAPDTIPP PAGFTFYDRRQHWRQMRDFAREIRADSVERYTDRIIDELNRIERRGGIIPAGAPLNWR PPLASRGDRPDGFEDSRDGFADDTVNPFF
SAPIO_CDS10797	MAPQASSSAERHLHANGKAAVVVSRSEKPPGTPRRDKSHGRESS AVQDPGLKDYRLGECLGKGAFGSVYKAFNWGTGEAVAVKQVKLGDLPKSELRMIESEI DLLKNLHHDNIVKYIGFVKSVDCLNIILEYCENGSLHSILKAYGKFPENLVGVYMTQV LQGLQYLHDQGVIHRDIKGANILTTKDGTVKLADFGVSTSTLAGNQDKEAQVVGTPYW MAPEIIQLSGASSASDIWSVGCTVIELLQAKPPYHNLAAMPALFAIVNDDHPPLPEGI SPAARDFLMQCFQKDPNLRVTARKLLRHAWIVGCRRSEPQVAKAPSNFSQAVEEVKQW NKALKSSETSLRASTGSDGAPPMASGQGALRFREADPLRSNHATPARVALAKPRALPE AFRSPELADDDNWDNDFATSISPSALHLPHLKPQDNFGGLLSADRLKAFASTMDLNNS SENYDDDFEGELLTIKVAKQCHDDDALEKTIRPITRKIERSQDPVKSHSRKHSRNFSR SSTAPVSLGGRSPTKPNFGGSKIELPARPDLVYREESVEDFSDLQFDNDHVFSKGLNL AVKRVSVQPAIMENIAENSKRTKTPPSFKGPRQSDAPQLFHPSDLTSLPRSMQSPAVS GSIRRQISSRPSVLPDRSMRRSRSSAEIERFVEPDDDEDFSDILGPGDELTPKDESDH GSENGFMLASKLSSSSWLGDDDDEDDPFASMDPGWDELDLKANIARDRHARLCERVEE LVRSLKTTESEDILCELAEDLLVLLWENPEVKGLIITAHGLLPILEILEPCTVKTRQH MILQLLKVVNTIILDDVEIQENLCFVGGIPIITKFAARQYSNEIRLEAAAFVRQMYQT STLTLQMFVSAGGLNVLVEFLFEDYDSARDLVLIGVNGIWNVFELQGPTPKNDFCRIF SRSKILYPLALVLHRVLDEEDENELSELIEGRIVNIFYLFSQAENYVKEVVADRQVLK SVLKDLRRMTPAHQITMLKFIKNLSMLSTTLEVLHSADAIDFLIDLLSYSMKKGHTHF REISNQVLNTMFNLCRLSKERQEDAAVGGIIPLLLRIMRTERPPKEFVLPILCDMAHS GRTGRRFLWQNKGLDFYVSLLADQYWQVTALDAILVWLQEETANVESHLLESEFTKTI LSSFSTNRLNSFDSNILEPLLKLLRLSPSIAASLATPEMFAGISQRLGHKKPVVRLNL LRLVRIIIDAGDQDVGLGNGSGALNSKQVKSLMDAIQLLAEKDSAVLVRNLAAELVKL KIGAEAFPDMLAAPPVISSSAAGPTRRPGSGNRRNNSYTPPSLHVSMSLPPTPTQSGS RHRPSISGSGSGAYIEVAASPRRTPASITHERDAILYRPRSRDGPTGIPRRVSGDAAS AANILSNGSGSNTSIPSKSRLPRTSMAYSRSSMSGGQPPIVTLSESALSNKENVSRAS SSGASGSTTGSPAPISVIAGKRRNRAPSSDSKWS
SAPIO_CDS10798	MAERVADKSADGKDAADVNFVVSGTESPRLDGDGDGKFREPRQQ QQLEYDTATVERIYRKLDLRIIPAFWILYFLCCAIRSNIGIAQTMNKDVGHDLITVLG MTPSDVSQTLSLFYVSYIIFDFPSNLIMTRVGPRAWMARIVIATGIVGSCFAAVQKPW SAKLLRFLLGAVIAGMWPGMSLYLTSFYPPSRTGKRIGMYFTAAQVSAAVVGLISAGF QLMDGVGGLTGFRWMFLLYGLVTIVVGFLLLWWLPDKPLPPGETRQRSGIMKWLPATP EALTGEDAVVHYHELRRAYHGKRWTLRDLGYVLLDWRLWPLLLMYFGVVGVGIGTQLY GSIIIASINPNFTGIEISLLFAPIWIMDLIAILIFTPLSDRFHSRRAIIFSIAVCIQI AGLLTTTFALQNTWARYGGLLLVGFGLGPTVPTCMAWTNEVFQSRHGEVGVASATALV SGLGNLGSIVTTYALYTGWPADSAKGPHRFRKSNLVMIGILCISLLSSLANMVLLRIF GAKKSRNGGGASPSDDDTSSFEDGAAKREAEERGLSGCLPWIRK
SAPIO_CDS10799	MGTMYDSRTFVHEASYPSMTEMDHDHDHDRPGDGDGTDRFGETD VSELANYNPTPSSLLAPERTSLDDSEEARSILLQGSSRLELPPTSPQSVIPSLAPELA KLTTDPGASVAPGLAHDIATQLAPALGSATLPPSTPTMTAMIDTRTRSIVSSRTKSNP AAAARLKLIAKPDREVTKGPGGRFYCTVEGCTEVPDGFKRRSNTWISTKDHIDASTTA ARNCPDSLIQVAYGKGFSRQENLNEHLRRVHTDATSPPEDGHPITSDNDSERGQKRKR LFSDSADKGDEDMNLLDVVKRLRQENQELREALQQQRETQITMVAQIADLQGALQLNG ANMSTSG
SAPIO_CDS10800	MEGAFTHLGNHLISDSAATIKAGGDNLSTLDPNDALLYHKHGAR PGRRRDDDEDNQTELYDDDDIDSLTSAPVDGMRALHLGPEDEHSLPEHACAYCGIHSP ASVVKCLTCNKWFCSARGSGAASHIINHLVRARHKEVQLHPDSALGDTTLECYNCGTK NVFLLGFIPAKSDSVVVLLCRQPCAATSSNKDISWDVSRWQPLIEERAFLSWLVALPS DAEQLRARHLTPTMMAKLEEAWKDNPDATIPTLVANLSVEDDPQPVLLRYEDPYHYQN TFGPLVKMESDYDKKLKEAQSEDGLKVVWAKGLNERDLASFELRKIESGDVKLAVGDE MRLRYKGDLQAPWEGVGYVIKIPNNQSDQVTLELRRTANDPTPPTGCTVNFSADYVWK ATSYDRMQLAMKTFAVEEQSVSGYIFHKLLGHEVQVKDMGRVNLPKRMNAPHLPDLNQ SQSEAVRAVLQRPLSLIQGPPGTGKTVTSATIIYHLVKMTGGQVLVCAPSNVAVDQLC ERIHRTNLKVVRLTAKSREDVESSVSFLALHEQVRMNDTNPNFVKLNQLKADQGELSV TDEKKFRQLTKQAEKEILNNADVVCCTCVGAGDPRLAKMKFRNVLIDESTQSAEPECL IPLVLGCKQVVLVGDHKQLGPVIMNKKAAKAGLNQSLFERLVNLGMPPIRLNIQYRMH PCLSEFPSNMFYDGQLQNGVTYEQRLRKDVDFPWPVAETPMMFWANYGSEEISASGTS YLNRTEASNVEKIVTRFFKAGVKPIDIGVITPYEGQRSWVVSTMQNTGTFKKEAYKEV EVASVDAFQGREKDYIVLSCVRSNDNQGIGFLSDPRRLNVALTRAKYGLVILGNPKVL AKHELWHNLLVHYKDHKCLVEGPLTNLQTSLIQFPRPKPSRPRPNPQQQYNPQGYPNG RHQHGPAHSVRDFDAGSMLSYIPDDASSIHGSALGGAALGPAFANIFSSFTPEQWPGL PGVPTATRSGGRKSGRATESIAGESVANSELTDATASVIGAKGVGQGGVSLGAGLHEA ARNIPHTSSAQKDRFKRYVESDGRMAPGNRFPRRIDDDEKSVSTAFQSQVGGGFD
SAPIO_CDS10801	MIRKQARQRRDYLYRRALILKEAEISEKRAKLRTAIAAGRSIDS SIAQDKALRKDFQYDESRQDLDANEMADLDDEYHLSGIQDPRILVTTSRDPSSRLATF SKEIRLLFPTSIRLNRGNLILPDLVRSSQGAGLTDMILLHEHRGTPTAVTVSHFPHGP TASFSLHNVVLRGDIPGSLRGTVSEQYPRLIFDGFTTRLGQRVVKILKNLFPPRDPLT NKSKIGSRVVTFKNIEDSIEVRHHVFVKTSYDSVELAEVGPRMTMRLFEIRAGTLENK DGDVEWHLSQYTRTAKKKDYL
SAPIO_CDS10802	MSNPRPSIPPGPPAVAIPVDQSSSLWERISTWVSENKAVVYTIA GVAVVFTGAGVVYYLNTPAEPQTPRLSKKERRKRKEAERKAAESQNNVAAQPESKEKA KEQPKPAAVEAEDELPTITEESVANLTTDQRNEYADRLKRAGNKAYGDKAYNKAIDLY SQAILCKKDPIYYSNRAACYSALSEWQKVVDDTTTALSIDRVYLKAINRRAKAYEELK MYRDALVGYTLACIIDNFQNQSAANAVEKLLKVVATEEAQKRMADRKQTLPSHTFVNN YFVSFRAKPRPAGLEDSDELPAGSGKEQLRLGLQALEKKTSTGYEEARQAFDKAIELG DLGEFEGLAYNMRGTFRCLLGAHEEAMADLTKSIELDPSMTQSHIKLASMRLEQGDAD KAFEDFTTALAANDKDPDIYYHRAQLHFIKGEFAEAAKDYQMSMDLDRDFIFSHIQLG VTQYKMGSMASSLATFRRTVKNFPKCPDVYNYYGELLLDMGQVNEAIEKFETAVELES KNNPGSMNVLPLVNKSLAIFQMKQDSAEAEKLCQKALEIDPECDIAVATMAQLLLQRG NIAEALTYFRRAADLARTEFEMINALSYSEATACQLKIQEEYPELVSKVRSANLV
SAPIO_CDS10803	MAILLRRSRLLFLIAAVILYFTGRTLLTFNEETYSLAGVQVLRS GIDWATVRQANPVDSIRSLPTGDPHDLPRVQHVFTTGGPTGISKDELEARREKVRATF LRGWKSYRKLAWMSDELAPVSGTPKTTFGGWAATAVDALDTLWIMGLNHEFTEATHAI ARIDWSKTRDSSLNVFETTIRHLGGLLSAYDLSYHPALLAKAIELGDMLYMAFDTPNR MPPFWLNFNHALKGSQTAGESEASAAVGTLSMEFTRLSQITGNDKYFDAIDRVKDVLQ KTQNQTVLPGMWPIEINFRSEKATSRYFTLGGRADSLYEYLPKMHMLLGGLDPAYKEM AIASLDTAKDNLLFRPMVRNSPPVLFSGRVVVPFRNDSERDLTAEGEHLTCFTGGMFS LAGKLFSRDDYLDCGEQLTRGCAWAYESFPTGLMPERFQMKPCKTKNLGPCEFDDEKD TEDALDRDDNLPEGFTWVKDSRYILRPEAIESIFYAYRITGKEEYLDIAWKMFKAIEA ATETPLANSAIADVKATGTTRKLDSMESFWFSETLKYFYLIFSPPDVISLDDYIFNTE AHPFRRPKPELRVQRARSLNTPPKVSETMEPPFNLFTTLRYDVKLESLHNNPAFTHAG WNYRRASPCYMLDFHRDRMLRASTYWHWDAATATLSGDAGLARLETVILQGVTAEGKD EEPLRVRVVVNEEGDLSVGLGAETEKTLEDLYPSGLAAPEELAGRTPTYTVVLDDVRT VKSEYTHYKTTRREMYNGARERAGIKLGDPKEVLLAAEDGAVMEGSTVTPYFWRGGRW VTPPVRERWGLEGDSGGQDGTTRRWTLERGYAVEEVVQASSIVDGELVWLSNGAKGFI LAKFDARKSS
SAPIO_CDS10804	MDLDFAVFQINVGDGDGAEIIFNYNHKRISISIFPGPEIHDQSD KHRQPTEGYLIRLLERAVYGDINVEYEEVIDEFFEIIDDAGRTAFPQRASPRPVPEPA QDLHSALFPETSFFRLLTTSGKISLIPISSREANTCPEAILDRDIYIDLEINASLTQY SSKEILIEEAFVHGGGHTVCQVLVDGKVMLCKASNTGLTNLSLERELGKLQKIQESGL PSIRVPPLLGYVVHPEAGRILGFLRELVPGRCLREIDIPSTPEERRRKWASQLCEAVN QLHEIGVIWGDGKARNVIIDIDDDAWLIDLGGGWTEGWIDEKLADTVEGDEQAVKRIM QFLGADALRRKKKEGGKASKAPKAETEKPAESTSAAAPETERTDAAVEEDAPPEYEQA TSPTHDAGSLAQQSKMRSSSFRKGSISGPLSPGLSSPDGTTAPEIYRKHVARIEELET ENERLNKDFADSERRRKKAEDELEELREADSPTRESGGVSDEVEKLKSKIEALERQNA QLQQQVSRSKHGKDASATELEEQLTSKSATIESMELELSKLRAALGRHASGASSEREQ ITALEDKLARAERAAGQAQRELADLRRNLDRTAEKAVRDSSERTSVETKLRTVEHDLA DQLAIRADLETKVDALEQKVATLTTLHREQDSRSQALRREKERADNEVKELKARVERL EVEKVKLRARRSHDGGIDDDAVDELVDEGRSTLEKRIRELEAENHELRRGIWKDKRRE FDGGEHHVSFQDVDLGSGSVLSPTHRKHGGGGGIGDLITSGINALTGADDGGFLSDDG MDFDEDAFRKAQAEENSRRLERIKEVKRGLKNWVGWRLDLIENRRGGGQGIGDIFEI
SAPIO_CDS10805	MPLCQIPRRAAPISAIGLLPIRRPFATTARRLLAEPLDDKESHY DVLQVPRNASPAEIKRSFYALSKKHHPDHNPSDPHAPRRFIRISEAYSVLSAPGKRAA YDRDARHSHAHVHRSPGHTHTHQYSSGPAGGRPASGLGRRRPPTTSSTRGSFRGPPPS FYGQGGWGEHAEKRRAAHEQSTASGSRTGGGGSSGSGSGSGLGGMGPGQDHFRCDVPH FDNVSHERTHKRQDQRREARRAAEAAPGGGDGSPVVFVIAVGFIIVIASLAPLVFAAG PSEAPRRKPVKRKPPSGDTKQSG
SAPIO_CDS10806	MAIPNFADIAKQANDLINKDFYHQSASTFEFKGNTPNNVAFKVT GKSTHDKATSGALEAKYTDKPTGLTLTQTWNTANALESKVEVKDALAKGLKAEGLFAF FPASQAKGAKFNLHFQQNNFHGRAFFDLLKGPTANIDAVVGDKGFLAGASAGYDVTKA AITSYAAAVGYTCPSYNLAVTATDNLSVFGASFYQKVNSQVEGGCKAVWNSKTGSNVG IEFAGKYRIDPVSFAKVKLNDRGIVALAYNVLLREGVTLGLGASVDTQKLDQATHKVG ASFTFES
SAPIO_CDS10807	MCGIFACHRHPDVQKFKPTALKLAKAVRHRGPDWSGSVIANSTI LCHERLSIVGVESGAQPLTNADETIILAVNGEIYNHRLIRKNLKNPYHFKTHSDCEVV IPLYMEHDIDAPKSLDGMFSFVLYDKTQDRVVAARDPIGITSFYQGWSSKEPDTVYFA SELKSLHPVCDKIIAFPPGHIYDSKTGKTTRYFEPSWWDASKVPTTPVDYKLLRETLE RSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRLKKAGPQVNGGVVDDESANVDK GEGLVGIDEDYNLSTLTYLPQLNSFSIGLPDAPDTKAALEVAKFLGTKHHMMTFTIED GLNALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGIKMVLSGEGSDEIFGGYLYFH AAPSKEEFHEETVSRVKNLHLADCLRANKSTSAWGLEARVPFLDKQFLEVAMGVDPQD KMITKDRIEKYILRKAFDTKDEPGVEPYLPDEILWRQKEQFSDGVGYGWIDALKDNAE LHVTDEMMKNPKPEWGDEIPTTKEAYWYRLMFDEHFPSYCASTVMRWTPKWSKQTDPS GRAIAIHNAKYDGTE
SAPIO_CDS10808	MSLCQNRLLEERKQWRRDHPFGFYARPQRNAQGVSDMKIWECGI PGKEKTIWEGGLFKLVINFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEAW KPAITVKQILLGIQDLLNDPNPDSPAQAEAYNLFKKDRVEYEKRIRRVVRENPAS
SAPIO_CDS10809	MAAEQKAGDIVADNKKNTPVPETATAPAPKVEVEEVEDFPDPDE DDLDDLDEFLDDFSAAKPESKKPTEASTSAQPAKAEKSTTVPAPSAGLGDEDALLDEE LAKELEKGMANFFSGLENSSEMQAEFQEMFKKIAEATAEVDAETATPAATAGASSAKA DSSRSAGPVPATDASFQETIRRTMERMKTSGDKTTEDLSKDDNPDIFNDMMGLLGNAA LGGEGGTDELNKMLLGMMEQLTNKEILYEPMKELHDQFPDWIEKNKEKVAAGDLKRYQ EQRVIVAEIVAKFEEPSYTDSNPAHREYIVERMQKMQEAGSPPPDLVGDLQSAQEALN APNDEACNPQ
SAPIO_CDS10810	MPFFNENSWAHHKPAASSPLSSSPIRPSAPLSPVNRNSMNQRNC FSSPPEPKSKFATRQTKPNPLLRKREEGQETRRQLFLRTVRGRADDRAWERRSIEGHA LRRWYEEEQQIYRQKENDVAGMPTEEDLEDAITMVDQQDQLEAMVPEDLDDMMVDEIA RQEELELEALLASYEQPSPPLSSNSQEGLPQRPPSPYYSDDEEYDQIFMELAENDLGE AGEDTEMS
SAPIO_CDS10811	MKVVSATWRSWSRTLRSPSTYSLGEGQFLLPRTRLARPHQGWRR DNSSWSGARQKTDGPRWNRRRILLASGGAATGATVLAFTDDIKASYETLERSGRVAVA LTVCINDYRTTLNQREKIEDEEEQRRLLKACHKRCAERTLRVLEKNGGIFIKLGQHLS AMNYLLPSEWTTTFIPLQDKCPVSSFESIQQMFKNDTGRSIEDYFSSFQEKPLGAASL AQVHLAVIKETGQQVAVKVQHPSLAQWAPLDLSLTRYTFAMLKKFFPEYDLEWLSREM DDSLPRELDFREEANNARRTRDHFAKIPGLPLVIPDVLWAEERILVMANESGHRLDDL DYLDSNGIDRDEVSAALSHIFNEMIFGEGAPLHCDPHGGNLAIRKNPKRRGHYNFDVI LYDHGLYRDIPLPLRRSYAKMWLAVLEGDMAAMRKYSGEVAGIGDEEFPLFASAITGR DYSVVSSSILKDRTKDEKKNITAALQEGLLTDLVQLLGRVPRIILLILKTNDLTRSLD ENLHTRQGPVRSFLILARYCSRTVFYEKVDEILGRGSWLWPGNALRLFAAWLGFVRVE VKLGVFETWLHLKRLVGLNGAMPAVAFGM
SAPIO_CDS10812	MPAPGDQHNGDAANPFEETRPRVSEYTAQQIAILQCRLQKQLGP EYISSRAGPGGVKVHYITSEKCIALANEVFGFNGWSSSIQNITVDFVDEHPQTLRVSL GLSVVVRVTLRDGTYHEDIGYGNIENCKGKASAFEKAKKQATTDALKRALRNFGNVLG NCIYDKDYLSKVIKIKPAPTKFDEQNLHRHPDFVKKEVVPVKAPVRHETKPEPEPEID TIDSDLFEDFGDFDDADFCIVEEGHPDEVVLPNPSRPSAPSAQNKPPEPVNRAPQTPN QGPRFGGPAVGVRQQPPQVAPRPVANAPNLPGPRPHVPGPSEPVAFFSARAVNKDIPE SSLTAESGLIPKAQQLFNPKAESPSIRKTPGIDHNSSKPVTRNAVQSSSQAPASPAVP SAGPGSRPGGGFQSGIGNVVNPHLNQARRIGTPGGGASPLANRGQYRPPTMKRPLPGD GNGARPALTEVSTNSAVGLNDGAFDAKRQKTA
SAPIO_CDS10816	MTETTSNNDQAGRDRLSHVIKTIPVVDNHAHPLLLPTALSSYPF EGVVSEANGEALSFTPSSLAHIRATKQLASLHSCAPTWEAVVKSTEGKRDPMKYEAWI GRCLSGTETILVDDGIGNPGELYRYEDLSAYTESACWRVVRIERVVEDIINKLLEVVG SPPPEPDTIKFQEVLTRFDDEILAALKDPRVAAFKSVICYRTGLEIPGFVHGDSAKTA LAQEFWRRKHTKNTSRFRLHQPSLSEFFLLRAARMIQEAPPRSRKILQIHTGFGDNDL NLARSSPSHLQDFIRAHRFIKIVLLHAGYPFSREAGYLANTYANVWVDVGEVFPCVSR AGQEAVLHQLLELTPWSKLLFSTDGNFFPEMYYLGQLQFREVLEAVLVDYVRKGDLSW KDAENIAVGILYQNANQLYELKLPKPQIATQNFNFVGSQIQSSTIEQRPLQILDAFLA QDPDIRYLRLYWNDMTGTPRVRAVDIDHVKKRLKEGSFSVGITTASLGLMQNDTLAPG TSPTGEFKFHPDWSWVKRGPRPSHLSVYGEFKTADGLASPLCPRSTLKKIVESARSLG YEFLLGFELELVLMQKEADNTIGRNEHSDGHCWSSSRMLDRPIFSDIIEKAISYLRSV DVHIEQVHAESAPGQLEVVLPAAPTLQAVDDLLFVREVIASVAAASGYRMTLHPKPFS MAAGNAAHVHMSISSPGGDDPVVYENFYAGILHHLGGVCAFTYSHPASYERVLDGCWA GGRWIAWGTQNRETPLRKIKDSHWELKCMDGIANPYLAMAAVLSAGVNGILTKTKLRQ KDCPWDPASLRQSAKDSFGIVDMLPGNLSEALDKLKRDRGLCNEIGQELVQRYSAVKE VEIENYKSMGVEERRQWVLAHY
SAPIO_CDS10818	MTVTSTARRSAALLLALGSMANAQSVFKLDTDDEIRASARTLAY DTMLYYHGNETGQTPGILPGPPPGGDYYWWEGGALWGTMLDYWHYTGDSSYNSVISQA LLFQVGEGVDYQPRNHTASLGNDDQGFWGMSAMLAAELNFPNPPSDQPQWLALAQAVW NTQAAPDRHDETCGGGMRWQIPLSNNGYNYKNTIANGCFFNLGARLARYTGNSTYMKY AEETWDWLVNIGYIADNWDIYDGAHVESNCTDINKAQFSYNAAVLLQGMAYLYDFTDG SQRAMWKTRIDGLLARTLEVFFPDNIAYEVSCEPGLTCTTDMYSFKGYVHRWLTNLVQ LVPDTADKIKPVLKTSAQAAVNQCVGGDSQRACGFSWKSGKFDGKMGAGQQMNVLAAV STLLVDSAKGPLTASSGGTSKGDVNAGSNSPYQREHAPITTGDRAGAGILTVIVLAAA TGTFGWMSWER
SAPIO_CDS10819	MSLLSNFTSTTTFPPFDSLPSTTSPSPSEPWYLVAQIFENMTIT QPTLICRDRNSASFALTFEDRDFSLSSFKKGFTVVVRRARRTEAREEGKRGFVTVEKG EEGVRCLPGSMERVLVLAGDMGEGEGKEACGDCQVKGWTEKGHKSDCKIYKALRELDE LES
SAPIO_CDS10820	MSGRYSLRQTPRKKELFEGMIETPRRATRRSTSAPVEDSDSGSA AETTAKPARPTRRRTARFVENISEEEKEEPPKTPVKTTKATTKPTTPVAEPAEKPASN GHAQPEKLSGTAAVDGWIPGRDPKIDYSGEFEFGGSFGTLGLMIGFPLLMWYMWIGAT YYDGGLPKPEPGQSWSEFGQHLVDLVYTGAFPTLRAWKIYWSFFIFEGACYCLLPGVW AYGKPLPHAGGKQLKYYCSAYTSLYFSMLVAGILHYTGVFPLYTIIDEFGPLLSVAIC SGFIVSFIAYFSALARGAQHRMTGYPIYDFFMGAELNPRMFGILDFKMFFEVRLPWYI LFFLSCGAAARQYERYGYVSGEVMFLVMAHYLYANACSKGEELIVTTWDMYYEKWGFM LIFWNLAGVPLSYCHCTIYLANHDPSEYRWNRVALAALFVSYLFFYWIWDSCNSQKNR FRAMERGKVVWRRTFPQVPWQTIHNPKTLTTPSGDTILVDGWYGYARKIHYTCDVFFA VSWGLITGFKSPFPWFYPVFFCTMIAHRAARDIRRCRTKYGETWLQYEKQVPYLFIPY VI
SAPIO_CDS10821	MSNDAQSRLLDPAIFPHLKEKIEQELQVKDSLTQIVQKLEAANS YAEGLLSRIHATPRQNYPELLAQVEVEIKKVIDIVKELSDFASQYPYYKYNQKWSWTI NHVLFTVILCGFLGGMATETKPGEFGRLLTPEDVSEILQVPVNLKDRDAFHITIEEYL TALTMLCDELSRLATNSVTLKDFDQAVQIQSFVKELFAGFLLLNLKNDPLRKRVDGVK YAVKKTEEIVYDLTVRKLVAPPGEASGSTEMES
SAPIO_CDS10822	MSDGGLRITEACPVYAPFFGAMGCTAAIVFTCLGASYGTAKSGV GIAAMGVLRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDGLQQALPLYTGFVQLGAGL AVGLSGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSK ATTGTQC
SAPIO_CDS10823	MADENGAAWPLADAALEQELLDLVQSCQHARQLKKGANEATKSL NRGVSELIVLAQDTTPLAIVLHLPVLCEDKNVPYIYVSSKVSLGRACGVSRAVIAASI TSNEGSELADKIRSMREKVERVAL
SAPIO_CDS10824	MIQTCSHSNPNNPSTPTIRPWTLDHSVYSCSGGPPNLNALTLLN RRMAIARKVIVSANGEGLVLQTASSKTQRSSQQLLIKYGDGTVSYLPRENGADSPPGS SPSFEVFGVIGLMAVFRQNFLITITRREQVAQVKGFPVYVVTGVAITPCSSQAETEAS IAKTAQHLNNKDTGDKSLDDSDTLEDESEAIPRVSVSDDVDDIVHVERNPEAASVEEP ITSTVAEDVIRRRGSFGRFAQRWFSKKGWVLDQRRTMGLSRPPIEQEPVPAATRDSRS SEDSIEGTGTALLPKLLHTTRVLFGSSRSFFFSYDFDITRSWAECKAFEPEIPLYAQV APIHFWNNHLLLPFLSVGAESVALPIMQGFVGQKSFVMDCHPPQVDDSEPEAMEMSNL FLSGSAPTSPPDESVKEFIERRPTERDFLITLISRRSTKRAGLRYMRRGIDEDGYTAN SVETEQILSTPSWSSSPPLGTKIYSFMQVRGSIPVYFTQSPYSFKPVPVIQHSPDANY LAMKKHFTHLKQSFGSIQLVNLVEKHGPEAIVGDAYADQVDRLNGEVPESEKVPFEWF DFHAVCRGMKFENVSQLLERLKDTLHEFGSTVEADGKIVRKQGGVTRTNCMDCLDRTN VCQSMFAKYMLEAQLHEEGFDMSAQVDQNAWWFNNIWADNGDAVSNQYASTAAMKGDY TRTKKRDYRGTLNDLGLSITRFYNGLVNDYFSQLAIDFLLGNVTALVFSEFEADMVTK DPAVSVVKRREQAIELCQDRVIEDESEEFIGGWAVLAPAVSGVIQGQPMEESILLLTD AALYLCRFDWDLDRVSSFERVDLAHIVGIKFGTYITSTISTVHMDETKNVGCVISYEP GKYDFTRTNTRTLSNFEDKRKTRQSSSDFGNGSISGFASFFSGGKTRAGPVIRRIALK APYAQSSLAKDGDGLRQTEIQQVVTMCSEIERMAFKAQGKETNGDTSMIEKEDIISLE EARRSTGLLEQLGHSIKRMVWA
SAPIO_CDS10826	MSGNIDQLAVNTIRILAADATANSKSGHPGAPMGMAPIAHVLFD KFMKFNPKNPEWLNRDRFVLSNGHGCMLQYAILHLFGYAITMDDIKNFRKVGSITPGH PEAHDTPGIEVTTGPLGQGICNAVGLAMAQAHTAATFNKPGFNVVDNYTYCFMGDGCL MEGVSGEASSLAGHLQLGNLIAVWDDNHISIDGDTNCAFTEDVVKRYEAYGWHVVTVE DGNHDLAGMAAAIEKCKAVTDKPSLIQLKTTIGFGSTQEGTHGVHGSPLKADDIKQLK QKFGFDPEKSFVVPQEVYDYYAKHAAEGAAAEAEWNQLLAKYAEKYPAEHADLQRRLR GDLPEGWEKALPVYSTSDSAVASRKLSEIVLSKVEAILPELVGGSADLTGSNLTRWKG AVDFQPPSTGLGDYSGRYVRYGVREHGMGAIMNGLAAYGTIIPYGGTFLNFVSYAAGA VRLSALSHVRVIWVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSAA YKVAFAHKTCPSIIALSRQNLPQLQGSTIDNAARGGYVLQEVEGAQVTLVSTGSEVSI CVEAAKYLADNHGIKARIVSLPCFEIFDSQSKEYRLSVLPDGIPSLSVEVMSTMGWEK YTHEQFGLNRFGASGPYLDVYKASSSQHPFEFTPEGIAKRAVATVNFWKDVPVRSPVN RAFDQII
SAPIO_CDS10828	MPAPRRRRIGHRRRVEDEGDDDGGPDALDLDDDSLSDGSGLTED DDPADDSDTSNIEDDASPTVANPRKTAGNGTIKPAGRPASSNHHHPSKPITDAEMMLQ GLSIADPTHPVQEMHFDDVASSTSPNLSPDAPLIVSSASVSRPPQDTAGDRRRREHEE YKRRRDADPSFVPNRGAFFMHDHRHAGPAGNGFRPFARQSRVGNNRGGYGPPYVPYSQ MQQQHPADPTVSGQWTHDMHETVAEPARPRPPRRVHNAEGPPNGTGHIPVCPQNPTPI NRTLSTEKHIGNAQVRVFFPPMKEPIIVRGLQVKQYTKLPDHRPPLRRDKPVRISLPD HPPRYIFPAVDRSFIFIPRAMRPNQKPGVRGGKGPKSVLGSVGGWSRRTSVIGSYYGS TYSPSIARSRRSSIAPDGREYMFSPTASVVSRAAIPPDTMRPVVRLPPPANLPIPDVD AQHIPPMDRPVGESLISNFPPPQTHPLPQKPTFQENRPNAIPMHQPRPQKAVSVADIE SPTMSQHPQQQQPQPQQLPQPYQQAFHQQVPVQMVNGGPPDSHTRNPSFQSQHSIGTP LSQIPERAIHAAPFQPTAYPQQPFYNQPYPVMQPQPGYYYPPQPYNMPPQSAAMSTFV PGSQQPQPTGYPPQIPQESQAGQASIPGQGPIAREMNGMVYYYDPSQLQAVQAYQSYP DPQGYVPGAVGMGGMVNPNPEGYYPYPQGAPGMVYYPQ
SAPIO_CDS10829	MASSESSIPVPLVTIKVNYDGEPHRFKLPLREMGATTFEDKLRR SLRIPTDVACVIERYSDSAGSFVVLDRANVPVYKQLYRAAKAKSKLKIRVTTIEPPKA DEVEEDSPVDEPTPEAVETPVEQPAPVVEEKPIVESVQPMPTFENVPLRVRHNPPEEE DSTVDQTDRSVMTDPYLRSMAPPVCPYAGSTLEYRVCCNSCERTIPDAHYHCTKCDDD DFDLCLTCVESGITCYGPDHWLIKRFKKDGVFVSSTTEVLPPKPKAKPVPTVEKPAEQ PAQQVLVELVHAPQQQDPLRNIEPLVNLRACNCCMQERAERHFLHCTSCEDFDLCTSC FAKDVHGHHPKHSFVPAVADAYVPDHIAARLGPGRNQTHHAICDNCDKFIRGVRHKCL DCPDWDYCSECVGDAPSEHAGHRFAPIYEPLAEPPRFSYRTVHEGICCDGPLCEANSV ITYITGVRYKCAVCPDTDFCAACEAHPSNPHNKTHPLIKFRTAVRHALVSTTGVDRNG KEMPIMGDASIAPSSRFSSRPTSRREPTISSPRAIVSVEPSEPVAAKEEVVVEEKKQE VEPEKKIEETIPAQAVTEVKPAEPVAKRFGAVYVHDTVMDGTVLPPNHVFEQTWVLRN SGNVAWPAGCAVKFVGGDYMGHVDSNHPAGISELVSASESTICYEALKPGKEYPFTVL LRTPPREGKVISYWRLTTPNGVRFGHRLWCDVKVMAPMAIETKAPAVPPKEEITQPEA VLDSSQMVFPKLEKESPISSIHEEVKKEEEEDVLSTPQDADFDDLEGEVWDGSDEGFL TDEEYDILDASDEEYLEEAKKTPLKR
SAPIO_CDS10830	MMNGFKEKDHLHHLDEDNFGAKAGSIVSAFDAFPKAKPEYVKRT SGGGKWTIVMIVISSFLIFTELGRWWRGQEHHTFAVEKGIGRSMQINVDVVVRMPCRD LHVNVQDASGDTIFAGMLLKREDTAWSQWADAKGVHKLGADSNGHVKTGAGYDEEGFG EEHVHDIVAAGKKKARWQKTPKVKGTADSCRIFGSMDLNKVQGDFHITARGHGYRGVG EHLPHDKFDFSHVISELSFGPFYPSLVNPLDRTVNTASAHFHKFQYFLSVVPTVYTVG YKGTPSNTILTNQYAVTEQSKEVAEHVIPGIFFKYDIEPVLLTVQETRAGLFVFAIKI VNVLSGVVVACHWGFTLSDWLKDVLGRRRRSTLGVLGRKGEEEDE
SAPIO_CDS10831	MSSIVQETYDESFVCGCLNYQQPMNCRYCGGLPKLVFNCSHCEG RGYVFYPCPRCPPRDGRVCSPETSSSNGEDSRFGGGESGRPGAKGKKKKVKTQKRRG
SAPIO_CDS10832	MDFLKSAMASAMAKGPPFPYSFGDKVDIDESIWALHNGTKRNTI KFINKDASSIHGNLKVGSVYTSESGEWKLGGFEVLSGIKDDEAIIYTYGSVVPDSGRY APPELARNGWDTIKKNPHTAVDSFNFGTLIYEVFNGSYTGGDQAGQTTGIPPSMHASY KRLVNANPKARISVANFLELGRRHGAFFDIPLIKITNDLENLGVKSPEEREEVLAELA ELSEDFPEDFLKMKVLPELLKSVEFGGGGPKALEVVLKISANLSSDDFESRVTPVVVR LFGNPDRAIRVALLDGLPLIIERLAQKVVNDKIFPQLVTGFTDVAPVVREQTLKSVLT IIPKLSDRTINGELLKYLAKTANDEQPGIRTNTTICLGKMAKHLSNSTRAKVLIAAFT RSLRDPFVHARTASLMALAVTSEYFSDEDCANRILPSMCPLLIDKEKLVRDQVNKTID VYLQKIRKAASAMPDTVLPPPNTSDGSAPRMSTPQPAESASASWAGWAISSFTNKLSA AAGEIEANGAATPKPAASPGLAPSRPAGQSSASTLHRQAVKSPPAMSRTPSSAASHTA ALFLDDNNAEDDGADAWGDMGDDDGFFDAPEDERETFPKKSPPASSIAYDDGGEPDFA GWLAAQSQKKSGGKPLPKGLAKSKSPTSGALKSTSSATRITSSSKAAPAKKIDMKPKE TDMDGDAWGDDGW
SAPIO_CDS10834	MAPIDLARHSGHLTRGLVQRGLLRPSTLRASSYARRYSTSPGYG LPTPVYEKLYNKYTQVRRVLGAQRLTLAEKILYSHLDNPEETLLTNTDNGKSVRGKAN LRLNPDRVNMQDASAQMALLQFMSCNLAKPAIPASIHCDHLIVGSKGAEDDLSAGIQT NKEVFDFLESAAKKYGMDFWPPGAGIIHQTVLENYAIPGLMMLGTDSHSPNAGGLCTI TIGVGGADAVEALVGAPWELKAPKILGVNLTGKLNDWVSPKDVILNLAGQLTVRGGTG FIIEYFGPGVETLSCTGMATICNMGAEVGATTSIFPYTAASSRYLEATRRAQASKNAE ALQAFPGKDAPEDLYFKFRADAGAEYDQVININLSELEPYINGPFTPDLATPLSKFKE VIKEESWPEKLSAGLIGSCTNSSYEDMTRVESLLKDAAAAGLKPAADFYITPGSEQIR ATLERDGTLETFEKAGGIVLSNACGPCIGQWQRQDGVTKGTPNAILTSYNRNFRGRND GNPQTMNFLASPEIVTAMAFAGSTTFNPMTDSIKTPDGKDFRFSPPKGLEGPPSPFVA GEEKLLPLSQPANADVAIAIAPTSDRLALLEPFDAFPEGDLTGLRVLVKVTGKCTTDT ISAAGPWLKYKGHLPNISTNTLMTAVNAETGEVNAAYDLDGSKHTIPELGQLWKERGQ PWLVVAEHNYGEGSAREHAALQPRYLGARVVLVKSFARIHETNLKKQGVVPLTFENEA DYDLIGAGDEVSTVGLYEMLQNGGKGDVSLLVKKKDSGEEVTIRTKHAVSEDQAGFIL AGSALNLLSKQASSA
SAPIO_CDS10835	MSVILCTAGYDHTIRFWEALSGICSRTIQHPESQVNRLCISPDK RFLAAAGHHTVKLYDIKSTNPNAVLTFEGHTGNVTGVAFHCEGKWMVTSSEDGTVKIW ETRSGTIQRSYNHSSPVNDVVIHPNQGEIISCDRGGSVRIWDLAENSCSHDIIPEEDQ SVASVTVASDGSLLCAANNSGNVFIWKLAQSLERTNILPIAQFNAHKEYITRILLSPD VKKLATCSADHTAKIWEVRDIEPGQMDQLGPHPYPLEATLTGHQRWVWDCAFSADSAY LVTACSDHYARLWELSTQTIIRQYNGHHRGAVCVALNDYSEARDVEASSSTAAGEEGV KDEAEGDGENISKS
SAPIO_CDS10836	MSRVHAELFADLRYLRVYLKDARSSHGTMINDIKLDPVVQHPVK DGDLLTFGVAIRNGSDVVHPIKARIGVKYRKIKLTREHMQGTFVVPDEDSEYESEPTD LIGRAVEIMKRCGPESFIDLTEETTLHPVETQKQEEVSREEVGGDDLARKDDEAGGEH ETEEQQEGIERGEAGEHDESPEHETEERVEEDETVLPDGISEIRDHEEVAYEISAVEE TVQDLIVETADNDATDSVINGDATTEPITENLDLSSSSESSVVDADITLVDLDEVNEP SQDACPASPSTPNKKRKAEEMSTDEVEDVVALPPLLISDPSPVIPEPIVVIPLNNSQE EPRPIKRFHGAAGKVGYAALGTLVGGIAIFTTLVASGPTF
SAPIO_CDS10837	MGIDFKKVPPLPAPTETESTTPTELATDVSKPADNKSTYSLPED GTPVTIKTRGRSHNQKTLLIEYIENQKPGASGSESDRRPSVRVRVTTPSSRGKSGSAG GRIQITETDDAYTPTYSRRRPLSPLTSPSRPSELKDLADDQSIGSYASATEESNVSRN QPIEIGIDLGRNSRRRRPASPLIPTNETMASLMPSTNQSEISAIPSDSFLDGSGPTRS PEGRWMATPTSAEKLKSTKTRTVSREKVRISEKSSKDRSERKHKSSKSRTSSVSDKHG DSGKSPRRRSSRTHESVVSGADSSVVSSNFSPSHRSMDQHSMRSESSSKSINNPKLLQ AVEDAICRLILPQLDSLRREQSMREARRGSVVSAGTSISKEDLTGDRRRLSDRSRDSR HKDRRDREARHDFADASSQIYDRESLDGSSILEAEPHTPRRKSTDMLKAAAAAGAVGG LAGSALSYSPTSADDKKQRRRRRAESARSRSRASDTYTDEFDDDDQLAPAPPMPLMSE INPSDVTRTSILSADTDRPHSASEEIAPVRDVARGVASAQSTPAAPTKTPNALQQGLS TQHANISHGDLTALRNSRLESEDTYARESPSSRHLPLDEPPMPEVIEDDIPPDAHYVT SAYDYYNTQDVPHPLRYEPYQPERRGLSPIYSVSGYTEGGSEGPQRDSRGYAASATHS DSPEKSSYHEPSIGHSPAHSPAIGRDLDQRSDGRSSVADYRRTMSTDGSDLDPSSRQA VRGLGANPNIVQVPVGLESHVASLVDGSMIDQSVLSQDYGQRDSTLSYDDRPRSYVDT YSASPTKRSVDSRHYTEDDRALTPVSHGYTNRSHEFSEEYDIDKHGRKVLQTQAQAQT QNRQSPTESEAAITAGAALKAIKALKANQGKQGLAEDSAGDGFVPAGVQRNKSFKERT LAGHEPATTPTHSVDRLDYEDEPKMGASGLPDLDNPMPEIGYVDDSDTQTNASVVQER LDGIHDTTWSGRSTPKAMIDNSRKAETRRQHDGLGITEIAPTANQSGQPSNEHVEEPP RTSDERKRDTLGTNPFEGISPVANPHLGENLFRSGNYDLGFHTGSPGGGQIEDEGYKS QGPNRTPDFQANKGVLDVPKPAEHGDAEDPFYDAPKSSLPTRHFSGMSHGMTTPFYDA ATGGGIDRIESKDIIALMQELVARDAQRTARDTEIAATVMFLAREMRMSFQNVEDRIK QTENIIVDELDQTEKTIVKTIGGPRPYPGSAGRSVGGSQAGEDLATKKGNIIRRALKG LRTSGANDLTRIEDMLLTLLKDVDDLKAQTAQQARSTARPSFDNLQPDIQYEQDQGYE PEGRDAASMASQASQSGHLAAPRGGPQRRLSENRVSTVPEAVEEGGYHREEEDELLTG PQFTDSHLLTPGQQNQRGSSVPLDTPPQPAHPAHSGSVSNENTPRTDKAKKHKSGSSS SWIPKISRWSETTASTVSKAFRGSGTLRKDKDAEYVQTAHSRSGSDLGAYADDYPLTD PYGDDHLHTGFSDVNLAPPHHPIEGPSGDPPRTYMTPEDPKYKAHRNSLNLQHPQPRP GQTERFKAALESQAYIYDSTMTPRSHDWRGSTSSLHRSPAQHVHRYSDASAPVGGEYW PDSPTDANVGPPRPPKEPLDSGSGTPVRGARFSKLQKTSPLPYHSVESGYGTATATHT NYTGSPKLENRNLSGALGVPTRRPSGPRAMTPKSPEEEAAREERRRKRDTFGTIASQD TDTF
SAPIO_CDS10838	MAETLHNAPIVLDNGSGTIRAGFAGEDVPKCCFPSWVGRPKHTR VLAGALEGELFIGEKAASEYRGLLKIRYPLEHGIVTDWDDMEKIWEYVYTEGLKSLSE EHPILLTEPPLNPRSNRDTAAQILFETFNVPALYTSIQAVLSLYASGRTTGIVLDAGD GVSHSVPVYEGFAMPSSIRRIDVAGRDVTEYLQTLLRKSGYVFHTSAEKEVVRHIKET ACYISRDPKREEKEWVGGKHDSGKMFEYTLPDGNKLQLGPERFRAPEILFDPEIIGLE YPGVHQIVIDAIGRTDMDLRKSLYSNIVLSGGSTLTRGFGDRLLSEMQRLAVKDMRIK IFAPPERKYSTWIGGSILAQLSTFRKMWVSIDDWHENPDIIHTRFT
SAPIO_CDS10839	MSAIASRAIIRAATRRQVSTLRAAARSMESHPFEKLPATQKVAS GAYGKMAKSAATRLAFFFPGIALLLGWPYLSKVGLDGHVQ
SAPIO_CDS10840	MPLVDPVTISSPGRQTTKKPAPSATPLRPVILFGSPIARTTDGV QLLLVAVLLRLQFSKLVEEPVPTLQNGLLVFGALQALWAVLCCPPAGHHQKKRAANPV TTAALSLVLTALIVPLLHIIFVLFGAPFLTHQTETLLCSATLAILSVFPVFYAHGVDA NAWKSICGFSAPLDEIVGGFWGGIIGAWLGAVPIPLDWDREWQKWPVTIVCGLLAGYV VGRLVGGFATLEAKKGEGSRAKKE
SAPIO_CDS10841	MTPRMRNFKLKSSFYPPPPNPPKAIRAEATYMGRDPLIGLVGKP SAGKSTTLNSLTDASSKVGNFPFTTIDPQRAIGYLQIPCACARYGLEDKCKPNYGACV EGRRSVPIELLDVAGLVPGAHEGRGLGNKFLDDLRHADALIHVVDASGTVDAEGKETR GYDPSVDIAWLRSEIVAWIKGNLMDKWNSIKRRHVAIKATAAETLQAQFSGYGATTTI VNRALDKTGIKEPLEHWSEETIDLVVNAFTDEKFPTVLALNKIDHPDSDKNIVKIAKM YPHVVLCSAISEVFLRKMAKQGYIKYTEGGEFVDTREDLIEAGDPEGGGLKELDDKNR NRIENLKDMVLYRFGSTGVVQVLTKAAEVLGLVPVFPVRNTTTFGASDSKAVFRDCVL VKKNSTVGDAARKVMGDAPIAYIEGAGGIRVAEDDMIAVGKNDILSFKIGRA
SAPIO_CDS10842	MSTAKLSITSLPVWATFNNVKFNDVRVGAIDGKGQGLIAEADIS SLEEEASEPRVLVKVPHAVTLCEDVIVAYSKTDKRFYELLELLGPQSTRIKALIFLLA QKVHSELRIFDSGVSTPWTEYVKYLPDDVFVPTMWHDHERALLRGTSLESAVEAKFTE LTREFDTFQEKSGELPEWADLWARRTLTLRDWFLADAWYRSRCLELPRSGDAMVPIID MANHSPRPTAIYEESVNYEVTLQLRQGVALAAGEEVTITYGEGKSAAEILFSYGFIDV EGAKRQLVLPLTPFEDDPLTKAKLHSFKKAPMVDISLEDGKISWKSPFAYYMCLNEED GLEFRVLQDLEGGQQLKVFWQEDDVTDRVDTFDVLIDGHPLSQIFRLRVVTVIEELVG SHMGRMESVTSPEEQELLRSIHGEPRSDCLTMAKTLRDIEFGILEAALQSLQEQKAVL IADDSVAAYLGSIEDNQNDQVPPATSNEEVDFS
SAPIO_CDS10843	MESSLDTREYEYVPSSHHLASPREPGFMMASDVLGKGNGMNMVR KSRFMSHPFNDMLTGTTLHGLRKPTRSRDQVSRPRDIPSLADITTINLHDPPAKRSGK LPAAQKQ
SAPIO_CDS10844	MPGILPMKVIKCANVGFECRTSDKLSRRAFPRGYTESLEERVRQ LEAEVRELKDLLDEKDEKIDMLSRLYGRRPAASSHAHSPVSERHGSPAPQAKEDTFRI QASPLLLGVENSDSYFMGGSSGRSFIESVKRKVQESGKSCPEFNPEAFLHIQGCYPLS SSPADQSLRIPPRLFTDRCVNVYFQEWAPLFPVLHKPTFLRIYEEFVADPEKIKNNHK LAQIYLVFSIASLAGEFPDTAQIAACEAEWQKALDSILMDNTMITLQCLILALMYCTV RADYKRLQLYKGIAVGLSHRLGLHQSQKRFSFGALTIETRKKVFWTLYTVDCFSAAIL GLPKLLKEDDIHAEYPVDTDDEYVTEKGFQPTLPGESTRLSSALALFRGTRILAKVLE KVYPASATHEISIQQLSALDAELTQWYDDLPPHLRLNFAQDKPSTDVTGSRSPLLALA YYYMRTLVYRPAVGTSLGQKAAPALMTISDCSKRIIQIVELLEERNMTCSFCLNKTDI LVICGMTLLYQTLELKQDSKLSKDGQRLVNTVIKMLIKAQAPGCLDFKRISSMVIPVD ESAPPTPPQSSPSASAGHNQCRSPSINGNRRSATPQEQNAHAAHARQSIGRLLGASAS ETDLLQQQAKLRRMTMPTAAGPRPEMLRPQSRRSVDNPQSSPAFHGESRHAMSQSPQA SPSQQSLDYLSLSSSTPTQGQAPASIQMHARRHNLPPHLQQRLSQMYPGGQVDAKTVG FSASQWEALLGSLEGGQSNVYDAIYGGPAVAALDGAAPPVGQTANTTTTVGAGWLEDP WELNTFNIGEFDGSVATQSVLSMSDESLSPGEEMTPTDLGLSVGSLDLQGSMIPNQCG NGERFMNGMGNHEFIL
SAPIO_CDS10845	MVPRETRPLLAAENDPDLPEPYWARQWEMTKVDDPVTAPQCIAH RGYKAKYPENSMAAFRGAVAAGAHAIESDLHLTRDGVVVLSHDGTLKRCFGVDKKIGD CDWDDISSIRTLRAPHEPMPKLSELLDFLTEDGHESVWLLLDIKLDDDCEDLMRRTAE TIAACHHRSARPWNERIIFGCWNQAYIAKARIHLPTFPLTHIGFSLPYANRLLPAHPN LSFNILQIVLAGPLGPSFLLSARSSRRPVFAWTVNHERWMEWAVLARVDGLITDDPGL YLEVCARWLAEETEARRRRAAVAAAGGGRRSKSAVRFGKRRGVVSDFLSLMTLLSLNV LAAGLAFWFTVIGRYDRVTTKPNRQKTR
SAPIO_CDS10846	MADIDDELLALAGSDEDESGDESREASLSPEPADRSGSERGSGR RTSGSRGAKRARRRDDSDDEDGGDVEEGEASSAPSSPSSLKSAPMDESDSDAEPQRDD GANDDLEEKYPVEGLYVSLAEKNEISKMREVERETILAERREENQRFKQNRMLRQLVS AAEEKEKEQQRRKRSADDADLEDQRKPTRQRTRIDGTRVGETSAGIDTLRRARAEKHD RIRRREEDRERQREKPTPTRSARDRDYGDYNDEEDEREDRRRSLERETRNVEPQLIDF ERLRISRSNFAEVCFKPGFEKALTDCYVRVLGKPQGNQQQYHMVCIKGFTTGRAYAMQ DVSGRKQFVTDQHVLAGIGSKTEPYPFLAMSSGKFTEDELARYTKVMRDRGLTFPKKS TLDKKLDELRELVSYRLTNDEITAMVDKKNLLRKKYDPEMREKLVRALEEAKAANNTI LASQLQADLESLGKPAGLAFRTSLTPQNNGRSSEQQDRLAKLNADIRRQNNAEVRKAQ LQERQRVREIEQKLARGEEVQADMSRRLRTRAKFVHDFEETLPKSGSKPNSGASTPSK GATQKQILPQIAKLQEQKYAENKGIPTVHKPLMDDDIIASLDLDIDVEIE
SAPIO_CDS10847	MVAPRVLVRPRAILPLREQLTLTSSRCTRFLSTSPLHLANPVDG TPKDAPRRKPLTKQQRDFLSSALRVNQSGELAATRIYTAQTPPIVSRHPHLRPLMKHM YDQEEGHLDTFNELIAKHRVRPSALYPLWQVMSTGLGWSTAIMGREAAMACTEAVETE IGDHYNNQIRTLLEMITQWEREGYEVGEEFTSLISTLRRIRDEELEHLDHAVQHDARK AEPHWLLTGVIRLGCRGAIWVSERV
SAPIO_CDS10848	MPATTQNASLDESPSPLTNPGAAPPVWPVTELPPTASAVLPPLS TPNNSVPPRKPLPGNGTVSSEVKTSTTPAQDMSPILEAPSPTQLPTASGELEANGQEN GNDALASVPQGRRSVQFARRDGVPESASHSRQPSWEDPDGGNRSKPSSFISKLKALAV TGALQTQKSPSVDPQMASQSLPSSPIGGRYPSRNGHLQNEGGGDSDADADAEETADEA AAEEVRKAMKEKKQRAKKMRRPRMPDFASTPNTPIHKSSADAANVGRRFISRRASLSG PSDMQHHRELSDGEGRDDAARDLWRRGTSWISGPNRTNTGEEADDVDSPTGKRMGHFR RMTYFGGGTGGGGMSDGDNTTPRRTFFSTDRASSFAVPRWPAVVKNTIKLWRTKKDDR FDYTKSAELMAELRAGAPAAVMLASMIQRDEHGNKRIPVLLEQLKVRVSDSTAAPESD KPDKTDSDRHWVFTLDLEYGSGPSRMKWQVIRTLRDIYELHLRYKFAFAKDIQRSLPG GAGSADYGSRRKQPKFPLSTFPYLRGVRGLADADESDEEGVTSQRGEETADEGTAGEG TASEMDVRGGRRRSRIMGPGHRRLRSTFTDQGDLSAAEGSVTGQTAAQAAFVIKQRHI ERQRRLLEKYLQDMIRWLMFRADSNRLCRFLELSALGVRLAAEGSFHGKECYLHIQSS KGMDFRRVLTPKKVIARHSRKWFLVRESYIVCVESPENMIIYDVYLVDPKFTIISKKS TLKQIGEKMMDTADPSAAEEKPQEKREAKHPKHHTLTIQTSERKVKLFARKEVVMTQF EESVRTMLKNTRWHEKNRFDSFAPVRTGVFAEWLVDGRDYMWNVSRAINMARNVIYIH DWWLSPELYLRRPAAISQKWRLDRLLQRKAREGVKIFVIIYRNVESAIPIDSEYTKIS LHNLHPNIFVQRSPNQFKKNQLFFAHHEKLCIVDHDIVFLGGIDLCFGRWDCPQHPLE DDKPTGFEDGGFPADPANCQVFLGKDYSNPRVHDFFRLHQPYDDMYDRKVTPRMPWHD VGMQLAGQPARDLTRHFVQRWNYLRRGRKPTRALPFLLPPPDSRPGEYKDMGLSGTCE VQILRSAAAWSLGTYDTEVSIQNAYVKMIEDSEHFVYIENQFFVTSTQAYNTKVVNRI GDALVDRIIRAYQNQDDWKCFILIPLMPGFESTVDEQPGMSVRLIVQFQYRSICRGES SIFERLRAVGIDPEDYIQFYSLRKWGKLHNDILVTEQLYIHAKIIIADDRVALIGSAN INERSMLGNRDSEVAAIIRDTDMIWSTMGGKPYQVSRFAHTLRMRLMREHLGLDVDEI EAEERQIVLDREAEMSQQAQFEQDMDKIYGETKSPEPSEEHLAPKRPPLMHVQSFNRD AEPPTDEDDRASIRSKGKQVEFDPRITGNVDHEREVAGFGEDRWKTAAKLGVDQGRDS IVVDGREVLLHNISPEGKGTLDSPKKFSHHHHHNSRPLSSSRQSDIASSIDPGDRLPP VPALNRRTTEQLGLPRASQLPTLPALDDTDIGGPPAIPELDGRDEATHPLAADIKLAY IDKNCMRDPLNPSFFEDVWNRTAENNTRLYREVFRCMPDSEVKTWGEYRDFTKYANKF HMSQMGLNKIPKPQSKGGEHGPPATEQVSEKVISSGLDSNPAIVMPTEDETELDEKKA SAAENGGEQASKNRPTPGLDLEKIVTAYSQDQPSPVLPPGDIPFPALEQQPTHTTRFL DPLKEKRRTTFLPSEKPASRDTSGSVHGNVGSMRRRRRGTTKTSRRGLVFEDVLSRDE AEELLGLTRGNLVQFPYDWLVTEESNANWGYHLDGMAPISIYT
SAPIO_CDS10849	MPREIITVQAGQCGNSIGSQFWQQLCQEHGINQDGNLEDFATEG GDRKDVFYYQSDDTRYIPRAILIDLEPRVLNGIQTGPYKNIYNPENFYVGKDGVGAAN NWGDGYQTGESVYEDIMEMIDREADGSDSLEGFMMLHSIAGGTGSGLGSFLLERLNDR FPKKIVQTYSVFPDTTNAGDVVVHPYNSVLTLRRLTQNADSVVVLDNGALSHIAADRL HVQEPSFAQTNQLVSTVMSASTTTLRYPGYMHNDLVSILASLIPTPRCHFLMTAYTPF TGDQVEQAKTVRKTTVLDVMRRLLQPKNRMVSTVPGKKSCYISILNVIQGEVDPTDVH KSLLRIRERRLATFIPWGPASIQVALTRRSPYIPMSHRVSGLMLANHTSIATLFRRIV KQYDGMRKRNAFMEGYKKTAPFAENLNEFDEAREVVTDLIAEYESAENADYLNPETGD KATSAETDKRMA
SAPIO_CDS10850	MEGPAAAEVYDTNRFSQLYHASRRPSPEHLGLAADFLFSLFDRH SILFAFLGGWAVYLRGGSRRTEDVDVSVDTDMDGLTAILMPEERICVPKTHGNTCIQV FVHTGGRWDDEFSSIPELPVSVDIIICGNLGIPSNLSEATEMITPTVSVDLGLHTGQA VPVIDLYHQFSTKLHVHYERRNNGQTDDYVDLEFLAENYSPEIWRLHPYLNRDHRLAF WQDFAIRHHDEYDRVEHMEMILGLSS
SAPIO_CDS10851	MGADKSVLDAMRAELGSDRLLELPLEHKTSRSEYPSRAIRSVPV VRDSHIMSLSNKFKSAIAGGEFNDSDAEAVRGLDDLGGPRVYAGNARAARPLVAAPPP RTSALGPNAPTAGESSSRSGPPSRQVPARAPMAQTQAHIQARPQAQPQTRVTQPGAKQ STPVAASVTPRSGVAQTSAHKGLAPVRTGLDQAAPHLRAPSAKQLQAVQPQAVRSVAQ AVVATPSAMKKPTQGLASSRWATEDVAQESTAASGVASPAAGTNLATKVRDASKESDE VKTTASAPSEAGRSTPLVAKFAALNLDECTAKLSSAENTDSKQGHGTLPVIPKTNTKA PHKALSPVPSKAVGDKEHLSKPKKVKEGQAGIAFQMSGHLVGRDMKRQTCEVVLKIRG VRGARFEVELEGKVAASHNVLNLLISGQTDNICTLKFRTEDNLVAPYTLDFETSARCT QFVFSLKNLEIATKLQLENELKRNEPVVPTPVLTPAVTPALTPTPTPALIPALTPAIA PAVPTNAVEPVKPESAGNGSKRVTYTAEELHGLKPKAVEGLDAIREKTSQLRAHPRSA AARFSGSVAANTSPAPPRTPVRKVEQTGANDDEVEPSDEASRMRKKMAEQLEWLSHGS ARKLGL
SAPIO_CDS10852	MEDQVSRLVEKAWNKLQNTPDNQRLLIGISGIPGSGKTTLAHLV TTRLNTKSPTTAISLPMDGYHYTRAHLSSMPDPQTAHARRGAAFTFDAPAFLALIRSL RGPLTPDSKPIYAPSFDHAVKDPKEDDIEILPSHRVVVVEGNYLALDEDVWRDAAALF DELWFVEVDFEVAKRRLVRRHVEAGIAKDEEAAERRAVENDLVNGKQIVEKRLKVDEV VVSRMDEGWVHS
SAPIO_CDS10853	MSGKQKTHPLNGVHTAGIFSDMTVDGPEIGTLVVIVDRAKNLPN RKTIGKQDPYCAARLGKEAKKTKTDIRGGQTPRWDQELRFTVHDSPDYYQLKVSVFHD DKKTDLIGEGWIDLKEIIVPGGNQNDLWHNLSCKGKYAGEIRVELTYYDTRPKPEKPV AKPKPIQTDQAVNGASQPQRSPIKRRPLPSDPVTGEDPHPKEVAPAPAPAPAPAPEPL RPLPRKQPSAYIPTQSPLQAVEYGTPPPQPQPPRQYPPENYAPSQAAAPPPPQSQHPR QYPPENYAPESYVPSQASAPAPPPQSQHPRQYPPENYAPSHAPASSPSLPPQPQLTRQ YPPENYVPTSQASAPGPSPPPPHQSQPPRQYPPENYAPSSPVPPVPQPLTPRQYPPEN YEPSSQPSVPGPPFPKPLTPRQYPPEDYAPTGQGPPPAAPAHGPAHLIQYETPPPPPR RHTDRYDNSPHQLDERNYSPSYSAQHFDRHDTHNQFSPAPEHYTPPPPPHMDEQRFEL PPDDERPPPPPVHRSRTSSAHELVVRGAFDTSPQKGTPPMRHDVLRNEAHRNSVSRPV YQAYDGGSASPHQVNSSGYEPSPPRHQRYDSAAHDGYQRGMQPKVEDAPDSPTPARYH AYRQSDAHSSSHSFDDTRYEDNPTPAPLNLSGRGSAASGQYSPSHVSSQPSSQPSSFE QPNGYAPASSTVSASPSEHITPYRPPVHDSGDRTSDYHQPPRTSPAVDPHNGYRQPSQ SSHHADFDNRHRSPSYSESFDPNLQDDPNLSTALVPRKAFLASGQYNMQGHNELADTQ IVKANTFAALPAVPPSLVPGVDPALAREVSDRIYEERRLERQQKAQSVATPPQVLRGR AESYVTNAGSPAAYGSPAYDARSNASYSHVQQQQTPTRSRGISRSPGPSPQPSPRPSP NPNHTIRRKSVSPVPPPAENRRRSGIPFGPDSYDAFNPNFSNKDSGGPRDEYFDADGS KIITHDGREIDPSDHLPMETWAPEPEPREKRSGSFGTQGYDSLRGSQPPPPQSGRRQL RIAGRPQSMAVVPAGYMDDQPRTPPAPGSAGRNRLQKKSSRLPALPSSTSPAGSSPLA PISSHNYNADSSGFTPPRPTRASTWDNYPSENDAPQYGSSPGRGMGGSGPVIPPKIPI PTMSGALVTTGRNNGGGYGDGDWALMEEMKSIDIGSGRSRRRYAGY
SAPIO_CDS10854	MSSLNPDSPPLLNYILGFLLVGLAWGLTTPFIRRAARTHTPPPH PILESPDLGPVARKVYGAWFAVVDLLRNPRYVVPLALNLTGSLWFFLLIGQAELSLTV PIVNTLAFLFTVLGDWWVEGKAISRDTGIGMLLSLAGIALCVQSKTQ
SAPIO_CDS10855	MDGLNEYRQIRVADVLADFRTLQYYIASAPVDPDNMEDYYTEGW AALRQCAIDGQHILNCAADTSVPNVGGGPEEQEKAELQQVLLDAFARRHEGQKIYLRQ EAAQRWVDRRARILNGSRPHSGNRSALRACDQQLRAELATITDQNVYADLQDSDIAMD RWTAEDPSLRSVLRWLATRRTA
SAPIO_CDS10856	MSNTASDRHITIFSEQGRLYQVEYAFKAITAANIMSVGLKGKDC AVVLSQKKVPDKLIDPSSVSHIFQISPSVGCVMTGSIADARPLAQRAQSEAAEFKYKY GYEMPADALAKRLANISQVYTQRAMMRPYGVATTLIALDSEYGPQLFKCDPAGYYIGY KGVAAGPKQQEALNHLEKKLRNKDSAPGSWEDVVELAITTLSTVLSMDFKKTEIEIGI VGGPRADGKEGTEPGFRTLTEEEIDERLQAIAEKD
SAPIO_CDS10859	MDKAKLIELLEASQIPDTQSVKAVTAELQKNYFSQPQSLLLLTE VAITHDNGGIRQLAAVQAVRISEKHWPKIPEEQKALARQHILEGILKEPSAGARRSLS RLIANVVTLDLEDGQGQDVIREIIALNTKDDVRSREIGSYLVYCLLDTDPVRFTDHIT QLFQLFSQTINDAQSKDVRVNTIRSIGALLIVIEPEEDEGSVNAIQNLFPAMVVVLKD AIESENSDHYGDIFEVLQSFLAYDPALLNKHLKELVEFMMEIASNTQVEEDARCQAIA FLSQCVHARRMKLQGMKDIGAKLMTTSLKIVTEVDDELLDDDMDEMTPVRAALSLIDQ LSTDLPPRQVINPLLDDFPKLAAHENAGFRKAAILALGTAAEGSPDFISTQLARLSPI VVALLNDADEGVRHAALIGLIQLADEMAEEFDPQLAEIFEALLKNLQASTQENNKKNV SIIRAVCGALNALGVGTQDKDITKTYGQKLLSPLGQLLSHEDLSVKAAAAGAIGAIAA SLGENFAPYFKDVLTALAPYVNLKEGEEALALRSAVCDSMGSIAHAVGSELFQPYVLD LMKASEEALSIDNARLKETSFLLWGELSKLYGDQFKQFLPGVFKGLLDTLELEEEEIS LDGIVEGASEGDVLVVGGKKIRIKHGEDESDDDNIVNMDDDADWDDLDDFTGATAIAL QQEVAIEVLGDVISESCSLEEIKTYLEPTLEKLMPLADHSFEGCRKSALSTLWRAYAR VWKLLEKQPWKPGFPKDNPMPDPALAHIAQLVCKATLSGWADESERSVVTAINQYSAE TLKTTGPAILAVEDLESQMVTVLASLLTRSHPCQQDFGDEEDHNVEGGTSELDWLVID TAMDVVIGMAEAMGPAFVKHWEVFQKPIIKFASSQEDLERSTATGTIAEVIRYLGGAV TPYTESLAKILLHRLSDNDPLTKSNAAYAIGQLILNSENNNVLGLYEEVVTKLEPALA ITDSRMQDNICGCFSRMMMRNPEPPIVAKLLPEVVNVLPLKEDYEENAPIFQCIFKLY DVSNPTVQELTPRLVPIFKAVLGEPEIQLDDETREMVKKIAQLLHQARPELFQ
SAPIO_CDS10860	MSISDRATMDSSDWETICSSNGTDGSISNQTPGSNGEAFATDND VKADTNPSRQSKWLPLPTDPDLRQETLLETDFTALLLKPAENCLDRPTIEYLSLTSMD EARQWFDEATAAAEVPQPDGRGNLQVLSFHRPDFNNSGTGVFKEMCVRGGPVRETTER YTGFAGLSRYRWPPQTTATDEAKREGSSNDDARPDPDPHPLQRLVYDIEIETWLPFGT LSYFPQSKTTVLAMMIDSSVPSGSVKLLEYMATAVEDDHDRAFRDPLMFQHPLSGLLI VISMAVNDVMNDTPHIIDHILAEAGFHQYSNLTRRDARLIPSRDLSILTSDAVAYSVD LAQSDGTLMGLSRMLRYLRDENEELNRLGYFDSPELQRVRHLIDEWSRYIEYRSDSLS QYTKAWQHQANALIQGLQNVITQKNQDSTLAIAHESRRIAEASWKDTTSVTAITLITM LFLPATFMATLFSAKFFEDSVYNGDANRQATTYLATTFPLTAVVIFLWYAWVWFRRRR ANVVLGRRQRLDMEDNEDLESKSEREGSEGWSSSGDLGSQSRRSEDLELQSRGEDLKR SARKRMMGKMNKFLSSR
SAPIO_CDS10861	MTRVGVYVGLLVFSIAAAIMTITSIILPRWVYYSVTTPKGDHIL QTIGLHQSCSNLRDPPCQTFPTEEQCDPSASDNARFCHLWRSAGFLANLAVALHLVMV VVYIFLIGGGKQRREFGWKVLAGFLTCVAVIEYVIIGIVSYAYDNDEQFLIPGWSLDT SWLLCIISASVSIACAAALTASHYLLPPEGGYDFLDDPVATD
SAPIO_CDS10863	MTEEITHHTIKDGWFREISEMWPGQAMILRVKKVLHHEKSKYQD VLIFESTDYGRVLVLDDVIQATERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVL REVVKHECVEEAILCDIDEAVVRLSKQYLPGMSVGFDHPKSKVHIGDGFKFLEEYKNA FDVIITDSSDPEGPAESLFQKPYFQLLHDALRPGGVITTQGSENQWLHLPLIAKLKKD CKEVFPVAEYAYTSIPTYPSGQIGFMVCCKDPERNLRVPLRKWTPEEEMKLCRYYSAE MHEASFVLPNFAKKALDV
SAPIO_CDS10864	MCFGSRKKDDGGISRSRELDRIIRQDEKRMAKEVKLLLLGAGES GKSTVLKQMKLIYAQGFSKSEKLEWKPVVFNNVVQAFQVILEAMNEMDIHFENPENEK NMAHVLVEQEIHPNEPFPQDFLEPIKALWQDEGVRKAIDKGNEYALHDNLSYFCDDID RLWAQDYIPTDQDLLRSRLRTTGITETVFDLGQLTYRMFDVGGQRSERKKWIHCFENV NCLLFLVAISGYDQCLVEDKDGNQMNEALMLWESIANSHWFAKSALILFLNKMDLFKE KLSKSPITDHGFTDYHGPKDDWKAASKYFLEKFRALNRNSEKEIYGHFTNATDTNLLK ITMGSVQDMIIQRNLKQLIL
SAPIO_CDS10865	MLYEGEDKYHYALSSNQAWAGYKAHQNPAFFKNQAVGETPSILW IGCSDSRIPETTILGLQPGDVFVHRNFANIISPTDINTSASLEFAVAHLKVKHIILCG HSSCPASSAALSSASRVGGVLDTWLTPLKAIASSHTEELAAIRDDHSRTVRVAELNVE AGVATLMASWVVRDAIRERGLQVHGCIFDVATGRLRDLGLGTDGIHRGSVNGEEVVRG KHAMLVFRDGGANMTVR
SAPIO_CDS10866	MLACRTSPRRLSAASWRAGFRTSAASPASKRATPPRPIPKPKPQ VSKPPKPTPKPRPYPIPSYSPSPSPPPPPPPPTNKKLITPSLAGSLALAVVFGYFVSV SVIPRSPTQDGDEPLVDPLTSGGGDRAGTCCAVPTGRPTSLAGISAAQFDRELDYEES KTGVTKLREKLAGRAVGHVLEVAVGTGRNFNLYNWESLAGASTAKNESTPAKGGKKKD ASLPEAEGEMFSFTGLDIAKDMLDVASTKLTKNILPALPSTTPLSTPPTVSVLRNDAD EVTAGAISFLPNDAIRLVKTNALSYLPPPPGPPSTQKYDTVIQTFGLCSVSDPRAVLS NLASVLKPETGRIILLEHGRGTWGVVNWWLDKHAPEHFRKYGCWWNRDLEGIVREAAG GKSVISGGGKKGAVPGLEVVKIERPGYQGGTVLWIELKVVE
SAPIO_CDS10867	MPYNTRRKSLSLPSLGIHVPMTHAARAAAAAASRASSASTSTST STSSTPTPTPTASSGTSNASRPESSPSPSEYPQTKRLKRSHNDDSPSSASAHPATRTK YEHTPPPSPAPTPSLSPSPSRDAAPAEGARRTTKAAKKESSEAINDEIVEAVIAQLQA TGNRPHLVKELAAVLAQRLIVVQHSANPCAIISSRLASFLKRTCWTESSPCPLAKELE AVHPRRTYFFLTTSPRQPFPTQPLLFTLPKPVTTSPSISDDELELELTLDRIDDSDDD VIIDDTEIISDTIARRAISPSPEVDLSSPEFFDDMDEEDFASSHPASLGSSRASLIID RERRSRGTSPPLERDEREFTQTANGLQKRKMAKETITILDDVNDDSTLGLDSETSKFD SLFYDYRFNSSSFASMAMVVSPTMKASPFAGGLKKDGDENWVKIGRLLDWDQTPETME LEELDGLLDSY
SAPIO_CDS10868	MVPPPRTGSFSPNPVQTHQSGPGHPPHASQSAILSAAASPSTSS PTGSSSLTKIAITQVSLLLSTIKDESDPKYGAQVTQLRKLIDDHGMEVYSRFLTRLVA GNASQIFPGLNRQVTNQGNHQVLVGEVRKISHDPDQASKIAESIESGTEDIFRDFDLS TFMEHFKLDALEKTLLASAFKLGSRPDLKTKADAILSTNFPTFTYILSRPDLPEHSDL SPAFVAKIVDRFVQYPPPNFLSSAKSELTSKVKARYFHQDQPPPSEVLAALDLIRLLA DGPSNALVHYIQRTGSDFTRDEETCVGFLQNRPANVQLSEEQVSAGLMYTVISQTPKF KPSVLTAALRRVLPSSFRWFRVVSGFDKDSARISPEQFLSLYKALLPLAQDEATQFDI QTLWGGNWENAEAQLSFVCAFASLTPDQLDASTIPGLVPTISLDSYADSSASVKERVS IAVKHPLVSVAALSAVFHVALHSVQASQSIEAKRLFQDVVVPNLDIFVVSAFGVPKPW PGMAVDTLNSLFENFLYKRSPEYEFVLESLWKRDKDWVTQRLVDTHAAKPTDLPLIFE HAVRHGWLEVLSSLPNGFGLDLASFAHAEGCLDFTDWARRNADHSAEMAPCLMQFLMI KATLESQFQRPETLPAIKTTTTLQVRTVSALLQILEDFMPRSPVQELIIVQRQCITAY PRLINYGEGYDDVIDANGKDGNSLPPAANIKMEEHYKKMYGNEIEVRDIVEVLDRYKH SRDPLEQDIFACMIHGLFDEYTHYIGYPLEALATTAVLFGGIISHKLISDLPLKVGLG MILESVRDHMPDEAMYKFGLQALIQLLPRFHEWPGFCKQLLLIPALQGTDAWLRAEEV IREQDDISRTRSGQGGGGGGGVHLAGYSDEVMANGLPKDGLGSEQQAPPFTAISVDPP PTDVEFEEPSGDDQGKIQFVLNNITETTLQSMCNELRELLERRHQQWFASHLVEERAK MQPNYHRVYVELVNLLEDRALWAEVLRETYSSVSRMLNAESTMQNSTERTHLKNLGGW LGLLTLARNKPIKHRNIAFKKLLLEAHDTKRLVVVIPFVCKVLIQGSLSTVFRPPNPW LMDIIHLLIELYHHAELKLNLKFEIEVLCKGLNLDHKSIEPSGEILNRAILEESAEAA AQETLDAFDGLTLNGITPAVAGGLGSQAITPVIPDIGPMINIPPTNEMVVNATRLHEI VRTALSRALAEIIQPVVDRSVTIAAISTQQMIHKDFAIEPDENRVRACAINMVKATAG SLALVTSKEPLRANFTNYMRNLSNDLPQGLPEGTIMMCVNLNLELGCSIIEKQAEERA VPEIEEIIQDELESRRRHRAQKPMEPYAGPSLNRWASALPAPYRLVEGMSGLTPEQMA IYEDFARHPRSSTASAPTHTPSAADAATRSLANEVLQDQFGGLPGIPAPSETPSVQQH MAAHVQPYAAVQAASATNGRPPATPVDLSLMAGRFLKLLLELQRVATEAREKHFHSLP RPHPVLDLVDALVQIIIKTSQGSEEMAMYAAEQISQLLFSHIEDSLTLESLAHVLETL RKISGPAVSSRIRILFRQQPGHFFLHLPLLNALSGTDLMDWKNIDTAMSKALQQRKEG SLEFLETLLDLALLNDRPLALHADFVRTLEAAWPWVLEDSNSPVGQHVKAKLVGGGLA RPPAPLNAESPLAQRQDQMEYVFEEWIHLCNNANATEKSMMVFLQQIQAKNIIGDRDD FFLFIRLAIDVSIDRFEQLIHTGGVSEAFVPIDSLARLLGVFVRAHPGVTAASNENRP AYLESILALVTLVLHHHHMKRGEHFNQRAFFRLLSMILHEIDSLVDHVPAPERLEMVI KFAVALRDLGPRFVPGFTYGWMSLIQHRIFLPAILGTESQAGWTPLTLLICDMLDYLG DQLKVPELSTSSKEVYRSVLKFLVVLQHDYADYVSANYNQLCWAIPTHCAQLFATVLA TTPPALQKIPEPPQQGLKAAGPDELADTPAIGREVVEILQQRGVLDVLTQALQDGPSE GVLAHIIHSIGRSSGKVATSFGFVTVSVDLPALEAIPTYIAGFAVDRAKQKGGPVYVP GSSDTTILTLLVRELNPEGRYYLISSMVNQLRFPNAHTHYFGQLLLDIFGHDLTDAEE DEVRQQITRILLERLVGFWPQPWGLMVTVVELLKNEKYMFFEQPFIKSAPEVADRFMA VLRQ
SAPIO_CDS10869	MPAVTVEPPSVALSFANNFWGKDDAGVQPLLERMHNAKQTSDEL KAFYTARASIEDDFARKLLSLCRKPIGSQETGTLKASLDTVRGEVEAMARQHQSIAAQ MKTELEEPLAAFAGSMKERRKIVQNGIEKLLKVKIQQTQQVNKTRDKYEQECLKIKGY LAQGHMVMGQEERKNKAKLEKTQISLATSNTEYENAIKALEETTARWNREWKAAADKF QDLEEERLDFTKSSLWAFANIASTVCVSDDASCEKIRLSLEKMDVEKDIYSFITGRGT GQEIPDPPKYINFCRGDVNDTPSEASEDENYSVAQFPRSINPAFRTSSPQPSLFESHH DPKTSLAYDMGLGNPGTPASREVTVTPQKKMSTPQRASVSVEREPERQLEMQPERQIE RQAERQMERQADRQIERQPERQIERQSERQIERQPERQVERQVERPAERQIERQPDRH RQDMFQAPSQTRPHGIDISQYGSVSSVPHDPYPMDGMTMLCRPGGTSSEISSAPSHRP SSRDSRSDYSNPTSFTSQDPPSGKVSPVKQDPAPAQSPEKDKRVLKKKSGFFQNHSPF RRKSTKEVQAPNPNRNTWHPSNNQSAAAPRRPQLYQHESMGHPQEKTLSPEPIDANAS LALGVGPNVLPVAGLSPTKPNNNSANNNAAQNPPEDDPIALALAELKGVTKQSSVRVS ADYYHGISTPVPGAQPRLGNTALAAAMRGTPPPSYDQQVQRLGVPPSAHTARAMKETS EKFVGQTRNMFGGSGGSGGYGTSPVTRQATRGGEVPRAVSPAPPRSASPLPSSQDSYN RNYRPVSPNPSRAGSYDSYSRNHRPVSPNPSRSSTQDLYQRNYRPVSPNPNAGAQRAP SQMSMTPKRGSEQGYYRHNSPMDNARSASPATYSNYDRPSSSHTMNDMAVQLAPVNDD GYGSQRGRYGSRPGTSSSSRAVGLYEGPGAIQAGSRQRSKSVADPNRQYTRDGRPIMH FARALYMYQAAIPEELGFAKGDILAVLRHQDDGWWEAEVLKEVRDAITSKTTRRVLLN FTLLAGSSLALLFLSILSTAVFFAKYVPDKSLTWPVYLQYGIGAHPFGLTAVSRPLPK TQQGYDVSVSLTLPKSVPNAQHGNFMVVLHLLDSNPPSHGIQYPETGMSLVDPETVIL SSRRPALVPQVDPAVGLVKRLLLLPYHLLVPSSQYYVMTVQLAEGVTFPRESKIPKSI YVEVQAGQQMQTYEASVTLTAKLRGLRWILHNYRLPAFITLTLGFWSVEMLFAAVALF LIGLAMGSPEKSTDTIEGNEPGPHPEDKKSLEPSSGAGTSAAKSSALSTPKQAHDSGE ISRARDLRRRRLKAEAEEEDEPTKPLREEETSQEARIKREARETEELLRSLGEDTNDE VKIKTEDYSDS
SAPIO_CDS10870	MPCFKGIAVSIHSNGAPLPEHGIQKQSRVSRISTYIPVPTPQLN DNSNTPEPARFAISITLLTPGLAIPYSTPKPTESNPKPKPQYVASLPSTSGEYGKVTT GVTPYIPMTNSPNETIAAYIYFDGRAKEEVATLLRPGEETWVNSRWVQVPESEGGGLA EREFLFREVGLERWLNGLDLQGDDAQAKIERRRKKFEKRRRRQKRDEELAESNGSRGV LRYGADDGSPVQALSDDSDSLSDDDEPPEATGQIKVSMFRVLASGEIKKGEYSPQFDA HDDDEDDLDAVAADSSNSLAADVEHTTSFAKPKTLDPSTISTQTVTGIDGPDKPYATF TFFYRGERQLQKIGVLSKSNVQSTPGGSKRRSAQLDFSSLGPLKTSGTVGFSAFRDAE RDSSRRKKARKKSNGNATGVQDDSDDDDDEDESEILIKMQDMDDKEINTTSGETAIPK ELADGVDRMRLKRAHSADPDSSAASPHAASPAPSSGSGLQPSLLSRDAGGSTKDTKPG PVASAVPPSSKPDDSTILGSPMKRHRPSVSGPVDTGPSVPGAGLGGLDGILSGTSGAT TTQGKQPFAPDASNAMEEEEEL
SAPIO_CDS10871	MAANFEEVAKQFIEFYYNTFDADRKGLSALYREQSMLTFESASV LGVNGIVEKLSGLPFQQVKHQVSTLDAQPTPNGIFILVTGQLLVDEEQRPMNYCQAFQ LVQDTATGQYFVYNDVFKLVYG
SAPIO_CDS10872	MPPKTGKKVAPAPFGSRSAASKKAPKNPLIEKRPRNFGIGQDIQ PRRNVARMVKWPEYVRLQRQKKIISMRLKVPPALSQFQHVLDRNTAAQAFKLLNKYRP ETKAEKKERLLKEATAVKEGKKKEDVSKKPYTVKYGLNHVVGLIENKKASLVLIPNDV DPIELVVFLPSLCRKMGIPYAIIKGKARLGTVVHKKTAAVLALTEVRSEDKNELSKLV TAIKDGYVEKHEEARRKWGGGIMGLKSQNKTLKKIKARESALKI
SAPIO_CDS10874	MEHAQLTEEELQQSAALSEDEESLGKAHTKRRRMRDTTEGSTDD DIEMTNADEEAHNRPASEDDASDDAEGEDEDVDADGEADEDYDLMNGAIANTTEVPDD EDAEGEEDDEEGVGAVKVKPGETDDEEEDSASDASESQTADGESDGDAAWEEDDEVAG NEDEDDEESASEAANACIFCRKDPETDANGEPVVQLTCTSCGETAHQHCARDARATTS KTSATNWKCPECADDEEDDELLSNASDGSAGVETDRKSSASNLEIVASASAIHRRDGQ SASGGSREVSEGDASEGPRHLRKRKTSPVDSGEDGVMNLRKRRRHPSDDDRQQSQEGT SGDDPSGRPSRTLRLRIARQKPVTISKPSSSSILVNMRVNSDALRTALSQRYLPDRKR SNRVVTPRPSRTNGSRATREWSPGPLIAPFVSTTYSQPFYSFFDKESDEVKGKPYGGI LSESEADTSKTMPDTGDRRRFDEAKQRAEAEWKARIIAMQEEIQMPVKKPKKPAGPAS QIECIEFGGWEIDTWYAAPYPEEYSRNRVLYICEFCLKYMNSDYVAWRHKLKCPSKHP PGDEIYRDNSVSVFEVDGRKNPVYCQNLCLLAKLFLGSKTLYYDVEPFLFYVLCEYDE LGYHFVGYFSKEKRSSSQNNVSCILTLPIHQRKGYGNLLIDFSYLLTRAERRTGSPEK PLSDMGLVSYRNYWRLIMCKYLLEQAENGDKAKKQGLSIRKISEDTGMTADDVISALE GLRCLVRDPQTKLYAFRVDLSFCKEYVAKWESKNYVKLNPNALTWTPYVMGRSSAMNF ELGPAINAIAPREEDDNVEPPSLEALPDAATNTELPNGHVNNDSQKPRPENGLVNGTT AEDKENNQTDGAERKEGDCEAQKAEGDPPKEEAWRAPYKGIPPTRFEIFPPVVGARRV EAKPRPSIVRTPTVPVAVSTPKPRVSTGGGSVASSNKRRVSTARPKSKSSTSRRKPGG TGRGPGRWPKGTKKSDYGNADSGPGLPPAWIERQRLAELAEKKKREGKSTTSSNDDSK EMKDVVRVQVDERKSEANQDSVIVKAPGGGDNGEARGASVENTGDGIVVDGGGDDGEM DAEGEDE
SAPIO_CDS10875	MATLMRSVKNVTKGYSSVQIKVREATSNDSWGPTGTQMSEIAQL TFNPSSDFVDIMDMLDKRLNDKGKNWRHVLKALKVLDYCLHEGSERVVTWARQNIYII KTLREFQYIDEEGRDVGQNVRVAAKELTALIIDEERLRTERTDRRSWKSRVTGLEEYG PPHGEDRPRQRPRDRERPRQANDDEDLEYKLAIEASKAQEEEDRKKRESRSHMDSEDD DLAKAIKLSKEEEERRRKELEQTNASSLFDDDLIQVNPPPQQQFTGLNQGYQQGNPVD FFANPIDPNQMQVQPTGYMQNAYTGFQPQQTGYPGTYANGFGMQNNLLDPYAQQQQQA LQQGFQAQPTGYNPYAQQQVQSPLQQEPTLQPGSNNPWATNNNQQQGLKPTPTGSNNP FANSFNRQQSTRTPISTLGTLPEQKSLSSFNSQPFNQPSPQPVVQQQQPSFQQPQKEM TEHESKLNALLATGDGLDTFGNTGNLRIPAQHTAPGTFVNSAGSGVQRLGAEVTGHNP FLRNQFTGMPTVTYNSQVPAATGPASMGASNPFSGNRPQTNQDLIQF
SAPIO_CDS10877	MPNPNPTEGGSKGAKDSHHHKDQRPSAPVSHSSSSSSKNSQNLK QENQYPQNQSQHPQHQHQQQNFPPVYTFYPPNQFYPLQQFQNSPPFYPSYNPHQPQQL PHFQPLWHNLNEQYQLQQQQHQHQNHSNQSPSSQNTASIPYGNRSPSTNRGLLQTSSQ DLIPPSEKAVPEPAIHHAAPTSQNRAETEGMIEGSAIDNGNREVSTSQKATCEKAAPK PVSHGASREGNSTEGNEDNVLDSAHSADSSNATVTDTEAQKEQDRNALAQPENNTRTR PSTPSRQRSRSRSGRGTKAFEKTKLEHWDITVRQRAHAAALKAYEGCDQDLELHQIQA HIDYMCDKGSLDYTSHATLRKIRTRCIKAMEAKNRGLPWADKLPKREEVMAAKFCHEK ESLPPSYVNMLADLDSEDLCENPTPAIDAQNREPEDEAPATVNIRDAMKRFGDNLVQI STRVRAVETGIRVGEPNMPHLIAEQNNIKAQLKAYQDWSNGVETRLSELEQENRKILR LLSAQRTDQENAEKGKNAEQEKTAQYEEDAEYEEDLGNIYDA
SAPIO_CDS10878	MASQIASLEEDKRAYCEQLDIVEAQLRDDPGNSELQSLQSELKD MIQLLDESLSELKPKQAPKPAQAPKQPSPPPTQDRWQREKPSGFKKVTGPSSADVSAS SASATPAEEEPAIAYQVNDEVLAKWLSGDKKFWPARITSITGSSSAPIYLVRFKSYKG TETLRAKDIRPISHKRKADGPPATSTTAATTASTTTSSGASTPGAAPANPPNAAQSGA FTFTSAPPPPPPGSNNGIVLSAAASRYPEQPKGNEAETNPQAAHGKPPRAKKIKANKE LEAGKSKWQEFSAKSKAGKSYKKESMFRTPQGIHGRVGFTGSGQAMRKDPTRSRHIYQ TNEDLD
SAPIO_CDS10879	MSFGSGFGSGGFGQSNTQSSTFGGFGSNTGSTPAFGSSSTTGAF GSNTNTNASGGGLFGTSGSGFGSGGTFGSNTTSAFGSKPAFGSSTTTPGGGLFGASNT NTGTSTFGGFGSSSTPTTTATSTFGSGGGSLFGASKPAFGAGTTTTGGSLFGGGTTTG GFGAANNPGIGSNVGDPPGTAGTPFQPYVERDGTSSSSTQNSFQNILFQDPYKKWSAE ELRLADYAQGRRHGNATGAGAFGVSSGFGSGTTTFGATQQPSGFGSTTGGGGLFGSGG TTQSTGFGAQSTTGTSGFGSGGGLFGQSKPAATGGGLFGAAATSQPAQTGGLFGATTG GSTFGTGGTTTGGFGSGATTTGSGLFGGGATQNKGFSFGSTAGTTGTGFGGAATTGTG FGAGAGTGATQTGGLFGTAAQPTGTTGGLFGGGAAQPSTGGAFGSGGFGAQAQQKPGG LFGAATTTPAQGTSLFGGAGATTSAFGQPTATAPAGGGLFGAKPATGTTGGGLFGATS TAQPSGTGTGLFGGLGTTTQPQQAGQTSLFPSLGQTQAKPSIFGGTAQQPTGGLFGQP QQQTSVFGTAAAQQQQQQQQAQPSIFGGSILGGTQGPSTTPQSLTANITDISAYGTPS LFSSQGASEAVNPGPLATPLSSKTKPRRGSVLPMYKLNPASARYVTPQKRGFGFSYST YGTPGSATSTSSTPGGLGRSLLGASLGRGLNKSVSTSSLRRSFNAEDSILAPGAFSAS GGPRFYGNTGSAKKLIINKDMRSDLFATPTKDRPLLEVGNGSRKLSKRVSFDTSTAEN TEDGEQARTPTPTSNGTLILREVDNDHTPVNGSKVAPNHPIPEMEQVSAKELTIVHEE PQPDVQVAKSAGIDQAPGAYWMRPSKEDILNMNRMQRQKVAGFTVGRHNVGQIAFKVP VDLSNIDLDELFGGIIVLETRSATVYPVAAKKPPVGKGLNVPAQISLEQSWPRGRDKR LTTDAKKFAKHIERLKRIEDTTFESYDKDTGIWTFSVEHFTTYGLDYDDESDGESAAV DAGANAPLDPHDQEHSPALGSDVDDTFDFRRNPRLVPGAFNPTPGRFGVNEDVTTGSA SEPSFLGDSSAGLAPQQLTLSVEQPQVGDEYDTSENEDMTGTSFRRHPAAEQDQYSPG DDDDDDAMQVNETPGGILRARMRAIKDSAAPVKVQVADGDDWMDMLQKTVSPQKRDRA LLKSLRDSVMQLPPESPTTAVMEKRSLPQVASDGRGFATSIDLMNSLFERSKAPRQVE REKAPSRGFLQWPYERQAKVVQPSDEAAKISRPRWGPDGTLILPALSPSAIAQNRLGQ EPGDVLVLQKRRLEEETPGVVMAKFANELSASALHTQVRQTKFGISDGIPKASLSATK VSSAFQERNTTDPSTRHEKLVWELASILFDDLPEGTNEPEHLSRKVELSRFWKDLVAD ASSRSIGLAKSNEEKAVASLAGNRVQEACKYLIQGKDFHLATLVALIGTSVSSKKIMK EQLQEWYDANMLSEFAQPIRAMYEMLAGNVCVCEGKKGVPVEDRLDSFVISEEFQLDW KQAFGLRLWYAIAPQDDISVAVKLFKEDVEQLREMPPLPWFAEQAIEPLWKDPNSKDR QDLLWGLLRLYSDKTETLDAVLQPENSQLSPLDVRLSWQLGQALLSTNKVHYGDGSNE KSDAMTLSFASQLVNEGSWLEATFVLLHLEDPKTREKAIRDHLCRHASLLGPETGDNV VTLTQTFKIPAAWIWEAQALYMRSVEGDAVAEVQCLIRAGLFSEAHRRLIEQVAPQAI IERDYQGLSDILSKFEGKEDHVAEWIHGGEVYQDFLVLMRHQFQRSKVPTQLLQKLIS SLPGLQNNHVSSTHNDAAVAEMSSIVARVISDSTDKEQKPLLPKVLALPLTEDSHLSH SGLPRSGTPPSWPRAPKRRRIAGVGKVVAVSSAKGGVGKSTTADNQLIPLTNYGVKTM SMGYLVGEDAPVVWRGPMVMKAIQQLLHEVDWGGIDVLVLDLPPGTGDTQLTISQQVI LDGSIIITTPHTLAVKDAIKGINMFRSLKVPILGLIQNMSLYTCPHCSSSHHVFGAAD RALTICKDQDIEFLGDVPLDASIGDSVEQGKPIVVSEPEGKVAGIYMDFAKRVADKIE LA
SAPIO_CDS10880	MIFDLNQNLLWTGNGCGRVTSFYGNELRRYTSLKAHKTGAVHQL LVNEKGVIALGSNYIHMANRTGPPIWHLESQEFHDLRCMSFTSKGASEILVAGWQDTM FIVDLARGEITKRIPTESHYSIMKRSRYICAATKSGSVNLLDPTSMAVVKTWLAHSAM INNMDAQHDFIVTCGYSLRGGQNYMLDPFLNVFDIKKMSSTPPIPFPAGAAFVRMHPR MSTTSIVISQQGQMHVLDLVNPNTSNVRQANVLSFLSMFDIAPSGEAIALADLEGNIQ LWGSPSKVRFVDYPVPTVFADPVEVPQIDWNVNTPLNSIGMPYYREALLSAWPTTISD VGAPPVKFDPQFLDTLSHADFGLYGRNTRGLRRNQVEKTRKAEKTPASGIQAPKFLSE KARESAKAISGTESEKRAEDITTTFANAPDSDVPAWYRNVEIKYSKFGVDDFDFGFYN KTPYSGLEIHIANSYANPLLQLLHFTPVVRNLALHHASTSCIDENCLLCEIGFLFDML QKAEGSICQATNMLKTLNKMVQDFRSVPPKSVSATLEQVLATEATSHIKCTKCGNEYT RPGSTFVNDLMYPPMPKILTRNQKPTKHTFSQVLKTSIGRETTSKGWCNRCQRYQNLS TRKTIRQAPSVLVLNTCIRDARDSEHSQLWCTPGWVPDEIGVIVDQGEFFCYEGEDLK LHLQRGFHDIQVYSLIGIAANIADAQGQNPHLVAVVDVQPISKEEALRFAPWKMPCVL AFQRKEENNKMDNSWKDNLDTSLLYRGPGPNVRGSDLSRPLDPESEHPAANTIIALDT EFVAVRQPEIEVNSDGERETIRPIVYALARVSVVRGQGEDEGLPFIDDYISVKEPIVD YLTSYSGITRADLDPRLSKRNLVSLKVAYKKLWILLNLGCQFLGHGLKQDFRVINIHI PRVQVIDTIEMFYLKSRLRKLSLAFLAWCLLKEDIQLETHDSIEDARTALKLYRKFLE FQDAGILETMLQDIYRTGREVNFKPPRRDGQDLQRMETPPLPAEGNGAAPSTPVRGGL AAGNAAAGSSTGHGGVVGFGARSGWTPGKGSPFRG
SAPIO_CDS10881	MIMTLPEKEKDKGKGKGKGKGKDRDKGKAQVEATVGAEEIEVAE AAVGAEDPEIASQHLYSGANFDAAVYSPSGHRAVTTGAMVQHQGTNEEGGSNNERLDK THPGLRILREGAYSKWLGIKRSEGEHSARARLIRAHLVDLESYLTFGMVTGSPDFENV YQRCDYSHHRPGTIIEYPCHGATLKPIELGNVNKSISNYGPVFTKLRAVIVVARYQKH ATVVPVYTHNGKGITFLSKEEKKEHVLLLDEGEQKNVKAEAKARAKDKDKAKAANEGG SETIFATKDKGFTGNRWFNTTTYVKFTELSSHHYKMGCRLLGKLDRKSLDKLHNIILR RFNKNSVEFAP
SAPIO_CDS10882	MVAGQQDKAAVASDFLHTPFMRAALPFLNGGISGMVATTCIQPV DMVKVRIQLAGEGVAGGPKPTPLSVTRDIIASGRALDLYTGLSAGLLRQAVYTTARLG FFDTFMGTMATRAKNQGREVGFAERAGAGLAAGGLAAMIGNPADLALIRMQSDGLKPV AERQNYKSVVDALRSISKSEGIPALWAGAAPTVVRAMALNFGQLAFFSEAKAQLQQRT TLSSKNRTLCASAIAGFFASFFSLPFDFVKTRLQKQQRGPNGQLPYRGMVDCFTKVAA QEGPLRFYRGFGTYYIRIAPHAMITLIVVDYLGFLTK
SAPIO_CDS10883	MKALPKFLAGLVVFGLTITGAFAQEDDETAEEGGEAVGQANSTY YNPIFPGFHPDPSCIFVPEWDDTFFCAASSFNAFPGIPIHASKDLKNWRLIAHVLNRP EQLPRLAETNRSTSGIWAPTLRYHDDAFWLFTTLVDDDRPQEDASRWDNIFFKAEDPF DPLSWSEAIHFEFEGYDPSPFWDEDGKVYVTAAHAWRVSPGIHQAEIDLETGDVGEWT VTWEGTGGLAPEGPHIYFKDGYYYLLAAEGGTGNEHMVTVSRSESISGPFESNPANPI LSNANTTSLFQAVGHADLFQDGSGNWWCVALSVRGGAEYLHFPMGRETVLAPARWEEG EFPVVDQIKGTMEGWPMPEENTSLEGIGRWVSDREDDIDFAPGSPIPPHFQYWRYPNE DYFEVSPDEHPNSLRINPSKINLTALNGNYAGPGGQAFVGRKQQDTLFTYSVNLDFDP SQGEEEAGITAFLTQNHHLDLGVVLLPAGSKTADFPGRPRAGNESTAEEGELVPHFRF RAESYVPVPDTLVVPVPEAWIGETLRLEISAKNNTHYSFSAGPARARSEIQTIIDVSN EPLSWGFTGVILGIYATSNGGNGSTPAYFSEWKYVPQEQFRD
SAPIO_CDS10884	MKFGKVFAFALASSPFALAKPHVWRRQAAGDTCKIQLNQGSGGR KIAIVLDSSGSMSYNDPQDLRITAGKALNAQLVMGQNADLVTVVDGVAKAIAELTKPG NDPTADRSGIVVLTDGEDSDLDFLIQQIELAATHGIRVSFGFLAPPDSFSEPRLETAI LKTGGTFMSFDDAANIQPWLFLLLSNGLTANDHNAGADQPLLSGITIAKLTGSQPVSF SYASRSGEELVFTVQSLSAQALEADLQDASGNSIAKNATGTGPATLSYNATAEATLKL VVSSTNSTSEGVFQVSLNSSLGISGCTLPNRTTIPSDYGKNSTGTGSGNGNGTTNGQG NGGKSCPGTCHGSSSGSGSGSGSGSGSGSGSGSGPGSGPAPVGPSVVPGSPTGGKSLP TVVTAAADKIVRASFWVVGLGLIGFFL
SAPIO_CDS10885	MPRQAQHIERVRKATRTVQPSSSSLPLSAPAPPQAHSASASAST STKSRVARPQPQSQPEPKSSRVIRNKVGMKVSESEPVPAPSQVASSRPEPAAAPRSRI PRPVPVPSGTVLPPLFAPVPTYLIRSLIPRPKGFVAPSFPPARVPHAACCARSCASDE ASTHFVSSETSTRSASDEVSVRSSAADDVSPPSPKPTPTPGSRIPRPVPVPSGTVLEP IFAPVPTYFIRSLIPRPKGYVAPSFPSPPPPILDRSRIPIPVPVPSGTVLPPLPAPAP NSRPSLIPRPVPNPVPRSTLYGYVRWQPKSILSHSGQKTEQVLGKKAKSVRFAEEAEE KSFSKHLPPVVSSGSFIDISPFFPHHPQPSGWFPSLFPPMDPIAPAPSGVSSLFPFPS PPRQPSG
SAPIO_CDS10886	MDLMEMWKLIYQEELPLDIGPVRELLEIYSGIEPADIESHLYAI RKQAWTATRYPCVGRWRFLYLDPASDARHQQAFSRLRSWWSSDVLLDLGCGVGQSLRQ FAYGGIPRSKLIGLDINPTLIEIGFELFRDRRRAPANFVVGDMMDEADEALERVDGRV SIIHASNFWHLFSWPQQLAMAMRLVKFFRVGEKRAMIYGRQVGTAKAANQSKSGGSYL HDQKSFQRLWDEVGAVTGTTWRVEMEFLEERLAKIPGFGGEPVAARYGVYQIK
SAPIO_CDS10889	MADHTTEAFPRPQFHREASFRQLEQLPARGGADSVPPASPAGDM RMLRRPSRCMSTSSDYFSSDTQDRIFPIASVVRVDSRASLRSLGQNVSDENQPSPSPF QRRFSYASSTSTSQNPPGTLDTTSHNPKSTPISNPATSSLASSSHDVPLGSTPATSWA TNSAADTSTGSQSPSAASLPIHIQVPGTTVSSKMIDISKPFSSPTLEGREYDGFPMTN LFDHIVTDSGHAIRTGREKGLLRCEDEPIQAPGAVQAFGVLVAFKEEEEGRLVVRLVS ENSERLMGYSPQQLFQLENFLDIFSEDQQESLLAHVEFVRDESTDLTLSGPEIFTIWV KHPGGNSVKFWCAIHMNPTEPDLVICEFERENDPDYPLRPADITPPPEPRNMLFNEYT LEELKESTEVLSKPLRLPQRLRAGRGDSCGLQVFDLMTQIQDQLADATNLKTFLKVLV GVVKELTGYHRVVVYQFDASQNGKVVAELVDPAHTTDLFYGLHFPATDIPKQARELYK ISKTRLIYDRDLEAARLVGRTVDDLKVPLDMTYCYLRAMSPIHLKYLANMSVRASMSI SLKVFGDLWGLISCHWFGNHGMRNPFPIRKMCRLISNIASRNIERLSYASSLQAQKLI NTHLVGRNPSGYIAASSEDLLQLFDADFGVVSILGETQALGKISQSQEALALLEYLRM RKFSDVITSDDIVRDFQDLHYTPGFSVISGLLYVPLSDDGDGFIVLFRRGEAQEVKWG GNPFEKGVGADGAPGLEPRSSFKLWRETVLGRSREWTSDQLELARVLCVVYGKFIQVW RQQEATLQSNRLTKLLLANSAHQVRTPLNAIINYLEIALEGTLDKETRDNLAQSHSAS KSLVYVINDLLDLTKAEEGQVLAKEEIFDLHECIRLAIDSFAIDAKRKKLEFFVEEDP SLPRQVYGDKSQLRQAVSNLIANAIQYTDSGFVRVESYVSESRDGRVRIEIAVRDSGV GMCSEEIDGLFHDLEQVNNERTAKKGSGKEDADTKTHKLGLGLAVVGRIVRNMNGQLR VRSTAGQGSCFVLQLPFDTPKEEDDGDGLSGRMVDTINNLTALAIQPGEQKPNDLAPV SRRTPSYVDVGEEMTLVGRDRRPSLPYIKGGPEVTSGNNRKHGEAESGDQLLLSASDD GYSGGFVMPAPPPMDGALQGPEPPWRKAEQTRRKNSDGEVEAAPSRASSHTMSSLGMG ATGCSLQVLIAEDDPINIKVLQKRLEKAGHQIYHAVNGEDCAAVYRSGSQGFDVILMD MQMPIMDGMTSTRTIRHWEGKPEYMGLSQLASRNGQIPIFAVSASLVEEERTTYMEAG FDGWIPKPINFERLQMLLSGIADEEARRSCLYAPGHWEGGGWFRPTPGWEEGC
SAPIO_CDS10890	MAGNGTRPPVHIIFIGAGAVGCFYASRLHHPDNGVHVSLVARSN YATIRKDGVKMETHSFGDYLFRPHAVYPSADAAAKDASQNGHGWDYVVVTTKALPERN DGSALIQPLVGPKTVIVIIQNGVGVEAPYRTRFPPNPIVSAVTVISAEQKALGVIRQN RWTRISIGPYTDGKGTGDAEVSRLGEQAVKDLSYWWGDLGGIRDVDPHDEVDLQLVRW HKLCINSAMNPSAVLSGGRGNADMVMDPELREHLKGVMDEIRDAMPKILGKPFPESLA TPERIIKSTERNTGSKPSMLLDWEAGRPMELEVILGNPVRIARERGVSLPRMQTLYAL LRSAQATREKAKAGEKGRL
SAPIO_CDS10892	MQAYPTPVTESVDSSNPFYPRDSHQITHQMDPETDHRPDRPPSP PREHGQPPPPPPPPPDIEPHNIRELQIAAQLGQDLAGAASLIPSASGDISIEQMPPEQ ALRNLLPHPQLKPDAQHHQVHEVVHQDEAGQVQHYVGEPQHHADQMALAMPMTLDHSH ATAHFASTEPPPRKRSKVSRACDECRRKKVKCDAQTDAGGAPCSSCRRANLECLFSRI PQKRGPSKGYIKELADRIGSIEQLVQGPSEDRGTKRSFSAMSGGSATAETPAPSRSML WGTEPRNPQPSSVPIPERYQTSYSPSELLPHSEFEPAPLVGASAPIQVRDISNAGPAF GPRPTIHPDIFNGYISAIHPYLPFLPDNKEQLEGQLAQCPVLLQEAFTESLTVTVSSF SSDPAQVSPVDVGRAYQKLVDWELSSTIPPPSQRRVTDPIYIQTLILMVIEADNRPPN AGGPPKEAVIGRAVSGALARRFHQYRYRPPSDSTPGSDAEEILYLKTWWVLVVLDRWH AISTGSHVMIHKRDMISPPGLKKLLGEGFYFLLRFTRILGPASTICSNLQDPPASPTA NEQELSTLLHTWLEEDREELPDHVDPVKFPVVHLAYWHCRLLTYLVDTEASATAVLST CQKAVGLLVKSRDLISPFNHHLAILTTLCLLELTAVKAKKEEALTLLRDIRDHQIAPS AWNGAIKATVDDKLALLALAPLGYSLVEKTARESLQRLADVATARSGTKEPKDDETAA DLEGRAGGGSQSLEAAVRPYQYLGFNPAPILRVGYLSVMREMHP
SAPIO_CDS10894	MAPKLKSRAKQFSELENRPTKDYDPEDTRDKSDGDESGDDSEIE RAATEHYATVGKSKLRQKEPLALGPQYRGTRVSRKALEDSDDDDEEGGESEESENEGD EGDSESDDEYDDPDKVDIEAEMKRLGDEDFEIDSDEAFGESDEERFKDYAFRGSLTTK GRRKRPIAADFMTDSDQEEEEEEEEEEEGTSNEDDDDGEDGVSVGSEDSNVEMNGVDG EDVSDSSEDSDGGAPIGSESESEGGDEDAPRPKPASDKKAILAAISDSARQDAEKGQA VRQQRKIFDGLLNIRVRLQKALVAANSFGSIGDGADDDDVAREAYEAAEEAALKLWST IDDLHSGIKGVSKAGEKRKREVDMSTSTETLWGYMDETERAARQNRRKVLDKWSGKIG ATTVPVGNKLNLNAMRNSICSVLEEQLLDPERLIKRTRVPRSCAPLQASKGVKEDVDI YDDADFYQLLLKELVDQRSADTAMSGQANGGVVTVQWAAMKEAKASKVVDRKASRGRK MRFTVHEKLQGFMAPEDRRGWEQEAIDRFFGTLFGQKMQLNEEEEESEEEDEINAEEA GLRLFRS
SAPIO_CDS10896	MEATNTFGELGLSIIGLGTQYPPHALKPDSLNVLSKRFYPESPA MKKVLSINQFTGIDVRSSIGNPDHPAVNQEKAPSIDKLHEIYMSDGIPLAIAAAQKAI EEAKIDLRQITHIVSTTCTNSANPGFDHFVVKGLGITHPVEKVLLHGIGCSGGLAALR TAANLALGHTARGKPARILCVALEVSTIMVRSELDSINELQETRIGVALFSDCGSALV LSNGIGQPAEPVYDLLGWDHRIIPDTESDLGFDVHPNGWKVVLTPRVPKLTGEVIKPT FTDLLEMLPSVPEEYASAPDFDWAMHPGGATILSGAEKAMGIQPEHMRASYDTYINHG NSSSATIYSVMNRLRSKEMDVLAPGGRVREYVVGCAFGPGISVEMCMLKRNMKSGQGI TGLETPPETESEGSRSEGALSEAGDEPEVDALGGDVVDAGVPVVPATAQGSEQGPKCD DATSAFIAEVLENLDLD
SAPIO_CDS10897	MSEGTTNNSTLNRETLFSAEGLIALVTGGGTGIGYMIAKALAQN GACKIFISGRRPEILSAAASSLGPTVHPLPCDVTSQESLASAVGQVTESSGYLNLLVC NAGIAGPQIPHPGDDTSLEEWAGANFAKGFAEFASTFEVNVASVWYTAMAFLPLLSEG NKKGNVKQTSQVVVTSSISAFNKMPPGGISK
SAPIO_CDS10898	MAKRKRSSDETLEEKLPQFKTDLFRALKKVKGFERQRLSRRLHE KGLAEDRKEKYELEVAVLKSLDLHQTAYHYLYASLLKIKSIANHPDLPMEVRRGLAKP VLEEKEKAALQHVTSCLFNRLEVKQVTERIIGEACETLGLPMPERKRAKKKGDKDKDE EQEGKEFIEKADDTDNEEEEVANTRVSEARNRKMEKAEKTGKEEKRPRKEKEQAADDI SISGSEDEYSDTDAEERAFAKFDRLVGGSSDSDADSESESDGGVTLQKGRRTTRTIRV RDMSISLSPESSGVSGSEAESEPELESESESESEFEGFSDPEPEDTLAAKPATTTTPS TKQAKTKAAKPAAAPPSRPTDSTFLPSLMGGYISGSESASDVDVAPRKNRLGQKQRQA IWEKKFGAKAKHLQQPQKPQGGKGKNKRDDGWDMRRGAVGEEDERQRKPWKKGIENPL AALRKGGRAGEEEAKRKPTKKDDEGPLHPSWEARKKAKQGQPQKIVIGANLANKIVFD 
SAPIO_CDS10899	MSNEKPLPFAYQFAAGAIAGVSEILVMYPLDVVKTRVQLQTGTG AGADAYTGMVDCFKKIIRNEGFSRLYRGIAAPILMEAPKRATKFAANDEWGKVYRKMF GVDKMNQPLSILTGASAGATESFVVVPFELVKIRLQDKASAGKYTGMIDVVRKTVQNE GVLALYNGLESTMWRHITWNAGYFGCIFQVRQLLPQATDKSSQIRNDLISGAIGGTVG TMINTPMDVVKSRIQNTVKVPGQVPKYNWAWPALFTVAREEGIGALYKGFAPKVLRLG PGGGILLVVFTGVMDFFRAMKYGPEA
SAPIO_CDS10900	MDDVKVQRPKTRLLKYVERWRKLEEELERLIKLTEEAAEISREL QADYDVFFDGSDMNNFPYLKSRECFILKKRGNLEKMENAKLLRLQQAVDLFSLVEDCD ITQSTPASFGFGGRIPDFPLILRMHAELHERMHETRPNSFSFGGSQSLLGIWLSTLEE AYTKADGGYANVDWTSKSLEDLIDDVATELLTSKIVDDAFRGDDAPWGDDTPREDDTL ELDIGDTAQCLWRATERAPPDQTLSASTHMILDNSNHTHRSLFTDNGSCPNPATDTEA PLHDSVPPYDSDDRPHLDDLCHLYGLLPTLALLFLATPPLVLAQGAARDVILHITAGV SFATSAALPPLRSFDDLAPAYWISLYSVWGAAFLTGFMLALQRRDNWLQRQVLGWMVI FGAINLLGSLGQNVPTQLDAVAVWGPLALTVSLYVISGLSELVSLDRAARLMGNA
SAPIO_CDS10901	MSHLLWKYYWDNDVDRFRRLLAPSGFSAQAATKSSAVNFSSSSG PNTGGHATSPRPGSKARKGSGYGPGSQGSKGGPFGTAGTLGKSEVNSRDHAGLTILLR AASSPAPDAASFIEALLDHPAIDIYARDYESGWNALHRALYAGNIAIARLLLQAEQRI LTETPSGPANGRVGELIKTKDREGNSPFDLYNSTIATRDERAQASLGDDSDTESTFSG ESNIDDVAHGFGHGPHGIPGDELYTFGSNKNQSLGVGDESDRQFPEKLYLNRPEQLIE SFYQDYLSTKDASTADRSENGKPGGIPTLVRSRPMHIRDVALSKFHSAILTADPVSNL YICGVGRGGRLGLGDENTRFRFVPVLGALAGKRVTQIALGQNHTLAVTSHGELWSWGS NSVSQLGYTLPTPVRADEEPVSLTPRQVFGALKKEIVDGIAASPVHSVAHTSTSLYCW GRNLGQLGLMDADSRSLEVQATPRKVAASLISTPILAVSAIEKATTVLLESNAVYVFT SYGYSLIKFPAPDIFANTRFGAPRAFTTPRNLNKIKAITSGGETIAALSARGDLFLMN LNHQVDTMSSTSTTNPAKIKKCAVSQAQCIWSARKDGVTSVSIGEHGSVIICTESGAV WRRVKRAKAKDGTTPGTADIKRKDYKFQRVPGITNSIAVRSSTFGTFATVRRDCVSVT EGIKVGDQTLWDDLAALFPLANFEPTPPRNKGDLKLWDDEKKNNRIGQLAYNVLRSPD IESDLELHLRILSIDDSSGSDAVVNTSSVPELKIPAHSWVFAARSPVLRKALAQFREE GEAEIPEVLKICRDKDSKTLITFTGLDILSLLNVVVYVYRDEVIPVWNYLRQVPRLAS RYRQVRVELMKLATRLSMAKLEAAARTQSRIIKSLDRDFAHAIHDRDFLESGDVVIDL DGAEVPVHSQLMCQRCPFFYGLFQGRSQGQWLAGRLEDSEGEEDKIRVDLSHIDPQAF RYVLMYLYGDVGLELFDDAVCVNIDEFSELVMEVMSIANELMLDRLSQICQQVISRFV NTRNLSNLLNEISPCSVTEFKIAGLEYACLHMEAMLENHLLDDLEDDLMEELDATVRD NQLARAPISRSGRSELLLHEKYPELVEDIDEERRRRTREMAWKATHREDEKKLSASYR TKYGSFDDGLSASPSVDKLRRKGKVPAAYEPNSPSLRPDASQGDMIFSMDEDDAQLGC PQSPSLRPTDKKNLQTPVSGPGDKDKVGFRSLSQSQRRPPIESTSPAGIPQQQLQPPS TPSKQEISQGNGKTAGSPWGAAPLSTSKLDLRTIMSETSTPGSRPSGIAAGLGLAAQK GKEAAQKPTPTKLSQKERKKQQQLEASQRAAAELAAQEQPKKAWDTQGGSEKSAAAAP WKTVAASASKTSLKDVMSAASSEQLVKAPTAPMGAGAMKPLVATEAAGHAISIPRRTA SPDTRFSGQPRATGSSSTAYNGGAPASSSTSSSRTAQKQPMAPYSKSYIKPAPKAEPT FGMTLEDIIGQQRREQELVKEAVAKRPLQEIQQEQAFQEWWDQESRRTQEEEARRVAR EREKEKEKEKASKRGGRRGRGGKPRGGGNGSVGGGGPSGSQQGGAEASGEGGAPRNGN GKRNRGNGNRGVRGRGGAQTTAS
SAPIO_CDS10902	MHQHPRAPPRGASPASSAQTNPMRTNNPRDSGNPASQARPGSSA SGHSRRDSIGHPIPTDTASSRPPQESVKKLDQILQNFYLKASALILESRMSLHYTGSS KMSKWFQIETRDFDDFRDELRMWKTCGSFDNRPPPLIIEVYLDTSELATSQSLVILDE QGKRWDVAEALATSASSSSDNATSETRRPTEVILERWRIDLKTTAYDNDDFAPILPTI YKKAIVFFRSLYVETRISPCFHFSQHDKQKDMYPTLRPKCRIKTSESGPNVPDRLRHP LYNTNKPVFEEYVFGDLELPVGRLYASIAYRKDLNFRVDDSEKLLSSRFMGADESLFK PSLPQSLGSRQHDAFREIGTQGGAGKMSTVPAQTYGSLSTFHGEGPLGTSPISALNAV RMPGSDTSSPPQSVPADAEPEPPHSVPSGFGGGNRFSAAGQVGGHNPRRPSISFQAGK HPFKAGSLSASPVPRTQDGETPGSPQSLSRVAGLSALTQPRNRSSLTAGMPASLRGPA PVNTNITHVPSPGLPDAMASSPRPSSTSRYSSSFTHRRGKLSFSGPNKPLDDDQLSSG RQSVSSSVIQPGSGLLAETGAAASSGSFQTDDDQISEFLKVLENKKNLMSFESSKRAE SATNRTVAQLSRFHMMKEANHQLTESMTSSSIQMQRSSSTSSRQLTSVPGMVAPASVS SSDSPGKPLSPHTPHTPAIPSRLSENSIINYSRDQRGVEEASGPSRTGVPADHPSRES TITQERVAAIDIPLSPRVRPHPRRASSVAQEPRAVSTDDDDADLPFAHRSISLGADRE APTMSMLRGMQAGEGEDESRASSSRGISVQEDAAVAGQSEMMSPSSGDGKSPCSILRP SSSSPYRRTRYRGMSNATAAGRGQTPPQSSRGVAGNRQGCPENDDEEPLVFMMSEMDA HSRRSLEERRGGGNVGPGPGVDRNPARRGW
SAPIO_CDS10903	MVREQAPPKVPLRPITANEEAVRPSSQAGKPQSTPTKPYASAAS SLPFDEDWFEDGRSPAHSQSQNDALLDRFNALSLGGSQSKSQDGLATADSSIVEILPP PSRGNLLSSSGASSSQSSMGSFRPASSQSLFGSSSSSQNGKAKSNAFRRPMSSAQQKA YNSVFIKQTRRPEHHRDNQYRVAAPTAAPLADIPAPAPKTFSSIDDFLVAQPFRQHEP ETEFYTDPKKAASDLKALLEGGMEEDAEGDKDGIDGEEKKRKDGTLEGLNVKLLPHQV EGVEWMKGRELGPVKRGKVPRGGILADDMGLGKTLQSISLILSNQRPDKEDKAWKKSY AGVEKTTLVVAPLALIRQWEAEIKEKVAKTHKLKVYVHHGPQRTKSFKELALYDVVVT TYQTLVSEHGHSASAPDGPKAGCFGLHWWRVILDEAHSIKNRNAKATKACCALRTIFR WCLTGTPMQNNLDELQSLVHFLRIQPYDDLREWREHIDKPMKNGKGHLAIRRLHSLLR CFMKRRTKDILKEDGALNPGGKPLEPGEKSATGFKVTERKVVDVSVEFSPAEKRFYGR LQARADKSLERMLKGKVNYANALVLLLRLRQTCNHPKLVEGKLERDGDVLSGSGGNAR KEVGQTAIDDLADLFASASIRTKSCLICGCELGLAESKAGRDHCQDCDADLVYFNNGG DDTRKRGKKDKKKKKKKKTNKDSSDQEAHDEASSPQQRNAEAAGKRSRRPAARKVIMD SDDEEEEGSWLVPEDQRGELKLGKAGGEEDENAEGGGDWIGSEDSFHKSEAEDDGSQL DSFIVHDDKVPKDDSAVLAAYRTDDDDDDAFPSVQALCSQPSQPQVVDADATASSIED SDDSGDSEGESSSSEEDEEDSGVDEGDIESDSDDDGLAVFRLKKKSGSQVLASAKIRR LIKILHKEVHEHKFIVFSQFTSMLDLVEPFFEKEGFKYTRYDGSMKNDEREESLHRLR NDKNTRILLCSLKCGSLGLNLTAATRVVILEPFWNPFIEEQAIDRVHRLTQKIDVIVY KLTVGGTVEERIQQLQQKKRLLAEQAIEGGMKKDAFKLGIKEMLDLFKHEGSIDYVGA YEKGSDTESERSGGLLASSSQRSGDGRAPPPNYRSEAEKVIKMAPLLPRMQVFEIDDQ PWFPSFLRAHIQNALSLVWTLPPLPFTTSPATHAANILQRHLPSYHTHTYIDFCAGGG GPTPAIERIINDGLRRRGEKDVRFVMTDIHPNVDSWRRWSAGRSALSFEEESVDARDG GAVVSRWKEGDGGRICRLFNLAFHHFDGGLAGDILRDTVRTSHAFAIFELQDRHLLSF LPILLLFPATFLFAPFYALKHRSLSALIFTYLFPVIPFVLVFDGWMSAVRTRTPEEVE AMLRESAGPDAAEWRVKSGREVHLWPCGYVNWIVCYKE
SAPIO_CDS10904	MLTRLRRPSTVIPNLNVNKTPLHPAGVQPHFEKDHGEHEVTEIE ETLYEKAHIDYSRVAIIENPSVAALYEDALVYETGTAITSSGALTAYSGAKTGRSPLD KRIVKEPETENEIWWGPVNKPMTPDVWKINRERAVDYLNTRNRIYVIDGFAGWDEKYR IRVRVVCARAYHALFMRNMLIRPTREELQHFTPDYIIYNAGKFPANRFTEGMTSGTSV AINFAEKEMVILGTEYAGEMKKGVFTVLFYEMPIKHNVLTLHSSANEGKDGEVTLFFG LSGTGKTTLSADPSRYLIGDDEHCWSDTGVFNIEGGCYAKCIGLSGEKEPDIFNAIRF GSVLENVVFDPETRVVDYDDATLTENTRCAYPIEFIPNAKIPCVTEKHPTNIVLLTCD ARGVLPAISKLDRGQTMFHFISGYTSKMAGTEDGVTEPEATFSACFGQPFLALHPMRY ARMLADKIEQHNANAWLLNTGWVGAGYTQGGKRCPLKYTRAILDAIHSGELAKVEFET YDVFNLQVPKSCPGVPSELLNPKTAWEAGADKFTDEVTKLGKLFKENFKKYESEATEE AINAGPVV
SAPIO_CDS10905	MSHLNSWEDDPAAQDESLSRQTQQQLNINQQGQGQGQGGAFRAN AAAAAFQPTAQSFQPGQAYGGYAPQYQQYYQQGYYPQYGGQQGYDQYGQGGQGYQQGG YGQQYGGQFPQYGQQNFQQQQQRPQQQVSQPQQQQQQQRQQQQQQQRTQPQSQQTAPP KGPAAAAPAAQAKPPVQKEGGAKVLSLGADVTKPKAKVLSIGGSGAVDPSKKAEAPKK AEAPKAETKKEAALKTDDGAKATAAKAISKTGASASGKTSPTPSSGRSSPTAGSSKGP VRDADAVQKEQAADVDEDTLKEIYGKEHVNIIFIGHVDAGKSTLGGSILYATGMVDER TIDKYRKEAKDMGRESWYLSWVLDLTKEERSKGKTVEVGRGFFETDKRRYSILDAPGH KTYVPNMIGGASQADVGILVISARKGEYETGFERGGQTREHAMLAKTQGVNKLVVVIN KMDDPTVNWSQARYNECTTKLSQFLKGTGYNLKTDVMFIPIAAQQIQGIKDRVPKSVC PWYDGPSLLEYLDGMKALERKVNAPFMMAVNGKYRDLGTMVEGKIEAGVVKKGMSLIM MPNKQNVEVMAVYAEAEDEIPIAQCGDQVRIRLKGIEEEDILPGFVLCSPKRLVHCVS EFEAQIRILDLKSILTAGFNCVLHVHAAIEEVTFAALLHKLQKGTGRKSKLPPTHAKK GDSIIARMQVIGGAGSVCIERFEDYPQMGRFTLRDQGQTIAIGKITKLITDSA
SAPIO_CDS10906	MDALLSRLGVQAMNYAIRSGLALTSTYAISQCSRLLKTVDDKNL RTELKSLQKRLDSKIQIISPAIDLIEFKSGRGNIFLESAVPLAKSLHQDIISLGKRLE DIAAAEEELAERRCPRETPERQEAAFRSIILDLKNLLARIDHDIPLLQLAITASGESL GTSLPPGVSPSRLLQASTFLIVGDTQYAEATKPVQIGPSFTLSLYMLFLGHASTTSGQ ANDDPTAVVVDENPPSTPRTQRSPESREKVPYGFGENERKPIWQEVMHKARVRLCRTP IHYVFDPLEGYRPKSGHSTDVAPDYFPTDEFSYHLEIVEDLDDGRVHDDFQPSPYDTV CNAGRRESIPIHHLSKIFYTDTGRILNVGNGTGYDNNPVLLLKRDLAPKVPSRMAERL ELYNSLTSSNELLRGEYLNSEESDEQLDIDRQLTIESRRQATRKDEASRGLEKEQWRL PMHLDPEWLALEVFEEDESETSDLDEDSESDQEAQQQPRHERRSLDSSLIDQIRRLSV MSSHGTASQSSSRPVSQNLSVQKASASQESLVARSPFGAIASSLSLMEMLIRLSSLQE FQQMCHLSIPDHILTFFLEETSTTGLTGEDKWNARNDAKRRVGFDPYTDSPSK
SAPIO_CDS10907	MFRAAAAGPFDEVVAQATDENLTSEDWGAIMTVCDRVSADNSGP KEAVQSIIKRLAHRNANVQLYTLELANALSQNCGKEMHRELASRAFTDALLKLANDRN THNQVKVRILERMKEWSDMFKNDADLGIMYDAFYRLKQTNPTLEPPSAPQKNNLTDLD RQKEEEELQMALKLSLQEEERKKKTSESATATASTSAAGGAAAAAAEIKPVASGTTAA TVSRVRALYDFVPSESGELEFKKGDVIAVLESVYKDWWRGSLRGKTGIFPLNYVEKLT DPTPEELQREAQMEAEVFAEIKNVEKLLTLLSASNTSPREEDNDEIALNEKFIKARRD YEALLESSMAHPPQPSYHQYMPGPPQPGYPPQGGPGGPPHDAQRFYTPGPQDYHGVSP PPGNFQRPSQATPAPFYVAGAEIPSGGNPHAPSQQQSYPIRPGPTSSGSAGQPAPINT SSPPPASNQYTAYSQVNQRPGSTYGAQELATSVYDSPIAPHNQNSLNPAAPYSPDDPY NPPNAGGPLAQPTAPAAHAQPSQPQYQSYNPSQNQAQYDGAPPAPSGAAPPVPQALAP GRPENAVSPPPGGLYDARQGLPSQAGNGHPQYKPYVPPSSEPSAPGPADYYRQPSGY
SAPIO_CDS10909	MSTSSGPADTWISSYCSTVGHEYFAEVSEDFIEDDFNLTGLQTQ VAMYKEALEMILDVEPEDDEDDEEEDEEDDEGESGENQDRMIARQERRHAAHSRMASD LSVIESSAELLYGLIHQRYICSRAGIQQMSEKYELGHFGACPRTNCEMARTLPVGLSD IPGEDTVKLFCPSCLDVYVPPNSRFQTVDGAFFGRTFGALFLLTFPEYDLTKRGVEFL SNTRIQEGSEIINGVYARNIAPGLGRNRIYQPKIYGFRVSELARSGPRMQWLRNRPDN LNELDEARLYAEQHPDSDSDEDMTSTGRGIGRPRPPGGAAKIRSRRHGPNGSPMNVET ANGAESEL
SAPIO_CDS10911	MEQIETQVSDLRYLTQTPGEHHRAAASPASVVSVDNSALYRGHS SHSMQPSTPAGASAPYGSGGGSASVPGGVGGSRNSISLDGPTPGNGAKRKADEEEPGT GAGAAGPTKQQRSKRNRYISIACNECKRRKIKCNGETPCQRCGNLNLACLYAPNCCSN NFKESEEFKNMTAKVSKLQEQVDNLFQSLNALRSETLPRMLPPQDRVLPLVSPSAPPT VSPSPVLSHGSAYRPEMTQPKTTFRGGHLGGASFATDMPTIQSLGAYKGVPYGTGDSE ADDQSPRPLAIQSGASGGPTTSAPQDPLWDMSNDEMLRLCRLHEEEIGILFPVITIQT VTSHAQSLASFMESSRRDGMLPSLNDERTLLLKVVICCALTFEEHGHSDKATRIYESM EAELNAKLMAEANNVRNLPLLILLAGYRFLSNDEILAWRVMGQVARLCLELGLHHRSS MMAIENDEDRQTGLTCFWFAYVYERIWSFGTGLPYVARDEDIDPQLPLPEDHPYLMTL IAYSRLGARVFKLTSQYGQPAAQEISTYEVNNLDREIVQWYEKAPEEVKIKDWSQEKR MVTTPSYNLRRLRIWTYLRFNQLRLWLYRPFLCNSNTIRNNFHYAQTAVHLATDTIRY LNHIDTTTNLYRKMQVFYQQFLTSAVAVVFLAAAHCPQQFSAVSRDHFYMVLDLVKDL SAKSWVSKRLWRTFSALKEVAPKVTGSMMTPSAAHDDHLTDGSSTGLSMGSGTARPQS ALHPISMINNNNTNNSPYPRPTLRSAPVAPRPGGPYAQHAEPPVAQSANGVQLMMEMR RVFDVYIGGGSGGPGKVDLLPSANSFGRFVEENVFHHFKELV
SAPIO_CDS10912	MAQQNEPLTSWLDIDPASDFSLANLPFGVISTSASPNPHVGVAV GSYVLDMKELACHPEFYRVFDFIAEHGDVFSKPTLNAFAALGRPIHKQTRSRLQDVLS AGNSTYESFLRKDDYWISRIVVPAKSVKLHLPVEIGDYTDFYAGYHHAFHVGCMFRGP DNALQPNYTHLPVGYHGRASSVVVSGTTVRRPRGQILPQPPVEGQPKQPITAPCRRLD FELEFGCIIATPNALGDGVSVGDAEDHIFGYVLLNDWSARDIQTWEYIPLGPFNSKNF ATSISPWVILADALEPFRTEALENKTEVQEYLKGEDKAVFDINLEVELKTSDGNSSTI TRVNAKNLLWSFPQMIAHHTIGGCPMRTGDLLGSGTISGPGESERGSLLEMSNGGKKD VAVGSGAVRRFLEDGDTVVIRGFAGTGSGRVGFGECAGTIAAAK
SAPIO_CDS10913	MGQMPTKPMPGAKFQVIGAGMSRTGTKTLNEALTILLGGPVHDS GVHSTGGTFKQIREWGQVMELVAKKDKTYADKKHIQYLLADLLEGYVATMDCPAAALT PELMETFPDAIVIATTRSPESWWRSMQDMMTMTSNYHLPFVLMWVPKVNQYGIWREKF KKLAIFRYGLDMFEEGSLELHEDHLRDVVPKDRLHWYQVKDGWEPLCKILNLPVPDVP FPHNNSRNDARQTYNQLLLAGFLGWCGFFVVAYSFYWYFWG
SAPIO_CDS10914	MVAPWGESPRRPINLPFANNCSATASYVSGLLLGKTSEPSFRDV SAFFTAMFPTRNLSNDTILEYHDYVWDSRVDRYDYGDALEPCRSQVCKAINLKVDNTG IGIGTIVSVGLEALLVLGYCVMAIALYTRTVPGEPKIPPASHFTALDRIIYAFYGTTR HFFICAIILCLGTSIGLITDGAYIMKQRDDDFFRPYGHQFLVACIAFFSLAATIPAYL WGSRRQWVDAPLLVVTWILSAVAIAFSAVGPRSYNDSFDRVCPDDFFPLSGTLPAAHG VGAWCPVLFALCIGCVLPFFRCGGRKMWANRVIRRAMRTLIVIYAVLGFIGAFAYLIL LYVFIGKTTWIGDSKWDMGQGLALALWVPLLYELVHLMSVGIDKGLTASLPREFDAVR EDDLDDDAEPVFIPGMAPGPRGDTRYEQPPAPGGAFGQSVGLVPQMPPAVVVPEKGSI AAQLREASTSQLTYRFA
SAPIO_CDS10915	MYSWGLLLLAFSQTVAAWGAPGYGGYRLVWQDDFAGGAGELPNG DNWNMITNLRVNNELQDYTTSNRNLQRSGGSTLQIVPWNENGRWTSGRVESKYEFTPE AGRLTMAEARIRFGDNPIANKKGYWPAFWLLGASIRRGTDWPRCGEIDILETVNGQLR GLATVHCDVYPGGICNEPTGRGASTGFPDQGWHNWRVVWDRRNGDWTQQTITWYLNEQ QFHQVAGWSIGSEGIWQTLAHSPMYFILNMAVGGDLPGAPDGNTWDGYGSMMEVAYVA HYVQS
SAPIO_CDS10916	MAATAKKTDVRNINDLEKEGIELKISPETQKTGWKINTSPSTVD DPAVLKELLTTPAVKRIDLQFPLGIEVTARNLKGVTIKDALDAIHKAYKKRADDELDN PYLAGFEWDKEESWTKLIVHLSKTSSAPIEKKKKK
SAPIO_CDS10917	MPTTEFSFAEKYRYQTGFDSYLESEAVKGSLPIGANSPQKPAHG LYAEKLSGTAFTAPRHENKQTWLYRILPSCSHPPFETSETKAEAVVDFSKLEYIPDQL RWDPFDHNESKDLDFLTGLRLVAGAGDPTLKQGIGIYIYAAGKSMAENAAFYSADGDL LIVAQEGVLDIRTEQGWLLVRPMEICVIPRGVKYQVHLPSGPARGYALELYQNHFILP ELGPIGSNGLANARDFQAPVACFSEDHGTTASDGPNAYTVTVKFNNALFETKQAHTPF DVVAWHGNYYPFKYDLGRFNTIGSISYDHPDPSIFTVLSAPSGLPGTAVADFVIFPPR WLVAEDTFRPPWYHRNTMSEFMGLILGAYDAKRGGSGGFVPGGASLHNVMSGHGPDEA SYEGARNAELKPAKVGEGSCAFMFESCLMVGVTEWGLKTCKKVQKGYNAESWGGVKVH WKKPEGESGNAHLL
SAPIO_CDS10918	MPSEGRAPRSSDGSSDSETYHATIETRSLTESILEHVMEGGLRY HAYHAGKYPFPNDEVEQQCDEMRHVMTLALCEGKAFFAPVEDMLESGAEVLDLGTGTG VWCTELADLYPNSSFLGLDLSPIQPELVPPNVQFVVDDVEHENGWDYPDDHFDYIHIR HTLFSIKNRQQLIERAYKHLKPGGYLEIQELHYDPQSDDSSLTPETTYRLRDFYYHLS NGLHALGSDLHAITHIQDDLAHAGFENISPHSLKCPIGVWPKRPELAYCGDLLKTVIL DGLKGLARKPFVHGLGWTTVQVEMFLVEVRRAVADATFHAYLPLHTIYARKPR