-- dump date 20240512_234641 -- class Genbank::CDS -- table cds_translation -- id translation SPAR_A00010 MAAIKDYETALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLIVDEK GKLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTLLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_A00020 MVKLTSIAAGVAAIAAGASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGISPDQVTRMITGVPWYSTRL KPAISKALSKDGIYTIAN SPAR_A00030 MYSIVKEIIVDPYKRLKWGFIPVKRQVDDLPDDLNSTEVFTISN SIQSHETAENAITTASEKDQLHFETSSYSEHKHNENETGSYEYRDEADRPWWKFFDEQ EYRINQKERSHNKWYSWFKAGTSFEEKKLLIKLDVLLAFYSCIAYWVKYLDTVNINNA YVSGMKEDLGFQGNDLVNTQVMYTIGNIIFQLPFLIYLNKLPLNYVLPTLDLCWSLLT VGAAYVNSVPHLKAIRFFIGAFEAPSYLAYQYLFGSFYKHDEMVRRSAFYYLGQYIGI LSAGGIQSAVYSSLNGVNGLEGWRWNFIIDAIVSVVVGLIGFYSLPGDPYNCYSIFLT DDEIRLARKRLKENQTGKSNFDTKVFDVKLWKTIFSDWKIYILTLWNIFCWNDSNVSS GAYLLWLKSLNRYSIPKVNQLSMITPGLGMVYLMLTGVIADKLHSRWFAIIFTQVFNI IGNSILAAWDVAEGAKWFAFILQCFGWAMAPVLYSWQNDICRRDAQTRAITLVTMNIM AQSSTAWISVLVWKTEEAPRYLKGFTFTACSAFCLSIWTFVVLYFYKRDEKNNAKKNG IVVYNSKYGEQKPTSKQVEISSVSDEKIVRG SPAR_A00040 MTHYCILLAIVALLGLADVASAITAACLPANSRKNGMNINFYQY SLMDSSTYSNAAYMAYQYANKQKLGSVSGQTDISINYNLPCVAKSGTYGCPQEDTYGN WGCMGIGRCSNSQAASYWSTDLFGFYTTPTNVTLEMTGYFLPPQTGSYTFSFATVDDS AILSIGGDVAFECCAQEQPPITSTNFTINGIKPWHGSLPDNIEGTVYMYAGFYYPMKV VYSNAVSWGTLPISVALPDGTTVSDDFEGYVYSFDNNLSQTNCTVSDPSNFTVSTTTT TTEAWTGTFTSTSTEMTTITGTNGLPTDETIIVIRTPTTASTITTTTEPWNSTYTSTS TEMTTITGTNGLPTDETIIVIRTPTTASTITTTTEPWTETFTSTSTEMTTITGTNGLP TDETIIIIKTPTTASTITTTTEPWTETFTSTSTEMTTITGTNGLPTDETIIVIKTPTT ASTITTTTEPWNSTYTSTSTEMTTITGTNGLPTDETIIVIRTPTTASTIITTTEPWNS TYTSTSTEMTTITGTNGLPTDETIIVIRTPTTASTITTTTEPWNSTYTSTSTELTTIT GTNGLPTDETIIIIKTPTTASTITTTTEPWTETFTSTSTEMTTITGTNGFPTDETIIV IRTPTTASTITTTTEPWNSTYTSTSTEMTTITGTNGLPTDETIIIIKTPTTASTITTT TEPWNSAYTSTSTEMTTITGTNGLPTDETIIVIKTPTTASTITTTTEPWNSTYTSTST EMTTITGTNGLPTDETIIVIRTPTTASTITTTTEPWNSAYTSTSTELTTITGTNGFPT DETIIVIRTPTTASTIITTTEPWNSTYTSTSTELTTITGTNGLPTDETIIVIKTPTTA STITTTTEPWTGTFTTTSTELTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGT FTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTATSTELTTVTG TNGVPTDETVIVIRTPTSGGSSSVPSSDPPAATNSSSIASSSIAGLLTSTTESWTGTF TTTSTELTTVTGTNGVPTDETVIVIRTPTSGGSSSVPSSDPPAATNSSSIASSSIAGL LTSTTESWTGTFTTTSTELTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGIFT TTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFITTSTEMTTVTGTN GVPTDETVIVIRTPRSASSSSVSSSTSSGRITSFGTSSHPIITPLYPSNGTSVISSSV ISSSVISSSVISSSVTSSSVTTSTSIFSESSASSVIPTTSSTSYFSESETSSTGSSTS YSKDREPSSVSTPSSMSSESPKSSTYSSSSLPPVTTTTTSEQTTLVTVTSCESQVCTE SISSAIVSTATITVSGVTTEYTTWCPISTTKTTKQTTETTQQTTVVTVSSCESGICSE TASPAIVSTTTATINGATTEYTTWCPISTTESKQQTTLVTVTSCESGVCSETASPAIV STATATVNDVVTVYPTWSPQTTNEGSVSSKSINAASETTTISEAAKTKTVGTSSLSRS GYAETYTASATSVIVSVSESANTKGLTTSGLSTLSQQPRNTPTNNMVGSSTASIEIST YVGIANSLLANNGVSVFISTILLAIVW SPAR_A00050 MASEPEFQQAYDEIVSSVEDSKIFEKFPQYKKVLPIVSVPERII QFRVTWENDKGEQEVAQGYRVQFNSAKGPYKGGLRFHPSVNLSILKFLGFEQIFKNAL TGLDMGGGKGGLCVDLKGKSDNEIRRICYAFMRELSRHIGKDTDVPAGDIGVGGREIG YLFGAYRSYKNSWEGVLTGKGLNWGGSLIRPEATGFGLVYYTQAMIDYATNGKESFEG KRVTISGSGNVAQYAALKVIELGGIVVSLSDSKGCIISETGITSEQIHDIATAKIRFK SLKEIVDEYSTFSESKVKYLAGARPWTHVSNVDIALPCATQNEVNGDEAKALVASGVK FVAEGANMGSTPEAISVFETARSTATNAKDAVWFGPPKAANLGGVAVSGLEMAQNSQK VTWTAERVDQELKKIMINCFNDCIHAAQEYSTEKNINTLPSLVKGANIASFVMVADAM LDQGDVF SPAR_A00060 MRALAYFGKGDIRFTNHLKEPRIVAPDELLIDIAWCGICGTDLH EYTDGPIFFPEDGHTHEISHNPLPQAMGHEMAGTVLEVGPGVTHLKVGDKVVVEPTGT CRDRYRWPLSPNVDKEWCAACKKGYYNICSYLGLCGAGVQSGGFAERVVMNESHCYKV PDFVPLDVAALIQPLAVCWHAISVCEFKAGSTALIIGAGPIGLGTILALNAAGCRDIV VSEPAKVRRELAEKMGARVYDPTTHAAKESIDYLRSIAEGGDGFDYTFDCSGLEVTLN AAIQCLTFRGTAVNLAMWGHHKIQFSPMDITLHERKYTGSMCYTHHDFEAVIEALEEG RIDVARARHMITGRVNIEDGLSGAILKLINEKESTIKIILTPNNHGELNREADNEKKE ISELSSRKDQERLRESINEAKLRHT SPAR_A00070 MRALAYFKKGDIHFTNDIPKPEIQTDDEVIIDVSWCGICGSDLH EYLDGPIFMPKDGECHPLSNAALPLAMGHEMSGIIAKVGPKVTKVKVGDHVVVDAASS CADLHCWPHSKYYNSKPCNACKKGSENLCTHAGFVGLGVISGGFAEQVVVSEHHIIPV PKEIPLDVAALVEPLSVTWHAVKISGFKKGSSALVLGAGPIGLCTILVLKGMGASQIV VSEVAERRIEMAKKLGVEVFNPSKHGNKSIEVLRGLTKSHDGFDYSYDCSGIQVTFEA SLKALTFKGTATNIAVWGPKPVPFQPMDVTLQEKVMTGSIGYVVEDFEGVVHAIHNGD ITMEDCKQLITGRQKIEDGWEKGFQELMDHKESNVKILLTPNNHGEMK SPAR_A00080 MAKKVSKNSRAARQSDAFDPEVRDLSELPRAEKTDLTNILIRTA AKNEALLEAKISKKANKSKRGKKINKKTLEDKLANSISSMDKDRLVKALNFTNRLDGK IAKSISRAKYIQNTRKAGWDSTNETIKKELAFLSGGLSVEVKSATEGDAKKEDEEIPE VFHSSAEDKMVQKAPANRFGVLPDDVEE SPAR_A00090 MKFAYLWWQFLNLALVKAISLQSNVTLGNDSFWENFQAYSDTKH LNQEWTTSKATNEEGTKIYGAQWRLSQGRLQGSAWDKAVAVRTSNAAAMIGHLLKTPI NVSETDTLVVQYEIKLDNSLTCGGAFIKLVSGFMNVGALENYVPDGKGVELVFGPDYC APETNSVQFAINKVHKITHKPKLRYLQETPLSKLTDTSQSHLYTLIIDESTQSFQILI DGKTVMAREHIEDKKNVSFEPPITPPLMIPDVSVAKPHDWDDRIRIADPEVVKPSDWD EEEPLMIPDPKDTEPLEWDSSISEYILDPDAEKPSWWQEPEHGEWIPLMIKNPLCTAK HGCGQWIPRLIKNPKYKGSWEPTEVINPNYMGEWHSPETENPLYYEERQPLRIENEIS GVILEFWSGSPNMLISNIYVGKNVTEAQIIGNKTWLMRDRAFRGLDDSTERKFMNNRL GNLQATFQDEKESPNPFDRIIDYVLEQPPKSLLTAAAVFLSTLVLCYVVST SPAR_A00100 MEISSSPWNDGGYSPYEKNRVAVSPFSSPLEGEERIETSRSLGD HCFEPLPYVTNYLSVFALFGKEMFGDKGNVSSRNEYLLKKYYSLKKPFVLRHNGHSLK NPDMPPQRNDILQTNFMVDKFLNRTVRSVNFNNFKIISDMQSKSARGTKTGTSQDQGS DAIQNICLPSIPSALPYFQYYRKLLTVNTKEWDILKLHSLWVPNLRKNFKDFSLHGER NPSKATDDNYDEDIIMKKNLFFERSPGQQTLDGKGYVSKGYEISSGNLVIPSLFSEDK LPALTYHCSVELNGNIYILGGLMPCYSYEEDAPMLNDFFVDGIKNLPPPLLPQVINNP SMVNNPHLYVASIPSCRFSTPKMRGYIPPPLLCVQGSRLTDRHIFFYGGFEIRTETRG DENGKYHLKKRLYVNNTGYILDIVSFKFTKIDIIVQPSKYNAYPTMSSRFGHLQISID NPNRRNSVHSASMNDGHKMGSVPMKQGTSITSGRLEKAAVLSSLPHSNTAPYCMHTII IFGGYRQTGDDRYEAMNDLWKIEVPVIRRGKKGYCKFSETANAVLLTPREKDKLDWPE ERAFAAFSVHGTSLMDRSSLDMKLLGNLKKHFILKPSYISQDRVVSPKPVFPMMVHGR HQDLFNNGTAAQESPTSGVSASSAGTDDSFDPGINHDFDNFIVKQGIKSSSIPMTTIG RQRLILSQEKSVGKTIVLHGGSNGHNVLDDMWLMDLECETWTPIETFVRVDPSENGDE RLDSVNVGLVGHRMESIGRICVCIGGMVQEDVDQFYSGDDDEPPRKRSSGTLPLGCNF LNTIDLSTQCWEEHKITLSKKEEDGDGQDNEDDNANPNIVVGFGGTSLQCDKSIILIG GLMSRRSNLKEIYLHGTISKSIFPSVNPSA SPAR_A00110 MSPPSSSRINKSRTLGIVGAAIAILATSYYIYQKVTSTEDDNGI RPRKDVPTEENKKARKSKCIIMSRSIQGLPINWDEYAADEVVLLVPTTQTNGSIKQAI EDAFRKSKNEHKIIHCDSMDGLWSCVRRLGKFQCILNSKDFTSSGGSDAAVVPEDIGR FVNFVIDSDIEDVLIDTLCN SPAR_A00120 MSPSAVQSSKPQEQSSEIDKLKAKMSQSASAAQQKKEHEYEHLT SVKIVPQRPISDRLQPEIATHYSPHLDGLQDYQRLHKESIEDPAKFFGSKATQFLNWS KPFDKVFIPDPETGRPSFQNNAWFLNGQLNACYNCVDRHALKTPNKKAIIFEGDEPGQ GYSITYKELLEEVCQVAQVLTYSMGVRKGDTVAVYMPMVPEAIITLLAISRIGAIHSV VFAGFSSNSLRDRINDGDSKVVITTDESNRGGKVIETKRIVDDALRETPGVRHVLVYR KTNNPSVAFHAPRDLDWATEKKKYKTYYPCTPVDSEDPLFLLYTSGSTGAPKGVQHST AGYLLGALLTMRYTFDTHQEDVFFTAGDIGWITGHTYVVYGPLLYGCATLVFEGTPAY PNYSRYWDIIDKHKVTQFYVAPTALRLLKRAGDSYIENHSLKTLRCLGSVGEPIAAEV WEWYSEKIGKNEIPIVDTYWQTESGSHLVTPLAGGVTPMKPGSASFPFFGIDAVVLDP NTGEEVNTSHAEGVLAVKAAWPSFARTIWKNHDRYLDTYLNPYPGYYFTGDGAAKDKD GYIWILGRVDDVVNVSGHRLSTAEIEAAIIEDSIVAECAVVGFNDDLTGQAVAAFVVL KNKSSWSTATDDELQDIKKHLVFTVRKDIGPFAAPKLIILVDDLPKTRSGKIMRRILR KILAGESDQLGDVSTLSNPGIVRHLIDSVKL SPAR_A00130 MIFLNTFVRCLLTCLVLCSGTARSSDTNGTTPASAKHLQTTSLL TCMDNSQLTASFFDVKFYPDNNTVIFDIDATTTLNGNVTVKAELLTYGLKVLDKTFDL CSLGQVSLCPLSAGRIDVMSTQEIESSITKQFPGIAYTIPDLDAQVRVVAYAQNDTEF ETPLACVQAILSNGKTVQTKYAAWPIAAISGVGVLTSGFVSVIGYSATAAHIASNSIS LFIYFQNLAITAMMGVSRVPPIAAAWTQNFQWSMGIINTKFMQNIFDWYVQATNGVSN VVVANKDVLSISVQKRAISMASSSDYNFDSILDDSDLYTTSEKDPSNYSAKILVLRGI ERVAYLANIELSNFFLTGIVFFLFFLFVVVVSLIFFKALLEVLTRARILKETSNFFQY RKNWGSIIKGTLFRLSIIAFPQVSLLAIWEFTQVNSPAIVVDAVVILLIITGLLVYGT IRVFIKGRESLRLYKNPAYLLYSDTYFLNKFGFLYVQFKADKFWWLLPLLSYAFLRSL FVAVLQNQGKAQAMIIFVIELAYFVCLCWIRPYLDKRTNVFNIAIHLVNLINAFFFLF FSNLFKQPAVVSSVMAVILFVLNAVFALFLLLFTIVTCTLALLHRNPDVRYQPMKDDR VSFIPKIQNDFDGKNKNDSELFELRKAVMDTNENEEEKMFRDDTFGKNLNTNTNTARL FDDETSSSSFKQNSSPFDASEVTEQPVQPTSAVMGTGGSFLSPQYQRASSASRTNLVP NNKSTSSLMKPESSLYLGNSNKSYSHFNNNGSNENNRNTNPYL SPAR_A00140 MVDNSTQQAPHVGNGDNSTTKPYSEAFFLGFNNPTPGLEAEHSS TSPAPENSETHNRKRNRISFVCQACRKSKTKCDREKPECGRCIKHGLKCVYDVSKQPA PRIPSKDAIISRLEKDMLYWKDKAMKLLTEREVDESGKRSASPINTSKANGESPDTKR LHKMETIYDQSANEDVNSGDRNDIEINLYRSHPTMIMSKVMKREVKPLSENYIIIQDC FLKILVTSVFLDTSKNTMIPALTANANITRAQPSVANNLLKLKEMLIRQCQTEDEKNR VNEFTDRILQNTNSNRNLKIGMLLSMLYNSVGYQYLEDHCPQGGEYSDLLRSLIAECE AILPSYEIIECYKNHFYEYVYPSLPFIELEIFEESLSQTIFPDPNNPSKVKIRMGSTH LRAKVENLSLLLVILKLSYMSIRFLDHKTADSSYYLSKEIIDKYPIPNDFILLSQRCL ASENWCACANENIISCLLYIWSFFAFSPEEGDFFLEHPTDVISSLIMMLSTSIGLHRD PSDFPQLISPSTSDKRTLNHRRILWLSIVTVCSFEASLKGRHSVSPISLMALFLNIKD PDSLTVYMNRVRGDLSDLNNHKLLRIHEFTFKRAQLALLLSDLDNLTMTYYGSFHLHS IEFIREKIEIFVEENFPIAPLKSVAQDKSDLDDANVIAEMNILSSENSSSFHNRIMNK LLMLRTSMAIFLHFESQITKDESIFPFYKKYFMVSCMDALSLINYFNKFFNGEYRHAI SSLTSFNVTKFIQLALSSTIFSLLGIILRIGLAIHMLSSEVQKLSATTDARIKELNAK VEKFSILQRDLESALEGIYCSASEHLRFTYFPVFKMLALFDVIVQRMRKGELWHGIFT MIQMEQMHSRIIKTLSITLGVKLDKKDRLLEELMASNHVASFSVEDIDELNGNIKKEI QISSGLKPPVNTIDLSNGEPFGSAAPTITKTWSSSLDNLEKLSSAAAVGQNLDYNSGL RQGPLAGGGSKEQTPMARINSLNNSVNATPIVDNSSGSQLPNGFDRSQANDTPFPGYF GGLDLFDYDFLFGNDFA SPAR_A00150 MASHQPGKCCFEGVYHDGTAKGCYEEIFGLDTYATGSTSPNEKV IVILTDVYGNKFNNVLLTADKFADAGYMVFVPDILFGDAIPLDKPIDRDAWLQRHSPE VTKKIVDGFMKLLKLEYDPKFIGVVGYCFGAKFAVQHINGKVGLANAAAIAHPSFVSI EEIEAIDSKRPLLISAAEEDHIFPANLRHLTEEKLKDNHAIYQLDLFSGVAHGFAARG DVSIPAVKYAKEKVLLDQIYWFDHFSKV SPAR_A00160 MTKETIRVVICGDEGVGKSSLVVSLTKAEFIPTIQDVLPPISIP RDFSSSPSYSPTNTVLIDTSDSDLIALDHELKSADVIWLVYCDHESYEHVSLFWLPHF RSLGLNIPVILCKNKCDSISNANANAMAASENSDDDIDTKVEDEEFIPILMEFKEIDT CIKTSAKTQFDLNQAFYLCQRAITHPISPLFDAMVGELKPLAVMALKRIFLLCDLNQD SYLDDNEILNLQKKCFNKSIDVNELNFIKDLLLDISKHDQEYVNRKLYVPGKGITKDG FLVLNKIYAERGRHETTWAILRTFHYTDSLCINDKILHPKLVVPDTSSVELSPKGYRF LVDIFLKFDIDNDGGLNNQELHRLFKCTPGLPKLWTSTNFPFSTVVNNKGCITLQGWL AQWSMTTFLNYSITTAYLVYFGFQEDARLALQVTKPRKMRRRSGKLYRSNINDRKVFN CFVIGKPCCGKSSLLEAFLGRSFSEEYSPTIKPRIAVNSLELKGGKQYYLILQELGEQ EYAILENKDKLKECDVICLTYDSSDPESFSYLVSLLDKFTHLQDLPLVFVASKADLDK QQQRCQIQPDELADELFVNHPLHISSRWLSSLNELFIKITEAALDPGKNTPGLPEETA SKDVDYRQTALIFGSTVGFVALCSFTLMKLFKSSKFHK SPAR_A00170 MVRRWIPSSRYLRNNDNIDDDDDSEFTNSMDSGMSIPSFRDSVT TRSSHNDPMKPSLGNDSNKVKNLEKELTNAKIKIQVLYEYIRRISNKDGNAPSMANDA DFKNSIIEGLNLEINKLKQSLKTRETEYQDTLQFVQENLENSESIVNTINHLLSFILT HFDGQDENAHLLDKEEREGLEETLELSSDYVLEKMDTLSKFIMQFLQDFLHSKGQAEP KEDEEEFRSLAQSSPARSHFESKGSLSSEQDDADSGFQNDEIHDNNNQIDTENVMANS TSLPISTVESHFEKTLDTQLEIVIENLHKEYDQFINSIRLKFEKSQKLEKMIASKLNE QSHLLDSLELEENSNSVIQKQDHLISQLKEKIESQSALIKNLEKLKKDISRMEQNEKV LTKELETQTKINKLKENNWDSYINDLEKQINDLQIDKSEEFQIIQNQLDKLDLENYQL KNQLNTLDNQNLILSQYETNFIKFNQNLLLHLDSVFRILQKILQESSIVQFDRKMKSI KSIPNVLKNLNTIQPKLESLYTFIETALESIIDSYISSLIAMETPEQQHQQDDEPAAA PNKELSLRIEELQRRWISERERRKLDANASEARIKALEQENESLRSKLFNLSINNS SPAR_A00180 MKRSQTMLRSISVKNARWPTILTGPKLWYSTQMAMTPEEEMITD KLQQELEPEVCKVQDVSGGCGSMFAINITSKKFNGLSLIKQHQLVNRILKDDISRWHG LQLTTKKASGKGPASS SPAR_A00190 MFKRAMSTDGPVARTILKRLERGFPDYKNFAFGLYNDSHKHKGH AGVQGNVSAETHFRIEMVSKKFEGLKLPQRHRMVYSLLQDEMAQTNGIHALQLSLKTP QEYESKAK SPAR_A00200 MLRTTRLWTTRMPTVGKLFLRNSSSNALNKNKLPFLYSSQGPQA VRYTAQHEWIAVHQDQTAFVGITKYATDALGDATYVELPEVGTEIAQGESLGSIESVK SASEIYQPADGTVEEINTNLEENPGVVNEDPMGDGWLVKMKLGEGVNVEQVEGLMSLE QYEKTLVHDD SPAR_A00210 MSSAEMEQLLQAKTLAMHNNPTEMLPKVLETTASMYHNGNLSKL KLPLAKFFTQLVLDVVSMDSPIANTERPFIAAQYLPLLLAMAQSTTDVLVYKNIVLIM CASYPLVLDLVAKTSNQEMFDQLCILKKFVLSHWRTAYPLRTTIDDESDVEQWLVQID QNIGVKLATIKFMSEVVLSQTKSSGGNEINSSTIPDNHPVLNKAALESEAKRLLDMLL NYLIEEQYMISPVFIGIINSLSFVIKRRPQTTIRILSGLLRFNVDAKFPLEGKSDLNY KLSKRFVERAYKNFVQFGLKNQIITKSLSSGSGSSIYSKLTKISQTLHVIGEETKSKG ILNFDPSKGNSKKSLSRQDKLKYISLWKRQLSALQSTLGMSTNTSTPVSAPTMGSSTE NMLDQLKILQKYTLNKASHQGSTFFNNSPKPINNTYSSVYSLMNSSNSNQDVTQLPND MLIKLSTEAILQMDTTKLITGLSIVASRYTDLMNTYANSVPSSSSSKRKSDDDDDSSN DKEVGVDDTAADNKKIKRETEELAEEPEEPEDDDRMQKMLQQEESAQENSGEANGSSS ATKEIAPPFEPDSLTQDEKLKYLSRLTTKLFELSSHQDITPAKSSSSSPILLDDDDSS SWLHVLIRLITRGIAAQEASDLIREELLSFFIQDFEQRVSLIIEWLNEEWFFQTSQRQ EPSNYKKWSLRVLESLGPFLENKHRRFFIRLMSELPSLQCDHLGALKPICLDPARSSL GFQTLKFLIMFRPPVQDTVRDLLHQLKQEDEGLHKQCDSLLDRLK SPAR_A00220 MKRSTLLSLDAFAKTEEDVRVRTRAGGLITLSCILTTLFLLVNE WRQFNSVVTRPQLVVDRDRHAKLELNMDVTFPSMPCDLVNLDIMDDSGELQLDILDAG FTMTRLDGEGRPVGDTTELHVGGNSDGNTPVNDDPNYCGPCYGAKDQSQNENLAQEDK VCCQDCDTVRSAYLEKGWAFFDGKNVEQCEREGYVSKINEHLNEGCRIKGSAQINRIQ GNLHFAPGKPYQNTYGHFHDTSLYEKTPNLNFNHIINHLSFGKPIQSHHKLLDNDKRH GGAIVATSPLDGRQVFPDRATQFHQFSYFAKIVPTRYEYLDNVVIETAQFSATYHSRP LIGGRDKDHPNTLHARGGIPGLFVFFEMSPLKVINKEQHGQTWSGFILNCITSIGGVL AVGTVMDKLFYKAQRSIWGKKSQ SPAR_A00230 MAIQTRFASGTSLSDLKPKPSATSISIPMQNVMNKPVTEQDSLF HICANIRRRLEVLPQLKPFLQLAYQSSEVLSERQSLLLSQKQHQELLKSNGANRDSND MAPTLRSSSISTATSLMSMEGISYTSSNPSVSPNMEDTLLTFSMGILPITMDCDPVTQ LSQLFQQGAPLCILFNSVKPQFKLPVIASDDLKVCKKSIYDFILGCKKHFAFNDEELF TISDVFANSTSQLVKVLEVVETLMNSCPTIFPSRSKTQQIMNAENQHQHQPQQLSKKH NDYVKIIKEFVATERKYVHDLEILDKYRQQLLDSNLITSEELYMLFPNLGDAIDFQRR FLISLEINALVEPSKQRIGALFMHSKHFFKLYEPWSIGQNAAIEFLSSTLHKMRVDES QKFIINNKLELQSFLYKPVQRLCRYPLLVKELLAESSDDNNTKELEAALDISKNIARS INENQRRTENHQVVKKLYGRVVNWKGYRISKFGELLYFDKVFISTTNSSSEPEREFEV YLFEKIIILFSEVVTKKSTSSLILKKKSSTSASMSASNITDNNGSPHHNYHKRHSNSS TSNNIHLSSSSAAAIIHSSSNSSDTNSNNSSSSSLFKLSANEPKLDLRGRIMIMNLNQ IIPQNNRSLNITWESIKEQGNFLLKFKNEETRDNWSSCLQQLIHDLKNEQFKARHHST TSTTSSTAKSSSLMSPTSTMNTPNHHNSRQTHDSMASFSSSHMKRVSDVLPKRRTTSS SFESEIKSISENFKNSIPESSILFRISYNNNSTSTTSSEIFTLLVEKVWNFDDLIVAI NSKISNTHNNTVPPITKIKYQDEDGDFVVLGSDEDWNVAKEMLAENNEKFLNIRLY SPAR_A00240 MAILKDTIIRYANASYATATGTSTATAAASAASCPNLPLLLQKR RAIASAKSKNPNLVKRELQAHHSAISEYNNDQLDHYFRLSHTERPLYNLTNFTSQPQV NPKMRFLIFDFIMYCHTRLNLSTSTLFLTFTILDKYSSRFIIKSYNYQLLSLTALWIS SKFWDSKNRMATLKVLQNLCCNQYSIKQFTTMEMHLFKSLDWSICQSATFDSYIDIFL FQSTSPLSPGVVLSAPLEAFIQQKLALLNNAAGTAINKSSSSQGPSFNINEIKLGAIM LCELASFNLELSFKYDRSLIALGAINLIKLSLNYYNSNVWENFNLALEEDGEDLDIKL SEISNTLLDVAMDQDSFPSSFKSKYLNNNKTSLAKSLLDALQNYCIQLKLEEFYRSQE LETMYTNIFSQSFDYDSLTCVYSNATTPKSATVSSAATDYFSDHTHLRRLTKENISPP FAFTPTSSSSSPSPFNSPYKTSSSMTTPDSASHHSHSGSFSSTQNSFKRSLSIPQNSS IFWPSPLTPTTPSLMSNRKLLQNLSVRSKRLFPVRPMTNAHPCAAPTQLKKRSTSSVD CDFNDSSNLKKTR SPAR_A00250 MGWFWADQKNTGKNVGGAAATSMSGCPVMHESSSSSSPPPSECP VMQGDEDRINPLNNMPELAASKQPGQKMDLPVDRTISSIPKSPDSNEFWEYPSPQQMY NAMVRKGKISDSGEVAEDAVESMVQVHNFLNEGCWQEVLEWEKPHTDESHVQPKLLKF MGKPGVLSPRARWMHLCGLLFPSHFSQELPFDRHDWIVLRGERKAEQQPPAFKEVRYI LDFYGGPDDENGMPTFHVDVRPALDSVDSAKDRMTRFLDRMISGPPSSSSAP SPAR_A00260 MSRLERLTSLNVVAGSDLRRTSIIGTIGPKTNNPETLVALRKAG LNIVRMNFSHGSYEYHKSVIDNARKSEELYPGRPLAIALDTKGPEIRTGTTTNDVDYP IPPNHEMIFTTDDKYAKACDDKIMYVDYKNITKVISAGRIIYVDDGVLSFQVLEVVDD KTLKVKALNAGKICSHKGVNLPGTDVDLPALSEKDKEDLRFGVRNGVHMVFASFIRTA NDVLTIREVLGEQGKDVKIIVKIENQQGVNNFDDILKVTDGVMVARGDLGIEIPAPEV LAVQKKLIAKSNLAGKPVICATQMLESMTYNPRPTRAEVSDVGNAILDGADCVMLSGE TAKGNYPINAVTTMAETAVIAEQAIAYLPNYDDMRNCTPKPTSTTETVAASAVAAVFE QKAKAIIVLSTSGTTPRLVSKYRPNCPIILVTRCPRAARFSHLYRGVFPFVFEKEAVS DWTEDVEARINFGIEKAKEFGILKNGDTFVSIQGFKAGAGHSNTLQVSTV SPAR_A00270 MDMEVRDSSVVDDLNLQKLDSNVFFGPCEILTQPILLQYENIKF IIGVNISTEKIASFYTQYFRNSNSVIVNLCSPTTAATKKPTIGLYIQNNTILLQKLVG QYLQMGKKIKTSLTQARTDTIQSLPQFCNSNVLSDEPLVLYQAFNDLLVLFKSFSHFG NILVVSSHSYDCVLFKFLISRVMTYYPIATIRDSMQYMKAILNISISASDELDILNDK ELQEFGQTQENLKRRQTSSAKRRCGNLPENSTMDNKIIMGTTKRGHF SPAR_A00280 MSTTVEKIKAIEDEMARTQKNKATSFHLGQLKAKLAKLRRELLT SAASGSGGGAGIGFDVARTGVASVGFVGFPSVGKSTLLSKLTGTESEAAEYEFTTLVT VPGVIRYKGAKIQMLDLPGIIDGAKDGRGRGKQVIAVARTCNLLFIILDVNKPLHHKQ IIEKELEGVGIRLNKTPPDILIKKKEKGGISITNTVPMTHLGNDEIRAVMSEYRINSA EIAFRCDATVDDLIDVLEASSRRYMPAVYVLNKIDSLSIEELELLYRIPNAVPISSGQ DWNLDELLQVMWDRLNLVRIYTKPKGQIPDFTDPVVLRSDRCSVKDFCNQIHKSLVDD FRNALVYGSSVKHQPQYVGLNHILEDEDVVTILKK SPAR_A00290 MAKKSKKNQQNYWDEDFEEDAAQGEEIGATPTPNPESSVSADDA SKEASASAEGAEAIESDFMSTLKQSKKKQEKKVIEEKKDGKPVLKSKKEKEKEKKEKE KQKKKEQAARKKAQQQAQKEKNKELNKQNVEKAAAEKAAADKSQKSDDKPSASTKKPA KKVPAGLAALRRQLELKKQLEEQEKLEREEEERLEKEEEERLANEEKMKEEARAAKKE KEKAKREKLKAEGKLLTRKQKEEKKLLERRRAALLSSGNVKVAGLAKKDGEEIKPKKV VYSKKKKRTTQESASESTKSESKTDLEVVSDELKESEDVLIDDWENLALGDDDDEEVT NEETQESTANHENEDQNQDDEEEEEEEEERGQVEEVIRSTPAETPTSSSTSPNKKDLR SPICCILGHVDTGKTKLLDKIRQTNVQGGEAGGITQQIGATYFPIDAIKAKTRVMAEY EKQTFDVPGLLVIDTPGHESFSNLRSRGSSLCNIAILVIDIMHGLEQQTIESIKLLRD RKAPFIVALNKIDRLYDWKAIPNNSFRDSFAKQSRAVQEEFQSRYSKIQLELSEQGLN SELYFQNKNMSKYVSIVPTSAVTGEGVPDLLWLLLELTQKRMSKQLMYLSHVEATILE VKVVEGFGTTIDVILSNGYLREGDRIVLCGMNGPIVTNIRALLTPQPLRELRLKSEYV HHKEVKAALGVKIAANDLEKAVSGSRLLVVGPDDDEDELMDDVMDDLTGLLDSVDTTG KGVVVQASTLGSLEALLDFLKDMKIPVMSIGLGPVYKRDVMKASTMLEKAPEYAVMLC FDVKVDKEAEQYAEQEGIKIFNADIIYHLFDSFTAYQEKLLEERRKDFLDYAIFPCVL QTLQIINKRGPMIIGVDVLEGTLRVGTPICAVKTDPTTKERQTLILGKVISLEINHQP VQEVKKGQTAAGVAVRLEDPSGQQPIWGRHVDENDTLYSMISRRSIDTLKDKAFRDQV ARSDWLLLKKLKVVFGIE SPAR_A00300 MSAPTMRSTSILTEHLGYPPISLVDDIINAVNEIMYKCTAAMEK YLLSKSKIGEEDYGEEIKSGVAKLESLLENSVDKNFDKLELYVLRNVLRIPEEYLDAN VFRLENQKDLVIVDENELKRSEEELREKVNDVELAFRRNEMLSKRVAKVKRLLFMIKG LKKKLNELLKCKDDKQLQKVLESLKPIDDTMTLLTNSLRKLYVDSESTSSTEEVEALL QRLKTNGKQNNKDYRTRYIDLRTNNVLRKLGLLGDKEEEKQPAEPDVRKQERGIANLV IEEPQLDLLDDVL SPAR_A00310 MGLYSPESEKSQLNMDYTAKDDSQSIFRRLNRNLKASNNNNDNN RSGLNMSDYSNNSPYGRSYDVRINQNSQNNGNGCFSGSIDSLVDEHIIPSPPLSPKLE SKIDHNGSPRMLSSALVGSTPKGPVENVLFVKPVWPNGLSRKRYRYATYGFLSQYKIF SNLAQPYSKNIINRYNNLAYNARHKYSKYNDEMTPPPSSSRLPSPLASPNLNRQARYN MRKQALYNNNLGKFESDTEWIPRKRKVYSPQRRTMTTSPHRAKKFSPSASAPHTNIAS IEAIHDAPQYIPNVSWKKLPDFTPPLSTLPADSNKSLKIEWKGSPMDLSTDPLRNELH PAELVLAQTLRLPCDLYLDSKRRLFLEKVYRLKKGLPFRRTDAQKACRIDVNKASRLF QAFEKVGWLQDSNFTKFL SPAR_A00320 MVRLKSRYILFEVIFPPTDTNVEESVSKADILLSHHRASPADVS IKSIVQEIRRSLSLNLGDYGSAKCSSLLQLKYFSNRTSTGIIRCHREDCDLVIMALML MSKIGDVDGLIVNPVKVSGTIKKIEQFAMRRNSKILNIIKSSQSSHLSDNDFVINDFE KIGNENENEND SPAR_A00330 MFSSRLPPPKHSQAQVSTTSSSDRVEPAILTDQIAKNVKLDDFI PKRQSNFELSVPLPTQADIQECSTRTKSYIQRLVNAKLSNSNNRASSRYVTETHQAPT NLILNNSRHIEVVSKQMDPLLPRFVGKKARKVVAPTENDEVVPVLHTDGSNDKEEADP NDWKIPAAVSNWKNPNGYTVALERRVGKAPDNENAINDGFMKLSKALENADKKARQEI KYKMELKRLAMEQETLAKESKLKELSQRARYHNGTPQTGAVVKPKKQTSTVARLKELA YSQGRDVSEKVILGAAKRSEQPDLQYDSRFFTRGANASAKRHEDQVYDNPLFVQQDIE SIYKTNYEQLDQAVNVKSEGSSGSHGPIQFTKAESDDKSDNFGAQAQDEQ SPAR_A00340 MVDVLKRKKLLAKAAASASIPAIKGSVPLDSFDIKIIQYKNALY KLSELNRLLNVLVPHLKKKRDNDESYKIIPLVNFILSLCEGPIFNVSPVLAKRYHLLC RFQLVKLSEVQQRLSTNFIDVEGWMFPEEVPLDHYKSCIYNNSLQWKILNSLSCIAQN AVKIYSAKLRQILLERDAYKARSLPFDTSIIEDLLNPVEMTLILDLAVLINDPVKDKS THSFYKLQWQVMEKLTSCVHSKIFPILRTYYNQLQKFSETKPTSLPNLQKDLPHWEWT LHRIYTFHLRVFSVLCVIISFSRQIFLPNKQYFLDIKTRLCSENAYHYDLIIGELMAL LSPECDDSTTLLELQENLKFWTQTTRTDNNSSRTPIFHLQPGLVIELFNNHIRKIIPK LRSIMTLLSSWMDCWKYIERNYKTFDGTNDLQERLKEKLERDKSMYLELKNAKGKLKK KPSITKLPAPSSPSPSPTSSARPSRQASLESIRTRARAHLASNSSRSPSLSPIRTAFS NKNVEMKKSMVSPEKRKIINGRRPRSSSLQSYTNKQQTSYLNSTRHPSIAPPSKLSNQ RSNSLQSSTMTLNQKIVQDTVRHLMSKTSSTPNPSASSSLAPSPKISSINNTASVKSS STLTTNSSDSLAIETLTLDPESSSSELLIKRVRFAGVPPMTEAENPKPTKVGWYKKPA VLHYPPIPASAMIKPLQHRSKYNTLRQEEGFTFRKSLRDGLELENGESGSETTMMPFG IEIKESTGHRIASKIRSKLR SPAR_A00350 MSSSTPFDPYALSEHDEERPQNVQSKSRTAELQAEIDDTVGIMR DNINKVAERGERLTSIEDKADNLAVSAQGFKRGANRVRKAMWYKDLKMKMCLALVIII LLVVIIVPIAVHFSR SPAR_A00360 MSFEAGTKCWYPHKEQGWIGGEVTKNEFCEGTYHLELKLEDGET VSIETDSLENDGHHPTLPVLRNPPILESTDDLTTLSYLNEPAVLHAIKKRYMDGQIYT YSGIVLIAANPFDKVDHLYSREMIQNYSSKRKDELEPHLFAIAEEAFRFMVHEKANQT VVVSGESGAGKTVSAKYIMRYFASVQESNNGEGEMEMSQIESQILATNPIMEAFGNAK TTRNDNSSRFGKYLQILFDENTTIRGSKIRTYLLEKSRLVYQPQTERNYHIFYQILEG LPKPLKQELHLSSAKDYHYTNQGGQPDIVGVDDAQEYKITTDALSLVGITHETQLGIF KILAGLLHIGNIEMKMTRNDASLSSDEPNLQIACKLLGIDAFNFAKWIVKKQIITRSE KIVTNLNYNQALIARDSVAKFIYSTLFDWLVDNINKTLYDPELDQQDHVFSFIGILDI YGFEHFEKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVKEEIEWSFIEFSDNQPCI DLIENKLGILSLLDEESRLPSGSDESWTSKLYSAFNKPPSNQVFSKPRFGQTKFIVSH YAVDVAYEVEGFIEKNRDSVSSGHLDVFKATTNQIFKQILDNEEPRTDDALQEQSTEK KITMPPRLSQKKPTLGSMFKKSLGELMAIINSTNVHYIRCIKPNSEKMSWKFDNLMVL SQLRACGVLETIRISCAGFPSRWTFDEFVQRYFVLTDYSQWSKILHNPDLPKETIVNF CQSILDATISDSAKYQIGNTKIFFKAGMLAFLEKVRTNKMNRICIIIQKKIRARYYRL QYLQTMESIKKCQNQIRSLLVRARVDRELKTRAAILLQSNIRAVCKRRYYRAAIRQIM QLQCTCKRKLILDNINRQFILMATISIQSYVRSYGHKTYYRTAKKSSILVQSTMRMQL AKRRYIVLQKEEEERNIKANYGTGLLEEAIEFKNSFIMNLEMLNDSYIRLTQLLQGDF SNISSKERQEYETIVNGYNDKISKLKTLQVEIMNALNEKNALKERKKKQSSLIHSHMQ SLTHIKGSKPSRLSDEVRSIKQELAFIENVIAQDFTTTYSANKNDKVKGLGIAGQQVK PKLVNVIRRETGNPDLLELLMDLNCYTLEVTEGYLKKVNATEVNEDNVLGPIHVITTV VSSLVRNGLLIQSSKFVSKVLLTIESIAMNLPKDQTMLGGIFWLSNLSRFPAFAANQK TLYEENGSDEKDKLTLVYLNDLENETLKVFDKIYSTWLVKLMKHASTSMEISGMVLNE KLFKDPGDEKFAKLFTFLNEFDAVMCKFQVGNSMRTKIFNDTLKCLNVMLFNDLITKC PALNWKYGYEVDKNIGQLTGWFEPRIEDARSNLIQMVQGVKILQLKMGSLNEFKLLFD FWYALNPAQIQAILLKYKPANRGEAGVPNEILNYLANLVKRENLSLPGKMEIMLSTEF DSAKNHLHYDTGAIPHNCNTEGLTNVNKIIELSRKK SPAR_A00370 MQNAQTRSSSTDSGVGGIGGNSKNGVEKRPLTDVKQTMDAEAAD AAFGHKSTVETKPNMGWQASHSNLAALHEKERKYEMEHHHARHKLHRQVIPDYTSAST AMFSDCMFNTAPSLSTVKSSGLSPKHPFNIVATFKGPFPQHSMKSKPLDGGYSAKDPF PSFRMLQGQQHPAHRHYKDNDNYGLKSPSRSFVKDKKRLVHRFLKSMEPSSSVHSKDS STLAPPFNPILPNVMPKPSKRPYRHRSHTSDGSSSSQTDISLQSLLYHDLETSPKKDV SPLPFAKNSRPPSVVSESSPAVGNSNGLSPKDVCNSSLSLSSSSSLSSSSLSSSSTSF SQSVVIDPLEPPGNITYSSSNLSLNSDELDYYQRHIGLQLQQTEALLKHNLKDEVLKD ENELVKNIASFDKTLKELRDLKLRTIGWKELVEEDYLRNLKQDFDKKNPESFESRLSD TINTNVAKLQDLEQRMASCKERLASRKETMRKMESLLSLENSLMISKKNVTFTSKYRN EALDILFLIIIIVVCYTFKYLIWHH SPAR_A00380 MAPSIATVKIARDMVLPLRIFVNRKQLLQSNEKTGNKSNATIFE APLLSNNSIVCLKSPNTRIYLSQQDKKNLCDEIKEDLLLIVYELASPEIISSVLSKIR IGHSIDFQTKVFPKLFAGADTDDVVTSHIRTVTRLAKFKYKLEYKHKWELDIFINSIK KIANLRHYLIFQTLTLNGFSLNAGPKTLLAMKIEKQPQVPNLLTENEEADALVTPIEE DIKPVIEFMYKPVINLGEIIDIHVLHRPRRHKICTQSNQPQEE SPAR_A00390 MNDDRKPPPKRKPGEDDTLFDIDFLDDTTPPSESHSKTTNSHAN ANYVPPSHVFPEETIDLSADDDNIENDVHENPFMSNNDDDQTSWNANRFDSSAYQPQS LRAVKPPGLLTRFGNGLKNAFTFKRKKGPESFEMNHYNAVTNNELDDSYLNSRNKFNI KILFNRYILRKNGSDAEGNGEPRVIHINDHLANSSFGYSDNHISTTKYNFATFLPKFL FQEFSKYANLFFLCTSAIQQVPHVSPTNRYTTIGTLLVVLIVSAMKECIEDIKRANSD KELNNSTAEIFSEAHDDFVEKRWIDIRVGDIIRVKSEEPIPADTIILSSSEPEGLCYI ETANLDGETNLKIKQSRVETAKFIDVRTLKNMKGKVVSEQPNSSLYTYEGTMTLNDRQ IPLSPDQMILRGATLRNTAWIFGLVIFTGHETKLMRNATATPIKRTAVEKIINRQIIA LFTVLIVLILISSIGNVIMSTADAKHLSYLYLEGTNKAGLFFKDFLTFWILFSNLVPI SLFVTVELIKYYQAFMIGSDLDLYYEKTDTPTVVRTSSLVEELGQIEYIFSDKTGTLT RNIMEFKSCSIAGHCYIDKIPEDKTATVEDGIEVGYRKFDDLKKKLNDPSDEDSPIIN DFLTLLATCHTVIPEFQSDGSIKYQAASPDEGALVQGGADLGYKFIIRKPNSVTVLLE ETGEEKEYQLLNICEFNSTRKRMSAIFRFPDGSIKLFCKGADTVILERLDDEANQYVE ATMRHLEDYASEGLRTLCLAMRDISQGEYEEWNSIYNVAATTLDNRAEKLDEAADLIE KNLILIGATAIEDKLQDGVPETIHTLQEAGIKIWVLTGDRQETAINIGMSCRLLSEDM NLLIINEETKDDTERNLLEKINALNEHQLSTHDMNTLALVIDGKSLGFALEPELEDYL LTVAKLCKAVICCRVSPLQKALVVKMVKRKSSSLLLAIGDGANDVSMIQAAHVGVGIS GMEGMQAARSADIAVGQFKFLKKLLLVHGSWSYQRISVAILYSFYKNTALYMTQFWYV FANAFSGQSIMESWTMSFYNLFFTVWPPFVIGVFDQFVSSRLLERYPQLYKLGQKGQF FSVYIFWGWIINGFFHSAIVFIGTILIYRYGFALNMHGELADHWSWGVTVYTTSVIIV LGKAALVTNQWTKFTLIAIPGSFLFWLIFFPIYASIFPHANISREYYGVVKHTYGSGV FWLTLIVLPIFALVRDFLWKYYKRMYEPETYHVIQEMQKYNISDSRPHVQQFQNAIRK VRQVQRMKKQRGFAFSQAEEGGQEKIIRMYDTTQKRGKYGELQDASANPFSDNNGPES NGFQSAEPFIENPFADGSQNPSRFSSSRDDFSFDI SPAR_A00400 MSDEIVWQVINQSFCSHRIKAPNGQNFCRNEYNVTGLCTRQSCP LANSKYATVKSDNGKLYLYMKTPERAHTPAKLWERIKLSKNYSKALQQIDDHLLHWSK FFRHKCKQRFTKLTQVMITERRLALREEERHYVGVAPKVKRREQNRERKALVAAKIEK AIEKELMDRLKSGAYGDKPLNVDEKVWKKIMGQMEDENSQDEEEDWDEEEESDDGEVE YVADDGEGEYVDVDDLEKWLADSDREASSASESESDSESDSDSDSDEENKNNAKRRKK GTSAKTKRPKVEIEYEEEHEVQNAEQEVAQ SPAR_A00410 MEIFSQKDYYPTPSSNVISYDSDCISKPVNSADLPALIVHLSSP LEGVDYNASADFFLIYRNFITPQDLHDLLIYRFRWCIREITTNAAKAKRRRLGEVALV RTFVLLRHSILNYFVQDFLPNITLRLRLIEFLNDKHIEQYPKIISSCLINLKKNWVHC SKLVWENIELNEPDKLDFDAWLHYSLKDFTQLGSLHKRGSRLSIYARQSFASPDFRNQ SVLSLYKTSDVFRLPEKLQSSNPSKSQRSPSMLLFPDNTSNVYSKHRIVKEPSVDTES EEVPDSKQKISHLSKVTLVSTLMKGVDYPSSYAVDKIMPPTPAKKVEFILNSLYMPED LNEQSGTLQGTSTTSSLDNNSNSNSRSNTSSMSVLHRSAIGLLAKWMKNHNRHDSSND KKFMGAMKPVNQKPEMDAFVKYVVSISSLNRKSSKEEEDEFLNPDSSKFDILSARTID EVESLLHLQNQLIEKVQTHSNGNRDHIVDVDCERREHILDIKLLQRNNFKPSNDNFSA MDNLDLYQTVSSIAQSVISLTNTLNKQLQSNESNMLPSPSCDALQRRKVKSLTTAYYN KMHGSYSTESMRLFDKDANSSRTDENGPQRLLFHETDKTNSEMIPSITPRRKNHSQSQ RSMTSSPLKNVLPDLKELSPLNDNREDTESITYSYDSELSSTSPPTDALTKKSSNIRK IINHTDSPALKTKTGFLNLREFTFEDTKSLDEKEKVSEEDKNNMGELEENCDNEENHE LQYNSTKKLDSFVDASSEANNCDVTAAKEHSPCNRKVKQAVVRPASGRISISRVQSIA ITPTKELSIINPEHNESNSVIEEISEIEPLNLECNKKSTLYSDTSSTVISISTSKLFE SAQNSPIKQIQSPQRELPGVTIVSESNRIRLSIAPTIESVVSDLNSITTSSTVETFET SRDSPVPHQRIINLREEYQRGYQDMISNTSSLHELKTIDLSDSNNDMESPSAHAQSNK YFFSPDDGSIDVASPMKNVEELKSKFLKNESEINSNVSGSVLTMDDIDINDASSARNT RRADSESAFSGSLDKENLNEIANMLDDSINDDPITVALMKLEGTYKKIPGKPENTKSS DAIRLKTSKLADEVEMLNINNLPSFQNSPAEKRKSLLIERRRQTIMNIPFTPDQSEKE GFASSSPEKTDVSSNVDVAIQAAQIQELIGQYKIHDSRLMISNNENHIPFILMYDSLS VAQQMTLIEKEILGEIDWKDLLDLKMKHEGPQVISWLQLLVRNETLSGIDLAISRFNL TVDWIISEILLTKSCKMKRNVIQRFIHVADHCKKFQNFNTLMEIILALNSSVVQKFTD AWRLIEPGDLLTWEELKKIPSLDRNYSTIRNLLNSVNPLVGCVPFIVVYLSDLSANAE KKDWILEDKVVNYNKFDTNVQIVKNFIQRVQWSKFYAFKVNHELLSKCVYISTLTQEE INELST SPAR_A00420 MSSSSSTGYSKNNAARIKQENTLRQRESSSVSVSEELSSADERD AEDFSKEKPAAQSSLLRLESFVMPVIFTALALFTRMYKIGINNHVVWDEAHFGKFGSY YLRHEFYHDVHPPLGKMLVGLSGYLAGYNGSWDFPSGEIYPEYLDYVKMRLFNASFSA LCVPLAYFTAKAIGFSLPTVWLMTVLVLFENSYSTLGRFILLDSMLLFFTVASFFSFV MFHNQRSKPFSRKWWKWLLITGISLGCTISVKMVGLFIITMVGIYTVIDLWTFLADKS MSWKTYINHWLARIFGLIIVPFCIFLLCFKIHFDLLSHSGTGDANMPSLFQARLVGSD VGQGPRDIAVGSSVVSIKNQALGGSLLHSHIQTYPEGSNQQQVTCYGYKDANNEWFFN RERSLPSWSENETDIEYLKPGTSYRLVHKSTGRNLHTHPVAAPVSKTQWEVSGYGDNI VGDNKDNWIIEIMDQRGNEDPEKLHTLTTAFRIKNSEMGCYLAQTGNSLPEWGFRQQE VVCMKNPFKRDKRTWWNIETHENERLPPRPEDFQYPKTNFFKDFIHLNLAMMATNNAL VPDPDKFDYLASSAWQWPTLNVGLRLCGWGDDNPKYFLLGTPASTWASSVAVLAFMAT VVILLIRWQRQYVDLSNPSNWNVFLMGGFYPLLAWGLHYMPFVIMSRVTYVHHYLPAL YFALIILAYCFDAGLQKWSRSKCGRIMRFVLYAGFMALVVGCFWYFSPISFGMEGPSS NFRYLNWFSTWDIADKQEA SPAR_A00430 MSNSADADITKKPILAVPEPALADTHSEEISISRDEHESQNNEH SDEEGDGNDSEREQSVSAEPLDTLPLKKKLRNLSYITFFTIGIGLLWPWNCILSASQY FKHDIFKDTSIWAKIFTSSMMSFSTISSMLFNIYLAKRQYKYSRRVINGLVWEIIVFI IMCFFTILHFLLPKWFNFMFIMGLVVISSMGTAMTQNGIMAIANVFGSEYSQGVMVGQ AVAGVLPSLVLFALAFIENSSVSTTGGILLYFFTTTLVVTICVVMFSVSKISRKVNES WNMEDGHITDVLLGSLRSNEEEIRIVGRIDQMDDENHHYANDTHDDNDEGEELQLKVP FEVLFAKLKYLVLSIFTTFVVTLVFPVFASATYVTGLPLSNAQYIPLIFTLWNLGDLY GRVIADWPMFRDQKFTPRKTFIYSLLRVAAIPLFLMFTAITSSSSGDEEHNGSVIVDL CYMLLQFLFGVTNGHVISMSFMKVPEQLDSDNEKEAAGGFTNIFVSTGLALGSIISYV FVFIIDVIIR SPAR_A00440 MNDPSLLGYPNLGPQQQQQQQQQQHAGLLGKGTPNALQQQLHMN QPSGIPPPGLMSNNDVHTPSNNNSRQLLDQLANGNTNMLNMNMDNNNNNNSNNNNNGG GNGVMMNASTATANPIGMVPTVGTPVNINVNASNPLLHPHLDDPSLLNNPIWKLQLHL AAVSSQSLGQPNIYARQNAMKKYLATQQAQQAQQQAQQQVQQQIPGQFGPGPQAAPPA LQPTDFQQSHIAEASKSLVDCTKQALMEMADTLTDSKTAKKQQPTGDSTPSGTAANSA VSTPLTPKIELFANGKEEANQALLQHKKLSQYSIDEDDDIENRMVMPKDSKYDDQLWH ALDLSNLQIFNISPNIFKYNFLTRLYLNGNSLTELPAEIKHLSNLRVLDLSHNRLTSL PAELGSCFQLKYLYFFDNMVSTLPWEFGNLCNLQFLGVEGNPLEKQFLKILTEKSVTG LIFYLRDNRPEIPLPHERRFIEINTDGEPQREYDSLQQSTEHLPTDLAKRTFTVLSYN TLCQHYATPKMYRYTPSWALSWDYRRNKLKEQILSYDSDLLCLQEVESKTFEEYWVPL LDKHGYTGIFHAKARAKTMHSKDSKKVDGCCIFFKRDQFKLVTKDAMDFSGAWMKHKK FQRTEDYLNRAMNKDNVALLLKLQHIPSGDTIWAVTTHLHWDPKFNDVKTFQVGVLLD HLETLLKEETSHNFRQDIKKSPVLICGDFNSYINSAVYELISTGRVQMHQEGNGRDFG YMSERNFSHNLALKSSYNCIGELPFTNFTPSFTDVIDYIWFSTHALRVRGLLGEVDPE YVSKFIGFPNDKFPSDHIPLLARFEFMKTNTGSRKV SPAR_A00450 MSCVYAFGSNGQGQLGLVHDEDMDTPQRSALGGDGTVVRKIACG GNHSVVLLNNGSLVGCGDNRRGELNNAQALQQVRGWRPVEIPAPVVDVACGWDTTVTV DAAGHVWQRGGGCYEFTQRHVPLHSNEERIAVYGCFQNFVVVQGTRVYGWGSNTKCQL QGQKCRSVTEPMLVYDTGSVPVDYVAMGKDFMIIVDEGGRIVHASGRLPTGFELEQQQ ERHGLVVTCMWTSIHLWDRRLNTVESFGRGTHSQLFPQEGLHFPIVDITTGSEHGILV TTSQEGESHYYKVYCWGWGEHGNCGPQKGSQRGLQLVGQYSGKPRVFGGCATTWIVF SPAR_A00460 MSGSHSNDEDDIVQVPETSSPTKAASSSPLKPTLSTVPDANVAS LRSRFTFKPSEPSEGAHASKPLPSGSPEVALVNLAKEFPDFSQTLVQAVFKSNSFNLQ SARERLTRLRQQRQNWTWNKNASPKKSETPPPVKKTLPLANTGRLSSIHGNVNNKSSK ITVAKQKTSIFDRYSNVINQKQYTFELPNNLNIDSEALSKLPVNYNKKRRLVRADQHL MGNSRESAATQLGSAREKLLANRRYGRHANDNDEEEEESMMTDDDDASGDDYTESTPQ INLDEQVLQFINESDIVDLSDLSDTTMHKAQLIASHRPYSSLSAFVSTNFNDKNTEEN ASNKRKRRAAASANESERLLDKITQSIRGYNAIESVIKKCSSYGDLVTSQMKKWGVQV EGDNSELDLMNLGEDNDDDDEDDSNNDNNHTNAAGPDGNGKEQEETKAVVEGFDETSA EPTPAPAEREVKRTRNTTKPEVDGDGEDVDLEAIDDELPQSEHEDDDYEEEDEDYNDE EEDVEYDDEDDDDDDDDEFVATRKNTHVVSTTSRNGRKPIVKFFKGKPRLLSPEISLK DYQQTGINWLNLLYQNKMSCILADDMGLGKTCQVISFFAYLKQINEPGPHLVVVPSST LENWLREFQKFAPALKIEPYYGSLQEREELRDILERNSGKYDVIVTTYNLAAGNKYDV SFLKNRNFNVVVYDEGHMLKNSTSERFAKLMKIRANFRLLLTGTPLQNNLKELMSLLE FIMPNLFISKKESFDAIFKQRAKTTDDNKNHNPLLAQEAITRAKTMMKPFILRRRKDQ VLKHLPPKHTHIQYCELNPIQKKIYDKEVQIVLEHKRMIKDGELPQDAKEKSKIQSSS SKNLIMALRKASLHPLLFRNIYNDKTITKMSDAILDEPAYAENGNREYIKEDMSYMTD FELHKLCCNFPNTLSKYQLHNDEWMQSGKIDALKKLLKTIIVDKQEKVLIFSLFTQVL DILEMVLSTLDYKFLRLDGSTQVNDRQLLIDKFYEDKDIPIFILSTKAGGFGINLVCA NNVIIFDQSFNPHDDRQAADRAHRVGQTKEVNITTLITKDSIEEKIHQLAKNKLALDS YISEDKKSQDVMESKVSDMLEDIIYDENSKPKGTKN SPAR_A00470 MSFTGSLALAGIGGLVYKFGGGQSYEKLPYVNTPFNQYLDKVYK KHLNKVMSRTRYVLMNFFKDAFTGGAFMYPFKGFLEFNTNKSSYSTTMLGILSGYLIM FALVSFVYWATITPMYTAFLIVLGPIGLFIAIFHSFLQANVFTLLFMRLSHFNNHLVE VCLEKNGLEEDFSDKKPIKYYVPINSIYFWAYYFPFKLVKYMLGLAVLSVLLVISFFP LVGPILFHILISPFITQIYFTKVLRLQNFDNIQRRENIYLHAGQYASFGFLAGLIESV PILAGFAISTNTIGSVLFNLDHPMIPETVVETQVEIEAVPQETNEQVNQ SPAR_A00480 MPYIGASNLSEHSFVNLKEKHAITHKGTGSSAASLQTPPSPDQE NHIDNELRNYDTSLSDISTPNKNEGDEFEQSLRDTFASFRKTKPPPPLDFEQPRLPST ASSSIDSTVSSPLTDEDIKELEFLPNESTHSYSYNPLSPNSLAVRLRILKRSLEIIIQ NPSMLLEPTPDDLPPLKEFAGRRRSLPRTSASANHLMNRNKSQIWNTTSATLNAFVNN TSSSPAASSALSSKKMGTPVFPNLDPTHSQTFHRANSLAYLPSILPEQDLQLKRNSSL FRGDYGNSISPERPSFRQPFKDQTSSLRNSSLLNDRTYQEEETFLPHHGPSIDLLNEQ RANLKSLLNLLNETLEKNTSERASDLHMISLFNLNKLMLGDPKKNNSERDKRTEKLKK ILLDSLAEPFFEHYNFIEDNPIGDTDELKEEIDEFTGSGDTTAITDIRPQQDYGRILR TFTSTKNSAPQAIFTCNQENPWQFRAANDLACLVFGISQNAIRALTLMDLIHTDSRNF VLHKLLSTEGQEMVFTGEIIGIVQPETLSSSKVVWASFWAKRKNGLLVCVFEKVPCDY VDVLLNLDDFSVESIVDKCELLSDGPTLSSSSTLSLPKMASSPNGSKLEYSLERKVLE KSYSKPTLTDDRNGDENQFDGDSQSESSLSLSPVRTKKSVKFANDIKDVKNISQSLAK LMDDVRNGVVFDPDDDLLPMPIKVCNHINETRYFTLNHLSYNIPCAVSSTVLEDELKL KIHSLPYQAGLFIVDSHTLDIVSSNKSILKNMFGYHFAELVGKSITEIIPSFPKFLQF INDKYPALDITLHKNKGLVLTEHFFRKIQAEIMGDRKSFYTSVGIDGLHRDGCEIKID FQLRVMNSKVILLWVTHSRDVVFEEYNTNPSQLKMLKESELSLMSSASSSASSSKKSS ARISTGALKDMSNLSTYEDLGHRTNKLKYEIGGESTAHSESTLSEQDQAPLEDEKDSG EIILADPEMKHKLELAKIYSRDKSQFVKEGNFKVDEDLIISKISLSPSSESLADSKSS GKGLSPLEEETLIDRNATENGLAKTPKDEDGIIMTKKRGNQPVSTFLRTPEKNIGAQK HTKKFSDFVGLQKMGEGAYGKVNLCIHKKNRYIVVIKMIFKERILVDTWVRDRKLGTI PSEIQIMATLNKNPHENILRLLDFFEDDDYYYIETPVHGETGCIDLFDLIEFKTNMTE FEAKLIFKQVVAGIKHLHDQGIVHRDIKDENVIVDSKGFVKIIDFGSAAYVKSGPFDV FVGTIDYAAPEVLSGNPYEGQPQDIWAIGILLYTVVFKENPFYNIDEILEGDLKFNNA EEVSEDCIELIKSILNRCVPKRPTIDDINNDKWLVI SPAR_A00490 MSGARSTTAGAVPSAATTSTTSNIKDSDSNESLYPLALLMDELK HDDIANRVEAMKKLDTIALALGPERTRTELIPFLTEVAQDDEDEVFAVLAEQLGKFVP YIGGPQYATILLPVLEILASAEETLVREKAVDSLNNVAQELSQEQLFSDFVPLIEHLA TADWFSSKVSACGLFKSVIVRIKDDSLRKNILALYLQLAQDDTPMVKRAVGKNLPILI DLLTQNLGLSTDEDWDYISNIFQKIINDNQDSVKFLAVDCLISILKFFNAKGDESHTQ DLLNSAVKLIGDEAWRVRYMAADRFSDLASQFSSNQAYIDELIQPFLNLCEDNEGDVR EAVAKQVSGFAKFLNDPSIILNKILPAVQNLSMDESETVRSALASKITNIVLLLNKDQ VINNFLPILLNMLRDEFPDVRLNIIASLKVVNDVIGIELLSDSLLPAITELAKDVNWR VRMAIIEYIPILAEQLGMQFFDQQLSDLCLSWLWDTVYSIREAAVNNLKRLTEIFGSD WCRDEIISRLLKFDLQLLENFVSRFTVLSALTTLVPVVSLDVVTEQLLPFISHLADDG VPNIRFNVAKSYAVIVKALIKDEAKYDALIKNTILPSLQTLCQDEDVDVKYFAKESLA ECQQLLKN SPAR_A00500 MQKICKYSSMAILRKRPLLKTETGSESEFFPQKRTKIKQEEVIP QPVDINWVKSLPNKQYFEWIVVRNGNVPNRWATPLDPSIFLTPTSTKVPYKFQETYAR MRVLRSKILAPVDIIGGSSVPVTVASKCGISKDQISPRDYRLQVLLGVMLSSQTKDEV TAMAMLNIMQYCIEELHIEEGMTLEGVLQINETKLDELIHSVGFHTRKAKYILSTCKI LRDQYSGDVPATINELLALPGVGPKMAYLTLQKAWGKIEGICVDVHVDRLTKLWKWVD PQKCKTPDQTRTQLQNWLPKGLWTEINGLLVGFGQIITKSRNLGDMLKFLPSNDLRNS LDWDLQSQLYKEIQQNIMSYPKWVKYLEGKPELDVGAEIDVEHEEKTNEETVVKLEDD IPVKGED SPAR_A00510 MDVLKLGYELDQLSDLVEERTRLVSVLNLAPTSNDNVALKRQLS SILELLQKCASDGTLISRYNTILDRIPDTAIDKELYRFQESVASNADEVSRDSLKKVR FKNDEELTVMYKDDDEQDEESPLPSTHVPYKDEPSQSQSQPQPIVSNQELFINQQQQL LEQDSHLGTLSQSIGRTHDISMDLNNEIVSQNDSLLVDLENLIDNNGRNLNRASRSMH GFNNSRFKDNGNCLIILVLIVVLLLLLLVL SPAR_A00520 MSQQTPQESEQITAKEQDIDQESVLSNIDFNTDLNHNLNLSEYC ISSDAGTEKMDSDEEKSLANLPELNYAPKLSSLVKQETPTESLKRPHEDEKEVIDEAK KIKVPGENEDKRKEERNSEEVEEVIDGKEKSADARDEQGDEGDNEEENNEEENENENE HTAPPALVMPSPIEMEEQRMTALKEITDIEYKFAQLRQKLYDNQLVRLQTELQMCLEG SHPELQVYYSKIAAIRDYKLHRAYQRQKYELSCINTETMATRTFIHQDFHKKVTDLRA RLLNRTTQTWYDINKERRDMDIVIPDVNYHVPIKLDNKTLSCITGYASAAQLRYPGEP VAEDLACESIEYRYRANPVDKLEVIVDRMRLNNEISDLEGLRKYFHSFPGAPELNPLR DSEINDDFHQWAQ SPAR_A00530 MTLQESDKFATKAIHAGEHVDVHGSVIEPISLSTTFKQSSPANP IGTYEYSRSQNPNRENLERAVAALENAQYGLAFSSGSATTATILQSLPQGSHAVSIGD VYGGTHRYFTKVANAHGVETSFTNDLLNDLPQLIKENTKLVWIETPTNPTLKVTDIQK VADLIKKHAAGQDVILVVDNTFLSPYISNPLNFGADIVVHSATKYINGHSDVVLGVLA TNNKPLYERLQFLQNAIGAIPSPFDAWLTHRGLKTLHLRVRQAAVSAIKIAEFLASDK ENVVAVNYPGLKTHPNYDVVLKQHRDALGGGMISFRIKGGAEAASKFASSTRLFTLAE SLGGIESLLEVPAVMTHGGIPKEAREASGVFDDLIRISVGIEDTEDLLEDIKQALKQA TS SPAR_A00540 MPAILRTRSKESSIEQKPASRTRTRSRRDKRSREDDDDDDDDEE SDGAYDEVGDDYDEYASRAKLATNRPFEIVAGLPASVDLPNYNSSLTHPQSIKNSGVL YDSLVSSRRTWIQGEMFELYWRRPKKIVSETTPTAVESPTSGAIPLIRDKMQKMCDCV MSGGPHTFKVRLFILKNDKIEQKWQEEQDLKKKEKELKRKNDAEAKRLRMEERKKQQL QKKIAKEQKQQLQKENKAKQKLEQEALKLKRKEQMKKLKEQNKNKQGSPSSSMHDPRM IMNLNLMAQEDPKLNTLMETVAKGLANNSQLEEFKKFIEIAKKRSLEENPVNKRAVVT TVRPPPPSKTKDVADANRLNSITLVKSSKATVAEPDPKKVDGDNAERQQSKKERTITE SVQINIKEEEDVKAEGAKAEDVKAEDLKAEDTQKKEGNEVVPKKKRRKNAIKEDKDMQ LTAFQQKYVQGAEIILEYLEFTHSRYYLPKKSVVEFLEDTGEILISWIVIHNYKEIEK FKTKKIKAKLKVDQEQNKEDSKQECDMEKEPNFNPLFEDDCPTPLYTPMTMKLSGIHK RFNQIIRNSVSPLEEVVKEMEKILQIGTRLSGYNLWYQLDGYDDEALSESLRFELNEW EHAMRSRRHKR SPAR_A00550 MDQVLRAFYQSTHWSTQNSYEDITATSRTLLDFQIPSAIHLQIS NKSTPNTFNSLDFSTRSRINGSLSYLYSDAQQLKNFMRNSTDIPLQDATETYRQLQPN FNFSGSSGKTLSSDQSTLEDNKKLPHDSKFVKKSLYYGRMYYPSSDLEAMIIKRLTSQ TQFMLKGISSFRESLNVLTCYFQRDSHRNLQEWIFSTSDLLCGYRILHNFLTTPSKFN TSLYNNSSLSLGAEFWLGLVSLSPGCSTTLRYYTHSTNTGRPLTLTLSWNPLFGHISS TYSAKTGTNSTFCAKYDFNLYSIESNLSFGCEFWQKKHHLPETNRSNNDKLEPISHEL VDTISNNRVIKLLHENVPNLNSVDNDIPSPLDIPVHKQKLLNDLTYAFSSSLRKIDEE RSTIEKFDNKISSSIFTSVWKLSTSLRDKTLKLLWEGKWRGFLISAGTELVFTRSCQE TLSDDDKNVNAISISAADAENGNIPVFPAKFGIQLQYST SPAR_A00560 MEPESIGDVENHTQDDSGSIISGPRKRSTSKTSSAKNIRNSSNI SPASMIFRNLLILEDDLRRQAHEQKILKWQFTLFLASMAGVGAFTFYELYFTTDYVKG FHRVILQFTLSFISITVVLFHISGQYRRTIVIPRRFFTSTNKGIRQFNVKLVKVQSTW DEKYTDSVRFVSRSIAYCNIYCLKKFLWLKDDNTIVKFWKSVTIQSQPRIGAVDVKLV LNPRAFSAEIREGWEIYRDEFWAREGARRRKQAHELRPKSE SPAR_A00570 MTLAFNMQRLAFRNLNVGKYMFKNVPLWRPKVSIKLGKPLTRSV GLASAGVAAGGLFLMNCQPSKLILNDSLGVAAKQYDARGPLEPKVGSSAATTEERRNK ISSHKQMFLGSLFGVVLGVTVAKISILFMYVGITSMLLCEWLRYKGWIRINLKNIKSV IVLKDVDLKKLLIDGLLGTEYMGFKVFFTLSFILASLNANK SPAR_A00580 MIKSTIALPSFFIVLILALVNSVSASSSYAPVAISLPAFSKECL YYDMATEDDSLAVGYQVLTGGNFEIDFDITAPDGAVITSEKQKKYSDFLLKSFGVGKY TFCFSNNYGTALKKVEITLEKEKTLTDEPEADVNSDDIIANNAVEEIDRNLNKITKTL NYLRAREWRNMSTVNSTESRLTWLSILIMIIIAVISIAQVLLIQFLFTGRQKNYV SPAR_A00590 MSKAVGIDLGTTYSCVAHFANDRVDIIANDQGNRTTPSFVAFTD TERLIGDAAKNQAAMNPSNTVFDAKRLIGRNFNDPEVQGDMKHFPFKLIDVDGKPQIQ VEFKGETKNFTPEQISSMVLGKMKETAESFLGAKVNDAVVTVPAYFNDSQRQATKDAG TIAGLNVLRIINEPTAAAIAYGLDKKGKEEHVLIFDLGGGTFDVSLLSIEDGIFEVKA TAGDTHLGGEDFDNRLVNHFIQEFKRKNKKDLSSNQRALRRLRTACERAKRTLSSSAQ TSVEIDSLFEGIDFYTSITRARFEELCADLFRSTLDPVEKVLRDAKLDKSQVDEIVLV GGSTRIPKVQKLVTDYFNGKEPNRSINPDEAVAYGAAVQAAILTGDESSKTQDLLLLD VAPLSLGIETAGGVMTKLIPRNSTIPTKKSEIFSTYADNQPGVLIQVFEGERAKTKDN NLLGKFELSGIPPAPRGVPQIEVTFDVDSNGILNVSAVEKGTGKSNKITITNDKGRLS KEDIEKMVAEAEKFKEEDEKESQRIASKNQLESIAYSLKNTISEAGDKLEQADKDAVT KKAEETISWLDSNTTATKEEFDDRLKELQDIANPIMSKLYQAGGAPDGAAGAAPGGFP GGAPPAPEAEGPTVEEVD SPAR_A00600 MASNDFSKIETLKQLNASLADKSYIEGTAVSQADVTVFKAFQSA YPEFSRWFNHIASKADEFDSFPAASAAAAEEEDDDDVDLFGSDDEEADAEAEKLKAER IAAYNAKKAAKPAKPAAKSIVTLDVKPWDDETDLEEMVANVKAIEMDGLTWGAHQFIP IGFGIKKLQINCVVEDAKVSLEDLQQNIEDDEDHVQSTDIAAMQKL SPAR_A00610 MEQNGLDHDSRSSIDTTINDTQKTFLEFRSYTQLSEKLASNPFY ATPHPDDDSLKGVASAVSQGSESVVSWTTLTHVYSILGAYGGPTCLYPTATYFLMGTS KGCVLIFNYNEHLQTILVPTLSEDPSAHSIRSPVKSIVICSDGTHVAASYENGSICIW NLNVGYRVKPVSEPTNSMTPTPALPAVLHIDDHLNKEITGLDFFGARHTALIVSDRTG RVSLYNGYRRGFWQLVYNSKKILDVNSFDEKLIRSKLSPLISREKISTNLLSVLTTTH FALISLSPHVSLIFQETIEPSVQNSLVVNSSISWTQNCSRVAYSVNNKISIISMSSSD LNVQSAKHSPEFAESILSIQWIDQLLLGVLTISHQFLVLDPQHDFKILLRLDFLIHDL MIPPNKYFVISRRSFYLLTNYSFKIGKFVSWSDITLRHILKGNYLGALEFIESLLQPY CPLANLLKLYNNTEERSKQLMEPFYNLSLAALRFLIKKDNADYGRVYQLLMVVVRVFQ RFSKKLDSIPSLDVFLEQGLEFFELKDNAVYFEVVANIVAQGSVMSITPVLFRSIIDY YAKEENLKVIEDLIIMLDPATLDVDLAVKLCQNYNLFDLLIYIWNKIFDDYQTPLVDL IYRISNQSEKCVIFNGPQAPPETTIFDYLTYILTGRQYPQNLSISPSSKCIEIQRELS AFIFSGFSIKWPFNSDHKLYICENPEEEPAFPYFHLLLKSNPSRFLAMLNEVFEASLF NDDNDMVTSAEGPESISRQYVTDLLLDAMKTTEHSDNIRVLVAIFIATSISKYPQFIK VSNQALDCVVSTICSSRVEGIYEISQLALEALLPYYHSKTAENFILELREKKFNKVLF HIYKSENKYSNALSLLLETKDVEKEYNTDIVSITEYILKKCPSGSQECSKVIEVIEKN FELLLLRIGIEKCVTIFSNFDYSLHQEILKVKTEETQQKYLDKLFSIPNVNNKVDKRL RNLYIELNSKLKSKREMILWLNSTDLSNAESLHVLDLLNQDSNLEAAAIIHERLENFN LAVRDLLSFIEQCLNEGETNITTLLDCLRRAFDDCNSAGAEKKSCWILLITFLITLYG KYPAHNERKDLCNKLLEEAFLGLVRSKSSSQKDSGGEFWEIMSSVLEHQDVILMKVQD LKQLLMNVFNTYKLERSLSELIQKVIEDSSQDLVQQYRKFLSEGWSIHTDDCEVCGKK IWGAGLDPLLFLVWENVQRHQDMISVDLKTPLVIFKCHHGFHQTCLENLAQKPNEYSC LICQTESNPD SPAR_A00620 MLKKDIEVYCDSVITTKNVTNIIDDTSHSYSVGITEDSLWTLLT GYTKKESTIGNSAFELLLEVAKAGEKGINTMDLAQITGQDPRSVTGRIKKICHLLTSS QLIYKGHVVKLLKLKKFSHDGIDSNPYINIRDHLATIVEVVKRSKNGIRQIIDLKREL KFDKEKRLSKAFIAAIAWLDEKEYLKKVLVVSPKNPAIKIRCVKYVKDIPDSKCSPSF EYDSNSADEDSVSDSKAGLEDDDSVDGLDNFNATDLLQNQGLVMEDKENAVKNEVLLN RFYPLQNQTYDIADKSGLKGISTMDVVNRITGKEFQRAFTKSSEYYLESLDRQKDNTG GYRLFRIYDFEGKKKFFRLFTAQNFQKLTNAEDEISVPKGFDEPGKSHTDLKTLNEDN FVALNNTVRFTRDRDGQDIFFWHGDLKIPPNSKKTPNKNKRKRQVKNTTDASVKGNVS TPKRIKLEQHGGATQESKATEDSPSSNRGTVIKGKVVNFGGFSARSLRSLQRQRAILK VMNTIGGVAYLREQFYESVSKCMGSVTTLDKKTVRGDVNLMVESEKLGARTEPVSGRK IIFLPTIGEDAIQSYILKEKDSKKATFTDVIHDTEIFFFDQTEKNRFHRGKKSVERIR KFQNRQKNAKPKASDGATSKKSMSVNVSDGKIKNRDKKLSASRTPAVVENTKETKTIY HAGTKDGVQALIKAVVITKSIKNEILWDKITKLFPNNSLDNLKKKWTARRVRMGHSGW RAYVDKWKKVLVLAIKGERISLNDVEELDLIKLLDIWTSFDEKEIKRSLYLYKNYEEN RKRFTLVRDDTLPHSTNDLAMSSMIQREISSLKKTYTKRISGFTKDLSKSQSDDYIRT VIRSILIENSSTTRNEIEALKNVGKESIDNVIMDMAKEKQIYLHGSKLECTDTLPDIL ESRGNYKDFGVALQYRCKINELLEAGNAIVINQEPSDISSWVLIDLISGELLNMDVIP MMRNVRPLTYTSRRFEIRTLTPPLIIYAKSQRKWNTPKNSAVKIPLGKPFSRLWVDGS GSIRSNIWKQVVTMVVNEVKFHPGITLSRLQFRCHEVLSLHEISEICRWLLERQVLMN TDFNGFWVNHNWYSIFEFT SPAR_A00630 MHHKSLRRASANMPSAPYRKQVIGNARKKPSLFSKIKTFFTQKD PMRASSRNNVTNEKLRNEAFNRRISSMPGGYFHSEISPDSTFNRSVVVSAMGENRNGI ENKEERYDETHETNVSNAKLATFFSKKGNEPLSEIEIEGVVSLLQKSSKSMITSEREQ KSAEGSNVDQSLILKESGSTPIGLSNAPTFNPKYDTSNASMNTTLGSIGSRKYSFNYS SLPSPYKATVYRYSAAKKIPDTYTANTSTQSIASAKSVRTGVSKSAPTKKISNTAAAL VSLLDEKDTKRNNAASELANPYSSYVSQIRKHKRDSPNAVPRQEDSQESTVKPLLENG PEQVEEPMKQVYVTKISPSAPSKDSFTKYKPARSSSLRSNVVVAETSPEKKENVGKPP SSTFNFSFNTPKNVEDTENGYKNENAPSAPSKEFNFSNLQTKPLVGKPITELSKGDST PVKSADFSVTPQKSTSKSFVFSSVQKKSQFNLSQERDNEVKHMDSSIYNDFSKEEPEE FDFDVPVESKQVGNDLVDENKVEAFRSLFTF SPAR_A00640 MLLTSLLQVFACCLILPAQVTAFYYYTSGAERKCFHKELSKGTL FQATYKAQIYDDQLQGYRDAGAQDFGLVIDIEETFDDNHLVVHQKVSASGDLTFLATD SGEHKICIQPEAGGWLIKAKTKIDVEFQVGSDEKLDSKGKATIDILHAKVNILNSKIG EIRREQKLMRDREATFRDASEAVNSRAMWWIVIQLIVLAVTCGWQMKHLGKFFVKQKI L SPAR_A00650 MNILLQDPFAVLKEHPEKLTHTIENPLRTECLQFSPCGDYLALG CANGALVIYDMDTFRPICVPGNMLGAHVRPITSIAWSPDGRFLLTSSRDWSIKLWDLS MPSKPFKEIRFDSPIWGCQWLDAKKRLCVATIFEESDAYVIDFSNDPVASLLSKPDEK PLSPTPDHGYVLVCTVHTKYPNIIIVGTSKGWLDFYKFHSLSQTECIHSLKITSSNIK HLIVSQNGERLAINCSDRTIRQYEINVDDENSTVELALEHKYQDVINKLQWNCILFSN NTAEYLVASTHGSSAHELYIWETTSGTLVRVLEGAEEELIDINWDFYSMSIVSNGFES GNVYVWSVVVPPKWSALAPDFEEVEENVDYLEKEDEFDEVDEAEQQQGLEQEEEIAID LRTREQYDVRGNNLLVERFTIPTDYTRIIKMQSS SPAR_A00660 MSSVQLSKGDFHSIFTNKQRYDNPTGGVYQVYNTRKSDGANSNR KNLIMISDGIYHMKALLRNQAASKFQSMELQRGDIIRVIIAEPAIVRERKKYVLLVDD FELVQSRADMVNQASTFLDNYFSEHPNETLKDEDITDSGNATNQTNTGNVGVPDMLHS NSNLNANERKFANENSNSQKSRPIFAIEQLSPYQNVWTIKARVSYKGEIKTWHNQRGD GKLFNVNFLDTSGEIRATAFNDFATKFNEILQEGKVYYVSKAKLQPAKPQFTNLTHPY ELNLDRDTIIEECFDESNVPKTHFNFIKLDAIQNQEVNSNVDVLGIIQTINPHFELTS RAGKKFDRRDITIVDDSGFSISVGLWNQQALDFNLPEGSVAAIKGVRVTDFGGKSLSM GFSSTLIPNPEIPEAYALKGWYDSKGRNANFTTLKQEPGMGGQSAANLTKFIAQRITI ARAQAENLGRSEKGDFFSVKAAISFLKVDNFAYPACSNENCNKKVLEQPDGTWRCEKC DTNNASPNWRYILTISIIDETNQLWLTLFDDQAKQLLGVDANTLMSLKEEDPNEFTKI TQSIQMNEYDFRIRAREDTYNDQSRIRYTVANLHSLNYKAEADYLADELSKALLA SPAR_A00670 MTEEEGRRIVITIYAKRTAKGEEVLMPPLVFDIHHIKLLRKWGI CGVLSGTLPTAAQQNVFLSVPLRLMLEDVLWLHLNNLADVKLIKQEGDEIMEGITPER GAKLSKIVKDRLNKSFEYQRKFKKDEHIAKLRKIGKINDKTTAEELQRLDKSNNNDQL IESSLFIDIADTSMILKDIGSDSLSRNNIRDLLFKQYRQAGKMQTYFLYKALRDQGYV LSPGGRFGGKFIAYPGDPLRFHSHLTIQDAIDYHDEPIDLISMISGARLGTTVKKLWV IGGVVEETKEVHFFSIEWAGFG SPAR_A00680 MSNKEEYVDGAFGSGVKEVSSIAARCDNGYAPSLITSTSGMDSF QSHALLNDPTLIEDYSDIINNRPTGGSKLTSGNEDSESMGGSVVVTPTSNKSSPFNSK LNVLSNPAEKSHSALRNTDDDKDVEEENVEKHTHSDSRRDQRHSKENSSELPDSYDYS DSEFEDNLERRLQEIETDSVDSADKDEVHFTVSNTMNRELSDVDDFSDGLKYAISEDD DEEENYSDDNDFDRKFEDAEFEGEKDDLEEENDDYQPLSPPRELDPDKLYALYAFNGH DSSHCQLGQDEPCILLNDQDAYWWLVKRITDGKIGFAPAEILETFPERLARLNCWKNE NMSSQSVASSDSKDDSINSDSKNESDAGSIIPTPALNGYGKGNKSVSFNDVVGYADRF IDDAVEDTSLDSNNDGGDDDGKSYDNDVDNDNETKVRHRDEYSEAKLNFGKFQDDDMS DVVSDVSFSTSLNTPLNVKKIRRPDIKNESALKPSSSNGGEDGHNANRDVGKEKSEPV DSDYDADLRKVFEAPRMPFANGIAKSDSQNSLSTIGEFSPSSSEWTNESPSTPIVEES SSIPSSRAIKDISQYIHAKSKIEESTNMESTQKQSQPSLEPTEGMENQTDVEQPEGEL EKRHSTHEEEKQSSLSLHSSSEEDFYMDEQRAISSASINSSLSGSRALSNTNMSDPAS KPHSLVQHLYAPVFDRMDVLMKQLDEIIRK SPAR_A00690 MSITKTELDGILPLVARGKVRDIYEVDAGTLLFVATDRISAYDV IMENSIPEKGILLTKLSEFWFKFLSNDVRNHLVDIAPGKTIFDYLPSKLSEPKYKTQL EDRSLLVHKHKLIPLEVIVRGYITGSAWKEYVKTGTVHGLKQPQGLKESQEFPEPIFT PSTKAEQGEHDENISPAQAAELVGEDLSRRVAELAIKLYSKCKDYAKEKGIIIADTKF EFGIDEKTNEIILVDEVLTPDSSRFWNGASYKVGESQDSYDKQFLRDWLTANKLNGVN GVEMPQDIVDRTRAKYIEAYEALTGYKWSH SPAR_A00700 MHRRQFFQEYHSPQQQQGHPPRSEYQVLEEIGRGSFGSVRKVIH IPTKKLLVRKDIKYGHMNSKERQQLIAECSILSQLKHENIVEFYNWDFDEQKEVLYLY MEYCSRGDLSQMIKHYKQEHKYIPEKIVWGILAQLLTALYKCHYGVELPTLTTIYDRM KPPVKGKNIVIHRDLKPGNIFLSYDDSDYSLNEEVDGHDEVNSNYYRDHRVNSGKKGS PMDYSQVVVKLGDFGLAKSLETSIQFATTYVGTPYYMSPEVLMDQPYSPLSDIWSLGC VIFEMCSLHPPFQAKNYLELQNKIKNGKWDTVPEYYSRGLNAIIHSMIDVNLRTRPST FELLQDIQIRTARKSLQLERFERKLLDYENELTNIEKILEKQAMEYERELNQLKDQFT QAVEERAREVVSGKKVGKVPESINGYYGKKFAKPAYHWQTRYR SPAR_A00710 MNSMADTDRVNLTPIQRASEKSVQYHLKQVIGRGSYGVVYKAIN KHTDQVVAIKEVVYENDEELNDIMAEISLLKNLNHNNIVKYHGFIRKSYELYILLEYC ANGSLRRLISRSSTGLSENESKIYVTQTLLGLKYLHGEGVIHRDIKAANILLSADNTV KLADFGVSTIVNSSALTLAGTLNWMAPEILGNRGASTLSDIWSLGATVVEMLTKNPPY HNLTDANIYYAVENDTYYPPSSFSEPLKDFLSKCFVKNMYKRPTADQLLKHVWINSTE NVKVDKLNKFKEDFTDADYHWDADFQEEKLDISPSKFSLATAPAEWAENNQEMELMPP TESQLLSQLKSPSKPLTELRVLFSVCSLENIADTIIECLSRTTVDTQLIIAFGSIFAY DTQHNHSRLRLKFIAMGGIPLIVKFEHLVKEFVIDYPQALIECGIMYPSNFASLKTPK YILELIYRFYDLTSTAFWCRWCFKHLDILLLLNNLHERRAQSILLKLSSYAPWSFEKI LPSLINHRLKKKILISPQITYIVFKSINYMITTNDDKIHKSAIPSSSSLPLSSSPTRN SPLNSVQSPSRSPVHSLMPTRPSSPMRHKSVSNFPHLTISSKSRLLIDLPEGFFTWLT SFFIDMAQIKDLSVLKYFTKLCCLTVHINNTLLNDLLNNDAFFAFIQNIDTITPLIDD TKTAAFIWKQITTICVDMSLDMDQMSPSLFSIAMNFIKKKNNTSISGLEIILNCLHFT LRNAHDDVAPTMGSTESHSVFLIKVNNDVAIELPIGRLVDLFYASNDDDVNLSKLISI FTKICSFPGFENLAIDIIFHPNFYEKIISFFNTYFNSLLIQIDLLKFIKLIFTKSLLK VYDYTGLPDPIKQTESNRHNKATVFKLRAILVQITEFLNNNWNKDDRKRNFNQVGGDS VLICQLCEDIRSLSKKGSLQKVSSVTAAIGSSPTKDERTNLRSSKAKSDAFSVPITSF QT SPAR_A00720 MVKLTSIAAGVAAIAAGASATTTLAQSDERVNLVELGVYVSDIR AHLAEYYSFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL QPAISSALSVDGIYTIAN SPAR_A00730 MRPSLKNTDAQNDAKTGPLNEGSPEDPEDSLVSADIVLPEDVFA SYWSYLLYEIVRTKCVMTTFLFFVLTILAILTNISFSSVLTPSMVIPICTNVSLLVFQ IYLFGRPISHETFRTKLLLEVITHKPSIGGKEWKTITYNMNQYLIKAGLWKTPYYFFC EHACYEYFKGLVKGNYPDVQWDTANTQPFISIPENQVATHNSDVEPTVKWCLFKAAEI QAHAVREYWQNQYPDAGVPSI SPAR_A00740 MQTPSETTDVKLDTLNEPSAHLIEENVALPEDTFRSYWSYLLYE MAHYKPIIFMTLITASLILSIVLFHDIPGILTFSVISLVLSIIILLISIGTFAAGTWD KDSKVKLLLEVIARKPAVEGKEWRIIARNMNQYLFDHGQWFTPYYFLCEHRCHEFFKS LIEQERSNTHTSPPTNGAENTPANKVSNDVEKSYMFSSDPVLEAYFVKAAEIDKEAQF EYWRKQYPELDLP SPAR_A00750 MQSLPEDTFSSYWSYLLYELAHYKPTLILFLIVVSLLSLIVLYR NNEVCVGVSVVLILLCFCSSGVIIGEGFEKPITHEDFETNLSVEVIVRKPAGKEWGTV AYNMNQYLFNERLWNTPYYFYSGRECRDFFRTITKNVPKNTGPILKEYMSKAVQIEKE AQREYWRKQYPKADLL SPAR_A00760 MQTPSENTDVKLGTLNEPSAHLIEENVALPKEIFHSHLSYSIYE MAHCTPIKILALVIGVLVLIIIFFHDNDVCTIISGISLLTSIIILMIVLIAVSVEISD RDFKIKLLLEVITRKPAGKGWRAVAYNMNQYLFDEGLWYTPYYFYCGRKCQCYFNGLI KIKELNTYPSSSMNDEENTQPGAQPDMSANEVARSYIYSPDPILEAYFVKAAEIDKEA QREYWKRQYPEAALP SPAR_A00770 MEAPSEITDSKSDTSKGLDAQLIEKNVALPKDIFRSYLSYLIYD MLHYKPIMVLGAVSVGSVLSIVFLHDNIACVVISAVLAGISLFALMILGDGYLKPVSR RDFSAELLVEVITRKPAVEGKEWKIITYKMNQYLFNHGQWHTPYYFYGDENCYRYFLS LIEGTTAKKQTPTSIGYSTGTQLNSSVTAESEDAIESVPPSPGLNYQNFLLKAAEIEQ QAQENYWRRRHPNIDALLKKTK SPAR_A00780 MPNLKRLPVPPLQDTLNRYLARVEPLQDERQNRRTRRTVLSAEN LDALNTLHERLLEYDTRLAANNPESSYIEQFWYDAYLLYDATVVLNVNPYFQLQDDPT IKDTPETAAQGPYGAHTVQVRRAARLTTSILKFIRQIRHGTLRADTVRGKTPLSMDQY ERLFGSSRIPPGPGEPSCHLQTDATSHHVVAMYRGQFYWFDVLDTRNEPIFATPEQLE WNLYSIIMDAESAGSGSAPFGVFTTESRRVWSNIRDYLFHAEDCTNWRNLKLIDSALF VVCLDDVAFAADQQDELTRSMLCGTSNINLDPRQHQPPLNVQTGTCLNRWYDKLQLIV TKNGKAGINFEHTGVDGHTVLRLATDIYTDSILSFARGVTKNVVDIFSDDNNNNNNND NKLSSLASAAHSANLITIPRKLEWRTDNFLQSSLHFAETRISDLISQYEFVNLDFSNY GASHIKTLFKCSPDAFVQQVFQVAYFALYGRFETVYEPAMTKAFQNGRTEAIRSVTEQ SKLFVKSLLDQDASDASKIQLLHDACTAHSQITRECSQGLGQDRHLYALYCIWNQWYK DKADLPPIFQDKSWTTMQNNVLSTSNCGNPCLKSFGFGPVTANGFGIGYIIRDHSISV VVSSRHRQTARFASLMEKSLLEIDRIFKRQQARAAKPVARATAGANTKSEDMKYLLSG YDYFDVSVSG SPAR_A00790 MEQPDLSSVVISKPLLKLKLLDALRQGSFANLKDLLQKQFQPLD DPNVQQVLHLMLHYAVQVAPMAVIKDIVHHWITATGATFLNIHLDLNEQDSNGNTPLH IAAYQSRGDIVAFLLDQATINDCVLNHSHLQAIEMCKNLNIAQMMQVKRSTYVAETAQ EFRTAFNNRDFGHLESILSSPRNAELLDINGMDPETGDTVLHEFVKKRDVIMCRWLLE HGADPFKRDRKGKLPIELVRKVNENDTATNTKIAIDIELKKLLERATREQSVIDVTNN NLHEAPTYKGYLKKWTNFAQGYKLRWFILSSDGKLSYYIDQADTKNACRGSLNMSSCS LHLDSSEKLKFEIIGGNNGVIRWHLKGNHPIETNRWVWAIQGAIRYAKDREILLHNGS YSPSLALSHGLSPKMSNKENLHATSKRLTKSPYLSKSTLTQNVQGGVDDNDNDDDNAD NNNNNNNDNDDDDDDDDENRPLIEPLPLISSRSQSLSEIASGSHSRKSAVSSTRAGIP SDDERYSDDDSDDDGNSSYTVENGGEGDGDEDLNAIYGPYIQKLHMLQRSISIELASL NELLQDKQQHDEYWNTVNTSIETVSEYFDKLNRLTSQREKRMIAQMTKQRDVNNVWIQ SVKDLEMELVDKDEKLVALDKERKNLKKMLQKKLNNQPPVETEANEDSDDANSMIKGS QESTNTLEEIVKFIENTKESDEDSDADEFFDAEEAASEEKANDSENLPTDKKTPADET PQEEATEDESLLVISSPQVEKKNQLLKEESFVGYEDPVRTRLALDEDNRPKIGLWSVL KSMVGQDLTKLTLPVSFNEPTSLLQRVSEDIEYSHILDQAATFEDSSLRMLYVAAFTA SMYASTTNRVSKPFNPLLGETFEYARPDGQYRFFTEQVSHHPPISATWTESPKWDFYG ECNVDSSFNGRTFAVQHLGLWYITIRPDHNRGVSEETYSWKKPNNTVIGILMGKPQVD NSGDVKVTNHTTGDYCMLHYKAHGWTSAGAYEVRGEVFNKNDEKLWVLGGHWNDSIYG KKVTAIGGELTLDRIKTANSATGGPKLDGSKFLIWKANERPSVPFNLTSFALTLNSLP PHLVPYLAPTDSRLRPDQRAMENGEYDKAAEEKHRVEVKQRAAKKEREQRGEEYRPKW FVQEEHPVTKSLYWKFNGEYWTKRKNHDFKDCADIF SPAR_A00800 MPVPHHYMFLAVFAFLALIKVASGATEACLPAGQRKNGMNVNFY QYSLKDSSTYSNAAYMAYQYASKTKLGSVGGQTDISIDYNIPCVSSSGTVACPQEDSY GNWGCKGYGACSNSQGVAYWSTDLFGFYTTPTNVTLEMTGYFYPPQTGTYTFKFATVD DSAILSVGGATAFECCAQQQPPITSTNFTINGIKPWGGSLPPNIEGTVYMYAGYYYPM KVVYSNAVSWGTLPISVTLPDGTTVSDDFEGYVYSFDDDLDQSNCTVPDPSKHTTSII TTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTS TSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNG VPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTP TSEGLITTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPW TGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTT VTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETV IVIRTPTSEGLITTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLIT TTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTST STEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVTGTNGV PTDETVIVIRTPTSEGLISTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPT SEGLITTTTEPWTGTFTSTSTEMTTVTGTNGVPTNEVVIFIRTPTSRDLISTTTEPWT GTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTTTSTEMTTV TGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVI VIRTPTSEGLISTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITT TTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTS TEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNGVP TDETVIVIRTPTSEGLITTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTS EGLITTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTG TFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVT GTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIV IRTPTSEGLISTTTEPWTGTFTTTSTEMTTVTGTNGKPTDETIIVIRTPRSASSSSVS SSSVTSSHPIITPLYPSNGTSVIPSSVISSSVTTSTSIFSESSASSVIPTTSSTSDSS ERETSSTGSSTSYSKDREPSSVSTPSSISSESPKSSTYSSSSLAPVTTTTTSEQTTLV TVTSCESQVCTESISSAIVSTATITVSGVTTEYTTWCPISTTKTTKQTAETTKQTAET TKQTAETTKQTAETTKQTTVVTVSSCESGICSKTASPAIVSTTTATINGATTEYTTWC PISTTESKQQTTLVTVTSCESGVCSETASPAIVSTATATVNDVVTVYPTWSPQTTNEG SISSKSISAASETTTISEAAKTRTVGTSSLSRSGYAETHTASATSVIGRSSSVSVSES ANTKGLTTPGLSTVSQQPRSSPASGILGSSTASLEISTYAGIANGLLVNSGLSVFIAS LLLAIV SPAR_A00810 MANYLLPRRTGSYTSKFATVDDSAILSVGGTLHSNIVHKNNLQL HRGTLQSMVASHEKEVFPITSKELSICMLDSTTQ SPAR_A00820 MFNRFNKFQAAVALALLSQSALGDSYTNSTSSADLSSITSVSSA SASATASDSLSSSDGTVYLPSTTISGDLTVTGKVIATEAVEVASGGKLTLLDGEKYVF SSDLKVHGDLIVEKSETSYEGTAFDVSGETFEVSGNFSAEETGAVSASIYSFTPSSFK SSGDISLSLSKAKKGEVTFSPYSNAGTFSLSNAILNGGSVSGLQRRDDDEGSVNNGEI NLDNGSTYVIVEPVSGNGTVNIISGNLYLHYPNTFTGQTVVFKGEGVLAVDPTETNTT PIPVVGYTGKNQIVITADITALSYDGTTGVLTATQGNSQFSFAIGTGFSSSGFSVSEG IFAGAYAYYLNYDGVIATSAASSSTSTTSGAFSTATGLVTSSSNATATATASTTASTT VSSTASSTVSDSFISSSSASVSGVSNSTTASGSITSAPSAASTTSFTSDSASVYTTTL TYLNATSTVVVSCSETTDASGNIYTITTTVPCSSTTATITSCDENGCHVPAPTATDAT TTVSSKSYTTVTVTHCDDNGCNTKTVTSECSKETAATTISPKSYTTVTVTHCDNNGCN VKTVTSEASKQTSLATANSGAFSTVTKSAAPTSHTVASSITTGIIVQSEGIAAGLRTN ALSTLAGIFILAFF SPAR_A00825 MCEHQLTQEDLDFDKKHVWHPYTSITTPLKVYPVTKAEGSYLYL DNDTKVVDGMASWWCVQQGYNNPRLNAAAISQINKMSHVMFGGITHRPGIDFCKKLLA LLPDSMECVLLADSGSISVDIAMKMALRYYHSLGDTSKKRFLTIKKGYHGDAFGAVSV CDPVNSRHSTYNGFVAENIFCKAPEVRFDCREKDVEKLVEELDVKPFAKIIHKRHSEI SGVVMESIVQGAGGLRMYHPYFLKRVRELCNEFNILLILDEVAVGLGRTGMLFGFEHA GIVPDIVCLGKTLTAGYLTLSATVTTRKIGDQISSGPEGCFMHGQTYMANPLACAVAS ENLSILMEGKWKSQVRQIEAQLKNELLPLLEHPIVADVRILGAIGVVEVTKRVNVEVL QERFIKAGAWIRPFGNIIYILPPYVITSEELTILTEAIQSVLDFI SPAR_A00830 MNTKSLHFYEPFEIDGQRYIKMTEREDLGVYEPGLTQDAFTAKD KYDYKGIIENLEKYGLCVVPNFIKPSKCDQILEELGPHFYRHDAWQGSPFPKETTVVT RAVLHSPTVLKDVVSDRLFCDMANHFLNEQNYFMTGNVIRKCSSGIQLNSGIVYKVGA GAGDQGYHREDHIHHTIHQACDHFQYGKETLLGVGVAFTDMNKANGATRVIIGSHLWG PHDSCGRFDKRMEFHVNARKGDAVLFLGSLYHAASANHTLEDRIAGYFFMSQGYLKQE ENLHFGMDPKVFKDMSLDTLQLLGLRTSEPYCGHIDYKSPGHLANPSLFKNEVEKGYY GETIKINYDDKK SPAR_A00840 MFKSAVYSILAASLVNAGTIPLGKLSDIDKIGTQKEIFPFLGGS GPYYSFPGDYGISRELPESCEMKQVQMVGRHGERYPTVSKAKSIMTTWYKLSNYTGEF NGALSFLNDDYEFFIRNTNNLEMETTLANSVDVLNPYTGEMNAKRHARDFLAQYGYMV ENQTSFAVFTSNSNRCHDTAQYFIDGLGDQFNISLQTISEAESAGANTLSAHHSCPAW DDDVNDDILEEYDTKYLSGIAKRLNKENKGLNLTSSDANTFFAWCAYELNARGYSDIC SIFTKDELVRFSYGQDLETYYQTGPGYDVVRSVGANLFNASVKLLKESEVQDQKVWLS FTHDTDILNYLTTIGIIDDKNNLTAEYVPFMGNTFHRSWYVPQGARVYTEKFQCSNDT YVRYVINDAVVPIETCSTGPGFSCEINDFYDYAEKRVAGTDFLKVCNVSSVSNSTELT FFWDWNTKQYNDTLLKQ SPAR_A00850 MAAIKDYETALQFSKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_B00020 MAAIKDYKTALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLIVDEK GKLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_B00030 MSITIPETMKAVVIENSKAVVKEGIPIPELEEGFVLIKTLAVAG NPTDWAHIDYKLGPQGSILGCDAAGQIVKLGPAVDPKDFSVGDYIYGFIHGSSVRFPS NGAFAEYSAISTAVAYKSPNELKFLGEDILPAGPVRSLEGAATIPVSLTTAGLVLTYN LGLNLEWEPSSPQRNAPILLWGGATSVGQLLIQLANKLNGFTKIIVVASRKHEKLLKE YGADEIFDYHDIDVVEQIKQKYNNIPYLVDCVANQDTLQQVYKCAADKLDATVVELTN LTEENVKKENRRQNVTIDRTRLYSTGGHEVPFGGVTFPADPEARRAATKFVKFINPKI NDGQIHHIPVKIYKNGLSDVPHMLEDIKHGKNSGEKLVAVLN SPAR_B00040 MPKMLGPKPGAPSEIGSPRALSKTAGIRVSPLIMGRMSIGDASS ALMDSMNKKRAFELLDAFYGAGGNFIDTANNYQNEQSEEWIGEWIGEWMTSRKLRNQI VIATKFTTDYKKYEAADGKSANYSGNHKRRLHVSVKDSLRKLQTDWIDILYVHWWDYM SSIEEVMDSLHILVQQGKVLYLGVSDTPAWVVSAANYYATSHGKTPFSIYQGKWNVLN RDFERDIIPMARHFGMALAPWDVMGGGRFQSKKTVEERKKNGEGLRTFVGGPEQTDVE VRISEALAKVAEEHGTESVAAIAIAYVRSKAKNVFPLVGERKIEHLKQNIEALSIKLT PEQIEYLESIVPFDTGFPNTFIVLNTLTQKYCTSNV SPAR_B00050 MDLATAKKPRLRLVCLQCKKVKRKCDKLRPTCSRCQQNSLECEY EERIDLSANVAANSFDSFKTSHERNFEQQHVLERTGLKYSLQVPKGVVNATLSIWNAE DMLVIVGLVTFMDYPFAAHSLAQYDPYIRALCSSLYGMTLVDFSNYANGIPFEDSSRN ILGPLSFIEKAIFRRIEHSKLFRVQPAALGLLYNGCSMEEDTSPVFLPSLVAEIEDVL MQKKDCEILLKCFYHNIYPLYPFMDISLFESDLTTLLLPDDNNRWKISTEGKNVRKKI ETLSLLTIVIVMALKHSTLDVDLLSMVRASASESARKLSLLCHKLLCLLDVFRYPNEN TFTCLLYFYVSEHLDPESPDCVLNRTNLLTLSHLSNLSMTLGLQYEPSKYKRFKDPQV MRQRRILWLGVQSLKFQISLAEGDSDKSNSEYMEAFLADFEEIDASSEYEKSSLGDLD MQLYDIVWSKYKFHIILSKLVSDCTSIIRHPQLFSILENVKRSEDFMAENFPTGLIYQ PLHEKKLSAIKLGRDTVLDAKDVERTEIFLTNIVGRTCILNIFDVLSLHFEKKCIMHW EEYEKNYHFLTLKSITVYLELASLISDYLENNFQGNISQGRGYIVDKQICFMLVRIWM FQCRILLRFSYKQESQKKLSSSGISTDGDKKEDEMNVILARLIKHVRNQMAYLVDLAK EKLQDSYFAAYQTVPMFRYIVYLVDVGSLVSATNCFWEKIAGEGEIPPKVQQAVRLKW GLDFKNSRRIKQKLMSSQSLQSFNQILLCQMEDAVLSSSFGKKANAVMSEKLAEGFFN ISEEEALNQLLENSNFDAFWDLLGENLSDMPSL SPAR_B00060 MPYPEKFQGIGISNARDWKHPTLVSFEPKLFGDHDVDIEIEVCG ICGSDFHIAAGNWGPVPENQVLGHEIIGRVVKVGPKCHTGIKIGDRVGVGAQALACLQ CERCKSDNEQYCTNDHVLTMWTPYKDGYIAQGGFASHVRLHEHFAIQIPENIPSPLAA PLLCGGITVFSPLLRNGCGPGKKVGIVGIGGIGHMGILLAKAMGAEVYAFSRGHSKRK DCTKLGANRYIATLEDKSWTEQYSNTLDLLVICSSSLSEVNFDNFVKVMKIGSSIVSI AAPEANEKLVLQPLGLMGISISSSAIGSRKEIEQLLKLVSEKNVKIWVEELPISEEGV HQAFTRMERGDVKYRIALVDYDKEFHK SPAR_B00070 MVNNKRTFTAPQSLLESNLTFPNDEPSLTTVTVTRERCIDPSLI DSFLRFLRHGSDDIIRQKLNNYRKGSENGKEKCKEFLKQELYPNWQIRSNIISFCEKE AAKMKNETDQQCNNNEKSAVAPIIDARIDPYAARERVEEQEARYKDWTKVVDWVANNR KIEQILTSTTEGILRQNCEQNDDYLKQFAQFCKDNS SPAR_B00080 MFKKSRHLKNVSNAIKSARVHDVSNGINSKLFDTKKICTYGING RITVTTFDYTQSLLAVATTAGEIHIYGQKQIEVVFTLKNRTQIKHMNFVKGIYLIAVD EKSNIIVLSLHSKQILTTVFCPSSITCIETDPSLDWMLIGLESGSILIYDVDRNQMSK LRIENFQKSMFLPKERLSPVISIQWNPRDIGTILISYEHITVIYSFVESKVKQHFFYQ LEPYAPGGDLSTSIEKKRTPKVIQSLYHPNSLHILTVHEDNSLVFWDVNSGKLIQARS IFETHVNFPNPALKDCSLTETPAIFKVAWICQRNPEYTSLLIATKATDKPGLPQELTM IDLGGTPMYSVTSFDAMSKYYAKPIQQKLFSLVGKAPLINFLPLPKASPYFGGCHDTN LILLLLDDGELETLVYPAGSFSSRASIFPRSLAWVRPTVTTCIAQSVQKKLWLGMMTI AQSDSFLKGGIPASRNIRSHDTRSALLTGHSNGSVRIWDASHSEVTDNAVFEVNTAKV LNRATNLAIKNISFASETLELAVSSEVGDVILFKFETNKFCGQPPRSDTLQLKFSRFS LDDSNTILVDVTDRGPTNVKQGFMPSTVIHAKKGTVSTIMNSNVGFVVVGYNEGTLII LDRRGPAIIFNENIRVISKAGSSYVSTVHFCVMEYGNDGFSSILMLCGTDIGELLTFK ILPGTGGRFEVEYTDATKSNNQGKILEINSFARDTGYSCSATIAKMQDLSKGIATPGF VTVSGSNDIRLVSPGRSKDTHALFKCPIAASGLSFIPIMSSKGERKLSTIMIALLING DIRIMTVPELKEIKNLRCPIPINTQYVENSSVLENGDIVIRSGKFQASLISVINEVVT GTNHNAGISQPAPIDTLYNSDLKIGYRPQVNSLQWARGTIYCTPYQLDELLGGIERPE SKYEESAIARGNTSSCRNNTDGKLSPGIGEHRYTRPVRSSGRSGGYGILKSVSRAVET RLDTVETTINDYATTMGQTMNDAMEETGRDMMKSAVGF SPAR_B00090 MSFSQLEQNIKKKIAVEENIIRGASALKKKTGNVMVIQKCNTNI REARQNLEYLEDNLKKLRLKTAQQGQGDSGNENSDRSNSKEYGFLSTISPNEHIFSRL DLVKYDCPSLAQRIQYLLQQLEFKLQVEKQYQEANTKLTKLYQIDGDQRSSSAAEGGA MESKYRIQMLNKALKKYQAINVDVDQFKHQPNDIMDNQQPKFRRKQLTGVLTIGITAT RDVDHIQSPMFARTPESYVTVKIDDTIKAKTKPSRNDRWSEDFQISVEKGNEIEITVY DKVNDSLIPVAIMWLLLSDIAEEIRKKKAGQTNGQQGWVNASNINSGSSLANEEGSTL TSTNSNSAIHSTLVKNGQGENTLTSQISTNSWFILEPSGQILLTLGFHKSSQIEKKQL MGGLHRHGAIINRKEEIFEQHGHHFVQKSFYNIMCCAYCGDFLRYTGFQCQDCKFLCH KKCYTNVVTKCIAKTSTDTDPDEAKLNHRIPHRFLPTSNRGTKWCCHCGYILPWGRHK VRKCSECGIMCHAQCAHLVPDFCGMSMEMANKILKTIQDTKRNQEKKKRTVPSAQSGS SIGTAIGYDRSPSKFAERTNAPLPPQPRKHDKTPSPQKEARGSPTKQHGPVIDEEIPL QTHGREKLNKFIDENEAYLNFTEGAQQSAEFSSPEKTLDPTSNKRSMGLAGLSIEQSQ TWESKDDLMRDELEQWKAQRDEMELEIKRDNRDIQEDLEVDHIDLETKQKPDWEDNND FREADLTIDSGHTNPFRDMNSETFQIEQDHASKEVLQETVSLAPTSTHASRATDQPSP QKSQISASGKHKRKAAKRRKVSLDNFVLLKVLGKGNFGKVILSKSKNTDRLCAIKVLK KDNIIQNHDIESARAEKKVFLLATKTKHPFLTNLYCSFQTENRIYFAMEFIGGGDLMW HVQNQRLSVRRAKFYAAEVLLALKYFHDNGVIYRDLKLENILLTPEGHIKIADYGLCK DEMWYGNRTSTFCGTPEFMAPEILKEQEYTKAVDWWAFGVLLYQMLLCQSPFSGDDED EVFNAILTDEPLYPIDMAGEIVQIFQGLLTKDPEKRLGAGPRDADEVMEEPFFRNINF EDILNLRVKPPYIPEIKSPEDTSYFEQEFTSAPPTLTPLPSVLTTSQQEEFRGFSFMP DDLDL SPAR_B00100 MGLIKKVTHWSYDNLIDYLSVNPTRDEVTHYKVDPENESDESII KLRTVKDFGSITCLDYSESEIGMIGVGEKNGYLRIFNISGSNFSSPANQALAGSNANN DTSMANSSVSKAAQAENIIGAISNAKDTQGYLESETDYDIRVRAKKQRCINSLGINTN GLIAMGLDRNKHDSSLQIWDMNYHDDSNETINPMFSYCTNESIVSLKFLNDTSILAAS TKFLKEIDVRSPNPIYQHPTRLTYDIKLNPFNDWQFSTYGDDGTLAIWDRRKLSDQAS LGDLNVASPLLTFEKLVGSGAASRKYMNSCFRWSCVRNNEFATLHRGDTIKRWRLGYY TDGNYGSEEDDNNEINIENLFVSSVHDTNTMYDRVATFDYIPRSNHGTSLICMRQSGT IYRMPIAEICSKAILNNRNSLLLSNFENTEVDEIRVNSEHEKSNLENVKTVLKNLSFE DLDVSEDYFPSEHDEPNNEIEYSELSEEENEESNDVLDSKRGFELFWKPEKLLEKDIS VIMRTRASLGYGLDPMNTVEMIDSSKNLQNNAYIRNTWRWIAIAKASVDDGTMVSGDL DLGYEGVIGIWNGINGISNQDRYRQETILSDKQLNKEMEKIIKLRKKNRDRNTPIANV AGSPKYVQRRLCLIISGWDLSRSDYEDKYNIIMKNGHYEKAAAWAVFFGDIPKAVEIL GSAKKERLRLIATAIAGYLAYKDQPGNNAWRQQCRKMSSELDDPYLRVIFAFIADNDW WDILYEPAISLRERLGVALRFLNDTDLTTFLDRTSSTVIENGELEGLILTGITPNGID LLQSYVNKTSDVQSAALISIFGSPRYFRDQRVDEWIQTYRDMLKSWELFSMRARFDVL RSKLSRTKTGVLTADIKPRQIYIQCQNCKQNINTPRTSPPSSAVSTSGGNYKNGEAYR RTNTDYKKFNTGNSETQTADEKPRHKYCCPHCGSSFPRCAICLMPLGTSNLPFVINGI QPRDPMQTEDSQDGADRELVSRKLKLNEWFSFCLSCNHGMHAGHAEEWFDRHNVCPTP GCTCQCNK SPAR_B00110 MTNNNESEAENQRLLDELMNQTKILQETLDFSLVAPAPHHNDDY KIHGSAYPGGETPAQQHEKLSYINMHNSNDHNNLMGSQARSNSRTPTASTIYEEGESQ SSYLDDMFRTSQGGRPVTQNSISSIGQAPLRSSFSTSYDSPVDRAMNTPLQQQEGLKA ELPQDFLFQHGTDDTTYNLTDDLSSSLSSSINSDMMTPNTYSSSFSYNPQTLGPASVS STYSPKVRSPSSSFRAGSFLSSSFRHGSINTPRTRHTSISSNMTENLGPGSVPKILGG LTSDEKLRRKREFHNAVERRRRELIKQKIKELGQLVPPSLLNYDDLGKQIKPNKGIIL DRTVEYLQYLAEILEIQSRKKNALLAKIKELENKKSSVAALSPFTNNHHASSKQNNND NNEERIIDIRSVPNTSLNEQNIKAELHNWEPPLYDSASNHNHAGAMETHPHTNIHDEL KEFLSGDLIEAEDNAKLMFGDDNSNPADYLLEFGSR SPAR_B00120 MSEEQPSDQVNSLRDSLNRWNQTRQQNSQGFNESAKTLFSSWAD SLNTRAQDIYQTLPVSRQDLVQDEEPSWFQLSRTERMVLFVCFLLGATACFTLCIFLF PVLAAKPRKFGLLWTMGSLLFVLAFGVLMGPVAYLKHLTARERLPFSMFFFATCFMTI YFAAFSKNTVLTITCALFELIAVIYYAISYFPFGATGLRMLSSAGVNSARGVLRI SPAR_B00130 MPFITSRPVAKNSSHSLSETDLNQSKGQPFQPSPTKKLGSMQQR RRSSTIRHALSSLLGSANVHSPAVLNNTTKSGTNNGNIRSSNTDAQLLGRKQNKQPPP ETRRHSTTAIPGSISDSTTTTPRSSTSDTNRRASGRLSVDQEPRISGGRYSQIEEDST VLDFDDDHNSSAVASSDLSSTSISRLANSKKLNEQFLSEYLTARGLLGPKTVLSNEYL KISISTSGESVFLPTISSNDDEYLARLNGLNDGTDDAEADFFMDGIDQQEGNTPFPAT TAATESGESINENRDALLRENISGDHAGSGSEPNARSVEIDSSMVSYSIAVIVSVKKP VRFADMQLELCSRVKVFWNTGVPPTKTFNEEFYNAASMKWNLNNENFDLFVPLSISPD DQMIENNANDRQMRLFKNIPTEERLYLDKTKTKYNLFNAIDLNKSHLYQPGDYVFLVP VVFSNHIPETIYLPSARVSYRLKLATKAINRKGFYRQDSNSPQPIVSPDSSSSLSSTT SSLKLTETESAQAHRRISNTLFSKVKNHLHMSSHQLKNEESGEEDIFAEYPIKVIRTP PPVAVSTANKPIYINRVWTDSLSYEISFAQKYVSLNSEVPIKIKLAPICKNVCVKRIH VSITERVTFVSKGYEYEYDQTDPVAKDPYNPYYLDFASKRRKERSVSLFEIRTKEKGT RALREEIVENSFNDNLLSYSPFDDDSNLKGNQKERLGITEPIIIETKLKFPKYEDLDK RTAKIIPPYGIDAYTSIPNPENVAVNGPSHRRPSVIGFLSGHKSSKNHEENEKPVYDP KFHQTIIKSNSGLPVKTHTRLNTPKRGLYLDSLHFSNVYCRHKLEIMLRISKPDPECP SKLRHYEVLIDTPIFLVSEECNSGNMELPTYDMATMEGQSNQTPLSMKSDFFGTTCPP PPTFEEAISVPASPIVSPMGSPNIMASYDPDLLSIQQLNLSRTTSVSGPSGYSDDTGL SNANVMNSGISNPAFVSGNSGQGVARARATSVNDRSRFNNLDKLLSTPSPINRSHNSS PTNGSSQVNGTVKIPNAPKETSTDSSDVFFKKGYTLASVKDDEEEEGIASSSSANSLP SHGNEPPRYDEIVPLMSDEE SPAR_B00140 MLARTAAIRSLSRTLINSTRAARPAAAALASTRRLASTKAQPTE VSSILEERIKGVSDEANLNETGRVLAVGDGIARVFGLNNIQAEELVEFSSGVKGMALN LEPGQVGIVLFGSDRLVKEGELVKRTGNIVDVPVGPGLLGRVVDALGNPIDGKGPIDA AGRSRAQVKAPGILPRRSVHEPVQTGLKAVDALVPIGRGQRELIIGDRQTGKTAVALD TILNQKRWNNGSDESKKLYCVYVAVGQKRSTVAQLVQTLEQHDAMKYSIIVAATASEA APLQYLAPFTAASIGEWFRDNGKHALIVYDDLSKQAVAYRQLSLLLRRPPGREAYPGD VFYLHSRLLERAAKLSEKEGSGSLTALPVIETQGGDVSAYIPTNVISITDGQIFLEAE LFYKGIRPAINVGLSVSRVGSAAQVKALKQVAGSLKLFLAQYREVAAFAQFGSDLDAS TKQTLVRGERLTQLLKQNQYSPLATEEQVPLIYAGVNGHLDGIELSRIGEFESSFLSY LKSNHNELLTEIREKGELSKELLASLKSATESFVATF SPAR_B00150 MTVKKFRSSANSFNLNMPESVAIIGAGLVGCLAALAFSKEGYHV TLYDFRQDPRLDTTENKNLKSINLAISARGIDALKSVDHDACEHILQDMIPMKGRMIH DLKGEQESQLYGLHGEAINSINRSVLNNSLLDELEKSTTELKFGHKLVKIEWTDDKQI CHFAVGGDLRVPHTEYFDFVIGCDGAYSATRSQMQRKVEMDFSQEYMDLRYIELYIPA TEAFKPKFGGNFAIAPDHLHIWPRHKFMLIALANSDGSFTSTFFGSKDQISDLITSKS RVKKFLIENFPDIVNIMDLDDAVKRFITYPKESLVCVNCKPYDVPGGKAILLGDAAHA MVPFYGQGMNCGFEDVRVLMALLKMHSGDRSRAFAEYTQTRHKDLVSITQLAKRNYKE MSHDVTSKRFLLRRKLDALFSIIMKDRWIPLYTMISFRSDIPYSRALERTGRQTRILK FLESLTLGMLSVGGYKLFKFLTKERS SPAR_B00160 MTTQLRYENNDDDERVEHNLFTNRSTMMANFEEWIKMATDNKIN SRNSWNFALIDYFYDLDVLKDGENNINFQKASATLDGCIKIYSSRVDSVTTETGKLLS GLAQRKTNGTPNGVDSNGGNGDGLEGEANEASVQIDPLTGMPISSDPDVNNTRRRVYN RVLETTLVEFETIKMKELDQELIIDPLFKKALVDFDEGGAKSLLLNTLNIDNTSRVIF DASIKDTQHVKQGEIQSKEGELIEGDSLVDDEKEPSQSLICSRNDSIVNGSAVSAPSV EDEILSLGMDFIKFDQIAVCEISGSIEQLRNVVEDINQAKGFIENVNNKFDNFLTEEE LQEAVPDNAEDDIDGFDMSMQQELEYPDENNDNTSHDEQDDENINTTTGSIFEKDLMA YFDENLNRNWRGREHWKVRNFKKMNLANKESNLLEETRAVIGDTTDKNTTDDKPADTK KKNKQKKALEIDFFKIDDGFEDKIFAPKGRTKIDMPIKNRKNDSHYLLPDDFHFSTDR ITRLFIKPGQKMSLFSHRKHTRGDVNSGLYEKSTASVNNSNNDAPAIADEHFWADNYE KKEQEEKEMEQSKEVGDVVGGALDNPFEDDLDGVDFNQAFEGTDDNEEASVKLDLQDD EDHKYPIRENKVTYSRVSKKVDVRRLKKNVWKSINNLIQEQDTKKNEKANSNDNEIYK EDETIKELKFSDIIQGISKMYSDDTLKDISTSFCFICLLHLANEHGLQITNTESYDDL VVNYEDLATAQAAS SPAR_B00170 MKRESRSRVNSNMSRTIPFLFKLVNRAVILPTAGFTLGVGAFVK AWPDDAGVLSLNDPQTPAELISATKSRQPMELQRIDILTQIEKSEVYNKLAQDDKMHH VLFSEKIPSGHREYHVGQGLLFGKGKLEIDPLVFHDLNHGELTVIYHLGAELGNRDGN VHKGLLSLLLDEALCYCGFPLLPSKRGVTARLSLEFLEDIPVDTTIMLKASVKEIKGR KCIIEGHLEQFPSESPSKNGIKNWNLLRIWGSNDKREVAKKFANANCVLVEPTWFKYF KWLDMF SPAR_B00180 MASSVDETTVPSYYYYVDPETTYTYQQPNPLQDLISVYGLDDIS RQVARTNLDGTKAVKLRKSYKNQIADLSGKFSTIPTRENGKGGQIAHILFQNNPDMMI QPPQQGPGQNVSEQQWCEQLRNRDIALFQPPNFDWDFCSSVLSQFERSYPSEFANQNQ GGAQAPFDIDDLAFDLDGTGKSQSGSTSGANSKKRKNKSSGSSMATPTHSDSHEDMKR RRLE SPAR_B00190 MASLPHPKIVKKHTKKFKRHHSDRYHRVSENWRKQKGIDSVVRR RFRGNISQPKIGYGSNKKTKFLSPSGHKTFLVANVKDLETLTMHTKTYAAEIAHNISA KNRVVILARAKALGVKVTNPKGRLALEA SPAR_B00200 MRIVPEKLVFKAPLNEQSTEYIKLENDDDKRVIFKVRTSAPTKY CVRPNVAIIGAHESVNVQIVFLGLPKSTTEYEMNQKQDKFLIVTLPIPATHEDMEDDK LLSNWPNLEEQYKDDIIFKKIKVFHSALPRQKPSRKYASKSSRRVPESPDNGQGLSSR ALLIIAVIALLVGWIYY SPAR_B00210 MSGAELEKAAAPDLKEVNLENEAVEQQDQAAADESGPVESKKKK NKKKKKKKSNVKKIELIFPDGKYPEGEWMDYHQDFNLQRTTDEESRYLKRDLERAEHW NDVRKGAEIHRRVRRAIKDRIVPGMKLMDIADMIENTTRKYTGAEDLLTMENPKSQGI GFPTGLSLNHCAAHFTPNAGDKTVLKYEDVMKVDYGVQVNGNIIDSAFTVSFDPQYDN LLAAVKDATYTGIKEAGIDVRLTDIGEAIQEVMESYEVEINGETYQVKPCRNLCGHSI GPYRIHGGKSVPIVKNGDTTKMEEGEHFAIETFGSTGRGYVTAGGEVSHYARSAEDHQ VMPTLDSAKNLLKTIDRNFGTLPFCRRYLDRLGQDKYLFALNNLVRHGLVQDYPPLND IPGSYTAQFEHTILLHAHKKEVVSKGDDY SPAR_B00220 MLKSTLRLSRTSFRRNFATIDCLRQQNSDIDKIILNPIKLAQGT RSDHDQASRIKRDNADILSMEIPVDMMQSAGRINKRELLSEAEIARSSVENAQMRFNS GKSIIVNKNNPAESFKRLNRIMFENNIPGDKRSQRFYMKPGKVAELKRSQRHRKEFMM GFKRLIEIVKDAKRKGY SPAR_B00230 MPSNVRSGVLTLLHTACGAGVLAMPFAFKPFGLMPGLITLTFCG ICSLCGLLLQTRIAKYVPKSENASFAKLTQLINPSLSIVFDFAIAVKCFGVGVSYLII VGDLVPQIAQSIFYRNDDNIDSQERHIFLDRRLYISLVMVSVISPLCFKRSLNSLRYA SMIAIISVAYLSGLIIYHFVNRHQLERGQVYFMVPHRDSQTHSPLTTLPIFVFAYTCH HNMFSVINEQADKSFKVLRRIPILAIALAYFLYIIIGGTGYMTFGENIVGNILTLYPN SISTTIGRLAMLLLVMLAFPLQCHPCRSSVKNIIIFIENFRKGKLYDNRTGFIPLDDL SNEDPQEGLTQQNNEEPNLRIESLRYTNIITLCILLFSYILAISITSLAKVLAIVGAT GSTSISFILPGLFGYKLIGSEFTDRNERVPFSIKIFKYLGLFLFIWGIAVMVASLSAT VFLGTSSH SPAR_B00240 MEDHGIVETLNLLSSTKIKERNSALDELTTVLKENPERIPTKAL PTTADALVELLASEHTKYCELLRNLTRLTTNKLSLSENRLSTISYVLRLFVEKSCGRF KVKTLKLLLAVIPELMVKEGSKSLLDAVSVHLSFALDALIKSDPFKLKFMVHQWTSLV DKICEYFQNQMRISMVNKTLTNFVSILLNLLALDTVGIYQVARIITWTVIDFLKLSKK ENGNTRLIMSLINQLILKCHCLSVIDTLMLIKEAWSYNLTIGCTSNELVQDQLSLFDV ISSELMNHKLPYMIGQEKYAEEFCSESLVSLYREYILLRLSNYKPQLFTLDCIEFSYT QSSRDENTWFVLHDFRLRDREGASVWLNVFGITKSLLTYFALYNKSENNSLLFKKRKC DSDIPSILRISDDMDTFLIHLLEESRLHEFELLGLQLCSFYGTLKDVTKNFTSQLKEL LFSKFEKIQCFNWVCFSFIPLLSQEKCELSNDDMTRLFKVCLPLVKSKESCQISCVLL ANSIKFSKQLLFDEKTINQIHDFYELSDILGPISITNESFMLWGYLQHFGKDFQSMNG MSSADRIFEWLKSKWNQLHGTDDKQDQFCNFISWLGNKYDPENPFNDKKRRRTNPVPP CWNESYMIWHHFQEQRKFLLCVKPEGKSKCFSTPFFNLPKVPLNLTRYNETLYRLLEN IESDTLSSPLQKFTWAAKLIQIIDNLCGDSTFFEFITAYKRTVSLTIPQLSLDNQNSY ESFFEDVLSIHTTNVDHVVLDKINMKKIVNDFIKIQKKKSQGGTSAVNYFEASSEEIA QNNSPYTIGGRFQELQHSAMDKAVQAYLWASKNKSISERLIAILEFSDCITTDVFISY LGTVCQWLKQTIADTSSYNKILEEFTEVLGEKLLCNHYSSSNQAMLLLTSYIEAIRPQ WLCYPGQPLNSDCNDILDWIISRFEDNSFTGVAPTVNLSKLLLSLLQHHDLSQGTVRG GKQRVFATFIKCLQKLDSSSIISILNIISNYMAQVSYKNQSIIFSEIKGLFGPPQQSL EKSASYSLTMSMLSLVSYPSLVFSLEDMMTYSSFNHTRAFIRQALNKIIVALRHKNLM ELFEYCKFDLIMYWFNRTKVPSAKLEKEWDISLFGFTNIHEFLGRYFVEISAIYFSQG FNQKWILDILHTITGNDDAYLVDNSYYLCIPLAFISGGVNELIFDILPQISGKPTVKY HRKYRLLMLKWIIRFIDLGSLTELRSIVEKLFPTSYLSQYLFENSSVSMRYQYPLHIP LALGASLLQTQFAREKNSTQEFKLLFLSVITDLEKTSTYMGKLRCARELKFLFVLYEN VLVKSSTLNFIIIRLSKFLIDTHIHDEIITIFSSLLNLANKKMFKIEASLPNLFCKIF IYLREYKQLSPSFQQAIKLLERWDLVKIKTWKYCLDAIFGHVIQNDIYENTELLDASN CNIDNVVLVSLLFSYAKKPVASKIDCFLSNAAAINILKHHVPKEYLSKNFKLWFATLS RSISREEIEIESTSNFNNELHLKNFEMVFHHPGLPHMIYRRISIFNKQAELDNSTEVF FLSECILTYLVGYSMENSETEFGFTEKLLDENKDKVVPLDKDVLNAIRPLANNFGMES FICDSYLSVNEPYDCWIGKFARGLIHQISFNIPPIICLYPLCKASITFCELVLNDLFF LSMTYDPKSCLRWSSQIFTQIATLLNVRDSEIKLKLLFGVIKMIRMGSRYKERNCLRT YSSLNLEEICQISIKIEEFKFSYLLFEEMNMPKTREMNINTLQKIYESINDGDFIAGL PVPHSIEGVLNSINRIESDTWKRFLFNNANFDANYTTSLEEEKEPLIKATEDSGFYGL TSLLESRLSGSSDVYKWNLELGNWKLLTPKIIDSKAKGLYYAIKNLPQDVSYAEKCLE KSLLTIFDSRQHFTSQNEWMDTLNAIIEFIKIASSSQNICSFPQTLMSIIKADKERLN TIDFYDHKATLKGRHTLMNVLSKNSLEENAECSKCLHLGSIIQLANYIQLAIANGASQ DALRNATLMSNMVKNTAKLYEDPSVLSQIEKLASYMSANALWESQEYKAPVMIMRDLV ARNEKNTSEGTLYGDFELLLDVPMDQIKARLVKWSSESRLESAAAIYEENIVNWDINV DDHESCSDVFYTLGSFLDEQAQKLRSNGEIEDREHRSHTGKATLKALELIYKNTKLPE NERKDAKRHYNRVMLQYNRDSEILKALLLQKEKFLWHALHFYLNTLVFSNRYDNDIID KFCGLWFENDDNSKINQLLYKEIGTIPSWKFLPWVNQIASKISMEENEFQKPLQLTMK RLLYKLPYDSLYSVMSILLYEKQSNKDTSISQKIQAVKKILLELQGYDKGAFAKKYVL PVQEFCEMSVELANLKFVQNTKKLHLANLKIGQYWLNQLSMEKLPLPMSDFTVKSSAD GRKARPYIVSVNETVSITTTGLSLPKIITFNISDGTTQRVLMKGSNDDLRQDAIMEQV FRQVNKVLQNDKVLRNLDLGIRTYKVVPLGPKAGIIEFVANSTSLHQILSNLHKNDKI TFDQARKAMKAVQTKSNKERLKTYLKITDEIKPQLRNFFFDSFPDPLDWFEAKKKYTK GVAASSIVGYILGLGDRHLNNILLDCSTGEPIHIDLGIAFDQGKLLPIPELVPFRLTR DIVDGFGVTGVDGLFRRSCERVYAVLRKDYVKVMCVLNILKWDPLYSWVMSPVKKYEH LFEGEHETTNFDNVSKFIGHNDRNENQESYRALKGVEEKLMGNGLSVESSVQDLIQQA TDPSNLSVIYMGWSPFY SPAR_B00250 MPFNHNSKAKRPKFLLDLQIKELVNIPQSSGYCYTKWRLKDGTG TSGHKIALDGEHQSTSTQSRGTTKHVHVQHHRAQWNYSLDKPILVKLHLDKNGCFLKK ILVLDVFFEFADANSALTSSSSANGKVKKTTYANATALTATGSNSYSQKITGKLLLGT VDIDITEYVKEDENPTTNRFLLKHSKVNSIINVSLQLKLVRGSYEDFNISKSFTNGQL ANFRPGINTILDNTSELSSPTSTTNQISPKNTFSNINGIGTTVAKPSTNGTGNSTSLK SPTSTNNKSSEATSKPGLSTTISSSMSPLIENLYQKTFKLPWDPRPGEFTPRECVEDI LQGGNGWAKNEKGINLIDLQALRLNEMEEEYYNPNYGNNPGNKASSWPPNPSDDGYST MGKREYLEKKQNWSHMSRAQRAKLRTHNDEDHENTANNEGSNEDNDVVEDNNPTDFLT DRIRENKNWSIIT SPAR_B00260 MSFEGNTLGKGAKSFPLYIAVNQYSKRMEDELNMKPGDKIKVIT DDGEYNDGWYYGRNLRTKEEGLYPAVFTKKIAIDNAEGLRETRTQESGNSGVKYGTLN GSASKIGNISSQKQENRYTSLKSTMSDIDKALEELRNGSVEQEVSRSPTKVPKVSALE VQDEETLIQDKTQNEGNATHDSIFSSTADLNLSSDSLKNISRSNISTKSLEPSSEPVN LLDPKMAKNWSPEEVTDYFSLVGFDQITSNKFKEHQVSGKILLELELEHLKELEINSF GIRFEIFKEIGNIKSTIDSSSNTLHSDYTTFAFENQTARLMPAATVNRDEIQQPISSK CNKPPGVSSDRKSSSGTTELQRPSSVVVNPNFKLHDPAEQILDMAEVPNLFADKDIFE SPGRAPKPPSYPSPVQPPQSPSFNNRYASNNARFLPQSTNSSKNKNSAVYSNGLIPKS STSSDNSTGKFKFPAMNGHDSNSRKTTLTSATIPSINTVNTDESLPAISNISSNATSH HPNRNSVVYNSHKRTESGSSFVDLFNRISMLSPVKSSFDEEETKQPSKASRAVFDSTR RKSSYGHSRDTSLSGMKKHRRNSSILSFFSSKSQSNPTSPTKQTFTIDPSKMTSHSRS QSNSYSHARSQSYSHSRKHSLVTSPLKTSLSPINSKSNIALAHNDTSNSNDKEELSQP REGKHKHKHKHRSKHKHNSGSKDSPSEEKSKKKLFSSTKESSVGSKESKRSPSELTQK TTKSILPRSNAKKQQTSAFTEGIRSITAKESMQNADCSGWMSKKGTGAMGTWKQRFFT LHGTRLSYFTNTNDEKERGLIDITAHRVLPASDDDRLISLYAASLGKGKYCFKLVPPQ PGSKKGLTFTEPRVHYFAVENKSEMKAWLSAIIKATIDIDTSVPVISSYATPTIPLSK AQILLEEARLQTQLRDAEEEEGRDQFGWDDTQNKRNSNYPIEQEQFGKTNDYLESSGF EYPGGRL SPAR_B00270 MAVNPELAPFTLSRGIPSLDDQALNTIIQLQDCIQQAIQQLNYS TAEFLAELLYAECSILDKSSVYWSDAVYLYVLSLFLNKSYHTAFQISKEFKEYHLGIA YIFGRCALQLSQGVNEAILTLLSITNVFSSNGSSTHINAVLNSNLVHIPDLATLNCLL GNLYMKLDHSKEGAFYHSEALAINPYLWESYEAICKMRATVDLKRVFFDITRQKSNSH NNNAASSFQSASLSHFEPRSQPSLYSKTNKTSGNNINNNVNTPFQSSNSPPSTSASSF SSIQHFSRSQQQQQANPSIRAYQNKNIQTPKNSATNNKTSSALPNNISMNLASPSSKQ PTISSLAKVYNRNKLLTTPPSKLLNNDRNHQNNNNNNNNNNNNNNIMNKTTFKTPRNL YSSTGRLTTSKKNPRSLIISNSILTSDYSITLPEIMYNFALILRSSSQYNSFKAIRLF ESQIPSHIKDTMPWCLVQLGKLHFEIINYDMSLKYFNRLKDLQPARVKDMEIFSTLLW HLHDKVKSSNLANGLMDTMPNKPETWCCIGNLLSLQKDHDAAIKAFEKATQLDPNFAY AYTLQGHEHSSNDSSDSAKTCYRKALACDPQHYNAYYGLGTSAMKLGQYEEALLYFEK ARSINPVNVVLICCCGGSLEKLGYKEKALQYYELACHLQPTSSLSKYKMGQLLYSMTR YNVALQTFEELVKLVPDDATAHYLLGQTYRIVGRKKDAIKELTVAMNLDPKGNQVIID ELQKCHMQE SPAR_B00280 MEGEESPQSEKSLKKEQFIRPPLDLWQDFKDGVRYLIFDRRANL IIMPLLVLFESMLCKIIIKNVAYTEIDYKAYMEQIEMIQLDGIRDYSQVSGGTGPLVY PAGHVLIYKIMYWLTEGMDHVERGQTLFRYLYLLTLALQMVCYYFLHLPPWCVVLACL SKRLHSIYVLRLFNDCFTTLFMVTTVLGAIVSSRCRQRPKLKKSLALVISATYSMAVS IKMNALLYFPAMMISLFILNDASLVLTMLNLVTMIAWQITVAAPFLRSFPQQYMHCAF NFGRKFLYKWSINWQMMDEEAFNDKRFHLALLISHLIALTTLFVTRYPRILPDLWSSL RHPLRLNAVVNTSPAKTIPFILISSNFIGVLFSRSLHYQFLSWYHWTLPILIFWSGMP FFVGPIWYALHEWCWNSYPPNSQASTLLLGLNAVLLLLLALTQLSGSNTPAESHLRTT SAMEKKLN SPAR_B00290 MPGQIISIPFLSQNEDMDKYLLEYRSLKLLHQPSNSFQSHNAPS HQSSYHSHYNHIKYNNTGSYYYYNNNNNNSSSANPHIQAGLQSINRSIPSSPYGAYNH NRPNDVPYMNNQKKHHRFNANNNLNQQKYKQYPQYSSNPAVTAHLKQTYPQLYYNSNV NAHNSNNSNNNSNANNILYNQTQFSTRYFNSNSSPSLASSTSNSSSPYNQSSFDYILP STTNLSSSSSNNSTHTNPTTATSTSADLINDLPKGPTSSSLISDLQSPPAVPFLPASQ TLLMSSATSSSIGTNINQTQPSPSPSQREDFATVPVNMSSSASLLMNDSSLGWGSNHM NVSSSSQPASSRPFGIWNTDMSVWS SPAR_B00300 MLRLARFYSLARTKVTCNQSMPFRQEYALKCGLEIHTQLNTKTK LFSQSTNSATSLVDAPNHHTSFYDIALPGTQPVLNLEAILFAMKLSLALDSRVNSVSQ FDRKHYFYGDQPQGYQVTQHYRPFARGGKINLSKGLDGIDELSKDIGILQLQIEQDTG KSHYTDTEKDVVTLVDLNRSNVPLIELVTKPDFSDIKQVKAFIKKYQNLVRHLHISSG DLETGAMRVDVNLSINEYARVELKNLPNTSSIINAIKYEYQRQVGLISGGDTSSLMEP ETRGWTGSSTVKLRSKETTIDYRYMPDPELPYISLAPDVTSGVKELMPQLPDDIMRML MKKPYQLSLKDAKILTYNSNQNDMYNHEALRLYYLDTFREFSKLVDEHNSTKLPTNWI IHEFLGDLNKLQVPLTKAQQILPPAVFAQFLKLLHEEVISATSGKMLLFHILETFKQS NCQNLSIPDFSKLIKEYELHAINQVDPQELMNLCNDVIVQHTDDTFIRNLVSGKKKSS LKFLIGQGMRRSQGRIKANEFEKKFKEILNIQW SPAR_B00310 MFQSFFHNNGPAAAGETFSDSRSYPLTNHHEVPRNGLNGLNESA SSAAKLQQQQPTQILSSYPIAGSNPLMRAGAMGATSGSINPNMSNMNEHIRVSGMGTS KPLDLAGKYIDHLQHKDSNTPVLDERSYYNSGVDYNFSREKNGLGAFTPFEKQDVFDI PDEILHEFSTSQTRADMGIFPELNRCWITIDNKLILWNINNDNEYQVIDDMKHTIRKV ALVRPKPNTFVPAVKHLLLICTTMEIFMFAISLDKATNELNVFNTHLSVPVQGIDIID IVSHERSGRIFFAGQGSGLNIWELQYSGSDDWFNSKCSKVCLTKSALLSLLPTNMLSQ IPGVDFVQALFEDNSNGSGGYSQETITQLTIDQQRGIIYSLSSKSTIKAYVITEKSLE GPMSIEPAYISRIIGTTTARAAPILGHKYLKIVKISSVAPEENNNLFLVALTVGGVRL YFNGSMGRFNIEALRLESIKFPPSSVTPEVIQQELLHQQQEQAKRSFPFFSNIMSSEP VLLKFQKKSSVLLETTKASTIISPGIFFSAVIKSSQQTHQADKKESSSTAGTTATTGS KTANQPPVTLQHKLFVSVPDYGILKTHGKYVENATFLETTGPVQQIIPLSGLFNATTK PQGFANEFATQYTSETLRVAVLTNASVEIYKYRTPDEIFEDLIDNPLPFVLNYGTAEA CSTALFVTCKSNKSEKLRSNALTFLTMGIPGVVDIKPVYNRYSVSTVSSLLSKPSLST TTTNLQQSLAGYSKPSPANKEDFDLDDVILSPRFYGIALLITRLLRDIWGRHVFMTFT ENGTTPHTFNSPFISLTSSINNQKGDDISQNSNIISKVSISKDCIEYYLSSVNILNEF FITYGDSISQISAPYVLANNSNGRVIDKTEEVANQAESIAINALIKLVQSIKEGLSFL NVLYEESEVEGFDNQYLGFKDIISFVSLDVQKDLVKLDFKDLFAPNDKTKSLIREILL SIINRNITKGASIEYTATALQERCGSFCSASDILGFRAIEHLRRAKEIGLRNYDSLNY HLKNATALLEQIVDDLSIEKLKEAVSMMLSVNYYPKSIEFLLNIANSMDKGKLACQYV ANGFLENDERKQYYDKRISVYDLVFETLIKVDELAEKKPSSNTQNQVSISNDDEAKLR EKSYEVALKYNDKLFHYHMYDWLVSQNREEKLLDMETPFILPYLMEKAGSSLKISNIL WVYYSRRSKFFESAEILYRLATSNFDITLFERIEFLSRANGFCNSVSPLSQKQRIVQL ASRIQDACEVAGIQGDILSLVYTDVRIDPTIKDELIRTLDGKILSTSELFNDFAVPLS YHEIALFIFKIADFRDHEMIMAKWDELFQSLRMEFNNTGKKEDSMNFINLLSNVLIKI GKNVQDSEFIFPIFELFPIVCNFFYETLPKEHIVSGSIVSIFITAGVSFNKMYYILKE LIETSDSDNSVFNKEMTWLIHEWYKSERKFRDIITYNDIIHLKEYNIDNDPIEKYVRN TGNNLGIYFYKE SPAR_B00320 MKSTFKSEYPFEKRKAESERIAERFKNRIPVICEKAEKSDIPEI DKRKYLVPADLTVGQFVYVIRKRIMLPPEKAIFIFVNDTLPPTAALMSAIYQEHKDKD GFLYVTYSGENTFGR SPAR_B00330 MSENNTHFSFPKEEEKVLALWDEIDAFHTSLELTEDKPEFSFFD GPPFATGTPHYGHILASTIKDIVPRYATMTGHHVERRFGWDTHGVPIEHIIDKKLGIT GKDDVFKYGLENYNNECRSIVMTYASDWRKTIGRLGRWIDFDNDYKTMYPSFMESTWW AFKQLHEKGQVYRGFKVMPYSTGLTTPLSNFEAQQNYKDVNDPAVTIGFNVIGQEKTQ LVAWTTTPWTLPSNLSLCVNADFEYVKIYDETRDRYFILLESLIKTLYKKPKNEKYKV VEKIKGSDLVGLKYEPLFPYFAEQFRETAFRVISDDYVTSDSGTGIVHNAPAFGEEDN AACLKRGVISEDSVLPNAIDDLGRFTKDVPDFEGVYVKDADKLIIKYLTNTGNLLLAS QIRHSYPFCWRSDTPLLYRSVPAWFVRVKNIVPQMLDSVMKSHWVPNTIKEKRFANWI ANARDWNVSRNRYWGTPIPLWVSDDFEEIVCVGSIKELEELTGVHNITDLHRDVIDKL TIPSKQGKGDLKRIEEVFDCWFESGSMPYASQHYPFENTEKFDERVPANFISEGLDQT RGWFYTLSVLGTHLFGSVPYKNVIVSGIVLAADGRKMSKSLKNYPDPSIVLNKYGADA LRLYLINSPVLKAESLKFKEEGVKEVVSKVLLPWWNSFKFLDGQIALLKKTSDIDFKF DDSVKSDNVMDRWILASMQSLVQFIHEEMGQYKLYTVVPKLLNFIDELTNWYIRFNRR RLKGENGAEDCLKALNSLFDALFTFVRAMAPFTPFLSENIYLRLKEYIPESVLAQYGK DGRSVHFLSYPVVKKEYFDEAIETAVSRMQSVIDLGRNIREKKTISLKTPLKTLVILH SDESYLKDVEALKNYIIEELNVRDIVITSDEAKYGVEYKAVADWPVLGKKLKKDAKKV KDALPSVTSEEVRKYLETGKLEVAGIELVKGDLNAIRGLPESAVQSGQEARTDQDVLI IMDTNIYSELKSEGLARELVNRIQKLRKKCGLEATDDVLVEYELVKDTIDFEAIVKEH FDMLSKTCRSDIAKYDGSKTDPIGDEEQSINDTIFKLKVFKL SPAR_B00340 MSRAVGIDLGTTYSCVAHFANDRVEIIANDQGNRTTPSYVAFTD TERLIGDAAKNQAAINPHNTVFDAKRLIGRKFNDPEVTTDAKHFPFKVISRDGKPVVQ VEYKGETKTFTPEEISSMVLIKMKETAENYLGTTVNDAVVTVPAYFNDSQRQATKDAG TIAGMNVLRIINEPTAAAIAYGLDKKGRAEHNVLIFDLGGGTFDVSLLSIDEGVFEVK ATAGDTHLGGEDFDNRLVNHLATEFKRKTKKDISNNQRSLRRLRTAAERAKRALSSSS QTSIEIDSLFEGMDFYTSLTRARFEELCADLFRSTLDPVEKVLKDSKLDKSQIDEIVL VGGSTRIPKIQKLVSDFFNGKEPNRSINPDEAVAYGAAVQAAILTGDQSTKTQDLLLL DVAPLSLGIETAGGIMTKLIPRNSTIPTKKSETFSTYADNQPGVLIQVFEGERTRTKD NNLLGKFELSGIPPAPRGVPQIDVTFDIDANGILNVSALEKGTGKSNKITITNDKGRL SKDDIDRMVSEAEKYRADDEREAERVQAKNQLESYAFTLKNAINEASFREKVGEDDAK KLETVSQETIDWLDASQAASTDEYKDRQKELEGIANPIMTKFYGAGADAGPGAAGPGG FPGSMPNSGAAGGGQDSGPTVEEVD SPAR_B00350 MNSIPFTSVPIEVTIGIDQYSFNVKENQPFHGIKDIPIGHVHVV HFQHADNSSLRYGYWFDSTMGNYYIQYNHEGGLYEMMEEPNDAKFENIVHDLMGRQMM ISYPKIDEDDMWHNLVEFVQMDKIRKVVRQDENGFSYVDSSMTTVQENELLKYSLQKA GSKMESGNEDDPAHCLNYTIINFKSREAIRSGHEMEDFLDKSYYLNTVILERIFQHSS NNYFGELQFAFLNAMFFGNYGSSLQWHAMIELICSSASVPKHMLGKLDEILYFQIKIL PEQYSDILLNEQVWSTCLYSSFQKNSVPNTKTIMEDKYPELLGEDNEDDSIVHDLSDD EEDEEEDEYKPTIAGGIYYQR SPAR_B00360 MGISRDSRHKRSATGAKRAQFRKKRKFELGRQPANTKIGAKRIH SVRTRGGNKKYRALRIETGNFSWASEGISKKTRIAGVVYHPSNNELVRTNTLTKAAIV QIDATPFRQWFEAHYGQTLGKKKNAKEEETIAKSKNAERKWAARAASAKIESSVESQF SAGRLYACISSRPGQSGRCDGYILEGEELAFYLRRLTAKK SPAR_B00370 MSTYDEIEIEDMTFEPENQMFTYPCPCGDRFQIYLDDMFEGEKV AVCPSCSLMIDVVFEKEDLTEYYEEAGIQPPEPIAVAA SPAR_B00380 MQFRICSFRDTQQGENCLSPPLIINSTFIYFLKRKRKEMQQHDV MCISHFNLYVMYKKLITHTYLVSLYAAKEPSLQT SPAR_B00390 MAKDILKNQDPKLQAMIVEHSAPAPKEIPIDEPVLKRVARPLRH VKFIPIKSLVFHTKTGPMEFSYEKKIKTPIPKNKIVVRVSNVGLNPVDMKIRNGYTSS IYGEIGLGREYSGVVTEVGDNLNYAWHVGDEVYGIYYHPHLAVGCLQSSILVDPKVDP ILLRPESVCAEEAAGSLFCLATGYNILNKLFRNKYLKQDSNVLINGGTSSVGMFVIQL LKRHYKLQKKLVIVTSANGPQVLQKKFPDLADEMIFIDYLTCRGKSSKPLRKMLEEKR ISQFDPVEDKETILSYNEGKFDVVLDFVGGYDILSHSSSLIHGGGAYVTTVGDYVANY KEDIFDSWDNPSANARKMFGSIIWSYNYTHYYFDPNAKTSSANNDWIEQCGDFLKNGT VKCVIDKVFNWKDHKEAFSYMATQRAQGKIIMNVEKF SPAR_B00400 MNSESREDMAINSIKLLAGNSHPDLAELISKKLGIPLSKVGVYQ YSNKETSVTIGESLRDEDVYIIQTGIGEQEINDFLMELLILIHACKIASARKITTVIP NFPYARQDKKDKSRAPITAKLVANLLQTAGADHVITMDLHASQIQGFFHIPVDNLYAE PSVLNYIRTKTDFDNAILVSPDAGGAKRVAALADKLDLNFALIHKERQKANEVSKMVL VGDVTNKSCLLVDDMADTCGTLVKACDTLMEHGAKEVIAIVTHGIFSGSAREKLRNSR LSRIVCTNTVPVDLDLPIADQIDISPTFAEAIRRLHNGESVSYLFTHAPV SPAR_B00410 MIRRWLTISKSGKKKKTANDTITEEVEKIDFKPVSHNINDEFCN SESSDNPSSSLFVSNLDTKETLLNEDNTLQISSGLDYYSETCNQGSNYSQDEIFNMAN AKPIYTYGGIITQGPEVPILATKVSDLMPYGDGSNKVFGYENFGNTCYCNSVLQCLYN LSSLRENILQFPKKSRESGQSRKNEMRGNKPRIFTEASFERSSTSTNGHLPNSKSQSI DEGKSTPVNSVNSNATGLPEKKSKFFKSFSAKHAQDNNKKEGSPALLTTPKPSSGSQD PPPLVVETPNEPGAPSRLSSENVTERPPDVPRKIIVGRVLSYENPSRGSSNSNNLDLK GESNSSLFTPLDKKDTRRSSSSSQISPEHRKKSALIRGPVLNIDHSLNGLDKATLYSS LRDIFECITENTYLTGVVSPSSFVDVLKRENVLFNTTMHQDAHEFFNFLLNELSEYIE RENKKIAANDINNDSILGKNTNFISNLFQGTLTNQIKCLTCDNVTSRDEPFLDFPIEV QGDEETDIQAILKSYHQREMLNGSNKFYCDECCGLQEAERLVGLKQLPDTLTLHLKRF KYSEKQNCNIKLFNNIHYPLTLNVCSSINSEVCQKYELAGIVVHMGGGPQHGHYVSLC KHEKFGWLLFDDETVEAVKEETVLEFTGESPNMATAYVLFYKAVYSNAVNENDRENMA KEQEGNIDSLIKYDDWLRTCNNAQKKKEEQSTAEDLDTAIDDSFVSNTPIKSSKKKSR MFSFRKS SPAR_B00420 MVKDNRDSDQDQDFSSAHMKRQPEQQQLQQHQFPNKKQRISHHD DSHQINHRPVTSCTHCRQHKIKCDASQNFPHPCSRCEKIGLHCEINPQFRPKKGSQLQ LLRQDVDEIKSKLDTLLANDSVFVHLLQHIPMGNNLLNKLNLHPTSTPGTIIPNPDSS PSSGSPASSAAQRDSKFSVQTYLSREPQLLQASQGSNTNKFKANNEASSHMTLRASSL AQSSKSLVATEPNKLPPLLNDSALPNNSKESLPPALQMAYYKNNSTGNTPNGPFSPIH KTYSPHTTSTTVTTATNQAPFAATSHVDTNNSTNRTKTPVVATTTTMPLLPSPHANVD EFVLGDISISIEKANKLHHIFVTRYLPYFPIMYSNNATELYSQSQLLFWTVMLTACLS DPEPTMYCKLSSLIKQLAIETCWIRTPRSTHISQALLILCIWPLPNQKVLDDCSYRFV GLAKSLSFQLGLHRGEFISEFTRTQTSMPNAEKWRTRTWLGIFFAELCWASILGLPPT SQTDYLLEKALSCGDEESEEDDNNGSNDNSDKSNEKYESHVEVKYKLPGSFRRLLSLA NFQAKLSHIIGSSTSSPDGLLEPKYRAETLSILGKELDSLAKTLNFQSDDTVNIYFLY VKLTVCCFAFLPETPPTDQIPYVTEAYLTATKIVTLLNNLLETQQLIELPIYIRQAAT FSALILFKLQLTPLLPDKYFDSARQSVVTIHRLYRNQLTAWATSVENDISRTASMLEK LNFVLIMHPEVFVEEDGIISRMRSHLTGSLFYDLVWCVHEARRREMDPEYNKQALEKA ARKRKLSSNDIYSNLSSTGGIMDRKLYPLPLYNHISRDDFETVTKTTPSGTTVTTLVP TKNALKQAEQLAKTNNGDSDGSIMEINGIPLSMLGETGSVKFQSLFVNTANSNDYNSG RTLLDASNDISIPSNSSYPVTSGPTLNNNPQSTKGDYYHNGPNVIPDLSMKRSVSTPV NHFPVSVPGLRNPVGSLPNNVTLGIDHPVPREHSNLQNVTINYNNQFNNANAIGRSQS SMSHSRTPVASKSNNMTDLHSVVSDPGSSKSTAYPPLSLFAKNNNINNNKTNQQFSTG TNTVISSNHQSIDNENNVKTPGNKLTDFFQQQSAGWIEGNSSNDDFFGWFDMNMEQGF SPAR_B00430 MFSRICSAQLKRTAWTLPRQAHLQSQAMKTFATAPILCKQFKQS DQPRLRINSDAPNFDADTTVGKINFYDYLGDSWGVLFSHPADFTPVCTTEVSAFAKLK PEFDKRNVKLIGLSVEDVESHEKWIQDIKEIAKVKNVGFPIIGDTFRNVAFLYDMVDA EGFKNINDGSLKTVRSVFVIDPKKKIRLIFTYPSTVGRNTSEVLRVIDALQLTDKEGV VTPINWQPADDVIIPPSVSNDEAKAKFGEFNEIKPYLRFTKSK SPAR_B00440 MARSSLPNRRIAQFEANKRKTIAHAPSPGPSNGMHAVTPAICNN SATTSDSNIHVYVRCRSRNKREIDEKSSVVISTLGPQGKEIILSNGSHQSYSSSKKTY QFDQVFGAESDQEMVFNATAKNYIKEMLHGYNCTIFAYGQTGTGKTYTMSGDINILGD VQSTDNLLLGEHAGIIPRVLVDLFKELSSLNKEYSVKISFLELYNENLKDLLSDSEND DPAVNDPKRQIRIFDNNNNNSSIMVKGMQEIFINSAHEGLNLLMQGSLKRKVAATKCN DLSSRSHTVFTITTNIVEQDSKDHGQNKNFVKIGKLNLVDLAGSENINRSGAENKRAQ EAGLINKSLLTLGRVINALVDHSNHIPYRESKLTRLLQDSLGGMTKTCIIATVSPAKI SMEETASTLEYATRAKSIKNTPQVNQSLSKDTCLKDYIQEIEKLRNDLKNSRNKQGIF ISQDQLDLYESNSILIDEQNLKIHNLREQIKKFKENYLNQLDVNNFLQSEKEKLITII RNFNIDFSKFYSEIQKIHHTNLELVNEVTQQRDFSQEISQKQYTTNHNMQLKISQQVL QTLNTLQSSLSNYNSKCFQVINGVTEELTRSVNTHKAKHDSTLKSLLNTTTNLLMNKM NELVRNISNSLENFRSESTSYYHKDLNKIYQSHQQFLKDLQNDIKSCLDSIGSSILTS INEISQSCTKNLSSMNVLIENHQSGSSKLIEEKDMEIKKLKNDLINERRISNQFKQQL NELKEYFQDHVFRTRGEFHDELSEFISNLKEKQSKLDQDIWQKTATILNETDTIVNKI HSNSIASLAHSTESTLRTVSNNNTSFTKDLISLSREMDLDISSKLRSLPIDEFLNKMS QTIRKNCGNDNTTASNPVLNSIKKFQNTICSDIVLTNEKIMSSIDEIKSQIETISKKN NIDLTVINENFHSLCSFILTDYEENIMQISKTQDEVLSEHCGQLQSLNEQGMDIITAH SIEKPLREHTRPESSVIKALPLLDYPKQFQIYRDSEDKSKDDTSNSRPCISDPSTGEN FPLTQFSPKTPVPVPDQPLPRVLIPKSINSTKSNRSKTLPNTEGTGRESQNNLKRRFT TEPILKAEETENNDILQNKKLHQ SPAR_B00450 MASSPQIHPYKKHLMQSQHINFDNRGRQFQNGSLKAGQDFSDNK ENRGNKDNEEFSTAALPKRSANQLLLNEHFRAASVPLLPNGKDSSQEGADFDPVPPPQ LRLHNSNSNSLSSLGSTPTNSPSLGALRQTNSSTSLTKEQIKKRTRSVDLSHMYLLNG SSDTQLTATNESVADLSHQMISRYLGGKKNTSLVPRLKTIEMYRQNVKKSKDPEVLFQ YAQYMLQTALTIESSNALVQDSDKEGNVSQSDLKLQFLKEAQGYLKKLSIKGYSDAQY LLADGYSSGAFGKIENKEAFVLFQAAAKHGHIESAYRASHCLEEGLGTTRDSRKSVNF LKFAASRNHPSAMYKLGLYSFYGRMGLPTDVNTKLNGVKWLSRAAARANELTAAAPYE LAKIYHEGFLDVVIPDEKYAMELYIQAASLGHVPSATLLAQIYETGNDTVGQDTSLSV HYYTQAALKGDPVAMLGLCAWYLLGAEPAFEKDENEAFQWALRAANAGFPKAQFTLGY FYEHGKGCDRNMEYAWKWYEKAAGNEDKRAINKLSSRDGGLTSTSKMQHKKNKSISTL NLFSTVDSQISNGGSNSRVSSKSETFFTGNPKREREPQGLQITMNSNTNRDNIKTGSN ASIRKSSSANGMSQEVAEQSMAAKQAVNTLNIDSSNASRKNFSAVKTEPKKPTSLKNK KDKQSKNKKDCVIM SPAR_B00460 MCASLNEVRKNDAYGVSQRGYNDACSESEGMLHGSKSMPVSMKN MLPSPTMVNMCDVLQNKGVADDEKSKVANTYTISAATDAEDVNSSQPQESDQRSHLVA LEILEGTFKDIPYKEYANFLGNDSNHQILTKFIKSLSPLPSSLLETLFNLSKSIYFIA EAQNIDRILECLSKEWIACHPNTHWKSGYKSCHIVLFSLLILNSDLHNDFQVDHKKIR FSMVAFINNTLRALREESEYEKLEIYSGEHLIIEELSEYYKTLNETPLPLCAESRTSI STSDNQSSLKRFSTLGSREFSTSNLRSVNSNSTTLYSRNGQVSVREMSAKSNKNFHNN HPMEALYLKESFDDDLITEKGSSWFMDDLILISKKSLPRKYSKRDKDQVTAPKIASKR NKSLFGWLKPSKTTTLVEHTSRKTSLSYLNKDSEWERVKIQIKEGRMFIFKIKPDVKD VIQSSETDTATMDYFKDISSSYFAYSLLEAEAQVVQDNIIIGSGAMKSNMSYKNTKRK GNFIINFPENIDGPKLVLEFQTRNVEEAHKFMDCINFWAGRISPVPLTQFEVVSNAEY GWSDKVLTDHAFLNLRNIIISEWKPLLGLELLYEDAKDVEMIKLKERLKELMNFTRQL GVWIDKHNEIKDELVEIWSFDDSCFEAVMNNWNSKYLYMNNQYKKRLSYLKALQKAMG SVQF SPAR_B00470 MHPQLEAERFHSCLDFINALDKCHQKEYYKRIFGLCNNEKDALN KCLKEASLNNKKRAVIESRVKRADVEKKWKKIEEEEYGEDAILKTILDRQYAKKKQAS DNDANSK SPAR_B00480 MSSSKNDKPNVSNEDVIHFIDDYKKRRKAQMTRFFGITIFTLIT CRMAMKKMITTKVPLNTFQTNYAIRMQTVTGTQKSLASSLLVTTGMTLGIFGMGITGT CWSWDVSSFQDLKQRLERRANNEFVVTNMPLDKRSQQVVDALVKAQNPSLCN SPAR_B00490 MAEVSDETIQQFMALTNVSHNIAVQYLSEFGDLNEALNSYYASQ ADDEKDRREEAHWNRQQEKVPKQEALSSINSLKKAIDEENVGGLGSKPVLSQGSNEYL KRKSSTSPEPAKGNSRSGSGNSSRFMSFSDMVRGQADDDDEDQPRNTFAGGETSGLEV TDPSDPNSLLKDLLEKARRGGQMGAEDESRDDEEHEVGANRFAGRGFRLGSTIDATDQ VVEDNTSQPQRRKPEKVTREITFWKEGFQVANGPLYRYDDPANSFYLSELNQGRAPLK LLDVEFGQEVEVNVYKKLDEPYRAPKRKLGGFSGQGQRLGSPIPGESLSPAEIPRDET PVAQEQSKPDNEPKKGDTSIQIRYANGKREVLRCSSTDTVKFLYDHVTSNPNTDTSRN FTLNHAFPIKPISNDETTLKDADLLNSVVVQRWA SPAR_B00500 MMSSTNSNIALWATFTAISFAVGYRLGLSNASSTKNSSATILNS KKTEGRKLENDTDEEESESEDESDEDEDIESTSLNDIPGEVRMALVIRQDLAMTKGKI AAQCCHAALSCFRHIATNPARASYNPIMTQRWLNAGQAKITLKCPDKFTMDELYAKAI SLGVNAAVIHDAGRTQIAAGSATVLGLGPAPKAVLDQITGDLKLY SPAR_B00510 MGQILSNPIIDKEHHSGTDCLTAFGLCAMQGWRMSMEDAHIVEP NLFAESNEEHLAFYGIFDGHGGSSVAEFCGSKMISILKQQESFKSGMLEQCLIDTFLA TDVELLKDEKLKDDHSGCTATVILISQSKKLLICANSGDSRTVLSTGGNSKAMSFDHK PTLLSEKSRIVAADGFVEMDRVNGNLALSRAIGDFEFKSNTKLGPHEQVVTCVPDIIR HNLNYDEDEFVILACDGIWDCLTSQECVDLVHYGISQGNMTLSDISSRIVDVCCSPTT EGSGIGCDNMSISIVALLRENESESQWFERMKSKNYNIQTSFVQRRKSIFDFHDFSDD DNEVFAITTKKLQDRLNRNKDNDDMEIDDLDTELGSSATPSKLTGEGRTGPIDLFSLE ALLEAGIQIRQRPSSDSDGNTSYFHGASLSDMLASLSNAAAGETEPDDADDNNDNDSE EDDKNGKEKKGSKIEEIE SPAR_B00520 MWGSLLKTSNKSGARFWKPIWTQYCSMTSASTDSPLKYYDIGLN LTDPMFHGIYNGKQYHPADYIKILERATQRDVKVALVTGSSIMESQSAIELINSVKDC SPLKLYHTIGVHPCCVNEFADAGKEDKPSASIDNPSMDETYNESLYGKVISNPSFAAG KLKELYSLMDQQLKAENTSFRSIGEIGLDYDRFHYSSKEMQKLFFEEQLKISCLNDKL NGYPLFLHMRNACDDFVQILQKFISGFTDEKDTFQLQKLDASSSGGFYKFHSDKKLVV HSFTGSPADLQKLLNLSPNIFIGINGCSLRSEENLAVVKQIPTQRLLLETDAPWCEIK RTHESFQYLAKYQEAMAFEYPAFKSVKKNKLADKLDAGELYMVKGRNEPCNMEQVAII VSEVKGIDLATLINTTWKTTCKIFGE SPAR_B00530 MTLPKLSSVSVSSGHVSANSHGFSILSKHPHPNNLVHSHSLSHT NAKSHLPISNSNTKESGTSKEEVESLKKNNPSSWDPSDDIKLRHLKEIKNLGWKEIAH HFPNRTPNACQFRWRRLKSGNLKSNKTAVIDINKLFGMYAAGDATTTAGTPSAEETVK EEAVEDEDITAGSSAIDDSPPDFKPLIKPKYMDRKLMTQRSTSTFSDHEPQHTKPRKL FVKPRSFSHSITTNTPNIKSAQQTNLSLYSTTSAKTNKAVNSSDYENIGLVPKIIIRS RRNSFVPSTQISHSTTKSRKNSHSVISSRRSSFNMMHSRRSSFNSHAPTEPISRRASL VVSPYMSPRRLSSSQSVHHHPQQQYYLNPIASPNCKIDHANDKVTHTRTFLDMQKFAN KHPWSREDDEVLLNNTKDKQNHLSTLEISIVLPNNRSELEIQQRMDYLKRKGRASGFL TSKGCKTEEEEDDIDPLHKENDINKPSLQSQNCSRSEARHDNPKASELSSMTSANDIH NEQDELPGINSIFKNIF SPAR_B00540 MSLTVNDESPKPKKNALLKNLEIDDLIHSQFVTTNTNGHRTTRN LSNSVTDVAHRIRGSVRSDKDFNKIKKGSEVSQQTNVTSEISPRNIVNWDSKMGTISF PIIEPNIEVSEKLEVRIKYNSINFFNFERLISKSSAIAPLVNKNITPPDASTGFQGRI NRLKKAWGIQAENIAYPYSSDNTPLKDNDSWQWYIPYGGTIKKMKDFSTKRTLPTWED KIKFLTLLENSKSATYINGNVPLCNHNETDRENENRKRRKRKVLRIRNKVGSSQIEYI VLRNYEIRPWYTSPFPEYINQNKIVFICEFCLKYMTSRYTFYRHQLKCQAFKPPGNEI YREGKLSVWEIDGREDVLYCQNLCLLAKCFINSKTLYYDVEPFIFYILTEREDEENLT HQNSAKFHFVGYFSKEKFNSNDYNLSCILTLPIYQRKGYGQFLMEFSYLLSRKESKFG TPEKPLSDLGLLTYRTFWKMKCAEVLLKLRDSAERQSNDKDEDSFQQVTLHDIAKLTG MIPTDVVFGLEQLQVLYRHKKRSLSSLNDFDYIIKIDSWRRIEKIYKIWSSKNYPRVK YDKLLWEPIILGPSFGINGMMNLEPTALADEALTNETMAPVISNNTHIENYNNSRAQN KRRRRKRSSEYKTSKLHVNNILEPEVPVTDFFQNTISSLTDYMCDYNNMKYDRLIYQA EKSALERIYNRERMPRSKFDTENHWELCFTIKNSEVPFENHTSRNNDTGISSLEQDEV ENDIDAELRVGGNVEEDEDKDFTLDDDIEEDQTSEENDEDDLVLEGDEEESIYEEDND DDEEGEEKEQEEDENDIGNHRKEGRVRKRRKVTLIEDDEE SPAR_B00550 METPSFENSPPAAIHDALGNNINTEASDQETNQPSIETRDTLGR ENGAQTEIGENSAKNTEHGVSAANLSNASTNTALDDDVIPNAIVIKNIPFAIKKEQLL DIIEDMDLPLPYAFNYHFDNGIFRGLAFANFTTPEETTQVITSLNGKEISGRKLKVEY KKMLPQAERERIEREKREKRGQLEEQHRSSSNLSLHSLSKMGASGNNNTSNNQLFSTL MNGINANSMINSPMNNTINNSSSNSNTGGNINLNQPSLSAQHTSSSLYQAINANNQNQ MSTERFYAPLPSTSTLPLPPQQLDFNDPDTLEIYSQLLLFKDREKYYYELAYPIGISA SHKRIINVLCSYLGLVEVYDPRFIIIRRKILDHANLQSHLQQQGQMTSAHPLQPNSTG GSMNRSQSYTSLLQAHAAAAANSISNQAVNNSSNSNSIGNGNGNGNNNVIVNNNSASS TPKISSQGQFSMQPTLTSPKMNIHHNSQYNSADQPQQPQPQSQQNVQSAAQQQQSFLR QQATLTPSSRIPSGYSANHYQINSVNPLLRNSQISPPNSQIPINSQSLSQAQPPSQSQ TQQRVPVAYQNASLSSQQLYNLNGPSSTANSQSQLLPQHTNGSVHSNFSYQSYHDESM LSVHNLNSADLIYKSLSHSGLDDGLEQGLNRSLSGLDLQNQNKKNLW SPAR_B00560 MSDPVELLKRAEKKGVPSSGFMKLFSGSDSYKFEEAADLCVQAA TIYRLRKELNLAGDSFLKAADYQKKAGNEDEAGNTYVEAYKCFKSGGNSINAVDSLEN AIQIFTNRGQFRRGANFKFELGEILENDLHDYSKAIDCYELAGEWYAQDQSVALSNKC FIKCADLKALDGQYIEASDIYSKLIKSSMGNRLSQWSLKDYFLKRGLCQLAATDAVAA ARTLQEGQSEDPNFVDSRESNFLKSLIDAVNEGDSEQLSENCKEFDNFMRLDKWKITI LNKIKESIQQQEDDLL SPAR_B00570 MGLRYSIYIENPLSSPSSSYKSINDPLFHSQHRSQKNVSFITYG CRHCKTHLSSSFQIISRDYRGRTGTAYLMNKVVNVVEGKVEQRRMLTGDYLVCDILCH WCKRNVGWKYLQSSNDDQQYKEGKFILELKNICKCT SPAR_B00580 MTSITFRAPLSSQEQAFYNQKFHQLDAEDLGVVTGEAVRPLFAS SGLPGQILSQVWAMVDIDNKGFLNFNEFSAALRMIAQLQQAPNQPISTALYENAPTQL AALSISQNPTPMQSTSGTANANNTEIPPLSANDIAKFSQLFDRTAKGAQTVPGDKAKD IFLKARLPNQTLGEIWALCDRDTSGVLDKSEFIMAMYLIQLSMCHHPSMNPPPAALPT QLWDSIRLEPSIVNQQNRTTPLSANSTGVSSLTRHSTISRLSTGAFSNAASDWSLSFE KKQQFDTIFDSLDKQHAGSLSSAVLVPFFLSSKLNQETLATIWDLADIHNNAEFTKLE FAIAMFLIQKKNAGVELPDVIPNELLQSPALGLYPPNPLPQQQSAPQIAIPSRASKPS LQDMPHQVSAPAVSTQPAVPQVLPQNSNNGSLSDLLALNPSFSSPSPTKTQAVIQNNT NNSFSYDNSGQATLQQQPQQPPTLTHSSSGLKKFTPTSNFGQSIIKEEPEEQDQLKET RDAFSAQPPPVPKHASSPIKRAASTTLPQVPNFSAFSMPAGAATSAATGAAMGVAVGA AALGASALSRSSNNAFKNQDLFADGEASAQLSNATTEMANLSNQVNSLSKQASITNDK KSRATQELKRVTEMKNSIQIKLNNLRSTHDQNVKQTEQLEAQLIQVNKENETLAQQLA VSEANYHAAESKLNELTTDLQESQTKNAELKEQITNLNSMTASLQSQLNEKQQHVKQE RSMVDVNSKQLELNQITVTNLQKEINGLGEKISVYLTKQKELNDYQKTVEEQHAQLQA KYQDLSDKDTDLTDREKQLEERNKQIEEQENLYHQHVSKLQAMFDDLSQRKASFEKAD QELKERNIEYANNVRELSERQMNLAMGQLPEDSKDIIAKSASNTDTTTKEVTPRENVH EDTVSKFVETTVENSNLNVNRVKDDEEKTERTESDVFDRDVPTLGSQSDSENANTNNG TEPGNETANANLTETLSDRFDGDLNEYGIPRSQSLTSSVANNAPQSVRDDVELPETLE ERDTINNAANRDKTGNLSHIPGEWEAAPATASTDVLSNETTEVIENGSTTKRTNSNED GESVNSIQESPKLSAQPKAKTINEEFPPIQELHIDESDSSSSDDDEFEDTREIPSATM KSSQTPYNPQSKPSLGIDAEQNLKYQAQNTSLSHNEGGSQEPNAGFILPAKDEFDDEF AGLEQAAVEEDNGADTESEFENVANAGSMEQFETIDHKDLDDELQSNTFTGTLTSSSK PQVQQQSASDPAQVSNDEWDEIFAGFGNSKAEPTKIDAPTNIAAPSIPQQPVPLKNDP IIDASLQKGSIVNRGVATTPKSLAVEELSGMGFTEEEAHNALEKCNWDLEAATNFLLD SA SPAR_B00590 MSSKMLDDVDNNMMGIKSINLYELLSDVVKQGDKTRLMMAGPQQ VLPDLIRHITETIPFDLFINLKNGMSNARSLVTRLNCLGKFLNDNFLQNHAFPFTILR ICELCYDPFKYYKINELEKFVNALEKCCMVTSSWQDLEKNPDGEKEEDDKEKDISFIK NQEDVSLLKIPWMTEDSISELVPFIKEIDSIMSVNLGYDDEDEEDEDNGDGEEGFFDG DENGEMENKGKRNVLLKDENFMVEEYYEDDCDINDDNNDKERQNRKSAATKDNSDYED EDDDDNDEDYHEDDADEEDEEDDHMGSTDDDEDDDEDRRMGGNNKMQKFDEKNETPRK RKPTDLDNFEYDESPSFTNMDLTTPKKYKHTTAGRFSIIESPSSSLVDAMDGSHEISS SQEEEKEDRHGNDEDRSEGLLQGDELVSPSMSSSQEDKMVAIAGITYHENISSPLGKK SR SPAR_B00600 MLRSVTLKTVSNQFKRTLATAAAAPKAEVTQLSNGIVVATEHNP SAHTASVGVVFGSGAANENPYNNGVSNLWKNIFLSKENSAAAAKEGLALSSNVSRDFQ SYIVSSLPDSTAKSLDFLNQSFVQQKANLLSSSNFEATKKSVLKQVQDFEENDHPNRV LEHLHSTAFQNTPLSLPTRGTSESLENLVVADLESFANNHFLNSNAVVVGTGNIKHED LVNSIESKNLSLKTGTKPILKKKAAFLGSEVRLRDDTLPKAWISLAVEGEPVNSPNYF VAKLAAQIFGSYNAFEPASRLQGVKLLDNIQEYQLCDSFDHFSLSYKDSGLWGFSTAT RNITMIDDLIHFTLKQWNRLTISVTDTEVERAKSLLKLQLGQLYESGNPVNDANLLGA EVLTKGSKLPLGEAFKKIDAVTVKDVKAWASKRLWDQDIAIAGTGQIEGLLDYMRIRS DMSMMRW SPAR_B00610 MMNSTTPSIEDQYFLASKVRSKLAKCVSVTTRNKDYNLRVLVGH ANLLDKITENVEAHNATVNALAGDPFIKGPENLSIEHIELSNANATKSSVSNKEDAEK TAEREDYCDFYSSDEDPDADTLSSTDSEDDEDYEDYDFEYDYSGGNYNKKIDMYFSFH TAPNYQYLTHTNSHSEQTNEITESTPRYNALPATASTTEEEQDYNDTETLGPVSLHSS VPIFRVLSRRVNGQEDDSSASETESTSDVEDGSVPLTRFHSCPITA SPAR_B00620 MEATPLSDSEFDTWSRTVRDDTILTEDNKKTTKEFELDDAAKIS SKTDANVIEKKETDSENNVTIAQDDGMVSWFQRTIEFFEVKHDSTDSVDHKPESPIRT FKDLRESLRATYLYNAELRPVEAKRRTWTWKQYIFFWISGSFNVNTWQISATGLQLGL NWWQTWICIWVGYTFVAFFLILGSKVGNNYHISFPISSRVSFGIYFSIWIVINRVVMA CVWNSTLAYIGSQCVQLMLKAIFGVDLNTRIKDTIKNPNLTNFEFMCFMVFWVACLPF LWFPPDKLRHVFALKSAITPFAAFGFLIWTLCKAKGHLALGSLNDNGGAISKTVLAWS VIRAIMSALDNFSTLILNAPDFTRFGKTYKSSVYSQLVALPVCYGIISLIGILSVSAA YTLYGINYWSPLDILNRYLDNYTSGNRAGVFLISFIFAFDQLGANLSGNSIPAGTDLT ALLPKFINIRRGSYICALISLAICPWDLLSSSSKFTTALAAYAVFLSAIAGVISADYF IVRKGYVNIFHCYTDKPGSYYMYNKYGTNWRAVVAYIFGIAPNFAGFLGSVGVSVPVG AMKVYYLNYFVGYLISALSYCILVYFYPIKGIPGDAKITDRKWLEEWVEVEEFGTERE AFEEYGGVSTGYEKIRYV SPAR_B00630 MTTIASEYSSEASNTPIEHQFNPYGDNGGTILGIAGEDFAVLAG DTRNITDYSINSRYEPKVFDCGDNIVMSANGFAADGDALVKRFKNSVKWYHFDHNDKK LSINSAARNIQHLLYGKRFFPYYVHTIIAGLDENGKGAVYSFDPVGSYEREQCRAGGA AASLIMPFLDNQVNFKNQYEPGTNGKVKKPLKYLSVEEVIKLVRDSFTSATERHIQVG DGLEILIVTKDGVRKEFYELKRD SPAR_B00640 MNPFRVLGDLSHLTSILILIRTIKTTKYIEGISFKTQTLYALVF ITRYLDLLTFHWVSLYNALMKIFFIVSTAYIVVLLQGSKRTNTIAYNEMLMGDTFKIQ HLLSGSALMSLFFHHKFTFLELAWSFSVWLESVAILPQLYMLSKGGKTRSLTVHYIFA MGLYRALYIPNWIWRYSTEDKKLDKIAFFAGLLQTLLYSDFFYIYYTKVIRGKGFKLP K SPAR_B00650 MGFFNDNPVIEFFHRITRKPSTIAMWVFAGLICSSTFYLMFMSS PTIDFNSKSKRKDDK SPAR_B00660 MKYVVVSGGVISGIGKGVLASSTGMLMKTLGLKVTSIKIDPYMN IDAGTMSPLEHGECFVLDDGGETDLDLGNYERYLGVTLTKDHNITTGKIYSHVIAKER KGDYLGKTVQIVPHLTNAIQDWIERVAKIPVDDTGMEPDVCIIELGGTVGDIESAPFV EALRQFQFKVGKENFALIHVSLVPVIHGEQKTKPTQAAIKGLRSLGLIPDMIACRCSE TLDKPTIDKIAMFCHVGPEQVVNVHDVNSTYHVPLLLLEQKMIDYLHARLKLDEISLT EEEKQRGLELLSKWKATTGNFDESMETVKIALVGKYTNLKDSYLSVIKALEHSSMKCR RKLDIKWVEATDLEPETQESNKTKFHEAWNMVSTADGILIPGGFGVRGTEGMILAARW ARENHIPFLGVCLGLQIATIEFTRSVLGRKDSHSAEFYPDIDEKNHVVVFMPEIDKET MGGSMRLGLRPTYFQSETEWSQIKKLYGDASEVHERHRHRYEINPKIVNELEDNGLIF VGKDDTGKRCEILELKNHPYYIATQYHPEYTSKVLDPSKPFLGLVAASAGILQDVIDG KYDLEAGENKFNF SPAR_B00670 MFPFLTRMNSSIRMGGLILESSPNTFSRNINPIAKRFKHEYAPR FKIVQKKQKGRVPVRTGGSIKGSTLQFGKYGLRLKSEGIRISAQQLKEADNAIMRYVR PLTNGHLWRRLCTNVAVCIKGNETRMGKGKGGFDHWMVRVPTGKILFEINGDDLHEKV AREAFRKAGTKLPGVYEFVSLDSLVRVGLHSFKNPKDDPVKNFYDESAKKPSKKYLNV LKSQEPQYKLFRGR SPAR_B00680 MDRKKTLINSSVSNNNSTIKGLQLFIADLRSAQQAQEQEKRIQS EIVKIKQHFDAAKKKQGNHDRLGGYQRKKYVAKLAYIYITSNTTKLNEILFGLEQTVE LLKSNMFSEKFIGYMTLELLYERNEVIAKINDEVNYQLMKDLSSSDDNFVMLALNFVG VVGELTNRLAYNDDITTGVFKILRSPTSSIYLKKKSALSFLALLKSNHSILTEDLQRK QLWIQRILSLLDDTENYRLTLATIPLIEFIAKYIDPSYCTRLLPQLTEILYNCVVVGT STSSDNQFPLEYTFANMPNPWLITKVVSLLSILIASPTERDFGSLLQTNNIDNEILNK LRKCVSVAIELGTRQAQDPMERIVQNTVLFSLINFASKLDPSDEAISNSVSALCSLLA SKEINIRYLTLDSLVKLCSSSGKPAIDAVRYKNLDMIFHLLNTERDSSIVRKVVDLLY TFTDVENVKVIVDGLLQYILSPKNLAEPQIKSDIAVKIAILTEKYATDINWFVIISLQ LLSLTSNTTINDDEIWQRLCQIVVNNPSLHKLTCEQLVDYLCKKQASEAIVKAAAFLL GEYSSLITDKISSANLFSLFAEKYFSVPNVAKAMILTSMIKLYKTSPEIGSNVIKFFQ LELNSLDIELQTRSFEYLNIIQLAKVNGNTDILQILFEPMPPFNSKSNPLLKRLGSLP ASAGSATLINIPSPASSSTPDLLPKRANSSRSIMVPMPPPSRRNTTDDVNSKVSSFED FSGKDSYYSRQILAPNWREGFTRMISHKQGVLFTSSLIKVFYRITTPDPQQPYVFHIS LAFINLTEWEITGLSTEVIASKTQGNPEYLIMNINTPSTATVGPHKRAEQSYEVSIRK PFDVEDSPILAIHFKCGGSANTINLKTAIGMTTTLVSSEVNPSMHLNLAQFISRWKTL SDALGKEGEYQKPGIKLNKDFRKVETISFEDGLLLLTQIVKRLGFDIVDQTSVRSTLF VSGIIHTKSEGNFGCLMKIQYQENGLINVTCKTTTAGPLARYIVECIRNVLTK SPAR_B00690 MSASITYDEDRKTQLIAQYESVKEVVDIEAKKVYVNGDASKVLV LVVSKLKPASDIKILYDHGVREFGENYVQELIEKAKLLPDDIKWHFIGGLQTNKCKDL AKVPNLYSVETVDSLKKAKKLNESRAKFQPDCNPILCNVQINTSLEDQKSGLNNEEEI FEVIEFFLSNECKYIKLNGLMTIGSWNVSHEDSEENRDFATLVEWKKKIDAKFGTSLK LSMGMSADFKEAIRQGTTEVRIGTDILGDRPPKNEARII SPAR_B00700 MSGSIDVITHFGPEADKPEIVTALENLTKLHALTVEDLYIKWEQ FSNQRRQTHTDLTSKNIDEFKQFLQLQMEKRANLTSSTSKINASTKKPVIKKSLNSSP LFGLNIPKTPTLKKRKLHGPSSLSDSKKTYNVGSEVETNGRGNSSLKLQFTPGVSEDA GVDNGPLSHAKGSDAKTPGSSTFQTPTTNTPTALRQNDTAGKILDSLNPENIEISSGN PNVGLVSTKELSSNQVKVEPFYDAKKYKFRTMRQNLQEASDVLDDQIDSFTKIIQNHY KLSPNDFADPTIQSQSEIYTVGRIVPDSPTYDKFLNPESLSLETSRMGGVGRRVRLDL SQVNELSFFLGQIVALKGKNANGDYFTVNSTLTLPYPNSPVSTSQEMQEYQANLEGSS LKVIVTCGPYFADGNLSLELLQDFIDRINNEVKPHVLIMFGPFIDITHPLIASGKLPN FPQFKTQPKTLDELFLKLFTPILKSISPHIQIVLIPSTRDAISNHAAYPQASLIRKAL QLPKKNFKCMTNPSSFQINEIYFGCSNVDTFKDLKEVIKGGTTSSRYRLDRVSEHILQ QRRYYPIFPGSIRTRIKPKKVSAKKETSGVESKEERVYEHISGADLDVSYLGLTEFVG GFSPDIMIIPSELQHFARVVQNVVVINPGKFIRATGNRGSYAQITVECPDIEDGKLTL VEGEEPVYLHNVWKRARVDLITS SPAR_B00710 MSSFNNETNDGSSTNAHPNDSFPLYTVFKDESIPIEEKMALLTR FKGHVKKELVNESSIQAYFAALLFISGHYAYHSYPRLIFLSHSSLCYLIKRVAMQSPV QFNDTLVERLLNHLIFQLPNEKKFWLASIKAIEAIYLVNPSKIQTILANFLRRPNENQ NEDYLNRIKSTLLTIDELIQINERNNSNHLQLLRFFMLSFTNLLNTNLNEHANDDSNN LIIELIFDIMYKYLKMDDENSQDLINGFINDLEVEKFKQKFVSLAKSQEQHGLQEDRS SMFDEEYEYQLLLAEAKLPQLSNNLSSKDSAMRKNYESLNQLQQDLENLLVPFQSVKE TEQNWKLRQSNIVELDNIISGNIPKDNPEEFVTVIKEVQLIELISRATSSLRTTLSLT ALLFLKRLIHILNDHLPLSILDQIFVIFKNLLSSTKKISSQTAFHCLITLIIDINHFH NKLFQLSFLLINEKTVTPRFCSAILLRSFLIKFNDSNLSLNNSNTTSPTSKLENNIIY IEEWLKKGISDSQTTVREAMRLTFWYFYKCYPTNAKKLLSSSFSPQLKKATELAIPSH LNINYQVSRVSSTSSVSSATSRLYSQSSNNSSRRISLLEQKRNYPSYAQPTQSSSTSL LNPPVVTTGGNVIASKFSNKLKTNLRSTSEYSSKENEKRARNHDSLNAITNSNTKDNN GVIKRKVSAPPSSTVATKAPENYTNFDDFPLNQIDLTDELSSSYSNPLIKKYMDKNDV PMSSSPISLKGSNKPGEYEILYKIFNDASFSAQFKDALQYLQKELLSTSQQSSSLPSV PKFEFSKILKKLRQIMMKSPHDFKPFLNIPKFINEVPLNYLLELYSINGFDYAEILKN KMNPEKPYELTNLVTTIVDLFNFLNSNNCATDSKLYYMKYKTTFFNYYFKLLLEIFRN LNIKHDNTLRSEINDFMPKVSMILFQIYGKEFDYTCYFSLIFEIYKFDNKRFNKLLTD FDIVSTKMKICHELEKRDVNFKVENIISRESSVSFTPIDDKKLERGDELDEAVDENDV KKYMEMTMINPFKNLGTDKTLELKNNVDQKRSSSTNSVVIHDDNDKDKKLSEMTKIVS VYQLDQPNPVKEEDDKDVENSPKSDLNLSEIFQNGGDNTERKLKDDNELTVKFSTDPP KIINEQGKLIENGDENEKPDLETMSPIKINEDKNMDQKQRITVKRERELTLTEQDINS KKIKVVNIKKSEKMHFPIMDNFPKDSLTVYEISHLLMVDSTGNTLMDSDVYFNHMSKA INRIKSGSFTMKHINYLIEPLIICFQNQKMIDWLTNENGFDELLAVGIMLLKSTDDTP SIPSKISSKSIILVHSLLVWKNFLNTLGENADDDGVSLRMSFEEVWEQILLMLNKFSD YGNEIYKLAQEFRDDLMLSHFFKKHSATRILSMLVTEIQPDTAGVKETFLIETLWKML LSPTICQQFKKSNLSEIIQTMSYFITGSDNTSWNFTSAVVLARCLRVLQSAPDYTEQE TERLFDCLPKNVFKMIMFIASNE SPAR_B00720 MTIDNYNSSNQNNSEREIGGTGDGRDDDGGLPLVQCVARARIPT TQGPDIFLHLYSNNRDNKEHLAIVFGEDIRSRSLFRRRQHETQQDRMIRGAYIGKLYP GRTVADEDDRLGLALEFDDITGELLASKATTWDAHNSTLVRIHSECYTGENAWSARCD CGEQFDRAGRLIACDHEPTSNIKGGNGHGVIVYLRQEGRGIGLGEKLKAYNLQDLGAD TVQANLMLKHPVDARDFSLGKAILLDLGIGNVRLLTNNPEKIKQVDHAPYLKCVERVP MVPIHWTNSSEGIDSKEIEGYLRTKIERMGHLLTEPLKLHTNPQSAETNETQIQNRMN SALSSTSTLTI SPAR_B00730 MSQFFEAATPVAIPTNSTNGGTSDAGSAATGGAPVVGATAQPTI NHRLLLSLKEAAKIIGTKGSTISRIRAANSVKIGISEKVPGCSDRILSCAGNVINVAN AIGDIVDVLNKRNPENEDAAEGEAEEHYYFHFLNHILPAPSKDEIRDLQQLENIGYVR LIVANSHISSIIGKAGATIKSLINKHGVKIVASKDFLPASDERIIEIQGFPGSITNVL IEISEIILSDVDVRFSTERSYFPHLKKSSGEPASPSTSSNTRIELKIPELYVGAIIGR GMNRIKNLKTFTKTNIVVERKDDDDKDENFRKFIITSKFPKNVKLAESMLLKNLNTEI EKRENYKRKLEASEGNATAVNERSDSASSLEEKEEAQEERDNKEEQS SPAR_B00740 MNDKLQKEHKENDTISQINDFTSHMSIDFHSNNNSNIIETIGVS KRLGNSVLSELDSRATSKFEFLKDQSEQQYNGDKNGEPKSDSYDINEFFQAKHDSQFG QMESLDTHYTLLHTPKRKSQHAIPQDRSDSMKRSRPSRSIPYTTPVVNDITRRIRRLK LRNSLVNGNDIVARARSMQANSNVNSIKNTPLSKPKPFMHKPNFLMPTTNSLNKINSA HRNASSSSSASSIPRSKVHRSTPIRDLHAKTKPTERTPFAQGTNSQLKNSVSVFDRLY KQTTFSRSTSMNNLSSGSSAKSKEQTNAKTRLVKSKTSGSLSTNLKQNTATSTKGERP IWR SPAR_B00750 MSSNAQVKTPLPPAPAPKKESNFLIDFLMGGVSAAIAKTAASPI ERVKLLIQNQDEMLKQGTLDRKYAGITDCFKRTATQEGIISFWRGNTANVIRYFPTQA LNFAFKDKIKAMFGFKKEEGYTKWFAGNLASGGAAGALSLLFVYSLDYARTRLAADSK SSKKGGARQFNGLIDVYKKTLKSDGVAGLYRGFLPSVVGIVVYRGLYFGMYDSLKPLL LTGSLEGSFLASFLLGWVVTTGASTCSYPLDTVRRRMMMTSGQAIKYDGAFDCMRKIV AAEGVGSLFKGCGANILRGVAGAGVISMYDQLQMILFGKKFK SPAR_B00760 MSFIPIVCGMKSFDSSYDSVPGHQNLYCPNCHNYSVGPIKRKEF FTVWFIPLVPVFWGKQLHCPICNWRQDFKNDEQLNKVIQEQQNLRQKQPN SPAR_B00770 MCANIPEFDSFYENENLNYNLESLAPLNCDVNSPFFPINNSDIN VNAYGDENLTYSNFLLSYSDKLAATTDKSNSINNSNINNNSNNKNNNNNNNLLGNDIS QMAFLLDYPSTLNEPQFSVNCKDIYKKDISTPSSLVSSLPSAKFSLSLSNSPSPPPPS SSSLKQEEAIISNTSANSDIFADPNTFEKDTLPLTQELTIENLNNQLNYPDFTINTIE QDPTPSSFSSSSSSSSSESTTSSSRKRKSCHDSFTHSSPSSSESKKISDSRLSAEGLA KVLNLESPEEALKRERFILGIFQNELNYPLGYKTWIRDTTKEYRTNLINQLHERVRVK YPEYNQSILETIIRRGTYYMMQSRLRRERRMKLKERKRTT SPAR_B00780 MAKSLRASSHLNAKSIKRRGVFQKAVDAREQRISDKLKEDLLKQ KLEDLKKKEEQGIDMDVDEKKSNEEAPRKKINTSGWRDGRHHTYKKAKLIKQSKKKTS FTRF SPAR_B00790 MFVVLQAANLRTQKRLAASVVGVGKRKVWLDPNETSEIAQANSR NAIRKLVKNGTIVKKAVTVHSKSRTRAHAQSKREGRHSGYGKRKGTREARLPSQVVWI RRLRVLRRLLAKYRDAGKIDKHLYHVLYKESKGNAFKHKRALVEHIIQAKADAQREKA LNEEAEARRLKNRAARDRRAQRVAEKRDALLKEDA SPAR_B00800 MLFFSFFKTLVDQEVVVELKNDIEIKGTLQSVDQFLNLKLDNIS CTDEKKYPHLGSVRNIFIRGSTVRYVYLNKNMVDTNLLQDATRREVMTERK SPAR_B00810 MDRNVYEACSNIIKEFGTHVVSADEVLAEKIDNAVPIPFKTRED VDADVEKDRNEGVFEGNIIPDIDLRVVHYYATQLCLNKYPHLINAFDETSLITLGLLI EKWVKDYLTSMETENDKQNKVIGKGPCEFISKHIDYRHAPGNI SPAR_B00820 MARRKNFKKGNKKTFGARDDSRAQKNWSELVKENEKWEKYYKTL ALFPGDQWDEFKKICQAPLPLTFRITGSRKHAGEVLDLFKERHLPNLTNVEFEGEKIK APVELPWYPDHLAWQLDVPKTVIRKNEQFAKTQRFLVVENAVGNISRQEAVSMIPPIV LEVKPHHTVLDMCAAPGSKTAQLIEALHKDTDEPSGFVVANDADARRSHMLVHQLKRL NSANLMVVNHDAQFFPRIRLHGNSNNKNDVLKFDRILCDVPCSGDGTMRKNVNVWKDW NTQAGLGLHTVQLNILNRGLHLLKNNGRLVYSTCSLNPIENEAVVAEALRKWGDKIRL VNCDDKLPGLIRSKGVSKWPVYDRNLAEKTKGDEGTLESFFPPSEEEASKFNLQNCMR VYPHQQNTGGFFITVFEKVEDNTDAVTEKLSSETPAVESEEPQAKKIKVEEFQKKERL PRDANEEPFVFVDPQHEALKVCWDFYGIDNIFDRNTCLVRNATGEPTRVVYTVCPALK DVIQANDDRLKIIYSGVKLFVSQRSDIECSWRIQSESLPIMKHHMKSNRIVEANLEML KHLLIESFPNFDDIRSRNIDNDFVEKMTKLSSGCAFIDVSRNDPAKENLFLPVWKGNK CINLMVCKEDTHELLYRIFGIDANAKATPIPEEKEGETTKSPTETSTEISNEAPSAAN SPAR_B00830 MSDNRRRRREEDDSDSENELPPSSPQQQFRRGMNPVSSPIGSPD MINPEGDDNEVDDVPDIDEVEEQMNEVDLMGDNMYEDYAADQNRDRYDPNQVDDREQQ ELSLSERRRIDAQLNERDRLLRNVAYIDDEDEEQAGAAQLDEMGLPVQRRRRRRQYED FENSDDDLLSDMDIDPLREELTLESLSNVKANSYSEWITQPNVSRTIARELKSFLLEY TDETGRSVYGARIRTLGEMNSESLEVNYRHLAESKAILALFLAKCPEEMLKIFDLVAM EATELHYPDYARIHSEIHVRISDFPTIYSLRELRESNLTSLVRVTGVVTRRTGVFPQL KYVKFNCLKCGSILGPFFQDSNEEIRISFCTNCKSKGPFRVNGEKTVYRNYQRVTLQE APGTVPPGRLPRHREVILLADLVDVSKPGEEVEVTGIYKNNYDGNLNAKNGFPVFATI IEANSIKRREGNAANEGEEGLDVFGWTEEEEREFRKISRDRGIIDKIISSMAPSIYGH RDIKTAVACSLFGGVPKNVNGKHSIRGDINVLLLGDPGTAKSQILKYVEKTAHRAVFA TGQGASAVGLTASVRKDPITKEWTLEGGALVLADKGVCLIDEFDKMNDQDRTSIHEAM EQQSISISKAGIVTTLQARCSIIAAANPNGGRYNSTLPLAQNVSLTEPILSRFDILCV VRDLVDEEADERLATFVVDSHVRSHPENDEDREGEELKNNDESAIEQGEHEINEQITA RQRRLQRQRKKEEEISPIPQELLMKYIHYARTKIYPKLHQMDMDKVSRVYADLRRESI STGSFPITVRHLESILRIAESFAKMRLSEFVSSYDLDRAIKVVVDSFVDAQKVSVRRQ LRRSFAIYTLGH SPAR_B00840 MLRTRTAKTLGTVARTTRAIQYYRSIAKTAALPQKRLASTLNVH DVENIKPNYITKTPTWQEFQHQLKNPRYMEQLTQLDEQFAWHFQATNHNKSILAKDDS TSQKKDEDVKIVPEEKDNDKDIEPTRDDETINKNQESEVSKNSKSSASGGGQSSSSRS DSGDGSSKQKPPKDVPEVYPQMLALPIARRPLFPGFYKAVVISDERVMKAIKEMLDRQ QPYIGAFMLKNSEEDTDVITDKNDVYDVGVLAQITSAFPSKDEKTGTETMTALLYPHR RIKIDELFPPNEEKEKSKDQVKETDIETTVVENAEITEDQESASSTTPKLEDIVVERI PNSELQQHKRVEPTEEEPDELDDVQEGEDVNPTEFLKNYNVSLVNVLNLEDEPFDRKS PVINALTSEILKVFKEISQLNTMFREQIATFSASIQSATTNIFEEPARLADFAAAVSA GEEDELQDILSSLNIEHRLEKSLLVLKKELMNAELQNKISKDVETKIQKRQREYYLME QLKGIKRELGIDDGRDKLIDTYKERVKLLKLPDSVQKIFDDEITKLSTLETSMSEFGV IRNYLDWLTSIPWGKHSKEQYSIPRAKKILDEDHYGMVDVKDRILEFIAVGKLLGKVD GKIICFVGPPGVGKTSIGKSIARALNRKFFRFSVGGMTDVAEIKGHRRTYIGALPGRV VQALKKCQTQNPLILIDEIDKIGHGGIHGDPSAALLEVLDPEQNNSFLDNYLDIPIDL SKVLFVCTANSLETIPRPLLDRMEVIELTGYVAEDKVKIAEQYLVPSAKKSAGLENSH VDMTEDAITALMKYYCRESGVRNLKKHIEKIYRKAALKVVKELSIEDSPTSSADSKSK ESVSSEEKVDSNAKSSSEKSKNNDLEKTSDDIEALKTSEKINVSISQKNLKDYVGPPV YTTDRLYETTPPGVVMGLAWTNMGGCSLYVESVLEQPLHNCKHPTFERTGQLGDVMKE SSRLAYSFAKMYLAQKFPENRFFEKASIHLHCPEGATPKDGPSAGVTMATSFLSLALN KSIDPTVAMTGELTLTGKVLRIGGLREKAVAAKRSGAKTIIFPKDNLNDWEELPDNVK EGLEPLAADWYNDIFQKLFKDVNTKQGNSVWKAEFEILDAKKEKD SPAR_B00850 MNTNESEHVSTSPEDTQENGGNASSSGSLQQISTLREQDRWLPI NNVARLMKNTLPPSAKVSKDAKECMQECVSELISFVTSEASDRCAADKRKTINGEDIL ISLHALGFENYAEVLKIYLAKYRQQQALKNQLMYEQEDEEVP SPAR_B00860 MVKKSSQLPSTSEQILERSTKGATFLMMGQLFTKVVTFILNNLL IRFLSPRIFGITAFLEFIQGTVLFFSRDAIRLSTLRISDSGNGILDDDDEEEYQETHY KSRVLQTAVNFAHIPFWIGFPLSIGLIAWQYRNINAYFITLPFFTWSIFLIWLSIIVE LLSEPFFIVNQFMLNYAARSRFESIAVTTGCIVNFIVVYAVQQSRYPMEIVTSDIDKE GIAILAFALGKLAHSITLLVCYYWDYLRNFKPKKLFSTRLTKIKVHENNELKKGYSKN TSYFFQNDILQHFKKVYFQLCFKHLLTEGDKLIINSLCTVEEQGIYALLSNYGSLLTR LLFAPIEESLRLFLARLLSSHNPKNLKLSIGVLVNLTRFYIYLSLMIIVFGPANSSFL LQFLIGSKWSTTSVLDTIRVYCFYIPFLSLNGIFEAFFQSVATGDQILKHSYFMMVFS GIFLLNSWVLIEKLKLSIEGLILSNIINMVLRILYCGVFLNKFHRELFTDSSFFFNFK DFKTVIIAGSTICLVDWWFIGYVKNLQQFFVNVLFAMGLLALILVKERQTIQSFMNKR ALSNSKDV SPAR_B00870 MSSSEKILQDEKSEGTIRFLTFNVNGIRTFFHYQPFSQMNQSLR SVFDFFQADIITFQELKTEKLSISKWGKVDGFYSFVSIPQARKGYSGVGCWVRIPDKN QPMYHALQVVKAEEGITGYLTIKNGKQAAISYRDDVNQGIGGYDSLDPDLDEKSALEL DSEGRCVMVELACGIVIISVYCPANSNSSEEGELFRIRFLKVLLRRVRNLEKIGKKVV LMGDVNVCRDLIDSADALEQFSIPITDPMGGTKLETQYRDKAIQFIINPDTPHRRIFN QILADSLLPDASKRGVLIDTTRLIQTRNRLRMYTVWNILKNLRPSNYGSRIDFILVSS KLEQCIKAGDILPDVLGSDHCPVFSDLDVRDQGIEPSTAQVTIPKFEARYKYNLRNHN VLEMFAKKENNKKSNNQQYRIAKVINKKKCSSIKKKSLDSFFQKKDGIQDATTKKSLE IPQATQKEISMPKFDFKDVFGKPPLCKHGEESMLKTSKTAANPGKKFWICKRSRGDSK NTESSCGFFQWV SPAR_B00880 MGKKTFREWQYFKLSITSFDQDVDAAHVIDQMTWRQWLNNALKR SYGIFGEGVEYSFLHVDDKLAYIRVNYADKDTFSSSISTYISTDELVGSPLTVTILQE SSSLRLLEVTDDDRLWLKKAMEEEEQDCKCT SPAR_B00890 MILLHLVYSLWALLLIPLINAEEFTPKVTKTIAQDSFEILCFDD SNTIVRTQGHSMTISFNDGETWEEIEDIKDDIAWMSIDPFNRHDRAIATAVEGSHFYI TDDQGKTWRPIALTDPEEDVSPRGCNIQTHPTKKDYLLASCNYCERTEIDSGSEVISG DEEGSAEVLIFNITRCTEKVFASNDGGKSFSEIKSSLEKNEDSPIGISDCRFAKTSKD SDLGGNDASVVCLFQNMQFIRGEFSSPYTESKLVLTTDWGKSLTEIDQFKDKVVNNYR ILKSHMVVLTQGDRYNDMSSIDVWISNDLSSFQMAYMPTQLRHFVQGQIHEDATGRII LPVSRERNDQEEDKGIVSEILVSDSQGLKFSPIPWTTDEVFGYIYLDQSTFLKGTMIA SLFPLSRRHNRKGKGKKVKSKGITKISVDNGLTWSNLKVIDPDYIDSFDCDVTDFENC SLQTTFYPLEGSTPAAGIVMTTGNVGDGSSFDWGDQKTFISRDGGLTWKVAFDFPCLY AIGDYGNVIVAVPYNSDEDDDPQSEFYYSLDQGKTWTEYQLKTTIYPAELINTTPDGS GTKFILNGFTMAHMDGSTNFIYAIDFSAAFNHETCKEKDFEDWNLAEGKCVNGVKYKF RRRKQDAQCLVNKVFEDLQLYETDCDKCTETDYECAFEFVRDATGKCVPDYNSIVLSD VCDKTRKKTVSVKPLQLIKGDKCKKPMTVKPVDISCEGVPKKGSNGKEIVTTENKFDF EIQFYQYFDTVADESLVMINSRGEAYISHDGGQTIKRFDSNGETIVEVVFNPYFNSSA YLFGSKGSIFSTHDRGYSFMTAKLPEARQLGMPLDFNAKAQDTFIYYGGKDCESILSP ECHAVAYLTKDGGETFTEMLDDAIHCEFAGSLFKYPSNEDMVMCQVKEKSSKTRSLVS STDFFHDDKNTVFENIIGYLSTGGYIIVAAPHENNELRAYVTIDGAEFAEAKFPYDED VRKQEAFTILESEKGSIFLHLATNLVPGGDFGNLLKSNSNGTSFVTLEHAVNRNTFGY VDFEKIQGLEGIILTNIVSNSEKVAENKENKQLKTKITFNEGSDWNFLKPPKKDSEGK KFSCSSKSLDKCSLHLHGYTERKDIRDTYSSGSALGMMFGVGNVGANLLPYEECSTFF TTDGGETWAEVKKTPHQWEYGDHGGILVLVPENAETDSISYSTDFGKTWKDYKFCDDK VSVKDITTVPRDSALRFLLFGEATNVEGSSFRTYTIDFKNIFERQCDFDITGKESADY KYSPLGSKTSCLFGHQTEFLRKTDEKCFIGNIPLSEFSRNIKNCSCTRQDFECDYNFY KANDGTCKLVKGLSPANAADICKKEPDLIEYFESSGYRKIPLSTCEGGLKLDAPSSPH ACPGKEKEFKEKHSVSAGPFAFIFISILLVIFFAAWFVYDRGIRRNGGFARFGEIRLG DDGLIENNNTDRVVNNIVKSGFYVFSNIGSLLQHTKANIAHVISKIRGRFGNRTGPSY SSLIHDQFLDEADDLLAGHDEDANDLSSFIDQGSNFEIEEEDVPTFEQEHTSYTDQPT TSDVPDALPARNEEDADKSDSAPPPSEN SPAR_B00900 MPKRIVYNISSDFQLKSLLGEGAYGVVCSATHKPTGEIVAIKKI EPFDKPLFALRTLREIKILKHFKHENIITIFNIQRPDSFENFNEVYIIQELMQTDLHR VISTQVLSDDHIQYFIYQTLRAVKVLHGSNVIHRDLKPSNLLINSNCDLKVCDFGLAR IIDEASADNSEATGQQNGMTEYVATRWYRAPEVMLTSAKYSRAMDIWSCGCILAELFL RRPIFPGRDYRHQLLLIFGIIGTPQSDDDLQCIESPRAREYIKSLPMYPAAPLEKMFS RVNPKGIDLLQQMLVFDPAKRITAKEALEHPYLQTYHDPNDEPEGEPIPPSFFEFDHY KEALTTKDLKKLIWNEIFS SPAR_B00910 MTISNLLKQRVRYAPYLKKVKEAHELIPLFKNGQYLGWSGFTGV GTPKAVPEALIDHVEKNNLQGKLRFNLFVGASAGPEENRWAEHDMIIKRAPHQVGKPI AKAINQGRIEFFDKHLSMFPQDLTYGFYTRERKDNKILDYTIIEATAIKEDGSIVPGP SVGGSPEFITVSDKVIIEVNTATPSFEGIHDIDMPVNPPFRKPYPYLKVDDKCGVDSI PVDPEKVVAIVESTMRDQVPPNTPSDEMSRAIAGHLVEFFRNEVKHGRLPENLLPLQS GIGNIANAVIEGLAGAQFKHLTVWTEVLQDSFLDLFENGSLDYATATSVRLTEKGFDR AFANWENFKHRLCLRSQVVSNNPEMIRRLGVIAMNTPVEVDIYAHANSTNVNGSRMLN GLGGSADFLRNAKLSIMHAPSARPTKVDPTGISTIVPMASHVDQTEHDLDILVTDQGL ADLRGLSPKERAREIIDKCAHPDYQALLTDYLDRSEHYAKKHNCLHEPHMLKNAFKFH TNLAEKGTMKVDSWEPVD SPAR_B00920 MSEGQIPSSDVLGSQLGVGVKGASLYCPQENYTPKKAGKPQWLR PIDDTLPEGALDLHIVVKTLLCDTAIRYTSDDKILQESDNDDDLITSDTDEDTDNQRN TSIVVDPVIPVVPKHVHFFKKVDVGNDPMFSVNYDTPVSLHDYIPSDLLRNLNDTLQE STHSSKPRQDTLFWDPTVANRLDCEYIQTASDLRNYRDGTEIIAYASGKTDSVLNIAI LARQDTLHLNRHNNVTSIELHSPIKSIKIPGSSESIGRRSNLVGVITENSFQIFRIES IHSRTCDVIVTSSEPLYFVDIDDIQVVDFSFNPWDLQQFAIVDIKGNWNIGRIPKNFN NNKRKLQLIDNLRGTIFDPEELSSWKRIEWFSQFQKILVFDRSKMIEIDFLNNWQTEV VQAKTWSNIRDYKRIDDKNGILLTSREIIIIGASEANDAVRRISWKHDLDPDDTTLRI TIQKVKKSNHLLLVAFVYSMRHKHIYIHAFSHGKTNLFQSLGYSTVLEIPGGNPTGIE TISTLDKVEDESLGEEDADDNVKFVVDFLIKLKNSSEIYYCVVSNTQTFESSEQENPV IADNSEWTMLFNNAGNHEKESISALVSQIKLKERELISRIQNLTEYENSHDEDKYLQD LGYHLSIATNQLLESWQKTRDERIRGGSLSYSKLKNLVENSDSFASISEFSSLLDQFF QYYDDQDVTFIHFEKLLHLFLHEDVSGLDVFYNKLLQCWVLVSPQAELLAKEIVKDII WSLARLEKPSLFEPIQKEISQSLSGHYQDIISSWDMDDINEDESSEFNFDSQFSATTF NGRPPFNLNSQSQIPTIKSSQSNGRTRRKKILRTQSQKAAPLSQSTQNLSVLPDSMTP AFTLMQPPSSQISFVNDSQTRNSQRTKKKKKRIRGFG SPAR_B00930 MVNMRRIAPSHILFTCRRISSNTSPPVQPLNVLFFGSDTFSNLS LQALNELRKNDGTGSIVDKIQVVTRSPKWCGRQKSVLKYPPIFDMADKLQLPRPITCD TKQEMLALSELTPSRPGNPEASGLSTPFNAIIAVSFGKLIPGDLIRAVPLALNVHPSL LPRHKGSAPIQRALLDGDSYTGVTIQTLHPDRFDHGAIVAQTQPLPIATMLSAGRVDD LTADFNSDRVPRRTAILMDQLGAKGAQLLSQTLRERLYLPQNRVQAPATYKPSYAHRI TTEDKRIHWARDSAAELFNKLETLGPLHAFKEAAAAKKNAQNSVLKRILFHECRVMGD TLLHNGSKPGMFEYDEKSDCLLVACRGDLLLCVNRLQFEGFAVERAGQFMRRLRKRCG ALSEKLIFL SPAR_B00940 MPAPKLTEKSASSRSTQETTNSNSIKAKGAKTSAEQAYVYREPS ATKKILYSIATWLLYNIFHCFFREIRGRGSFKVPQQGPVIFVAAPHANQFVDPVILMG EVKKSVNRRVSFLIAENSLKQPAIGFLASFFMAIGVVRPQDNLKPAKGTIRVDPTDYK RVIGHDTHFLTDCMPKGLIGLPKSMGFGEIQSIESDTSLTLRKEFKMVKPEVKTALLN GTSYKYAAKVDQSCVYHRVFEHLAHNNCIGIFPEGGSHDRTDLLPLKAGVAIMALGCM DQHPDVNVKIVPCGMNYFHPHKFRSRAVVEFGDPIEIPKELVAKYHNPETNREAVKEL LDTISKGLQSVTVTCSDYETLMVVQTIRRLYMTQFSTKLPLPLIVEMNRRMVKGYEFY RNDPKIADLTKDIMAYNAALRHYNLPDHLVEEAKVNFAKNLGLVFFRSIGLCILFSLA MPGIIMFSPVFILAKRISQEKARTALSKSTVKIKANDVIATWKILIGMGFAPLLYIFW SVLITYYLRHKPWNNIYIFSGSYISCVIVTYSALIVGDIGMDGFKSLRPLVLSLTSPK GLQKLQKDRRNLAERIIEVVNNFGSELFPDFDSAALREEFDVIDEEEEDRKTSELNRR KLLRKQKIRRQERDPLSSTINQHDNQETYEHHNQDSDGVSLVNSDNSLSNIPLFSSTF HRKSESSLASTSVAPSSSSEFEIDNEILEEKNGLASKIAQAVLNKRIGENAAREEEEN QQQQQEGEEGDA SPAR_B00950 MSDIDQIEPTVNAPDAANDSSDDFGNFSDASVENDLYNQDSTLA MSSESVVENCLNKILPNGEFILEGGTIENDCFKLSKLIEDERPHVIYEQLVQLDPVLQ PFIWNKSHIRRNLLHILRLSDDDDSAGAGGKREEEPLNDELFKRICYTVEKNEQTATG LFLRDNFKIDYTPPMTLKSLQREEELEQEQHIPQLLTADFTDMDEESLRQYHDTVCQS IDFLVSKSRTLKKKQRDLLKDKTTFENVVTNLTGHTQRLQRDEIALYNKKRSKKKRFS WVGY SPAR_B00960 MNFDAVADQQMADRRYFALEVAESDDADSSLNSSSMGSPAVDAG RKVYKIASYKNSVEDESQSLFTSSDSPTSKTRPAVKTIENDDNYGKRSSTGSSLKQLF NKIDINDTTHSSNKENVSQSPLSESRLLSPSKRLSKQGYTKLTNSKFRTPLRPISNQS TLSRDGAVKDFGSLKFRSGGDSKRLSDKRTSSHVHSSSVNSVNSFTSTTSSSKWKFWK NDNLLSRSLSSRSVDQAPNFVEPKPINQLQKKSSISSFHNSIFGGSKHTGKKRDSGFI MPDHQSTKELNHKHTSSNLSFRSLKHKTSHSSLNKLKIRRKGNTQELDRQVKQTCQIS LPIPDQVSKDKIQLKLKNSTSLASLSSEVTPINTLDYNDSILQQILQLCDVEYILHDL CEAQSLGLFALDARSIQLSCNFWQTYHSDMQTSVICKKICLGALSDLTTSNLISLHEL KSLRFLQGTSGVANLLQAYVMPSNKSRDDQDLILYLFFKDHGTPLSRCSNIDHRQALS IFWQCSSILYVAESKFQFEHRNLTLDHILIDSKGNVTLIDLKCCRFLSMDINKSFYTR LDHHYFFQGRGTLQFEIYELMRSLLPQPVSWGTFEPRTNLLWLYYLSTSLLTMTKKTV RTGTLNQEESILIKLTHLLDPARKQFKTIFKKELSIRTCGDLLSLKQEIMQ SPAR_B00970 MKVAKFPWLAHREESRKYEIYTVDVSPDGKRLATGGLDGKIRIW SIDSILRCMELEAVTPEIPVPQDLQMPLCSMSRHTGSITCVKFSPDGKYLASGSDDRI LLIWALDEEQGSQPAFGSEHEKEHWTVRKRLVAHDNDIQDICWAPDSSILVTVGLDRS VIVWNGSTFEKLKRFDVHQSLVKGVVFDPANKYFATTSDDRTMKIFRYHKTGDVSFTI EQIITEPFKESPLTTYFRRPSWSPDGQHIAVPNATNGPVSSVAIVNRGTWDTNVSLIG HDAPTEVARFNPRLFERNDGTKQRKGKGQEDSLVEQNDDTVRQFDKNIDSVVATAGQD KSLAVWSTSRPRPILVAFDIANKSITDMSWNPDGSLLFVASLDSSITLFKFGTNELGR PIPLEKNMEQLYRYGVDKDSLDFPESVNQLLLEDQTKSFKHTKLSTSKPSENPPILAT SSTLNQKNNNDASASRGEHINILIPKRKKDAKLNKAVTLKSGKKRVAPTLISTSSSSP FPNAIKKATLDSRKIENNVKASTKTINSKNPLLNVPAGIEKKISVSSFPLPRLGIHSL IMGTKERSQWKLSNSEVENDGPDNAIGKSTDGANDSIDEIAVLSEEENDFHRMTLNAK LTQEKIWSEEPTTRYLLQSDVIPDTDVVVLEGGSLDDIAVLEIRNGVERSIQFDSEAL LDNPTRILGYQGGKRTIETFIPEVIICAIGSKDCKCWCLASANGCIYILSYNGQQKMP KISLGHKVIKMVTSSKYLVVLTERGLFFAWDLLDLKLVLRNVPILPILNGQPTHGNKV RINKVIKCFRLDGSNCDLFLEVGDPKSVYKWTKNLGCWSLYK SPAR_B00980 MTVFLGIYRAIYAYEPQTPEELAIQEDDLLYLLQKSDIDDWWTV KKRVIGSDSEEPVGLVPSTYIEEAPVLKKVRAIYDYEQVQNGDEELTFHENDVFEVFD DKDADWLLVKSTVSNEFGFIPGNYVEPDNGSTPKQEQAPAAAEIPAATTAAVPTSGAV LPTSFLPPPQHNDRARMVQSKEDQVPDEDEEGPPPAMPARPTATTETTDATAMGSRSR TRLSYGDNDNDEEEDDYYYNSNSIGNHEYNTEYHSWNVTEIEGRKKKKAKLSIGNNKI NFIPQKGAPHEWSIDKLVSYDNEKKHMFLEFVDPYRSLELHTGNTTTCEEIMNIIGEY KGASRDPGLKEVEMASKSKKRGIVQYDFMAESQDELTIKSGDKVYILDDKKSKDWWMC QLVDSGKSGLVPAQFIEPVRDKKHTESTASGIIKSIKKNFTKSPSRSRSRSRSKSNAN ASWKDDELQDDVATTAAGKRSRKSSLSSHRKNSSAAKDFPNPKKSRLWVDRSGTFKVD AEFIGCAKGKIHLHKANGVKIAVAADKLSNEDLAYVEKITGFSLEKFKANDGTSSRGT DSRDSERERRRRLKEQEEKERDRRLKERELYELKKARELLDEERSKLQEKELPPIKPP RPTSTASVSNTMSAPPAENSSNNPGNKYDWFEFFLNCGVDVSNCQRYTINFDREQLTE DMMPDINNSMLRTLGLREGDIVRVMKHLDKKFGRENNATIPTNATGNMFSQPDGSLKA AASPETSLPQQLLPQTTSPAQTAPSTSAETDDAWTVKPASKSESNLLSKKSEFTGSMQ DLLDLQPLEPKKTATTTPEPNLKDLEPVKTGGATVSAAPASSAPAPLDPFKTGGNNIL PLSTGFVMMPMITGGAMLPMQRTGGFVVPQTTFGMQSQATGGILPVQKTGNGLIPISN TGGAMMPQTTFGAAPTVLPLQKTGGGLIPIATTGGAQFPQTSFNIQAQQQLPTGSILP VQKTANGLISANTGISMPALQRTGGAMIPQTSFGVSQQVSQQVSQQLTGSGMMAQPQN TGSVMMPQTSFNDLPQITGGAMMPLQRTGGALNTFNTGSATISQTSFNAQPQNTGGFR PQSQFGLTLQKTGGIAPLNQNQFTGGAMNAFNTGGVFQQPQPQTTTSFNTGGAMQQPQ MMTTFNTGSAMQQPQMMTTFNTGGAMQQPQMTNTFNAGGMQQPQMMSTFNTGGAMQQP QQQALQNQPTGFGFGNGPQQSRQANIFNATASNPFGF SPAR_B00990 MSGTNMGYYEVLAGLSALEKSSQVVFSAAELQQLTQQSDVTNKG IKGNENSKAKVSKPKRVAVHGYLGGKVSLADATQVEYEVGHSLLGSYVPRQQLEALSS VDFSHHFHRTLECKAALETHDVFLAGAGQLSLPFQSHIESPRSNELKRKRKVIICKRC QSRFMGPHRRSQLREHACVD SPAR_B01000 MKVKKSTRSKVSTACVNCRKRKIKCTGKHPCTNCISYDCTCVFL KKHLPQKENSSRLLPSTAVPPPSSHPNVEASADVQHLDTAIKLDNQYYFKLMNDLIQT PVSPNTTHPSDASNDPNNDNNILFKDDSKYQNQLLAYQNILTNLYALPPCDDTQLLIN NIKSQLNNLIHNWNPGINYPKLSNFSPHPQRSIETYLLTNKYRNKIHMTRFSFWTDQM VKSQGSDSFLATTPLVDEVFGLFSPIQAFSLRGIGYLIKKNLENTGSLMLIDTKETIY LILRLFDLCYEHLIQGCISISNPLENYLQKNKQTRSTTAPASLPTSPAPLSNDLVISV IHQLPQPFIQSITGFTTAQLIENLYDSFSMFRIVTQMYAQHRKRFAELLNHAFSLPHQ KKCALFSSFCSSEYLLSTLCYAYYNVTLYHMLDINTLDYLEILVSLLEIQNEIDERFG FEKMLEVAVTCSTKMGLSRWEYYVGIDENTAERRRKIWWKIYSLEKRFLTDLGDLSLI NEHQINCLLPKDFRDMGFINHKEFLTKIGTSSLSPSSPELKNLSLSKLIEYGELAIAQ IVGDFFSETLYNEKFTSLETSVKPTVIRQKLLENVFEDIESFRLRLAKIKFHTSRVFQ IAHSRYPEYSKNDLFEAAKFVSYHKNTWFSVLGAVNNLIARLSEDPEAITEQSSKYAD EMCQEWREINQFLMQVDTDFIVWACLDFYELIFFVVASKFYVGDPHITLEDVINTLKV FKRITNIISFFNNNLDEKDYDCQTFREFSRSSSLVAISIRIMFLKYCYAEQIDRAEFI QRLKEVEPSLSDLLREFFDTRSFIYKYMLKSVEKSGFHLTIRKMLESDYKFLYRDKLA TGNIPDQGNSNQILQLYDAAVPAYSNSSTSPANSPLKLSSLLNSGEESYTQDASENVP RQDRSSQQIKRQQAAPSQISGNENNIYNLGTLEEFVSSGDLTDLYHTLWSDNISNPFL SPAR_B01010 MAKQRQTTKSSKRYRYSSFKARIDDLKIEPARNLEKRVHDYVES SHFLASFDQWKEINLSAKFTDFAAEIEHDVQTLPQILYHDEKIFNSLVSFINVHDVFS LQPLLDLLAQFCHDLGPDFLKFYEKAIETLINLLDAAIEFESSNVFEWGFNCLAYIFK YLSKFLVKKLVLTCDLLIPLLSHSKEYLSRFSAEALSFLVRKAPVSNLSEFVRSVFGK LEGDDEQTNLYEGLLILFTESMTSTQETLHSKARVIMSVLLQEALTKTSPERSVSLLS DIWMNISKYASIESLLPVYEVMYQDFNNSLDATNLDRILKVLTTIVFSESGRKIPDWN NIIILIERIMSQNENRAFLSPDNVAFLFALFIRNSDVKTLTQFHQKLFNYVLTNITDH FLEFFQFALRLSYERVISFNGLKFLQLFLKENWQSQGKKIALFFLEIDDRPELQKVSE VTFPEEFILSIRDYFVAAEIKDSNDLFEIYWRAIIFKYSKLHYMETITSLLERIFSTF TSPDNFTKDTVGTLLKVYYKGDDASGNNLLKTILDNHENYKESLSFVSGWNKLVSNLH PSESVKELISHYPRLLLNLTDNLVLPDGKIRYETFELMKTLMILQGIQIPELLSSCMI IEQIPLTLQNARDLTIRIKNVGAEFGKTKTDKLTSSFFLKYLFGLLTVRFSPVWTGVF DTLPNVYTKDEALVWDLVLSFIKCPDENQKLDYYEPLLEDGTDTILWDSSVVRLRDNI DAFSHVWSKYSTQNTSIIYTTIERRGNIIYPVLIRNQALKVMLSIPQVAEGHFADIAP FVFNDFKTYKDEEDMESERVITGSWTEVDRNVFLKTLSKFKNIKNVYNAMELHDHLMV LLGSRNTDVQKLALDALLAYKNPTLNKYRDNLRNLLDDTLFKDEITTFLTENGSQTIK GEDEKVVMPYVLRIFFGRAQVPPTSGQKRSRKIAVISVLPNFKEAYINDFLTLASGRL DYNYFFSNNHQINSSKATLKTIRRMTGFINIVNSTLAVLRTNFPLHTSSVLQPLIYSI AMAYYILDTESTEEVHLRKMAGNLRQQGLKCLSSIFEFVGNAFNWSTSMDDIYTVVVK PRIPHFSDENLQQPSSLLRVFLYWAHNPSLYPFLYYDDFAAANALMDTISNQHVKETV ISPVIEAADSIIRTPTNDDRYVDLITLICSSCLKILPSLYVKLSDSNSISAFLNLLVS IAELGFIQDDGVRSHLIISLASILKGKLKKLQENDTQKILKVLKLIIFNYDCSWSDVK DLYATISSLLKTFDERNLRVSLTELFMELGRKVPELGNISKLVTDLNSYSSSRLHEYD FPRILSSFKGLIEGGYKSYSEMEWLPLLFTCLHFINDKEELALRTNASHTIMKFIDFI NEKPNPVEATKSISMLKDILLPSIRAGLREPLEEIQSEYISVLSYMVKNAKYFTDLED MTILLYNGDEEADFFTNVNHIQLHRRQRAIKRLGEHAHQLKDNSISHYLIPMIEHYVF SDDERYRNIGNETQIAIGDLAQHMSWNQYKALFRRYISMLKTKPNQMKQAVLLIVQLS VPLRETLRTVRDGVESKFTLSKFPSNLEEPSNFIKKELYPTLSKILGTRDDETIIERM PIAEALVNIILGLTNDDIANFLPSILTNICQVLRSKSEELRDAVRATLGKISIILGAE YLVFMIKELMATLKRGSQIHVLSYTVHYILKSMQGVLKHSDLDTSSSMIVKIIMENIF GSAGEEKDSENYHTKVKEIKSNKSYDAGELLASNISLTEFGTMLSPVKALLMVRINLR NQNKLSELLRRYLLGLNHNSDSESEGILKFCHQLFQESEMNNCPPKAKKNVRAQVDEK EDFFLVNLESKSYTINSYSLLLSSTLQKFALDLLRNVITRHRSFLTVSHLEGFIPFLR DSLLSENEGVVISTLRILITLIRLDFSDESSEVFKNCARKVLNIIKVSPSTSSELCQM GLKFLSAFIRHTDSTLKDTALSYVLGRILPDLNEPSRQGLAFNFLKALVSRHIMLPEL YDIADTTREIMVTNHSKEIRDVSRSVYYQFLMEYDQSKGRLEKQFKFMVDNLQYPTES GRQSVMELINLIITKANPALLSKLSSSFFLALVNVSFNDDAPRCREMASVLISTMLPK LEDKDLQIVEKYIAAWLKQVDNASFLNLGLRTYKVYLKSVGFGHTIELDELAIKRIRY ILTDTSVGSEHEWDLVYSALNTFSSYMEATESVYKHGFKDIWDGIITCLLYPHSWVRQ SAANLVHRLIGNKDKLEVSLTNEEIQNIVTRVLHQLGAPSIPENLANVSIKTLVNIGI LWKEQHTPFIMDVSKQTGEDPRYATAIDYMVTRIGGIIRSDEHRMDSFMSKKACIQLL ALLVQVLDEDEVIAEGERILLPLYGYLETYYSRAVDEEQEELRTLSNECLKILENKLP VSEFTKIYTAVKQTVLERRKERRSKRAILAINAPQISADKKLRKHARSREKRKHEKDE NGYYQRRNKRKRV SPAR_B01020 MSGGKGGKAGSAAKASQSRSAKAGLTFPVGRVHRLLRRGNYAQR IGSGAPVYLTAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDDELNKLLGNV TIAQGGVLPNIHQNLLPKKSAKTTKASQEL SPAR_B01030 MSSAEKKPASKAPAEKKPAAKKTSTSVDGKKRSKVRKETYSSYI YKVLKQTHPDTGISQKSMSILNSFVNDIFERIATEASKLAAYNKKSTISAREIQTAVR LILPGELAKHAVSEGTRAVTKYSSSTQA SPAR_B01040 MPKIFCLADVCMVPIGTDSASISDFVALIEKKIRESPLKSTLHS AGTTIEGPWDEVMGLIGEIHEHGHEKGYVRVHTDIRVGTRTDKHQTAQDKIDIVLKKI SQ SPAR_B01050 MVDFLAKVTEINPPSDGNDGEDNIKPLSSGSEQRPLKEEGQQGR RRHHRRLSSMHEYFDPFSNAEVYYGPITDPRKQSKIHRLNRTRTMSVFNKVSDFKNGM KDYTLKRRGSEDDSFLSSQGNRRFYIDNVDLALDELLASEDTDKNHQITIEDTGPKVI KVGTANSNGFKHVNVRGTYMLSNLLQELTIAKSFGRHQIFLDEARINENPVDRLSRLI TTQFWTSLTRRVDLYNIAEIARDSKIDTPGARNPRIYVPHNCPEQYEFYIQASQMNPS LKLEVEYLPKDITAEYVKSLNDTPGLLALAMEEHVNPSTGERSLVGYPYAVPGGRFNE LYGWDSYLMALGLMESNKVDVAKGMVEHFIFEIDHYSKILNANRSYYLCRSQPPFLTD MAMLVFEKIGGKNNPNAIQFLKRAFRAAIKEYKGVWMSSPRLDSATGLSCYHPDGIGI PPETEPDHFDTILLPYAEKYNVSLEKLRYLYNESIIKEPKLDAFFLHDRAVRESGHDT TYRFEGVCAYLATVDLNSLLYKYERDIAYVIREYFSNEYKDENDGTVTNSEHWEQLAE IRKTRINKYMWDEESGFFFDYNTKLKCRTSYESATTFWSLWAGLATEEQAKITVEKAL PQLEMLGGLVACTEKSRGPISIDRPIRQWDYPFGWAPHQILAWKGLSAYNYQQVATRL AYRWLYMITKSFVDYNGMVVEKYDVTRGTDPHRVDAEYGNQGADFKGVATEGFGWVNT SYLLGLKYMNNHARRALAACSPPLPFFNSLKPSERKLYYL SPAR_B01060 METDSGIPGHSFVLKWTKNIFSRTLRASNCVPRHVGFIMDGNRR FARKKEMEVKEGHEAGFVSMSRILELCYEAGVDTATVFAFSIENFKRSSREVESLMTL ARERIRQLTERGELACKYGVRIKIIGDLSLLDKSLLEEVRVAVETTKNNKRATLNICF PYTGREEILHAMKETIAEHKKGAAIDESTLESHLYTAGVPPLDLLIRTSGVSRLSDFL IWQVSSKGVRIELLDYLWPEFGPIRMAWILLKFSFHKSFLNKEYRLEEGDYDEETNGD PIDLKEKKLN SPAR_B01070 MFQRSRAAHHIKLISPRRCRFKSSFAVALNAASKLVTPKILWNN PISLVSKEMNTLAKNIVALIGSGHPLLNKVTSYYFETEGKKVRPLLVLLLSRALSEIP LTERNHLKIDNSDVPEDPIYSKPSQNQLFQRPANSISPLHILHGIKPLNPLTKGPEPL PEETFDKKRGILPKQRRLAEIVEMIHTASLLHDDVIDHSDTRRGRPSGNAAFTNKMAV LAGDFLLGRATVSISRLHNPEVVELMSNSIANLVEGEFMQLKNTSTDADIDTIENGHK LLPIPSKKLEVKEHEYRVPSRQQGLQLSHDQIIETAFEYYIHKTYLKTAALISKSCRC AAILSGASPAVIDECYDFGRNLGICFQLVDDMLDFTISEKDLGKPSGADLKLGIATAP VLFAWKEDPSLGPLISRNFSERGDVEKTIASVRLHNGIAKTKVLAEEYRDKALQNLRE SLPDSDARSALEFLTNSILTRRK SPAR_B01080 MLVGLTFYFVLFRLIQYLLVFLTPIRQFDTSTSLLLDELCSPPS EINSYWNKYFWNKLLSWDTVFFIKNITSKNGKPQFEHEYAFSQLWTFFVRLFIRTNNE SIYHALKVAVAIENILFYLSGIVLYFLTKKIFSQNIKQSQFARSIARKTSLLFFLTSA AGFLTSIYSEPLSFFFAFVGIWSRECSISMPVLGQFDIPWRYWFSYSFISMICFTLAS LNRSNCVLLGIYFVFDLFELTKNRKVVKAICFPVLSGSLMFSALVYQQYYLPYKTFCP QRGEWCESEFYPSFFITKTSLYSYIQGHYWGVGFLKYWTPNNIPNFLFAVPNIIILIY SSIYFSKIYPSYNLKALVWITRALVVIVCFFAHVQILNRIASFLPLHLWYLADRLVKT SDSKKMENPKGDDKIVKFYIYWLAFWIPLQTILFAAFLPPA SPAR_B01090 MGLISYENETINKVKKADGHHVSKFVTSYYGSSSSSWQSGRWIL FVLFVAAIVLILLSTFLANRRRQRMGRAPIRGTAWLTPPSYRQSQQQYTGTVQQRTDD YVPEYTETANEHDLGYYDERGEFHPNDKAAYVAPPPLVQECSSESVNSLERPPAAVVH RTNSSDMDYDLTRPNNERVAAVGDTAEQLERFPGASGTQEINPPERAKVNARS SPAR_B01100 MSLSKFSKPVLNDPNLFRESGYIDGKWVKGTDEIFEVVDPASGE IIARVPEQPVSVVEEAIDVAYETFKTYKNTTPRERAKWLRNMYNLMLENLDDLATIIT LENGKALGEAKGEIKYAASYFEWYAEEAPRLYGATIQPLNPSNRVFTIRQPVGVCGII CPWNFPSAMITRKAAAALAVGCTVVIKPDSQTPLSALAMAYLAEKAGFPKGSFNVILS HANTPKLGKTLCESPKVKKVTFTGSTNVGKILMKQSSSTLKKLSFELGGNAPFIVFED ADLDQALEQAMACKFRGLGQTCVCANRLYVHSSIIDKFAKLLAERVNKFVIGHGLDPK TTHGCVINSSAIEKVERHKQDAIEKGARVVLEGGRLPELGPNFYAPVILSHVPSTAMV SKEETFGPLCPIFSFDTMEEVVGYANDTEFGLAAYVFSKNVNTLYTVSEALETGMVSC NTGVFSDCSIPFGGVKESGFGREGSLYGIEDYTVLKTITIGNLPSSI SPAR_B01110 MNQNIKNTSWADRIRSDDQERKAKSTEVLQSPPPNNSFGSSMDS QFSYAHSNKSSISFESIQTTERLLDKLDLSLEDELILQEALLEEENASRNSQLSQTSG PTLCMPASEFPSLRNRTNPPPTYIQARDRSLIIDSLKEKDSTLRGKYSSCKVERHLPV KSRYSYIVEEDYDSETFTAMKPQMNRHQKDYKYPNIENNNRSTNNPNTFNFENYRIEN TRLHHFYPMLISSNNNSSDNSANKKSNKVNTSNNINTSIRNDRIFEKQSCPNEFTPTQ KSNCLYRNGSSTSTNTSFSEVGQLSKPNTQSSFESESSSFSKLKLTKSDTTPVKPSPK RSNSSTSTITKPNTLTNDISLPPTPPYKTHKKKTSLNSLKKLFKSPRTRAKNKKDLES EGSSPIRSATNSLDFSGENIQFPPTSNTVNNSSPHLARYIFPPNPVFHFKTISSPQSS ADKKKNNKARPNRTHLRTFSDFHTIEKDTKKDELSPLSEQSNKPDHPKVRRRTLSLDG MLPNNSTQCTVNLSHKKEESNAASRCGKLKFHLEPYDNDESSHIGQAITMRHQGKLEE SAQRLKKACACGNKTAFLLYGLALRHGCGVDKNLKLSLGFLMAATDIKSFAAEVLDLD INPLNFVSIDDIPDMAPEPMAPALYECGMAYLKGLGIDHPDEIKGLKFLEKAALLGHV DSMCLSGTIWSKTSNVKKKDLSRAAAWFRIADKKGANLLGSDWIYKEKYMKKGRK SPAR_B01120 MVYTSTYRHTIVVDLLEYFGIVSNIETLQISREDESRKPEDTDK KESKPNYDIECGPDTSSSETSASSDSSSSRIEKNDPFRVDWNGPTDPENPQNWPLLKK SLVVFQIMLLTCVTYMGSSIYTPGQENIQEEFHVGHVVATLNLSLYVLGYGLGPIIFS PLSEIARYGRLNLYMVTLFFFMIFQVGCATVHNIGGLIVMRFISGILCSPSLATGGGT VADIISPEMVPLVLGMWSAGAVAAPVLAPLLGAAMVDAKNWRFIFWLLMWLSAATFTL LAFFFPETQHHNILYRRALKLRKETGDERYYTEQDKLDREVDARTFLINTLYRPFKMI VKEPAILAFDLYIAIAYGCFYLFFEAFPIVFTGIYHFTLVEVGLAYMGFCVGCVFAYG LFGILNMKIIVPRFKNGTFTPEAFLIVAMCVCWCLPLSLFLFGWTARVHWILPVISEV FFVLAVFNIFQATFAYLATCYPKYVASVFAGNSFCRASFACAFPLFGKAMYDNLATKN YPVAWGSSLVGFFTLGLAIIPFVLYKYGPSLRAKSSYTDE SPAR_B01130 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEEVRAVLKSFLESVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G SPAR_B01140 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRFQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQESVEAYLV SLFEDTNLAAIHAKRVTIQKKDIKLARRLRGERS SPAR_B01150 MTYTTRQIGAKNTLEYKVYIEKDGKPVSAFHDIPLYADKENNIF NMVVEIPRWTNAKLEITKEETLNPIIQDTKKGKLRFVRNCFPHHGYIHNYGAFPQTWE DPNVSHPETKAVGDNDPIDVLEIGETIAYTGQVKQVKALGVMALLDEGETDWKVIAID INDPLAPKLNDIEDVEKYFPGLLRATNEWFRIYKIPDGKPENQFAFSGEAKNKKYALD IIKETHESWKQLIAGKSSDNKGIDLTNVTLPDTPTYSKSASDAVPPASPKADAPIDRS IDKWFFISGSV SPAR_B01160 MNKIKVSQKNYLQRSNFYKFERNGTEWEYIFASNSACFDYNNST AVNNDVISSLNYCISDERYDKTAAMCVVLKLSQDCSFDVRLQRSRNGPYKNIWDMPCG ELQQHIGYKNGICYNSENMVL SPAR_B01170 MIHPLFRICILGAFPLGSYACLENSTQKGIEGVTLSHNSVQINS TLAKSALFCGSDALSMNYSTGNMLSNNACDYTKNSSCPYIITNVTRAFDNTLENSFNL SPAR_B01180 MAIVINKRNVRVLVITNLLVIVVFLVLRNSNANVNESITTHHPD SLVTFDNSGNAPGTHQPVHDTVNTQDKEAEEVDKNSEDAEFDAAAEYNKIMEQSPMIV FSKTGCPYSKKLKALLTNSYTFSPSYHVVELDRHEHTKELQDHIEKVTGRRTVPNVII GGTSRGGYTEIAELHKNDELLDSFKKWSDGAFTVKANSQSESA SPAR_B01190 MLLTKRFSKLFKLTFIVLILCGLFVITNKYMDENTSVKNYKTYL DNYVQSYSNKYSSSSDAAGADDSAPLKGNDEAGNVKLKSFYNNVFNFLMVDSPKGKTA KKYNEACLLNGDIGDRPDHYKDLYKLSAKELSKCLELSPDEIARLTKSHKDYVDHIGT LVSPKGTYKGSGIATVGGGRFSLMAFLIIKTLRNMGTTLPVEVLIPPGDEGETEFCNK ILPKYNSKCIYVSDILPSETIEKFVFKGYQFKSLALVASSFENLLLLDADNFPIKPLD NIFNEEPYVSTGLVMWPDFWRRTTHPLYYDIAGISVDKKKRVRNSQDDITPPAVYTKN LNDLDDIPLSDLDGTMPDVSTESGQLMINKSKHLATALLSLFYNVNGPAWYYPIFSQK AAGEGDKETFIAAANFYGLPFYQVRTRTGVEGYHDKDEFHGVAMLQHDFVQDYSHYLT AIKSINNKYSGIKSPDATKFDKNYSLEKYTKEFFDNEDLNAKDHVDVMFIHSNFPKFD PYDLSETNFLTIDDKPARSYTALHKIQNYDIELENFKILNEYVCVNKNPFKYLDDKLG QDKKEWKRVCDYVSNKLTFLESTHDKAIAGK SPAR_B01200 MSATDYYGGTAGEKSQYSRPSNPPPSSGHQNKTQERGYPPQQQQ QQYYQQQQHPGYYNQQGYNQQGYNQQGYNQQGYNQQGYNQQGHQQPVYVQQQPPQRGN EGCLAACLAALCICCTMDMLF SPAR_B01210 MASTWKPAEDSVLQLATLLQNCMSPNQDIRNNAMEAMENFQLQP EFLNYLCYILIEGESDDVLKQHYSLQDLQNNRATAGMLLKNSLLGGNNLIKNNSHDLG YVKSNIIHGLYNSNNNLVSNVTGIVITTLFSTYYRQHRDDPTGLQMLYQLLELTSSGN EASIKALSKIMEDSAQFFQLEWSGNTKPMETLLDSFFRFISDPNFSPVIRSESVKCIN TVIPLQTQSFIVRLDKFLEIIFQLAQNDENDLVRAQICISFSFLLEFRPDKLVSHLDG IVHFMLHLIASVNEEKVAIEACEFLHAFATSPNIPEHILQPYVKDIVPILLSKMVYNE ESIILLEASNNDDAFLEDKDEDIKPIAPRIVKKKEVGNGEDVGDNEEDEDEDEDGDVD TQWNLRKCSAATLDVMTNILPHQVMDIAFPFLREHLGSDRWFIREATILALGAMAEGG MKYFNDGLPALIPFLVEQLNDKWAPVRKMTCWTLSRFSPWILHDHTEFLMPVLEPIIS TLMDKKKDVQEAAISSVAVFIENADSELVETLFYSQLLTNFDKCLKYYKKKNLIILYD AIGRFAEKCTLDETAMQIILPPLIGKWALLSDSDKELWPLLECLSCVASSLGERFMPM APEVYNRAFRILCHCVELEAKSHQDPTIVVPEKDFVITSLDLIDGLVQGLGAHSQDLL FPQGTKDLTILKIMLECLQDSVHEVRQSCFALLGDIAYFFNSELIISNLEDFLKLIGT EIMHNDDSDGAPAVINAIWALGLISERIDLNTYIIDMSRIILDLFTTNTQIVDSSVME NLSVTIGKMGLTHPEVFSSGAFANDSNWNKWCLAVNALADVEEKSSAYMGFLKIINLT STEVTMSNDTIHKIVSGLSNNVEANVFAQEIYTFLMNHSAQISTINFSPDEISFLQQF TN SPAR_B01220 MTAEQFDFSSHSHRRYNPLTDSWILVSPHRAKRPWLGQQEAAYK PTAPLYDPKCYLCPGNKRATGNQNPKYESTYIFPNDYAAVKLDQPSLPQANSDEDNLK NRLLKVQSVRGNCFVICFSPKHNLTIPQMKQSDLVHIINAWQGLYNDLTREARENHKP FKYVQIFENKGTAMGCSNLHPHGQAWCLESIPSEVSQELKSFDKYKREHNADLFADYV ELESEEKSRVVVENESFIVVVPYWAIWPFETMVVSKKKIASIGQFDQTVKEDLASILK QLTIKYDNLFETSFPYSMGIHQAPLNATGDELNNSWFHMHFYPPLLRSATVRKFLVGF ELLGEPQRDLTPEQAAEKLRKLDGTIHYLEKL SPAR_B01230 MTAQLQSESTPKIVLVTGGAGYIGSHTVVELIENGYECVVADNL SNSTYDSIARLEILTKHHIPFYEIDLRDRKGLEKVFKEHKIDSVIHFAGLKAVGESTQ IPLRYYHNNILGTVVLLELMQQYKVSKFVFSSSATVYGDATRFPNMIPIPEECPLGPT NPYGHTKYAIENILNDLYSSDKKRWKFAILRYFNPIGAHPSGLIGEDPLGIPNNLLPY MAQVAVGRREKLYIFGDDYDSRDGTPIRDYIHVVDLAKGHIAALKYLEAYNGNEGLCR EWNLGSGKGSTVFEVYHAFCKASGINLPYEVTGRRAGDVLNLTAKPDRAKRELKWQTE LQVEDSCKDLWKWATENPFGYQLRGVEARFSTEDMRYDARFVTIGAGTRFQATFANLG ASIVDLKVDGQSVVLGYENEKGYLDPDSAYIGATIGRYANRIAKGKFSLRNKNYQLTV NNGVNANHSSISSFHRKRFLGPIVQNPSKDVFTAEYMLIDNERDTEFPGDLMVTVQYT VNVAKKSLEIVYKGKVTGGEETPINLTNHTYFNLNKPYGDSIEGTEIMVRSKKSVDVD KNMIPTGNTVNREIATFDSAKPTVLGPKNPQFDYCFVVDENPKPNQINTLNNELILIL KAFNPDSNITLEVLSTEPTYQFYTGDFLSAGYTARQGFAIEPGRYIDAINQENWKDCV ILKRGETYGSKIIYRFS SPAR_B01240 MTKAHSEEVAIPEFNSSAKELPKPLAEKCPAIIKKFINAYGAKP DFIARSPGRVNLIGEHIDYCDFSVLPLAIDVDMLCAVKVLDQKNPSISLINADPKFAQ RKFDLPLDGSYVTIDPSVSDWSNYFKCGLHVAHSFLKGLAPERFSSAPLAGLQVFCEG DVPTGSGLSSSAAFICAVALAVVKANMGPGYHMSKQNLMRITVVAEHYVGVNNGGMDQ AASVCGEEDHALYVEFKPQLKATPFKFPQLKNREISFVIANTLVVSNKFETAPTNYNL RVVEVTTAANVLAATYGVVLPSGKEGSSTNKGNLRDFMNVYYARYHNISTPWNGDIET GIERLTKMLILVEESLASKKQGFSVDDVAQALNCSREEFTRDYLTTSPVRFQVLKLYQ RAKHVYSESLRVLKAVKLMTTATFATDEDFFKQFGALMNESQASCDKLYECSCPEIDR ICSIALSNGSYGSRLTGAGWGGCTVHLVPGGPYGNLEKVKKALVNEYYKAKYPKITDA ELEDAIIVSKPALGSCLFEL SPAR_B01250 MPDNLSLHLSGSSKRLNSRQFVESSNETFAPNNVDLEKEYKSSQ SNVTTEVYEASSFEEKANSEKPQYSSFWKKVYYEHVVVDRSILGVSVLDSFMYNQDLK PVEKERRVWSWYNYCYFWLAECFNINTWQIAATGLQLGLNWWQCWITIWIGYGFVGAF VVLASRVGSAYHLSFPISSRASFGIFFSLWPVINRVVMAIVWYSVQAYIAATPVSLML KSIFGKDLQDRIPDHFGSPNATTYEFMCFFIFWAASLPFLLVPPHKIRHLFTVKAVLV PFASFGFLIWAIRKAHGRIALGSLTDVQPHGSAFSWAFLRSLMGCMANFSTMVINAPD FSRFSKNPNSALWSQLVCIPFLFSITCLIGILVTAAGYEIYGVNYWSPLDVLEKFLQT TYNKGTRAGVFLISFVFAVAQLGTNISANSLSCGTDMSAIFPKYINIKRGSLFCAAMA LCICPWNLMATSSKFTMALSAYAIFLSSIAGVVCSDYFVVRRGYIKLTHIYSHQKGSF YMYGNRFGINWRALAAYLCGVAPCLPGFIAEVGAPAIKVSDGAMKLYYLSYWVGYGLS FSSYTALCYFFPVPGCPVNNIIKDKGWFQRWANVDDFEEEWKDTIERDDLVDDSISVY EHEHEKTFI SPAR_B01260 MSNIAYVKGNILKATSYPRILIHSCNCNGSWGGGIAYQLALRYP KAEKDYVEICEKHGSALLGKCILLPSYENSDLLICCLFTSSFGGSSHGGKQSILNYTK LSLDKLKAFRAAGGKAGTNEDIINDYLDGHIKYPVGEYKLEMPQINSGIFGVPWKETE RVLEEFNGDMNFTVYQL SPAR_B01270 MTGLNGDDPDDYYLNLNQDEESLLRSRHSVGSGAPHRQGSLVRP ERSRLNNPDNPHFYYAQKTQEQINHLDVLPSSTGINPNTTRRSGSLRSKGSLRSKVSG RESDSYLLQDMNTTDKKASVKVSDEGVAEDEFDKDGAEFDVDNFEEGSMQPINKSIKP LRKETDDTLSFWQMYCYFITFWAPAPILAFCGMAKKERQMAWREKVALLSVILYIGAI VAFLTFGFTKTVCSSSKLRLKSNEVSTEFVVINGKAYELNTSSRSGIQDVEVDSDTLY GPWSDAGKDASFLFQNVNGNCHNLITPKSNSSIPHDDDNNLAWYFPCKLKNQDGSSKP NFTVENYAGWNCHTSKDDRDAFYGLKAKADVYFTWDGIKNSSRNLIVYNGDVLDLDLL DWLEKDEVDYPVVFDDLKTSNLQGYDLSLVLSNGHERKIARCLSEIIKVGEVDSKTVG CIASDVVLYVSLVFILSVVIIKFIIACYFRWTIARKQGAYIVDNKTMDKHTNDIEDWS NNIQTKAPLKEVDPHLRPKKYSKKSLGHKRTSTFDLLKKHSSKMFQFNESVIDLDTSL SSSLQSSGSYRGMTTMTTQNAWKLSNENKAAHSRNPSTLLPTSSMFWNKATSSPVPGS SLIQSLDSTIIHPDIVQQPPLDFMPYGFPLIHTICFVTCYSEDEEGLRTTLDSLSTTD YPNSHKLLMVVCDGLIKGSGNDKTTPEIALGMMDDFVTPPDEVKPYSYVAVASGSKRH NMAKIYAGFYKYDDSTVPPENQQRVPIITIVKCGTPAEQGGAKPGNRGKRDSQIILMS FLEKITFDERMTQLEFQLLKNIWQITGLMADFYETVLMVDADTKVFPDALTHMVAEMV KDPLIMGLCGETKIANKAQSWVTAIQVFEYYISHHQAKAFESVFGSVTCLPGCFSMYR IKSPKGSDGYWVPVLANPDIVERYSDNVTNTLHKKNLLLLGEDRFLSSLMLKTFPKRK QVFVPKAACKTIAPDKFKVLLSQRRRWINSTVHNLFELVLIRDLCGTFCFSMQFVIGI ELIGTMVLPLAICFTVYVIIFAIVSKPTPIITLVLLAIILGLPGLIVVITATRWSYLW WMCVYICALPIWNFVLPSYAYWKFDDFSWGDTRTIAGGNKKAQDENEGEFDHSKIKMR TWREFEREDILNRKEENGSLVA SPAR_B01280 MLNSSRKYTCRFLYGQANVTIKRFFYNRGACQRGFSTGCGLRSD NKESSSARKPLDRLQLGDEINEPEPIRTRFFQFSRWKATIALLLLSGGTYAYLSRKRR LLETEKEADANRAYGSVALGGPFNLTDFNGKPFTEENLKGKFSILYFGFSHCPDICPE ELDRLTYWISELDDKDHIKIQPLFISCDPARDTPDVLKEYLSDFHPAIIGLTGTYDEV KSVCKKYKVYFSTPRDVKPNQDYLVDHSIFFYLIDPEGQFIDALGRNYDEQSGLEKIR EQIQAYVPKEERERRLKKWYSFIFN SPAR_B01290 MPPKKQVEEKKVLLGRPGNNLKAGIVGLANVGKSTFFQAITRCP LGNPANYPFATIDPEEARVTVPSPRFDKLCEIYKKTASEVPAHLTVYDIAGLTKGASA GEGLGNAFLSHIRSVDSIYQVVRCFDDAEIIHVEGDVDPVRDLEIINQELRLKDIEFA QKALEGAEKIAKRGGQSLEVKQKKEEMDLIIKIIDLLKSGQRVANHSWTSKEVEIINS MFLLTAKPCIYLINLSERDYIRKKNKHLLRIKEWVDKYSPGDLIIPFSVSLEERLSHM SPEDAEEELKKLQTISALPKIITTMRQKLDLISFFTCGPDEVREWTIRRGTKAPQAAG VIHNDLMNTFILAQVMKCEDVFEYKDDSAIKAAGKLLQKGKDYVVEDGDIIYFRAGAG KN SPAR_B01300 MLPTLKRFMSSSAHQIPKQFKSVIYSTHEVEDCAKVLSVKNYTP KQDLSQSIVLKTLAFPINPSDINQLQGVYPSRPEKTYDYSTDEPAAIAGNEGVFEVVS LPSGSSKGNLKLGDRVIPLQANQGTWSNYRVFSNSSELIKVNDLDLFSAATVSVNGCT GFQLVSDYISWNNGGNEWIIQNAGTSSVSKIVSQVAKAKGIKTLSVIRDRNNFDEVAK VLEDKYGATKVISESQNNDKTFAKEVLAKVLGENARVRLALNSVGGKSSASIARKLEN NALMLTYGGMSKQPVTLPTSLHIFKGLTSKGYWVTEKNKKNPQSKIDTISDFIKMYND GHIISPRDEIETLIWNTNTTTDEQLLELVKKGITEKGKKKMVVLEW SPAR_B01310 MIFSLDEEIHRMSLDDKKNDIKVDYSSAVYDDINHEQGSAITYE DNMNYLSVHSNAIPLNGTSPAHRMRRRSSAYSKFPILTPPNTRRFSITGSDAMSASMN RLSITPQDIVSSNIGENELSRNLHDFKPVRVLGQGAYGKVLLVKDINTSKLYAMKQLR KAEILISSTATDSKKEDEENDSGNKNDNDNGLSKRLERTFAERSILSEIEHPNIVKLF YSFHDNSKLYLLLQYIPGGELFYHLKEHGTLDETTVSFYAAEISCALRFLHTKGVVYR DLKPENCLLNQRGHLVLTDFGLSKKSANDSVVDEEDPENVNALYSIIGTPEYCAPEIL LGKAYSQNCDWYSLGCLLYDMLVGKPPYTGSNHKVIINKIQQNKQGPKIPFYLSEGMK DILNALLKKETAKRWNVDKYWAKTGATNKTTKSKKKKSGAARTSLFTEHFIFRKIDWK LLEAGQLQKTTLGPIVPVITDLELAENFDTEFTSMSYEENYTDSKPINISSMSKSPDM FKGFSYKASGSYLEKYF SPAR_B01320 MSDNPEMKKHGTSKEIVESVTDATSKAIDKLQEELHKDASESAT PVTNENTAATKESRKYNFFIRTVWTFVMISGFFITLASGHAWCIVLILGCQIATFKEC IAVTSASGREKNLPLTKTLNWYLLFTTIYYLDGKSLFKFFQTTFYEYPVLNFIVTNHK FICYCLYLMGFVLFVCSLRKGFLKFQFGSLCVTHMVLLLVVFQAHLIIKNVLNGLFWF LLPCGLVIVNDIFAYLCGITFGKTKLIEISPKKTLEGFLGAWFFTALASIILTRILSP YTYLTCPVEDLHTNFFSNLTCELNPVFLPQVYRLPPIFFDKVEINSITVKPIYFHALN LATFASLFAPFGGFFASGLKRTFKVKDFGHSIPGHGGITDRVDCQFIMGSFANLYYET FISEHRITVDTVLSTILMNLNDKQIIELIDILIRFLSKKGIISAKNFEKLADIFNVTK KSLTNHS SPAR_B01330 MSVTFKDDIHQILKFVASCNGRFKDSKCDIRESPLGGLGVFAKT KIAKGESILTLNKSSIFSASNSSIANLLCDNGIDGMLALNIAFIYETTVFKNTSHWYQ FLRTIRIRDDQGHLNLPPSFWNANAKQLLKGTSFDTLFDALTPEEEIIEGFEIAVDLA RKWNDEFGLEMPKGFLDISEENHEKDYNLKLEKFISVAYTLSSRGFEIDAYHETALVP IADLFNHHVSNPDLKFVSLYDVCDKCGEPGMCKHLIAEEYLEAEDQDKNIPKAGGTEI CVIDEDLINSLENDLQKECSKVTADTEDEDDGIENPDECVDLILKNDVAQDQEIFNSY GELSNVFLLARYGFTVPGNQYDIVHLGPEFMKVVKKEEKYQEKVKWWSQVGHGLFSAW YVQMRQEDEEDEGEAKSDDLPDDAGDEIEEESEEDEEEGNDGLESWLSQLYIDSRGEP SPSTWALANLLTLTAVQWELLFSKKATPHISDSIIDEQKLPFLAKKDNPHSKKLLSKL LKDKQLPRIKGDNSSHVTNATRSMYHNAQTLVQSEHNILERCLKRLS SPAR_B01340 MSRPQVTVHSLTGEATANALPLPAVFSAPIRPDIVHTVFTSVNK NKRQAYAVSEKAGHQTSAESWGTGRAVARIPRVGGGGTGRSGQGAFGNMCRGGRMFAP TKTWRKWNVKVNHNEKRYATASAIAATAVASLVLARGHRVEKIPEIPLVVSTDLESIQ KTKEAVAALKAVGAHSDLLKVLKSKKLRAGKGKYRNRRWTQRRGPLVVYAEDNGIVKA LRNVPGVETANVTSLNLLQLAPGAHLGRFVIWTEAAFTKLDQVWGSETVASSKVGYTL PSHIISTSDVTRIINSSEIQSAIRPAGQATQKRTHVLKKNPLKNKQVLLRLNPYAKVF AAEKLGSKKAEKTGAKPAAVFTETLKHD SPAR_B01350 MPRITWCRQGIHPFDASQLIGGMQVLLPHATDYPGSALFDAATK LGFEQSVNLNYTENHWLKQHSCQYLNVFLQQAQPE SPAR_B01360 MPQHVPNLYGTTIPNSYERTNTSASTGEVNRSDSSRNCKRGSEG STKQRKKASRACDQCRKKRIKCRFDKHSGVCQGCLEVGEKCLFVRVPLKRGPAKKRST GISSENFSLDNDPLHYRPRTHSYPMNLGDNHLPSLARNSSFPSISSLFIPPITTQSQQ FVKVPYDDIKRRRSLATLHSDSSISTEFGGNYRLDQNLGIGQEGKDIAMKGTITPVEE TSACSSNVRRQGSQSFPLQEQRANPYINPFIFGRSRLSSISYTSEATTSEGNTQSKDQ YMLTPNSVRSVEKERLNSLTAGCSNKKSSIDDKSDKWEKNSTWKPVYRSADPSRSNSG KTVPLKQEASVKPPILSANRQYDEISFCKVLDIYYDFFHINFPIIPINKNKFTGMLIP KKPQVFDETQKISNEIIERFKTALEILVFCKIKQRRSSKSTKSWSRDNLCDFQKGLYY IQNFNKCIDDCFRSLITVKSILRQNSSVIPSRIKFIYFSTMIMLNFILILTGDESSLL LGPSVGVFNEFKAHKLFLPFENTASMPLLNSNEKIDDEQLDYAVLFKRSYILLNILDT LQSFRLGQPKLINLNFGSPIGTYFSDEIGHNQIVEKNPIILDNILRNLKLGEFITYFV LNRKSLQVDISQHLLFTNQTDYEDFAVDKGEHNDIADKFQFLLKKKESLIRELLNIEQ KNGHILKTSWNSDAEMNKIGELVCSMITLTSGILDSIANVNAENSVDLDSKPLPNTYS ETDSEEESMSPTQRITPNLANEENKRYTTKYLTGTVSVFILPMVEECSNIIRLIGPIP TTLISLYIRNGNMAKGMNNRIMMLSTALNELVQITALFNVLEPFRQNVHDLAKRYNAD AMSGNGCYKSVMKNIYSGKCVASNASNVAPLEEENKKILEKFADIGWKLMDDSELGCC CCFSD SPAR_B01370 MSKTAVKDSATEKTKLAESEQHYFNSYDHYGIHEEMLQDTVRTL SYRNAIIQNKDLFKDKIVLDVGCGTGILSMFAAKHGAKHVIGVDMSSIIEMAKELVEL NGFSDKITLLRGKLEDVHLPFPKVDIIISEWMGYFLLYESMMDTVLYARDHYLVEGGL IFPDKCSIHLAGLEDSQYKDEKLNYWQDVYGFDYSPFVPLVLHEPIVDTVERNNVNTT SDKLIEFDLNTVKISDLAFKSNFKLTAKRQDMINGVVTWFDIVFPAPKGKRPIEFSTG PHAPYTHWKQTIFYFPDDLDAETGDTIEGELVCSPNEKNNRDLNIKISYKFESNGIDG NSRSRKNEGSYLMH SPAR_B01380 MTKQAEETQKPIIFAPETYQYDKFTLNEKQLTDDPIDLFTKWFN EAKEDPRETLPEAITFSSAELPSGRVSSRILLFKELDHRGFTIYSNWGTSRKAHDIAT NPNAAIVFFWKDLQRQVRVEGITEHVNRETSERYFKTRPRGSKIGAWASRQSDVIKNR EELDELTQKNTERFKDDEDIPCPDYWGGLRIVPLEIEFWQGRPSRLHDRFVYRRKTEN DPWKVVRLAP SPAR_B01390 MSTTLLWFSSVIGYVIQTKCLSNIQSRKEIPVGPNGTIATPETN GDNGNSSSLTFYLTFMYFASWLLLVPASRLWKKMRPMFVSDPDSNRNSQFDSNNSGSV TNEDVDTFSHVLDDPQPRIPAQQQKQKIISVATFKYVAKLTVLALIMIVADLTYNMAL SLSPAFDVALMQNTAIFEIVTLLYGVCGISRKNYVFRNFLIMMNAVIGILIISYTKAT CDMLAGKLSVNPNTGELSDPFLFDRLKGALICGLGALIMGPFAVLWNRWFCSNISKNE NSAVVLVKQSTHMALIGIIGMIILLPFIPKFPSRGSMESISLFYNDKSFWFSLLGSII FGSLPSLISILELNRKAPAEYLTTCNLGAIIFMGLAEWVCEPTQTTIVRWEVIGYIML TVSLLVLSVTLGEGKYHH SPAR_B01400 MLKLSRSANLRLLHDSSRFQLRTARMSGNGAKLLTQRGFFTGTR LWQPNGKKPLSRVPVGGTPIKDNGKVREGSIEFSTGKAIALFLAVGGALSYFFNKEKH RLETQKEAEANRGYGKPSLGGPFHLEDMYGNEFTEKDLLGKFSIIYFGFSNCPDICPD ELDKLGVWLNTLSSKYGITLQPLFITCDPARDSPAVLKEYLSDFHPSILGLTGTFDEV KNACKKYRVYFSTPPNVKPGQDYLVDHSIFFYLMDPEGQFVDALGRNYDEKTGVDKIV EHVKSYVPAEQRAKQKEAWYSFLFK SPAR_B01410 MTRNPFMVEPSNGSPNRRGASNLSKFYASANSNSRWANPSEESL EDGYDQSNVFQGLPASPSRAALRYSPDRRHRTQFYRDSAHNSPVAPNRYAANLQESPK RAGEAVIHLSEGSNLYAHGNADIPVDPYHLSPQQQPSNNLFGSGRLYSQSSKYTMSTT STTAPSLAEADDEKEKYLTSTTSYDDQSTIFSADTFNETKFELNHPTRQQYVRRANSE SKRRMVSDLPPPSKKKALLKLDNPIPRGLLDTLPRRNSPEFTEMRYTACTVEPDDFLR EGYTLRFAEMNRECQIAICITMYNEDKYSLARTIHSIMKNVAHLCKREKSHVWGPNGW KKVSVILISDGRAKVNQGSLDYLAALGVYQEDMAKASVNGDPVKAHIFELTTQVSINA DLDYVSKDIVPVQLVFCLKEENKKKINSHRWLFNAFCPVLQPTVVTLVDVGTRLNNTA IYRLWKVFDMDSNVAGAAGQIKTMKGKWGLKLFNPLVASQNFEYKISNILDKPLESVF GYISVLPGALSAYRYRALKNHEDGTGPLRSYFLGETQEGRDHDVFTANMYLAEDRILC WELVAKRDAKWVLKYVKEATGETDVPEDVSEFISQRRRWLNGAMFAAIYAQLHFYQIW KTKHSVVRKFFLHVEFLYQFVQMLFSWFSIANFVLTFYYLAGSMNLVIKHGEALFIFF KYLIFCDLASLFIISMGNRPQGAKHLFITSMVILSICATYSLICGFVFAFKSLASGTE SHKIFVDIVISLLSTYGLYFFSSLMYLDPWHMFTSSIQYFLTLPAFTCTLQIFAFCNT HDVSWGTKGSTQESKQLSKAIVVQGPDGKQIVETDWPQEVDKKFLEIKSRLKEPEFEE SSGNEKQSKNDYYRDIRTRIVMIWMLSNLILIMSIIQVFTPQDTDNGYLIFILWSVAA LAAFRVVGSMAFLFMKYLRIIVSYRNKVEGSGSWEVSKLDLSNVFHKKG SPAR_B01420 MLSRIVSSNATRSVMCHQAQVGILYKTNPVRTYATLKEVEMRLK SIKNIEKITKTMKIVASTRLSKAEKAKISAKKMDEAEQLFYKNAETKNLDVEATEAGA PRELIVAITSDKGLCGSIHSQLAKAVRRHLNNQPNADIVTIGDKIKMQLLRTHPDNIK LSINGIGKDAPTFLESALIADKLLNVMKAGTYPKISIFYNDPVSSISFEPSEKPIFNA KTIEQSPSFGKFEIDTDANVPRDLFEYTLANQMLTAMAQGYAAEISARRNAMDNASKN AGDMINRYSILYNRTRQAVITNELVDIITGASSLG SPAR_B01430 MVAISMIWFFTKRMPRIFALAFNLISIFLLIFLLIGCYNPSNQS TFLVKYKFDDNSPFYSIIEKSYEKSNTTIGLEEVIIRSGYMGVCIDNIPSQYSSYNNM TTFSNSICYARKNLSSVPLYRDLEIQLSNIASSSSKTQSSVVLNILKLAQLTSVNVIH PYVLMTTVILTILMFLFILYVTVPKLPFKLAVNRFLLLLSPTIVLTWGIGAMWTHVGI NASYRLVPSSSMNIITVKKGKKAATMAWFSFAFLLLDSVILWLIFLRDRKSLKEEIDN VPCAQGRYNNYSSDSSTLHSKV SPAR_B01440 MSPIQVVVFVLSRIFLLFFRLIKIIITPIQKPLGYLFGDYFKEL DRRYGFKEDWYIIPYFLKSVFCYIIDVRRHRFQNWYLFIKQVQQNGDHLAISYTRPMA EKGEFQLETFTYTETYDIVLRLSHILHVDYNVQAGDYVAIDCTNKPLFVFLWLSLWNI GAIPAFLNYNTKGTPLVHSLKISNITQVFIDPDASDPIRESEEEIKNALPNVKLNYLE EQDLMHELLNSRSPEFLQQDKIRTPLGLTDFKPSMLIYTSGTTGLPKSAIMSWRKSSV GCQVFGHVLHMTNESTVFTAMPLFHSTAALLGACAILSHGGCLALSHKFSASTFWKQV YLTGATHIQYVGEVCRYLLHTPISKYEKMHKVKVAYGNGLRPDIWQDFRRRFNIEVIG EFYAATEAPFATTTFQKGDFGVGACRNYGSVIQWFLSFQQTLVRMDPNDDSVIYRNSK GFCEVAPVGEPGEMLMRIFFPKKPETSFQGYLGNAKETKSKVVRDVFRRGDAWYRCGD LLKADEYGLWYFLDRMGDTFRWKSENVSTTEVEDQLTASNKEQYAQVLVVGIKVPKYE GRAGFAVIKLSDNSLDIPAKTKLLNDSLARLNLPSYAMPLFVKFVDEIKMTDNHKILK KVYREQKLPKGLDGNDTIFWLKNYKRYEVLTAADWEAIDSQTIKL SPAR_B01450 MLHQKITHKVREVVVPGISLLIFFQGCLILLFLQLTYKTIYCRN NVRKQIGLNKTKRLFIVLVSSILHVVAPSAVRITTENSSVPKGTFYKDLKKNRILSHL KSNSVTICNHQIYTDWIFLWWLAYTSNLGANVFIILKKSLASIPILGFGMRNYNFIFM SRKWAQDKITLSNSLAGLDSNARGVGSLAGKSPERISEEGESIWNPEVIDPEQIHWPY NLILFPEGTNLSADTRQKSAKYAAKIEKKPFKNVLLPHSTGLRYSLQKLKPSIENLYD ITIGYSGVKQEEYGELIYGLKSIFLEGRYPKLVDIHIRAFDVKDIPLEDEHEFSEWLY KIWSEKDALMERYYSTGSFVSDLETNHSVTDSFKINRIELTEVLILPALTIIWLVYKL YCFFF SPAR_B01460 MQASSSQSNIGSLRSNYSDNSLPNNQVIIHGEESSSSLHSERND YDYEKNKLETAASDSHERKDNQLSRLKDEEYVVPKSERRGLLPQLAIIPEFKDARDYP PMMKKMIVFLIAFSSMMGPMGTSIIFPAINSITTEFKTSVIMVNVSIGVYLLSLGVFP LWWSSLSELEGRRTTYITSFALLFAFNIGSALAPDINSFIVLRMLCGAASASVQSVGA GTVADLYISEDRGKNLSYYYLGPLLAPLLSPIFGSLLVNRWPWRSTQWFMVILSGCNV ILLTVLLPETLRKQDSKGAIAQILAERRIQVDNSEQQEIQDDYQRGEDGADQIENQAA TLSTEKHNYVGEVRDRDSLDLESHSSPDTFDGRARETQLQRIYTEASRSLYEYQLDDS GIDATTAQVTRIRSTDPKLARSIRENSLRKLQTNLEEQVKKVLSSSGGEIAPKEVSAA RKVWDTFFIYFIKPLKSLHFLEYPPVALAITFSAISFSTVYFVNMTVEYKYSRPPYNF KPLYIGLLYIPNSVTYFFASIYGGRWVDMLLKRYKEKYGILAPEARISWNVVTSVISF PIALLIFGWCLDKKCHWVTPLIGTALFGYAAMMTIGATLSYLVDSLPGKGATGVALNN LIRQILAATAVFVTTPMLNGMGTGWAFTMLAFIVLGASSVLIILKKHGDYWRENYDLQ KLYDKID SPAR_B01470 MLKNCLRKLGDYQTRCSIKTLHTPVYRTKNLQVLRDMLSGIKLL EKIITSSSYNKTLIYEPKYKSRPQVVSSHDTLRLQNVMRELVDSLQVDEAINTRLQSN RSRKLGRVGLQLFMDCIRENLTSTSTSLTCSLLEYYFKYPEKEVINGIKTGLRYIRDF LEKNKIMVKSQNNIVALVEQFALSSSDSQSVKRVLKAIDYELFSDDIVRVINGKKTYD EVDIFKGWKYPAGILDSNESYLRSLELPTKKLVSIEKDMLVLMYDGTLRDANKILPTI TYARKLKKSVLLIVNGDCTGDALTSITINNNRNKRENNESRIVVVKYSEKANNNLALQ ENHDFIKFLRLPCGYDSIYSPEYSPLVPSKMCADKYYGNVESIKATTGEAFLYNSIGT EALSNKVPKSFLQKTVTLSIGGHNEIEIDQRRNALDNFLNNTLCHGLAKGFIPAYGVS LLKTVPGLNRLKANESNFMTKVGIDAVLSAVVLPSEVAFKNVYGYNYYEISSLIADTI NEKSFQLAKCSPNSEPMNTVKGGSLEPWNKMDSCLAGVETFIELLTSCNTIITCVYKK PERHR SPAR_B01480 METVLQPKARPFESLKRRRFREWLRPSTAHGSLLHSDALDLRDF AKPNPTETFSNLNPAHGPLVTTPIKYKCQDGKSSFFRGDTKFETLFSNRKFYEFKDNL KRGLKKIRHGRNGHQNEKSCPAAEETKKSEPGNMYKADDDTPCFNRFHTNSKEFETQF DYSNKSQDSDKAYLDSESCWNLSERFIPFNNLKYEDLKHFEENLQSLAPATFTPIESN ESLDRSDSMRGTKRSIRNDSSDTTSEKRLCLKQYSNESGSDHSMESTPSIYITKELQQ KIEVLSSTDSFLIEKVDFPSTNIIGSSTSDNESDNECINMDQESINNVATENDENMIV TDSNISTVGAMEKPIEVSSALKDDTLDKDIDDASSSYSDDVETTFEPIESQELSGLSE TSSSESSKIYTIPTFRGITNQINISQILSKVHKGDLSQDNLTHLIKSHKNKKRCVNFR NKRFYDAFNPYVDNEEEVELSDSENSSEMDTDLGINDRSTSSVRFDENSRLLIYKKFK KLNKDGSQSGYSTTETRSILKAKMNLQQDEESQRASKCDTVGVAQFLHYFQYTEYKRQ RNEAENYRLRGEQLSKYYSEEYPLDIAAVGCEGSVNDKSGIISSMRATERNIGRQLKG ISSQGAQIISLDEDVF SPAR_B01490 MKCTIPETQKVVLIDEIGGYDVIKYEDYPVPSISEEELLIKNKY TGVNYIESYFRKGLYPCEKPYVLGREASGTVVAKGKGVTNFEIGDQVAYISSSTFAQY TGISSQGTVMKLPKETSDEKLKIYAAGLLQVLTALSFTNEAYHVKKGDYVLLFAAAGG VGLILNQLLRMKGAHAIAVASTDEKLKIAKEYGAEYLINSSKEDILKQVLKITNGKGV DASFDSVGKDTFEITLAALKRKGVFVSFGNASGLIPPFSITRLSPKNITLVRPQLYGY IADAEEWKYYSAEFFGLVNSKKLNIKIYKTYPLKDYKVAAADIESRKTIGKLVLEIPQ SPAR_B01500 MLINRLSKIRPVKHFSTIKPILSKEVSRRVIVAPASHFKTSNPN AKSNIPIHEYKQLPEDSNYIEKHYKELQIFLNEFLIKKLNKTYADFEGDPDELVFQLE KFIELEVTPKYTDHSAPDGCEGRFKSIGDRIVVDRYLDFVKDVRLTLLLNGGHSFIFD VMLQAKEVFDKMQKE SPAR_B01510 MSTELTVQSERAFQKQPHIFNNPKVKTSKRTKRWYKNAGLGFKT PKTAIEGSYIDKKCPFTGLVSIRGKILTGTVVSTKMHRTIVIRRAYLHYIPKYNRYEK RHKNVPVHVSPAFRVQVGDIVTVGQCRPISKTVRFNVVKVSAATGKANKQFAKF SPAR_B01520 MPSGHNDKNANQESVEEAVLKYVGVGLDHQNHDPQLHTKDLENK HSKKQNNVESSSDVDVNNNDDSNRNEDNNDDSENISALNANESSPNVDNANSNEQHNA VMDWYLRQTAHHQQDDEDEENNNNNDNGNDSNHFSQSDIVVDDDDDKNKKDASVGVDD DHQSMAMAAVAAAYTLSKNNNNNNDSTNPHKRQHDNENGHDNSQKKQKNNNDDDDRQI GNVDPELTTLGDADDNDTNNDVIDRDQLVHKAIIDADSITQHPDFQQYLNTAADTDDN EKLKHIKDHLMRTHGLNQQNKNDNDDTDDLSNSTKQYSELQKDSMLDNSLNKSRNYME VLPKVISQDTQQHQQKSPSHDNEAGNVDNSEISQLLQSAATKASSLVSLSSSSATPST SRSNNSKAFDKAEDAALERFINEYEAIERLTRQQVCERIWSSDRPKDNFWNNIYKVLP YRSSSSIYKHMRRKYHIFEQRGKWTAEEEQELAKLCAEKEGQWAEIGKTLGRMPEDCR DRWRNYVKCGTNRASNRWSVEEEELLKKVIGDMLEEAQQQQSQLHPNLLEEEQHLLQD DQNDHRNDDEDDDDTASAAAAAAAAIQEQQQLLQQKQQDDDDAIAAAAAAAASSSLGD NNKDEDKPHDSLGIQLDDNSQNSIVPAPSATSTHSKSLSNTIRRHNNKLRKSLMGNGK LDFKDIINWTIVSERMGGTRSRIQCRYKWNKLVKREAIAKIQTVKDDDMLWIFEKLRD LGITEDSQVDWDELAALKPGMKLNGLELKLCYERMKKKVKGYKQKSINEISKELVDYF SSNISMKTEN SPAR_B01530 MRMTLSNCESLDNLFYDPLEEEESSKFIEAVRILMSRNDMRYSS AAANGTYCLRKVKSLNAKQWKINKKRTYILPTVKKKNFDFHEQRSLILNLNLWKFIKF INCGSKNNYKKNDKHERHLNKTVKNGNVLLLQKHKKVDNDQRLENLFWRSWFKARKRK DIVDGPRERHIKFNDNVEQCIITDDHFIQRLPSTRLNSADEPRPCSQSELDSCIGYAA SKRIFYDYSSVYVASDTITATAVRDTATATATAIISSNSGDHRHKHDVRDVPRNVLLG GRKPDFSSVLRVDSDLKLSNISHHSAVKSSSTSSHSTFIFESETDTDTDTDTDTDTDT DTEAETGTETGNDIDTYIDASIPNVLL SPAR_B01540 MPKVAILIYSVDDTIAKLAENEKKGIEIAGGEAEIFQVPDVSYK TENLGEEGEAGGKVAKTNADFSYKILTRETLVEYDYYLFGIPTKFGNFPAEWKSFWDS NTGGLWAKGSLHGKIAGLFVSGAISGKGDTEMCIMNAMSTLVHHGVIYVPLGYKNAYK ELTDTDDVNGSSAWGAGSISGLDGNRPPSVSELKVHQLQGKAFYDRIKDL SPAR_B01550 MGNVGDFEEVILHDLKPYYHFPGAIHSEGITFVKETNTLLWVDI FKGQVHKVEDIKHPESSHSFFRITRANYGKNSPIEYPPNPDELKESVGCIFPILDETS HNEVKQVLFGSKFGIGRLDFSTSEWEYVILYSECPDLSTDRAYKLRSNDGNVSPDGKY IYVGLMSDFPFELEPIGCLLRVDLLAREIKIVWNCLLIPNAIHWDESDQKTMYVTDSL NFTIWKCPGGDLLKRDELIDVKNSNNQSFESPEPDGSAVWFSKDGKHSGFLFITVWST SKVQMFDLANGKLLKEFLLPEQTPRVSCCCFVGKDLFVTTANAEINDTVRTNADKNGG CIYRIPNVLDGNVPLESTKRQPLH SPAR_B01560 MSDYVELLKRGGNEAIKINPPTGADFHITSRGSDWLFTVFCVNL LFGVILVPLMFRKPVKDRFVYYTAIAPNLFMSIAYFTMASNLGWIPVRAKYNHVQTST QKEHPGYRQIFYARYVGWFLAFPWPIIQMSLLGGTPLWQIAFNVGMTEIFTVCWLIAA CVHSTYKWGYYTIGIGAAIVVCISLMTTTFNLVKARGKDVSNVFITFMSVIMFLWLIA YPTCFGITDGGNVLQPDSATIFYGIIDLLILSILPVLFMPLANYLGIERLGLIFDEEP AEHVGPVAEKKMPSPASFKSSDSDSSIKEKLKLKKKHKKDKKKAKKAKKAKKAKKAQE EEENAATDSE SPAR_B01570 MERPSFLDQKPPAGYIPGIGRGATGFSTKEKQALNNDDKGRRIP KRYRESLNLDSQSQPKDDEDDEAENVFKTLELKLAQRKTKRANENNDDNPADASNVKK QFADLKKSLAAVTESEWMNIPDATDFTRRNKRSRIQEQLNRKTYAAPDSLIPGNVDLN KLTEEREKLLQSQIDENIAQLTKNASSHIQVDKPDATTDVLSYLKDLENDRVNSLSDA TLEDLQKMRTILKSYRKADPTNPQGWIASARLEEKARKFSIAKKIIQNGCQECPRSSD IWLENIRLHDSDIHYCKTLVATAINFNPASPLLWFKAIDLESTTINKYRVVRKALQEL PRDEGLWKLAVSFETDKTQVVKMLEKATQFIPQSMDLLSIYTNLQSYHNAKMTLNSLR KILPQEPKIWIMAALLEERNNPDIAVDRLVSLLKNGLLELSKNGYKATLSTWLKRAEA LGDTPNSNLTCQAIVYAVLESVKESGGHGSELDNIDQILEKLPHSMVQIVILKKLIQW DPSNIPLWSSLKMATESYHGIEELLAFFQELLFHSKNSNNIRASMREKSPSLFMMYVR EYWKAHKWDARQTLNLIDQIIDFAPHNLDLRFFKIKLLGHSLQHNELRVFFHQTFTSL KDSNINGIESLYYKYVNFLRYQDLNQEAIKFLNERCLKLFPNCRKFFLQLGQIYHSIG NIEMSRQTYLSGTRIVPNCPLIWVSLAKVDEIDLKNPVRARSILDRGLLKNPDDALFY IAKIQMEIRLGNLDQAELLVTQALQKFPNNALLLVEQIKLLKHGNRNSSKKTVFQDAL KRTQNDHRVLLEIGISFYAEAQYQTSLKWLERALKKSSDYGDTWVWLFRTYARLGKDT VDLYNMFDQCEPTYGPEWIAAVKNVKLQYCTPREILLHLINDK SPAR_B01580 MIGSLRNKFEHFKVSEKGGRQLSATLPKLLPAKDLDRSTIYKYR YNYGVNLGALFVLEPWIFSKETVCTVGGKEYDSEFDAISQQLKKHSSEEVAKMLSDHY TKYINRIDWEWLSKDAHITALRIPIGYWHVEDGKHLDSLPFAPLRKVYELAKPWEKLA ELINTAKKMSIGVLIDLHGLPGGANCDSHSGSKSGEAKFFHKEKYMTKVYKDILPAII KTMTLENENVIGIQVVNEACFDNNPKGQKFYYSEAINTIEELQPGLPVVISDGWWPQQ WADWVKEKDFGEIVVIDSHVYRCFSDSDKSKDANTIIKDLVNTVNFPREDADYTVGEF SGVLDGQTWDKTSSDRDATVQKYVQAQADVFSHVASWGWFFWTLQFEYGDGGEWGLAP MMQKGNLPKRPQGNDLRIDKKKIDSIIQEHETYWNGKGKNFEHWRFEDGIKTAVDDMI AFRKFDNSLIGRWHSWKSQRRAEYISAKKDSEFMWEWDQGYQRGLDEFNKY SPAR_B01590 MNYMAYDYDPQHSLETSFNNLAFHPHQQPQQQALYESGERNDAR PGLMNTLGQTNKMNNSMLPQGSSASPLTGQHSLNGTANFNMPASMNTYNYQNVPQAPM RNTINHNNIMNGATANDYWLDPMNNMTNNKDTNGNPNDSMSSMSNMTAKTSINSTAFK NSFVPFNHVTALSMNDINSNEINSNKDDRMETLSVELQIKESQIESLENEIQRLKKIF NEGLNYKQNEYKYEKENCHIPQTFELPASLEVIFRKLSSSLRAKEKELAETKENLESI LTALALNPTNSVTKYGRYDAESIAHKMVVRLENLTNENKEMAKMLAYGRSKETQIELQ LAKKENLELREKIASLEAHLASEESSKENVAN SPAR_B01600 MAEEILENITIPAVVSKDECIYCFESPYNEPLALNASPKHSLNI CLNCFQATCNRHLPLHTRVTEYVCDSLHSNYLTIAKVEKPKQETADENNNNKKIKLQV IERSEDETHNTIWSLQRFNNENIPRTVLLKSTDSDISSMSLEKVEKILRAKSQDFEDK KNSWELEISTCPHTENFQIPTKPENAINLNQCSSCDLTQNLWLCLHCGNIGCGREQIG IDGHSHALDHYRSNNNHPLAIKLGSLSSSTCDLYCYACDDETRFPDNVNLGSALQIYG INIQEKIADEKTLIQLQVEQNENWQFRMVDSSGKEFEKLFPSKDYGCGLINLGNSCYL NSVIQSLLNGGVPNWSLDFLGSKFPLDVVYPGNNLKCQLIKLLNAMKCEPELYPNGIK PTTFKKCIGQNHEEFSSNRQQDAMEFLTFLLDLLDRKFFSSNSSGSPNPNDLVRFMME DRLQCNSCGKVKYSYEPAEAIQIPLEENDEPQDLLERIKAYFEGQTIEFKCANCKEKV TANKRPGFKSLPQTLVLNPIRIRLQNWIPVKTSNELSLPGLMDRDDVLDVSSYLSQGF NPQSEDLLPDEDENQTSFTPNQCSVSQLIEMGFTQNASVRALFNTGNQDAESAMNWLF QHMDDPDLNDTFVPPPTGPKKGKGEVDEVSLTSMLSMGLNPNLCRKALVLNNGDVNRS VEWVFNNLDDDGTLSEPEVPTEQQQQQQRDLGYSTAKPYVLTAVVCHKGNSVHSGHYV VFIRKLVANKWKWVLYNDEKMVAAESIEDMKKNGYIYFYTRC SPAR_B01610 MTQHKSSMVYIPTTKEAKRRNGKSEGILNTIEELVEKLYWTYYI HLPFYLMASFDSFFLHVFFLTIFSLSFFGILKYCFL SPAR_B01620 MSITNGTSRSVSAMGHPAVERYTPGHIVCVGTHKVEVVNYLAEG GFAQIYVVKFLEYLNEFDNTASVPLKIGDVACLKRVLVQDENGLNEMRNEVEVMKKLK GAPNVVQYFDSNASRRRDGVQGFEVLLLMELCPNKSLLDYMNQRLSTKLTESEIVKIM YDVALSISQMHYLPIPLIHRDIKIENVLVDAKNNFKLADFGSTSTCFPIVTTHQDIAL LTQNIYVHTTPQYRSPEMIDLYRCLPINEKSDIWALGIFLYKLLFFTTPFEMTGQFAI LHSKYEFPVNKYSSKLINLIIIMLAENPNLRPNIYQVLYHLCEILNVEVPIEDKYTEG AYNFSKYTQFQNKLQNVQLQMYQLQQKKIMQNNKLSDSEENLLNDMFLSSFEISSKLP MNVSDGPAAVSRISSQKVGKEVEEEKESHSDQRKSTLPEDKSSRLTSNVNSSGTPNNP QEINTIQSPGIEDKSIFENKTPGELYYPSVSELDTYLDKELVKQSSDPTVSEQSPRLN TQSLPQRQKSTSSYSSGGRSMKSTSYGAATIGSDEALTNEKTPGINKMKQHKSNNPFP KMNVAYHSTNELSNDASNFFLEEQQQGQRYQQAQNQTGTQGNTYPDESQYQSRVEQHQ QQQDQPKAPANYNQRNFYTGRDRTNKPMQLGGTVAADSGNRRVNFQNISQNYATNSQS GYLSGQNNSPAIPMVRPVIPMNPQQAQQIQAQQLQAQQMQAKQQMQAKQQMQAQQQLQ AQQQMQIPNANNNGTYVSDRTNQATEDVRNAQVGEPPILAGNSANEPMHLSSKNEGLL IELSPLKEDAGKQSFQDENGPQAGTMEDGGASGTKGLNNHRNGILNLSLNEMDLSRDD TGAAVSSFSSSSSSTSIQQAKLSGRKGSSKRNNYSTDELEDSMVSSESIDIDLDDARR GKTSERRPLHNERGHKDQSRSSDGNKSNQFKNKDFSSVTTRQPRQSLDLNFQEVNLSS PTLTQEHRNKNDSSAPQPHHSYRVPPHASTAISENKRHSTGHELSTRSSGKHETHRTG SKQRHDLERYRQSKDKDSNSSITISTSTPSEMRKSFARARQSLDLERVRREAMASNGS NSGGSNGKRRSFFSVFRSEK SPAR_B01630 MLNGEDFVEHNDILSSPTKSRNATPKRVDTHGERQLRRIHSSKK NLLERISLADNESRNTSPDPAFKSNTPSKAPRKRGRPRKIQEESTDRIEGSEEETSSS KKKRKLREDRSDNVNEESQTPNSRQAMEETKREGKKQSEKIQVVTTTYENNATSPTDN NFASNSPEPPEPATPSKKSLTTNHDFTSPLKQIIMNNLKEYKDTTSPGKLTLSRNFAP TPVPKNKKLYQTSETKSASSFLDTFEGYFDQRKIVRTNAKSRHTMSMAPDVTREEFSL VSNFFNENFQIRPRQKLFEIQKKMFPQYWFELTQGFSLLFYGVGSKRNFLEEFAIDYL SPKITYSQLAYNNVSQQRESVNAIPCLILNGYNPSCNYRDVFKEISDLLVPAELTRSE TKYWGNHVILQIQKMIDFYKNQPLDIRLILVVHNLDGPSIRKNTFQTMLSFLSVIRQI AIVASTDHIYAPLLWDNMKAQNYNFVFHDISNFEPSTVESTFQDVMKMGKSDTSSGAE GAKYVLQSLTVNSKKMYKLLIETQMQNMGNLSASTGPKRGTQRTGVELKLFNHLCAAD FIASNEIALRSMLREFIEHKMANITKNNSGMEIIWVPYTYTELEKLLKTVLNTL SPAR_B01640 MSQQDSATAASDSVANLHLDETTGEMVSKSELKKRIKQRQIEAK KAAKKASAQPKPASKKKTDLLADLDPSQYFEARSRQIQELRKTHVPNPYPHKFHVSVS NPEFLAKYAHLKKGETLPEEKVSIAGRVHAKRESGSKLKFYVLHGDGVEVQVMSQLQD YHDQESYERDHDLLKRGDIVGVEGYVGRTQPKKGGEGEISVFVSRVQLLTPCLHMLPA DHFGFKDQETRYRKRYLDLVMNKDARNRFITRSEIIRYIRRFLDQRKFIEVETPMMNV IAGGATAKPFITHHNDLDMDMYMRIAPELFLKQLVVGGLDRVYEIGRQFRNEGIDMTH NPEFTTCEFYQAYADVYDLMDMTELMFSEMIKEITGSYIIKYHPDPADSAKELELNFS RPWKRINMIEELEKVFNVKFPSGDLLHTAETGEFLKKVLVDNKLECPPPLTNARMLDK LVGELEDTCINPTFIFGHPQMMSPLAKYSRDQPGLCERFEVFVATKEICNAYTELNDP FDQRARFEEQARQKDQGDDEAQLVDETFCNALEYGLPPTGGWGCGIDRLAMFLTDSNT IREVLLFPTLKPDVLRDEVKKEGEEK SPAR_B01650 MGKSSKDKRDLYYRKAKEQGYRARSAFKLLQLNEQFHFLDDPNL KRVVDLCAAPGSWSQVLSRKLFDESTNSDKEDRKIVSVDLQPMSPIPHVTTLQADITH PKTLARILNLFGNEKADFVCSDGAPDVTGLHDLDEYVQQQLIMSALQLTACILKKGGT FVAKIFRGRDIDMLYSQLGYLFDKIVCAKPRSSRGTSLEAFIVCLGYNPPSNWTPKLD VNTSVDEFFQGCFLNKLCISDKLSPWNEEERNIAEFMACGSLESFDSDATYHDLPSSI AGSSSSLDPVQSPTNPPYKKALELKRSGKLTRSV SPAR_B01660 MSTYEEEHGIQQNSRDNNQEVGETSQEEQRRQVRSQLQGLFQNF GNSDGDGDGYSDSTLLLQLLSQLLPESLQEEWLQEMDKGKNAGCPDTFAASLPRINKK KLKITDNCSICYTNYLEDEYPLVVELPHCHHKFDLECLSVWLSRSTTCPLCRDNVLGH RVINEIDTTEAELEEDWGMYG SPAR_B01670 MEELSLKSTFPYEYGSDFRMTRLEILNSTKKETTLLFFNIGRIL ASIWKYSCTFLRSFSDSIKVIIHDVVTIGSRDLATRLQIEAQKNNDQEDIWASTVFLG VIIGYLIFSFRGKNAFLKIHKNSTEVDECSLKTSEHISIVINFSENNLSNRGNIADQK NSEEFMVPHLKESVIDILIPNMVTVIQSDENLIYDVFDEYDLLSHDNTTEALVRPYQS NPDYEAMGSGISIDSANETRLRNIERSIGKGEKMVKKSIGCNVSLLHHSEQSAPKNNA ITRSSSAQDNAGPVTGEVNDMCKSFLIMGTRLGNELFLTMFMKEPVFLGNSVSITEIG VFREKRERLDAVTCKSLTPYDELRSMTRNYNLRKIESQRNRSTKNNNSVPPKKLLMNE KDAISILLWYSTRI SPAR_B01680 MNDEINEPSPNICEQCLGDESNIRMTKIPQGSECKICTLPFTLY HFKTSKRSNNIIKTLICVRCATQRNICQCCMLDSRWHIPIQLRDHLISIVNEENVMTE EARNDMMKRFLSLKNVKLGGAQITSDASEADNIVDKLKNILLRAASDDSSPPLEIKDT TALHKNEKDMTDVKNLGKFVSVDISHVLKKIPLNESFSKDASTRSFFLYNIDASIPEW KIADTISQLLGIKKWSDGNSLTLVVNHKAKCGGVRFQSIELGERFVNKISDTLTTPKG LKRGVLPIDRFRIFIIPWSSGFSAASFGANTAENIKLSLSLNKLIQLELGLPFPTKDA DNPKKEKKKTSKKVHKAKSKKSKPRANKLTI SPAR_B01690 MSIGYKDNLMTTILAKNGKCEFPMTFECSPSQITLMPEMFSFSN ERKYQTLIPLMKTSHLIDDDLKDKLNKCAFDFFSGKQASKTSDTTISSLTESGKTSPI SPLHNINIVKAENTGNSKSDIYGTTKINKPTKTVMKLKSTKTSATGQRTRHFCKICST GFTTSGHLSRHNRIHTGEKNHICPHEGCGQRFSRHDNCNQHYRTHANKKKRNWKRRET SS SPAR_B01700 MSVSKIAFVLSAIASLAAADTTAAQTAELQAIIGDINSHLSDYL GLETGNSGFQIPSDVLSVYQQVMTYTDDAYTTLFSELDFDAITKTIVKLPWYTTRLSS EIAAALASVSPASSAAPSSSATPSSSAAPSSSAAPSSSAAPSSSAAPSSSAAPSSSKA VSSSAAPTTSSVSTSTVETASNAGQRVNAGAASFGAVVAGAAALLL SPAR_B01710 MPSSEDFGSPGKKEPSPDSISIRSFSAGNNFQSSSSEKTYSDQK SSRDKLIHRFADSFKRAERCTTKTKQINENTSDLEDGVESITSDSKLKKSMKSRHVVM MSLGTGIGTGLLVANAKGLHYGGPAALVIGYILVSFVTYFMIQAAGEMAVTYPTLPAN FNAYSSIFISKPFGFATVWLYCFQWLTVLPLELITASMTIQFWNDKINPDIYILIFYV FLVFIHFFGVKAYGETEFIFNCCKILMIAGFIILSVVINCGGAGDDGYIGAAYWHNPG AFAGDTSTGRFKNVCYILVTAYFSFGGMELFALSVQEQSNPRKSTPVAAKRSIYRIVV IYLLTMILIGFNVPYNDDQLMGAGGSATHASPYVLAASIHGVKIVPHIINAVILISVI SVANSSLYAAPRLICSLSQQGYAPKFLDYVDREGRPLRALIICCVFGVIAFVAASSKE EIIFTWLAAIAGLSELFTWTSIMLSHLRFRQAMKVQGRSLDELGYKATTGIWGSVYGF FFNILVFVAQFWVALAPLGNGGKCDAESFFQSYLAFPIWLAFYLGYMVYNRDFTLLNP LDKIDLDFHRRVYDPELMRQEDEENKEKLRNMSLRRKAYHFWC SPAR_B01720 MVSRNDGDSFLVDEASLAPCSHSLHERRLSEKQKRDFTITEKQD EASEQPVKARRTDSKSKLQSKCKKIFDSFKRQLPPNPNSELESQEKNNLTKSIKSRHL VMISLGTGIGTGLLVGNGQVLGTAGPAGLVLGYGIASIMLYCIIQAAGELGLCYAGLT GNYTRYPSILVDPSLGFAVSVVYTIQWLTVLPLQLVTAAMTVKYWTSVNADIFVAVVF VFVIIINLFGSRGYAEAEFIFNTCKILMVIGFVILAIIINCGGAGDRRYIGTEYWHNP GPFAHGFKGVCTVFCYAAFSYGGIEVLLLSAAEQENPTKSIPNACKKVVYRIVLIYML TTILVCFLVPYNSDELLGSSDSSGSHASPFVIAVASHGVKVVPHFINAVILISVISVA NSSLYSGPRLLLSLAEQGVLPKCLAYVDRSGRPLLCFFVSVAFGCIGFVATSDAEEQV FTWLLAISSLSQLFIWMSMSLSHIRFRDAMAKQGRSMKEVGYKAQTGYWGSWLAVLIA VFFLVCQFWVAIAPVNEHGKLNAKVFFQNYLAMPIVLFAYFGHKIYSKSWSFWIPAEK IDLNSHRNIFVPSRLTEINKVDDDDELKEYENSESSVNPNSSRSRMFFKKMVNFWC SPAR_B01730 MNTAYLASLVLIVMTAYVIRLVTILPFFHTQTSLKKDTKDEASI LRIQKPSKKPLRIFVFLGSGGHTGEMIRLLENYKDLLLNESIVYLGYSDEASRQRFAS FIKKFSRCKVQYSGFMKAREVKATFLQSVKTIVGTLVQSFVHVVRIRFAMCGSPHLFL LNGPGTCCIISFWLKIIELVVPLLGSSHIVYVESLARINTPSLTGKILYWVVDEFIVQ WQELRDNCLPRSKWFGILV SPAR_B01740 MLRRSKNSSTNADTKKRQSMHLGSKSSLISLSSEFSHGHSKTKQ KKEESIPPSSFLSVTHKKKTSTQSKLKRSSLLLDETLLKDYHSAMRHMQTNAAKEEKL RMASSPTQSTRSESDASLSSSKSSISSIFSQDKDYSIHDLLYEDIEEMDKTTDAFKVN NTIAIDDSKALFVFCSNESPSKAASIETLHELNLDTLDMGSSRRTSLDFF SPAR_B01750 MSFNSPFFDFFDNINSEVDAFNRLLGEGGLRGYAPRRQVANAPA KDSTGKEVARPNNYAGALYDPRDEALDDWFDNDLSLFPSGFGFSRNVAVPVDILDHDK NYELKVVVPGVKSKKDIDIEYHQNKNQILVSGEIPSTLNEESKDKVKVKESSSGKFKR VITLPDYPGVDADNIKADYASGVLTLTVPKLKPQKDGKNHVKKIEVSSQESWGN SPAR_B01760 MQIPKYENKPFRPPRRIGSSKNAELKSTTSTVTTAPIPKAEVTT NLKRNISAGTPLNVAKKPNNLASNEAIRYFTIMYRKPTTKKHKTWSGDGYATLKVNSS KLGFYNEAGKLLGSSTLPSDSASLFETLFKAGSNEVQLDYELKESTEINSAKKALSQA VGNTSSITTSATVTVPSTKNDGGKYQMPLSQLFTLNTVKKFKSVTKQPSEHMTTLTKN NQNSQARKYYPVFDVSKIDNPLIMNKNAAAEVDVIVDPLLGKFLRPHQREGVKFMYDC VMGLARPRIENQNIDCTTKSLVLENDSDISGCLLADDMGLGKTLMSITLIWTLIRQTP FASKVPCSQSGIPLSGLCKKILIVCPVTLIGNWKREFGKWLNLSRIGILTLSSRNSPD MDKMAVRNFLKVQRTYQVLIIGYEKLLSVSEELEKNKQLIDLLVCDEGHRLKNGTSKI LNTLKNLDIRKKLLLTGTPIQNDLNEFFTIIDFINPGILGSFGSFKRRFIIPITRARD TANRYNEELLEKGEEKSKEMIEITKRFILRRTNAILEKYLPPKTDIILFCKPYSQQIL AFKDILQGARLDFGQLTFSSSLGLITLLKKVCNSPGLVGSDPYYKSHIKDAQSQGSYS RSLNSGKLKVLMTLLEGIRKNTEEKVVVVSNYTQTLDIIENLMNMAGMSHCRLDGSIP AKQRDSIVTSFNRNPAIFGFLLSAKSGGVGLNLVGASRLILFDNDWNPSVDLQAMSRI HRDGQKKPCFIYRLVTTGCIDEKILQRQLMKNSLSQKFLGDSEMKNKGSSNDDLFNKE DLKDLFSVHTDTKSNTHDLICSCEGLGEEIEYPETNQHQNAVELKKSGIATWTSALEL QKEMNEAATNEDAKKSQSIRQCLVHYKHIDPARQDELFDEVITESFSALKDNITFAFV KPSEICLKEQ SPAR_B01770 MKLKSLFRSVLKYRKTNLSLLLLITYSIIALLYIFDHERYKLSL PKEGEHPEFKGLLETAWGDLQIITASFHPYTSKENDKVHDYLLRRVLEITGNSSFASV SDDKESKRSILFQQQDPFNESSGFSRITYFESSNILVKLEGKNPKEEGLLLSAHFDSV PTGYGATDDGMGVVSLLANLEYHIKHRPSRTLIFNFNNNEEFGLLGASTYFDHPWSAL TKYVINLEGTGAGGKAVLFRTSDTSTARIYQQSVEENPFGNSIYQQGFYSRYVRSETD YKIYEQNGMRGWDIAFYKPRNLYHTMKDSIQYTSKASLWHMLHTSLQLSAYVVSNPLD TADKSPACYFDFIGLKFFVMSARTLFYWNCIFLLVSPIVAIGLYLIARDRITWKSYSW LSWIRFPLSLAAGIVVQKLFSNDIIRSNPLTFSRNYFWPVSAFLTEILLTSYILINCS NFFFPCDDMKSLSIIELFIILWAVLLFTSKLLYSSDYRYTGLYPLSIFFLLTAIAAIL KLLGLALEAKTRKEAGREFMDHRFNYSSHSQIDIEQDSQENVEQPQNQFPSSQDEQTS TQDDNISTTLAGSSHSVNDDHEMDSSSQQRDERVPLLKGSSHIEQALNSTEDSPKLEY TDYAWIIQYLLIVPISSFVLFNSVDAIMDALNHTVQEGSKATFDVIRFGMVGGILIAL PILPFFYKVNYITVSLTVLLFLISASKTLLESPFTDNNPLKVRFSQNIDLSQGNAASV HLLGREGNLLKPMLEDLPSIKYSSTHINCTSMTNGMELCTYDGMQPNLLSTNGNANIS SMVKIHILHDNRNSMERSPYEPIVAELLLEAKENRACTLSFESRHQAKSPVREITVYQ EKNTGSQHANITKTIKSGSGINELQLHKLDFDQETYHIGVQWFPKLLTDGNLEDDNMS TKDELSVAISCYWGEYDSESVVNGTAVRKIPAFDELINYAPPSFSFTNEQKGLVIVKD SIIL SPAR_B01780 MDYGYFFPAQHIEDTNGVDFWIDSNAEFTQSKRPDSSTSTLTRV LTDTTNVSNNSGSLKRKPIKNKKLPQRKILNNSEYFDFGKANTDCKHVFKSISKQLIF LPRCFQHHSIRGWMKDRYSEFGYKIKRNQNCPPSACVQALYSTSRSNNKEPNASDLDS LIIYKFMRYSEKKKELMCRFCQGSNWIVAENYLKHLFFAHGILSEFKPHTLYHFESKL LKIQENLNFKVQVLKEPEFSKKVLSSLTVSIIPSPLTYYTQTLNGGFRRIHVKCPRCE NWIRLGWCEYDEIIRDSFQDFESLRNLNADYNGMSYIQARNREDIEGIYENYFTHYIQ CDLAKFRTKCLYVQVITKNN SPAR_B01790 MVMLHSKNVKAFLENTLKPYDLHSVDFKTSSLQSSMIITATNGG ILSYATSNNDVPKNSINEINSVNNLKMMSLLIKDKWSEDENDSVEQSSDSCYPVEIDS FKTKIYTYEMEDLHACVAQIPNSDLLLLFIAEGTFPYGLLVIKIERAMRELTDLFGYR LG SPAR_B01800 MQFKNALTVALLSASALAANSSTSIPSSCSIGTEATATAQADLD KISGCNTIVGNLTITGDLGSAALASIQEIDGSLTIFNATSLSSFSADSIKKITGDLNL KELTILTSASFGSLQEVDSINMVTLPAISTFSTDLQNANNIIVSDTTLESVEGFSTLK KVNVFNINNNRYLNSFQSSLQSVSDSLQFSSNGDNTTLTFDDLVWANNITLRDVNSIS FGSLQTVNASLGFINNTLPSLNLTQLSKVGQSLSIVSNDELSKAAFSNLTAIGGGFII ANNTQLKVIDGFNKVQTVGGAIEVTGNFSTLDLSSLKSVRGGANFDSSSSNFSCNALK KLQSNGAIQGDSFVCKNGATSTSVKLSSTSTESSKSSATSSASSSGDASNAQANVSAS ASSSSSSSKKSKGAAPELVPATSFMGVVAAVAVALL SPAR_B01810 MAPPPFRPENAIKRADELISVGEKQAALQSLHDFITARRIRWAT PSTVEPVVFKFLEIGVELKKGKLLKDGLHQYKKLIQGSTEGLVSVGAVARKFIDLVES KIASEQTRADELQKQEIDDDLEGGVTPENLLISVYESDQSVAGFNDEAITSWLRFTWE SYRAVLDLLRNNALLEITYSGVVKKTMHFCLKYQRKNEFKRLAEMLRQHLDAANYQQS KSGNNLVDLSDADTLQRYLDQRFQQVDVSVKLELWHEAYRSIEDVFHLMKTSKRAPKP STLANYYENLVKVFFVSGDPLLHTTAWKKFYKLYSTNPRATEEEFKTYSSTIFLSAIS TQLDEIPSIGYDPHLRMYRLLNLDAKPTRKEMLQSIIEDESIYAKVDEELKELYDIIE VNFNVDTAKQQLEKLLIKLSSKSYFNQYITPLRDVIMRRVFVAASQKFTTVSQSELYE LATLPAPLDLSAWEIEKSLLQAAVEDYVSISIDHESAKVTFAKDPFDIFASAASKEIP EEDNTESEMQEEKEETDEALEPQENEDGEEKEEESDPVIIRNSYIHNKLLELSNVLHD VDSFNNASYMEKVKIARETLIKKNKDDLEKISKIVDERVKRSQEQKQKHMEHAALHAE QDAEVRQQRILEEKAAIEAKLEEEAHRRLIEKKKREFEAIKEREITKMITEVNAKGHV YIDPNEAKSLDLDTIKQVIIAEVSKNKSELESRMEYSMKKLDHTERALRKVELPLLQK EVDKLQETDTAKYEAMKKKIVDAAKAEYEARMADHKNLVMVYGDYLKFKENVSGTKES ELAAIRNQKKAELEAAKKARIEEVRKHRYEEAVARRKEEIANAERQKRAQELAEATRK QREIEEAAAKKPTPYSFRAGNREPPSTPSTLPKATVSPDKAKLDMIAQKQREMEEAIE QKLAGKTAGESTPATPTPSSGPKKMTMAEKLRAKRLAKGGR SPAR_B01820 MFKIPGFGKAAANHTPPDMTNVDTRTHHLKVSNCPNNSYALANV AAVSPNDFPNNIYIIIDNLFVFTTRHSNDIPPGTIGFNGNQRTWGGWSLNQDVQAKAF DLFKYSSKQSYLGSIDIDISFRARGKAVSTVFDQDELAKQFVRCYESQIFSPTQYLIM EFQGHFFDLKVRNVQAIDLGDIEPTSAVATGIETKGILTKQTQINFFKGRDGLVNLKS SNSLRPRSNAVIRPDFKFEDLGVGGLDKEFTKIFRRAFASRIFPPSVIEKLGISHVKG LLLYGPPGTGKTLIARKIGTMLNAKEPKIVNGPEILSKYVGSSEENIRNLFKDAEAEY RAKGEESSLHIIIFDELDSVFKQRGSRGDGTGVGDNVVNQLLAKMDGVDQLNNILVIG MTNRKDLIDSALLRPGRFEVQVEIHLPDEKGRLQIFDIQTKKMRENNMMSDDVNLAEL AALTKNFSGAEIEGLVKSASSFAINKTVNIGKGATKLNTKDIAKLKVTREDFLNALND VTPAFGISEEDLKTCVEGGMMLYSERVNSILKNGARYVRQVRESDKSRLVSLLIHGPA GSGKTALAAEIALKSGFPFIRLISPNELSGMSESAKIAYIDNTFRDAYKSPLNILVID SLETLVDWVPIGPRFSNNILQMLKVALKRKPPQDRRLLIMTTTSAYSVLQQMDILSCF DNEIAVPNMTNLDELNNVMIESKFLDDAGRVRVINELSRSCPNFNVGIKKTLTNIETA RHDEDPVNELVELMTQSA SPAR_B01830 MTEKIPIKNYQRTHAKALLKLTEKLFNKDFFDLYLTSQQLVVLG YLLSIPSEEDKLKAWDYFLKGNITLNVEKLFSSIQEEEHSGTVFPAVDPPSDYISSQT IKDNNNTNINSNTSNENRVENQIEDKGDNAIANEDDFANNDEGDNVEEDLFKLDLEDL KQQISGTRFIGNLSLKIRYVLWQCAIDYMYCDHNEFDDENDTEYTLLDVEEKEDKEEI GKNDKPQNQESVSKLTEDEDYDDEDENYDEDSTEVKKADNVTKHPNSIFSSNIEIDDE RRLMLNISISKETLSKLKTNNVEEIMGNWNKIYHSFEYDKETMIKRLKLEESDKMIEK GKRKRSRSNLEAATDEQDHEKINDEPDTNQELLTPEGSTFSDTGNKRPKQNNIDLTVN LGIENLSLKHLLSSIQPKKSQLGISDYELKHLIMDVRKNRSKWTSDERIGQEELYEAC EKVVLELRNYTEHSTPFLNKVSKREAPNYHQIIKKSMDLNTVLKKLKSFQYDSKQEFV DDIMLIWKNCLTYNSDPSHFLRGHAIAMQKKSLQLIPMIPNITIRNRADLEKEIEDME KDKDFELEEEEEVAGSGRKGLNMGAHMLAKKNDKTSEKGNSKTVKDETPINNDKLASA ISEGEKEKDKTALSTETFDENGNKKENGENEEHDRIEKAGKTEDSTKDADVKKDTKDG PQGITAQSKDAEGNNEEEEEEDDDEDEDEDMADSQSYLLEKDDDRDDLEISVWKTVTA KVRAEICLKRADYFKNGKLNSDSEAFLKNPQRMKNFNQLFLEYKEQKALESYRQKIEQ DSIMKNGFGTVLKQEDDDQLQFHNDHSLNGNEAFEKQPNDIELDDTRFLQEYDISNAI PDILYEGVNTKTLDKMEDASVDRMLQNGINKQSRFLANKDLGLTPKMNENITLIQQIR HICHKISLIRMLQSPLSAQNSRNNPNAFLNSHIYNYTIIDDSLDIDPVSQLPTHDYKN NRELIWKFMHKNVSKVAMANGFETAHPSAINMLTEIAGDYLSNLIKTLKLHHETNSLN RGTNAEMLQTTLLENGINRPDDLYSYVESEFGKKTKKLQDIKQKLENFLRALLRPTLQ ELSERNFEDESQSFFTGDFASELTGEDFFGFRELGLEKEFGVLSSSVPLQLLTTQFQT VDGETKVQAKKIQPEESDSIVYKKITKGMLDVGSYLNTLLPLLRKDYERSKAYIAKQN KSSANDRTSTTSTEDSFFVLLEEDQFVSKKTATKARLPPTGKISTAYKKKPIASAFIL PEEDLGNDEKADPATTVNSRVHTENDVGSSLFSGTPQPLDSLDMEDPFDDTNMGSNSS FSLSLPRLNQ SPAR_B01840 MSSSKRIAKELSDLERDPPTSCSAGPVGDDLYHWQASIMGPADS PYAGGVFFLSIHFPTDYPFKPPKISFTTKIYHPNINANGNICLDILKDQWSPALTLSK VLLSICSLLTDANPDDPLVPEIAHIYKTDRPKYEATAREWTKKYAV SPAR_B01850 MSLKGDDFGKDNSRNIESYTGRIFDVYIQKNSYSQSTLDDMFPE TVVSNTACVKNEAEDDISLIDEHPQFELVNTGLGAKSEDLKSPSVKATFTDKQRKNEI PSISVSNYFPGQSSEASSTTESWTIGCDKWSEKVEEAFFEALRLIMKNGTTKIKIRNA NFGRNELISLYIKHKTNEFRTKKQISSHIQVWKKTIQNKIKDSSTLSSKEEELLHLIE HGAEQTTENSNLFYDIFEEIIDSLPSISDSGSLTPKNLYASNNNNNALSVHSKLLTPI TASNEKKIENFIKTNAASQAKTPLIYAKHIYENIDGYRCVPSKRHLEQLSPTEPHQGG RANKDSFSNKEAILESAKRIEIEQRKIINKYQRISRIQEHESNPEFSSNSNSGSEYES EEEVVPRSTTVAQLQTRPVPYYKNNGMPYPLSKVRGRPMYPRPAEDAYNPSYVQSLPQ YQTSYFSQLLLSSPQHYEHSPHQRNFTPSNQSHGNFY SPAR_B01860 MLSRLSLLSYSRAFQQAKWRIYRLKFSPTVYTSQYHILSGKKLA QSIREKANDEIQAIKLKHPNFKPTLKIIQVGARPDSSTYVRMKLKASKDSSVDCIIEK LPAEITEVELLKKISDINDDDSIHGLLIQLPLPRHLDETTITNAVDYKKDVDGFHRYN AGELAKKGGKPYFVPCTPYGCMKLLDEAHVKLDGKNAVVLGRSSIVGNPIASLLKNAN ATVTICHSHTKNIAEVVSQADIVIAACGIPQYVKADWIKEGAVVIDVGINYIPDSSKK SGQRLVGDVEFDSVKEKTSYITPVPGGVGPMTVAMLVSNVLLAAKRQFMESEKLPVIK PLPLHLKTPVPSDIDISRAQSPKHIKQVAEELGIHSHELELYGHYKAKISPKILTRLE SRENGKYVLVAGITPTPLGEGKSTTTMGLVQALSAHLGKPSIANVRQPSLGPTLGVKG GAAGGGYAQVIPMDEFNLHLTGDIHAIGAANNLLAAAIDTRMFHEATQKNDSTFYKRL VPRKNGLRKFTPSMQRRLRRLGIDKEDPDTLTPEEIKKFARLNINPDTITIRRVVDIN DRMLRQITIGEAATEKGFTRTTGFDITVASELMAILALSKSLHEMKGRIGRMVIGSDY DNKPVTVEDIGCTGALAALLRDAIKPNLMQTLEGTPVMVHAGPFANISIGASSVIADL MALKLVGPVKNPLNGKDVHEPGYVVTEAGFDFAMGGERFFDIKCRSSGLIPDAVVLVA TVRALKSHGGAPNVKPGQPLPKEYTEENIDFVAKGVNNLVKQIENIKTFGIPVVVAIN RFETDSQAEIEVIKKAALNAGASHAVTSNHWMEGGKGALGLAHAVVDATKEPKSFNFL YDVNNSIEDKLTSIVQKMYGGAKIEVSPEAQKKIDTYRKQGFGNLPICIAKTQYSLSH DPSLKGVPSGFTFPIRDVRASIGAGYLYALAAEIQTIPGLSTYAGYMAVEVDDDGEIE GLF SPAR_B01870 MSANLRTQKRLAASVVGVGKRKVWLDPNETSEIAQANSRNAIRK LVKNGTIVKKAVTVHSKSRTRAHAQSKREGRHSGYGKRKGTREARLPSQVVWIRRLRV LRRLLAKYRDAGKIDKHLYHVLYKESKGNAFKHKRALVEHIIQAKADAQREKALNEEA EARRLKNRAARDRRAQRVAEKRDALLKEDA SPAR_B01880 MSSNDKQQETNFAINFLMGGVSAAIAKTAASPIERVKILIQNQD EMIKQGTLEKKYSGIVDCFKRTAKQEGLISFWRGNTANVIRYFPTQALNFAFKDKIKL MFGFKKEEGYGKWFAGNLASGGAAGALSLLFVYSLDFARTRLAADAKSSKKGSARQFN GLIDVYKKTLKSDGIAGLYRGFMPSVVGIVVYRGLYFGMFDSLKPLVLTGSLDGSFLA SFLLGWAVTTGASTCSYPLDTVRRRMMMTSGQAVKYNGATDCLKKIVAAEGVGSLFKG CGANILRSVAGAGVISMYDQLQMILFGKKFK SPAR_B01890 MSSALYKQNTNFTHSTGSFLQSAPVELTTVGGYQEFLKKQEKKN YEIQTVLSEDKSHGYVLKDGEVIANIIGEAKDYLLDLAGQA SPAR_B01900 MSQTITSLDPNCVIVFNKTSGANEKSLHVEFKRLNIHSVIEPGH DLKTSYAFIRIHQDNAKPLFSFLQNLDFIESIIPYHDTELSDDLHKLISISKSKILET PKQYELYNLSNLTNNPKQSLYFAFLQNYIKWLIPFSFFGLSIRFLSNFTYEFNSTYSL FAILWTLSFAAFWLYKYEPFWSDRLSKFSSFSTIEFLQDKEKTQRNAGSVITLKKCCF IPVALLFGLVLLSFQLYCFALEIFIKQIYNGPMISILSFLPTVLICTFTPVLTVIYNK YFVDPMTKWENHNSVVSAKKSKEAKNFVIIFLSSYVPLLITLFLYLPMGHLLTAEIRA KLFNAFSILARLPTHDSDFVIDTKRYEGQFFYFIVINQLIQFSMENFVPSLISIAQQR INGPNPSFVKAENEIGKAQLSPSDKKIWSKVKSYETDPWGATFDMDANIKKLLLQFGY LVMFSTIWPLAPFICLIVNLVVYQVDLRKAVLYSKPEYFSFPIYEKPSSVSNTEKLTV GLWNSVLVIFSILGCVTTATLTYMYQNCNLPGVGAYTSLHMNKLWYLANPINHSWINI VLYAVFIEHVSVAVFFLFSSILKSSHDDVPNGIVPMHVVNMQNPSKQEVFENIPSSDF NSNNEKELVQRKRSANENLPHEANEKQPAFSSNGYEPQGAAQSKNDQSSSSSASASLS SSSSGGRADVVNSVDNNTAESAGEKPLAAESNEKRNPLVKVSTVGSYGVAGATLPETI PTSKNYYLRFDEDGKSIRDAKSSAESSNATNTNTLGTESKLLPNGDASDAFSRKIDQI PKIAVTGGEDNDNTKAKDDATTKNPVIKDVNIKPVVGAVANDVQSKASMATELTKRTE VSTKNGPSRSISTKETKESTRPSNKNITTDATQPHHHHHHHRDAGVKNATNNSKATES SSSSSATKGKPKHKKGLLHKLKKKL SPAR_B01910 MSLWVDKYRPKSLNALSHNEGLTNFLKSLSDQPRDLPHLLLYGP NGTGKKTRCMALLESIFGSGVYRLKIDVRQFVTASNRKLELNVVSSPYHLEITPSDMG NNDRIVIQELLKEVAQMEQVDFQDSRDGLAHRYKCVIINEANSLTKDAQAALRRTMEK YSKNIRLIMICDSMSPIIAPIKSRCLLVRCPAPCNNEISTILSDVVTNERIQLETKDI LQRIAHESNGNLRVSLLMLESMALNNELTLKSSSPIIKPDWIIVIQKLTRKIVKERSV NSLVECRAVLYDLLAHCIPANIILKELTFSLLDVETLSTMNKSSIIEYSSVFDERLSL GNKAIFHLEGFIAKVMCSLD SPAR_B01920 MLEAKFEEASLFKRIIDGFKDCVQLVNFQCKEDGIIAQAVDDSR VLLVSLEIGVEAFQEYRCDHPVTLGMDLTSLSKILRCGNNTDTLTLIADNTPDSIILL FEDTKKDRIAEYSLKLMDIDADFLKIEELQYDSTLSLPSSEFSKIVRDLSQLSDSINI MITKETIKFVADGDIGSGSVIIKPFVDMEHPETSIKLEMDQPVDLTFGAKYLLDIIKG SSLSDRVGIRLSSEAPALFQFDLKSGFLQFFLAPKFNDEE SPAR_B01930 MAATKEAKQQKEPKKRTTRRKKDPNAPKRGLSAYMFFANENRDI VRSENPDVTFGQVGRILGEKWKALTAEEKQPYESKAQADKKRYESEKELYNATRA SPAR_B01940 MSFFLNSLRGNQEVSQEKLDVAGVQFDAMCSTFNNILSTCLEKC IPHEGFGEPDLTKGEQCCIDRCVAKMHYSNRLIGGFVQTRGFGPENQLRHYSRFVAKE KVDDPKN SPAR_B01950 MFKSVVYSVLAAALVNAGTIPLGELADVAKIGTQEDIFPFLGGA GPYFSFPGDYGISRDLPQGCEMKQLQMLARHGERYPTYSKGATIMKTWYKLSNYTRQF NGSLSFLNDDYEFFIRDDDDLEMETTFANSDNVLNPYTGEMDAKRHAREFLAQYGYMF KNQTSFPIFAASSERVHDTAQYFIDGLGDQFNISLQTVSEAMSAGANTLSAGNACPGW DEDANDGILDEYDTTYLDNIAKRLNKENKGLNLTSTDANTLFAWCAYELNARGYSDVC DIFTKDELVRYSYGQDLVSFYQDGPGYDMIRSVGANLFNATLKLLKQSETQDLKVWLS FTHDTDILNYLTTIGIIDDKNNLTAEYVPFMGNTYHKSWYVPQGARVYTEKFQCSNDT YVRYVINDAVVPIETCSTGPGFSCEINDFYDYAEKRVAGTDFLKVCNVSSVSNVTELT FYWDWNTTHYNDTLLKQ SPAR_B01960 MFKSVVYSILAASLANAGTIPLGKLADVEKIGTQKDIFPYLGGA GPYYSFPGDYGISRDLPEGCEMKQVQMVGRHGERYPTVSLAKTIRSTWYKLSNYTRQF NGSLSFLNDDYEFFIRNDDDLEMETTFANSDDVLNPYTGEMNAKRHARDFLAQYGYMV ENQTSFAVFTSNSKRCHDTAQYFIDGLGDQFNISLQTISEAESSGANTLSACNSCPAW DYDVNDDIVDEYDTTYLDDIAKRLNKENKGLNLTSTDASTLFSWCAFELNAKGYSDVC DIFTKDELVHYSYYQDLNTFYHEGPGYDIIKSVGSNLFNASVKLLKQSEIQDQKVWLS FTHDTDILNYLTTAGIIDDKNNLTAEYVPFMGNTFHRSWYVPQGARVYTEKFQCSNET YVRYAINDAVVPIETCSTGPGFSCEINDFYDYAEKRVAGTDFLKVCNVSSVSNSTELT FFWDWNTTHYNASLLRQ SPAR_B01970 MRVLITNDDGPLSDQFSPYIRPFIQHIKRNYPEWKITVCVPHVQ KSWVGKAHLAGKNLTAQFIYSKVDADDNTFWGPFIQPQIKSENSKLPYVLNAEIPKDT IEWVLIDGTPASCANIGLHLLSNEPFDIVLSGPNVGRNTSAAYITSSGTVGGAMESVI TGNTKAIAISWAYFNGLKNVSPLLMEKASKKSLDVIEHLIENWDPKTDLYSINIPLVE TLNDDTKVYYAPIWENRWIPIFNGPHINLENNFAEIEDGNESSSISFNWAPKFGAHKD SIHYMDEYKDRTILTDAEVIESEMISVTPLKATFKGVNHLLGELKLTQKENNSSKTNN LVVVSIDPMEYIYKPLTRALKKYLPQVEIVSNLPEFDNGRYEKKMKVFHYGDYEQLDM DKLMELPNNYFTNSYIYRKALIRKHFLSHTIQTYTAKNPESILRKAYLESFTIDLDYA EFLDDALDENWELRQELENESQDKWWIVKPSMSDKGQGIRVFKTIKDLQAIFDSFDDE DSEAEESGNDDDADDVNGEFMDNNKVNISQLRHFIIQEYLTNPLLLPSMDNRKFHIRC YVVCRGDLQVFVYDRMLALFAAKPFVPLDPHAYSVTDLKDLECHLTNTCLQSKKKDKD SSVLEFDSIEEIPDERKSNIKEQIHSITNDVFLAAVNVNRLNFQPLPNAFETYGVDFL IDSNYEVKLLEINAFPDFKQTGKDLKNLIDELFDDTVKYCVTPIFNENKSKVDDETDP NFVKVIDYTSNGW SPAR_B01980 MTVRSSVKDRAELEGKSVLANESNIISTFTRRIIKEKSGNYQVL KRSIDGKLIYPEATGISSNRGNKLLQKSEVVTRRDLNNSKPIIEQTVFYNGSEHRLLQ TNVVTDSRRKRIKFTPDINVEPVLVGNEKYIDGNEGEDENITDEYYGEEDEDNLSELV NVKEILTPILSLGDVINHKTISRTFSSPILKNLALQIILMIEREQMSVVRYSQFLEVF LGDHPEPIYESNLNLPPYNHNLTLPEDRGASDEDDVNNKNNINEVNLNSTMATEADYT NNDMEEIGEEDPFFALPRLEQSNALLSLLPSSSGSISMSTLAAAEQQQLNDEIESARQ LSQIALQRNKEFIRNLQKIRKSVIKANRIRGRILNWSREFLGISDDDITIPVALRVVK RGLISATTNKTTNFEEEIENTMEEGVVDDNEPDEEANRA SPAR_B01990 MGFCTIVKWLFAAYLLSSYKSLPGAYFVRFYYCVAQTLFLPMLT GLKTENIKKLQKNEYGCFSYTKLDTYASPFECDFYFHKNNSTYFVELDISRSDLMSKI FQKLMLNSKHYPYIPVANVFTNFLKEIKPFQKYSVSSRIICWDEKWIYVMSRFTIKKG TVLCSLSLTKYVLKDGRKTIKPKEALEYCGLYNENVAKISEDNLKLLTERCGFHETVP LENLSQDYCSEI SPAR_B02000 MGAQLSLVVQASPSIAIFSYIDVLEEVHYVSQLNSSRFLKTCKA LDPNGEIVIKVFIKPKDQYSLRPFLQRIKAQSFKLGQLPHVLNYSKLIETNRAGYMIR QHLKNNLYDRLSLRPYLQDIELKFIAFQLLNALKDIHNLNIVHGDIKTENILVTSWNW CILTDFAAFIKPVYLPEDNPGEFLFYFDTSKRRSCYLAPERFNSKLYQDGNSNNGKLT KEMDIFSLGCVIAEIFAEGRPTFNLSQLFKYKSNSYDVDREFLMEEMNSTDLRNMVLD MIQLDPSKRLSCDELLNKYRGIFFPDYFYTFTYDYFRNLVTMTTSTPISDNTCTNSTL EDNLKLLDETTEKIYRDFPQICHCLDFPLIKQGGETDSASSILAPYKLEVKNSRFLNT NLYFPQNHQLILQKFTQVSEKVKSVKEECALLFISYLSHSLRSIVSTATKLKNLELLA VFAQFVSDENKIDRVVPYFVCCFEDSDQDVQALSLLTLIQVLTSVRTLNPLNENIFVD YLLPRLKRLIISNRQNSNYLRIVFANCLSDLAIVINRFQEFTFAQHCNDSSSDNNMEI MESSTKYSTKLIQSVEDLTVLFLTDSDTYVKMALLQNILPLCKFFGRERTNDIILSHL ITYLNDKDPALRVSLIQTISGISILLGTVALEQYILPLLIQTITDSEELVVISVLQSL KSLFKTGLIRKKYYIDISKTISPLLLHPNNWIRQFTLMIIIEIINKLSKAEVYCILYP IIRPFFEFDVEFNFKSMISCCKQPVSRSVYNLLCSWSVRASKSLFWKKISTNHVDSFG NNRIEFITKNYSSKNYGFNKKGMNLDSSLKGVKTSSTVYSHDNKEIPLTAEDRNWIDK FRIIGLTEKDIWKIVALRSYVIRTARVMAANPDFSYKNSNHRPLVQNSLPNLNLTNIM PRNIFFDVEFAEESTSEAQDSNLENQQIYKSDESEQNSNKLSISSSKQLSTVMDINGS LIFKNKSIATTTSNLKNVFVQLEPTSYHKHSPNHGLKENVNVRPERKVIVSNSYEGDV ESIEKFLSTFRILPPLRDYKEFGPMQEILRNPNVGSLKGKLVATLMENEPNSITSAVV SPGETPYLITGSDQGVIKIWSLKEIIMGEVYSSSLTYDCSSTITQITMIPNFDAFAVS SKDGQIIVLKVNHCQQENEVKFLNCECIRKLNLKNSDKNEYAVRMRAFVNDEKSLLIV LTNLSRIIIFDIRTLERLQIIGNSPKHGAVSSLCIDEGSCALILGTTRGIIDIWDIRF NVLIRSWSFGDHTPITHVEACQFYGQNSVIIVGGSSKTFLTIWNFVKGSCQNAFINSD EQPSMEHFLPIEKGLDELDFCGIGSLNALSTISVSNDKILVTDEATSSIVMFSLKELS SSKAVISPSRFSDNFIRTQVTANLTILLRKMKRSSTHSVDDSLYHHDIINAISTCQVD QTPLLVACDNSGLIGVFQ SPAR_B02010 MSRLVDFIEDKDSKNDASIQILDGPSNVEIIALSESIDQDECEK LYESSADMIPSSPQGRSRVSNNVEIIDLNKSIELSAPFFQDISTGKLDDYSTTVNSST ASTLRNGNDAIGNAKKLLDDLISDEWSADIESSGKKQNEHQYNLKNIAEKWGVQSFKN PEPIATDREYKAKKIEETNNDINGSPKSQVGAADILFDFPLSPVKYEDPTEKKHTSVA NHNSSLDDSLAPVAKRSYDEVLKVVTKGTHEEAEDEQGHLPNKKKRTIALSRTLINSI KPPDTVELNLSRFLDSSDSITTDVLSTPSKDANIVRTGSQPIFGHPNRCQEARRSKTF TAEDSQCTKNTAREVSQLESYIAYGQYYTREESRNKIRHLLKENKNAFKRVNQIYRDN IKARSQIIVEFSPSLLQLFQKGMSDLQQQLAPAVVQSSYNDSMPLLRFLRKCDSIYDF NNDFYYPCDPKIVEENISILYYDAQEFFEEYTSQKKKLYRKIRFFSKNGKHVILILSD LNKLKRAIFQLENEKYKARVEQRLSGTEEALRPRSKKSSQVGKLGIKKFDLEQRLRFI DREWHVKVHTVNSHMEFINSLPNLVSLIGKQRMDPAIRYMKYAHLNVKSAQDSTETLK KTFHQIGRMPEMKANNVVSIYPSFQSLFEDIQKGRLQSDNEGKYLMTETVEKRLYKLF TSTDPNDAIE SPAR_B02020 MEKLLQWSIANSQGDKEAMARAGQPDPKLLQQLFGGGGPDDPTL MKESMAVIMNPEVDIETKLVAFDNFEMLIENLDNANNIENLKLWKPLLDVLIQTKEEE LRAAALSIIGTAVQNNVDSQNNFMKYDNGLRSLIEIASDKTKPLDVRTKAFYALSNLI RNHKDISDKFFKLNGLDCIAPVLSDATAKPKLKMRAIALLTAYLSSVKIDENVISVLR KDGVVESTIECLSDESNLNIIDRVLSFLSHLISSGIKFNEQELHKLSEGYKHIEPLKD RLNEDDYLAVKYVL SPAR_B02030 MVEFSLKKARNNWKHVKKSASSPAKQKAPPSPAKSKQRTKKNPY SDLKDPATSYTLPTINARERNRVATSMQRRLSIHNTNYAPPTLDYSMPLPDIPNMTVP NDNMDSSHNNSSFTTENESVSSRGPSNSLNPSTADLSLNESSYNKVPARSAMRNTIMP SSSNDLFNNSTSLRKMLANPRFNAKDFVHDKLGNASAITIDKFTSNLTDLSIQVQEEV KLNINKSYNEIMTVNNDLNVAMLELKRVRANINDLNEILDQCTKIAEKRLQLQNEIDK ERQGNFNNVESHTSSPALLPPLKAGQNGNLMRRDRSSVFILEKFWDTELDQLFKNVEG AQKFINSTKGRHILMNSANWMELNTTTGKPLQMVQIFILNDLVLIADKSRDKQNDFIV SQCYPLKDVTVTQEEFSSKRLLFKFSNSNSSLYECREADECSRLLDVIRKAKDDLCDI FHVEEENSKRIRESFRYLQSTQQTPGRENNRSPNKNKRRSMGGSITPGRNMTGAVDQY LLQNLTLSMHSRPRSRDMSSIAQRLRFLDEGVEEIDIELARLRFESAVETLLDIESQL EDLSERISDEELMLRNLISLKIEQRRDAISSKLSQSILSSNEIVHLKNGTENMIKLGL PEQALDLFLQNRSNFIQDLILQIGSVDNPTNYLTQLAVIRFQTIKKTVEDFQGIFKEL GAKISSILVDWCSDEVDNHFKLIDKQLLNDEMLSPSSIKSSRRQIDGLKAVGLDFVYK LDEFIKKNSDKIR SPAR_B02040 MSITSEELNYLIWRYCQEMGHEVSALALQDETRVLEFDERYKEH IPLGTLVNLVQRGILYTESELMVDSKGDISSLDEHHLSEDFNLVQALQVDKEKFPEIS SEGRFALETNSENNKPAEDGTSAAERTSNEDDTASIGTNDNLDGFVKTLREIVKLDNI VSSTWNPLDESILAYGEKDSVAKLAKIKETHREEEKHWELIVIAELRHPFALSASSGK TTNQVTCLAWSYDGNSIVTGVENGELRLWNKAGALQNVLNFHRAPIVSVKWNKDGTHF ISMDVENVTILWNVLSGTVMQHFELRENGSSSTNADNNSGEDSLGVDVEWVDDDKFVI PGPKGTIFVYQITEKTPIGKLIGHQGPISVLEFNDSNKLLLSASDDGTLRIWHGGNGN SQNCFYGHSQSIVSASWVGDDRVISCSMDGSVRLWSLKENMLLALSIVDGVPIFVGRI SQDGEKYAVAFMDGQVNVYDLKKLNSKSQNFMQQPSYNGNSNGISIPIPIPLYASYQS SEDNDYIFDLSWNRAGDKISVAYSLQEGSVVAI SPAR_B02050 MSEEFPTPQLLDELEDPQKSTTSNEKKELSSNRVLKDIFAGTVG GIAQVLVGQPFDTTKVRLQTATTRTTTLEVLRNLVKNEGVFAFYKGALTPLLGVGICV SVQFGVNEAMKRFFQNYNASKNPNMSPQDVDLSRSNTLPLSQYYICGLTGGVVNSFLA SPIEQIRIRLQTQTSNGGDREFKGPWDCIKKLKAQGGLMRGLFPTMIRAGHGLGTYFL VYEALVAREIGTGLTRNEIPPWKLCLFGAFSGTMLWLTVYPLDVVKSIIQNDDLRKPK YKNSISYVAKTIYAKEGIRAFFKGFGPTMVRSAPVNGATFLTFELVMRFLGEE SPAR_B02060 MINDPKVDSVAEKSKTVTSKHAEQAASPEQTPVPPAFKQQYPIT FNLTSTAPFHLHDRHRYLQEQDLYKCASRDSLSSLQQLAHTPNGSTRKKYIVEDQSPY CPEDPSILTSSYNHTICTNYLRPRMQFTGYQISGYKRYQVTVNLKTVDLPTKECTSLS PHLSGFLSIRGLTNQHPEISTYFEAYAVNHKELGFLSSSWEDEPVLNEYKATDQTDLE HWINFPSFRQLFLMSQQNNLNSVDDNAVTSAVRKSQPPQQLPSTPSTDAGNISRIFSQ EKLFDNYLNERFIFMKWKEKFLVPDALLMEGVDGASYDGFYYIVHDQVSGNIQGFYYH QDAEKFQQLELVPSLKNKVETSDCSFEFA SPAR_B02070 MNPQVSNIIIMLVMMQLSRRIDMEDPTIIMYIRILYCSSIGISW IIYQMARKRIVAKNDMTTMKYVEPGNAMSGEGEKLQVTTVRDYDLKEIDGAIKSIYTG MAMMGFMHLYLKYTNPLFMQSISPVKSALEHNEVKIHLFGKPATGDLKRPFKAPSLFG GMGQSGPKTDKKSIEEAERAGNAGVKAE SPAR_B02080 MPYTWKFLGISKQLSLENGMAQLNQLLNLEVDLDVQTIQVPSDP DGASTTDEYIRYEMRLDTSNLDEDTYSKFIFLGSSKMEVPMFLCYCGTDNRNEVVLQW LKAEYGIIMWPISFDQATMIRLADASIVHVTKENLEQITWFSSKLYFEPATQDKNLRQ FNIEIPRESCQGLALGHGNTMHPYNDAIVPYIYSETGMAVGRLPLTSIILAGHTKIMR EFIVTSTRTLRNRVLAVVLQSIQFASE SPAR_B02090 MGFWENNKDSITSGLKSAGKYGYQGTKYVAKTGYKASKKHYNNS KSRREKKNGKKNTSDEEYESEDEEEYERKPTNIRTLKDPNSFPPPPLKPEQKTYSGQH SQQQMPNGQAGYAFQGAYQGQPGAESIGQQQYAQQQYMQYPQQQQQQGLMPQQTPIYG EQVPPYGSNSNTTSYQSLPQQNQPQNAMPSQVSLNSASQQSTGFPSQNLQYGAQLGYT ASPLPSQNNLQSQQQPQQPQYESHGPPNLGQSQFPSGPQQQPATQFGQQVLPAPAQPQ QQQQGQPFPPPRGQPILPTPGQFLSNGSDQQQQQQQQPLNQNCAPLPQLNVGGVQGMA TGQPLYGQPLPNATNVQDSNAGYGASPIQGQPLVGGQPPVPARMQPQPPQPMQAGNIY QTEPSFDSTGSTPHFEVTPFDPDAPVPKPKIDIPIVDVNSLPPPPTHRDRGAVIKQEP APSSGNLPAASGKTPSNAVSSSPASLPLKHSRPITTDSELNSENRETDEDTAKSSILG HYDVDVNLVPPPKPFRRGPDSASSGHTRKAAPEQKVPSLPPRNNVEPPPPPSRGKPET TESMLSTNSAKAQEDPISNFLPPPKPFRHTETKQNQDAAPSLVEKKNDVLPGSPSKEG KNIEPSSLPQPKSQSQTQPRRAHMETQPIENFQPPPKPFRRSPSSNFNDDSHNIDGTE ANHGRGRGRIAKHGDGDQYDSKSGNSTENDRLDHDVNAPNSFIGKRAPTPPAPPRSEK LRGAAITSEVESLKGANNYRKSSPPPSSPMQAPQSTKKVPPPVVKPKPKNFSLKANEP PRELTRKTTTDSLQRSGQGEALDSITNELSHFKLRKTNVNLEELGGSKTLKDSSPIPS DLDEKYVSASGSITPPRPPPSRSSAKKVPPVVPRKNDNLKKKPPVVPKKKPLLKSLEP RPIEMESGYSRDNYDGDDNLNPFERYKRNVVPQEEDRLHK SPAR_B02100 MSSNLTEEQIAEFKEAFALFDKDNNGSISSSELATVMRSLGLSP SEAEVNDLMNEIDVDGNHQIEFSEFLALMSRQLKSNDSEQELLEAFKVFDKNGDGLIS AAELKHVLTSIGEKLTDAEVDDMLREVSDGSGEINIQQFAALLSK SPAR_B02110 MFLEIPRWLFALIILYLSIPLVVYYVIPYLFYGNKSTKKRIIIF VLGDIGHSPRICYHAISFSRLGWQVELCGYVEDTLPKNISSDLNITVHHMSTLERKGG GTSVIFMVKKVLFQVLCIFKLLWELRGSDYILVQNPPSIPILPIAVLYKFTGCKLIID WHNLAYSILQLKLKGNFYHPLVLISYIIEMIFSKFADYNLTVTEAMRKYLIQSFRLNS TKCAVLYDRPASQFQPLGNDISRQKALTTKAFIKYYIRDDFDTEKGDKIIVTSTSFTP DEDIGILLGALKIYENSYVKFDSSLPRILCFITGKGPLKEKYMKQVKEYDWKRCQIEF VWLSAEDYPKLLQLCDYGVSLHTSSSGLDLPMKILDMFGSGLPVIAMNYPVLDELVQH NVNGLKFVDRRELHESLIFAMKDAHLYKNLKKNVTQEAENRWQSNWERTMRDLKLIH SPAR_B02120 MFSRSIRLISLNSRRLLRTMSIAKGKPEEAKIIEARHVKETSEC KWIGLQKIIYKDPNGKEREWDSAVRTTRSSGGVDGIGILTILKYKDGKPDEILLQKQF RPPVEGVCIEMPAGLIDAGEDIDTAALRELKEETGYSGKIISKSPTVFNDPGFTNTNL CLVTVEVDMSLPENQKPVTQLEDNEFIECFSVELHKFPDEMVKLDQQGYKLDARVQNV AQGILMAKQYHIQ SPAR_B02130 MKLFFFKIYFTDYSDHCHVYRISNELNARLLQEGWVDKVKDLTK SEMNINESTNFTQILSTVEPKALEMVSDSTKETVLKQIREFLEEIVDTQ SPAR_B02140 MNPGGEQTIMEQPAQQQQQQQQQQQAAVPQQPLDPLTQSTAETW LSIASLAETLGDGDRAAMAYDATLQFNPSSAKALTSLAHLYRSRDMFQRAAELYERAL LVNPELSDVWATLGHCYLMLDDLQRAYNAYQQALYHLSNPNVPKLWHGIGILYDRYGS LDYAEEAFAKVLELDPHFEKANEIYFRLGIIYKHQGKWSQALECFRYILPQPPAPLQE WDIWFQLGSVLESMGEWQGAKEAYEHVLAQNQHHAKVLQQLGCLYGMSNVQFYDPQKA LDYLLKSLEADPSDATTWYHLGRVHMIRTDYTAAYDAFQQAVNRDSRNPIFWCSIGVL YYQISQYRDALDAYTRAIRLNPYISEVWYDLGTLYETCNNQLSDALDAYKQAARLDVN NVHIRERLEALTKQLENPGNINKSNGAPTNASPAPPPVILQPTLQPNDQGNPLNARIP AQSANPTASMVQQQQQQHPAQQTPINSSATMYSNGTSPQLQAQAQAQAQAQAQAQAQA QAQAQAQAQAQAQAQAQAQAQAQAQAQAHAQAQAQAQAQAQAQAQAQQHHQQQQQQQQ QQQQHHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQALPRQQQQQKGV SVQMLNPQQGQPYVTQPSVIQAHQLQPFSAQTMEHPQGSQLPPQQQQLQSIQHPQQLQ GQPQTQAPQPLIQHNLEQNALPQKRYMEGAIHTLVDAAVSSSAHPENNTKSPHQSAPP VPNQAPAEITTNTEPQLKKQKLSSPNSNINKLVNTVTAIEENSTSEINNPPAAVVEPN TSNTLQEEKPAKANSIPPIIHAQQSPQEAITTEEATKPASVPPSTKTLNAESEQSGIQ STLSPENSITKANDQSTAETIELPTTTVPAEVSPVENDVRQYSKEENGTTEASASSTE EKETVPTGEAEKQQDEPPATTVTVIKPTLETMETVKEEAKMREEEQTTQEKSPQENSL PRENVVRQVEEDENYDD SPAR_B02150 MQEGGFIRRRRTRSTKKSVNYNELSDDEAAMKKSKTLHLKGESE NEKDSQDEEYRDDATLVKSPDDDDKDFIIDLTASDKERTATDENIHAIKDENDKITEI KDEPDVSDDDEPLTKKRKTTARKKKKKVTKKKPPKITPYERNTLRLYEYHPELRNVFS DLRNAPPYVAQRSEQPDGMTIKLLPFQLEGLHWLISQEESIYAGGVLADEMGMGKTIQ TIALLMNDLTKSPSLVVAPTVALMQWKNEIEQHTKGQLKIYIYHGASKTTDIKDLQGY DVVLTTYAVLESVFRKQNYGFRRKNGLFKQPSVLHNIDFYRVILDEAHNIKDRQSNTA RAVNNLKTQKRWCLSGTPLQNRIGEMYSLIRFLNINPFTKYFCTKCDCASKDWKFTDR MHCDHCSHVIMQHTNFFNHFMLKNIQKFGVEGPGLESFNNIQTLLKNIMLRRTKVERA DDLGLPPRIVTVRRDFFNEEEKDLYRSLYTDSKRKYNSFVEEGVVLNNYANIFTLITR MRQLADHPDLVLKRLKNLSGDDLGVVICQLCNDEAEEPIESKCHHKFCRLCIKEYVES FMENDNKLTCPVCHIGLSIDLSQPALEVDLESFKKQSIVSRLNMSGKWQSSTKIEALV EELYKLRSNKRTIKSIVFSQFTSMLDLVEWRLKRAGFQTVKLQGSMSPTQRDETIKYF MNNIQCEVFLVSLKAGGVALNLCEASQVFILDPWWNPSVEWQSGDRVHRIGQYRPVKI TRFCIEDSIEARIIELQEKKANMIHATINQDEAAISRLTPADLQFLFNN SPAR_B02160 MTNENVWIEKLDNPTLSVLPHDFLRPQQEPYTRQVTYSLQLPQL DVPHDTFSNKYAVALSVWAALIFRVTGDDDIVLYIANNKILRFNILPTWSFNELYSTI NKELIQLESIEANFSFDGLAEKIQSSQGLERTPQLFRLAFLENQDFKLDEFKHHLVDF ALNLETSNNTHVLNLIYNSLLYSSERVTTVTDQFTQYLTAALSDPSNCITKISLITVS SKDSLPDPTKDLGWCDFVGCIHDIFQDNAEAFPERTCVVETPALNSHKSRSFTYQDIN RTSNIVAHYLIKTGIKRGDVVMIYSSRGVDLMVCVMGVLKAGATFSVIDPAYPPARQT IYLGVAKPRGLIVIRAAGHLDQLVEDYINDELEIVSRINSIAIQENGTIEGGKLGESG DILAPYEQFKDTRTGVVVGPDSNPTLSFTSGSEGIPKGVLGRHFSLAYYFNWMSKKFN LTESDKFTMLSGIAHDPIQRDMFTPLFLGAQLYVPTQDDIGTPGRLAEWMSKYGCTVT HLTPAMGQLLTAQATTPFPKLHHAFFVGDILTKRDCLRLQTLAENCRIVNMYGTTETQ RAVSYFEVKSKNDDPNFLKKLKDVMPAGKGMLNVQLLVVNRNDRTQICGIGEIGEIYV RAGGLAEGYRGLPELNKEKFVNNWFVGKDHWNYLDRDNGEPWRQFWLGPRDRLYRTGD LGRYLPNGDCECCGRADDQVKIRGFRIELGEIDTHISQHPLVRENITLVRKNADNEPT LITFMVPRFDKPEDLSKFQSDVPKEVAVDPIVKGLIGYHLLSKDIKTFLKKRLASYAM PSLIVVMDKLPLNPNGKVDKPKLQFPTPKQLNLVAENTVSETDDSQFTNVEREVRDLW LSILPTKPASVSPEDSFFDLGGHSILATKMIFTLKKKLQVDLPLGTIFKYPTIKAFAA EIDRIKSSDGSSQGDATKTVTADYADDAKKLVETLPSSYPSREYFVEPNSAEGKTTVN VFVTGVTGFLGSYILANLLERPSKHYSFRVFAHVRAKDEKAAFERLQRAGITYGTWNE KFFSNIEIVLGDLSKSQFGLSDEKWTNLANTVDIIIHNGALVHWVYPYAKLRDANVIS TINVMNLAAVGKPKFFDFVSSTSTLDTKYYFNLSDKLVSEGKPGILESDDLLNSASGL TGGYGQSKWAAEYIIRRAGERGLRGCIVRPGYVTGASANGSSNTDDFLLRFLKGSVQL GKIPDIENSVNMVPVDHVARVVVATSLNPPKENELAVAQVTGHPRILFKDYLYTLHDY GYDVEVESYSEWKMSLEASVIDRNEENALYPLLHMVLDNLPEGTKAPELDDRNAVVSL KKDIAWTGVDWSNGMGVTPEEVGIYIAFLNKVGFLPPPTHNDKLPLPSIELTQAQISL VASGAGARGSSAAA SPAR_B02170 MAQFSDIDKLAVSTLRLLSVDQVESAQSGHPGAPLGLAPVAHVI FKQLRCNPNNEHWINRDRFVLSNGHSCALLYSMLHLLGYDYSIEDLRQFRQVNSRTPG HPEFHSAGVEITSGPLGQGISNAVGMAIAQANFAATYNEEGFPISDSYTFAIVGDGCL QEGVASETSSLAGHLQLGNLITFYDSNSISIDGKTSYSFDEDVLKRYEAYGWEVMEVD KGDDDMGSISSALEKAKLSKDKPTIIKVTTTIGFGSLQQGTAGVHGSALKADDVKQLK NRWGFDPNKSFVVPQEVYDYYKKTVVEPGQKLNEQWDRMFEEYKTKFPEKGKELQRRL NGELPEGWEKHLPRFTSDDDALATRKTSQQVLTNMVQVLPELIGGSADLTPSNLTRWE GAVDFQPPITQLGNYAGRYIRYGVREHGMGAIMNGISAFGANYKPYGGTFLNFVSYAA GAVRLAALSGNPVIWVATHDSIGLGEDGPTHQPIETLAHLRAIPNMHVWRPADGNETS AAYYSAIKSGRTPSVVALSRQNLPQLEHSSFEKALKGGYVIHDVENPDIILVSTGSEV SISIDAAKKLYDTKRIKARVVSLPDFYTFDRQSEEYRFSVLPDGVPIMSFEVLATSSW GKYAHQSFGLDEFGRSGKGPEIYKLFDFTADGVASRAEKTINYYKGKQLLSPMGRAF SPAR_B02180 MGKEKSHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNM ITGTSQADCAILIIAGGVGEFEAGISKDGQTREHALLAFTLGVRQLIVAVNKMDSVKW DESRFQEIVKETANFIKKVGYNPKTVPFVPISGWNGDNMIEATTNAPWYKGWEKETKA GVVKGKTLLEAIDAIEQPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVV TFAPAGVTTEVKSVEMHHEQLEQGVPGDNVGFNVKNVSVKEIRRGNVCGDSKNDPPKG CASFNATVIVLNHPGQISAGYSPVLDCHTAHIACRFDELLEKNDRRSGKKLEDHPKFL KSGDAALVKFVPSKPMCVEAFSEYPPLGRFAVRDMRQTVAVGVIKSVDKTEKAAKVTK AAQKAAKK SPAR_B02190 MSALYFQNLPNRPVNKENYTRLLLKYINPNNKYAINSSLPLPRN KLLTAQTDSQPLMLLDDQIGLLEVSISRSSKMSNQAFLTFATQDEANGFLNKYTTTEL KFQGRKIRIEKARTNSLLGLSIEMQKKKKNGKDHYLGLKKVLKTRKLKRKLRSDDMYA KKFKLKRQVRRLKHKLRLKKIEEAEINKIVKEFKTHRLENIQSQQEKPKQSQEPLKRA KVSDTMENPPNKVLLVQNLPSGTTEQLLSQIFGNEALVEIRLVSVRNLAFVEYRTVTD ATKMKNQLGSIYKLQNSDVTIGFAK SPAR_B02200 MSSPQAVKDSAKKLVNLLEKYPKDRIPHLVSFKDVQIARYRRVA GLPNVDDKGKSIKEKKPSLDEIKSIINRTSGPLGLNKEMLAKIQNKMVDEKFTEESIN EQIRALGTIMSNKFRNYYDIGDKLYKPAGNPHYYQRLIDAVDGKKKESLLTAMRTVIF GK SPAR_B02210 MSVEDIKKARAAVPFNREQLESVLRGRFFYAPAFDLYGGVSGLY DYGPPGCAFQNNIVDAWRKHFILEEDMLEVDCTMLTPYEVLKTSGHVDKFSDWMCRDL KTGEIFRADHLVEEVLEARLKGDQEARGLVEDANAAAKDDAEKKKRKKKVKQIKAVKL DDDVVKEYEEILAKIDGYSGPELGDLMEKYDIGNPVTGETLESPKAFNLMFETAIGPS GQLKGYLRPETAQGQFLNFNKLLEFNNSKTPFASASIGKSFRNEISPRAGLLRVREFL MAEIEHFVDPLDKSHPKFNEIKDIKLSFLPRDVQEAGSTEPIVKTVGEAVASRMVDNE TLGYFIARIYQFLMKIGVDESKLRFRQHMANEMAHYAADCWDGELKTSYGWIECVGCA DRSAYDLTVHSKKTKEKLVVRQKLENPIEVTKWEIDLTKKLFGPKFRKDAPKVESHLL NMSQDDLASKAELLKADGKFTIKVDGIDGEVELDDKLVKIEQRTKVEHVREYVPSVIE PSFGIGRIIYSVFEHSFWNRPEDNARSVLSFPPLVAPTKVLLVPLSNHKDLVPVTTEV SKILRKSQIPFKIDDSGVSIGKRYARNDELGTPFGVTIDFESAKDHSVTLRERDSTKQ VRGSVENVIKAIRDITYNGASWEEGTKGLTPFVAQAEAETD SPAR_B02220 MLKFIFAKRFASTGSYPGSTRITLPRRPAKKIRLGKSRPAIYHQ FDVKMELSDGSVIIRKSQYPKGEIRLIQDQRNNPLWNPSRDDLVVVDANSGGSLDRFK KRYSSLFSVDSAPISSGPEEPEISKESKKEAQVEKEEKKEVSEKTFGMDDYLSLLDDS EQQIKSGKLASKKRDKK SPAR_B02230 MSAEEPLATLSSIPDSSADQAPPLIADEFTLDLPRIPSLELPLN VSTKHSSIQKAIKMCGGIEKVKEAFKEHGPIESQNGLELYLNDGNDRDGSKSYFNEHP IIGKRVPFRDESVILKLTMPKGTLAKNNNSVKDSINSLKDSNKLRVTPVSIVDNTIKF REMSDFQIKLDHVPSAKEFKSSFGSLEWNNLKSFVNSVPDNDSQPQENIGNLILDRSV KISSTDFQLPPPPKLSMVGFPLLYKYKANPFAKKKKNGVTEVKGTYIKNYQLFVHDLS DKTVIPSQAHEQVLNDFEAAKKTKVYPGTKSDSKFYESLEECLKILRELFARRPIWVK RHLDGIVPKKVHHTMKIALALISYRFTMGPWRNTYIKFGIDPRSSVKYAQYQTEYFKI ERKLLSSPIVKKNVPKPPPSVFESDTPGDIDSRFQFDGKRVPWYLMLQIDLLIGEPNI AEVFNNVEYLDKVNELTGWFKELDLVKIRRIVKYELGCMVQGNYEYNKYKLKYFKTML FVKESMVPENKNAKDGMGVNTNKDADGDINMDADSQMSSNAVKEDKGIADGDGYDDND AITEEPDDAALENEEIDTDQNLKVPGNIDDEVDDVDADEEEQEDFDVKTASFQDIINR IAKLDTKVAETMKSELKGFVDEVDL SPAR_B02240 MGQLLSHPLTEKTIEYNEYKNNQASTGIVPRFYNCVGSMQGYRL TQEDAHLIRNENSIVYVRFFNPFIDKYETLSLNVFAVFDGHGGDDCSKFLSGGRHHRD GNGSSNGNGDTNAGLIKWIAYSFENHHYTSSTNNDSSKFKRSFNTLEGLVSQVLKDAF ILQDEELYRHFSNSSCGSTAVVACIINEESLYVANCGDSRCILSSKSNGIKTMSFDHK PQHIGELIRINDNGGTVSLGRVGGVLALSRAFSDFQFKRGVTYPHRRTKLTNITQNST HGTPPQEAQVTVEPDVLMHKIDYSKDEFLVLACDGIWDIYNNKQLIHFIKYHLVSGTK LDAIITKLLDHGIAQANSNTGVGFDNMTAIIVVLNRKGETLQDWFNKMKTRLERERGL A SPAR_B02250 MTTDNAKAQLTSSSGGNIIVVSNRLPVTITKNSSTGQYEYAMSS GGLVTALEGLKKTYTFKWFGWPGLEIPDDEKDQVRKDLLEKFNAVPIFLSDEIADLHY NGFSNSILWPLFHYHPGEINFDENAWLAYNEANQTFTNEIAKTMNHNDLIWVHDYHLM LVPEMLRIKIHERQLQNVKVGWFLHTPFPSSEIYRILPVRQEILKGVLSCDLVGFHTY DYARHFLSSVQRVLNVNTLPNGVEYQGRFVNVGAFPIGIDVDKFTDGLKKESVQKRIQ QLKETFKGCKIIVGVDRLDYIKGVPQKLHAMEVFLNEHPEWRGKVVLVQVAVPSRGDV EEYQYLRSVVNELVGRINGQFGTVEFVPIHFMHKSIPFEELISLYAVSDVCLVSSTRD GMNLVSYEYIACQEEKKGSLILSEFTGAAQSLNGAIIVNPWNTDDLSDAINEALTLPD VKKEVNWEKLYKYISKYTSAFWGENFVHELYSTSSSSTSSSAIKN SPAR_B02260 MAITPDKQKQEQQHQSQEQPLDYAHVCKCIAMFFVVAGVVLMFF ETGLDPEQKEQIKRLHQLDSIPHA SPAR_B02270 MVLSDKELFAINKKAVEQGFKVKPRLNYNTVSGVNGPLVILEKV KFPRYNEIVNLTLPDGTVRQGQVLEIRGDRAIVQVFEGTSGIDVKKTTVEFTGESLRI PVSEDMLGRIFDGSGRPIDNGPKVFAEDYLDINGSPINPYARIYPEEMISTGVSAIDT MNSIARGQKIPIFSASGLPHNEIAAQICRQAGLVRPTKDVHDGHEENFSIVFAAMGVN LETARFFKQDFEENGSLERTSLFLNLANDPTIERIITPRLALTTAEYLAYQTERHVLT ILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVEGRNGSITQIPI LTMPNDDITHPIPDLTGYITEGQIFVDRQLHNKGIYPPINVLPSLSRLMKSAIGEGMT RKDHGDVSNQLYAKYAIGKDAAAMKAVVGEEALSIEDKLSLEFLEKFEKTFITQGAYE DRTVFESLDQAWSLLRIYPKEMLNRISPKILDEFYDRARDDADEDEDDADTRSSGKKK DASQEESLI SPAR_B02280 MHCPICHHRAHVVYCAHCINTSPSLLLKLKLDLIFLKDENKVLN GKVERILNEAMNYDQLDVKALEKRKDPLTNSLMKLDVLRMKKNNNLIKHRIEQLNERI YSKRDDISKLKVEIDNYKRYKVEAGTDKLREQVEINDAKNKLTQVSKICESARDYKLN LLNNWFVIQKLQDNFQIPFSIAFQPLISLKNFRVLPLAITNDSINIMWKYVTFFSDIL MIKLPYTNNSNGQPMFEFSDGIQSVVQRLIKLIINILQICRHLKLVPSTPMDIPWLLD QYDVDGLFYNMVKRNKMKCRSVSLYWTFGMLYSMVLDNMNNPRRGHPARRTAPPPALT GPHDRWYVVG SPAR_B02290 MIAGATAPSSQHEILIASNLVKKPSTSQNKTPTAQSGSGNNGAA DGMPQGYHQHQHHHHRHLWWPRTTDHQYWCVLRKNQFAYYKTQDEREAISVIPRFDIL NFKISELDGILTVYTPSKDLIFKFPRGQNEKVGMELMHNWKIALEKFLSSPSGNESVI TGSDYDEEEDEDDLIVVDEKAGPSSSKHSCNLTMDEQLSREDKEFYRMFDPRNAEHQV CSGILYTKVKKKKLFNRAKWQKFNVELTNTSFNLYSFKTGKLKKSIKLDKIIDCIELD NNAKMTNDDTNFALITFDERLSFKAANDQDMVDWIINFKSGILIRKKIKS SPAR_B02300 MSDQDNTQASPSKLAPHHNIFMANLESSPTKDRNTTSQNASSSR VIESLHDQIDMLTKTNLQLTTQSQNLLSKLELAQSKESKLLENLNLLKNENENLNSIF ERKNKKLKELEKDYSELSNRYNEQKENMDELGKLAKNSSAVEKSCSEKLQNMEINYNS LLESQNLYRDHYSDEISKLNEKISLLELELSNQNLNYGSDTSSNSDIELNLNKFNDSV KDLKFLETEKDSKLSKIISHSLDELNLQSWLNLYQTNENLISTFAEKMDLNDVLKKND ERMSNKGAVVQNLKKNVQAQLESNNADTSNNSNAVDMLPIKMVKLRKTPNPNDSSSNG NSSNNKRRSFYTASPLLSSGSIPKSASPVLPGVKRTASIRKPSSSSGKTNVTHNNDPS TSPTISVPSGVTRTVSSTHKKKRNSMVVHGNQT SPAR_B02310 MRLRYITVFDPSRSTNEDDTFKQLLLFHYFGSTESVPSLNEKLS IIGVIQGIWSLTSSSSNNDGKNLEKIIELNNDIIFCIKVESRFFISLAISDINDTQST IPFQYFNAYLWLSYKFFKLLNGPFSRFNKDFNKLTDLLNEFVIPFWNDIYLNLETITN RSFTVVWPGFYKKANFQHASYNPVDKKTIKESWDAIILQKILLDKRSYLGLKDILVYH LPKRTKADNKESIGSKTYGLVRNFTSDLNTLPDISNWLYHLHCTYGEISSHILTGNVH FKEELQVEEEQERSQTTGEREGEEEPQEEQRQVHQDASQNNTNELSLSERVLHNVTLP ISFAYDAIHEVSATTGVSSSLSMIMEYVPKPHWPFMPSSNKNADNNKYSSIDDNTNSN ATLMTESETVGGTIDNSRFGFLISPLNSDLLPSSYQALKLNLNFENSKNSEGFYNCLF WYFDDFLIVIVCEPHFNKICERDYLKDLSFQLCQSMKSLNNEILNSQNFSNVESFAYV IRDSATGEIDSSVPFGSPKMTSDENISTLQLAINGIDQFINDNSNSLSLANWNPITIM GGFNASSKKNITQGCENGVNDNNQTFKRKYLNFLNLMSAEKLWDLQIDVLQFLVSLQN SKRDPEYFQEERLLKLNNGVLCYIKETNSKLIIIIKNWFHNDGATKAIKQRNRFSSGA SKGSSLFQSLGRDVTDWWESREI SPAR_B02320 MTKERMTIDYENDDDFEYDKNKYRATTTRIKSIEPSEGWLDPSE SVARISTIPEAGEVHVDEHEDRGSSIDEDSRTYLLFSTETRRKLENRHVQLIAISGVI GTALFVAIGKALYRGGPASLLLAFALWCVPILCITVSTAEMVCFFPVSSPFLRLATKC VDDSLAVMASWNFWFLECVQIPFEIVSVNTIIHYWRDDYSAGIPLAVQVVLYLLISIC AVKYYGEMEFWLASFKIILALGLFIFTFITMLGGNPKHDRYGFRNYGESPFKKYFPDG NDVGKSSGYFQGFLACLIQASFTIAGGEYISMLAGEVKRPRKVLPKAFKQVFVRLTFL FLGSCLCVGIVCSPNDPDLTAAINEARPGAGSSPYVIAMNNLKIRILPDIVNIALITA AFSAGNAYTYCSSRTFYGMALDGYAPKIFTRCNRHGVPIYSVGISLVWALVSLLQLNS NSAVVLNWLINLITASQLINFVVLCIIYLFFRRAYRVQQDSLPKLPFRSWGQPYTAII GLVSCSAMIFIQGYTVFFPKLWNTQDFLFSYLMVFINIGIYVGYKFIWKRGRDNFKNP HEIDFSKELTEIENHEIESSFEKFQYYSKA SPAR_B02330 MHSNVFVGVKPGFNHKKHSKKSRFLDNVSSHAPELPSNYDYVLL PITTPRYKEIVGQVFKEFQRQSIQNWKPLRVPEPQLQDICIPPFNVNKLDNDDTPSYI GLLSSWLELESRDPTVRDLGLKVLLNECKYARFVGINKLILAPPRDLSNLQLYGQMIY RLLQNPVVFTTPALTISISLPLYEDSDPLATWELWNTVRKLCEYHPSLTISLALPRTR TPSYVLNRWLAEPVSCLLVSSSIFASNQYDYPVLHKFNQNLILKFQKINGDSQILGNE LCVILHGMEKYANDVKGGESAYLEYINYLLKRGDKVLNSNGNRQLLLQEESRIMPPLK PHSDNLLNSTYLTFEKDLVKYDLYESAILEALQDLAPRASTKRPLVVLVAGAGRGPLV DRTFKIISMLFMDSKVSIVAIEKNPQAYLYLQKRNFDHWDNRVKLIKEDMTKWQINEP SEKRMQVDLCISELLGSFGCNELSPECLWSVEKYHSHNDTIFIPRSYSSYIAPISSPL FYQKLLQTNRSLEAPWIVHRVPYCILSSRVNEVWRFEHPMAQNDVGQEDDDFTVKFSQ SSLNEFKIKHRGEIHGFIGFFSANLYNNIFLSTLPNDSTVRLKFSEETLMNTRQEENL IKKCDHTPNMTSWSPIIFPLKQPIPFIDDSELSVLMSRIHSDTEQKIWYEWSLESFIY LMLSNYNSVVAATSITIPRSMVTDDIKTSGHNRHYSATTNQKLDNQIDLDQDIENEEE QGFLSNLETGWQSVQDIHGLSETARPGHLSSINKPMFDLKSTKAPDSSNESPRHEDLE EDAPEIHVRVKTGASTLHNVCGRAFSLPL SPAR_B02340 MYHHYHAFQGRKLTDQERARVLEFQDSIHYSPRYSDDNYEYRHV MLPKAMLKVIPSDYFNSEVGTLRILTEDEWRGLGITQSLGWEHYECHAPEPHILLFKR PLNYEAELRAATAAAQQQQQQQQQQQQQTQSISNDMQVPPQIS SPAR_B02350 MESHVKYLDELILAIKDLNSGVGSKVQIKKVPTDPSSSQEYAKS LKILNTLIRNLKDQRRNNIMKNDTIFSKTVSALALLLEYNPFLLVMKDSNGNFEIQRL VDDFLSISVLNYDNHHRIWFMRRKLGSWCKACVKFYGEPTKFQLTAHFENIMNLYEQA LTEVLLGDTELLKFYETLKSLYILLYWFTSEHSTFGNSIAFLDSSLGFTKFDLNFQRL VRIVLYVFDSCQLPPLEYAEIQLKYVSLVVDYVCNRTIAIALDTPALVNCEQLKFALT TMHHFLDNKYGLLDNDPTMAKGILRLYSLCISNDFSKCFVDHFPIEQWADFSQTEPFP FTQLTNKALSIVYFDLKRRSLPAGALKYDNKYNIWVYQSEPDSSLKNVTSPFDDRYKQ LEKLRLLILKKSNKTQRETLLKYRVNQLSPGFFQRTGNDLKLILNEASVSIQTCFKTN NITRLTSWTIVLGRLACLESEKFSASLTNSTKNMDNWYVCHLCDIEKTGNPFERINSN RPEAGSKSEIFKILQSNFLSHPKIDEFSESLLSGILFSLHRIFSHFQPPKLTDGNGQI NNAFGLVQKCFMNSKRYLRLLSTRIIPLFNISDSHNSEDEHTATLIRFLQSQKLPVMK ENLVIAWTQLTLTTSNDVFDTLLLKLIDIFNSDDYSLRIMMTLQIKNMAKVLKKTPYQ LLSPILPVLLRQLGKNLVERKVGFQNLIELLGYSSKTILDIFQRYIIPYAIIQYKSDV LSEIAKIMCDGDTSLTNQVKVNLLKKNSRQIFAVALVKHGLFSLDILETLFLNRAPTF DKGYITAYLPDYKTLAEITKLYKNSATKDASDSENANMILCSLRFLITNFEKDKRHGS KYKNMNNWTDDQEKAFQKKLQDNILGIFQVFSSDIHDVEGRTTYYEKLRVINGISFLI IYAPKKSIISALAQISICLQTGLGLKEVRYEAFRCWHLLVRHLNDEELSTVIDSLIAF ILQKWSEFNGKLRNIVYSILDTLIKEKSDLILKLKPYTTLALVGKPELGILARDGQFA RMVNKIRSTTDLIPIFANNLKSSNKYVINQNLDDIEVYLRRKQTERSIDFSPKKVGQT SDITLVLGALLDTSHKFRNLDKELCEKCAKCISMIGVLDVTKHEFKRTTYSENEVYDL NDSIQTIKFLIWVINDILVPAFWQSENPSKQLFVALVIQESLKYCGLSSESWDMNQKE LYPNEAKLWEKFNSVSKTTIYPLLSSLYLAQSWKEYVPLKYPSNNFKEGYKIWVKRFT LDLLKTGTTENHPLHVFSSLIREDDGSLSNFLLPYISLDIIIKAEKGTPYADILNGII IEFDSIFTCNLEGMNNLQVDSLRMCYESIFRVFEYCKKWATQFKQNYSKLHGTFIIKD TKTTYMLLRIEEFLQTTPSDLLAQRSLETDSFERSALYLEQCYRQNPHDKNQNGQLLK NLQITYEEIGDIDSLDGVLRTFATGNLVSKIEELQFSENWKLAQDCFNVLGKFSDDPK TTTRMLKSMYDHQLYSQIISSSSFHSSDGKISLSPEVKEWYSIGLEAANLEGNVQTLK DWVEKIESLRDIDDREVLLQYNIAKALLAISNGDPLRTQKYIHNSFRLVGTNFITSSK ETTLLKKQNLLMKLHSLYDLSLLSSAKDKFEYKSNTTILDYRMERIGADFVPNHYILS MRKSFDQLKLNDQADVDLGKTFFTLAQLARNNARLDIASESLMHCLERRLPQAELEFA EILWKQGENDRALKIVQEIHEKYQENSSVHARDRAAVLLKFTEWLDLSNNSASEQIIK QYQDIFQIDSKWDKPYYSIGLYYSRLLERKKAEGYITNGRFEYRAISYFLLAFEKNTA KVRENLPKVITFWLDIAAASISEAPGNRKEMLSKATEDICSHVEEALQHCPTYIWYFV LTQLLSRLLHSHQSSAQIIMHILLSLAVEYPSHILWYISALVNSNSSKRVLRGKHILE KYRQHSQNPQDLVSSAFDLTKALTRVCLQDVKSVTNRSGKSLEKDFKFDMNMAPSAMV VPVRKNLDIISPLESNSMRGYQPFRPVVTIIRFGSSYKVFSSLKKPKQLNIIGSDGNI YGIMCKKEDVRQDNQYMQFATTMDFLLSKDIASRKRNLGINIYSVLSLREDCGILEMV PNVVTLRSILSTKYESLKIKYSLKSLHDRWQHTAPDGKLEFYMEQVEKFSPILYQWFL ENFPDPINWFNARNTYARSYAVMAMVGHILGLGDRHCENILLDIQTGKVLHVDFDCLF EKGKRLPVPEIVPFRLTPNLLDALGIIGTEGTFKKSSEVTLALMRKNEVALMNVIETI MYDRNMDHSIQKALKVLRNKIRGIDPQDGLVLSVAGQTETLIQEATSEENLSKMYIGW LPFW SPAR_B02360 MVILDKKLLERLISREVPLEELEDMEKRCFLSTFTYQDAFDLGT YVRNAVKENFPEKPVAIDISLPNGHCLFRTVTYGGSALDNDFWIQRKKKTAIRFGHSS FYMGCKKGDKTPEEKFFVDSKEYAFHGGAVLIQSEKSDYPYACLTISGLKQEEDHLMA VTSLIAFANESLEDDLNLD SPAR_B02370 MEKDQMHPRVLESIDTNSLSLLSSNTSSNMNSNTNNKLSIITSD ISTGSVLSRPVTPPVVQDIDNNSMLQWQFEKKEFIFDSNSTPSKQVKSLQRTSPYQSH SQNQNQNQQLINVRKRRSQLIGAKPKVPSKLYQSVSKLDLIDDKNFTSLPIAPPCNIE TNEEDSENNEYNSNKKRPRLNPINELRVHSNKRNRYVSYGPSLDTKNYELTESISQDT PPLVLVEDYIPYTQNKLTKKMVSISDLKSKLNKRRDNHIPLRVKNSYSEINKETNRNS LEPNSLTLIPHILKNAEEDKDENNNPLEFIKEEAETSDISIPNSIENMVVNLVNIPSS NKSYDDLYLSELNVHSQLRKCVICEKALYEISSRLLNSGHYKEIVCEQCTVRYEEAAK IFENCEFESSMDESNVSSGTFSDLENSAESFHLSTDAPIKISKHKDDYKMNLRKEISR KKDSFSKELIERLQLQLLENDKPSNNNYNKDAMGSKSMNWFLEARRKLKWKWRINGLL PHFLRSQNSDRLNFQS SPAR_B02380 MKYLNLVYVLQLLIGIKSASLCEAFSLFEDGITFANFGKQLKLP QNTQQTLKVNRFDSDDPLITTFISPMDADYSLRLRTVDPSKLGIDTVKQWSGYMDYKD SKHFFYWFFESRNDPANDPIILWLNGGPGCSSFTGLLFELGPSSIGSDMKPIHNPYSW NNNASMIFLEQPLGVGFSYGDEKVSSTKLAGKDAYIFLELFFEAFPHLRSNDFHIAGE SYAGHYIPQIAHEIVVKNPERTFNLTSIMIGNGITDPLVQADYYEPMACGKGGYRPVL SSEECEKMNNAAGRCRRLNKLCYASKSSLPCILATTYCDSALLEPYAKTGLNVYDIRG PCEDDSTDGMCYTGLRYVDQYMNFPEVQETLGSDVHNYSGCDNDVFTGFLFTGDGSKP FQQYVAELLNHNIPVLIYAGDKDYICNWLGNHAWTNELEWINKPRYQRRMLRPWVSKE TGEELGQVKNYGPFTFLRIYDAGHMVPYDQPEASLQMVNSWISGNRGFSSLFRLEN SPAR_B02390 MLQHLSSYMKRTIFHSLLLYYASKAFLFWIMARPKEYVKIYTNL ISSDYNSPSSSSDNGGSNNSDKKSISQLVSLLFDDVYSTFNVSSLLTNVNNDHHYHIH HSSSSSKTTNTNSPNSVSKTSIKQSSVNTSGNISPSQFSTGNDTSPTSPMASLSTPLN SNIIGFPLSPIASTLAQANTSTTAATTKTDTSTPSTTNTNVNNNSNNSTNLNNIPQHI FSLDDISSFNSSRKSLNLDDSNSSFLWDTSQHSNASMANTNIHPGVNNAQSQNAHSSL NYMENIMELYSNYTGSELSSHTAILRFLVVLTLLDSEVYDEMNSNSYRKISEPIMNIN SKDSNTSSWGSASKNPSIRHLTHGLKKLTLQQGRKRNVKFLTYLIKNLNGGQFVSDVS LIDSIRSILFLMAMTSSISQIDSNIASVIFTKRFYNLLGQNLEVGTNWNSATANTFIS HCVERNPLTHRRLQLEFFASGLQLDPDLFLRHLQLEKELNHINLPKISLYTEGFRVFF HLVSTKKLHEEIAEKTSSSLKRLFCIIADILLKATPYFDDNVTKIIASILDGHILDQF DAARTLSNDDHANFDAATSVYTEPTDIIHNSSDVSLVSSLSQSPLSINSGSNIANTRT WEIQSILPTLSNRSSASDLSLSNVLTNPLETQQSNSGNLLAHRLSGVPTTKRYASPND SERSRQSPYSSPPQLQQNDLPSPLSVLSSSAGFPSSHSITATPTILKNIKSPKPNKTK KIADDKQLKQPSHSRVVLSDNDEARKIMMNIFSIFKRMTNWFIRPDANTEFPKTFTDI IKPLFVSILDSNQRLQVTARAFIEIPLSYIATFEDIDNDLDPRVLNDHYLLCTYAVTL FASSLFDLKLENAKREMLLDIIVKFQHVRSYLSNLAEKHNLVQAVITTERLTLPLLVG AIGSGIFISLYCSRGNTPRLLKISCCEFLQSLRFYQKYVGALDQYSIYNIDFIDAMAQ DNFTASGSVAFQRRLRNNILTYIKGSDSILLDSMDVIYKKWFYFSCSKSVTQEELVDF RSLAGILASMSGILSDMQELEKSKNLSANGEDSSSFDSRNPAYEVHNRLKFELTKKMN YFVSKQCQWLNNPNLLTRENSRDILSIELHPLSFNLLFDNLGLKIDELMSIDLSTPHE DSSFVLLEQIIIIIRTILRRDDDEKIMLLFLTDLLDAVNKLTEIVEKISVKSSKYYKG VIQMSKMFRAFEHSEMNLGISNHFHLKNKWLKLVIGWFKLSINKDYDFENLSKPLREM DLQKRDEDFLYIDTSIESAKALAYLTRNVPLEIPPSSSKEDWNRSSTVSFGNHFTILL KGLEKSADLNQFPVSLRHKISILNENVIVALTNLSNANVSVSLKFTLPMGYSTNKDIR IAFLRVFIDIVSNYPINPEKHEMDKTLAIDDLLKYLIKNPILAFFGSLACSPADVDLY AGGFLNAFDTRNASHILVTELLKQEIKRAARSDDILRRNSCATRALSLYTRSRGNRYL TKTLRPVLQEIVDNKESFEIDKMKPGSENSEEMLDLFEKYMTRLIDAITNSINDFPIE LVDICKTIYNAASVNFPEYAYIAVGSFVFLRFIGPALVSPDSENIIMVTHAHDRKPFI TLARVIQSLANGRENIFKRDILASKEEFLKTCSDKIFNFLSELCRIPTDNFIVNVRED PTPISFDYSFLHKFIYLNEFNIRKEIIKESNLPGDFSFLKNTVMFNDKILGILGQPSM EIKNEIPPFVVENREKYPLLYEFMSRYAFKKVDVKEEEEDNAPFVHEAMTLDGIQIIV VTFTNCKYNNFVMDSLVYKALQIYARMWCSKHYVVLDCTTFYGGRANFQKLNTLFFSL MPEQASRNCMGCYYFNVNKSFMDQWATSYTVENPYLVSAIPHCFINSNTDQSLIKSLG LSGRSLEVLKDVRVTLHDITLYDKEKKKFCPVSLKIGNKYFQVLHEIPQLYKVDLIKK TFSIKFNNVYKISNLISVDVSSTTGVSSEFTLSLDDEEKLVFCSPKYLEIVKMFYYAQ LKMEEEFGTDFSNDISFSTSSSAVNASDCNVKEVGEIISHLSLVILVGLFNEDDLVKN ISYNLLVATQEAFNLDFGTRLHKSPETYVPDDTTTFLALIFKAFSESSTELTPYIWKY MLDGLENDVIPQEHIPTVVCSLSYWVPNLYEHVYLANDEEGPEAISRIIYSLIRLTVK EPNFTTAYLQQIWFLLALDGRLTNIIVEEIVSHALDRDSENRDWMKAVSILTSFPTTE IACQVIEKLINMIRSFLPSLVVEASAHSWSELTILSKISVSIFFESPLLSQMYLPEIL FAVSLLIDVGPSEIRVSLYELLMNVCHSLTNNESLPERNRKNLDIVCATFARQKLNFI SGFSQEKGRVLPNFAASSFSSKFGTLDLFTKNIMLLMEYGSVSEGAQWETKYKKYLMD AIFGHRSFFSARAMMILGIMSKSHTSLFLCKELLVETMKVFAEPVVDDEQMFIIIAHV FTYSKIVEGLDPSSELMKELFWLATICVESPHPLLFEGGLLFMVNCLKRLYTVHLRLG FNGKSLAKKLMESRNFAASLLTKLESYNGCIWNEDNFPHIILGFITNGLSIPVVKGAA LDCLQALFKNTYYERKSNPKSSDYLCYLFLLHLILSPEQLSTLLLEVGFEDELVPLNN TMKVPLTLITWLSSDSEKSNIVLYQGALLFSCVMSDEPCKFRFALLMRYLLKVNPICV FRFYTLTRKELRRLSTLEQSSEAVTLSFELIGMLVTHSEFNYLEEFNDEMVELLKTRG LSVVKPLDIFDQEHLEKLKGEGEHQVAIYERKRLATMILARMSCS SPAR_B02400 MNQSDPQDRKNPPMEYSLTKHLFFDRLLLILPIESNLKTYADVE ADPVFNSCRSIILNIAITKDLNPIIENTLGLVDLIVQDEEITSDNITDDIAHSILVLL RLLSDVFEYYWDQNNDFKKIRNDNYKPGFSSHRPNFHTSRPKNTRINPALATVLLCRI SKLKFNTRTLKVLQNMSHHLSGSTTISKSSILPDSQEFLQKRNYPAYTDKIDLTIDYI QRFISASNHTEFTKCVKTKVVAPLFIYRTPQPSWA SPAR_B02410 MHSRKAKSITGKRNQVGSNVTRVIKPQKTRRIIRRFHHLINKRE SICQFLRLNKNLDESNDEKNDKIIRLSIKGDARLSKHYEDGKSQSFNDAMESQLLRLH SLIKNEAKSKNACDLTVMYTLLGYIMNQIDKLGGLETYQIASQNGQLKERGGDTSKLL EKWIRPSFENHSGAMALEIGSLSPENRISRCALFQEVVRIDLEEHEGVIKQDFMERPL PKNENDKFDLISCSLVLNFVKNHRDRGAMCHRMVKFLKPQGYVFIVLPQACVTHSRYC DKTLLQNLLGSIGLTMLHSHQSNKLYYCLYQLQTLLPQPSSFSKRIKVNDGPGLNNFG ITL SPAR_B02420 MGKKRAPQRKKSVTKPQEIIVDESKLNWKPVDIPDTLDDFGGFY GLEEIDGVDVKVVNGKVNFVAKNDSGVVKDNNREEVEDKQEFVENESGSNSESELLEF KNLDDIKEGELSAASYSSSDEDEQNDIENSKLTDRNEDVDEDVLKENVFNKDINIDDI SPVNLPEWTNLAPLSMTILQSLQNLNFLRPTEIQKKSIPVILQGKDVMGKASTGSGKT LAYGIPIVEKLISNFSQKNKKPISLIFTPTRELAHQVTDHLRKICEPVLAKSQYSILS LTGGLSIQKQQRLLKYDNSGQIVIATPGRFLELLEKDNALIKRFSKVDTLILDEADRL LQDGHFDEFEKIIKHLSVERRKNKENSEGTNKIWQTLIFSATFSIDLFDKLSSSRQVK DRKFKNNEDELNAVIHHLMNKIQFNSKPVIIDTNPESKVSSQIRESLMECPPLERDLY CYYFLTMFPGTTLIFCNAIDSVKKLTVYLNNLGIPAFQIHSSMTQKNRLKSLERFKQQ SAKQKTINHSNPNFIQLSTVLIASDVAARGLDIPGVQHVIHYHLPRSTDIYIHRSGRT ARAGSEGVSAMICSPQESMGPLRKLRKTLATKNSVSTDLKSKPINRKTIKWQNTVPLL PIETDILSQLRERSRLSGELADHEIASNSLRKDDNWLKKAADELGIDVDSDEDDISKS NSDTFLLKNKNKKMQKTINKDKVKAMRAELNELLSEPIRKDRRQKYLTGGLVNLADNL VKKRGHNSIIGHEKMDALETLKKKKKKNN SPAR_B02430 MDNEVEKNIEIWKVKKLVQSLEKARGNGTSMISLVIPPKGQIPL YQKMLTDEYGTASNIKSRVNRLSVLSAITSTQQKLKLYNTLPKNGLVLYCGDIITEDG KEKKVTFDIEPYKPINTSLYLCDNKFHTEVLSELLQADDKFGFIVMDGQGTLFGSVSG NTRTVLHKFTVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAEVAVQNFITNDKVNV KGLILAGSADFKTDLAKSELFDPRLACKVISIVDVSYGGENGFNQAIELSAEALANVK YVQEKKLLEAYFDEISQDTGKFCYGIDDTLKALDLGAVEKLIVFENLETIRYTFKDAE DNEVIKFAEPDAKDKSFAIDKATGQEMDVISEEPLIEWLAANYKNFGATLEFITDKSS EGAQFVTGFGGIGAMLRYKVNFEQLVDESEDEYYDEDEGSDYDFI SPAR_B02440 MSSQAIPKKQKAIVFYETDGKLEYSDVAVPEPKPNEILVHVKYS GVCHSDLHAWHGDWPFQLKFPLIGGHEGAGVVVKLGSNVKGWKVGDLAGIKWLNGTCM SCEYCEVGNESQCPHLDGTGFTHDGTFQEYATADAVQAAHIPSNVNLAEVAPILCAGI TVYKALKRANVIPGQWVTISGACGGLGSLAIQYALAMGYRVIGIDGGEAKRKLFEELG GEIFIDFTKEKDIADAVIKATNGGSHGVINVSVSEAAIEASTRYCRPNGTVVLVGMPA HAYCKSDVFNQVVKSISIVGSCVGNRADTREALDFFARGLIKSPIHLAGLSDVPEIFA KMEKGEIAGRYVVETSK SPAR_B02450 MFSRLSLFRRAALAPAPLRMSFRTIYQNTEDDLPKRIVPKLPTF YSANPNHEDRINRLERLLRKYIKLPSQNNNEVQQTKAPWISFDEYALIGGGTRLKPTQ YTQLLYMLNKLHNIDPQLTNDEITSELSQYYKKSSIFSDNIKVKTLDEFGRSMAIGKR KSSTSKVFVVRGTGEILVNGRQFNDYFLKMKDRESIMYPLQVIESVGKYNIFATTSGG GPTGQAESIMHAIAKALVVFNPLLKSRLHKAGVLTRDYRHVERKKPGKKKARKMPTWV KR SPAR_B02460 MKLIPVILNGKNISGISGSISISCWIVVFVPQIYENFRRQSAEG LSLLFIVLWLLGDIFNVMGAMMQNLLPTMIILAAYYTLADLILLIQCMWYDKEKKGIL QEVKKNVDPVHLSPANPINETVLQDVFNEYEPLLPRTEEEDSQSYSSLELGREVVAVK ERENFFNDLLIVSGVIIAGVFSWYISYCSGLDNDTPNRKPAFEKINLPAQILGYLSAI LYLGSRIPQIILNFKRKSCEGVSFLFFLFACLGNTSFIISVLSVSMDPEYLVLNASWL IGSAGTLLMDFTVFIQFFLYAKPKYEKILIDN SPAR_B02470 MSNLADTVEGSEAKCGRFSNIALTSDSGILQKNSTLRNWFLKPT ADQKGGCSDRVESSIKDVYSSDKSSQKTFEERKLGRRVRSFFKQTNSNKDGSMSEDED DASFWRKASNICTKRENSHNTGDIQKGSFTKKIRNSIFKGPNDGKEYSNEKSLLLPVE FSSDDENESRFTDANSHVVQSKSPEKISSKYQRSTKSANNKGLKMEYEKSFEEYSDEN EDEFSPATPPENVLEGPYKFVFQTPNTFTSQPNITEENDFHNGGRYVIDYLSKKLATM NIEIDFASGRKPGVSSEEELYQSSENIIESIANEISKYKMCTQEKQDELEKLKLENLK ISKLKHENLEQKQEINLLKTKLESINKKKNDLTIEMKKLKNKSANNKTREYASMDENE NEDITKSNTGLGILELNVNETSKKSQQSAFKPSKYLPRETRNNETRLKHLEKKIFGLE RSLEKKKKQIRTNSLRIDLNRYTIDRFLTLLKSLNEVLQFHNVYGNNLKENEDNIIKI DTYCSALNIKTCFEDSSLRLQENSFKRQLGPLFANFNFSLVDQLTMNFRFYERSANFQ KETIGGLRMMLEDKDNYIKTLMQHLKKKEGTKLIKDRKNDDPTLKS SPAR_B02480 MSSAVTSTESIVEKMLHPKTTEIYFSLNNGVRIPALGLGTANPH EKLAETKQAVKAAIKAGYRHIDTAWAYETEPFVGEAIKELLEDGSIKREDLFITTKVW PVLWDEVDRSLNESLKALGLDYVDLLLQHWPLCFEKIKDPKGISGLVKTPVDDSGKTM YASKGDYLETYKQLEKIYLDPNDHRVRAIGVSNFSIEYLERLIKECRIKPTVNQVETH PHLPQMELRKFCFMHDILLTAYSPLGSHGAPNLKIPLVKKLAEKYNVTGNDLLISYHI RQGTIVIPRSLNPVRISSSIEFASLTKDELQELNVFGEKYPVRFIDEPFAAILPEFTG NGPNLENLKY SPAR_B02490 MDSGFTSGHESMDDAKKQSSDWANSQQQDHRVENTGILMGSTVP AIPPVLSNFPPASNGPLMSPQMSPFPGHRLRHHPLAHMIPADKNFLAYSMESFKSRVT KACDYCRKRKIRCTEIEPISGKCGNCIKYNKDCTFRFHEELKRRREEALNNKENGKSV KKLKLDKENKLKDESFDAAARSSNTSSTGSPPKLQPTLSQEEIGASAAKSASDKEDNW SDFVPIDKTVLEKLELNHTKVSGKVFVLEEICKNMKGIIEKLVKERKVDTIDKEYMKR PKRKQYSKALITKQKMFHFRQNVLSHLTDEEFLSTINEMFTTTFKYSILQTKLVLDFS FRSASSPSSDNILYPLPRLAIAKRLLKNIKCPSLASLLHIVDVDQCLEFADMHYYPAK GRLTPSQAFLLNICLCLGATVTNFEEKQELVDEGTHETYCFEKFELWRLRSFTFLNSV YYYHKLSVARADMTALKALLLLAKFTQQKISASSAVKVLSVAIKVALDLRLNLHSTYE DLELDEIIKRRRLWCYCFSTDKFFSVVLSRPPFLKEENTDVLTDESYVELFRDKILPN LSIKYDNAKVEGVKDIISVVNLLADHLEYTPYIQSYFLSRLSLIESQIYYSCFSIRTT LDDTLDEIIDNVLENQKSLDRMRDDLPIILSLENYKQNMRILSLESPKLDFELNCCTT ILLHLRWYHQKITLSLFVISIIRDNLDQRESSRHDIAEIIRRSRLNFKQNCIEVLNIL KDFEYYPNVQNEFLYFSLTTVFSMFLYLSETMVNDENAMETGYIIGLLRDTHTRMLGS EERYLSIHNLKWQTSLFFYTFFLRSTMEKFNLTSKYAKFYAFDSNYYAAILDKLVKHT RDSKDDMVELLKTSFINKEKMAAFGSFVTEDQEKMEVSFNLFNEITIQDLNFLQYSSI PKLWENKTLNSGEEFNRINGINTGNNDNSDDDKGNNNNNNSNNSKINNKNNNKNNNND DDNDDDNNNNNNDDEEDDDYDRSLFPTGLTSLLDETFPERAVNEYSDENERSNKLFGK IEGHLEHGVFFYDRDFFFKNVCVKM SPAR_B02500 MAFLNIFKQKSGDESSQLTTKGRDQISQSIKICKRDDAADQHDC SGDCRTEIEEGERTFAKLKIEHETPLLNSSKTPKIHFVVPTSQIDWQHDACLEDPKSV QYRISKWCDKNSGKFSEVGTGKTLNCAVSSLPKDIMDIEVMRGTKNNVLILPYFVWLN DLRSDDVEATLDGLVPDLLNKNISREKLLETRPNVSVARERAFVFLCSHTTRDKRCGI TAPYLKKVFDSKLQEHGLYRDNSDYRPDGVKIAFVNHVGGHKFAANVQIYLRNPNTLI WLGRVTPTIVPSVVEHLIVPEEPTLPFPEKVRCIKKYQSW SPAR_B02510 MSFRHFKRRLETSSGDESSSVDEEDLDENASLSKKPASLKDSGL ASKSLEDPGKNHLPDNEQESNESDASLESEESNDGSDSSDDDDMKPLPRPLFMKKKAN NWQQATTIDQSPSIQSEAHVVQTKKENVMKNIDKANQVAKNYETMKLRIDTNYSTNEE LIKQCMLLNDDDEVDSEKERQKWLDRQNERKQKHRREEVAKQRESEEYEAKRFAAMQK DKDRHTKYDVNPDREKEQFDYKKQRLTEKAKKSHGNNRYKIKKAKNIEFGDLRKDGRD HQESEYSII SPAR_B02520 MSLTPLCGDLPQFLQNYLPNAVQTENTIQPFVTLTYAQSLDARI SRGPGVRTVISHPETKTMTHYLRYHHDGILIGSGTVLVDDPGLNCKWGPNSAENSPRP IILDTKQKWRFDGSKMQELFNKQQGKPPIVVVTSDPIIKEEHVEYAICSINEATKRID WKKLFEILKKEFNIRSIMVEGGANVINQLLLRSDIINSLIITIGSTFLGNSGTEVSPP QTVNLKDISWWKGTTDVILCARPADDRHC SPAR_B02530 MDQENERNISRLWRAFRTVKEMVKDRGYFITQEEVELPLEDFKA KYCDSMGRPQRKMMSFQANPTEESISKFPEMGSLWVEFCDEPSVGVKTMKTFVIHIQE KNFQTGIFVYQNNITPSAMKLVPSIPPATIETFNEAALVVNITHHELVPKHIRLSTDE KRELLKRYRLKESQLPRIQRADPVALYLGLKRGEVVKIIRKSETSGRYASYRICM SPAR_B02540 MSPTNPNAGYTKPQKYVPGPGDPELPPQLSEFKDKTSDEILKEM NRMPFFMTKLDETDGVGGENVELEALKALAYEGEPHEIAENFKKQGNELYKSKRFKDA RELYTKGIAVECEDKAINESLYANRAACELELKNYRRCIEDCSKALSINPKNVKCYYR TSKAFFQLNKLEEAKSAAIFANERIDPENKSILNMLSVIEKKEQELKVKEEKKQQEVQ DRENKKIMLESAMTLRNITNIKTRSPVELLNEGKIRLEDPMDFESQLIYPALIMYPTQ DEFDFVGEVSELTTVQELIDLVMDGPQERFKKEGKQNFTSKKVLVFMETKTGGLIKAG KKVTFHDILKKESPDVPLFDNALKIYIVPKAESEEWISKWDKQKALERRSM SPAR_B02550 MDWAIKAARKKTQRKPGSTRSIIETLDDLNNITTDAHAEINHRL QESSEWLRNNIYMNKVKHEDKNIEESLISPENTHNKMDVEFTKVKEEYELSNSQKDAA KDVTKTPRNVLHNDKSITPKSLRRREVTEGMNKFSIHDTHKSPIEPMNSIKANANDSE KSSPWSPYKVEKILKESSKTSESPLNIKRLDNQTWAAKEEVGDGPVLHTSKKVEPVKV RPPPTSETARSQRRSNMFVPLPNKDPLIVQHIPPIKSSGSIPKLRIAKESPVALKKKS TINSPALRATENNDTAGSTKASSVFDRLSSIPTKSFENKISRGNVGHKYSSSSIDLTG SPMRKVSQSFKPVNSTDTDMQEALRDIFSVKNKITKNNSPKGKNSRKSSIPRLDKTSL KLTTHKKLAIIAEQKKQYKHSSEAHKIDSRPRSTSPTKINIDSNSPSKDITNRYQSPI RGYLRPTKASISPNKNKNLATSQTPHRLKIKEKTLRKLSPNIADISKPESRKSKNYRL TNLQLLPPAEAERDDLKKKFDKRLSGIMRSQQEHHRRKQEKQKRMSHLEQDLKKQTSF SNDYKDTRLKESLPPFDNHVRDITNKNTTFNTENILATINTVDHREIIGNVTPKVASA NDSLPEINTDSEDEASVTLAAWAKSPYLQEQLLRQQDINPQTIFGPIPPLHTDEIFPN PRLNRLKPRPIVPKRP SPAR_B02560 MGKFEQREKERISTFSFPNTGSQSSTSIKSLGSPLYGRFSSLSS TESQFDRSKQPHEYEKNFYFEESQGEALFNKLKTYSFPEDKDGVKTRRNSSICPKKPN AVSPLRVESNEFSLHSHSRSLSHEFAKSSGRRKSYHRKSHAISFSRSCKPDFIDEYDS NSSTSFNSRKTSLTSSFLDKDYNSSPDTSYTHQVSPKNTIINTNEQLRRNASGRFGSL KEFAEKNQINIEGKIFAHKAETGDILQPLIDLDIDNE SPAR_B02570 MKLERVSSNGSFKRGRDIQSLESPCTRPLKKMSPSSSFTSLKMK KPFKDIVRKYRGHLHQSNCRPGSNKLELARPDLSLITDQSFLQSSVQTTPNNKTCSEY ISTPEATPLKNTATENAWATSRVVSATSLSIVTPTEIKNVLVDEFSELKLVQPLTTQN QQSHAVFEIPEIVENIIKMTVSLESANIPKERPCLRRNPQSYEHSLLMYKDEERAKKA WSQTQQLRDPPLVRHKEKKQGALFSCMMVNRLWLKITRPFLFESLHFKSAHNFKDFLR ASQETTQIMKPSHFILHKLHQVTQPDIEKLSRKMECENLKWLEFYVCPRITPPLSWFN NLQKLEKLTIPGNKNIDDNFLLRLSQSIPNLKHLDLRACDNVSDSGVVCIALNCPKLK TFNIGRHRHGNLITSVSLVALGKYTQVETVGFAGCDIDDAGIWEFARLNGKNVERLSL NSCRLLTDYSLPILFALNSFPNLAVLEIRNLDKITDVRHFVKYNLWKKSLDAPILIEA CERITKLINEEENRVKRINSLIALKDMTAWVNADDEIQNHA SPAR_B02580 MTFVQQLQEAGERFKCINGLLWVIFGLGVLKCTTLSLRFLALIF DLFLLPAVSFDKYGAKTGKYCVVTGASDGIGKEFARQMAKRGFNLILISRTQSKLEAL QKELEDEHHVVVKILAIDIAEDTETNYESIKELCAHLPITVLVNNVGQSHSIPVPFLE TEEKELRDIITINNTATLLITQIIVPRIVETVKAEKKNAGTRGLVLTMGSFGGLIPTP LLATYSGSKSFLQSWSNSLAGELSKDAIDVELIISYLVTSSMSKIRRSSLMIPNPQQF VKSTLKSVGRRCGSQDRYATMTPYWAHAVYQFVITETFGVYSKIVNSINYTFHKSIRI RALKKAARQVKKE SPAR_B02590 MSGELANYKRLEKVGEGTYGVVYKALDLRPGQGQRVVALKKIRL ESEDEGVPSTAIREISLLKELKDDNIVRLYDIVHSDAHKLYLVFEFLDLDLKRYMEGI PKDQPLGADIVKKFMMQLCKGIAYCHSHRILHRDLKPQNLLINKDGNLKLGDFGLARA FGVPLRAYTHEIVTLWYRAPEVLLGGKQYSTGVDTWSIGCIFAEMCNRKPIFSGDSEI DQIFKIFRVLGTPNEAIWPDIVYLPDFKSSFPQWRRKDLSQVVPSLDPRGIDLLDKLL AYDPINRISARRAAIHPYFQES SPAR_B02600 MRKELKILIIANIALLFSIIHYTFDLLTLCIDDTFKDALTDEEL NPPKGFNSTLYESPPQLIPKIIHQTYKTNDIPEQWVKGRQKCIDLHPDYTYILWTDDM SDSFIKQEYPWFLDTFRSYEYPIERADAIRYFILSHYGGIYIDLDDGCERRLDPLLTV PAFLRKTSPTGVSNDVMGSVPRHPFFLKVIKSLKHYKKNWYIPYMTIMGSTGPLFISV VWKQYKRWSNTAENGAVRILQPADYKMHHNSFFSISKGSSWHTGDANFMKTLENHILS CVVTGFIFGFFILYGEYTFYTWLCSGPFNNKRYYIQWLSDKFKLRKWKLTSFKDREKS RTLARHEYTSKGKRLRKDSNIPYDSVFLDIEKNHAKFTDLT SPAR_B02610 MLQKLSITALVGLFSSVASLVNADCTYSGGNYYCAQTDAIIYSN VGLSATYQDVTNMDESSCACTQADFTSSGSLAPFNEELSIHFRGPIELLQFGVYYPNG ESNALKKRSEKQLIESCNEQGETVVSRHKHQHKREVAVEYVQVTSTVYVDGNGQTVTA DSTNTVVGPAVPSAYTKVSTVLSSSAQAVETSESQSSTSSSRTTSSAAAASPSSSSSS SSNTNGDWSRGSYFVPGSTSNCTFMNNQGGTAGSGVWSSCFGNSISFAASDGVSGAAS AQALGDVTIKSGNEFMIFSGEECSGNNGDCGYYREGIPAYHGFGGADKIFVFEFSMPS DTSGSAYNQDMPAIWLLNAKIPRTLQYGDASCSCWKTGCGEMDLFEILTAGSDKLISH IHDGQDGGTQDYFDRPTDGTLKAAVIFNSSDKTIHIIEVDESFDATLSDDVVDQWLSK SGSSAALP SPAR_B02620 MAVQTPKQRLANAKFNKNNEKYRKYGKKKESKTDKTPPMISKTW LGILLFLLVGGGVLELISYIL SPAR_B02630 MLNRALNNRYGFLIHPRRFVHLKDKSLDGASVLSSKKNHTYDVP TDDPSGILNASDIDRINNLPFFDNIGETKEANTKEGVLLSEKLANVKELFGGDPENPS FINYRFPRDLGNPYIDIQLNQLKKKRLSVTQLCTTQNWCELRNFYDFYSQNLSNQLLN LKFQVQKGKKIHKSLEDETHPELNQYNSFTHDFLALTKLSMDIDGEMDALLDNWFNSI NRLISLFTRGDGHAREIVCHGFINLQDGKLIQQLLNNDSKTKENVIISGIIDHLTLRN KHSHQVEKGMVHLDTEHQSWGNVLAELLLNLKHLKSNNEVLISDIKTRSVPKTPSIES VIESSKLQTMYYKFFFFHLSQDMTQTYHSFLINAQRRGLDVDAPINPTKILTLILTNP LFANDVKNLLYGQPINHVAFDSDTKGSNTFDMTAFNDLLDRGPTSFNVPIEQEEDSSE PTKCISLRDYEYFYTKWKTPLTLKYFAARLSQIYYIVGNLVSNDLMIEYYYHNDNFHN IIFPYDPVKLETHARDSAMVWFGHRDMHPIEPTQKNFNTYCKFCDYRHVCSWKNKSKL KLVDLGKELKKIILESSME SPAR_B02640 MGNIFSSMFDKLWGSNKELRILILGLDGAGKTTILYRLQIGEVV TTKPTIGFNVETLSYKNLKLNVWDLGGQTSIRPYWRCYYADTAAVIFVVDSTDKDRMS TASKELHLMLQEEELQDAALLVFANKQDQPGALSASEVSKELNLVELKDRSWSIVASS AIKGEGITEGLDWLIDVIKEEQL SPAR_B02650 MAHPLTRKLLKDWKYFMRHPEKTQGLFHVRPHDSDLHLWHVVMY EPRTSLEVYLLLYIGGNDQDPYIIMKCLSPNSCFPINRTVSMTHLNYLLSKDLGLQDL LSHIWQPLFHIQATEDLQYSPSMVKFNKAWNRIIYKDFKSYFPELIGTLQPGDYSIVK SYSKNLNISNSNSNSVNEFMSFYNSQSHNFHVQDNNKNPYINNSIGKTGISSTLNNNN NNKKTHDYNTIDFMTRNLLACDDDSIHPVVSSKRSRTSTCPDEINENRSNEHYTKRKR I SPAR_B02660 MVPEDKIEQWKATKVIGIIGLGDMGLLYANKFTDAGWKVICCDR EEYYDELKEKYASSKFELVKNGHLVSRKSDYIIYSVEASNISKIVAMYGPSSKVGTIV GGQTSCKLPEIEAFEQYLPKDCDIITVHSLHGPKVNTEGQPLVIINYRSQYPESFEFV NSVMSCLKSKQVYLTYEEHDKITADTQAVTHAAFLSMGSAWAKIKIYPWTLGVNKWYG GLENVKVNISLRIYSNKWHVYAGLAITNPSAHQQILQYATSATELFSLMIDNKEQELT DRLLRAKQFVFGKHTGLLLLDDTILEKYSLSKNSVGDSTNSKPVPNSHLSLLAIVDSW FQLGIDPYDHMICSTPLFRIFLGVSEYLFLKPGLLEQTIDAALHDKSFIKDDLEFVIS AREWSSVVSFANFDIYKKQFQSVQKFFEPMLPEANLIGNEMIKTILSHSKERSAVKKI NTESF SPAR_B02670 MALKENTKIYPTKRVRKHSSLKTLTHKQIHTTIFVKSTTPYVSA LKRINKFLESVRRHGSSYVTVLGMGKAVEKTLALGCHFQDQKNKKIEVFTKTIEVIDE VITEEQADIEIKSDVEDDDKETRLKKRTLSGVEVRIYV SPAR_B02680 MDTNSKAKVQSENKKVKAKFIHNHGQKPSLIQITPPMISSTLFH AYPLLLLFDNALANIMWLSDDKCLTFIYLTSIWLTISFFIPIEIEVSYVQPFTEIFRL WLGIISGAFLFLSFMYYVVSLITSLRDTEPPTLDEIVVLLESVLGKLEVLRNELNIWK KLKFSFNGVNEKCSNKRLFCRLFLLGTIFQIIIMRYISPGAYTRFFIIAGLVYHTTSF QATLRLLWRFTPVRNFYYLGIKSFKISNLLPNHLNMKHIIILSQEGAITVPLVEVLPR LLQGKEGEDHIHILQLLLNEKKDNFDNQDLKILEIEIHENQRRWYQSKNWSTNLLPYE RQNYSIEVKNTEGTLTMKSCLPTHKLGEEELPDNWHWINDVWDGTDWVYSDSAWREIG QYSSSESFTRSRKWKRRLFHL SPAR_B02690 MSTPFGLDLGNNNSVLAVARNRGIDVVVNEVSNRSTPSLVGFGP RNRYLGESGKTKQTSNVKNTVENLKRIIGLKFKDPEFDIENKFFTSKLVQLKNGKVGA EVKFGGKTHIFSATQLTAMFIDKVKHTVQQETKSSITDVCLAVPVWYSEEQRYNIADA ARIAGLNPVRIVNDVTAAAVSYGVFKDDLPGPEEKPRIIGLVDIGHSTYTCSIMAFRK GEMKVLGTAYDKHFGGRNFDRAITEHFADQFKDKYKIDIRKNPKAYNRILIAAEKLKK VLSANSTAPFSVESVMDDIDVSSQLSREELEELVKPLLKRVTYPISNALTQAKLSVND IDFVEIIGGTTRIPVLKKSVSDAFGKPLSSTLNQDEAVAKGAAFICAIHSPTLRVRPF KFEDIDPYSVSYTWDKQVDDEDHLEVFPANSSYPSTKLITLHRTGDFHMKAIYTDPSK LPKGSSATIAKWRFTGVSVPKGKDFVPVKVKLRCDPSGLHIIENAYTMEDITVQEPVP LPEDAPEDAEPQFKEVTKTVKKDMLGMTAETFALNPVELNDLIEKENELTNQDKLVAE TEDRKNALEEYIYTLRAKLDNEYSDFASDAEKQKLKNMLASTENWLYGDGDDSTKAKY IAKYEELASLGNVIRARYVAKEEEKRQALRANQETSKTNDIAEKLAGQRRAQAASDDN DDDNDENMDLD SPAR_B02700 MLIRFRTKNGTHRVSCQENDLFGTVIEKLLGNLDPDADVDTFTV CEKPGQGTHAVSELVNRTVADLGLKHGDMLLLNYSNKSANEKDDVDVGIGSVDIGNKN KRQHECGPLKIRELGVDEELEKEDGLIPRQKSKLCKHGDRGMCEYCSPLPPWDKEYHE KNKIKHISFHSYLKKLNENANKKENGSSYIAPLTEPDFRINKRCHNGHEPWPRGICSK CQPSAITLQQQEFRMVDHVEFQKSEIINEFIQAWRYTGMQRYGYMYGSYSKYDNTPLG IKAVVEAIYEPPQHDEQDGLTMDVEQVKSEMLQIDKQAQEMGLSRIGLIFTDLSDAGA GDGSVFCKRHKDSFFLSSLEVIMAARHQSRHPNISRYSEQGFFSSKFVTCVISGNLEG EIDISSYQVSTEAEALVTADMICGSTFPSMAYINDTTDERYVPEIFYMKSNEYGITVK ENAKPAFPVDYLLVTLTHGFPNSDAETNSKFITSIGFPWSNRQAMGQSQDYQELKKYL FHVASSGDFNLLHEKISNFHLLLYLNSLQILSPDEWKLLIESAVKTGWEESLLKLVSS AGWQTLVMILQESG SPAR_B02710 MSEFNETKFSNNGTFFETEEPIVETKSVSVYTPLIYVFILVVSL VMFASNYRKNQAKKISEQPSIFDENDAHDLYFQIKEMSENEKIHEKVLKAALLNRGAE SVRRSLKLKELAPQINLLYKNGSIGEDYWKRFETEVKLIELEFKDTLQEAEKLQPGWV QLFVMVCKEICFNQALSRRYQSILKRKEVCIKEWELKINNEGRLTN SPAR_B02720 MIAPDSQRLFGSFNEQFKDLKLDSMDTEKNSTNRTSTGVDSSQP GVNNNTNGAVAAGMNTAPGSTFRSNTPLLGGRHPLSRTSSLIDSIGIQRAASPFTSVK EPFIPQSSGVMGSSFWHGDHPESRVSTPVQQHPLLQRNDSSSSFSYAANLGMNLSTHS LAVDITPLGTPTAAQSHVNLFPSSDIPPTASISGITQLSTPVSVESSWRYIDTQGQIH GPFTTQLMSQWYIGGYFASTLQISRLGNTPETLGINDIFITLGELMTKLQKYDTDPFT TFDKMHVQPSNTDSINLALAPYTSDTATITTDAIKAAENDIFKPLTHENIWDMDGGTI PKGVDIKLGSVTAIGQKNETFKPDKSTSIPEKGKKEKSESVAKALEEQEKRSEELKRK EETRLLKKQKQKEDELLKRQKEQKELLKKQKEKEALEAKKQKKSEKTERDTQTHTEDF KTSKDLSSLNSSSAKSIPWSSKVIVNTAVDTSIKNDVTSTEKNKGEILGLQQRNSKEE KQKQELKSVLNWANKSNPLPDQTIDIKSQFQKNPKDVKESPSLKELKDPNFIEEQKKL WEKVQSSSRQIKSTTWTTVTSKAKTPIGTVVSPYSKANTGSNSSTTMTTTITSTTTTF ASMNNVSPRQEFIKWCKSQMKLNSGITNNNVLELLLSLPTGPESKELIQETIYANSDV MDGRRFATEFIKRRAECEKQGGDPLSWNEALALSGNDDDDWEFQVVSKKKGRKH SPAR_B02730 MNIVPQGNFKSQVSTDQDGSVLSSAVPSLPDALRQQEGGAVPLS TQLNDRHPLELTLKHWETTQRQRQMEQYRQIFGIAEPMKRTMEMEIVNRTDFNPLSTS GSIHRDILLNKECSMDWEDVYPGTGLQASTMVGDDVHSKIEKQLGI SPAR_B02740 MFQFVASVATQDGLKATCAKISPDGQFLAITQGLNILIYDANKQ AVSQTLVTSHARPFSELCWSPDGQCLATASDDFSVEIIHLSYGLLHTFVGHTAPVISL TFNRKGNLLFTSSMDESIKIWDTLNGSLMKTISAHSEAVVSVDVPMNDSSILSSGSYD GLIRIFDAETGHCLKTLTYDKDWKRENGVVPISQVKFSENARYLLVKSLDGVVKIWDC IGGCVVRTFQVQPLEKGVLHHSCGMDFLNPEDGSAPLVISGYENGDIYCWNSDTKSLL QLLDGSSYHHSSPVMSIHCFGNTMCSLALNGDCCLWRWVEE SPAR_B02750 MNIMKRQLCSFPNRFFSTAKNVIKYNTIQDIRNKYITGTPLSMC TAYDFITATWVNRANCDLLLIGDSLAMTSLGYDSTITLSLNEFKYHVASVCRAEGSSM VVVDMPFGTFESGISDGLKNAIDIMKLHSKVTSVKVEVGSYTKDKYTMKFIEELCSRG IPVMAHIGLTPQKVHSLGGYKVQGNKSLSQMQELYETAMHLQKIGCWSILIECVPHKM AQFITSKLSVPTIGIGAGNGTSGQVLVISDLLGMQGDSVPKFVKQTVNMSDIATQGLK EYIANVEDGTFPERGTHTFKVKEELWNEFLSSINEK SPAR_B02760 MSEVSKWPAINPFHWGYNGTVSHVVGENGSIKLNLKDNKEQVEF DEFVNKYVPTLKNGAQFKLSPYLFTGILQTLYLNAADFSKKFPVFYGREIVKFSDNGV CTADWLMDSWKKDYKLDQSTMGFDKKKFGEDEKETHPEGWPRLQPRTRYLKDNELENV REVDLPLVVILHGLAGGSHEPIIRSLAENLSRSGRFQVVVLNTRGCARSKITTRNLFT AYHTMDIREFLQREKERYPNRKLYAVGCSFGATMLGNYLGEEGDKSPLSAAATLCNPW DLLLSALRMTEDWWSKTLFSKNIAQFLTRTVQVNMGELGVPNGSRPDHPPTVKNPSYY MFTPENLIKAKSFKSSLEFDELYTAPALGFPNAMEYYKAASSISRVDTIRVPTLVINS RDDPVVGPDQPYSIVEKNPRVLYCRTDLGGHLAYLDKDNNSWATKAIAEFFTKFDELV V SPAR_B02770 MSEGKQQFKGSNKQHKSSTDQDDDAATMVPQTLTYSRNEGQFLN NNLCGPTDDHTTLFDGEEGHREDDLLPSLRTSSSKAHLISSQLSQWNYNNNRVLLKRS ILKTQAFMDQLQEENNIRPIFIAANDEREKLHVLQLNIKLDGHYSTKEKNSFNIEKKA LSKLFQSQIESVTNHLNALKKRVDDVSSKVFITGDVNTGKSALCNSLLKQRLLPEDQL PCTNVFSEILEARENDGIEEVHAIPLNIAPTLKEAIDMYSIQNPKTYEIHTLKELPNL VPQNEKYALLKIYIKDDKRPASTSLLRNGTVDISLIDSPGLNMDSLQTAEVMSRQEEI DLVIFVVNAENQLTLSAKEFISLASREKKLMFFVVKKFDKIRDKQRCKELILKQIRDL SPETHKRAADFVHFVSKNGDEMPHYNNGNDNEDHGDGKPDDDPYSNSDPDPDFDSLED SLRNFVLKKRSLSKLLPAKTYLSKLLSDITMISKSNMKMYSEEEIKIDEQLETLRPEI LTARTKCNDLTTSVDQMAEGTITMTYNNTKEALLNALDVPLHEYPKYQGLAQIYDFIF STEAFIANQIDESISLSESFAKQKTDALVKKIYEIGKNELGDDFMCERVFKSELMFRK RKHLIGKRLRVSLSITDLFAPSWKGFLSYLSWQKPVTAQLPDIEGQTNEDRNSIMKSL GLKNYPLTQYWSRPSLLFTSKIPTLTLYFLGSTKVVGNIILNGIKLSSWSSLKKLSVP VAVVGSLLGLTYLIHDLPRALPMNLSSKYKRKLQELDYIHLNAQRTSNEVRDVLRVPT REILRSCEIIMDKKQVTKKELESKKENNSLSIKFFESLYEGTMAQKLMVEEINLDID SPAR_B02780 MESKSFQKRNLDLQINSQDSGTSRSTFHSLQDLGDDVINESWDR VNQKKIDIDNRVFHEHPDSSPSLSAQKANTKEEEVAIKSSNSQSRDPSPGSQANIPYT YFTKDRRLVIFGIIIFIGFLGPMSGNIYIPALPLLQREYNVSATTINATVSVFMAVFS VGPLFWGALADFGGRKFLYMVSLSLMLIVNILLAAVPVNIAALFVLRIFQAFASSSVI SLGAGTVTDVVPPKHRGKAIAYFMMGPNMGPIIAPIVAGLILMKGNYWRWLFGFTSIM AGIALILVTALLPETLRCIVGNGDPKWGEKKDESENNGLSSFKSNETSYWRLFPDMGI RKPVTDDAFFQQKFPKPPKAGLTLYWKMIKCPPIIITSVSTALLFSSYYAFSVTFSYY LENDYNFTMLEIGAAYVCPGVAMLLGSQSGGHLSDYLRLRWIKNHPEKKFPAEFRLLL NLIGILLTICGTVGYGWAIFFHYHFVVLLVFSALTAFGMTWCSNTSMTYLTELFPKRA AGTVAVSSFFRNVGAAISSAIILQLCNAMGIGWCFTGLGICSLVSLIGILYLLIFQRK YTAKEF SPAR_B02790 MAANWILAVMRLFDPFMFVMVMGVGISSNVLYNFPYPARWLRIC SYIMFAITCLIFIAVQALQLLHLIIYIMEKSFREYFNDFFRNMKHNLFWGTYPMGLVT IINFLGALSKEYTTKSPTNSKNLMVFVYFLWWYDLAVCLVIAWGITFLIWHDYYSLEG IGNYPSYNIRMASENMKIVLLLDIVPLIVVASSCGTFTMSEIFGHAFNRNIQLITLVI CALTWLHAIIFIFILMAIYFWSLYINKIPPMTQVSTLFLLLGPMGQGSFGVLLLTDNI KKYVDKYYQTDNVTREQEILAIAVPWCFKVLGIISAIGLLSMGYFFTVISVLSILSYY NKKEIESETGKVKRVYTFQKGFWGMTFTMGTMSRGNEELYVQYDQYVPLYAFRVLGTI YGGVCVCWSILCLLCTLHGYSKKVLHAASKSSLFSVSDTEKTIISPYNKQH SPAR_B02800 MWYASDLATRVSHAKEYGLYQNLPLDKEHLSFNWPEIKQKRDAY VHRLNGIYQKNLDKEKVDVVFGWARFNKDGNVEVQKRDNTTEVYSANHILIATGGKAI FPESIPGFELGTDSDGFFRLEEQPKKVVDVGAGYIGIELAGVFRGLGSETHLVIRGET VLRKFDECIQSTITDHYINEGINVH SPAR_B02810 MKLNISYPVNGSQKTFEIDDEHRIRVFFDKRIGQEVDGEAVGDE FKGYVFKISGGNDKQGFPMKQGVLLPTRIKLLLTKNVSCYRPRRNGERKRKSVRGAIV GPDLAVLALVIIKKGEQELEGLTDTTVPKRLGPKRANNIRKFFGLSKEDDVRDFVIRR EVTKGEKTYTKAPKIQRLVTPQRLQRKRHQRALKVRNAQAQREAAAEYAQLLAKRLSE RKAEKAEIRKRRASSLKA SPAR_B02820 MGRRKIEIEPIKDDRNRTVTFIKRKAGLFKKAHELSVLCQVDIA VIILGSNNTFYEYSSVDMSNLLTVHQNNIDLPHNIIEPSDYGDYVKKPRVVLNERKRR RRRAAVLQPASRSGSSTASSQDSSSIQNNRNLSAPSAPNDAGNTCVSTPLVHYEGGIS RSGSSNSNCTRNNAEYPVFQDCLHPGGNFHANNYKESVDQQLLANETLHRDFMNKRIR LDTRPLLPGSNQSSYHNFYPSPYENLPKPSLPTSLVGNIPPFQSQFVQVIPANTNLTG RTFNGTGDNETIETRQKIPPAVTISNASDGPAPVQTMVHHLNQLNSNRGKLSGKPYLK LSIPGATSDPCQKSPVVYSATASPKTDLQPTPEHVLSSNMSSPLSRSKILALKNNDVD GSYHNGRCASTHVNNKAFFLKPPIGRPPKFPKSPSSSIVVFPSSVASSSVKSTNSTNS PD SPAR_B02830 MGIFRWNYPENSVPGFWGETTSTIDWCEENYVVSPYIAEWSNTL TNSVFILSAIYTTYSAYKNKLEKRFLLIGFGYGLVGVGSWLFHMTLKYRFQLLDELPM IYAMCIPTWSLVCEAKEALLNGDNHKKVPLFEQIFIGIIIALAVTTASILYVIYKNVD IHQILFGVQIVVVAATAGSLTYRYVHDPLAKRNLKASMALGAILFLSGYVSWLLDIHF CSFWVHIRRSILALPLGVLLEPHGWWHILTGMGIYFYIVSLEHLRVITLNVSCNYLFI WRWKVFPELIWKGRNPSTRYSLELFGPYVEDQSLEAKKKR SPAR_B02840 MDRNNVLNNISVSGKSNFQYEQNGKRRLKNQKRPIITFNSNAEY AISEHEKYTNYEETTDSIATNMCPYYRKGGILMDAPQSVMNQRANTSIGEDKKYVSEH NSGILNPGNKVELSMKATPCLKQEDCHFEGGHDWSQLSTSKICKILEDISGKKHKTRG QLAPLQKVKYPQKIGNQKTDKKNQNWSQIPNEDICALIEKISSRRNKNPKRINRSCSQ IQEMLGGIDSAENRIDKGEITDSPLFTAVRENEDVLGYNFGSKGKIPKAICLPHHKEK IQLVSFLQMKKNELGTTCKNHEGELVLRKFDDKVTVNSNCATNNYFNEVIATLNYSVY HEMTVYTSFILNPNVGDNIWGSRKCAFQLLKPEAVDTTNNMHHFTLPQDIHGDSIIVV SKYQFDPNNLVVELRYSSKKLRLLHSIFGTGSKKILYHLIPHNITTVKEDCPSDTEYS KKRSQKNVLKYRMYSNSSLVLKEISAHGLDSVESFARSQSPENKRELSDINYVQGSVT HNRSILACLGDFFHRFYFKSCSGKTDLSETLFYDNSTEKWVKMGELVHQ SPAR_B02850 MSVLRSTCFLSPAKLLLISFNKQRLFSTSRLILNNESETTKKKD KNKQQDFNPRHLGVAADIFIPTAYKNLPNVFAHPLIVANALIRRLYTFGLNSVQVALF RFQSGIKPSFLLWKNKAIETYINVNTSFAHKNLSDIKGLVSLWVQEALEARSRQLPGN ATLDWQLIKFNAVPKLVSVQPIMIPGMPLEHLQLVYKFDTKQRLIKVNQQTKKSEILD RDVVDYIAFLCDATTNDVILMGSLFESKPNDKLPKSYEDDAKVAIHRMKVNGDIYRLP PS SPAR_B02860 MNYVVDLQVRGSSLRVIRCMFREEEQSSSLQSGFDSKQDSSKKL GEFLNLLKAVVKRKLESFPKNRLKTSIITSQELMREGQGSIEIRDPPTEAQQHLIRSL AKVLLHQFSSISGTARTVNEGQDNLFLSLFVKKISIEQLSVAYVPIKLNFHEKINLDQ HIDSILESEGIDELNTYQVGPVDKFIIYPFCCLEEQGEVKNGSILSTEFDKIDLEVDE GDDFEGETLNTRTNSAGNFDTPLSKQTLSLVNISYLPGTNFEGQWESLYFGNNIKERL YSYATISLKIARFKQTGDFNQEDIKTLITNNKLLLVHGPPGTGKTTLCKALCQKLSVR REFSAAYDTIDTSYKGIIIELSCSRIFSKWFGESSKNISVIFKDIEELLKVNEKHGTF ICLLIDEVEAIASSRTNLSNRNESTDGIRVVNTLLTQLDRLKKYHNFLALATSNLLDS LDDAFVDRADGVFYIGNPTAEGILHILRVCIKEMITLGIILFHARSSRFSFFDKYQDV LRKIAIKCSTLDISGRTTRKLPLMCLSEYFRTFPVDDDEFILALAMSVRKLGAARK SPAR_B02870 MGNMIKKASIMALLPLLAAAATAATAAADADTSMEPETSSHLKS FLMSITMIGLSEIGDKTFLIAALMAMRHKRLLVFSAAASSLAIMTVLSGVVGHSVVAF LSERYTAFFAGILFLVFGYKLTMEGLEMSKDAGVEEEMAEVEEEIAIRDINKDMDDVE KGGETAYDKQLKNVPSGRKIVHRIRELASFMFSPVWVQIFLMVFLGELGDRSQISIIA MATDSDYWYVIAGAVIGHAICTGLAVVGGKLLATRISIRTITLASSLLFFIFALMYIY QAFTTQD SPAR_B02880 MPFLRDLSLKRNQRLNQLRSRVNQSRKTNEGETSDFVSSDVLNE PVNDTVAQTAQASKSSNIFEQKTIEERKQKESHKPIIIVQKNEHELRIDKVCETSDLK AKLAPSMEMLEKKTNEKIKGIIRKKILQEPDRDNNNSS SPAR_B02890 MPRAPRTYSKTYSTPKRPYESSRLDAELKLAGEFGLKNKKEIYR ISFQLSKIRRAARDLLTRDEKDPKRLFEGNALIRRLVRVGVLSEDKKKLDYVLALKVE DFLERRLQTQVYKLGLAKSVHHARVLITQRHIAVGKQIVNIPSFMVRLDSEKHIDFAP TSPFGGARPGRVARRNAARKAEASGEAAEEAEDEE SPAR_B02900 MGKSHGYRSRTRYMFQRDFRKHGAVHLSTYLKVYKVGDIVDIKA NGSIQKGMPHKFYQGKTGVVYNVTKSSVGVIINKMVGNRYLEKRLNLRVEHIKHSKCR QEFLERVKANAAKRAEAKAQGVAVQLKRQPAQPRESRIVSTEGNVPQTLAPVPYETFI SPAR_B02910 MPKKSIEEWEEDAIESVPYLASDEKGSNYKEATQIPLDSKQSEI ENHPTVKPWVHFVAGGIGGMAGAVVTCPFDLVKTRLQSDIFLKAYKSKAVNISKGSTR PKSINYVIQAGTHFKETLGIIGNVYKQEGFRSLFKGLGPNLVGVIPARSINFFTYGTT KDMYAKAFNNGQETPMIHLMAAATAGWATATATNPIWLIKTRVQLDKAGKTSVRQYKN SWDCLKSVIRNEGFTGLYKGLSASYLGSVEGILQWLLYEQMKRLIKERSIEKFGYQAE GAKSKSEKVKEWCQRSGSAGLAKFVASIATYPHEVVRTRLRQTPKENGKRKYTGLIQS FKVIIKEEGLFSMYSGLTPHLMRTVPNSIIMFGTWEIVIRLLS SPAR_B02920 MSQSSTSLVPEGNQGSLQEDVNFDFNGVPGQALDAVRMRLAQLT HSLRRIRDEMSKAELPQWYTLQSQLNVTLSQLVSVTSTLQHFQETLDSTVVYPLPKFP TTSHESLVTTLLRKKNIPEVDEWMKYVKETSGITTALLKDEEIEKLLQQDKEITNWAR STFRNEYGKHDFKNEESLSEEHDSLLVRDSKPSKPFNVDDILKFTFTGEKPTIAGSAS TSPTS SPAR_B02930 MDRRKDPNSNSTEKRISKVQHPNRKKVRKQVELLSIDRDRNQEE PPQQARSKDRLEAARGHTLGPEKENGELGIRSIFYDKDWNQRGIAPPHYRNIPYNPET FKRRTEVQPRLGNLGNIKIPK SPAR_B02940 MNKCVEDTTNEASNIPIDLQERYSHWKKNTKLLYDYLNTNSTKW PSLTCQFFPDLDTTSDEHRILLSSFTSSQKPEDETIYISRISTLGHIKWSSLNNFDTD EMEFKPENSTRYPSKHLVNDISIFFPNGECNRARYLPQNPDIIAGASSDGAIYIFDRT KHGSTRIRQSKISHPFETKLFGSHDVIQDVEAMDTSSADINEATSLAWNLQQEALLLS SHSNGQVQVWDIKQYSHENPIIDLPLASINSDGSAVNDVTWMPTHDSLFAACTEGNAV SLFDLRTKKEKLKSNCKKHDGGVNSCKFNYKNSLILASADSKGRINLWDIRNMNESPI TTMEHGASVSTLEWSPNFDTVLATAGQEDGLVKLWDTSCEETMFTHGGHMLGVNDISW DVHDPWLMCSVANDNSVHIWKPAENLVGYS SPAR_B02950 MSNNSFTNFKLATELPAWSKLQKIYESQGKTLSVKQEFQKDAKR FEKLNKTFTNYDGSKILFDYSKNLVNDEIIAALIELAKEANVTGLRDAMFKGEHINST EDRAVYHVALRNRANKPMYVDGVNVAPEVDSVLKHMKEFSEQVRSGEWKGYTGKKITD VVNIGIGGSDLGPVMVTEALKHYAGVLDVHFVSNIDGTHIAETLKVVDPETTLFLIAS KTFTTAETITNANTAKNWFLSKTGNEPSHIAKHFAALSTNETEVAKFGIDTKNMFGFE SWVGGRYSVWSAIGLSVALYIGYDNFEAFLKGAEAVDNHFTQTPLEDNIPLLGGLLSV WYNNFFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKSVTRGNVFTDYSTGSILFGEP ATNAQHSFFQLVHQGTKLIPSDFILAAQSHNPIENKLHQKMLASNFFAQAEALMVGKD EEQVKAEGATGGLVPHKVFSGNRPTTSILAQKITPATLGALIAYYEHVTFTEGAIWNI NSFDQWGVELGKVLAKVIGKELDNSSTISTHDASTNGLINQFKEWM SPAR_B02960 MGVKQTPPFQVKVSDADSTGRRKSNSREDSPKLEQLKAKRDKGK GKGSSDPAASAMGGSNVLPSKNLSTPPAMNPLTTSVSRGNTAYERSVNGSRITMHSNL APTETQDVSWSEIDTLDDVKKMAKEPIVNDGFPLDFENDLTQMRRSHAQLLRLMRERN QRLKYSKLGSPPRNDQHGTTANNAQGPEEVLNDPEIALDGEKYVSQLVDNIRDLHRC SPAR_B02970 MSQKQSTNQNQNGTHQPQPVKNQRSNNSGGANSGQQPQQQSQGQ SQQQGRSNGPFSASDLNRIVLEYLNKKGYHRTEAMLRAESGRTLTPQNKQSPANTKTG KFPEQSSTPPNPGKTSKPISNPTNLSSKRDSDGGIVSSGRLEGFNAPENYMRAYSMLK NWVDSSLEIYKPELSYIMYPIFIYLFLNLVAKNPVYARRFFDRFSPDFKDFHGSEINR LFSVNSIDHIKENEVASAFQSHRYRITMSKTTLNLLLYFLNENESVGGSLIISVINQH LDPNIVESVTAREKLADGIKVLSDSENGNGKQNLEMNSVPVKLGPFPKDEEFVKEIET ELKIKDDQEKQQSQQTAGENHSEANTRTLLQEYKAMNNEKFEDNAGEDNKDKLKDKTA KDEEKKENELKVDGEKKDNNLFSPARDILPLPPKTALDLKLEIQKVKESRDAIKLDNL QLALPSVCMYTFQNTNKDMSCLDFSDDCRIAAAGFQDSYIKIWSLDGSSLNNPNIKLN NNNYKDDDPTCKTLVGHSGTVYSTSFSPDNKYLLSGSEDKTVRLWSMDTHTALVSYKG HNHPVWDVSFSPLGHYFATASHDQTARLWSCDHIYPLRIFAGHLNDVDCVSFHPNGCY VFTGSSDKTCRMWDVSTGDSVRLFLGHTAPVICIAVSPDGRWLSTGSEDGIINIWDIG TGKRLKQMRGHGKNAIYSLSYSKEGNVLISGGADHTVRVWDLKKATTEPSAEPDEPFI GYLGDVTASINQDIKEYGRRRTVIPTSDLVASFYTKKTPVFKVKFSRSNLALAGGAFR P SPAR_B02980 MRFLSKRILKPVLSVIILISIIITVALYILTSNENYLQAVKDSA KSQYASLRETYKSIAGGTESTAELPDHDAEVLDGIFDRLREPLYDKDSFDPNEVLAEN KQLYDEFLAEEISEPKVDNLVRSGDPLSGKAKGTILSLVRNVDLDGIISSIQQLEEEY NKNFGYPYTFLNDEEFTDEFKDKIKSILPNDRLIEFGTIDPNDWNMPDNIDRERYDRE MDKLGEEKIQYAEVQSYHNMCRFYSKEFYHHPLLSKYRYVWRLEPKVNFYCKIKYDVF QFMSMNDKIYGFVLNLYDSPQTIRTLWTSTMDFVEEHPNYLNANGAFGWLKDNSQNPD NYDYTQGYSTCHFWTNFEIVDLEFLRSEPYEQYMQYLEEKGGFYYERWGDAPVRSLAL ALFADKSRIHWFRDIGYYHTPYTNCPTCPEDSDRCNGNCIPGKFTPWSNLDDQNCQAS WIRHSMNDEELGMY SPAR_B02990 MLKNFKLSKRDSNGSKGRITSSDISTPSHDNGGVIKHIKTVPVR YLSSSSTPVKSQRDSSPKNRHNSKDITSPEKVIKAKYTYQAQTSKELSFMEGEFFYVS GDEKDWYKASNPSTGKQGVVPKTYFEVFDRTKPSSVNGSNSSTRKVTNDSLNMGSLYA IVLYDFKAEKADELTTYVGENLFICAHHNCEWFIAKPIGRLGGPGLVPVGFVSIIDIA TGYATGNDVIEDIKSVNLPTVQEWKSNIARYKASNISLGSVEQQQQQSITKTQNKSEK LVEGELLVKASVESFGLEDEKYWFLVCCELSNGKTRQLKRYYQDFYDLQVQLLDAFPA EAGKLRDAGGQWSKRIMPYIPGPVPYVTNSITKKRKEDLNIYVADLVNLPDYISRSEM VHSLFVVLDNGFDREFERDENQNNIKTLQENDTATFATASQASNFASINQDNTLTGED LKLNKKLSDLSLSGSKQTSAQSNSGLKTTKIKFYYKDDIFALMLKSDTTYKELRSKIA PRIDTDNFKLQTKLSDGSGEEIKTDSQVSNIIQARLKISVHDIPI SPAR_B03000 MDAVILNLLGDIPLITRLWTIGCLVLSGLTSLRIIDSAKVVYKY DLVFKKGQYGRLIYSIFDYGGFNWISMINIFVSANHLSTLENSFNLRRKFCWVIFLLL VILVKMTSIEQPASSLGVLLHENLVYYELKKNGNQMNIRLFGGIDISPSIFPIYMNAV MYFVYQRGWLEIAMNFMPGHIIYYMDDIIGMIYGIDLCKSPYDWFRDIETP SPAR_B03010 MLAIKAFSQVSKSYKASAPNKKLTTLFYVAYITLGLTTPFLLPA RMASKDTHCYKEGFCSQRSYTRF SPAR_B03020 MSAALPSIQLPVDYNNLFNEIGDFLVTFKQDSLSAEAQRNENEN ENVDAENIEQDLLEKGPKYMAMLQKVANRELNSVIIDLDDILQYQNEKFLQGTQADDL VSAIQQNANHFTELFCRAIDNNMPLPTKEIDYKDDVLDVILNQRRLRNERMLSDRTNE IRSENLMDTTADPPSSMNDALREVVEDETELFPPNLTRRYFLYFKPLSQNYARRYRKK AISSKPLSVRQIKGDFLGQLITVRGIITRVSDVKPAVEVIAYTCDQCGYEVFQEVNSR TFTPLSECTSEECSQNQTKGQLFMSTRASKFSAFQECKIQELSQQVPVGHIPRSLNIH VNGTLVRSLSPGDIVDVTGIFLPAPYTGFKALKAGLLTETYLEAQYVRQHKKKFASFS LTSDVEERVMELIASGDVYNRLAKSIAPEIYGNLDVKKALLLLLVGGVDKRVGDGMKI RGDINVCLMGDPGVAKSQLLKAICKISPRGVYTTGKGSSGVGLTAAVMKDPVTDEMIL EGGALVLADNGICCIDEFDKMDESDRTAIHEVMEQQTISISKAGINTTLNARTSILAA ANPLYGRYNPRLSPLDNINLPAALLSRFDILFLMLDIPSRDDDEKLAEHVTYVHMHNK QPDLDFTPVEPSKMREYIAYSKTKRPVMSEVVNDYVVQAYIRLRQDSKREMDSKFSFG QATPRTLLGIIRLSQALAKLRLADTVDIDDVEEALRLVRVSKESLYQETNKSKEDESP TTKIFTIIKKMLQETGKNTLSYENIVKTIRLRGFTMLQLSNCIQEYSYLNVWHLINEG NTLKFVDDGTMDTDHEDSRMGTPKLSPQTATSPNTSAQDSDIDLQDA SPAR_B03030 MSSAASRLQNVNIVSNNYSRYGTSVYDKLYHNSGSSGNNAGKGP TTVGKLSSTSQKSRTKQRHGSNCSRSMSQSPISTFKSPLSNQNQTSAPDDSASIGQRR SDDVTSLDNETIVTMNSRKSRIKKKYKSLISTSSKKFMNKLYDHGASSDSFSIFSLKT SHSGKHENSRLEKLRKRKYHAWGKFADINDLPVEIIAKILSEFELGRDQKTLVRCLYV SKKFYKATKIVLYRLPYFTSTYRVAQFVTSLRLHPDNGAYVKVLDLSRLKPGIIGQDS KDSQGLDDDSHSRRHRRRRRRSTNTTLNLPPATPTSTISNEDDVNSGSIRDDAPNSGE IEDLALAGWRDWRYRNEPLYSSPLLNSFKLKKVVSRSSSITSTSSGNSTGVHSTRRQR SNSSVASITTSIMSSIYNTSHVSLSSTTSNTSNGNISSGSNLTRVSTAGSLKKTPAKS TRSSPQKQKPISDITSSSWFRMKLSSRNRKARTANAIGMKNSRDKPEDDFKDTKHDSG HPSNYRPSTLKFSIEQPFSTHHPYANKFLLKYAPYKDLPLGYILHMLNMCPNLVELNL SNLVICTDFKLINQRTERRRMTSSLLPAVQESSISAGPEQDLEVVYMTDSGKGYEYYE GLSKKHSRSSSLGTNPSNWIGGQANWVDYPPPIDAQTKTREEHRRNNTLSQNKNVILK KLNPFAIFEIICDRNEEKGGYSFLSKVKMNDIVWCRQYMVKYFVMRTFRQHLDYKSMD NNSYERHIFSFRDSGLDRNFSWACSAKLHEFVALMVMDQLSKSDDLGLEELFNIKSEK LYIKSYCSRDPDILEISNLFDIRYGVGSEADATSDSNPEAESLQFRLTILKTGKPTSF WLRKVSKNYVSLVVKLCVNDDVDMDKIKVGKPTLRIDSITHDLLSRLKELRRVDLRRN VGENNYCDESIL SPAR_B03040 MNMQESAESTESWSCEPLSGRALGEIVQDAENSADLVTYIRKPE IDLDFRLKFIAEHEEFFNVQLSDRESRIRTCHNLSDEGIRSDSLFVFVPGLAGNLEQF EPLLKLVDSDRKAFLTLDLPGFGHSSEWSDYPMLEVVELIFVLVCDVLRKRSTAVPKN DDANPFDGRKVVLVGHSMGCFLACHLYEQYMADTKVVKTLVLLTPPKAHIEQLSKDRH IFQWALYGIFKMPWLFDIYRNRFDQVKGLQSSGIKQYFYQESDDVKLKYRKLWQFKNN ISNKSRTIIGYLLGWVTVDWVKFNGLLTQTDMKQKIIIFGAERDPIAPIKNLEFYKET INKECLRKVIILPDCSHNLCFDRPELVCEDFQREVIDEAEL SPAR_B03050 MPVHHKKKLMPKSALLIRKYQKGIRASFIGLIVVLSVLFFMSGS KSSEVTLAQSPSVSHVARKDYLMPFTDKSQGVIHPVDDGKKEKAVMVTLARNSDLWNL VKSIRHVEDRFNNRYHYDWVFLNDEPFSDEFKRVTSALVSGKTKYGTIPKDHWSIPSW INTKKFDEKRLEMGKLDIPYGASVPYRHMCRFQSGFIWRHQLLEEYEWFWRVDTDITL FCDIQYDIFKFLKVNNKKYGFILSVSEYERTIPTLWETTKKFVKKNPKFLNKNNLMKF ISNDDGETYNMCHFWTNFEIGSLDFFRSDAYKEYFDYLDKSGGFFYERWGDAPVHSIA ASLFLDKSEIHFFDGLGFHHPDFTSCPIEQDIRLQNKCTCEPGKDVTWSPSYFCTRKY FSAGNYKLPPGI SPAR_B03060 MTFENYFSFQIFFIFLRESLEIVVIVSILLTIVKQGLSVDDDSP VEGNSSSAALPSPNTNANADSTTAFLQAGRLDGNAIGTSAAADSNKSRPLNVEEEEEI FEYSNELRDQDREPDEHTADNVKLYQKLKIQILAGGAFGLLLCMLIGGAFVSIFYHIG TDLWTLSEHYYEGVLSLVASVIISVMGLFFLRMGKLREKFRVKLASIIYSKDNNLLGN NARKGVKFSEKYSFFILPFITTLREGLEAVVFIGGIGIDQPLSSIPLSMVLATAISTV FGIFFFRYSSSLSLKICLVVATCFLYLIAAGLFSKGIWQLELQDYVNKCNGQDMSEVG NGPGSYDISRSVWHVNCCNGEKDGGWMIFTAIFGWTNSATVGSVISYNVYWLVLICAL KLLMIEEKYGYIPYIPISWQKKRIMKRLSIAKASLDLKHHTSELNSSTSEPDSQRRSK DSSVPLIIDSSGSAN SPAR_B03070 MTVSSETAAEISLGWSVQDWIDFHKSSSPQASLRLLESLLDSQN VSPIDNAWISLASKENLLHQFRILKSRKNKETLPLYGVPIAVKDNIDVRGLPTTAACP SFAYEPSRDSKVVELLRNAGALILGKTNLDQFATGLVGTRSPYGKTPCAFSSEHVSGG SSAGSASVVARGIVPIALGTDTAGSGRVPAALNNLIGLKPTKGVFSCQGVVPACKSLD CVSIFALNLSDAERCFSIMCQPDPENDEYSRPYVPNPLKKFPSNVTIAIPKNIPWYGE TENPVLFSNAVEHLSKTGANVIEIDFEPLLELARCLYEGTWVAERYQAIQSFLDSKPP KESLDPTVISIIEGAKKYSAVDCFSFEYKRQGILQKVRRLLKSVDVLCVPTCPLNPTM QQVADEPVLVNSRQGTWTNFVNLADLAALAVPAGFRDDGLPNGITLIGKKFTDYALLE LANRYFQKIFPNYSRTYGTFTTSSVKPANDQLVGPNYDPSTSIKLAVVGAHLKDLPLH WQLEKVNATYLCTTKTSKTYQLFALPKNGPVLKPGLRRVDGNGSQIELEVYSVPKEQF GTFISMVPEPLGIGSVELESGEWIKSFICEESGYKAEGTVDITKYGGFRAYFEALKEK ESQKKKLFDTVLIANRGEIAVRIIKTLKKLGIKSVAVYSDPDKYSQHVTDADIAVPLH GTTAAQTYLDMDKIINAAKQTNAQAIIPGYGFLSENADFSDACTSAGITFVGPSGDII RGLGLKHSARQIAQKAGVPLVPGSLLITSVEEAKKVAAELEYPVMVKSTAGGGGIGLQ KVDSEEDIEHIFETVKHQGETFFGDAGVFLERFIENARHVEVQLMGDGFGKAIALGER DCSLQRRNQKVIEETPAPNLPEKTRLALRKAAESLGSLLNYKCAGTVEFIYDEKKDEF YFLEVNTRLQVEHPITEMVTGLDLVEWMVRIAANDAPDFDSTKVEVNGVSMEARLYAE NPLKNFRPSPGLLVDVEFPDWARVDTWVKKGTNISPEYDPTLAKIIVHGKDRNDAISK LNQALEETKVYGCITNIDYLKSIITSDFFAEARVSTNILNSYQYNPTAIEITLPGAHT SIQDYPGRVGYWRIGVPPSGPMDAYSFRLANRIVGNDYRTPAIEVTLTGPSIVFHCET VIAITGGTALCTLDGQEIPQHEPVDVKRGSTLSIGKLTSGCRAYLGIRGGIDVPKYLG SYSTFTLGNVGGYNGRVLKLGDVLFLPSNEENKSIECLPHNISESLIPQISETKEWEI GVTCGPHGSPDFFKPESIEEFFSEKWKVHYNSNRFGVRLIGPKPKWARSSGGEGGMHP SNTHDYVYSLGAINFTGDEPVIITCDGPSLGGFVCQAVVPEAELWKVGQVKPGDSIQF VPLSYESSRFLKESQDVAIRSLDGTKLRRLDSVSILPTFETPILAQIEKVSELSPKVV YRQAGDRYVLVEYGDNEMNFNIAYRIECLISLVKRNETIGVVEMSQGVRSVLIEFDGY KVTQNDLLEVLVAYETEIQFDENWKITSNIIRLPMAFEDSKTLACVQRYQETIRSSAP WLPNNVDFIANVNGISRKEVYDMLYSARFMVLGLGDVFLGSPCAVPLDPRHRFLGSKY NPSRTYTERGAVGIGGMYMCIYAANSPGGYQLVGRTIPIWDKLCLAASSEVPWLMNPF DQVEFYPVSEEDLDKMTEDCDNGVYNVNIEKSVFDHQEYMRWVNANKDSITTFQEGQL GERAEEFAKLIQNANSELKESTAVKPDEEEDFPEGAEIVYSEYSGRFWKSIASVGDVI EAGQGLLIIEAMKAEMIISAPKSGKIIKICHGNGDMVDSGDIVAVIETVA SPAR_B03080 MSGTGLSLFVTGLILNCLNCICQIYFTILYGDLEADYINSIELC KKVNILGVPEAILHAFISILFLFNGYWFVFLLNAPVLAYNTSKVYKKTYLLDATDIFR ILGRCKIECFLKLGFYLLIFFFYFYRMVTALLENDANLIS SPAR_B03090 MDRDTKLAFRLRGSHSRKTDDIDDDVIVFRTPNALYKEEDSPIQ SPVQPLLSSPKRANSFEFPIDATNVNAQDRYEHEYQPMDAEDYPTVESPTNESPQSVR DDEDLTTRYNFDDIPIRQLSSSITSVTTIDVLSSLFINLFENDLIPQALKDFNKSDDD QFRKLLYKLDLRLFQTISDQMTRDLKDILDINVSNNELCYQLKQVLARKEDLNQQIIS VRNEIQELKAGKDWHDLQNEQSKLNDKVKLNKRLNDLTSTLLGRYEAGRNIRSQDSED DSIRDDSNILDIAHFVDLMDPYNGLLKKINKINENLSNELQPSL SPAR_B03100 MMPNNTNASQRPDNPYIIPLPPSSTVETSTEPPRTLWMGDLDPS FDEATIEEIWSKLNKKVIVKLIRAKKNLLIPCSSTSSSNNNTSEENGENQQPASNSTD QLDNSQMININGISFIDPSTTQLHHAGYCFVEFETQKDAKFALSLNATPLPNFYSPTT NSQTNPTFKRTFRLNWASGATLQSSIPSTPEFSLFVGDLSPTATEADLLSLFQTRFKS VKTVRVMTDPLTGSSRCFGFVRFGNEDERRRALIEMSGKWFQGRALRVAYATPRNNMM LQLQEQQQQQQQQQQQQQQQQQQLHQQHQQLEQEDSNGPLLIKTANNLIQNNSNMLPL NALQNAPPMHLNEGGNSNIRASDSLPSNTYNTDPTNTTVFVGGLMPKTTEFQLRSLFK PFGPILNVRIPNGKNCGFVKFEKRIDAEASIQGLQGFIVGGSPIRLSWGRPSSSNAKA APTVMGAGQYLPSNGLRPPSATSSIDNSKQILEQYAEDKRRLFLQQQQQQQRDSNFPV EQMAHNNYYNYNNYDYHSNKNGSHGDLVNPQRSNVSYIQEDGSMYPHQYSSPSYSLPP MSNQLSSANNNMPQFGNAMPIPMQLPNGNSNKMATSMNMHPTTNMNMNSNLNMNMNVS PAPYGLGNNANMYEVSRMMTPPLNMAPNASNSKSNIMNKHPNRNNIPPIHPSLLH SPAR_B03110 MVKSLQLAHQLKDKRILLIGGGEVGLTRLYKLIPTGCKLTLVSP DLNKSIIPKFGKFIENEDHPEYREDGKRFVNPNWNSSKNEIYEYISGEFKDEYLDLDD ENDAWYIILTCIPDHPESARIYHLCKERFGKQQLVNVADKPELCDFYFGANLEVGERL QILISTNGLSPRFGALVRDEIRNLFTQMGDLALEDAVVKLGELRRGIRLLAPDDKDVK YRMDWAKRCTDLFGIQYCHNIDVERLLDLFKTMFQEQNCSLQFPPRERLLSEYCSS SPAR_B03120 MTSTSNTFPPSQNNSSNNLPTSRHASIVEMLSTPPLLPHVQVND ADDKEQPEESTPPTAVAAAAPAPGPAATPAPLRDEKPQFKLSAVPMTQTPSQCLSCVH AQKWQHIPLSQLIEQNKLIFVPGSISVEEAFNTLIKYHLNSIPVESFPGDMNCFTFDY NDLNSYLLLVLNKITVSNKQLTADCQNGKPVPVGEMVKLTPKNPFYKLPENESLSTVM GILGSGVHRVAITNEEMTKVKGILSQRRLIKYLWDNARSFTSLEPLLNSSLQDLHIGV LNIQSKPTSRQSRVISIQGEEPLIMGLYKMHVERISSIAVIDKQGNLLGNISVTDVKH VTRTSQYPLLHKTCRHFISVILNSRGLETGKDSFPIFHVYPSSSLARTLAKLVATKSH RLWIVQPPESSNSASSTNLTAANTAANAASSTAQSSANGATPMSKSSSSTSLSSHSPL MTAMEDPPSPRSSAIAIPPPGPAPSTNTPNLFEKEYRTGKLIGVVSLTDIINLLARKQ TGNKEVDPQSARRQRGSIAM SPAR_B03130 MDPKTTAFNNSKSNNEPSISSSETQTHSDTKVQVLNTDSNNIKE ETEGDSEDLLNKLSNKKTNRKIPNIAEELAKNRNYVKGASPSPVIVSTSSSTPPSGPP SSSTNSMGIPTNRFSKNTIELYQHSPSSAISTDKTDTEERRQNNRSIDNRNAPERGSS SFAANQLKISSLLTISSNDDSTSSHISDSKSNKNGNNATINNIPSAYTELHTDANSVE SLIKSPNSPRNKSLTPKVILPTQNMDGSLAKDPHLGDNTPGILIAKTSSPVNLDVEST AQSLGKFNKSIHSLKAALTKAPVEKVSLKRSNSSVSNSDSNVNSSKKPAPEKAKKSSS VSAILPKPVTKASKKSTSNNNDSTRKKTASNRTTSTIKKESNTSSKPSTIKKENSSLS SIKASEKEKDKSGNGTDSKNSTNGVKKDPTAKSPKRLIAAPTVNSPKVLQATETKAKE PSILIDIPLYQADTNDYLDENGQVIFNLSTLIKEKYHPKNKELAQLKDSKRNLLMQLS DQSNGSLEKEKDEECDVIELDDDEDMEEDEGEIDTETNTVTTTNSPKKKSHPMKGKNL IGKYDVEDPFIDDSELLWEEQRAATKDGFFVYFGPLIEKGHYASLERANGTMKRGGVK NK SPAR_B03140 MEPIDDLLFELADAFKTQKEDLLELVTLIDIYGEQVDQEGSYEE KTKFVETLTTLLEDNPSITGEIGWDLPKGLLKFLSKDNVDVNKRLGTNMIVQGVMKCF YAISIHGEPKKCLVTGLELLSYISVQDFSKSGQQNKEDFVNEKTNTLASEEVIENFSN PYYGERKVSSEFFLKLKSYILFEFIGASLKRISTLFPSKYLGAAVTTVEKFVYSHADT FEDALFLLRRVYTFCRNYIPPDPPKDIQLNEDFTQEMFDKVVEEESEIQVRLLRRLCT FGISTPIKTIATNTDVKYYCALNHQKFELSTYYTEYLELFSRYYQMAFSLDVDIEEEF QSVIKECRIIYKSVPQETSAVNDEAKLVLERMVYKLAYTFEVQKAAKERNVGLDFNGV ILFSGINYLETNQHLVKKMNITDAIYLYLRFTTPSLFSKVYSNVAVESVSRYWLWYAI TTEPLEDVKTELKNLSVFVTKTLLHVLLQKNCVQVNHQLRMITFTLLTRLLCLVPEKI AFDFILDVLKTCPLALAKTSVLCVLKDLSRQRISTTDDNSETKLISEKLSKLGINDDN KSQQSNIRYYIQLDSSRMKAIHDCCLQTIQDSFTGDAKKSDILLLLTYLNIFIVLRKT WDEDLLKIVYSKIDSNLKSVGPDKLPNYKEILDKNESLNDYLIDIK SPAR_B03150 MSRILESENETESDESSIISTNNGTAMERSRNNQELRSSSHTVQ NRLELFSRRLSQLGLASDISVDQQVESSSNGTYEQREVTKINAQTSEHKSHKEEINIQ KIQIKFQPIGSIGQLKPSVCKISMSQSFAMVILFLKRRLKMDHVYCYINNSFAPSPQQ NIGELWMQFKTNDELIVSYCASVAFG SPAR_B03160 MSSSKKLAGLRDNFSLLGEKNKILVANRGEIPIRIFRSAHELSM RTTAIYSHEDRLSMHRLKADEAYVIGEEGQYTPVGAYLAMDEIIEIAKKHKVDFIHPG YGFLSENSEFADKVVKAGITWIGPPAEVINSVGDKVSARHLAARANVPTVPGTPGPIE TVQEALDFVNEYGYPVIIKAAFGGGGRGMRVVREGDDVADAFQRATSEARTAFGNGTC FVERFLDKPKHIEVQLLADNHGNVVHLFERDCSVQRRHQKVVEVAPAKTLPRAVRDAI LTDAVKLAKVCGYRNAGTAEFLVDNQNRHYFIEINPRIQVEHTITEEITGIDIVSAQI QIAAGATLTQLGLLQDKITTRGFSIQCRITTEDPSKNFQPDTGRLEVYRSAGGNGVRL DGGNAYAGATISPHYDSMLVKCSCSGSTYEIVRRKMIRALIEFRIRGVKTNIPFLLTL LTNPVFIEGTYWTTFIDDTPQLFQMVSSQNRAQKLLHYLADLAVNGSSIKGQIGLPKL KSNPSVPHLHDTQGNVINVTKTAPPSGWRQVLLERGPAEFAKQVRQFNGTLLMDTTWR DAHQSLLATRVRTHDLATIAPTTAHALAGAFALECWGGATFDVAMRFLHEDPWERLRK LRALVPNIPFQMLLRGANGVAYSSLPDNAIDHFVKQAKDNGVDIFRVFDALNDLEQLK VGVNAVKKAGGVVEATVCYSGDMLQPGKKYNLDYYLEVVEKVVQMGTHILGIKDMAGT MKPAAARLLIGSLRTRYPDLPIHVHSHDSAGTAVASMSACALAGADVVDVAINSMSGL TSQPSINALLASLEGNIDTGINVEHVRELDAYWAEMRLLYSCFEADLKGPDPEVYQHE IPGGQLTNLLFQAQQLGLGEQWAETKRAYREANYLLGDIVKVTPTSKVVGDLAQFMVS NKLTSDDIRRLANSLDFPDSVMDFFEGLIGQPYGGFPEPLRSDVLRNKRRKLTCRPGL ELEPFDLEKIREDLQNRFGDIDECDVASYNMYPRVYEDFQKIRETYGDLSVLPTKNFL APAEPDEEIEVTIEQGKTLIIKLQAVGDLNKKTGQREVYFELNGELRKIRVADKSQNI QSVAKPKADVHDTHQIGAPMAGVIIEVKVHKGSLVKKGESIAVLSAMKMEMVVSSPAD GQVKDVFIKDGESVDASDLLVVLEEETLPPSQKK SPAR_B03170 MEPKRKSGSLAKHDLPQFYLLIMLYLAQGIPVGLAFGTVPFLLK SLAKETSFTSLGIFSMATYPYSLKIIWSPIVDSLYNKRIGRRRSWIIPVQFVSGFVLW VLGWCISQGIIFDGVDDAFHNRGNGTLHSVSINNLTWWFGLLVFLCATQDIAVDGWAL TILSKESLSYASTAQTVGLNIGYFMSFTIFLSLNSSDFANKYFRKIPLDHGFISLGGY MKFSGILYVVITIYIIFCTKEEPYVEYLPKVEPANSSNGEPKLISIEYDDGDVVSAQN TSSIKYIYRCFIKVLKLKSVRSLAFIHMISKFAFQCNEAATNLKLLEQGFKREDLAVT VLIDLPFEIIFGYYVVKWSSDRDPMIRDSRRLRNSMSTNKVVKYLVGDAGVLTPWLWG FLGRLAAAVLGSYVVKQFPKEGEISTSYFCLVIFQHLLGSFMNTVQFIGISAFHTRVA DPVLGGTYMTLLNTLSNFGGTWPRLIIMSLINYFTVYQCTVPDTNKVYVTHGGSMQAC TELLNGTVTILRDGYYITNLICIAVGLFLYFGYLKRKILHLQSLPISSWRCT SPAR_B03180 MFSRLSTSLTRNVARRAPTSFVRPSAAAALRFSSTKTMTVREAL NTAMAEELDRDDDVFLIGEEVAQYNGAYKVSKGLLDRFGERRVVDTPITEYGFTGLAV GAALKGLKPIVEFMSFNFSMQAIDHVVNSAAKTHYMSGGTQKCQMVFRGPNGAAVGVG AQHSQDFSPWYGSIPGLKVLVPYSAEDARGLLKAAIRDPNPVVFLENELLYGESFEIS EEALSPDFTLPYKAKIEREGTDISIVTYTRNVQFSLEAAEILQKKYGVSAEVINLRSI RPLDIEAIIKTVKKTNHLITVESTFPSFGVGAEIVAQVMESEAFDYLDAPIQRVTGAD VPTPYAKELEDFAFPDTPTIVKAVKEVLSVE SPAR_B03190 MSSAITVTASFNDTFRVSDNVAVIVPETDTQVTYRDLSHMVGHF QTIFTNPTSPLYGTVFRQDTVAISMRNGLEFIVAFLGATMDAKIGAPLNPNYKEKEFN FYLNDLKSKAICVPKGTTKLQSSEILKSASTFGCFIVELGFDATRFRVEYDIYSPEDN YKRVIYRSLNNAKFVNTNPVKFPGFARSSDVALILHTSGTTSTPKTVPLLHLNIVRST LNIANTYKLTPLDRSYVVMPLFHVHGLIGVLLSTFRTQGSVVVPDGFHPKLFWDQFVK YNCNWFSCVPTISMIMLNMPKPNPFPHIRFIRSCSSALAPATFHKLEKEFNAPVLEAY AMTEASHQMTSNNLPPGKRKPGTVGQPQGVTVVILDDNDNVLPPGKVGEVSIRGENVT LGYANNPKANKENFTKRENYFRTGDQGYFDPEGFLVLTGRIKELINRGGEKISPIELD GIMLSHPKIDEAVAFGVTDDMYGQVVQAAVVLKKGEKMTYEELVNFLKKHLAAFKIPT KVYFVDKLPKTATGKIQRRIIAETFAKSSKNKSKL SPAR_B03200 MSQKTMLSGTKRKRSEVAEKVAQRWKSVRYSGEIEKKTPGGDNN NNDDCVIVSESNIIDLTDPEKDLDATVGTNDTAKSAVFKLMKSDFYERGDLVGEVDDM ITLNDIFCTEKLKRSILFSFQYELDFLLRQFHPNVENITIVGQKGTIMPIEARSMDGA LAGMLQKVKLIEITMPPYASHHTKLIINFYDNNECKIFLPSNNFTAMETNLPQQVCWC SPVLKIGKEELPVPFKKSLTEYLKSYHMRDIDELITKSVEGVNFAPLSELEFVYSTPS KFQSSGLLSFYNKLEALSSGTSTSDTAKHYLCQTSSIGTSLSRTRDENLWTHLMIPLF SGIMPSPVESTTGRRKAGILPTDVLMNEYSQKKIKPYIIFPTEQEFVTSPLRLSSSGW FHFQYLQKRSYYEMLRNKFKAFYKQDPVTITRRRGTTPAHSKFYMHCTTKSTEPCDAS QVFKELEWCLYTSANLSQTAWGTISRKPRNYEAGVLYHSHRLAGTKKVTCRSFTRDQK DDAGTTTQVAVPFTLPVVPYDLAEDDCFCLARHEQD SPAR_B03210 MGSTKDAKNIDNKNDRGLAGTSGNKVVNHAGSTTVEHKNADKEK GKQEKENREGTTQSSASVESHSPQVSHHSDKLSSFDSPLHLPNFKLADDLFSNSSRRS SDSAASSSVSKLKSAQLSKIGLHHPHANNSKSSHRSGTPTSELKANYSPDSSTPRFIV SSMVGNGRGGGGLHGATSNVVKKLHSRKKWDWNSLPASDSSLLIKTASGNHNLINICI DGEFKQIMYDPNHNELFNRMDLFLSFNMDSSPKDSLIFAKKRLRSYIDFLTKYLESRK YAFECYPFNIENTISIETEVKCFPSFDPLKDYSEIESLIQLWLAQSQKFLLQSNSFFF SSEVVEELIKRKPTTRQHSNPTISTTSNKISDPTLYIQQLDIESNSPRPVISDPLDEI DILLIRPLHKTLGGWQLAYDEPSLNIADFPLDLSPWMINSSNDDTQNKNIGNDGIAPE YLTNLQNYLPRKGSRAKIVSDEQEVIELNSSNASEYMFDCMNRKFFTDDAKERISRNN FNHGVDEDPLSDPFASTRSLSLPSSGADVVKRKKSPTKGNKKSGFVNFFKRKHSQLAS TSHNTSPSISPSISSSSSPKIQPQSHLSSPPRTDKAHHVKSTNQALQNEWLENFFCRT LSNYKEIDLPTQFVLPKEVKRSSNTQSQPEEEPPLSSPVSSNSENSTPSEGLDRAKSA AIYGKEYLKLRLPFASDTIPAVICPWVWTSLSYYKWKALLREIYRSIIPGGYALAIVP DLRISNTYYTGILGNADADKANNTSEEFLTTKERDKTFDAMAIDAINKGLHIHPTKHL TRTFKDVGFTGIKSSVLSLKTGDFKTDMGFLNEFNSLDMWDYMLRRQLPDSSCPPKDT DPTTLFKRYVEEHMGKIDDNAGCFRTLYVVAQKPKLPYTK SPAR_B03220 MLMLKSTGQNFFRAYSSKIGRYAATASGKLAQSRLSNIPTPKTL KKFLDEYIIGQDIGKKVLSVAVYNHYLRINDKQKKGDLKRQKELMELERLTNDRDEPI FSGNSESKAGWRNLQRQFNTAGREVAEDLELSKSNVLVVGPSGSGKTLLATTLAKILN VPIAITDCTQLTQAGYIGEDVEVCIERLLVNAEFDVARAEKGIIVLDEIDKLAKPAAS IGTKDVSGEGVQQSLLKIIEGHKVEITVKRPVKHDIDGQKNQTTTKKDEVFVVDTSNI LFMIMGAFVGLDKHIVKRIEDMKKLQKTGDSVESSNSKELEKERMKKFRFSNTLEQVE LDNGKKVCALDLTTPTDLVSFGLIPELIGRVPIITALQPLQKDDLFHILKEPKNALLD QYEYIFKQFGVRLCVTQKALKKVAQFALKEGTGARGLRGIMERLLLNVNYDCPGSDIA YVLVDEATVDSLQETEHSLASQVDVKYYSSDDKESLIRDVFEEDKKLGAMLDKELGYA ASIHTPTSPRRSLTEEKKDE SPAR_B03230 MSQKIQEHQFPHFYCCYLLQSINKRQSFYVGSTPNPVRRLRQHN GKLTVGGAYRTKRDGSRPWEMLMIVRGFPSKIAALQFEHAWQHGYQTHYIAEKDRIVK HKSGGRTLHHKVALVKLLLKHEFFRRMNLIVEIFNMKAWEIWKQDKFLIESEIFQINV KINENALEEPKGKTVDILMDHSDENLKVVETVYTKVIGDEKKIFEIFEKKLTTGVVRC GICEKEIDYTSEEQNLKPFVALCNNNDCGCINHLKCLHRYFLDDEQLIEGRRNLIPRG GKCPNCDTFCEWTTLVKFSTRMRSAHGK SPAR_B03240 MVLLKWFVCQLVLFTAFSHAFTDYLLKKCAQSGFCHRNRIYAEN IARSHHSYYKLDAESIAHDPLQNVLHATIIKTIPRLDGDDIAVEFPFSLSFLQDHSVR FTIDEKERTVTNSSGLLISPQRYNKTWQHAFDKKFQDEVNKTNFPELHFLKQEHTVNS FWSKISSFLSLSNSTNNKVHLRNGDVSLEILTEPFQLKIYWRNALKLIVNEQNFLNIE HHRTKEENFAHVLPEETTFNMFKDDFLYSKHDSLPLGPESVALDFSFIGSTNVYGIPE HATSLRLMDTSGGREPYRLFNVDVFEYNIGTTQPMYGSIPFMFSSSSTSIFWVNAADT WVDIKYDTKQNKTVTHWISENGVVDVVVSLGQDIPTIIDKFTDLTGRPFLPPMASIGY HQCRWNYNDETDVLSVDSQMDVHRIPYDFIWLDLEYTNDKKYFTWKPNSFPNPKRLLS KLKKLGRNLVVLIDPHLKKNYEISDRVINENVAVKDHNGNNYIGHCWPGNSIWIDTMS KYGQEIWKSFFEKFMDLPANLTNLFIWNDMNEPSIFDGPETTAPKDLIHDNYIEERSV HNIYGLSVHEATYDAIKSIYSQSDKRPFLLTRAFFAGSQRTAATWTGDNVANWDYLRI SIPMVLSNNIAGMPFIGADIAGFAEDPSPELIARWYQAGLWYPFFRAHAHIDTKRREP YLFNEPLKSIVRDTIQLRYFLLPTLYTMFHKSGATGFPIMNPMFIEHPEFSELYDIDN QFYWSNSGLLVKPVTEPDQSETEMVFPPGIFYEFASLVSFTNDGTDLIKKNVSAPLDK IPLFIEGGHIITLRDKYRRSSMLMKNDPYTIVIAPDTEGRAIGDLYVDDGETFGYQRG EYVETQFVLENNILKNVPSHIPENLIDIHYDTLRNTNIEKIIIAKNGLKHNMTLTDRI TVKQNGKESSFSTRFSHENDNKITILNASLDITENWELVF SPAR_B03250 MTIFLFASAKHDNNASPNANSDDGHHHNNKKECAIEHLKAQLNS ASAVAWGYLQAFVNKTQDVAKVCLLELQNPVVLVNLLLHSSVVCYLCNGYANHNVRFL KGKPNSTVLATTAGALGLLTLDGIISKKYYSRFDKK SPAR_B03260 MRNGLYQLWCVASAARGVVRNSFARANSAMCGYVRTSTVLSQWT RDRQWEAAKALSQRARKEHATN SPAR_B03270 MPEVETKITPNEEEEEEDDYIEEEDEDFQPERDKLGDGSDDSDA SDGGDGDDDNESKGKGRSKVDYSRIESESGGLIKTRRARQAEEEYAKTHKYESLAVES IPAKVNSIWEELQQASRDRFLSSSGKVGSVLGSSNESGSTTAVQQEDKILIERNYKFA GETINEKKWVPRSSAEGQEYLNSLKFKQQAQQAPAAPTQPDKTIETSLSESRKHLRRP LKRPPLLEQIISGGLRPKLTTLEKSQLDWASYVDRAGLNDELVLHNKDGFLARQEFLQ RVGSAEDERYKELRRQQLAQQLQQDGGAS SPAR_B03280 MSTETTKPSLSTTPATVLVSPNTLKRKKGDDTSEEQLEAEIKRV ALEDADGHSGNDHDSPDNVPSHVHLRMLCLVKHASLIVGHKGATISRIKSETSARINV SNNIRGVPERIVYVRGTCDDVAKAYGMIVRALLEEHGSEDGGEDIEVSINLLIPHHLM GCIIGKRGSRLREIEDLSAAKLFASPNQLLLSNDRILTINGVPDAIHIATFYVSQTLL NFQMEFPQKKLKRSIYYQPTQFNSVLIDHSQANAILHQRNHQYHPNDKLLTYRPNKNL PVSSTLLSMATPQYTTASVANATAFQPNFVIPNVTVLDGPLISPGPSNHLLMNFVQQE IFIDEKFVGNVIGKDGKHINSVKESTGCSIIIQDPVEGSPERRLTIRGTFMASQAAIM LIGNKIEIDRSNAERKRRSPL SPAR_B03290 MEHTLSPLQQEVLNKYKQLSLDLKALDETIKQLNHSQHRQQHTQ QETVSPDEILQEMRDIEVKIGLVGTLLKGSVYSLILQRKQEQESLGSNSNQ SPAR_B03300 MSFSNSKDKSVVAVYKLVKAPIYSHCFSQDKSVLAVTCETDCLV YRVSNNSSPVLFATLKDHDKTITAVDISIHGRIVTCSQDRNAYVWEPLSDGTYKPTLV LLRINRAATSVTWAPNGYKFAVGSSARIIAVCYYEHENNWWVSKHIKKPIKSTINCLS WHSNGVLLAAGGTDGFMRVFSGFIKGLDSKESVAGSPWGQKFPFGCLIREWYQGSYIH DVEWRSQMERIAYVAHDGTLNVVDYQSPVQCVNAPEGLPYRSLVWINDHEIICGGYSC HPVLFSEASEGWKFAKNLDKSDNGKSSTLTGSGNTNELSGNDDEGSTFGISALRKFKE LDLKGKVSTDVQESAHENAIVELRPFAVSNGQITQVSSCGLDGKIVIYTI SPAR_B03310 MVSRFYQIPGTHRPPSAISSSNESSSLLSARRISQTYFNYQATP ECQKVSSRYDPDNPNKDKLGTYGGVFVPTALNVLSILMFLRFGFILGQLGIICTIGLL LLSYTINLLTTLSISAISTNGTVRGGGAYYMISRSLGPEFGGSIGLVFFLGQVFNAGM NAVGIIEPLLYNLGYSGQGEPPAALGELLPRGHWYEFTYATIILFLCFSVAFVGSQTV SRAGNILFLVLAASIFSIPLSALIRSPFVEGGISYTGPAWQTFHDNLFPHLTKGAAGS LLKGKETFNDLFGVFFPATAGIFAGAGMSSELRKPSKSIPKGTLWGLLFTFICYAVVV FSMGCSIPRKSLYGEVQIIQTISSVQWVIFMGEMATSLFSIIVGMLGAAYVLEAIAKD NIIPGLEIFADKPLYSLIFTWILTQLCLFSDVNKIATFITMTFLMTFVVMNLACFLLG ISSAPNFRPSFKYFNRYTTAAGALLSVVAMLIVDGISASVLFLAMILLFLFIHYFSPP KSWGDVSQSLIYHQVRKYLLRLRQDNIKYWRPQILLFVDNPRTSWNLIRFCNHLKKGG LYILGHVAVTADFPKQLNELKTQQKAWMKIRDMAAIKAFVQVGTGPSLIWGIRNVFIG SGLGGMKPNITVVGFFDLENYRKHRPQNSNNNTNQKPVEIKATVPGSSCSDIRINVPL PTDECKNETKVNVQQWVQIVEDLSLMQSNIAIAHGFKNLEIPNKRDRCFPKKTIDLYP IQMCGKVEAKGDQPASITTNFDTYTLILQLAAILITVPEWKHTHSLRVILFVEQEYHR TNEVQRMKKLLQVLRIDAEVLVVSLDQFRVYNTIVKGDPIVFDYVNSKLADNEWWKDL VEARDTLKPKRRFSTIESQTIARQFTQSRKYTSGVQKLGVSFTMNASMPTNRIDTPCE SEDSDLDTDLTSIRDAFSASTNVPVGKDLTTRSKTGSDRTNLLVKNLQSDVSTQSLRP VFSSNTLPRTRVVEDGTGEQPTLIPIAEPDLSNGNGIGNGNKSKKPVLPELSPCCSKD SLVTAMQNLGFNDLPSTAQHLILNDMMTQMSRSSDLIFSTLPIPALGTHEDHDASLQY VEDLDIWLEGLPPCMLINSQTMTVTTAL SPAR_B03320 MSIKPEKPIWMSQEDYDRQYGSITGGESSTVLESDSKITANAPT DGNGSSPILQISSALTPKASASPIETEPGFKIQKRRHERYDQEERLRKQRAQKLREEQ LKRHEIEMTANRSINVDQIVREHYNERTIIANRARRNLSPIIKLRNFNNAIKYMLIDK YTKPGDVVLELGCGKGGDLRKYGAAGISQFIGIDISNASIQEAHKRYRSMRNLDYQVV LITGDCFGESLGVAVEPFPDCRFPCDIVSTQFCLHYAFETEEKARRALLNVAKSLKIG GHFFGTIPDSEFIRYKLNKFPKDVEKPSWGNSIYKVTFENNTYQKNDYEFTSPYGQMY TYWLEDAIDNVPEYVVPFETLRSLADEYGLELVSQMPFNKFFVQEIPKWMERFSPKMR EGLQRSDGRYGVEGDEKEAASYFYTMFAFRKVRQYIEPESEKPN SPAR_B03330 METIDSKQNINRESLLEERRKKLAKWKQKKAQFDAQKENQTSRN NIVTNSLGAQRTADKFTERQEQVKEKLRKRKNEFGKPDERMSVKPSKKKSKRNKVREK ISFDFSDDDDAKIGHAFRSKEHLQKVAEHDDEKDPLDEFMTSLKEGEVSTIKSTYDRG DILDVEDQLVALEGADDENVEDNTDSSNIAKIAKLKAKKRVKQIYYSPEELEPFQKNF YIESETISSMTEVEVEELRLSLDNIKIKGTGCPKPVTKWSQLGLSTDTMILITEKLRF GSLTPIQSQALPAIMSGRDVIGISKTGSGKTISYLLPLLRQVKAQRPLSKHETGPMGL VLAPTRELALQIHEEVTKFTGADASIRSVCCTGGSEMKKQITELKRGAEIVVATPGRF IDLLTLNDGKLLSTKRITFVIMDEADRLFDLGFEPQITQIMKTVRPDKQCVLFSATFP NKLRSFAVRVLQSPISITINSKGLVNENVKQKFKICHSEDEKFDNLLQLIHGRSEFVD EVQTEVDGESSDVEEVDAKAIIFVSSQQICDFISKKLLNAGIATCTIHAGKPYQERLM NLEKFKREKNSILLCTEVLSRGLNVPEVSVVIIYNAVKTFAQYVHTTGRTARGSRSGT AITLLLHDELSGAYILSKSMRDDELKASDPLQVKELHEMSGKFEFGMKKGKFRLSQGF GGKGLENIKSKREEAQNTDLELEKGDKKSDELEKKNNNLRAEHDNEPESSALIPKLKY ELFKEPTTDGTLIFYAKVHINDLPQIVRWEATKNTTLLFIKHETGCSITNKGKFYPEG KEPKNETDEPKLYLLIEGQDEKDIQLSIELLEQKVKEGVIKAASLSLKSTKY SPAR_B03340 MIRLAQQTQVLKGKPPNQFVPHQTKNSLTHPMKFNGTIAMEHHE HNYAIPYTPATFNNPALATYQVSPANHFVPHFGGNIGANNNNRVAQNNSNNSNNHHNN NRNHHNNNNRNHHQNNHHHNKYSNSNQGNSISPDSPWFHKVCAFEDCVSQTLYMSQTP RRQNMKHHSEHPNSNANPLFWDSIGRAMGLYHDLLTTPELNSDRVSKLVHLLHNGLRA NRNQLTRMNKKPDYDSQSFHKEMTNYLCKSLREISEDVLNGKVELNEYGAMHLITAFK ELLLFEEAVDIWKAAINGQNTYTSNIFLNPRVVGVILPILYDNGVSYPEIQALYEKSS SMINYFHPNLSVGMIRASLSASENDMALKLFQKLCQESTEMKYGYLIETHLSFIGECK DLNVAQTFFDKALNDEMPYKIDLQVSYVKSFLRNIWSQTRDFNHIYQIWYKSSLHYGR NVNHGISSSLNDTFFDIFFENYAVDKMQGFRTLQNVIQTYNNIKHIDEPFFNIILAKC TVWHDRSILEYIDKSYEAYHIPKTIVAYRILLKSMGSVDDASNTEILQRWMDLIRKSD EIGQRFIANADWAALRDATVTWTQNDRDSKKSNMNSAQISRTATPSPSLTPMDTPTSE HLFNNPQNQMDFYSHPALQAATASGAFDEFAADATSSSMPVDGRMVLYLKIVKRYSPY CRDSRQLARLTTGTAVKYSVLQEVLNQFQALIVNDIPIPELHNLKPTCV SPAR_B03350 MCTSDDNDYKTSSAPDTPAEANCTLEKRRRKKRKNTNVACVNCS RLHVSCEAKRPCLRCISKGLTATCVDAPRKKSKYLAGIPNRELPMNIQPDHPPRKIMI PIYNNSNNNSVVNINNMGEQQKFTSPQHIVHKAKFLSNAADSEYSILSNIIYQDTLSN KIPIDILYSNTNSTSNSTIGNSSNNSPTGINTSPEEIEMEKIRQLYSEQRANMAPRPY PSSNQNVYSIILGPNSAKIVASQVNLFANHFPLVPVDSADKSLNFKRLLPRDPSEKNP QINWDSSINQYYLNSETVTFPELAIPLKRRKNHLVSVSLESCSPDATNIKSNVEWEHS LRYSTPMEIYTSINAPFSHTPGFHHLLVYLKHRFNQQDLVKMCRSIAEFRPIFIACSV TLTEEDMIFMEQCYQRTLLEYVKFIGQIGTPTCIWRRNGQISYVNEEFEILCGWTREE LLNKMTFIVEIMDDESVRDYFKTLSKVAYRDFKGSEKMKVCRLLSPIKGKIVHCCCMW TLKRDVSGLPLMILGNFMPILN SPAR_B03360 MVNSKKHQRSKKVASSSKAPPTKGRTFTGCWACRFKKRRCDENR PICSLCAKHGDNCSYDIRLMWLEENIYKVRKRSLISLLQARKSKSKPLYQKISKSKFK EMTHFRQLSPPTSDCEDSTQEPRKEATLPNDNTFTISVRRLKIYNNAVASVFGSTTNR DYTQKRIDKKLDELLNMVEEDISVVNPNCSKHGPYSVFRANPTAAASTVTGQLPSPGH SMSSAEETTTTMLSSPPEDSTSLIDIIQGKIFGILWFNCYGNMILNRQEYTTWFINKM RNSLTTEFIRFLGKIIDDPDINMASCLFKECITRWSCVDWQSIAITMLVIIHGYTCPN LTKLLRVWFLQQKLLRFSMYPLVNFIINNTQDLDVLYHCNGLLGNADLFEDPYQDELT SELHVLVTERLVNSWKDTILQQLCSCQDTTLSCSQLRYWQLQLKCNEQFYKDVYAMQD SPAR_B03370 MAETERLMPNGGSRRTNPLITGHLILGTIVACLGSIQYGYHIAE LNAPQEFLSCSRFNAPDENISYDDTWVGQHGLKQCIALTDSQYGAVTSIFSIGGLFGS YYAGNWANRYGRKYVSMGASAMCMVSSLLLFFSNSYLQLLFGRFLVGMSCGTAIVITP LFINEIAPVEWRGAMGSMNQVSINLGILLTQTLALKYADSYNWRWLLFAGSVIAVSNL LVWLKVDESPRWLLNHGFVSEAEIALFKLRPGTYQQAKQEIQDWQRSHAHNRDPESSE ETHSGPTLWKYVTDPSYKKPRTVIFAILSCQQFCGINSIIFYGVKVIGKILPNYSIQV NFAISILNVVVTLAASAIIDHVGRRPLLLASTTVMTAMSLLISAGLTLGVSFLLVAAT FVYIAAFAIGLGPIPFLIIGELSYPQDAATAQSFGTVCNWLATFIVGYLFPIGHGLMG GYVFMIFAAIAALFATYVYKRVPETKGKTTYSEVWTGY SPAR_B03380 MTATVTDKKSCSTSVEAGKTCLTTEWKPESQVPQYVKNELSKPH PSYILAFLNVVQQLKIQKRTGYLDLGIKECESISDHMYRLGIITMLIKDPRVNRDKCV RIALVHDIAESLVGDITPVDPIGKEEKHRREWETIKYLCEVLINPYNEIAAKEIMDDW LAYENVTSLEARYVKDIDKYEMLVQCFEYEREYKGTKNFDDFFGAVASIKTDEVKSWT SDLVMQRRKFFADLTQSINK SPAR_B03390 MLRLFSLVVTTCLIYYSKNQGPSALVAAVGFGIAGYLATDMLIP RVGKSFIKIGLFGKDLSKPGRPVLPETIGAIPAAVYLFVMFIYIPFIFYKYMVITTSG GGHRDVSVVEDDGMNSNIFPHDKLSEYLSAILCLESTVLLGIADDLFDLRWRHKFFLP AIAAIPLLMVYYVDFGVTHVLIPGFMEHWLKKTSVDLGLWYYVYMASMAIFCPNSINI LAGVNGLEVGQCIVLAILALLNDLLYFSMGPLATRDSHRFSAVLIIPFLGVSLALWKW NRWPATVFVGDTYCYFAGMVFAVVGILGHFSKTMLLLFIPQIVNFIYSCPQLFKLVPC PRHRLPKFNEKDGLMYPSRANLKEEPPKRIFKPVLKLLYCLHLIDLEFDENNEIISTS NMTLINLTLVWFGPMREDKLCNTILKLQFCIGILALLGRHAIGAIIFGHDNLWTVR SPAR_B03400 MSTSFYDLECQDKKGEIFKFDQLRGKVVLIVNVASKCGFTPQYK ELEELYKKYQDKGLVVLGFPCNQFGKQEPGSDEQITEFCQLNYGVTFPIMKKIDVNGN NADPVYNYLKSQKAGLLGFKGIKWNFEKFLVDFNGNVVQRFSSLTKPSSLDQEIQSLL SK SPAR_B03410 MTASEEYLENLKPFQVGLPPHDPESNKKRYLLKDSNGKKFDLEG TTKRFEHLLSLSGLFKHFIESKAAKDPKFRQVLDVLEENKANGKGKGKHHDVRRRKTE HEEDAELLKEEDSDDDESIEFQFRDSPAYVNGQLRPYQIQGVNWLVSLHKNKIAGILA DEMGLGKTLQTISFLGYLRYIEKIPGPFLVIAPKSTLNNWLREINRWTPDVSAFILQG DKEERADLIQKKLLACDFDVVIASYEIIIREKSPLKKIDWEYIIIDEAHRIKNEESML SQVLREFTSRNRLLITGTPLQNNLHELWALLNFLLPDIFSDAQDFDDWFSSESAEEDQ DKIVRQLHTVLQPFLLRRIKSDVETSLLPKKELNLYVGMSNMQKKWYKKILEKDLDAV NGSNGSKESKTRLLNIMMQLRKCCNHPYLFDGAEPGPPYTTDEHLVYNAAKLQVLDKL LKKLKEEGSRVLIFSQMSRLLDILEDYCYFRNYEYCRIDGSTAHEDRIQAIDDYNAPD SKKFVFLLTTRAGGLGINLTSADVVVLYDSDWNPQADLQAMDRAHRIGQKKQVKVFRL VTDNSVEEKILERATQKLRLDQLVIQQNRTSSKKKENKADSKDALLSMIQHGAADVFK SGTSTGSAGTPEPGSGEKRDDIDLDELLLKSENKTKSLNAKYETLGLDDLQKFNQDSA YEWNGQDFKKKTQKDIISPLLLNPTKRERKENYSIDNYYKDVLNTGRSSTPSHPRMPK PHVFHSHQLQPPQLKVLYEKERMWTAKKTGYVPTMDDVKAAYGDISDEEEKKQKLELL KLSVSNSQPLTEEEEKMKADWEAEGFTNWNKLEFRKFITVSGKYGRNSIQAIARELAP GKTLEEVRAYAKAFWSNIERIEDYEKFLKIIENEEEKIKRVKMQQEALRRKLSEYKNP FFDLKLKHPPSSNNKRTYSEEEDRFILLMLFKYGLDRDDVYELVRDEIRDCPLFELDF YFRSRTPVELARRGNTLLQCLEKEFNTGVVLDDATKDRMKKEDENGKRIREEFADETA NEKENANGLESKKAKIEDTSDIGTEQLVVKKIPENENTI SPAR_B03420 MVSIQESEVLNAVKTKLPPCCLRIFRNKIILVGTYDLDKSTGYR SGSLDVFTMDLKLLFSYNTYGAILDLKLSPFDDALICTAHSTGNIMLWKIGCTDNGDF ETKELDIRVVANLQLFEKDVLIASCHFSPLDCKKLVVTNTVGEAAIIDIRTLSIQFTA SAVTQAYSKLGKIDYEVQGATEKVIHVEPEQFLKPHELECWTAEIGSLQPFQDVVFTG GDDSTIMAHDLRSKEFIWSNGRIHDAGVVGIKCSQPNFRNNKPTSIITGSYDDTIRSL DLRMMGDSMFPGENVPTVSKLECNLGGGVWRFVESPIDHRHSSHDSSDRLLVCCMYDG AKVVAMNDSSDEYFQIQHYLKKGHDSMCYGGDWSNSLIATCSFYDNSLQTWTV SPAR_B03430 MARASSTKARKQRHDPLLKDLDAAQGTLKKINKKKLAQSEAANN EAANDEDGYIDSKASRKILQLAKEQQDEIEGEELAESERKKQLEARFTTMSYDDEDED EDEESFEEDISDFEPEGDFKEEEEIVEIDEEDAAMFEQYFKKSNDFNSLSGSYNLADK IMASIREKESQLEDMQDDEPLANEQDTSRGNISSGLKSGEGVALPEKVIKAYTTVGSI LKTWTHGKLPKLFKVIPSLRNWQDVIYVTNPEEWSPHVVYEATKLFVSNLTAKESQKF INLILLERFRDNIETSEDHSLNYHIYRAVKKSLYKPSAFFKGFLFPLVETGCNVREAT IAGSVLAKVSVPALHSSAALSYLLRLPFSPPTTVFIKILLDKKYALPYQTVDDCVYYF MRFRILDDGSNGEDATRVLPVIWHKAFLTFAQRYKNDITQDQRDFLLETVRQRGHKDI GPEIRRELLAGASREFVDPQGANDDLMIDVN SPAR_B03440 MPVVHVIDVESGNLQSLTNAIEHLGYQVQLVKSPKDFNIADTSR LILPGVGNYGHFVDNLFNRGFEKPIREYIESGKPIMGICVGLQALFAGSVESPKSTGL NYIDFKLSRFDDSEKPVPEIGWNSCIPSENLFFGLDPYKRYYFVHSFAAILDAEKEKT LQNDGWKIAKTKYGSEEFIAAINKENIFATQFHPEKSGKAGLNVIENFLRQQSPPIPN YGPEEKELLMNDYSNYGLTRRIIACLDVRTNDQGDLVVTKGDQYDVREKSDDKGVRNL GKPVQLAQKYYQQGADEVTFLNITSFRDCPLKDTPMLEVLKQAAKTVFVPLTVGGGIK DIVDVDGTKIPALEVASLYFRSGADKVSIGTDAVYAAEKYYELGNKGDGTSPIETISK AYGAQAVVISVDPKRVYVTSQADTKNKVFETKYSGPHGQKYCWYQCTIKGGRESRDLG VWELTKACEALGAGEILLNCIDKDGSNSGYDLELIEHVKDAVRIPVIASSGAGVPAHF EEAFLKTRADACLGAGMFHRGEFTVNDVKEYLLEHGLKVRMDEE SPAR_B03450 MSESPMFAANGMPKVNSGAEEDVRILGYDPLASPALLQVQIPAT PTSLETAKRGRREAIDIISGKDDRVLVIVGPCSIHDLDAAQEYALRLKKLSDELKGDL SIIMRAYLEKPRTTVGWKGLINDPDVNNTFNINKGLQSARQLFVNLTNIGLPIGSEML DTISPQYLADLVSFGAIGARTTESQLHRELASGLSFPVGFKNGTDGTLNVAVDACQAA SHSHHFMGVTKHGVAAITTTKGNEHCFVILRGGKKGTNYDAKSVAEAKAQLPAGSNGL MVDYSHGNSNKDFRNQPKVNDVVCEQIANGENAITGVMIESNINEGNQGIPAEGKAGL KYGVSITDACISWETTEDVLRKLAAAVRQRREVNKK SPAR_B03460 MLFSTSSSSLNSEVSQVFSENIPSRSTTLTENSTQSQIKQHLGS EGVTSELPKKLIQLKKLKNGGTTIKKAKEDLEYCYDSLRLYENPYVKSSVDRKCGYSI ELFLDNKYKTLMFSDLQLNAEYPLYYDSSLDNISTNNERESVTPLQIKGKIRINIDRE DHALLITSHSISVKCFTKEYACFVDSETGKDSSNNKIIRELNHTGFFESSTYPKQQLK VIHHSLNDKKILLTKGTYDYPFTFTLQANTFPASFSSFFGKTHFRIESSTSIMRIPNK PKNILKFLKNENITDKIILTEEIKVKRVLPSTSMLKFETFQLRSYNTASDVVVSVIGN SKLIEIGMPFQMILSIAKTDSSIELQDASLTVAQRMAIPSIDSKTKKILREPYIKKSE YLLRTVESQSFDSDKTIFGFCFDDVVIPDYADGLPSWFKTFYCEPSSFYPNYAALKVT HLLLFRINYSRNELAEGFEVRKNYRITVNFPILVGDSDISTSSLLPKYEKFESISDLR DEPPLYSMVAGTNGL SPAR_B03470 MFKRQFSTSIRYLQHYDESLLSRYYPESLLKSIKLAQQTIPQDT KFKVSRNVEFAPPYLDDFTKIHPFWDYKPGMPHLHAQEEDNNFTTFRWDQVQQPLPGE GNILPPGVSLPNDGGRKSKSADIAAGLHKQTGVDPDYITRKLTMKPLVMKRVSNQTGK GKIASFYALVVVGDKNGMVGLGEGKSREEMSKAIFKAHWDAVRNLKEIPRYENRTIYG DIDFRYHGVKLHLRSAKPGFGLRVNHVIFEICECAGIKDLSGKVYKSRNDMNIAKGTI EAFTKAQKTLDEVALGRGKKLVDVRKVYYSS SPAR_B03480 MTTTSDKVLKIQLRSDNATVPTKGSATAAGYDIYASQDITIPAM GQGMVSTDISFTVPAGTYGRIAPRSGLAVKNGIQTGAGVVDRDYTGEVKVVLFNHSQR DFAIKKGDRVAQLILEKIVDDAQIIVVDSLEESARGAGGFGSTGN SPAR_B03490 MSNQALYEKLEQTRTILSVKLAELINMTTIADRNDDDEDSFAQE NSELAVATTSVMMVNNQTMQLIKNVQDLLSLTRSIKEKWLLNQIPVIEHSEETRFDEK QIKKLLDNCIETFVAEQTT SPAR_B03500 MPQYFAIIGKKDNPVYEIEFTNTENPQGFPQDLKELNPFILHAS LDIVEDLQWQINPTSQLNGNGGNGANGGGGFLRSRTVNNTDNCYLGKVDHFYGLAITA YISYGGMKFVMIHGNSANSSVVIDDNNIRSFYQEVHELYVKTLMNPFYKITDPITSPA FDSRVRTLARKHLSK SPAR_B03510 MTHPNEHHHKAEQQQNGRSDTKTETVNPQKMKLVTKLLIDNKFG LMDDLNFSRPLTASSEGVPISAKTSELGTEYLKNQQENSVSPIPPISRSTRIKADRVR IYLDYYYSILERCISIDSSQNHHEGVEGVYNPLQVIRNRKLKKKHHELPTREFYTTKH PIIAVKQFSKKPNRKMPWFVDINEKYMDLTWRTSHWEELVDPQGKLWFQSYSPSTESS GSSSSRRQHSHHIHPRRHLRHHSRVRTANSVHSNTQSLTSKRMITNEEDKSNHNNNNV VTKVTTTPEAQISRNKKSDPNLSHTHLEVPITNTVTNTSSDQGSLIIEVKGSGLESAR RGSSNTSGSGGNRNYKHYRSKSAGPPEDEKSRMNGLEKIISKTSKGWSRSPKKNTPAT EKQVLLTPAISNGGASRRSSNNGASINTSSSKSSMGITFGNIETYKTPVDNDKDAIIR QSLLSEVPVHTLRGKNSNKSLKEGEQVLESDKELPNGVSSTYDGVPREKTTSHGSEPV RIISDSLQVDEQLQRYWHDTRYIMSTVAMMQHRRETHDIVKRREIARRNEIEITQDAD TNIRKTADALTQYDNELNKVLKLGNDWTSKLLNDYSIRVETLISSSDRILSDINTTLT LKLKMFQENTERYVTVKVMRAQKMTKTIYGLLEFGIVVVLWTIWFLFSVLRSIRFTIC LVLKIIKALLW SPAR_B03520 MGSNVLPIHYDPKTVKQLTKEITVASCIGAAQGALFSIATALLL RRFSSVYKNVRTQVRVFYHCSWISMGAVFRADKQLLKFQTNYYREEQKRREKILDEAA ERGLFLEDESLNSSRSTT SPAR_B03530 MFTGIVECIGTVLENNPYDDSESGGQGVSITIGSAGSILTDCHI GDSIAVNGVCLTVTEFDNESFKVGISPETMKRSNVASWIQGTKVNLERAVSQDVRFGG HYVQGHVDTVANIVSRRPEGNSIIFGFQLRDQEYFKYIVEKGFICIDGTSLTVTKVDA LSEGGAFYISMIKHTQDNVIMPLKKIGDEVNIEVDLTGKIIEKQILLTLENQISKKDS TLNTMIANIIEEKVRSYLNK SPAR_B03540 MDRTQTFIKECLFTKCLEDPEKPFNEKRFQDTLLLLPTDGGLTS KLQRQQRKSKLNLDNLQKASQSESVDKQLEKKNYRRINKNSKIALREYINTCKKSTEK CLKLAHENKIANKEDLLHYIEEKHPTIYESLPQYDSFVPMYKELWVNYIRELLNITKN LKTFNGSSALLKLSMADYNGALLRVTKSKNKTLIGLQGIVVWDSQKFFIMIIKGNLID QIKCIPKKGTVFQFEISISDDDDSALRYSILGDRFKYRSVDRAGRKFKSRRCDDMLYY IQN SPAR_B03550 MAYNEEDSKKLSEKYKKEGHFDKLKREILSNPWDSTEKNSESFE QVLRERVASTVKEMVNEDEELIFKNRGLTSALIESQLVKDKYSKLSSKIDMDNGDATK RFELDEYVHSKLQDPKLLEMIKGQLQETLDSYEEETIGHT SPAR_B03560 MSVEEAVARYRDVISHLATGNLRQIAMKSEKLAQIIASSKSTVR FHHKARSGKTVIYKSIKKALLSNVTSLSPEFSSETDVLQFLHLNFVYQTRFQALSGQI KKYCGMKIYYELKFAAIDHLETEAQTTGLTLLRFWATSLDEFIRKEHWIDNGSEFQIF YRLMVDYSSWKWDSDIERQHYFMCQFRSKLKECLVDFYENFDLQKSSKPLEELIMPWE KLVYVANYIDAFTGEQVRIDGAELFWTFKNLVFSSISSIVLRLDDLQNIFSAFQHYGK DTLVQDFARVRSLKWDSNDKVESLIRALIFNDMFPYFNREQVRTKGDGIYFLRLLRKN FKRKINGVKEFHIQVMKYLNSQFKNNYNSLMTSLKIQNRKQSLNMVRSASKDGSKTNV LLSPIDEYSHFVDNDEPLWQDKLYPKIYTNEQTLTFDTSAIFDSHKIYAILSLLRYYL PEKRKFFRIYYLPSIFKRILYYGTKFAQLYFKEGCLERLVIEYLKILEPSLAHAVDKL IKSSIESLKNVTLTSDDKTSSGVILLPQKEFSSLSEVNKGFNEPFWPNQSFANSWPDL ANKQLKTGQVLQDAFAFHLFEIELPIVINNTKGTHLKLVSNMCTTSILYLYNEADSLS LITIQEKLAVLPTGKRNEILLNNLNRLTKLKLLLLKENEEGQKFYTFNFKYKNTGQKS SVIRLI SPAR_B03570 MEETAKKPTSTAVSARSSHNGGGEDLAHLFNAPEIKKVLNSDVA INALLSRLKQSLLTCEEFMKFIRKKYVFEEEHVQELSKQYKHFFNVDGSTYSSLKKMI HEVLGFDGKMAQVKQSYITALQKMYSEISSLLLTMTKLRKSVKENSKRLEKDVSDAIH SAEKAQSRYNSLCQDWDKLRMTDPTKTRLTLRGSKTTKEQEEELLRKIDNADLEYKQK VDHSNSLRNTFITKERPRIVQELKDLILEVDTAMTIQLQKYTIWTENLILNTGVTISP LDSTKSMKSFAGSVSNERDLYGFLNKYNQTGKHSLLINKNLIPVSYKKHPSMNHGQKN KSPPKFAVDPSRNSIPKRMISTHNESPFLSSSNNAAAVPGANSNSATPSLNTNKQLPP TMGSSISSTNTAAGAMSPSSSIVTSDTTSSITKTLDPGNNSPQIPEELINSLDSDRPI SHIQTNNNMPPGVQKNFKTFGVPLESLIEFEQDMVPAIVRQCIYVIDKFGLDQEGIYR KSANVLDVSKLKEEIDKDPANISMIVPSKPHSDSDIYLVGSLLKTFFASLPDSVLPKA LSSEIKVCLQIEDPTTRKNFMHGLIYNLPDAQYWTLRALVFHLKRVLAHEAQNRMNLR ALCIIWGPTIAPANPDDANDVNFQIMAMEVLLEVSDQAFEPE SPAR_B03580 MDVPADAHIKYEDAIDYWTDVDATVDGVLGGYGEGTVVPTMDVL GSNNFLRKLKSRMLPEENNVKYAVDIGAGIGRVSKTMLHKHAAKIDLVEPVKPFVEQI QVELAELKDKGQIGQIYEVGMQDWTPDAGKYWLIWCQWCVGHLPDAELVAFLKRCVVG LQPNGTIVVKENNTPTHTDDFDETDSSVTRSDAKFKQIFEEAGLKLIASERQRGLPRE LYPVRMYALKPISN SPAR_B03590 MLKLGPLARSVKWTLSVGVIGSVFYLYRFSNNGYFYDHDATWLK QDHQVQYLMDRKEVVPGGAKRKQVVMDNGTAWTRTMGESMKDIWNEQIRNSVDWIYSW GKT SPAR_B03600 MLSRASALAKCMATVHRRGLLTSGAQSLVSKPVSEGDPEMFNIL QQERHRQKHSITLIPSENFTSKAVMDLLGSELQNKYSEGYPGERYYGGNEIIDKSESL CQARALELYGLDPAKWGVNVQPLSGAPANLYVYSAIMNIGERLMGLDLPDGGHLSHGY QLKSGTPISFISKYFQSMPYHVDHTTGLIDYDNLQMLAKAFRPKVIVAGTSAYSRLID YARFKEISKECGAYLMSDMAHISGLVAANVVPSPFEHSDIVTTTTHKSLRGPRGAMIF FRKGIKSVTKKGKEIPYELEKKINFSVFPGHQGGPHNHTIGAMAVALKQAMSPEFKEY QQKIVDNSKWFAQELTRMGYKLVSGGTDNHLIVIDLSGTQVDGARVETILSALNIAAN KNTIPGDKSALFPSGLRIGTPAMTTRGFGREEFSQVAKYIDSAVKLAENLKTLEPTTK LDARSRLNEFKKLCNESSEVATLSKEISNWVGQYPVPGDA SPAR_B03610 MEATIKVVLLGDSSVGKTSIVTRLKSGKFLAKHAATIGAAFITK TIEVPSNGASAEKRIHMEIWDTAGQERYKSLVPMYYRDANIALLVFELGSVSSLQCAK TWFQDLQDRAQGTQVILVGNKYDLVCEEHSGELAIPAELQGLPYVAVSAKTGYNFDAL NKIIISLVPENQFKILPKDNEQGNILEINKERSGGGCIC SPAR_B03620 MKFTLENQVVLITGGSQGLGKEFAKKYYNEAENTKIIIVSRSEA KLLDTCNEIRIEAHLRRETTDEGQVQHKLAAPLDLEQRLFYYPCDLSCYESVECLFNV LRDLNLLPTQTLCCAGGAVPKLFRGLSGHDLNLGMDINYKTTLNVAHQIALAEQTKEH HLIIFSSATALYPFVGYSQYAPAKAAIKSLVAILRQELTNFRISCVYPGNFESEGFTL EQVTKPEITKLIEGPSDAIPCKQACDIIAKSLARGDDDVFTDFVGWMMMGMDLGLTAK KSRFVPLQWIFGVLSNILVVPFYMVGCSWYIRKWFRENDGKKAN SPAR_B03630 MSSSGVYTCNSCVLTFNASEEQRAHMKSDWHRYNLKRRVAQLPP ISFEIFDSKVSAAAASSTKAVDKEKPVTKKELKRREKQALLEKKKKLLEIARANMLEN IQKSQDGETPDMSKLSLQENEENKKKEEPEQEELDQLTEEEMAERVMQEKLRNRVDIP LEQCLFCEHNNHFKDVEENLEHMFRTHGFYIPEQKYLVDKTGLVKYMSEKIGLGNICI VCNYQGRTLTAVRQHMLAKRHCKIPYESEDERLEISEFYDFTSSYANFGNNTAPDNED DWEDVDSDEAGSDNEDLPQEYLYNDGIELHLPTGIKVGHRSLQRYYKQDLKPEVILTE GQGTLVAAETRSFLPAFDKKGVQAQQRVWQTERFDKKRLDKRSAKFVNNQPHYRDQLL Q SPAR_B03640 MLARSLGYRLVSTSRVIYNKQTVKSVVSSCPAGTSLNLNIWKSG KDAVALEDKEYPNWLWSVLDSEHAVEHATEDSEGEALLNRRKNIRKANRQRIKQNNFL SQL SPAR_B03650 MLCAIKIISYRYSCTEASTLLRGRPLNMAIRKITTERIPGPPKL PREEQEEFERLQKIATSQEAIDQYNARATGDHTKESLNSPLLTKNDIGSFSPEFSKTI PEFEGDVNPKTGEIGGPKQDPLRHGDYSFNGRVTDF SPAR_B03660 MATDLNRKRSATSGALGVTNPNIKPTNRKPARVYSVSSDIVPQA LTHPDEDVHLKISKSPHDTAPRWSQVGFQSIFHDGSNARRSTDSIEEEYSQGTENNDG HSEVGSSSSNRMEGNTTSNDSLFSSNSRGNKKRLSMFTNSKENMRNRSRSWSKNHNTV INGASSSSVSRSASKLFHTKSNISVNSLQSSLSTGHSHSNKGNNVFSKMAKKLLPYKP HNSIGKDDTEPAVPSPFSKFLHSSYGKHRSPVQFIHTSTGGLIDSGKSVYSFNPSINN NPNDTALSLIQDDTFDATNVSLLHDLLKNLPSLIANYKSFTVQELYVLEGNIWGIYCS IVVELFKNKRVWQLPAKIEDIDRLLEFYITLKTQTKAAVTHSKFLAEIEEFITTSLYI LENQIVFNYANEDTVNTALKRVGIIWKVFYQQVYYDMMAVLLPFEKSFQKDNNYWLDG YLPEPSRYVPSIDVLLLKCFRDSIILPYYESFLHTNDGASKSFQRYIFSEEEQNGVTE EDKLTLLQCFGILNTIKGNSRNQKIIGELLEGIRMSI SPAR_B03670 MFDPLDLYTPDEIQVEPLQFDLAEKELEELSSQQQRDGTLANVD EKESDDDDTIIDNLDLPSVRYAPPEVILCILVLLRPNRQVNFNQETGKDKSVLEICES HGLEPDLLKRLLGWYTEEWPNKRLNSLKKICNKIPMLRFMVSKELLLSYYTSVLKKYN NCCGFNDETVQQLLKELSSRISENCGRTAQPSIIRYFELKNLTNAIPLYEPSLTADNL GWKTWGSSLILSQLVVDHLLTTNLNFAANSDTKQIKVLELGAGTGLVGLSWALKWREL HGTDNIEIFVTDLPEIVTNLKKNVSLNNLQDFVQAETLDWTNPQSFIDKFGHENEFDI ILVADPIYSPQHPEWVVNMISKFLAPSGTCHLEIPLRAKYAREREVLKLLLLENNFKV VEEGHSEGMDDWGVVKYLYRQIVRN SPAR_B03680 MSEKETNYVEDLLTQLENELNEDTLSEDIDALLRKCSLNLVTVV SLPDMDVKPLLATIKRFLMSNTSYESLNYDYLLDIVDKLVPVVDFDDVLEVYSAEDLV KALKSEIDPLRVAACKVIENSQPKGLFATSNIIDTLLDILFDEKVANDKLITAIEKAL ERLSTDELIRRRLFDNNLPYLMSVKGRMETVSFVRLVDFLAIEFPFISGAEFKDILFG FTKEEVLKSVEDILVFIELVNYYTKFLFEIRNQDKYWALKHVNKTLPIFAQLFEDTEN YPDIRAFSINCLLRLFAEVSRIEEDEYSLFKAMDKDFLKIGSEAKLVTEWLELINPHY LIKYHKDVVESYFHVSGYSMGLLRNLAADEECFNVIRNKFNAEIILKLPYLEQMQVVE TLTRFVYTSKFLLNEMPKVMGSLIGDGSAGAIVDLETLHYRNSALRNLLDKGQKNLGI WYEPLAREYSMAINGKNYSKGSEAKIADDYIA SPAR_B03690 MLEALFRDSVEEAINDSIKQGVVMAVYNTARDDQWLKSWFKEDE VALDVLAEHSIWLKLVKDSEQFQLFEQVFPNVVVPSIYLIRAGKIELIIQGENDRHWE KLLTCIGIQDKVSHENSPRETNPGLAKEEKPSRDVRKKNARERIAETTLEIQRREQLK QRKLAEEERERIIRLVRADRAERKALDETHHKTLDDDKPLDVHDYIKDAQKLHSSKCV LQIRMTDGKTLKHEFNSSETLNDVRKWVDLNRTDGDCPYSFHRGIPRVTFKDSDELKT LEALELTPRSALLLKPLETQHSGLSVTGMEGPGLLGRLYKGFSTWWRNDEGREVTPQR EEMSEPNRHETRSSTSLPRTASSNPFQYDNVREPVQSSAHASPLLTPSGTRYPSETNL TASRSVSPNIFQFVNNDHQEDPEDPTTFNGNNVHLEKKKDEDKK SPAR_B03700 MSLSQISPLPHIKDVVLGDTVGQGAFACVKNAHLQMDPSIILAV KFVHVPTCKKMGLSDKDITKEVVLQSKCSKHPNVLRLIDCNVSKEYMWIILEMADGGD LFDKIEPDIGVDSDVAQFYFQQLVSAINYLHIECGVAHRDIKPENILLDKNGNLKLAD FGLASKFKRKDGTLRISMDQRGSPPYMAPEVLYSEEGYYADRTDVWSIGILLFVLLTG QTPWEIPSLENEDFVFFIENDGNLNLGPWSKIEFTHLNLLRKILQPDPNKRVTLNALK LHPWVLRRVSFSGDDGLCNDPELLAKKLFSHLKVSLSNENYLKFTQDVNSNNKYISTQ PIGNELAELEHDSAHFKAVSNTQRAFTLYDSNMNDNSGTFMTQEAKWTQFISHDIAAL QFHSDENNCTELLKRRLQFNPNKLTKFYTMQPMDILLPILEKALTLSHIRVKPDLFAN FERLCESLSYDNVFPLIINIKTKSNGGYQLSGSISIIKIEEELKNVGFERKTGDPLEW RRLFKKISIICRDIILIPN SPAR_B03710 MSKDFSDKKKHTIDRIDQHILRRSQHDAHSNGSSPWMKVNLPPP PSPQAQMHIRSDLSPTPKRRKLASSSNCENKQSDLSTTNTNSYSEDAGNRLMQSLPEL SASNSDNVSPVTKSVAFSDRLESSPLHHVPGSSPKPSPSSKPGKSILRNRMPSVRTVS DLSYNKLQYTQHKLHNGNIFTSPYKETIGLNPHSLNYWVSGEIHSLIDNESVSEFKEI IEGGLGILRQESEEYVARKFEVYATFNNIIPILTTKNVNEVDQKFNVLIVNIESIIEV CIPHLLTAQDTLLSSSEKKNPFVIRLYVQIVRFFGAIMSNFKIVRWLTKRPDLVNKLK AIYRWTTDALKNENSNKIIITAQVSFLRDEKFGTFFLSNEEIKPIISTFTKIMEINSH NLIYEKLLLIRGFLSKYPKLMIETVTSWLPGEVLPRIIIGDEVYSMKILITSIVVLLE LLKKCLDFVDEHERIYQCVVLSPITETIPEKFLSKLPLNSSETADLSKVTIGHLLTKQ IKNYIVVKNDNKLAMDLWLSMTGLLYDNGKRVYDLTSDSNKGWFSLNNLCFINNQPKT RLMSIKVWRIITYCICTKISLKNQEGNKMLLSLLQTPFQMTLPYVNDPSTREGIIYHL LGVVYTAFTSNKNLSADMFELFWDHLIAPIYEDYVFKYDSIHLQNVLLTVLHLLIGGK NADVALERKNKKHIHSMSVIASEGVKLKDISSLPSQIIKSAYDKIMKVVFQAVEAAIS NVNLAHDLILTSLKHLPEDKKDQIHLEAFSSLILKVAQNNKDKPIFRDFYGAVIPSFV YAFLDLFLRKHDSSLINFNIQFSKVGILQGNMTLDLLKEVIRKARNETSEFLIIEKFL ELEDKKTEVYAQNWVGSTLLPPNISFREFQSLANIVDKVPNENSIENFLDLCLKLSFP VNLFTLLHVSKWSNNNFIYFIQSYVSKNENRLNADLITLLKTSLPGNPELFFGLLPFL RNNNFIDILEYCICSNPTLLNSIPDLDSDFLIKLLPRSRASYFAANMKLFKSSEQLTL IRWLLKGQQLDQLNQNFSEIESVLQNAPDSELEKSEIIGELLCLTTRNPIEPLFSGLL YFCLKNSMTDHLDEFCGKVTDEVLLKINPELLLKLLTYNDKPNGKLLTAVTEKIGNAD NDYILELLEKIIAQKEIQLLEKLKEPLLVFFLNPVRSNKQKHEKSANMLRELVLLYLT KPLSRSAAKKFFSMLISILPPNPNRQTIDMVALLIDLIKSHNRKFKDKRTYNATLKTI GKWIQESDVVHQGDSSKEIDTTPNANSTYVPHGESEKEVSNLHRKADFEDIQVPATQG IKDPPSSYQLSSQLDAKNSGTIKLKNIPIMNLAQQETLAKRSRSFADETLEEVDNESA REIHQQMKSTQLDENTVNRTSIHFTKSDETEVSELHEDDNDTHSSGANAYKQTEVFTG ELREVTNKFFKPNPDHNSVNSDNFLQRSAEETPISEDKTSKGHEEAANVLPPEEEAVS LSTEVVRTNVKSVANEESLLTLRNSQKIDPKCSERSLTATLSLDKQTVTEKKGNEQEE KDQSLEKKESMNIIDNESPTEDNITQNKTVKKTASHNNENEDKNWTYNVEQTLPAMNE GMGDGIHTSSEAIFLNNSKQTEKSKADALHYNEDEHGMATGENRQSDEINSVNQNAKK IDSIQIQSVEEESRKAVTIEESLSERLEGCGTLEPIKNLEGQLKEDKKANINDDFVPV EEDGRDEGFLKAMEQVASKETSLLKEQPEVADISVLPEIRIPIFNSLKIQESKSQIKE KLKKRLQRNELMPPDSPPRLAANTNIIGRNGLGTAPKAIGGKEEKHGEIQLAQAHTEA DADPSLVGDGNEDATSREATPSLKAHFSSKKSRRLVGRLRGFTPGDLNGISVEERRNL RIELLDFMMRLEYYSNRDNDMG SPAR_B03720 MVLEVPSITPGELHDLMRLHQSAKWPECKKMFPWAHDISFGQPP DFPHSLAIVKSQSDASNSALLRSSLEVNDIFQSWKIHTSFHREGGRCATDNELDGYHY PNNTRELLKLLKFQTRQLELQVDDVDLENAATYCHDHSILPFLKVDPRGLSLELKRDS RNKVGSSTVLKPSRQDVWGRRGLFRRFDLQCAKMIEMVDNIVIYCTRNGDTTNTQGDS AAMGSHEGDCPNCTTLALLLRICLMFVQKGYAGCEGSLYKTNLSICTYRNFNTDIPQS LIGTPLLDKIFKNTPLNLSSSPSEIECFNNIDKNMVLCEKLELNKLTSATRLEETGLI CGNTTDWHNYQIIKKSNIPLPDRSQENASIVTLRPLTYDPDNPTASISRLYNVPNTKE TWKLIIKCTSNSSMPLLTKIRSYLDFLLDDDASKSQSYLHLTFPASGTIGLGNLNIQS VEILLNVCFLIFQVSQVQDQFTFMYCSDGYTETSLLLTAYIIFHFDIPLQDALLRIHP RPFFLFPSDLQILGHLQPILREFSPQRGGNLELYSNAVKFRDRSFQLHISSELFSSIF FMKIPPESNFVNLKGPLPSRILQHLYLGSLDHAQNPDLLKSLGITHIVSVGEVVSWTL NREKVVHPVRPHRAITMTNTNEVMGGAASNKIRNRAGTTINDRQENGSNIVISENSGF QICQIENLDDNGKDPLFHQIDKVLDFISNSEKAGGKVLVHCMVGVSRSATVCIAECMR YLHCDLASAYLFVRVRRLNVIIQPNLFFVYELFKWWKKHYNYEKDKTMDWHIICRGIA EVNMKYT SPAR_B03730 MSDLVKEKAPAFPISKVKKIAKCDPEYVITSNAAVSATAFAAEL FVQNLVEESLVLAQLNSKGKTSLRLSLNSIEECVEKKENFRFLEDVIKQLKKNSALDK KRELKRQPGRDDQEAAEEEPELHEDGGIEDEEESEESEQEEPVNEEELLDDSKDYQND KSKPSVTSLLSRFQYKSTLDVGEHSDSSDFETDHAKSTDA SPAR_B03740 MSKKQEYIAPIKYQNSLPVPQLPPKLLVYPEAPETNSDSSQLIN SLYIKTNVGNLIQQDEDLGMPVDLMKFPGLLNKLDSKLLYGFDNVKLDKDDRILLRDP RIDRLTKTDISKVTFLRRTEYVSNTIAAHDTTSLKRKRRLNDEDSDDENLDANHIISR VEGTFSKTDSWQHPVKKGVKMVKKWDLLPDTASMDQVYFILKFMGSASLDTKEKKALN TGIFRPVELEEDEWISMYATDHKDSAILENELEKGMDEMDDDSHEGKIYKFKRIRDYD MKQVAEKPMTELAIRLNDKDGIAYYKPLRSRIELRRRRVNDIIKPLVKEQDIDQLNVT LRNPSTKEANIRDKLRMKFDPINFATVDEEDDEEEEQPEQPVQPEQSEPEDVKKEPEG EFKTEAPQQEGENGNDEANKQDENRPVDIPEASDAVHAEQKSEEEKEILQEE SPAR_B03750 MSETESREKESEVGLPPDIVQATLPFLSSDDIKNLSQTNKYYNT LLDFDHSKTLWHELFHKAFGTLKTNDEPFQCRNSAEFKTCTETILREAYPTLSWQDLY QLRAYDAKFHSWGYLKHGRLGYTVSSNNELPVTSLNGPSPRFKYGVNTPTEVPWFNSR TRSRTCNFTPSEDPLSAIKKDGDEIIAQVSSGGFSFQILTESGNLYSSGSTFSGGLKG PGPSGSQHDYNPFREMIHNMERSYPRITSRSNGSTVNTTGTFSGRRLGGNHPSTANEP GHTQSTPAQDITTGRGQALAPSPGGRHNGAPRTTIPLMGPHENIYSEIEMLERSANKA VPGNNHIRRMFARNSFPLYSGSDENLESFSDIQFVAVSSGRSHFLAMDTDNNIYSWDS TECDQGVKIEFANLPSRATNPILKIASGWNFNCCYIYKVGLVAWREREAIKKGESFAF AKYEVVPNTNDINGDSRIVDFACLQDNCVFFINNNGDKLWKYHNGLNHMVDLNIVGRL CKINVCFASLVLFTDSHCYTLKITNGDVDKDSLTELDIDENVISVASGDYHMVALTEC GHLYSWGIESQDCGCLGLGPSEKVVNELHIGSWEGQRNIRVVKPTKIELPEDYICVSV TAGGWQTGALIIRKH SPAR_B03760 MYDSRGVALHSELIHRWNHAFSILSIVAFPKKRLLFAGSQDSKI LVFDLPTYNLIHTIRLGESQEETHTRSSVLCLARSEDENFLFSGGADSLVRIWSIGEK TIRDDFLPVTEIATVYSVTDIGDIFSLAYLDSLETIVFGCQNASLLYVENLIQKIEKK SSDRVENINRLPHRRYDKFFDSLGPTGCSSNSLSQTSLTFLQENCGAAIIEVPSENII KYAHYGFVYSINKLCPRFNQLLEKSSQTSGAEHIISSAGDGISKLWEFSKDKQHNTVK ISLINDKIDNEDSVISQTIEFPFLYCGLTDGIIKIWDLNTQQLISTLKTKHESDVISI SVYMDHVFAIDESGITHFYQNQVNHWNPQQGKILSSEIFSKSIAGSVSLLTGGSDGSL TLWDITSLLSAVPLSSNTPINASSTLETTNSWAAYQPASLNNEEMLNTLRELISFQTV SQCKDTANTLSLRRCAIYLQQLFLKFGATNSQLFPLPDGGNPVVFAYFQGNGKVSQVK SAKKKRILWYGHYDVISSGNTFNWNTNPFTLTCENGYLKGRGVSDNKGPLVSAIHSVA YLFQRGELVNDVVFLVEGSEEIGSASLRQVCEKYHDIIGKDIDWILLSNSTWVDQEHP CLNYGLRGVINAQVKVWSDRPDGHSGLNGGVYDEPMVNLMKIVSKLQNDRNEILIPNF YSPLKDLTEEEYQRFQKITKLANIDESTTVQDLITNWTKPSLSMTTVKFSGPGNITVI PKSVTMGISIRLVPEQSVEQVKKDLKVYLEESFKQLNTQNHLEIKVLNEAEGWLGDPT NHAYQILKDEITAAWDVEPLLVREGGSISCLRMLERTFDAPAVQIPCGQSTDNGHLAN ENLRIKNWSNLTEILSKVFNKL SPAR_B03770 MKGSPITQFSKTSISALTRPWKKYRDGELFYGLSKVGNKRVPLT TKQGNKTMYKGTRASGIGRHTKFGGYVINWKKVRTYVTPDIVNFELKPYVNANVPPLK HEFKGFSGGPLDPHLQLLKIKEYIINGKVQSQGATDTSCYKERG SPAR_B03780 MSGFRLIDIVKPILPILPEVELPFEKLPFDDKIVYTIFAGLIYL FAQFPLVGLSKTATPNVNDPIYFLRGVFGCEPRTLLEFGLFPNISSGLILQLLAGLKV IKVNFKVQSDRELFQTLTKVFAIVQYVILTNIFIFAGYFGENLSVVQIGLINFQLVGA GLFTTLLAEVIDKGFGFSSGAMIINTVVIATNLVADTFGVSQIKVGENDQTEAQGALI NLIQGLRSKHKTFIGGIISAFNRDYLPNLTTTIIVLAIAIIVCYLQSVRVELPIRSTR ARGTNNVYPIKLLYTGCLSVLFSYTILFYIHISAFVLIQLVAKNDPTHIICKIMGHYE NANNLLAVPTFPLSLLTPPTSFFKGITQQPLTFITYSAFIMITGIWFADKWQAISGSS ARDVALEFKDQGITLMGRREQNVAKELNKVIPIAAVTGASVLSFITIIGESLGLKGKA AGIVVGIAGGFSLLEIITIEYQQSGGQSALNQVLGVPGAM SPAR_B03790 MVQNNRSLFFVGVCDSYKESPSTSPIRLDDLDGNDGTSDQGLAF DGEVGITSQARERNRRAFELGDSINDVALHLDDLDMVPLNTKFDMQMEMGSPMAMPPE TQPPVEPLKAKNLEYSSLAHLPSYFFEKTHFRIERKCLLEMCKLRRNYLTISKQDALS CPRFHSTVTEKRLKPIKENFSGMRRLLDLREDNTLSPLSDDNYTTELFSDQVNVPSFK EFRQDFEWCLKIIKDRSLSRFSEKRLQYLANKFPIFQYLHSKEETRQSKRVPHKDFYN CRKIDLNLLLSGCFSQWRLTEFIWTKLRKEPDKIIHQTSSGDHITLSQLFKVDFEESS QFSNGLKIIDDSFLEWYKVIYLTKYHLINNEFEIHADPHNRQFQHYLIARTFLEFDNY INGEYLAELLQIFLVKPQEESKYQLCQLSVDFQFYLHYGNSDVDNWWMVFANWLSHYN LFSSNIRWNIRISRIYPELYRTGKVKNFQEYLNLIFEPLFNAQNYLHKSLGPILLKFL SQISSIDLCIQDTDSYIWKNFTTVSCLPKNWTSDGDNPTISQYMYYVYVNLTKLNNIR QALHQNTFTLRSSCSSTSMNRTSQFSSTLNFTEHTETILNNFLLASGGFLNAENLWNA PPSLVYLFYLSQIPMVVAPLNSIVDSKPTVVQDQAPTGLVLEPSKPYKKNPFMKFFEM GFKISLSSESILYNNSYTREPIIEEYSVAASIYRLHSADLCELLRNSVITSGFASTLK IKWLGISLTSHDYFVENTGFVDNWYDCKPDTSLQHNVPIIRRRYRNDTLAGEWRLIIV SPAR_B03800 MTIFSRFSYFDSLFSFKKQEPSPIEIIYCNENNGFINIKSLESS TDDSMEADISDREMATILTRNRKNLGKVAIDKKSIKNQCINLNELKTGLAANKHRLDN DDSTWHQDAYSLEDSLEFNRFDDKQSRILKCSTRRSYLGYKK SPAR_B03810 MHFSLKQLAIAAFYAANLGSAFVIPQFFQEAFQQEEPIENYLPQ LNDDENSVVGANIPKPHIPYFMKPHVESEKLQDKINVDDLNATAWDLYHLANYSTPEY GHPTRVIGSKGHNKTMEYILNAFDDMQDYYDVSLQEFEALSGKVISFNLSDAETGKSF ANTTAFALSPPVDGFVGKLVEIPNLGCEEKDYASVVPPGHNDKQIALIERGKCPFGDK SNLAGKFGFTAVVIYDNEPKSKDGLHGTLGEPTKHTVATVGVPYKVGKELIANIALNI NYSLYFAMDSYVELIKTKNIIADTKHGDPDNIVALGAHSDSVEEGPGINDDGSGTISL LNVAKQLTHFKINNKVRFAWWAAEEEGLLGSNFYAYNLTKKENSKIRVFMDYDMMASP NYEYEIYDANNKVNPKGSEELKNLYIDYYKAHHLNYTLVPFDGRSDYVGFIENGIPAG GIATGAEKKNIDNGKILDRCYHQLCDDVSNLSWDAFITNTKLIAHSVATYADSFKGFP KRETHKHEEVDVLNTQQPQFKYRADFLII SPAR_B03820 MVETFSFVHLAYLVFESVLQVVIIALAGFWSASSGLLPKQSQKI ISLLNVDLFTPCLIFSKLAKSLSMAKIFEIAIIPVFFGLTTGISFISGKIMSRILDLD RDETNFVVANSVFGNSNSLPVSLTLSLAYTLPNLTWDQIPNDSRDNVASRGILYLLIF QQIGQMLRWSWGYNKLMKWSGENTQHMPPSQVQSLLERTPNIDNEELVNEEQEEQELL EQENNRLNPSFLSSSSIGDKIWQKSCAIIERVRANLNPPLYSMIFAVVVAAIGPLQRE LFMEDGFINNTFAEAVTQLGSVSIPLILVVLGSNLYPSAEVFPKTVHHNKLLIGSIIG RMILPSCFLLPIIAIAVKYINVSILDDPIFLVVGFLLTVSPPAIQLTQITQLNEFFEA EMADILFWGYAVLSLPVSIIVVSGAIYVLQWANPT SPAR_B03830 MYLSFYITDIKNKLVFQYLLGATAPSFKHLWTRVQSTCPQLLED SSSDNYLDHAMVGRDLEVYKYFSVTNKLNYWCLASTSKSKGPLDCFTFLETIDRILLE YFDKDQLSIKKLVNNYDRISLIFNCCIEAGEPNVSDMLYVNKIKEAVPERSDLSKFIS STAHNLQQAVQLPQQRQQQLQQNQISRGSISLVENEEIVPWRTSRASKHENNELYVDL LERFHVVFEKKKSHLRLITGNIHGTVDVRSYLNDNPLVSVKLNTMGNDIGIPSLHECV EINDGVFSPSNIAFIPPDGKFRLLEYSVDLNSQVKQSGVRMNSIGLMSLHFQNGLGKD SDEFELSLNIENFKKVPQIDDLKLDLQFNVENADPSEVAYKIKILRNTHGRFENSIIM GQGQWIFDKSTATGTVPVLRGCIEYESAGPNDTRNIDLQTVSLEYSYLGQSASGIYVE AIDIISGLTIGKNTKLYKGAKYKTQTGDFQVRL SPAR_B03840 MNNQPQGTNSVPNSIGNIFSNIGTPSFNMAQIPQQLYQSLTPQQ LQMIQQRHQQLLMSRLQQHQQQSQQQNSPPSQTHQSPPPPPPAPQQSQPMANQSATST PPAPAPHNIHPQISQMPLAPAPINLPPQIAQLPLATQQQVLNKLRQQAIAKNNPQVVN AITVAQQQVQRQIEQQRGQQTAQTQLEQQRQLLVQQQQQQQLRNQMQRQQQQQFRHQV QIQQQQQKQQQQQQQQQQQQQQQQQQQQQQGIPQAQQVPPVRSMSDQPPTNVQSTVGQ LPQLPKLNLPKFQTIQYDPPETKLPYPTYWSDKEADTDTLLYEQIIQRDKINKFSLVR ETNGYDPFSIYGFSNKEYISRLWHTLKYYQDLKNTRMKSITSTSQKIPSASIWGNGYS GYGNGITNTTTRVIPQVEVGNRKHYLEDKLKVYKQAMNETSEQLVPIRLEFDQDRDRF FLRDTLLWNKNDKLIKIEDFVDDMLRDYRFEDATREQHIDTICQSIQEQIQEFQGNPY IELNQDRLGGDDLRIRIKLDIVVGQNQLIDQFEWDISNSDNCPEEFAESMCQELELPG EFVTAIAHSIREQVHMYHKSLVLLGYNFDGSAIEDDDIRSRMLPTITLDDVYRPAAES KIFTPNLLQISAAELERLDKDKDRDTRRKRRQGRSNRRGMLALSGTSANSTSMNGAHN TAAAGNVSSLPPGEILLPDIADIPRTFRTPVPSTLMPGGVDVGPSVESYELRNTTTYK SRPDRPNPVSLPCYIIDHIPGHSLLLSIKVPKKDNTMEELAAAANDANSSTNPMLPSP ESLKAKLNNNIRAGVTISSIPNPIANRVVINPPNPTLQPVIPVGAASKSVPTPSAPMP PPVASHDSETTLSTNDINSSSNSNTQNT SPAR_B03850 MPEQESLIGQEMSTLHAGSSADNINFGNAERARDTQTGVEGETE IGSNEEEDSIEDEGSSSGGNSTTERLVPHQLREQAARHIGKIGRHFNILDRLFKKRTQ QSSDLQQGAMFDGVFSNLSAKPDTTETERNNEQDIPPTYDEAAADMAPSYYGMDLNNS DIYYDEICIEGLPVGNIANLLWNIIVSTSFQFIGFLITYILHTSHAAKQGSRFGLGLT FIGYGYSMIPNDVTSKVGKNKSLNRMELEDPNEFDDVRLNSQITTQDKFESHLNHGLE EEKQNIPWLAIFVAFLGAFITLKSIYDYIQVKKMEKKYLNQSQNQA SPAR_B03860 MSNKATKSDVDPLHSFLAGSLAGAAEACITYPFEFAKTRLQLID KASKASRNPLVLIYKTAKTQGIGSIYVGCPAFIVGNTAKAGIRFLGFDTIKDLLRDRE TGELSGTRGVIAGLGAGLLESVAAVTPFEAIKTALIDDKQSAKPKYHNNGRGVIRNYS SLVRDKGFSGLYRGVLPVSMRQAANQAVRLGCYNKIKTLIQDYTNSPKDKPLSSGLTF IVGAFSGIVTVYSTMPLDTVKTRMQSLDSTKYSSTVNCFATIFKEEGLKTFWKGATPR LGRLVLSGGIVFTIYEKVLVMLA SPAR_B03870 MLKSVRHKSLPKNGDGNYGSIEGDEIPFVEYNEGAEDLDEDLDK DEDMALSRIPNLWIIEATLFSNVFLSGFDGTVTASTYQTIGNEFNQMSISNWITTAYL ITSTSFQPLYGSFSDALGRRNCLFFANGAFTIGCLACSFSRNIYMLSFMRALTGIGGG GLITLSTIVNSDVIPSSKRGIFQAFQNLLLGFGAICGASFGGTIASSIGWRWCFLIQV PISVVSSILMNFYVPNQKEYNHQNSSIFQNPKKILTDIDVMGSILIITGLTLQLLYLS LGCSTSKLSWTSPSVLLLLVGSVIILLLFILHERKTSARAIIPMELVSSSYSFVVLLI SILVGFASYAYLFTLPLFFQIVLGDSTAKAGLRLTIPSLFTPVGSLITGFSMSKYNCL RLLLYIGISLMFLGNFLFMFIEKTSPNWLIGLFLVPVNLGQGITFPTTLFTFIFMFPK SDQATATSTLYLFRSIGSVWGVAISAGVIQLSFASFLRSNLKGLMDESKIKKLIVKLS ANSSYIGSLHGEVKDTVINSFDAATKRAHLLSTLLSLLALTLGILKDNLAKPKTRK SPAR_B03880 MLGKNISHKSSTEVLDNQEDADIEVFESEYRTYRESEATENRGG LLNDDEQNWKVNNSKQKFEITKNELPDVLYDSIPAYEESTVTLKEYYDHSIKNNLTVK SAGSYFISLFPIIKWFPHYNFAWGYADLVAGITVGCVLVPQSMSYAQIASLSPEYGLY SSFIGAFIYSLFATSKDVCIGPVAVMSLQTAKVIAEVLKKYPEDQTEVTAPIIATTLC LLCGVVATGLGILRLGFLVELISLNAVAGFMTGSAFNIIWGQVPALMGYNSLVNTREA TYKVVINSLKHLPNTKLDAVFGLIPLVILYVWKWWCGTFGITLADRYYRNQPKVANRL KSFYFYAQAMRNAVVIIVFTAISWSITRNKSSSERPISILGTVPSGLNEVGVMKIPEG LLSNMSSEIPASIIVLVLEHIAISKSFGRINDYKVVPDQELIAIGVTNLIGTFFHSYP ATGSFSRSALKAKCNVRTPFSGVFTGGCVLLALYCLTDAFFFIPKATLSAVIIHAVSD LLASYKTTWTFWKTNPLDCISFIVTVFITVFSSIENGIYFAMCWSCAMLLLKQAFPAG KFLGRVEVAEVLNPTVQNGVDAVISSNELPNELSKQGKSTFEVLPAPEYKFSVKWVPF DHGYSRELNRNTTVRPPPPGVIVYRLGDSFTYVNCSRHYDIIFDRIKEETRRGQLVSL RKKSDRPWNDPGEWKMPHSLKALFKFKRHSATTDNELPISNGSSNGESYEKPLLKVVC LDFSQVAQVDSTAVQSLVDLRKAVNRYADRQVEFHFAGIISPWIKRSLLSVKFGTTNE EFSDDSIIAGHSSFHVAKVLKDDVDYTDEDSRISTSYSNYETLCAATGTNLPFFHIDI PDFSRWDV SPAR_B03890 MKPKKVYFGLDTSDCERRAVNSEKILTDTMQGNRGKCCNGPVEM HANDDLGLSSHGRCANQAKMDPQECLSESEGMCDRRENRIEAALNVDSCCGDNQTGHN YSDGSCADECRANKGNETTAALDVESYRGDAHKGGKYPEKSCVDKCCVESSSVMVEEI TDSCEAGCCKEQLLTGLEVVSSKCDDQQSTHDTREIPHFKADNSNQHAGKGSFCFESK NSTLKKRGCRVGRKKIELSSKAECCNISCVERLASRSSEKKMFNRNTDVGNSGSCSSD SLSEKSLNEHYSTIYNRYSSILKNLGCICNYLRTLGKESCCLPKVRFCNGDDASIKAK YSYRNNSERLTRKRTQRDGNKLSNNTAHGEFVCSKSCCNTIKDCAITPAISGRSSTEV PKIVSVEPITEMGHLNLEAGSTGTEHVVLSVSGMTCTGCETKLKRSFDALTCVHNLKT SLILSQAEFDLDLAQGSVKDVIRHLGKTTEFKYEQILNHGSTIDIVAPSIAKDFVNEK WPQGVTELKIVEKNIVRVYFDSKVIGARDLVNKGWNVPVNLALPSAHPTVEVGRKHLV RVGYVTALSIILTIPILVMAWTPHLREKVLTMSISMGLATIIQFVIAGPFYSNALKSL IFSRLIEMDLLIVLSTSAAYIFSIVSFGYFVTGRPLSTEQFFETSSLLVTLIMVGRFV SELARHKAVKSISVRSLQASSAILVDEAGNETEIDIRLLQYGDIFKVLPDSKIPTDGT VISGSSEVDEALITGESMPVPKKCQSIVVAGSVNGTSTLFVKLIKLPGNNTISTIATM VDEAKLTKPKIQNFADRIASYFVPTIIGITVVTFFVWIGVGINVKKQSRSDAVIQATI YAITVLIVSCPCAIGLAVPMVFVIASGVAAKRGVIFKSAESIEAAHNTSHVVFDKTGT LTEGKLTVVREIIGNDRLNSQSLLLGLTEGIKHPVSIAIASYLKEQSVSAQNVSDTKA VTGKGVEGCSHSGLKLQGGNCRWLGHSDDPDVREALDQGYSVFCFSVNGSLTAVYALE DSLRADAVSTINSLRQRGISLHILSGDDDGAVRSLAARLEIESSNIRSHATPAEKGEY IKDIVEGRNFDNSSQSKRPVVVFCGDGTNDAIALAQATIGVHINEGSEVAKLAADVVM LKPKLNSILTMMTVSRKAMFKVKLNFIWSFTYNLFAILLAAGAFVDFHIPPEYAGLGE LVSILPVIFVATLLRYASI SPAR_B03900 MALHQFDYIFAIAMLFAFLDAFNIGANDVANSFASSISSRSLKY WQAMVLAGLCEFLGAVLAGARVSGTIKNNIIDSSIFTNDPAVLMLTMTSALIGSSCWL TFATAIGMPVSTTHSIVGGTIGAGIAAGGANGVVWGWSGVSQIIASWFIAPILAGVIA AIVFSISRFSVLEVKSLERSIKNALLLVGVLVFATFSILTMLIVWKGSPNLHLDDLSE TETAVSIVLTGAIASIVYFIFFYPFYRRKVLDQDWTLKLIDIFRGPSFYFKSTDDIPP MPEGHQLTIDYYEGRRDLGTTVSVEDEENKAASNSNDSVKNKEDIQEVDLVRTETEPE TKLSTKQYWWSLLKQGPKKWPLLSWLVISHGWTQDVIHAQVNDRDMLSGDLKDMYKRS KFYDNRVEYIYSVLQAITAATMSFAHGANDVANATGPLSAVYVIWKTNTIGAKSEVPV WVLAYGGIALVIGCWTYGYNIIKNLGNKMILQSPSRGFSIELAAAITTVMATQLGIPT STTQIAVGGIVAVGLCNKDLKSVNWRMVAWCYSGWFLTLPIAGLIAGIINGIILNAPR FGGEYQMT SPAR_B03910 MTLVKYACDYCRVRRVKCDGKKPCSRCIEHNFKCTYQQPLKKRG PKPIGTRSLNYIPEAQKFINNKSCTTAAEISMKVPKKVIDQCLRLYHDNLYVIWPLLP YDDLHKLLDEKYNDHYVYWFLVALSAATLSDLQSELESEGGYSFTGKQLAVLCMSSRQ QFDDLSGRDIFRIMTYYCLLRCFSQSSDVRNSYRLCREAIGLVIVAGLHREKAYELLS FREQQLLRKVYYLLLLTERYYSVYVHCVTSLDTTIAPPQPEFVTDPRLSLDSFFEMIR VFTVPGKCFFDALATESTSSSCTEDSLKKIWKELHTASLEIEPWSYGYVDISFSRHWI RALAWKLVFQMNGTKFFSNANNAHILVEIAKDMLDDIFLTPNNLYVVHGPVIPMKALE IANALVDVVNQHDKNTESEALDALCEISKFVFSLKHYDGNLIESFVSKCQSAFITLPL FKFLGSNDSMRDNSDTVS SPAR_B03920 MVKLTSIAAGVAAIAAGASATTTLAQSDEKVNLVELGVYVSDIR AHLAQYYSFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN SPAR_B03930 MAKPRGRKGGRKPSLTPPKNKRAAQLRASQNAFRKRKLERLEEL EKKEAQLTVTNDQIHILKKENELLHFMLRSLLTERNTPSDERNISKACCEEKPPTCNT LDGSVVLSSTYNSLEIQQCYVFFKQLLSVCVGKNCTVPSPLNSFDRSFYPIGCRNLSN DIPGYSFLNDAMSEIHTFGDFNGELDSSFLKFSGTEIKEPNNFITENTNAIETAAASM VIRQGFHSRQYYTADGFGGDVLLSAMDIWSFMKVHPKVNTFDLEILGTELKKSATCSN FDILISLKHFIKVFSSRL SPAR_B03940 MVSFITSRQLEGLIENQRKDFQVVDLRREDFARDHITNAWHVPV TAQITEKQLNQLITGLSDTFSNSQFVKVIFHCTGSRNRGPKVAAKFETYLQEQDITSK FESCILVGGFYAWETHCRRSNLKLIASG SPAR_B03950 MSEDQKSENSVPSKVNMVNRTDILITIKSLSWLDLMLPFTIILS IIIAVIISVYVPSSRHTFDAEGHPNLMGVSIPLTVGMIVMMIPPICKVSWESIHKYFY KSYVRKQLALSLFLNWVVGPLLMTALAWMALFDYKEYRQGIIMIGVARCIAMVLIWNQ IAGGDNDLCVVLVITNSLLQMVLYAPLQIFYCYVISHDHLNTSNRVLFEEVAKSVGVF LGIPLGIGITIRLASLTIVGKSNYEKYILRFISPWATIGFHYTLFVIFISRGYQFIHE IGSAILCFVPLVLYFFIAWFSTFALMRYLSISRSDTQRECSCDQELLLKRVWGRKSCE ASFSITMTQCFTMASNNFELSLAIAISLYGNNSKQAIAATFGPLLEVPILLILAIVAR ILKPYYIWNNRN SPAR_C00010 MSSGVISSSNDKKCETRQFYEVTEREKHTNDDTYSITSTFFKLK ENEIISAQFDSLKYKILLIITSFLCGIGLSLDYTLRSTYTGYATNSYSEHSLLSTVQV VNAVVSVGSQVVFSRLSDYFGRLKLFSIATIFHIMGTIIQSQATSLTMYAIGSVFYNC GYVGVNLLLILILSDFSSLKWRMFYQYTSYWPYIIIPWISGSIITAANPEKNWSWNIA MWAFIYPLSALPIMFLILYMTYKSSKTPELRSLKEQARKEKISGLFRNLMFLFWKLDV VGIVLITVSLGCVLVPLTLANEVSQKWQNPKIIGTLVVGGCLFVIFVFWEAKFARAPL LPFKLLSDRGIWAPLGVTFFNFFTFFISCDYLYPVLLVSMKESSTSAARIVNMPDFVA ATASPFYSLLVAKTRKLKLSVIGGCAAWMVCMGLFYQYRGGTGSHGGVIAASIIMGLS GLLCSNSVIVILQAMTTHNRMAVVTGIQYTFSKVGAAVGASVSGAVWTQTMPNQLYKH LGNDTLAEAAYTSPYTFIKKYPWGSPERNAVGESYKYVQRIMMTVGLICTVPFFVFTL FMRDPELIDKATHEEFTEDGLVVLPDQDNIFSQIKALFKRSRSNKEVEG SPAR_C00030 MSSGVISSSNDKKCETRQFYEVTEREKHTNDDTYSITSTFFKLK ENEIISAQFDSLKYKILLIITSFLCGIGLSLDYTLRSTYTGYATNSYSEHSLLSTVQV VNAVVSVGSQVVFSRLSDYFGRLKLFSIATIFHIMGTIIQSQATSLTMYAIGSVFYNC GYVGVNLLLILILSDFSSLKWRMFYQYTSYWPYIIIPWISGSIITAANPEKNWSWNIA MWAFIYPLSALPIMFLILYMTYKSSKTPELRSLKEQARKEKISGLFRNLMFLFWKLDV VGIVLITVSLGCVLVPLTLANEVSQKWQNPKIIGTLVVGGCLFVIFVFWEAKFARAPL LPFKLLSDRGIWAPLGVTFFNFFTFFISCDYLYPVLLVSMKESSTSAARIVNMPDFVA ATASPFYSLLVAKTRKLKLSVIGGCAAWMVCMGLFYQYRGGTGSHGGVIAASIIMGLS GLLCSNSVIVILQAMTTHNRMAVVTGIQYTFSKVGAAVGASVSGAVWTQTMPNQLYKH LGNDTLAEAAYTSPYTFIKKYPWGSPERNAVGESYKYVQRIMMTVGLICTVPFFVFTL FMRDPELIDKATHEEFTEDGLVVLPDQDNIFSQIKALFKRSRSNKEVEG SPAR_C00040 MNKIPIKDLLNPQITDEFKSSILDINKKLFSICCNLPKLPESVT TEEEVELRDILGFLSRANKNRKISDEEKKLLQTTSQLTTTITVLLKEMRSIENDRSNY QLTQKNKSADGLVFNVVTQDMINKSTKPYRGHRFTKENVRILESWFAKNIENPYLDTK GLENLMKNTSLSRIQIKNWVSNRRRKEKTITIAPELADLLSGEPLAKKKE SPAR_C00050 MFTSKPAFRIKNKTSKSYRNTAVSKKLKDKPIINHVKPSCFNVI RPLKKDIQIPVPSSRFLKKIQIHKIASGNQTTRSQQFNKTSIKSSKKYLNSFMAFRAY YSQFGSGVKQNILSSLLAEEWHADKMQHGIWDYFAQQYNFINPGFGFVEWLTNNYAEV RGDGYWEDVFVHLAL SPAR_C00060 MSIVYNKTPLLRQFFPGKSPAQFFLKYECLQPSGSFKSRGIGNL IMKSAIRIQKDGKRTPQVFASSGGNAGFAAATACQRLSLPCTVVVPTATKKRMVDKIR NTGAHVIVSGAYWKEADTFLKTNVMNKIDSQVIEPIYVHPFDNPDIWEGHSSMIDEIV QDLKSQNISMNKVKGIVCSVGGGGLYNGIIQGLERYSLADRIPIVGVETNGCHVFNTS LRIGQPVQFKKITSIATSLGTAVISNQTFEYARKYDTRSIVIEDKDVIETCLKYTHQF NMVIEPACGAALHLGYNANILEKALGMKLAADDIVIIIACGGSSNTVKDLEEALDNMR KKDSPAIEAADNFIFPEKTTVNLKSA SPAR_C00070 MATQALEDITERLLIRSQEAILQLDLWIQRQQRSSVCQTTDQES LDKLSQQYNQYMSQLNSLYVRSESVRDKLSKEQQRRLITEDNEHQRIEDLVREFQDIT LRLNELATVPNKASDDSPQSQSTRSSLESFQPRPLKIIERQRLCMVTPSKPPKKSVGF NPINDVDCPSKTNSLPCSPKKQPAKNRTLRAAKSHDTGLNKSKNPSSSDAYESFFKNR QRLSLTFFDEMDDEDFDSDQDTIILPNISTPPHVDVTAKGAEFEPLRRYNSHESILSN KPVPSMSLSLGSFPVSFFKPSNPTFGTSISNVQVNCHPTVAASMVPSRNGPRVPSSKA LLSSLIARSDTHIVKQNTTNLKHASFMDKFNSSLSTISESFQNKKGKKNKGLNDERIS NPDHIVAQEQKKSIMDMSVSIEELQDALNTELLL SPAR_C00080 MDDALDALSSLTSKKRATTYKKVAVPIPDEKDNTNGNESAYGMD NPPALTGNGFLFANATLNRVKNRLEGKKAPEQNPNNEKDGNENTLPTQLIANLYDGGE ELEGTEIDENSYSGKNGVSSFTQTQRIPVSIQQDKVVNVPIHSVNEENPTQLIKDDVI INAASQTQETPFTMGRSGATQRLSSEGATSQTQKTQPIKPNEPQSQVNTGARNDSGSW LPKFAKVPMIPIGTSPLFQSFPARGPATQMDSLQTVHDEDKTQMDNIPQATHPEDKTQ MDKMAQTLQDGVPHTLKIREIQNELASEDSKKEKARNAEYKKPQKTIPTKIEFSKESF LADFDDSSSNEDNEMKLENAHPKQLQNDDELHEDKHSELNITEETKLGEKGVPLLSSY ANNLKREIDSSRCITLDPDSDSDDNGNDDMDNNKLIKDENLLPISQLSKATILNLKAR LSKQNQKLSQRPNKSKDPKVDHNKLFNTLRKASRKQILDHQREVIETKGLKLEDMVKE KEIVENLLEQEILRNKRIRQKEKRREQLENNDFQLNAHDSGSDSGAESSGFALSGNEI TDYELSGSEDEKNRNSDNEEEEDDIIPKQKKSHHVKHLIDESDSEIEVEVKPKKKVDE SLPKRIAINLGHYGDNIEEDADKFQETNILDTQKIDEATLEKKAIEDEAKNDDYINEE TDEAIRRELIDKERLQLRRKEKEQAAKLKELKKKGVTNFFEMEAEESEDEWHGIGGAD GEGSDEYDSDLEKMIDDYSKNNFNPHEIREMLAAENKEMDVKMINKILYDIKNGGFRN KRAKNSLELELSDDEDDVLQQYRLKRRELMRKRRLEIGDDSKLVKNPKSKAFFESMVE DIMEYKNPFRAEEEYNQDITSTATDLDTQDNNSINTGDNTGNNEHRPVDDKNKKIIIS EDFVQKSLSFLKSNNYDEFEMDKELARIQHGNDEAIEDLFTLKQHSLIKSFANSQADS VTSRTVNTTIDLEKRTKDEDENENVDPSLVGGFKHPSIIKSFASRTDINDKFKEGNKT VKISKSYKTVGSSKASITYMGKTRKLIAPKRKTGGDHHHHHHHHHNKKMKTKTNRLFE SGQDSFDN SPAR_C00090 MVSTHNRDKPWDTNDIDKWKIEEFKEEDNVSGQPFAEESSFMTL FPKYRESYLKTIWNDVTRALDKHNIACVLDLVEGSMTVKTTRKTYDPAIILKARDLIK LLARSVPFPQAVKILQDDMACDVIKIGNFVTNKERFVKRRQRLVGPNGNTLKALELLT KCYILVQGNTVSAMGPFKGLKEVRRVVEDCMKNIHPIYHIKELMIKRELAKRPELANE DWSRFLPMFKKRNVARKKPKKIRNVEKKVYTPFPPAQLPRKVDLEIESGEYFLSKREK QMKKLNEQKEKQMEREVERQEERAKDFIAPEEEAYKPNQN SPAR_C00100 MGKSSMRNKSVGKNVAVGKKVQKKRPISTAERKRTKLQVEKLNK SSETMIPTLLRETNTQEPSKRKAESTLKAEELIKDQEKDSKVREQIQTEKSKTNDNML KQIEMISGFSL SPAR_C00110 MSEQAQAQQPAKSTPSKDSNKNGSAVSTVLDTKWDIVLSNMLVK TAMGFGVGVFTSVLFFKRRAFPVWLGIGFGVGRGYAEGDAIFRSSAGLRSSKV SPAR_C00120 MRLFLCKNWFTSPVISPLLYTRFLHSMANATSFPIAPQSPPNWS FTPNDISGKTKEIINNSNSFYDSMSQVESPSVNNFIEPFMKFENELGPIINQLTFMQH VSSDKEIRDASVNSSMKLDELNIDLSLRYDIFLQFARVWQDVQSKGDSMERETFKYIE KSYKDYIHSGLELDEPNRLKIKEIKKKISVNSINFSKNLGEQKEYITFTKEQLEGVPD SILAQFETINSDKDNNETLYKVTFKYPDIFPVMKLASSAQTRKQAFLADQNKVPENEA ILLDTLKLRDELASLLGYDTYANYNLYDKMAKDSTTVMDFLNDLKDKLIPLGKKELDF LQDMKAKDVKKLNRGEDPNYYIWDHRYYDNKYLLENFNVDLEKISEFFPLEATITGML QIYETLFNLKFIETKDPENKSVWHDDVKQLAVWNMDDPKSPSFVGWIYFDLHPRDGKY GHAANFGLSSSFMIDNSKKSYPVTALVCNFSKSTKDKPSLLKHNEIVTFFHELGHGIH DLVGQNKESRFNGPGSVPWDFVEAPSQMLEFWTWNKNELINLSSHYKTGEKIPESLID SLIKTKHVNGALFTLRQLHFGLFDMKVHTCKDLQNLSICDTWNQLRQDISLISNGGTL SKGYDSFGHIMSDSYSAGYYGYLWAEVFATDMYHTKFAKDPLNTKNGIQYRDIVLARG GLYDINDNLKEFLGREPSKDAFLKELGLQN SPAR_C00130 MVPKKDTAEISGRDIWANVWSGVSSLLDFFAVLENLGVVNDKLY VSGILRKIWLCYSCVSVIKCVWKLIKLCKVKFKIDQRLNGQGNGLIKDKLVNFKKKYN DQIRHITAALLQDLSYLMVLIYPGTRLFKRLSNIITLCRIIV SPAR_C00140 MRRSIQYHDKEYGATAIIKQKRSGNTNKMAFQDSTYDQNKSRHN NSHLQGPNQETIEMKSKHVSFKPSRDFHTNDYSNNYIHGNSLPQQHVTNIENRVDGYP KLQKLFQAKAKQINQFATTPFGCKIGIDSIVPTLNHWIQNENLTFDVVMIGCLTENQF IYPILTQLPLDKLISKPGFLFIWANSQKINELTKLLNNEIWAKKFRRSEELVFVPIDK KSPFYPGLDQDDETLMEKMQWHCWMCITGTVRRSTDGHLIHCNVDTDLSIETKDTTNG AVPSHLYRIAENFSTATRRLHIIPARTGYETPVKVRPGWVIVSPDVMLDNFSPKRYKE EIANLGSNIPLKNEIELLRPRSPVQKAQ SPAR_C00150 MGKTQKKNSKGRLDRYYYLAKEKGYRARSSFKIIQINEKYGHFL EKSKVVIDLCAAPGSWCQVASKLCPINSLIIGVDIVPMKPMPNVITFQSDITTEDCRS KLRGYMKTWKADTVLHDGAPNVGLGWVQDAFTQSQLTLQALKLAVENLVVNGTFVTKI FRSKDYNKLIWVFQQLFEKVEATKPPASRNVSAEIFVVCKGFKAPKRLDPRLLDPKEV FEELPDGQQNMESKIYNPEKKVRKRQGYEEGDNLLYHETSILDFVKTEDPISMLGEMN KFTIDKDDHEWKILKKLKQTTDEFHSCIEDLKVLGKKDFKMILRWRKIAREILGIEVK DDAKTEIEIVPLTEEEQIEKDLQGLQEKQRLNIKRERRRKNEMKQKELQRMQMNMITP TDIGIEAASLGKESLFNLKTAEKTGILNDLAKGKKRMIFTDDELAKDNDIYIDENIVI KDRDSAADADDLENELNAMYSDYKTRRSERDAKFKAKQARGGDNEEEWTGFNEGNLEK KEEEHKDYIEDNDDDDVEGDSDDDEAITNLISKLKGQDGDHKLSNKARMIFNDPIFNN VEPDLPVNIVNDGVMSSESVGDISKLNKKRKHEEIHQKQDETDSSDESSSDDSDFEIV ANDNVSEEFDSDYDSEEEKNQTKKEKHSRDIDIATVEAMTLAHQLALGQKNKHDLVDE GFNRYTFRDTENLPEWFLEDEKEHSKINKPITKEAAMAIKEKIKAMNARPIKKVAEAK ARKKMRAVARLEKIKKKAGLINDDSDKTEKDKAEEISRLMRKVTKKPKTKPKVTLVVA SGRNKGLAGRPKGVKGKYKMVDGVMKNEQRALRRIAKKHHKKK SPAR_C00160 MVTRHRVTVLYNAPEDIGNHMSQNDTHLTVRGGAGVVLQQRWLL ERTESMDESFTRITWRPRADLTRELSVIENELSAGFSVYSNSSKVPERFISNPVYNSF HSEKFDIEQHLPPEVDLNLLWNPENFTYDITVEPSQIQIVEYRLLKQGEEFTIGKVKD EKLEVGIFFVDASDESDVDIGGIRCNWRMDDSKLERCQKTSLLYKQGHIAYNHSPTTT SVYLNQPVGLHPKVMIDLTGFEERPQCMYLMHLQLPLELFVDKFQSSPLLLFGEDDLE LPEYSLRDKAWGSESIFELKAGTMNEVTLHSRYIEPSNGEGDRLEVAFDPEVILACDT GDNKVSRNPFYKKGLGYESLFTDDTTFRHLNSTTLLVPIPRPDTNDYSKIKNGTLLCL LISIIYIFSKVFGNNKKRTSVKQE SPAR_C00170 MPNTHTQHVQISEPNPVNTLSTPSKRGHRHRRSLAISGDFDFLN QPAAIVNLPPPQAAENCPATAPTFVSNTLSPIRYNKFPCKTNEDAGTLDLPEPRFYPL SPKNNLQTPSPRFFISEEPSFSSPVKGVPDAIINLDDALKTKPRSFKSHRRSESAPPD LEVMVGKGDCTASSNSMIKEEEDSLIESETKNEPYQQELPTALLSPLRPSLCVSEQAI DVDDSVLNGSPTHHNHGMQNPNARNSNTFNSLKIKGQKQRYYHYTKQLPLTAGCDSQS PKEQKSAAPATINQAMTPSSLAYTPSKLASTPATPVSYYDSNAGINLEDDNYPLKDTP RYAKDNFPKKCGNSQLNRVLDTDKRHDFSGEARRRRSGSPISHMQHRNLIDNMKGRRN SNTINSIFNYKSQHYEMPYDDMMKNENNNAQSMPFSINGADNESSIGGVTLKEDHAPF QHSPVKSCTPDGKEGINRLKSNDSNEYSTFEGQIRTDSQLSKDILMGEPGDMVDLSSF VTTLRKASNETGDLAFNLSQDDKNDTNNAPKAIHTNNSAAESNESWCISDSALGKQAQ DGEVRRKRKSKLGLFRHIFSRK SPAR_C00180 MSIPADIASLISDKYKSAFDNGNLKFIHTETKKTKDPKTSMPYL ISHMPSLIEKPERGQTPEGEDPLGKPEEELTVIPELGGANDKSYKLLLNKFPVIPEHT LLVTNEYQHQTDALTPTDLLTAYKLLCALDDEESDKRHMVFYNSGPASGSSLDHKHLQ ILQMPEKFVTFQDRLCNGKEHFLPTFNTEPLQDAKVSFAHFVLPMPESEEAVDEELLA MCYISILQRALTFFQDWLNENPELKKSYNLMLTKEWICVVPRSKAFSDEMKIGFNSTG YCGMILTKNDEVFSKITEKPELINDILLECGFPNTSGQKPNEYNY SPAR_C00190 MFSKYIVIASSVFAALTSAASTVDLDALLLLPGVESHDGVNTVF STKDFYQVSFVESIAPAIVNSSVIFHDVSRRVAIGNVKSRAGALDSEETYYDWEQYQV VNSGDWQTEYTPVSECIWREEKDKSDETPDRFPISVPYNWTSQYSIVDYDTDANEDGL DFRFIKSLLDKRNWLKKIDETVSQSSIMVAPMIKPYDVVQLWYSKYMVWANVQRQYCS GIYPRGTQCGAWSRYYHVDAPTCDEPIASYMTKMLEDEVQCPNERNATTSEPLRLNNE GGPDFFLAVEEEEEKGSKSLWSSLKEVFSKRS SPAR_C00200 MQIKNIVAVLATVTAIHAQAGIEPNATTPNATQPNVTQPNTTLP TASVTTTVSIGDAVVNTMAAGAFGAAIAAGVAFLF SPAR_C00210 MNRIIGKGCLFAIVLASLLITQTLGAAIDPPRRPHNVKPFNNGK PELQRRANEPFFEIDVKSLNTNSPISELCKKDLHIIESSQELFHLQNQCEFILGSLQV SNYDSNILDLNSLRAIGGDLIIQDSPELIRIQAGNLNKIEGLFQLQGLTSLVSVEIPT LKFCRSLEWKVVPILNYVSMDSQNIEIIKDIIISDTSLANIENFNKVQEIDTFNINNN RFLETIHSNVKTIRGQFSVHANAKELELEMPHLREVENITIRDTSLVYLPQLTKVRSS LEFIENYFYELNLNNLQKIGGTLGIINNVNLMKIDLENVTDIQGGLMITDNESLEDIT FLPNLKQIGGAIFFEGSFKDIMFDSLKLVKGSAFIKSSSNVLDCNKWTNPSNGRSIIR GGKFTCISGKEENTLNVKQDGTIIEKGYKDLTQEGDDSKRRVISKFTNSASPSKQLDL LLLGTCLVAMLLL SPAR_C00220 MKAEGSTSASNQNMKKSFEQFRKGNSLFQVIKGPQHLECQKLFV LDSSFNPPHLAHFQLLSQTIKNFKLRDTRSHVLLLLAVNNADKLPKPASFPTRLEMMC LFADYLQEKLPQSVVSVGLTVFSKFIDKDKVLHEQFTKGYNTNIGYLVGFDTIARIFD EKYYHPLQISDVMESFMSGCQLYCLARGDCHLSAESQLKYASDILEGKFEPVIPKEWG ARIHVMQNDYPALRNVSSSEIRNKLKNGQVKVLEDELPPCIYDYLIKNKTIFD SPAR_C00230 MKITCTALVYVFISLFLNTSCVQAVFSDDAFITDWQLANLGPWK KIIPDSRDPSRVVILSNPTETSCLVSSFNISSGQILFRNVLPFTIDEIQLDSNDYNAM ICVNSSSQHWQKFDLHDWFLLDEGIDNVPSTTILPQSSYSNDQVSIKDNELCILDEES KLAELKLELPQGFNKVEYFQREDPLALVLNVNDTQYIGFSVNDTELVPVWQRDEWLTN VVDYAVLDVFDSRDVELNKDMKAELNSNSLWNAYWLRLTTNWNRFINLLKENHFSPGR VFTRLLALDSKDTTVSDLKFGFAKTLIVLTHDGFIGGLDMINKGQLIWKLDLEIDQSI KMFWTDKNHDELVVFSHDGHYLTIEVANDLPVIKSRSSLPESGTVDSVIKLNEHKCQY LIKFENKGHLLFKLNLRKNLDAPIVYNNHTSCDMPFFETLGLETLGLFINELTFVTEH DKNGIYCYRIENDAVKEAWQRTVKSKEKIMAYSNRDTTNSNTLGITLGDKSVLYKYLY PNLAAYLIANEEHHTITFNLIDTITGEILITQEHKDSPDFRFPTDIVFGEYWVVYSYF SSEPVPEQKLVVVELYESLTPDERLSDSDKHFSYDPLTGNINKPQFQTKQFIFPEIIK TMSISKTTDDITTKAILMELENGQITYIPKLLLNARGKPAEEMAKDNKKEFMATPYTP IIPINDNFIITHFRNLLPGSDSQLISIPTNLESTSIICDLGLDIFCTRITPSGQFDLM SPTFEKGKLLITILILLVITYFIRPSVSNKKLKSQWLIK SPAR_C00240 MAVFTPPSGTNNSTDHPHTQDDHDKDDNDIKKFYIRPSLGLKLW GPLVPAPDNLPGLYTLITIQSAVGFFALWRLKRLYKLPPPRRIATGTHSNLSFGELPS EMIVNGKTKIKKDIADFPTLNRFSTTHGDIVLAPPPIMPRQSRFVSVRKLLWGLFGSL LLSQSLLELTRLNFLKYDPWYDEMKSVRDKKFFNNIVKYYHEGIDPTKIKVKDAMNGT PLSTNIPEVKQSVALARAQVEAQNPIIKWFGPLEYKPMSFNEYLNRMEFHLDMFEFFQ NKRNIRENSIELINSISHNPQSSTGLEGFSESKKLHLQNVEKRLHFLASSGNSIPAPV KKRSSTTLSRGVVLPHDTNGPQDIDLDTIRSLYDPWMTLALETSLSIKFIPTTMPSHT KTPTSTDPPLPGPTPKALTNEKTH SPAR_C00250 MKFSAGAILSWSSLLLAASVSAQQEAVAPEDSAVVKLATDSFNE YIQSHDLVLAEFFAPWCGHCKNMAPEYVKAAETLVEKNITLAQIDCTENQDLCMEHNI PGFPSLKIFKNSDVNNSVDYEGPRTAEAIVQFMIKQSQPAVAVVADLPAFLGNETFVT PVIVQSGKIDADFNATFYSIANKHFNDYDFVSAENADDDFKLSIYLPSAMDEPVVYNG KQADIADADIFEKWLQVEALPYFGEIDGSVFAQYVESGLPLGYLFYNDDEELEEYRPI FTELAKNNRGLMNFVSIDARKFGRHAGNLNMKEQFPLFAIHDMTEDLKYGLPQLSEEA FDELTDKIVLESKSIESLVKDFLKGDASPVVKSQEIFENQDSSVFQLVGKNHDEIVND PKKDVLVLYYAPWCGHCKRLAPTYQDLADTYANATSDVLIAKLDHTENDVRGVVIEGY PTIVLYPGGKKAESVVYRGSRSLDSLFDFIKENGHFDIDGKTLYEEAQEKAAEDAEAE ADAAELADEEDAVHDEL SPAR_C00260 MSFDDLHKATERAVIQAVDQICDDFEVTPEKLDELTAYFIEQME KGLAPPKEGHTLASDKGLPMIPAFVTGSPNGTERGVLLAADLGGTNFRICSVNLHGDH TFSMEQMKSKIPDDLLDDENVTSDDLFGFLARRTLAFMKKYHPDELAKGKDANPMRLG FTFSYPVDQTSLNSGTLIRWTKGFRIADTVGKDVVQLYQEQLRAQGMPMVKVVALTND TVGTYLSHCYTSDNTDSMTSGEISEPVIGCIFGTGTNGCYMEEINKITKLPQELRDKL IKEGKTHMIINVEWGSFDNELKHLPTTKYDVVIDQKLSTNPGFHLFEKRVSGMFLGEV LRNILVDLHSQGLLLQQYRTKEQLPRHLTTPFQLSSEVLSHIEIDDSTGLRETELSLL QSLRLPTTPTERVQIQKLVRAISRRSAYLAAVPLAAILIKTNALNKRYHGEVEIGCDG SVVEYYPGFRSMLRHALALSPLGAEGERKVHLKIAKDGSGVGAALCALVA SPAR_C00270 MSHTNKIAYVLNNDTEETSLPSSVGCFDKKQLTKLLIHTLKELG YDSAADQLLLESGGYQNESNHIQTFFKLIKSGQFQLINWQIICSLPLAHSSPLRSEWL QTLVIPTPTPATTSLFDHMLLQLQYLQQLINSVNSSTCSDAEIATLRNYVEIMILVNR QIFLEFFHPATTSASHTGPHTALPVLYLRKILKNFIEIWDSLLVSNDQFLNEENIFNP ETTLRELSTYLTNPKLTAQLDLERDHLIDAISKYIDPNELVPKGRLLHLLKQAIKYQQ SQDIFNIIDPDDDASFSSPPHRINLLQDNFSHDLTVTFQEWKTIQDTTDEIWFLTFSP NGKYLASATSESSRGYFITVYDVEQDFKIYKTCVSLSQSVLYLMFSPDSRYLVACPFS EDVTIYDMNATCLPDASTTDSFLPYPSTRLSPMDSFKLDATASLDDTESSTSSSSRPA NANSNQSRVWCCDAFHTAERAGWMVVGSPDREAIVHSLTTKESLFSLKGRTCIALGHD ENVSGRKLIDPAKVLYKPTNNNGNWQYVEDDETFPRVHDVKISYDDNYVLLMTHQGVI DVYDFSGFPSKEELSKQTLDLKNVLIPRIARLDVGKNMTCISLPLNTAHQGSHKQQIS ESQHSVLVSLQDNELQMWDYKENILIQKYFGQKQQHFIIRSCFAYGNKLVMSGSEDGK IYIWDRIRGNLISVLSGHSTVMSNSTKPMGKNCNVVASNPADKEMFASGGDDGKIKIW KILRN SPAR_C00280 MSYGTINDMNESVTNYRIKKAQNNIKGWYAYSFSSEPFVVSAVS TYIPLLLQQFASINGVKVHDHSIPCLSETGGGSDKCVLGLFNNRIFVDTSSFALYVFS LSVLFQTIIVISVSGIVDLWGSVKFKGRILVWFGIVGALSTVGISKLSDTQVYSLSGL YIVANGCFGVINVVGNSLLPIFVKDSLKCQSQGVYEPDKVDSLTTVISGRGASLGYSS ALIVQIVSMFLVASKKGSKQDVQVAVLFVGIWWFVWQLPMIWLIDDVTIPIRADGSTA ASAHGPYPDEQEALNQLNWKRYLSYGWVSLFESFKHATLLKDVMIFLIAWFIISDSIT TINSTAVLFSKAELHMSTLNLIMISVLTVINAMLGAFMIPQFLATKFRWTSSQTLMYI IIWASFIPFYGILGFFFNAFGLKHKFEMFLLAIWYGLSLGGLSAVSRSVFSLIVPPGK ESTFFSMFSITDKGSSILGPFLVGLLTDKTHNIRYSFYFFFLLLMLSLPVLNCLDVKR GRREAEELSQVLPESERRLD SPAR_C00290 MSAETAAANTATAPVPEVQEQESSKSKQVNLTPAPLPTSSPWKL APTEIPVSTISIEDLDATRKKKNRTPTPKSSTATKWVPIKASITVSGTKRSGSKNGGS NGNNNKSKNNKTAASSTSSSNANRKKKHHQHNAKKQQQMKKDGFDPAAGEEDSKDTTS QENGQSTQQQQPPHHRNHHHSHHHNSNGPQRRKFHNSNNAGMPQNQGLPPQFKPYQGR NPRNNNNNRLKYHNHFHHNQQHSQQPMVKLQQQFYPAQPVLMAINNIARQIEYYFSEE NLTVDNYLRSKLSKDGFAPLSLISKFYRVVNMSFGGDTNLILAALREIVANETATVNV AEGSLATKEAENVTDEVEESSPLDKYFIRSKSWSNWLPETFETEVNIEKELVGDALDQ FMISLPSVPQQEEESSTESASQEEETKEDAAPVAADEAESSL SPAR_C00300 MHAQIIMRDNSNNSSDNSVHWERRNNSGAGHRYRSRSGNTGALA TKLGSGTLPVRGLVKDRTGSGKIAGCVEAFLDARTRLNTPWDRAECNWLDQVDYYVQL RKTAFSKELDLLRKPMIDSYVVEMRQKFDASYRQSRAQLEAKVAQVESEWHAVHGDVH SKLEKLVEERRFLKRLSDTIVPPRSKRSQRLSPLTKEDRANGICPQPKGMKDIAWFEA IQKKMLGMGGTIKLLETEQKLLADEKNTVRKTFWPMVEAHSGSNEFAYLEKCIRLMAS QRAICFCLDIEAFETNQNVITEIGISIYDPRENMVPSMVPITKNYHLIIEESLELRNQ KWVCDYKDCYLLGESYVLSLKECVHFIQSLINYYLVPVTEEDKTWSRAFVGHHVSGDL KWLETIGVKFPGRGYEGHLDHTLLLAETPSDLDVFVLDTEQFYRKSYGGKGGSLGKIL RLFEIPHAFLHNAGNDAYYTLHLFMKFCDINFRKISGMDDVLKVMSQVEIWGERDVRE PKVVPMSYAISIEEAVKHRMYRKSVKSSRKERVCQTEFGGLTYFGTAKDAFTSTLPTC SPAR_C00310 MVSQETIKHVKDLIGEKEIFVASKTYCPYCHAALNTLFEKLKVP RSKVLVLQLNEMKDGADIQAALHEINGQRTVPNIYINGKHIGGNDDLQELRETGELEE LLEPVLAN SPAR_C00320 MGFLSDHPHTAITETIFRIVSSRDYTLEVELSPLIQLIKADHND YNYTVNQEEAARALRKKIKYGNRLQQSRTLDLLDLFISQGVKFTVMYNDDKLLQRLKG MATNSENSGSGEKYEPRIIKKCAAYAISWLNYITQNSLENARAYAGLYQLGQTVKQRY SKSNRSGRSGGGAGGRSNFMNDSADDTLYQSNSLTSADRLYRIPQINMNKEAPRIRLI ISDALASAVSLQNSLIGLPRGKYSTDDEEATSKFIQARAIRRKVLRYLQLVTEGEFLG SLIHANDELVAALTAYDDRSAQDDSSDENDHGSYDDDIYDENEQDNSRYIDSESSEEE SLSSYQPSTMSNPFGDHNKI SPAR_C00330 MNKLNRLYALTSRRTFLGRRSIILTRYWNTSKKMSGESNDLKWN DALTPLQLMVLRDKATERPNTGAYLHTKESGVYHCANCDKPLYSSSTKFDARCGWPAF YEEVSPGAITYHRDNSLMPARVEICCAKCGGHLGHVFEGEGWKQLLNLPKDTRHCVNS ASLNFKKD SPAR_C00340 MGDEKQTINEGSNDASPDLDVNGMILINNEDYSKWSVDDVITWC ISTLEVEETDPLCQKLRENDIVGDLLPELCLQDCQDLCEGDLNKAIKFKILINKLRDT KLEWKDDKTQEDMITVLKNLYTTTSAKLQEFQSQYTRLRMDVLEVMKTSSTSSPINTH GPSTTAPSSNNTIIPSSDGVSLSQTDYFDTVHHRQSPSRRESPVTVFRQPSLSHSKSM LKDSKNKVPQISTNQPHPSTASTANTPGPSPNEALKQLRASKEDSCERILKNAMKRHN LADQDWRQYVLVICYGDQERLLELNEKPVIIFKNLKQQGLHPAIMLRRRGDFEEVAIK NGSDNITPGGRL SPAR_C00350 MGIEDISTMKNGFIVVPFKLPDHKALPRTKETPLHFMFAKRHQS SNANESNCLFLVNLPLLSNIEHMKKFVGQLCGKYDTVSHVEELLYNDEFGLHEVDLSA LTSDLMSPADINEKRYTPRNTALLKFVDTASINNCWNALRKYSNLHSKHPSELFEWTY TTPSFATFVNFYKPLDIDYLKEDIHTHMAIFEQREAQAQEDIQSSIVDEDGFTLVVGK NTKSLNSIRKKILNKNPLSKHENKAKPISNIDKKAKKDFYRFQVRERKKQEINQLLSK FKEDQERIKVMKAKRKFNPYT SPAR_C00360 MVLPILPLIDDLASWNTKKEYVSLVGQVLLDGSSLSNEEILQFS KEEEVPLVALSLPSGKFSDDEIIAFLNNGVSSLFIASQDAKTVEHLVGQLNVPKERAV VEENGVFSNEFMVKQKFSQDKIVSIKQLSKDFLTKEVIAEVRTDRPDGLYTTLVVDQY ERCLGLVYSSKESIAKAIDLGRGVYYSRSRNEIWVKGETSGNGQKLLQISTDCDSDAL KFIVEQENVGFCHLETMSCFGEFKHGLVGLESLLKQRLQDAPTESYTRRLFNDPALLD AKIKEEAEELTEAKGKKEISWEAADLFYFALAKLVANNVSLRDVENNLNMKHLKITRR KGDAKPKFVGEAKPEEEKLTGPIHLDVVKASDEVSVRKALSRPIQKTSEIMHLVNPII ENVRDKGNSALLEYTEKFDGVKLTDPVLNAPFPEEYFEGLTEEMKEALDLSIENVRKF HAAQLPTETLEVETQPGVLCSRFPRPIEKVGLYIPGGTAILPSTALMLGVPAQVAQCK EIVFASPPRKSDGKVSPEVVYVAEKVGASKIVLAGGAQAVAAMAYGTETIPKVDKILG PGNQFVTAAKMYVQNDTQALCSIDMPAGPSEVLVIADEDADVDFVASDLLSQAEHGID SQVILVGVNLSERKIQEIQDAVHNQALQLPRVDIVRKCIAHSTIVLCDGYEEAFEMSN QYAPEHLILQIANANDYVKLVDNAGSVFVGAYTPESCGDYSSGTNHTLPTYGYARQYS GANTATFQKFITAQNITPEGLENIGRAVMCVAKKEGLDGHRNAVKIRMSKLGLIPKDF Q SPAR_C00370 MDRYQRKIGCFIQIPNLGRGQLKYVGPVDTKAGMFAGVDLLANI GKNDGSFMGKKYFQTEYPQSGLFIQLQKVASLIEKASISQTSRRTTMEPLSIPKNRSI VRLTNQFSPMDDPKSPTPMRSFRITSRHSGNQQTMDQEASDHHHQQEFAYDNREDRME VDSILSSERKANHNTSNDWKPDNGHMNDYNSSEVTIELREAQLTIEKLQRKQLHYKRL LDDQRMVLEEVQPTFDRYEATIQEREKEIDHLKQQLELERRQQAKQKQFFDSENEQLL AVVSQLHEEIKENEERNLSHSQLTGSNEDVELLKKQVEQLRNIEDQFELHKTKWAKER EQLKMHNDSLSKEYQNLSKELFSTKPQDSSSEEVASLTKKLEEAKERIKQLEQAQAQP AVESLPIFDPPAPVDSTAGRQQWCEHCDAMGHITAECPHHNPDNQQFF SPAR_C00380 MDTNKLISEAESHFSQGNHAEAVAKLTSAAQSNPNDEQMSTIES LIQKIAGYVMDNRSGGADASQDRAASGGSSFMNTLMADSKGSSQTQLGKLALLATVMT HSSNKGSSNRGFDVGTVMSMLSGSGGGSQSMGASGLAALASQFFKSSNNSQGQGQGQS QGQGSFTGLASLASSFMNSNNNNQQGQNQSSGGSSFGALASMASSFMHSNNNQNSNNS QQGYNQSYQNNNQGYNNQQYQGGNGGYQQQQQQQQQGQSGGAFSSLASMAQSYLGGGQ TQSNQQQHNQQGQNHQQQYEQQSQNYQYQQQGQQQQQGHSGSFSALASMASSYLGNNS NSNSSYGGQQQVNEYGRTQHNGQQQSNEYGRPQYGGNQNSSGNESFNFSGNFSQQNNN GHQNRY SPAR_C00390 MVAAIMQTTTTLLTTVVGMSTTLASSSISSQGSLSMSATTATTI ATSTHSTPSNLLFSNVASQPKSSSASTIGLSIGLPIGIFCFGLLILLCYFYLRRNSVS ISNPPMSATTPNEREYRRRTGWFSRIFRQNKQEYQNSYSNRDIEKYNDTQWTSGDNMS AKIQYKISKPIIPQHILTPKKTVKNPYSWSNKNISLDPKVNEMEEEKFVDAFLYTKPP NIVHIESNMPSYNDLPSQKTASSNKAALKTGEKWNYESPLSRWFLRGSTYFKDYALSK TSLKTSTAAPQLKQMKMLSRISKGYFNEPGVLPDERSPILEYNSTPLDANDSVNDLGN ITPDSQITSYRDNNIDLIMARPNSVIYGTTSKQTLETNFSDRHIRNNSIEKHKLIIPT ASKPPKKRKKRRQSKMYQHLQHLSRSKPLPLTPNSKSNGEASVQLGKTYTVIQDYQPR LTDEISISLGEKVKILATHTDGWCLVEKCDARNVGVHVSVDDKRYLNEDRGIVPGDCL QEYD SPAR_C00400 MSAVATYLKTLTARRTIYALKPELPGEITIKDIQSVVQTIIKET PTAFNSQPNRAIILTGETHKQVWDTVTKAIESPAGQKRPASARDEAFGSVIFFTDDKV TEKLKADFPAYAAAFPSFADHTSGAAQINSWVALEAMGLGGHLQHYNGYIKAALPSKI PESWTVQAQLVFGTPAAPPGEKTYIKNDVEIFN SPAR_C00410 MSPTNNYLNAITNRRTIYNLKSELPQGVGLDDVKRTVHVILKNT PTAFNSQVNRAVIIVGDTHKRVWDAVVSGMPNAAAKKRPEACRDEAYGSVIFFTDEKS TEKLQRDLPALAAAFPTCAAHTTGAVQIQSWTALELLGLGANLQHYNDYVKSALPQEV PTEWTVESQLVFGVPTALPEEKTFINNIINIYH SPAR_C00420 MSSSKSPYELKDLKNSSTEIHATEQDNEIEYFETDSNDRPSSQP HLGYEQHNTSAVRRFFDSFKRADQGPQDEAEATQMNDLTSAISPSSRQAQELEKNGSS DNIVPNTGHKSDSLKKTIQPRHVLMIALGTGIGTGLLVGNGTALVHAGPAGLLIGYGI MGSILYCIIQACGEMALVYSNLTGGYNAYPSFLVDDGFGFAVAWVYCLQWLCVCPLEL VTASMTIKYWTTSVNPDVFVIIFYVLVITINIFGARGYAEAEFFFNCCKILMMTGFFI LGIIIDVGGAGNDGFIGGKYWHDPGAFNGKHAIDRFKGVVATLVTAAFAFGGSEFIAI TTAEQSNPRKAIPGAAKQMIYRILFLFLATIILLGFLVPYNSDQLLGSGGGGTKASPY VIAVASHGVRVVPHFINAVILLSVLSMANSSFYSSARLFLTLSEQGYAPKVFSYIDRA GRPLIAMGISALFAVIAFCAASPKEEQVFTWLLAISGLSQLFTWTAICLSHLRFRRAM KVQGRSLGELGFKSQTGVWGSAYACIMMILILIAQFWVAIAPIGEGKLDAQAFFENYL AMPILIALYVGYKVWHKDWKLFIRADKIDLDSHRQIFDEELIKQEDEEYRERLRNGPY WRRVAAFWC SPAR_C00430 MTVANTETYPAGKPSSTIGPWKLGETLGFGSTGKVQLAQHERTG QRTAVKVISKSIFKNKGHHGNDDSVLPYNIEREIVIMKLLSHPNVLSLYDVWETNNNL YLILEYAEKGELFNLLVDHGPLPEREAIKCFKQIIIGVSYCHALGIVHRDLKPENLLL DSFYNIKIADFGMAALQTDADLLETSCGSPHYAAPEIVSGLPYEGFASDVWSCGVILF ALLTGRLPFDEENGNVRDLLLKVQKGQFEMPDDAEISRDAQDLIGKILVVDPSQRIKI RDILGHPLLKKYQTIKDSKSIKDLPRENTYLYPLADVDNHTSASIDDSILQNLVVLWH GRPTEAIVTKLKENGTNREKVLYALLYRFKLESVRGSNKKSRNKTKKKKKNKRFSTFS SSSSPSLLLNKRSLESTPRKRTSKRHSRKFSSSRKRSSFILPSNPTDSSLIPLRSSKR IAHANVTSGTTQAVPVSILNPYKRNSKRSSKRLSYMPNLKRGSVTSKLVSNFTNLIDE DDWEYIEKDAKRTSSNFATLIDEIFEPEKFELAKREKAELQRKVQEAKRNESLNAQTN NENDVGPEISDGMKELKKINDKVSSPLINYEFSQQELLQDIDTLLTNRYQLSSYTRPI SRLDPGLMPVTKTVPHNIQEKTALLQDTERKIIETIRRSKFLGSLLNVRGGLSPDNNE LAPIEESPIISTTPLMFDDQRKPCRISDVEVPHFTRKSKHFTTANNRRSVLSLYAKAS IKDFNQFLTNGDPDSPPRRSTDNDSESKEPDITEDVADAKNIEHDENDSRYSGSMNND GILRDAPQGVDIPQEYDMNGKNPTQSPISKSTEPTPVVKLPSLSSFQGKNASGLGLYQ REPSKISLPSFTTSISNVDEGIEDQAEKGNKEDKIAASPINDDMNEDDVNENNDKENA PNTIKKSSNGAFLKKFSKGKILELEIHAKIPEKRLYEGLRRLLEGWKQYGLKTLGFNY TDMIITGKLANESILFLRSTLFEIMVLPNGDSRSLIKFNKKTGSTKTLTKLATEIQII LQKEGVLDK SPAR_C00440 MTPTDAEELRSPVVRSDISFFDLESNHSSDSVHLLCEKYTHKLP IESESQTTFRLTPIKQKLYKQNTLYVPLTLKQRFVLFTKWMRSIWARVPSCKPNKYTK VAFALAVLTPLAIWIFYIDFHLT SPAR_C00450 MSAPKKIVVLPGDHVGQEITAEAIKVLKAISDVRSNVKFDFENH LIGGAAIDATGVPLPDEALEASKKADAVLLGAVGGPKWGTGSVRPEQGLLKIRKELQL YANLRPCNFASDSLLDLSPIKPQFAKGTDFVVVRELVGGIYFGKRKEDDGDGVAWDSE QYTVPEVQRITRMAAFMALQHEPPLPIWSLDKANVLASSRLWRKTVEETIKNEFPTLK VQHQLIDSAAMILVKNPTHLNGIIITSNMFGDIISDEASVIPGSLGLLPSASLASLPD KNTAFGLYEPCHGSAPDLPKNKVNPIATILSAAMMLKLSLNLPEEGKAIEDAVKKVLD AGIRTGDLGGSNSTTEVGDAVAEEVKKILA SPAR_C00460 MLKSTATRSITKLSPLYNVPSATYRACLVSRRFYSPPAAGVKLD DNFSLETHTDIQAAAKAQASARASASGTTPDAVVASGSTAMSHAYQENTGFGTRPIYL DMQATTPTDPRVLDTMLKFYTGLYGNPHSNTHSYGWETNTAVENARAHVAKMINADPK EIIFTSGATESNNMVLKGVPRFYKKTKKHIITTRTEHKCVLEAARAMMKEGFEVTFLN VNDQGLIDLKELEDAIRPDTCLVSVMAVNNEIGVIQPITEIGAICRKNKIYFHTDAAQ AYGKIHIDVNEMNIDLLSISSHKIYGPKGIGAIYVRRRPRVRLEPLLSGGGQERGLRS GTLAPPLVAGFGEAARLMKKEFDNDQAHIKRLSDKLVKGLLSAEHTTLNGSPDHRYPG CINVSFAYVEGESLLMALRDIALSSGSACTSASLEPSYVLHALGKDDALAHSSIRFGI GRFSTEEEVDYVVKAVSDRVKFLRELSPLWEMVQEGIDLNSIKWSGH SPAR_C00470 MSINLHSAPEYDSSYKLIQLTPELLDVIQDPAQNKQLRFKSLDK DKSEVVLCSHDKTWVLKQRKHSNTVLLMKEFVPEQPITFDETLLFGLSKPYMDVVGFA KTESEFETREAQGELNLNAVPIYNGELEFSDKIAKRSSTKVITTLEELLEDSPCSALE GISKWRKIGGSVKDGVLCILSQDFLFKALHVMLMSVMAESLDLQHLHVQDTYHAVGKD IEDEFNPYTREIIETVLNKFAVQEQEAEENTWRLRIPFIAQWYGIQALRKYVSGISMP IDEFLIKWKSLFPPFFPCDIDIDMLRGYHFKPTDKTVQYIARSTLPMDPKERFKVLFR LQSQWNLEDIKPLIEELNSRGMKIDSFIMKYARRKRLGKKTVVTSR SPAR_C00480 MEKDLSSLYSEKKDGEDHEAPFNIKLSKSVLVTTPQNSHSLLDD NKSLSQWTDDVFTQSVFYHGSDDLIWGKFFVCVYKSPSNNKLNAIIFDKLGTSCFESV DVSTGSQYYPAIENLSPSDQESNVKKCIAVVLLQRYPLLSQSDLSQILSNKSENCDYD PPHAGDLASSCQLITAVPPEDLGKRFFTSGLLQDRFVSSALLDVIYENSESTIELNNR LVFHLGEQLEQLFNPVTEYSPEQTEYGYKAPDDELPTESDGDLVKAICNELLQLQTNF TFSLVEFLQKFLIALRVRVLNEEIDGLSTTKLNRLFPPTIDEVTRINCIFLDSLKTAT PYGSLEVLKACSITIPYFYKAYTRHEAATKKFSKDIKLFIRHFSNVIPEREVYTEMKI ESIIKGPQEKLLKLKLIIERLWKSKKWKPENQEMAKKCYDNIIDVIDSFGKLDSPLHS YSTRVFTPSGKILTELAKCWPVELQYKWLKRRVVGVYDVVDLNDESKRNLLVIFSDYV VFINILEAESYYTSDGSNRPLISDILMNSLINEVPLPSKIPKLKVERHCYIDEVLVSI LDKSSLRFDRLKGENSFSTVCKLSSTFISSSSVADLITKARILEKDTAFHLFKASRSH FTLYSTAHELCAYDAEKIKSKFALFLNIPPSEEILEANKLHLALFARFRNNGDSDNLV ILDILTKHENKHIEVTSDNIVFTIINQLAIEIPICYSSLKSSMAEDLLCVNENLVKDL ERQLKEVKGRSTNEHKAIDSNVSNASTFDATHEKKRSYGTITTFRSYTSDLKDSSSGN KGKLTKGTKEISPVKPTKKPAKKPREVQKKTKTEASKAGHMKKKPPKKSKGFFGVLKN VFGNKSKSKPLPVQREPKKIPQRHPKSPVKKPTTLEKKSSSKRAVVASSKIEKKSNSS STKESQSAKSSLEAVEFKSRDLIEKSPDVEKGPHPQENTRISSVVRDTKFASYIPFQP VEPKADQSIKQGNPSNFADVERSASSHPEKPDVETEDQIKEKAAESSQVHGKEELPDL AEMATTNRVPAILTGDQNIDTQSEALRAEDVANVDDNNENRQNESRVFNDDLFGDFIP KRSGSEQDNISGSNSLFPEGEILQKKGVINPNSNMSSRTDDDASTLTQKFSSRADKTP TENPYDLKDTNNESKDVILGEDHKDKATAEETTKPKNLVEKRAERKEIFPTIPRLVPP ASKINFQRSPSYIELFQGMRVVLDKHDAHYNWRRLASQVSLSDGLKVNTEDDAPIANK SHGDINAERMTQISEAVEDEMQQPIPTYLPKGDLCDSSIENGDDKFFEIEEKLKEELK GSKTVNEDAGSNNLPHSIPKIEKPPAFKVIRTSPVRIIGKTFEDIRKNENASPSDLSF TYDTHNEDEPDKRLMELKFPSQDEIPDDRFYTPAEEPTAAFPVEELPKTLRNVDITTS NNKSTDDKLNKSIEQNPTELLDDLEFSSFNIAFGNTSMSTDNVKDSSDSSSNKTVSEN ATKVQESPSGPLIYVLPQSCTKREKEGFLRKKENNEPIWVSPSKIDFADLSRRTKALT PERNTVPLKNNDGRTYKNVEGGSIGNMTNILLSKDASYAYLTDFVALSDKEDGDGKES YVLDGPERLKFY SPAR_C00490 MRGLFYLKLLAWVVLLSLCLLMAHRKTKVADKFRALRSRIQSRF NRRIRLNDSFADDLENGLHSRNFDIISENSNDARGGLDDISKNEIKKIMENDNIDFDK ARLLYMERKFGQNGIAPDGTPIDPKAFTFDK SPAR_C00500 MERELGMYGNDRSRSRSPVRRRLSDDRDRYDDYNDISRNSDNGN RRQRRDRGSRFNDRYDQSYGGSRYHDDRNWPPRRGGRGGRGGNRSFRGGRGGGRGRTL GPIVERDLERQFDATKRNFENSIFVRNLTFDCTPEDLKELFGTVGEVVEADIITSKGH HRGMGTVEFTNNESVQDAISKFDGALFMDRKLMVRQDNPPPEAAKEFSKKATREEIDN GFEVFIINLPYSINWQSLKDMFKECGHVLRADVELDFNGFSRGFGSVIYPTKDEMIRA IDTFNGVEVEGRVLEVREGRFNKRNNNDRYNQGREDLGDTRDAEPDLVQDVTVQIDET AAKFTEGVNPGGDRNCFIYCSNLPFSTARSDLFDLFGPIGKINNAELKPQENGQPTGV AVVEYENLVDADFCIQKLNNYNYGGCSLQISYARRD SPAR_C00510 MDGYWDVVVSSLQDIYNANEVIPFDDDLQTKKLNFLNMSKDQLQ LHLTTFQEHMENVNRVHRILDNVRSNLSLMLNQPREEKSEENAEDIEEGEGTGMPSSQ GKKAVGKVGRSYWTSEYNPNAPILVGSEVAYKPRRGSADGEWIQCEVLKIVADGTRFE VRDPEPDELGNSGKVYKCNWKELLLIPPGFPTKNYPPGTKVLARYPETTTFYPAIVIG TKRDGTCRLRFDGEEEVDKETEVTRRLVLPSPTISANLARK SPAR_C00520 MLRSLLQSGHRKVVASSCATMVRCSSSSTSALAYKQMHRHAARP PLPTLDTPSWNANSAVSSIIYETPAPSRQPRKQHVLNCLVQNEPGVLSRVSGTLAARG FNIDSLVVCNTEVKDLSRMTIVLQGQDGVIEQARRQIEDLVPVYAVLDYTNSEIIKRE LVMARISLLGTEYFEDLLLHHHTSTNAGAADSQELVAEIREKQFHPANLPASEVLRLK HEHLNDITNLINNFGGRVVDISETSCIVELSAKPTRISAFLKLVEPFGVLECARSGMM ALPRTPLKTSTEEAADEDEKISEIVDISQLPPG SPAR_C00530 MSANGKISVPEAVVNWLFKVIQPIYNDGRTTFHDSLALLDNFHS LRPRTRVFTHSDGTPQLLLSIYGTVGTGGNGSSPNSIPVIMWVPSMYPVKPPFISIDL ENFDMNTISSSLPIQKYINSNGWIALPIVDCWDPAAMNLIMVVQELISLLNEPAEDRV PSLPPKPNTQLQLARTTPPLPPKPKSSHLKPPLPPPPPPQPPSNALDLMDMDNTDISL TNHHEMLQNLQSVVNELYREDIGYVADKILTRQTIMQESVARFHEMIAVDKNHLRAVE QAIEQTMHSLNAQIDSLTAERAKVQQFGSTSRVDDEDVNSIAIAQTDGLNQLYNLVAK DYALTDTIECLSRMLHRGTIPLDTFVKQGRELARQQFLVRWHIQRITSPLS SPAR_C00540 MAPKNNQAVWRSTVILTLAMMFLMWAITFLCQLHPLVAPRRSDL RPEFAE SPAR_C00550 MFVVDWSVQLCIGVMAPLFRALVQLPLSIFVWNGFQLVALPINI PLRLFLGTSLNRLVAHTSTVDFYVVLTLFQYLAVLCAFGSIIGLIFGFVLGVFHSICG VPSVYISLEWKRWFAPVRTVLERASNTIVNIMRGQTIAPIPMPKPKPMHTSNSSKKQF HDEVGVDDTTITHDANGYITPCQTPTNEKIQHYNNDSFNTTTTDDEPTDIWDRSDTYQ NSFATNETLMSLSNRAKLRRNISDADIVNIKILRRNSR SPAR_C00560 MTTRLLQLTRPHYRLLSLPLKKAFNIQRQMSFTGPSPFNSYLNT ITKSLQQNLQTCFHFQANEIDIIESPSQFYDLLKSKILSSQNRIFIASLYLGKSETEL VDCISQALSKNPNLKVSFLLDGLRGTRELPSTCSATLLSSLVAKYGSQRVDCRLYKTP AYRGWKKVLVPKRFNEGLGLQHMKIYGFDNEVILSGANLSNDYFTNRQDRYYLFKSRN FSNYYFKLHQLISSFSYQIVKPMMDNHVNIIWPDSNPTVEPAKNKRLFLREASQLLDS FLLSSKQSLPVTSTGQFSTIVYPISQFTPLFPKYNDKSTEKSTILSLLSNITNNAISW TFTAGYFNILPDIKAKLLATPVTEANVITASPFANGFYQSKGVSSNLPGAYLYLSKKF LQDVSKYNQDHAITLREWQRGVVNKPNGWSYHAKGIWLSTRDKSDSDNWKPFITVIGS SNYTRRAYSLDLESNALIITRDEELRKKMKAELDNLLQYTKPVTLEDFQSDPKRHIGT GVKIATSVLGKKL SPAR_C00570 MLVVVLQGLAGFLSIIAILYQKRYNKLHRSIYGLSYDLYLLDFV GNGLYLYCALHYCYSSLVREQLSQRFPLFYPLNEARSIPISSLLILKDFCVFFCCLML LRQLYYYRSTKHIYQGISITSIIFIGVFLVLGIFTYGCSISNLPLKNSGKFGVFYLDH INYLWVMANLLKCFKYVPQMSINWMGCSTVGLSSKFVLISFLAEFIDLVGRLLIPTSV LFYEIPFNSTPFWVKLIQFVTLSVILCQVQYVYVGRKPRLPKGKL SPAR_C00580 MDYDSPDTMNDGSSNTLISKMNTMKLLYQHYLDKVTPHTKERWA VLGGLLCLFMVRITMAEGWYVICYGLGLFLLNQFLAFLTPKFDMSLQQDEENNELEAG EKSEEFRPFIRRLPEFKFWYNSIRATIISLLLSLFSIFDIPVYWPILLMYFILLFFLT MRRQIQHMIKYRYIPLDIGKKKYSHSSN SPAR_C00590 MKIITVAEDSADNDNSIITLIPQNKDDLFTIYQIIDKEDEVIFK KLFTTKRDEIIRKTITDYVAVRLRILSHEFDIKEEYLRYKGITVTDGTNISSANVPLG KFLSFNITYEHQFTIFKRHFNKYARKLLKEALDSEMKSDTAAVVLQEGISHICLLTTS STILKQKIEVSIPKKKDTVDISKLDEKTEAFYRRTYDAVVKNFNFDELRVIILCSPGF YAKTLMEKILKYAEKDQNNSVLQNQEMFVIAHCSTGYIQGINEVLRDPEYSKILQNTK YLKEIHIMDEFLEHLNKDDDRAWYGVEETERAAKLGAIETLLITDSVLKSDDVKKREK YLDLIENIEQNNGEVFVFSTLQSHGKELETLTGLACILKYPIHNLDEIFQVN SPAR_C00600 MDSLSSVQPASYVGFDTITNQIEHRLLKKGFQFNIMVVGQSGLG KSTLINTLFASHLIDSATGDDITALPITKTTEMKISTHTLVEDRVRLNINVIDTPGFG DFIDNSKAWEPIVKYIKEQHSQYLRKELTAQRERFIVDTRVHAILYFLQPNGKELSRL DVEALKRLTEIANVIPVIGKSDTLTLDERAEFRELIQNEFEKYNFKIYPYDSEELTDE ELELNRSVRSIIPFAVVGSENEIEINGETFRGRKTRWSAINVEDINQCDFVYLREFLI RTHLQDLIETTSYIHYEGFRARQLIALKENANSRSSAHMSSNAIQR SPAR_C00610 MNSLIFGKRLTLHKIVPANVIGWLTPLGNPSLLAPGQKQLGSIN RWLKEKLQGDHNETENEDFFSSNGILLAVPKKKVSHQKKRQKLYGPGKKQLKMIHHLN KCPSCGHYKRANTLCMYCVGQIRHIWKTHTAKEEIKLRQEEELSEVDQRVLYPGKRDT KYTKDLKDKDNYLERRARTLKKE SPAR_C00620 MVKIAIITYSTYGHIDVLAQAVKKGVEAAGGKADVYRVEETLPD EVLTKMNAPQKPEDIPVATEKTLLEYDAFLFGVPTRFGNLPAQWSAFWDKTGGLWAKG SLNGKAAGIFVSTSSYGGGQESTVKACLSYLAHHGIIFLPLGYKNSFAELASIEEVHG GSPWGAGTLAGPDGSRTASPLELRIAEIQGKTFYETAKKLFPAKEAKPSTEKKATAPG AVKRQTKPPAAAATTAEKKEDKGLLSCCTVM SPAR_C00630 MTVPYLDSSRNVASYLQTHPRQEKTLKERFGEIYPIHAQDVRQF VKEHGKTKISDVLLEQVYGGMRGIPGSVWEGSVLDPEEGIRFRGRTIADIQKDLPKAK GSSQPLPEALFWLLLTGEVPTQTQVEKLSADLMSRSELPSHVVQLLDNLPKDLHPMAQ FSIAVTALESESKFAKAYAQGISKQDYWSYTFEDSLDLLGKLPVIAAKIYRNVFKDGK MGEVDPNADCAKNLVNLIGSKDEDFVDLMRLYLTIHSDHEGGNVSAHTSHLVGSALSS PYLSLASGLNGLAGPLHGRANQEVLEWLFALKEEVDDDYSRETIEKYLWDTLNSGRVI PGYGHAVLRKTDPRYMAQRKFAMDHFPDYELFKLVSSIYEVAPSVLTQHGKTKNPWPN VDAHSGVLLQYYGLKESSFYTVLFGVSRAFGILAQLITDRAIGASIERPKSYSTEKYK ELVKNIESKL SPAR_C00640 MTGMNDHNAAIPQQTPRKHALSSKVMQLFRSGSRSSRQGKPSSN IQPPSNINTNVSSASKSAKLGLHTPTSATARGVSTSANTAGVSKTGMYMPEYYQSASP SHSSSSASLNNHIDINTSKSSSAASLTSSVSALSLSPTSAINISSKSLSPKFSHHSNC NTAITPAPTPTASSINNVNKITNTSAPICGRFLVHKDGTHEHHLKNAKRQEKLSTMIK NMVGASKLRGEAKSAVPDIIMDPKTTLKSNKNPPTLFAGFMKQVVDMDDKYPEGTPVN GTLNGSERDINRSEQNESKNNAHSTTSTKRDTQCFAEKYGRCQEVLGKGAFGVVRICQ KKNVLSQDGNKSEKLYAVKEFKRRASESAEKYSKRLTSEFCISSSLHHTNIVTTLDLF QDAKGEYCEVMEYCAGGDLFTLVVAAGKLEYMEADCFFKQLIRGVVYMHEMGVCHRDL KPENLLLTHDGVLKITDFGNSECFKMAWEKNIHLSGGVCGSSPYIAPEEYIKEEFDPR PVDIWACGVIYMAMRTGRQLWSSAEKDDPFYMNYLKGRKEKGGYEPIESLKRARCRNV IYSMLDPVPYRRINGKQILNSEWGREIKCCHNGRALK SPAR_C00650 MSWEGFKKAINRAGHSVIIKNVDKTIDKEYDMEERRYKVLQRAG EALQKEAKGFLDSLRAVTASQTTIAEVISNLYDDSKYVAGGGYNVGNYYLQCVQDFDS ETVKQLDGPLRETVLDPITKFSTYFKEIEEAIKKRDHKKQDFDAAKAKVRRLVDKPAK DASKLPRAEKELSLAKDIFENLNNQLKTELPQLVSLRVPYFDPSFEALIKIQLRFCTD GYTRLAQIQQYLDQQSRDDYANGLLDTKIEELLGQMTSLDICALGIK SPAR_C00660 MSDKEQTSGNTDLENAPAGYYSSHDNDVNGVAEDERPSHDSLGK IYTGGDNNEYIYIGRQKFLKSDLYQAFGGTLNPGLAPAPVHKFANPAPLGLSAFALTT FVLSMFNARAQGITVPNVVVGCAMFYGGLVQLIAGIWEIALENTFGGTALCSYGGFWL SFAAIYIPWFGILEAYEDNESDLNNALGFYLLGWAIFTFGLTVCTMKSTVMFFLLFFL LALTFLLLSIGHFANRLGVTRAGGVLGVVVAFIAWYNAYAGVATKQNSYVLARPFPLP STERVIF SPAR_C00670 MKSYRRYLYYNILSFLLLCSSVVLAKQDEAPFFGGTSSKKSRLS AQDKGNDTCLPCFNCMLPIFECKQFSECNSYTGRCECIEGFAGDDCSLPLCGGLSPDE SGNKDRPIRAQNNTCHCDAGWGGINCDVCQEDFVCDAFMPDSSIKGTCYKNGMIVNKV FSGCNVTNEKILQILNGKIPQITFACDKPNQECNFQFWIDQLESFYCGLGDCAFEYDL EQNTSHYKCNDVQCKCVPDTMLCGAKGSIDISDFLTETIKGPGDFSCDLETRQCKFSE PSMNDLILTIFGDPYITLKCESGECVHYSEIPGYKSPSKDPTASWQGKLVLALTAVMV LALFTFATFYISKSPLFRNGMGSSKSPIRLPDEDAVNNFLQNEDDTLATLSFENITYS VPSINSDGVEETVLNEISGIVKPGQILAIMGGSGAGKTTLLDILAMKRKTGHVSGTIK VNGISMDRKSFSKIIGFVDQDDFLLPTLTVFETVLNSALLRLPKVLSFEAKKARVYKV LEELRIIDIKDRIIGNEFDRGISGGEKRRVSIACELVTSPLVLFLDEPTSGLDASNAN NVIECLVRLSSDYNRTLVLSIHQPRSNIFYLFDKLVLLSKGEMVYSGNAKKVSEFLRN EGYICPDNYNIADYLIDITFEAGPQGKRRRIRNISDLEAGADANDIDNTIHQTTFTSS DGTTQREWAHLAAHRDEIRSLLRDEEDVEGTDGRRGTTEIDLNTKLLHDKYKDSVYYA ELTQEIEEVLSEGDEDSNVLSGDLPTGQESAGFLQQLSILNSRSFKNMYRNPKLLLGN YLLTILLSLFLGTLYYNVSNDISGFQNRMGLFFFILTYFGFVTFTGLSSFALERIIFI KERSNNYYSPFAYYISKIMSEVVPLRVVPPILLSLIVYPMTGLNMKDNAFFKCIGILI LFNLGISLEILTIGIIFEDLNNSIILSVLVLLGSLLFSGLFINTKNITNVAFKYLKNF SVFYYAYESLLINEVKTLMLKERKYGLNIEVPGATILSTFGFVVQNLVFDIKILALFN VAFLIMGYLALKWIVVEQK SPAR_C00680 MSLSSKLSVQDLDLKDKRVFIRVDFNVPLDGKKITSNQRIVAAL PTIKYVLEHHPRYVVLASHLGRPNGERNEKYSLAPVAKELQSLLGKDVTFLNDCVGPE VDAAVKASAPGSVILLENLRYHIEEEGSRKVDGQKVKASKEDVQKFRHELSSLADVYI NDAFGTAHRAHSSMVGFDLPQRAAGFLLEKELKYFGKALENPTRPFLAILGGAKVADK IQLIDNLLDKVDSIIIGGGMAFTFKKVLENTEIGNSIFDKAGAEIVPKLMEKAKAKGV EVVLPVDFIIADAFSADANTKIVTDKEGIPAGWQGLDNGPESRKLFAATVAKAKTIVW NGPPGVFEFEKFAAGTKALLDEVVKSSTAGNTVIIGGGDTATVAKKYGVTDKISHVST GGGASLELLEGKELPGVAFLSEKK SPAR_C00690 MSLKGKTFTFLPNPNTSYNRFFENILKKKGATIASGFGNCLQSD AKEVVILIDDSFVDPEMHLIQKDIFQREAGLNGIDDFLNKIEESNIQCLKTSCVTKWV QNDKFTVEKGDLIRFRPSIIAISDDTDDEQSSTDKESEVSTDVENEGNDSGKNTYILQ ASKFIKHPSQEGEGTTSLVTNKRKYKNNELIIAALKRLTKKYEIKGEKFRARSYRLAK QSLENCDFNISSGEEAHIKLRNIGPSIARKIQVILDTGVLPGLNDSMGLEDKLKYFNN CYGIGPEIAKRWNLLNFESFCVAAKKDPEEFVSDWTILFGWSYYDDWLCKMSRNECFA HLEKVQNALRQIDPECQVELQGSYNRGYSKCGDIDLLFFKPFCNDTTELAKIMETLCI KLYKDGYIHCFLQLTPKLENIFVKKIVERFRAAKIVRYRERKRWYSSEIIKKFFMGVK LPLKKLEELKEMENDEGTLLIEEEEEETKLKPIDQYMSLSSKDGNYCRRLDFFCCKWD ELGAGRIHYTGSKEYNRWIRILAAQKGLKLTQHGLFRNNTLLESFNEHRIFELLNLKY VEPKHRNKIEWEKKATG SPAR_C00700 MRNIIISDFDETITRADTIGTIAKLPYLLNPRLKPEWCHFTKTY MDGYHKYKYNERRPLPLLPSDVSSIISGSNFNELFADELKYQNHNRVVELNSVNEITK QQIFKSITLEQMRMFARDQNHDSCLLRDGFKRFCSSVVKSFEDDFYVLSINWSREFIY EVIGNRRLNNSHIFCNGLKKFADKYPPTYNGEFDCRLLTGFDKVKILDEILAKIDTDG NKEDSTCSYWYIGDSETDLLSILHPSTNGVLLLNPQENPSKFVKITEKIIGVSRDKIS NFGTASGPSWLKFCRKEGGKSAYLVKSWDSLGDLIVQATKS SPAR_C00710 MSENHIPAWKRIALNKQTLKSENKFKEQSQSNVLDDDPLNITTH LSTGNLTKKEKKRIINGENKSSIKKGKRVSKPGTKKKEKLSKEEKNSKKNKILKDQLR YLIEFFRTKSESKFPTPILELESVKENYGDSLTNDESAESGVVEVWKFSKQKQNWLIK HFFNLDEIPSVYNDLLLLYFKDLQGRSKDELISKCQEKLKQWNDYVEDQETKIKALIE EEKPSEPVDCEKTEEGKKAVDAVKEEQKEEQKEEQKEIQMPNKELVQRSLKLLKIWTN DDSEQIELKNFSVNV SPAR_C00720 MLIVNGKIIPIAHTICAFSAFFAALVTGYSLHFHKIVTNAHYTY PDEWFPSVSATIGDRYPERSIFQILIALTAFPRFLLLLGHYYLNQSKICFLVGVLRTV SCGGWVYITSTDDHDIHDIFMITYIVLTLPWDIMITRYSSPLTSKNKGLTAAIFFGTL FPMIYWYIQHSVQQRAGAYSIYAYFEWSLILLDIAFDAFAYADFKKIDIVIAFDEKPG NTSFFQIRDCNAASYGEKKSAQLQKTRAEKKVEKEKIVVTNATGSYFRFDSFFYLSTN LFNGFLFWSNVTSLLCSIWHFPLWYMGISGYEAAILGYLGPIFLCLPFVSEVFTQYGV LLGGIIAIGAYIVQTPELRLISVAVGTSITVATFVQNLRYITNVETSVSFALTWLLGL VTSVVLKMGFYTNNPTWVILNERNGGYNKTGLVFTVLFGMLSPYVNSINFEGKKNAQA KSAPLTAKLFLAVGFGSLLFGIHQLLTDSSTTIYWAWEGYNESHGPLSWPWGALTCTV MLFASLSSVKFTGKPLVPCLLLLISTAVLSTRSITQWPKYIFGGLLYAIAMLWLVPSY FSALGQVQSIWVYVLSFSVYIIFVLAHVWVVAYAFVPMGWVLREKIETVLTFSSTFII LGALNCRNLNVQLVAMGKKFFIYVSFFVVALLALTARFVYDSRPTGIPQPYHPDSQLI TAGIWTIHFGLDNDMWASEDRMINLIEDMELDVIGLLETDTQRITMGNRDLTSKLAHD LNMYADFGPGPNKHTWGCVLLSKFPIVNSTHHLLPSPVGELAPAIHATLQTYNDTLID VFVFHSGQEEDEEDRRLQSNYMAKLMGNSTRPAILLSYLVVDPGEGNYNTYVSETSGM HDIDPTDDDRWCEYILYKGLRRTGYARVARGTITDTELQVGKFQVLNEQGLVEHSDSM YEYGHMSEPEKEDMKFPDKFLGEGERGHFYHVFDEPRYYL SPAR_C00730 MRYNNYDNSGSSFLTRVVKKSDVEKTLLLNKEIDGWKPNDKKKA YTERGKVYVSCSFIEVSLSQIRTIDVEKKIENAEQLRDLTRNIVKNKTSSLHDMVPSK NCTTNSCNPERYPPSEEVNNLKNYYSCGQGISRPTSITKRYSKKTTSRPKREKRQTIL PNGEIKECSNCKDTWTIQWRSGPDHNRELCSPCGLAYGKRLKKENEKKKARSE SPAR_C00740 MMNKEDFTDTKRLVITNGMFIIDDIERSKHNIHYKNVPGGGGTF AILGACIISSGNATSKGLKWIVDRGSDFPKEVVREIESWGTDVRFRDDFSRLTTKGLN YYEDDDLRKFKFLTPKKQINVDDWINTFGREVIDGMHAFHLLCSGSRCLDMINDLLRV KSAKSTKPVIIWEPFPDLCDFDHQNDIKRVMQRKDVTVILSPNAEESSRLFGLNSKEP TRLEECLELAHRFDGFMDENNMCILRCGALGSISISEKLENGRAYNHFPAYHFKTQSK VLDPTGGGNSFLGGFSIAYALTRSLAIASICGNIAAGAIIEQIGIPRYDPIAKTWNEI TFLDRLRFYLSQFGLQYNIKDIYKSLTQ SPAR_C00750 MSCTTDKLIQKYDALVRKTTEHKFAKELCAGTLKDRSLYIYLTQ DLQFFETSLRLICKTTSLAPTTHALITLAKKIGFFSNDENSYFHDCLELLAPSLTKEE RAKYDNKAIPGVDAYINFLDELRKDNSITWSSLVTSLWVAEELYWRWARDTPRAPGLH WKYQKWIDLHDGEHFQTWCEFLKAEVDKFPVEEVERIFAKVSQFEFEFFESCYNA SPAR_C00760 MDILKLSDFIGNTLIVSLAEDRILIGSLVAVDAQMNILLDHVEE RMGSSSRMMGLVSVPRRSVQSIMINKPVLQALTTNKVELMANIV SPAR_C00770 MVRNSCSSGNILENEKKFHHVKCTKKAKTVNSMIKEKESVIESR VSVYPMSQNNTIGPMNPERAYNNVTLKNLTAFQLLSQRENICELLNLVEGTERHDSII NPERQRTSLEEMKKMLDTLKNEKKN SPAR_C00780 MNDTLSSFLNRNEALGLNPPHGLDMHITKRGSDWLWAVFSVFAL ILLCYAVMFFIAENKGSRLTRYALAPAFLITFFEFFAFFTYASDLGWTGVQAEFNHVK VSKSITGEVPGIRQIFYSKYIAWFLSWPCLLFLIELTASTTGENDEISALDMVHSLLI QIVGTLFWVISLLVGSLIKSTYKWGYYTIGAVAMLITQGAICQRQFFNLKTRGFNALM LCTSMVIVWLYFICWGLSDGGNRIQPDGEAIFYGVLDLCIFAIYPCYLLIAVSRSGKL PNLSLTGGFSHHHAADDVEDAVPETKEANPESPRASGETAIHEPEHETEQAVEDTA SPAR_C00790 MARQKLSFREQMDGFPWIQLMVVSLVRFSEPIAFSSLFPYVYFM VRDFNIAPNDAQVSKYSGYLSSSFALCQVISAYHWGRFSEIHGRKITLTCGLIGTSVS LLILGFSHNFYQALVARSLMGLLNGNVGVIRTIIGEIATERKHQALAFSTMPLLFQFG AVVGPMIGGFLVFRDGTMNEVPSWFPHFAKKIIKSYPYALPNVVVCIFLMFGLINATL FLEETHPLFKNRKDYGLEVGDFIKKNIFGIQPKRRPWQKCIHGDSENAPHRNENVNNN GRQVNEEDENSPLVNTTNDNDTESIQSIDPILTRRQSVGLIRTYSLHEPTDAMHGDID TASDGCKESSIFHHVFHTKVFYPISVNFIMALHLIVYNEFLPVFLAYDLAVDPENPKR LASKFPWKISGGIGYEPEQTGTLLSTTGIFGCFVVIFIFPIVDRNFDCLTIFRTLVKL YPIMYIMVPYVVFLQSERIPSWCTVVYLYIITGIKTFCGALTSPQIMLLIHNSSPLSC RSVINGATISISASARFIGPLIWGYIMSWSQQNDVAWVSWWSLSLFCMVALYQSYKIA PIDENENELHQQASESAYNSQSESSDLRMTHRSSLSSLSNQRCTA SPAR_C00800 MFRACSSLKGARFYSSLTVKSLYEHVHRTSHENISINGWIKSIR LLKRIAFLDLQDGTSVNPLRIAVPLTNNDEVQFLKNLKTGQTLSISNATWQNTPNRKQ PFELQIKNPVESIKIVGPVSESYPLQKKFQTLRYLRSLPTLKYRTAYLSAILRLRSFI ELQFMLYFQKNHFTKVSPPILTSNDCEGAGELFQVSTNMSSTASSYFGKPTYLTVSTQ LHLEILALSLSRCWTLSPCFRAEKSDTPRHLSEFWMLEVEMCFVNSIDELTSFVETTM KHVVKACIDNQQELLPRQFVSSQEDSASSDLSVNQETQQIKTRWENLMNEKWHSITYT NAIEILKKRHNKILHFKYEPKWGQPLQTEHEKFLAGEYFKSPVFVTDYPRICKAFYMK QNSTPDDTVACFDLLVPGMGEIIGGSLREDNYDKLCKEMKARGMNKSGELDWYISLRE EGSAPHGGFGLGFERFVSYLYGNHNIRDAIPFYRTSAGSIDF SPAR_C00810 MELQNDLESLDNELNDFNEDPFRDDYITDENALRSGLRSAWTRM KYWFYKNRLKWTNNPIIIGDTKDNRGGPNFRRGIPLYELDANGQPIDSEFGDENDLSL GTGFHSKVPFKIIFRILLGSLVFAVFLILLINITRPHHSERVLSHFGNPNFDPYVKYF NGTHEFFPLTIVISLDGFHPSLISKRNTPFLHDLYELKYNGGMNITSTPFMIPSFPTE TFPNHWTLVTGQYPIRHGIVSNVFWDPDLSEEFHPGVVDPRIWNNNITEPIWQTVQSA FDGDMPFKAATHMWPGSDVNYTKYNEEKLQPEHKEPIAEERTPFYFDEFDAKEPLSQK LSKIIEYVDMSTLNERPQLILGYVPNVDAFGHKHGYPAESEYYYKEFTETLGEVDTFL QQLVESLQERNLTDFTNLVIVSDHGMSNIAVPSNVIVWEDLLDEKLRKDYISHAYLEG PMMAISLKDSGKINEVYHNLKTSIDEDRYTVYVNGNFPEEWNFNDRGNHHMASIWIVP EPGYAVMKKEQLKRTEKGDHKDKNEEKVFTIGSHGYDNNAIDMRSVFIGMGPYFPQGY IEPFQNTEFYNLLCDICGVAEKDRNANDGAGVLMNQLREPQSSDEVQIEDDFDYLISR FGEISTYNIIWGGYPEETEQNDDDNDNGDSDDESTDEIAAVSTSSSTTKLPITTSISS ATRISPDEISPSSKRSSTQVSATTNAAGDWLQDIINDAKELIDDIIDSIDDLVDSDT SPAR_C00820 MEYTTISSSNSTHNFQRKIALIGARNVGKTTLTVRFVESRFVES YYPTIENEFTRIIPYRSHDCTLEILDTAGQDEVSLLNMKSLMGVQGIILCYSIVNRAS FDLIPILWDKLVELLGKDNLPVILVGTKADLGRSAKGEKRCVTKAEGEKLASTIGSQD KRNQAVFIECSAELDYNVEETFMLLLRQMERVEGTLGLDADNNNKCFIM SPAR_C00830 MIKDSKTITQHDVERESISSKHAIKKRLLLFKIDLFVLSFVCLQ YWINYVDRVGFTNAYISGMKEDLKMVGNDLTVSNTVFMIGYIVGMVPNNLILLCVPPR IWLSFCTFAWGLLTLGMYRVTSFKHICVIRFFQALFESCTFSGTHFVLGSWYKEDELP IRSAIFTGSGLIGSMFSGFMQTSIFTHLNGRNGLAGWRWLFIIDFCITLPIAIYGFIF FPGLPDQTSAVSKFSMTRYIFNEQELHYARRRLPARDESTRLDWSTIPRVLKRWHWWM FSLVWVLGGENLGFASNSTFALWLQNQKYTLAQRNNYPSGIFAVGIVSTLCSAVYMTK IPRARHWHVSAFISLVMVIVAILIRANPLNPKIVFSAQYLGGVAYAGQAVFFSWANIV CHADLQERAIVLASMNMFSGAVNAWWSILFFSSDMVPKFERGCYALLATAISSGIISV VIRSLQIREDLSKKQVPYIDANDMPGEDDDDENQDDENDGDDGSMNVELRDEEMNEIS NPFR SPAR_C00840 MFLRTQARFLHATTKKMDFSKMSIVGRIGSEFTEHTSANNNRYL KYSIASQPRRDGQTNWYNITVFNEPQINFLTEYVRKGALVYVEADAANYVFERDDGSK GTTLSLVQKDINLLKNGKKIEDAEGQENAASSE SPAR_C00850 MPEQRTKYADSILTTKSPYEATETIRIRLSQVKLLNKDFYLLFK ELANLKRNYAQQLRKIIAENEDITKILNAQMIESNVLTPQEMSAFRFNSLGELRSVWD TVIEELQSDLKSSTEYYNTLDQQVVRELKESVENNTSWKESKDLHSKLSKNAASIEHY SKNNENSSHLDEARRQWDQQSPYLFELFETIDYNRLDTLKNCMLRFQTSFSDYLLNTT KECETVMTKFLAFEPQSEIDRFAKDASQYNFQLSSSSKEVVPNSVPPASATSARPISA SDGAPNREKEKKSPQKDKRKSTFGSIGHRLASASSTLTHGDLMNNEFSDSTNNSSLKS KKSSHTLRSKVGSIFGRNKTKNKRQQQSSSNSHIQESITETQNNSSTRVSSTATSSIY QKQRRPTYSSLKSNNWTPAEASDTPPLPPHATPKSVGAPVTADTVPAPTFTPAAMPTS TPQQSSPPTAKEPDSSELPKTVPISISQPPLQPQSKTKPLPVEPTFPNNLVSTATVDN QPPTQMDSRPLHIRAPALPPSRKQNFIHNRDSQLYESLPNHGSDPTPTSSSLSSIPQE RPASTLSSQITGELRELNPQATGSSTSLVGQSLFQHSSLETSQFGLNASIAEVLNASF KDGMLQTSQLIGEIALNYLPNSVMNSPLPIGINLKITNGAKFEKVILNQAFIERVAPE EFKVNPSFIDSRTLGAIKYSIKEPIAPIVIHPVWRFEPHQASVVLTVKMSPSLPVEIS QIVIEDLVVFVNIDGANATSALSKPQGSFSKEKKRITWRFKEPVVLTRNGEGQRLIAR FITDGLAHESAKGVITKFTISETDNAALPHSGAGSGISLTCQELDENNPFGGDWSDVN TKRTLTTGNYHGLA SPAR_C00860 MSNVVQARDNSQVFGVARIYASFNDTFVHVTDLSGKETIARVTG GMKVKADRDESSPYAAMLAAQDVAAKCKEVGITAVHVKIRATGGTRTKTPGPGGQAAL RALARSGLRIGRIEDVTPVPSDSTRKKGGRRGRRL SPAR_C00870 MNSIISAASKVLRLQDDVKKATTILEDILVLQPIDQEIEPNVEN YLQHELTKIIQGCPVRESTSNNSTKSTVEDDLCELNNYTCLSLSKSFYLCSNGRNFNI AEPTRWIQLLEALTDSVSFAVVVQIILTLSNISLINKQTLGELGKVRILLFEILSNKN DTWKSILLQRNLIEWYTSMLSVDCSPLELENLYLHKNSKFCNDILNSLTLQVSDPRSQ NYLQFENSYKLFQIQKSSKINHSFLFYIEFNSVTSNRIMTIERHIYLEIKEGQFCVSN DNYIIGLFENFEFEVGNLYFIAVIIDHNNQITLYVDGSMINQLTLFENSVCQLNTCEL GSMICSIKLYRFYLWDGLLTEFAINVLQAIGTYYQYTFSKKKESIDVLSNCQDFLIAK AHLMNRPPTGISSAKYISEIELLEMENIIIDVNPNDILQDFTKLSNFTIRFEENINSK DIPEVGKCYLYQSSNLISKFVSIDSIRLAFLNMAECDSMDDLFHHVSHLMNLLRNIDI LSWFKKDFGFPLFAYTLKQKITQDLSQPLSIQFFNLFLEFCGWDFNDISKSIILDTDA YENIVLNLDLWYMNEDQSALASGGLEIIRFLFFQISSLMEASIYSKFNSNKFNDMNIL EKLCLSYQAVIKKENPNSKFGELSNDLISVLVTLLKYNTDKRHLQWFLHLSYYFIKKK DVHSTEIILQAIDQLFSFYLDQGNDENARVLSEIIPLKLMLMNMDQLVENNESNPITC LNILFKIVLINKPLFKQFYKNDGLKLILTMLCKVGKSYREEIISLLLIYSMGNYTTAN EIFSGTENNMIRGVPNNKITVKEIVYLAVSFIEWHVINSNSSDSSSLSDLNNHISRFI EDLESLSTIPINASVFDPRNSYVIVSLLDLLIALSESEDISKFKSSSKMISRLIKSNI LYALTKYAAYDFEVYMSTFFCHITEYKLVHPKTVINNSSYLQVSFMITLLPEILNDLV GSDNKLNSMMLKYPYMMSNLLYLLRKFRPNTSQIVMPREFYFSSYVCLLHCVIQIDKS SFYHFKNVSKSQLLQDFKLCILNLLYFNTTKKTIWEKQDYEIFSESLMLHQEILFAHG ACDNETIGLLLMFLANRLRDCGYNKVVFNCIKVIIKNRERKLKEVANFFDAINKSEVL DGLSYILSCSNPEAMNFITEQCSFFFNNTQQVRFKNTITNNLFKSNNFSALSIRQVNN QVYEWKSARFEFMTQNNKKCLILFRKDNTSLDFKIKKSISRYIYNLKTDREENAVFYR NNMNLLIFHLKHTLEIQSNHNLSCKWSLDFAEDFDGMKRRLLPAWEPKNEPLLNEEDT NQETIASGNRQRRESGSILSYEFIEHIETLESEPAGDLNENRKILRLLKDNDSIATIW NCSLIIGLEIKEGILIRGNNYLYFVSDYYFSSDDKKILKLSEVSQELRDMTVSLINGP DVKKLSTFLKHEVFVWKLLDLTFVTKRPFLLRDVAIELLFKERVSAFFSFYNKRVRDD VLRVLNKIPKHLPSDPIFSSVLQEINNRGNSIVTKNGMGRASIASKFTSVFSANNSLI DGFELSKKWVKGEISNFYYLLSINTLAGRSFNDLTQYPVFPWVIADYESDVLDLKNPK TYRDLSKPMGAQTEKRKLQFIERYEALASLENAGSAPFHYGTHYSSAMIVSSYLIRLK PFVESFLLLQGGSFGPADRLFSSLERAWSSASSENTTDVRELTPEFFFLPEFLTNVNN YDFGTDQSGKKVDDVVLPPWANGDAKVFIQKNREALESPYVSAHLHEWIDLIFGYKQK GEIAVESVNVFNRLSYPGAVNLDNIGDENERRAITGIIHNFGQTPLQIFQEPHPEKAA CNIRQLTTEVWRKIPMKPIFEKAIFNLNEKNTSVDYVIHDPSYFDSLYWRGFAFPNLL FRTEETLVSLRIVHKSWLKIGLDIFKKTHMTQITSFAYWKLGEFITGDKNGLIKVWKY RKDKHSASGNLENKKTMFGHLCELKEMRCYHDYNTLLTLDINGSVYVWDMINFELVRQ ITNNAHKVAISQHTGSIMVLTKTNAILIFNLNGQKYTSKEFGPAKIVSSIEFFDFTKL EAEYRKHIYWKEMEILLVGFDDGTIDIYELFLTSYNEWAIKLLKQLSTERGKAITSIK AQGKTYLSQKRHKDSTEPDEIEVIAGTLDGRLAIWY SPAR_C00880 MGYPPPTRRLADKKRYHYSNNPNRRHPSGVYSKNSFPKSSNNGF VSSPTADTSTNASIISGTAPAPLPTAISGTTFGIEAPRPSRYDPGSVSRPLSSSYSST RKIGSRYNPDVERPSSATSSTPESMNTNTITHNNTDIGKSRYSRKTMSRYNPQSTSSS NVTHFPSAISNVAPFYVANGNSRRPRSMDDYSPDVTKNVESSNASSVNGNSPHSYYSR SNKWRSIGTPSRPPFDNHIGNMTTTSNINPIHQREPFWKANSTNFLKSPHSQSSPSLY ANKFHDANKWNKPESPVKVETLSKDQTKVTPYHDDKYLPKRSDSKPNVPLESDSIKVD EENVLEKKDVHKSGREIVKEHPIPVKRKEHDELEVRARKVNKIKRDGKQNQIWTTAIS VADTVEVAKEEQKEQANLIEREESPEIRDYERIYDPKALKTDVTRLTVDYGNKSYEEP LEKAEGCIFPLPKAETRLWELKNQKRNEIISKQKYLLKKAIKNFSEYPFYVQNKLIHQ QATGLILTKIISKIKKEEYLKKIKLKHDYFDLQKRYEKECEILTKLSENLRKEEIENK RKEHELMEQKRREEGIETEKEKSLRHPSSSSSSRRRNRADFVDDAEMENVLLQIDPNY KHYQAAATIPPLILDPVHKYSYKFCDVNNLVTDKKLWASRILKDAFDSFTDHEHSLFL EGYLIHPKKFGKISHYMGGLRTPEECVLHYYRTKKTVNYKQLLIDKNKKRKMSAAAKR RKRKERSNDEETEVNEGKEESMSTVDKEENSENNAEETVQPVLVQVSELKDNPLDTSE KVENLVQKEGEEVTGGLECAERVNDLKRVHDDIEEKDSKSNVMKGNDNILITAPKGSP QDGYYPEDTRELDFSLENALQRKKHKSVPEHKTSYWSVRESQLFPELLKEFGSQWSLI SEKLGTKSTTMVRNYYQRNAARNGWKVLVDETDSKRDGTSSESVQQSQILIQPERPNI NAYSSIPPQQRPALGYFVGQPTHGPNTSISSIDGSIRPFGPDFHRDSFSKVSAPLTTL PPPRLPSIQFPRSEISEPTMTDLRNRPLDHIDTLADAASSVTNNQNFSNERHAIEINR KMATISNLLNNSDRDMKTSLQSVSRHEGQVEESPNLNNIVVQEIKPNITTPRSSSISA LLNPVNGNGQSNPDGRPLPPFNHTISQGAPTFPLPAPHTTPVSRAPPKFNFSNDPLAA LAAVASAPDAMNGFLSKKENNN SPAR_C00890 MNSLVTQYAAPLFERYPQLHDYLPTLERPFFNISLWEHFDDIVT HVTNGRFVPSEFQFVVGELPLSTLPPVLYTIAAYYVIIFGGRFLLSKSKPLKLNGLFQ LHNLFLTSLSLTLLLLMIEQLVPIIVQHGLYFAICNIGAWTQPLVTLYYMNYIVKFIE FIDTFFLVLKHKKLTFLHTYHHGATALLCYTQLMGTTSISWVPISLNLGVHVVMYWYY FLAARGIRVWWKEWVTRFQIIQFVLDIGFIYFAVYQKAVHLYFPILPHCGDCVGSTTA TFAGCAIISSYLVLFISFYINVYKRKGTKTSRVVKRAHGGVAAKVNEYVNVDLKNVPT PSPSPKPQHRRKR SPAR_C00900 MAASTTLETIEIHPITFPPDVLARISPELSLQRHLSLGIRPCLR KYEEFRDITIENNTLSRYSDTDNVDAKNNILGSNVLKSGKTIVITSITGGIIEENNAS IKDLDDFGEEELFEVTKEEDIIANYASVYPVVEVERGRVGACTDEEMTISQKLYDSIL HSRILPKKALKVKAGIRSVNEDGTFSVLYPDEPEDHALNETNLKMKRKWSYVLYAKIV VLSRTGPVFDLCWNSLIYALQNVNLPRAFIDERASDLRMTIRTRGRSATIRETYEIIC DQTKSLPLMINSENIAFASNYGIIELDPECQLQNSDNTEEEVDIDMDKLNPVLIADLD TEAEETSVRSTISVIASPAGNYKQLTLVGAGAKITPEIIKRSLLLSKVRADDLSTRLN K SPAR_C00910 MGITVIGSLNYDLDTFTDRLPNAGETFRANHFETHAGGKGLNQA AAIGKLKMPSSRYSVRMTGNVGDDTFGKQLKGTLSDCGVDTTHVGTCEGISTGTATIL IEEKAGGQNRILIVEGANSKTVYDSKQLCEIFPEDKEEEEYVVFQHEIPDPISIIQWI HENRPNFQIVYNPSPFKAMAKENWQLIDVLVVNEIEGLQIVESIFDDKVVEEIRERIK DDFVGEYRKICELLRNTFINQKKRSIVVMTLGSRGVLYCSHECPEAQFLPAIEDISVV DTTGAGDTFLGGLVTQLYQGESLSTAIKFSTLASSLTIQKKGAAESMPVYKDVQRV SPAR_C00920 MRFSHFLKYNAVPEWQNHYLDYNELKNLIYTLQTDELKQETPSK DLNDDADSQTPRPIDDIESNVATGEPSSSKRRFTHKLKRKLFGSKTSSGNKKGEEDEK AIDGSNINEETIELDELSPQGKTTSFNKNFISKKFFESRSSSVSSEGKTLFSSYDTFV TNLSDEKLKVDDFYKRMEAKFYERFDHLINDLEKEGIVTRLNEAFDPEIQALPPLREI VSGTSEMQSSNNPFEMHSSNIDSELRNRFDYSEEEMDEDDDVDVFADTTDNTALLNYS QFNIKSQKKSLLKQTIINLYIDLCQLKSFIELNRMGFSKITKKSDKVLHMNTRQELIE SEEFFKDTYIFQHDTLSTLNNKIAQLIEFYAVLMGQPGNVDSCKQELKSYLHDHIVWE RSNTWKDMLGLSSQNNDIITIEDEAEKLMQEKLQIEYFRYPLPKPINLKFTTIENLAV PKLFFGKRAMKIGFIIIITGVLLGVKTFNDPVEHRCMALVECCAFLWASEAIPLHITG LLVPLLTVLFRVLKDDDGKVMGAAAASSEILGTMWSSTIMILLAGFTLGEALSQYNVA KVLASWLLALAGTKPRNVLLMAMSVVFFLSMWISNVASPVLTYSLLTPLLDPLDYTSP FAKALVMGVALSADIGGMASPISSPQNIISMQYLKPYGIGWGQFFAVALPTGILSMLC AWALMILTFKIGKTKLEKFKPIRTRFTIKQYFIIIVTIATILLWCVESQIESAFGSSG EIAVIPIVLFFGTGLLSTKDFNTFPWSIVVLAMGGIALGKAVSSSGLLVTIARALQKK IQNDGVFAILCIFGILMLVVGTFVSHTVSAIIIIPLVQEVGDKLSDPKAAPILVFGCA LLASCGMGLASSGFPNVTAISMTDKKGNRWLTVGAFISRGVPASLLAFVCVITLGYGI SSSVLKGST SPAR_C00930 MFVLIDNVLAYLLEQDDLFVTARFAIQGQIVSRRVNKIHISNIT DVLLQQFISHTQPYNDNIIPKKTLDSMRTAVRQLLEATACVSRECPLVKRSQDIKRAR KRLLSDWYRLGADANMDAVLLVVNSAWRFLAVWRPFVNSIQHATQELYQKIAHYLLYG NVDIQRVTALIQLVMGEDHLLFSMDEVLQEVIRIHLYLDKMLPHDTHKKWQKPSPFDS ANLLLNFRDWTTDKALLQELLLSYPTNNHKHKNLSVPRLIQIWLESYWQESEDTLNNI LHFWYCHLATNYEYQDLFADVVQLFINKKSTRQLKVHNIGLTDQVKEETNPPIDYTNL FVEYKIDKTNANDELCRATDLDDLIFQWKQGKPLEVDAFALNVSPWSLAKTLTLLESS LYLNIETIEFTRYFRHNDTTIDSVFTLSNQLSSYVLETTLQHTHTISYWLQVALSCLY LRNLNSLASIITSLQNHSIERLSLPVDPKSDHLFQRLKVVVHPNNNYNVYRSTIKHIS HSQLPCVPFTSLLIRDITFIRDGNDTFAKDGNHVNMQKFNQITKIIAFAQYLQQKQYD DIHCSNTIARSLLGAMIKVHSLYKDNKDRAYQVSIAKVPRLT SPAR_C00940 MNKIPIKDLLNPQITDEFKSSILDINKKLFSICCNLPKLPESVT TEEEVELRDILGFLSRANKNRKISDEEKKLLQTTSQLTTTITVLLKEMRSIENDRSNY QLTQKNKSADGLVFNVVTQDMINKSTKPYRGHRFTKENVRILESWFAKNIENPYLDTK GLENLMKNTSLSRIQIKNWVSNRRRKEKTITIAPELADLLSGEPLAKKKE SPAR_C00950 MDDVWVLFGMQFIASNVEKLYHFKMFTSKPAFRIKNKTSKSYRN TAVSKKLKDKPIINHVKPSCFNVIRPLKKDIQIPVPSSRF SPAR_C00960 MSFSKNATPRAIASESSTLHEMKFRNFRVAHEKISLDVDLATHC ITGSATIIIIPLIQNLEYVTFDCKEMTIKDVLVENRRCDQFIHDDPFQTKLNGLVSQN VLYTDNSIEQSHFLRSKFGGLNEYPESASKSQLTIKIPSSIKISLEDANALSNYTPIT PSIKTTPGFQESVFTPITLQIEYEIRNPKSGIKFDTVYADKPWLWNVYTSNGEICSSA SYWVPCVDLLDEKSTWEIEFSIPKLVKNIGTSKLIGQNGEQGEKEKENTPEDDEEEGE KPARGIKEEEDKDINLKNSEESKNNKSEEVQDEEEEEEGESDEEEEERRNIEESSNPS LRDVVVCCSEYSNIKELPHPIDLTKKKCIFQIINPVAPHHIGWAIGAFNSWSLPLISP PSVGAEDELEEDKLRESVIDNVNDPMDDDLNSDIIPIQIFTLPTQEIDEATVINSTVV CQKIIDFYSKEFGSYPFTCYSIVFLPTAPSNHMDFAALGICNTRLLYPLEVIDKIFST TNDFAWALANQWSCVNITPLDMNDYWCCLGIAGYMVLQVTKKLMGNNAYRYQLKCNSE AIVEQDFEKPPIGSTFTGNSRPISWSSEDLSFIQLKAPMILHILDRRMTKTERSFGMS RVLPKIFLQAMSGDLPNNSLTSSHFQHVCERVNKSKLENFFNEWVYGSGVPILRVTQR FNRKRMVIELGIRQVQDEELGHGKVVGEQGFFKSALDRLEHPNLNRTECFTGSMTIRI HEHDGTPYEHIVEIKDTFTKIDIQYNTKYRRLRKRGGGANEENGPENSNEEKPTVVDV NCLGNVYMSPEECSRFHLTEFNRTSESNELLKQNEAFEWIRIDSDLEWICKMHINQPD YMFSSQLRQDGDIDAQLEAIRYYEDVVVNGSSKSLVYSSILFRTAMDERYYFGIRLAA CEALSRNIYDPTFTGGVKHLIQIFQILFCLEGSNIPKSNIFDNSTLYFLQRSIPKYLV KVRNENGKCPKLVKEFLLDILIYNENGENKYSDNAYVCGLIENVVEVAVNDSKDVAYV DKVKTQLFRYENLVNWLSSYESLIKTSIMFAKYKLHKVGAYEFSELLEMIFDTLSLGN NNADVARESFQNEYLMVLKIMLLEGGLKNKEALVLFTEILCFHEDSYIRDKSIDVLAG CVNVDVMDGSLDTISDDVKSSVQLVHSEVENIKSEDDIELFLSGHYVDDMKIKREKIG RQNINGLIQICRDMFKGYAPLKILLWDVLKLPILSLYQRKQIHDLVRVMYTLTNSFVV KLETPRERRLVAKMNGNGEDRLDIVIKRESILKVHIKKEVTSINEAPKKVNKIKISLK GDKPVRKVEKPISKPKVTNKQRKVKSHVNRMGSLPLRFVKIQQEPRGTVSLSSVPYSQ FVQITKVTSRSFMVKIKTKPQAKNYYASLFTSQ SPAR_C00970 MIPAPLDASLLREHAFQGTNDLSTVLSPSTFTDEGGYKPVLKYG LGYFNYGLVIDDEVYDYSVCDIIRGHVYDHFLCYFCCFMILFTIWLISLNWCPSSKKS KFDWSKKKDDFKMEGGDLEYQHVKI SPAR_C00980 MRLAVLVTILVHCFLGACSPGDNLDEFIDCTYACEYNRRCSNSQ INYIDPETNMFHDIEFFDTPNLYSKFLFWDCISDCDYQCQHIITRWRIDEQEEIYQFH GKWPFLRLLGTQEFFSTIFSIGNFIPHYKAFVKFSRMLSEDGNKRRKHSRSILIWNYL YVTVAGMLAWTASSVFHCRDLIITEKLDYFFAGATVLTGFHAIFARMTSMFLYPKIAQ AFTASVAMIFGLHILRLYVDWSYTYNMRFNIFFGVLQYILLIMLSCQNYYALQKQKQM GEFKKTPYSSIKGQIFKLCITPIILVVVTTMAMSLELFDFFSYEWQIDAHAIWHLCTI WPSWVLYDFFLEDYAYWGNRQL SPAR_C00990 MKLQCILISLLVNLAYAEEYLVRFKNPTAFQQFTSNSNRSWRQF IDNKIEKKFSIGSFRGVTMNLSKNLVNKLKKSPLVADIVPNFRFEAFEGDGAYSVESS YTFNTTAKYSYEDIDEEQNITFQPDAPRHLARISRHYQLPFDVGDKNRYKSWFNYYYE DDYQGQDVNAYIMDTGIFADHPEFEDRVIQGIDLTKEGFGDQNGHGTHVAGLVGSKTY GAAKRVNLVEVKVLGKDGSGEASNVLSGLEFIVEHCAKVSRPQGKKCVANLSLGSFRS PIINMAVEGAIEEGIVFVAAAGNFNLDAYWASPASAENVITVGAFDDHIDTIAKFSNW GPCVNIFAPGVEIESLSHQNYNDTLILSGTSMSTPIVTGVAAVLLSKGIEPEMIAQEI EYLSTRNVFHRRTLFFKPSTPNQILYNGVDKLDDPYDDETFPRLNIEAIAKELEEYNA TLQTPMSENLQSGSKLWGWNNDVTLPLGEIRLKRRDFMKNF SPAR_C01000 MWSRNVRLIGPWTRSYMVPVVKRKTIPVYPPVQRIASSQIMKQV ALSEIESLDPGAVRRKLISKKNKDRLKAGDVVRVVYDSSKCSYDTFVGYILSIDRKQL AQDASLLLRNQISKTAVEIRVPLFSPLIERIDLLTPHVSTRQRNKHYYIRGTRLDVGD LEAGLRRKK SPAR_C01010 MSRPEELAPPEIFYNDSEAHKYTGSTRVQHIQAKMTLRALELLN LQPCSFILDIGCGSGLSGEILTQEGDHVWCGLDISPSMLATGLGRELEGDLMLQDMGT GVPFRAGSFDAAISISAIQWLCNADTSYNDPKQRLMRFFNTLYAALKKGGKFVAQFYP KNDDQVDDILQSAKVAGFSGGLVVDNPESKKNKKYYLVLSSGAPPQGEEQVNLDGVTM DEENVNLKKQLRQRLKGGKDKESAKSFILRKKELMKRRGRKVAKDSKFTGRKRRHRF SPAR_C01020 MTEAKDLLQDEQFLKIQRLNSAEPNKRHSVTYDNVILPQESVEV SPRSSTTSLAEPATSTTTGVDVESTKAAHVAGKQEQEQEQEEYPVDAHMRKYLSHLKS KSRSRFHRKDASKYVSFFGDVSFDPRPTLLDSAINLPFQTTFKGPVLEKQLKDLQLTK TKTTVTTAKKTEKADAPPGEKLESNFSGIYVFAWMFLGWIAIRCCTDYYASHGSTWNK LEIVQYMTSDLFTIAMLDLAMFVCTFFVVFVHWLVKKRIINWKWTGFIAVSIFELAFI PVTFPIYVYYYNFSWVTRIFLFLHSVVFVMKSHSFAFYNGYLWDVKQELEYSSKQLQK FKESLSPETREILQKSCDFCLFELNYQTKDNDFPNNISCSNFFMFCLFPVLVYQINYP RTPRIRWRYVLEKTCAIIGTIFLMMVTAQFFMHPVAMRCIQFHNTPTFGGWIPATQEW FHLLFDMIPGFTVLYMLTFYMIWDALLNCVAELTRFADRYFYGDWWNCVSFEEFSRIW NVPVHKFLLRHVYHSSMGALNLSKSQATLFTFFLSAVFHEMAMFAIFKRVRGYLFMFQ LSQFVWTALSNTKFLRARPQLSNVVFSFGVCSGPSIIMTLYLTL SPAR_C01030 MNANIWVAASDGNLDRVERILRESNGAMTPQSKDINGYTPMHAA AAYGHMDLLKKMCSEYNGDINVLDNDGDTPLHHVEDVATAKLIVEELGGDFTIRNVEG QTPYDSFVENGEDGELIEYMRIKSGVADTHGVDGSQGEGVIDNRLLEEFKDSVRYTLE NDPEEGADEATLQRRRQLEQIVTGDNAEEELERYIRTMVREQMLGQGSMGGSEDEPGS KRRK SPAR_C01040 MVVQTNPVPVTYPTDAYIPTYLPDDKVSNLADLKKLIEMDSRLD LYLTRRRLDTSINLPTNTKGKDHSSNREMLRIYVYNTTENSPRNDSDAPADPGKTTWT LRIEGKLLHESTNGKHTFSEFLEGIAVDFKRLKPLGRGKKRKHDSSLSLPLNLQQPEY NDEDITMGGDDNGDDEDNGEEESREEIVDALEWNYDENNVVEFDGIDIKRQGKDNLRC SITIQLKGVDGSKVEYSPNLATLIGMQTGSVNDAVYSIYKYILINNLFVTEQTKSQDN ANDVEDSSNENNNNNNKNGDSDGDGGEGSTPRDRPELGEVKLDSLLQKVLDTNATHLP LMNVVQSINKLVLPLPPIKLDYTIDLAKDTTYGATTLDVDVSHILHKPQQPHFQKGEE TDAEDTAKLREITKLALQLNSSAQKYQFFHELSIHPRETLTHYLWSSKQNELVLQGDQ YFNEDAARTSDIYSNNNDDRMLMGNISVLYSQGRL SPAR_C01050 MPNDSQVYRSTRSSSPKTISFEDAIIQGLATDGGLFIPPTIPQV DQATLFNDWSKLSFQDLAFAIMRLYIAQEEIPDADLKDLIKRSYSTFRSDKVTPLVQN VTGDKENLHILELFHGPTYAFKDVALQFVGNLFEYFLQRTNANLPEDKKKQITVVGAT SGDTGSAAIYGLRGKKDVSVFILYPTGRISPIQEEQMTTVPDENVQTLSVTGTFDNCQ DIVKAIFGDKEFNSKHNVGAVNSINWARILAQMTYYFYSFFQATNGKDSKKVKFVVPS GNFGDILAGYFAKKMGLPIEKLAIATNENDILDRFLKSGLYERSDKVAATLSPAMDIL ISSNFERLLWYLAREYLANGNDLKAGEIVNNWFQELKTNGKFQVDKSIIEGASKDFTS ERVSNEETSETIKKIYEASVNPKHYILDPHTAVGVCATERLIAKDNDKSIQYVSLSTA HPAKFADAVNNALSGFSNYSFEKDVLPEELKKLSTLKKKLKFIERADVELVKNAIEEE LAKMKL SPAR_C01060 MLTEKFLDEFNLFDSIITTMKNDPCCVEDYKPIVENLNQIFQKT FNDQEHRKSMADSRLFWERLRDALESMLLPVSLNEDSSIPYTRTVRGLVLMMRNLAAE NQEIPQKLLLQNLVIRGFLHATSEYVVDTPLIKHLYIACLTCLYNMQQNYSTVDMTTF SALLQFFQYPYGVKFEEGEEEEHFWLPYLFLFKTYLNNDEFSNEFFRDNDTSQNDYYC LRDRIFFDIVTAKFIRDQENSFVIEKGRNYLDDSKLEITPIDLSVLECISKSLTAASF GKYLYGLEKRQPEKFTNMLQILQLIVTSKEDWNKYELTAIMSWCYPILQRLASNDIPA FFNKNANDYTPSVAIQLHSTLLSCLDIISDLCKFDHVRKFLVSYDSVRILISLLDTFQ KNLLRINFLKGNGETVNDIKITDYQGNKIEDRLLIFNRVNTNSSFIRADNFPHCKLVI IEILASLVYAHPEIQDQIRELGGLALILSNCVIDDNDPFIKERSIVCLKFLLKNNAKN QEYVKKMEAQDVVQDDALSKAGFEISVEKGGKVRLVSKKEDPGNESSEIISIDED SPAR_C01070 MKSDFKFSNLLGTVYRQGNITFSDDGKQLLSPVGNRVSVFDLIN NKSFTFEYEHRKNIAAIDLNKQGTLLISIDEDGRAILVNFKARNVLHHFNFKEKCSAV KFSPDGRLFALASGRFLQIWKTPDVNKDRQFAPFVRYRVHAGHFQDITSLTWSQDSRF ILTTSKDLSAKIWSVYSEEKNLAATTFNGHRDYVMGAFFSHDQEKIYTVSKDGAVFVW EFTKRPTDDDEDESENEDEQEEVDISKYSWRITKKHFFYANQSKVKCVTFHPATRLLA VGFTSGEFRLYDLPDFTLIQQLSMGQNPVNTVSVNNTGEWLAFGSSKLGQLLVYEWQS ESYILKQQGHFDSTNSLAYSPDGSRVVTASDDGKIKVWDITSGFCLATFEEHTSSVTA VQFAKRGQVMFSSSLDGTVRAWDLIRYRNFRTFTGTERIQFNCLAVDPSGEVVCAGSL DNFDIHVWSVQTGQLLDALSGHEGPVSCLSFSQENSVLASASWDKTIRIWSIFGRSQQ VEPLEVYSDVLALSMRPDGKEVAVSTLKGQISIFNIEDAKQVGNIDCRKDIISGRFNE DRFTAKNSERSKFFTTIHYSFDGMAIVAGGNNNSICLYDVPNEVLLKRFIVSRNMALN GTLEFLNSKKMTEAGSLDLIDDAGENSDLEDRIDNSLPGSQKGGDLSTRRMRPEVRVT SVQFSPTANAFAAASTEGLLIYSTNDTILFDPFDLDVDVTPHSTVEALREKQYLNALV MAFRLNEEYLINKVYEAIPIKEIPLVASNIPAIYLPRILKFIGDFAIESQHIEFNLIW IKALLSSSGDYINEHKYLFSTAMRSIQRFIVRVAKDVVNTTTDNKYAYRFLVSTDGSM EDDTADDDEVLLKDDAEEDNEENDVAMESDDEEGWIGFNGKDNKLPLSNENDSSDEEE DEKELP SPAR_C01080 MDDDHEQLVEELEAVEAIYPDLLSKKQEDGSIIVVKVPQHEYMT LQISFPAHYPSKEPPNVIDVSVCTSLAKRDLYDTKYLQHLFQEVMDSVFHRGSVCLFD FLTELDGVLYVEPEEETEPVQQSDIPTDPFEGWTASDPITDRGSTFMAFAAHVTSEEQ AFAMLDLLKTDSKMRKANHVMSAWRIKQDGSAATYQDSDDDGETAAGSRMLHLITIMD VWNVIVVVARWFGGAHIGPDRFKHINSTAREAVVRAGFGK SPAR_C01090 MSKFEKVKEQGNSLFKQGLYREAVHCYDQLIAAQPQNPVGYSNK AMALIKLCEYTQAIRMCQQGLRYASTAEHAAIRSKLQYRLELSQAAVGPVQIPVFEVD ELPEGYDQC SPAR_C01100 MVRFVSILSLLGCAATLVMAHDDMDMDMDMDMDMDMDMSMTTST SVDVSPTASIVPVPHEPKHLHGLPILQSPSLTPAERLYWENYNTTTYFTTQAGNRAAL RYHIITLLLIAFLLYPVSLALSAARSKWHLPLLFFNLCICISSVMALSVFKSSFPEDD WYAHNIYGTTSGLLLVFMLVHFFAAVLSVPVSSESKKEYRPVDSIPLNDLESTPVMVN SARGSPSPSSNRDTLFSLSSDTTTTTATNNNKREHVEGEEEGDNTSNHDTLRDEDYDD DEIASIEAPPLSPQDIPVFRILFANSKYQKLAAHLSYAANVVFHLLSYPLFMYIFVDL IIGFAVGNLLGKGIRIFNLLAHWIKGGVFFTLGVVSLARYCGFGAKYGWAWNNISFTS QLSQERSSNLLFRFAPAGTFTMESIESFLIFFYGSTNIFLEHLAGNGGAWTAKDLQHV SIAFMFIGTGLCGLLTEYKLNHWRFEHARKRPQADVVAATPGYSPNPFPAFTIFWTGI LMSQHAQSSQFSTTIHTQWGYLLSYGSFFRLLTFLILFLVPNTSSVASKPFTELITSF CLLCGGLVFMESTDQSIEAMEYRGFTPMFTFNLSVGFVSLLMAWEMILFIWKDRLIKT RKTSL SPAR_C01110 MPRIKTRRSKPAPDGFEKIKPTLTDFEIQLRDAQKDKSSKLAAK ATEQLWEIMQLHHQRSRYIYTLYYKRKAISKDLYDWLVKEKYADRLLIAKWRKTGYEK LCCLRCIQKNETNNGSTCICRVPRAQLEEEARKKDTQVSFHQCVHCGCRGCASTD SPAR_C01120 MMNEDISIVAGQNSFLTEKSTMLSTQAKRTLEDEKEMITPPSST VRKTMKEVNKRLSHPLSPDHSSPIAPSKAKRQRSDTCARSNGNLTLEEILQSLERRRI NGELAKKPPYSYATLICLAILQSQEGKLTLSQIYHWIHVHFPYYKQKDASWQNSIRHN LSLNDAFVKTEKSCDGKGHFWEVRPGAETKFFKGENRGYEFVKDSLQDIGKYFEIDST LDELEQVEGGECNDGLADDDEGEEAGKFPSIEIQLNSSPILRVSQLHHIPQLKTDNSV LNPQENLESMRNMMEHDDNNIDSLEPPYVMRKYHTSLGLPSLVETKDHHFQAGAKNNN ITQANRFNTLPITCSKSPQNFRKYFTSFNSNFEDLSPLRSNVGAGSLLDPLPYSPLKL YDQKNLALMSKPQSQQSYSNSQLPPLPSSHGSDLLKTPKMKHSDALDKTPSRLISTPK DGNSILRKWQTPSHLFEDLYCSPLFRAIETPIRYITTPGGTLETQISPRKSSAPDVLT SATNSKFASSGLFGVDVYSVWKRATEKNSDGEKTADGNQKHHPYHSHPSNNCGNEKN SPAR_C01130 MDHQITTASDFTTTSIPNLYQLDTLLRCHICKDFLKVPVLTPCG HTFCSLCIRTHLNNQPNCPLCLFEFRESLLRSEFLVNEIIQSYTSIRSSLLNALKIRK PIPVPGNEEVPNPQDSSLIEVISESENDSPNAADDDLQIVATSERKLAKRSMTDILPL SSKPSKRNFTMFRSERIKKKPKPNEQMAQCPICQQFYPLKALEKTHLDECLTLQSLGE KPKVPTNFPVDSKSHDKDTFKFKVQTPEVDKSPSDETSHVDKYLNSMTSAEHQRLPKI NFTSMTQSQIKQKLSSLGLSTNGTRQNMIKRYNHYEMLWNSNFCDSLEPVDEAELKRQ LLSWDVSHNKMPQNNNNKGGISKLMMMKSNGRSSSYRKLLENFKNDKFNRKGWIVMFQ KDFTRLIREAKLKIKKGSSDDSSSPMRTDGENGVAQVQSVQGIRDQQMEGNQETVINE DKLVNEGNLPNEDLTDADLSRELMDLNEESKDPPGND SPAR_C01140 MSGNSANYDVGYPIYGAKFINESTLLVAGGGGQFNSSFPNKITA LRVNFQKKKRIRRFREITLDSIDDAPTSLDCNNNLILVGCNELFNNPSMENVNHHLRK FVFEQEHLKFVASIDFNRTTDPSVFTKFIYVNQRATVAAIASSEVPTVIRIIDPRNLT ENYEIETGREVNDLHFAPNGILLSYITSNSLEVASVRDGKFVARKTDFDKNLVLSNIR FLNDNTLLVAASLTNSDGVSLLKLGVSSKGVKILKTASFMFDLNGITSMDVSPNKKFV GLSSNDNSIAIISVEKLKLIQLVPRVHESTITRVTFSPDSRYLASTSMGNTVNVVKLS SASSSILRKIWKFFLNFVLLVVLASAIQLGYKFNVHGFIYEHAHDIYKSKFKEDTAVD QGSSSYFTINDDYRGITESADIISAKDLTRDINTEFSTFDTSTMKTITEDEQSSVWIS WSSVAQFTSTDDPTSVFPFSSSSSSSKISEFVANERPTSSSSSEESTSNESILSLSTS EVTKPLVSSTESNIVEKRFMQSNAESIEPRSFSSKYVTEHPGPTTDRDSLSEIFSSES PSSSPSHSLSHMPSPSPSPSPSPSPSPSPSPSPSPSPSPSPSPSPSSSSSSSSSPSPF SSSSFSSLSSTSTSTTIALSTSTTTSITVTGTSPINDPSNVSFLDSSEIAHTREIYKT KIITEVITKIEYRDISASSSEIAAEQYISTSSLMSLTPTNTMVSRPVTEIDPIASELE GMVETPTHPTSRASEIDSVAPNLIPNEEVLSISASHDSILSHQDTYSDLPITSSLQSM EIPTVSSSPFASGPISSAPASTFSKCHSISKPGSSVIVESATSLFPKTKTEPARSETA ISTDNSDHIGVFTDVVQSSGANVGKPSPSDFTNIEETSLASISFSSNDGSIGTLSDIG KESLSIEIASSTVAQPMPDVTISVPSFVSGPHEIPASSVNTSGFVQKEIVIEVKTSKD SSETSGAQRNIDENVSTLVSQVLATETHTSDYEEVTHDTSPSSEVVSTLNLEITTLPG EVTPSQTVATPLNNNSNANIVNDDSSAAETVNYANLHDEL SPAR_C01150 MLHKRPSRKRSASTLHPGCMLLIVVLCLIVYYFAVPSYLLVGKR SSRGALDQKSESTFKLKSIYRHGVGANHRLQQRLEVTPEVISAAGTLYQEIAAQIQDN EDQEPLWTNNAEYATNNPFAFEFQLRGVPLMMKRMKQRDPDFIESYIYGETYMTEDEE HAMWIDDDIVAPNVTDRDTVVSLALMSSNAYVRIPQTGDWRNVTEPWNETEPEDFGWD GDGIRGHVFYNELENIVVLSIKGTSAQGLPGSGEDETTGNDKINDNLLFSCCCARVSY LWTTVCDCYVKSYTCDESCLEKELRRKDRFYSAIVDIYKGVLKEYPDAAMWVTGHSLG GALASLLGRTFGLPAVAFESPGELLASKRLHLPFPPGLPSYMEGIWHFGHNADPIFMG TCNGASSSCSLVGYAMETACHTGRVCVYDVVNDKGWSVNMFNHRIHKVIDEVLLGYDQ AAKCVEPEPCVDCYNWNFIPSRNWESSSRLITKTKSQAAPTRTTRTTTTTSSSTCVGR NWLGFCTKYEL SPAR_C01160 MWLKSLLLCLYSLVLYQVHAAPASEKKLTSKDVDLQKKYEPSPP VTHRGSITIEYFDPVSQSMKEADLTFELYGTVVPKTVNNFAMLAHGVKAVVEGKDPND IHTYSYRKTKINKVFPNKYIQGGVVAPDVGPFTVYGPKFDDENFYLKHDRPGRLAMAY FGPDSNTSEFIITTKVDGNEELDGKSVVFGQITSGLDELMDVIQYTETDEYGKPQHEL RFLYFVLEILKISNILDLHATYTEKNGKFRNGDTSVGSTLKDIFPKDKGYTTSTSAFT KTTAFDLNHPVSRALMCLTVLAFCFIAYRCMHEKPRTVSLRRK SPAR_C01170 MIRSCATKCFARGKCFPGSATASIYQTLRLVSTNSNKAPEAPIF PRLEDVKMDELIGNNNFGKKTYYVERSRTGNLPVYSAYKNGGNKIITEIRKIEGDIIQ LRNDLQDQLPFIPKESWSVVMQSKKIIIKGNAVEAVKRVLTKKF SPAR_C01180 MSTLIPPPSKKQKKEAQLPREVAIIPKDLPNVSIKFQALDTGDN VGGALRVPGAISEKQLEELLNQLNGTSGDPVPYTFSCTIQGKKANDPVKTIDITDNLY SSLIKPGYNSTEDQITLLYTPRAVFKVKPVTRSSSAIAGHGSTILCSAFAPHTSSRMV TGAGDNTARIWDCDTQTPMHTLKGHYNWVLCVSWSPDGEVVATGSMDNTIRLWDPKTG ECLGDALRGHSKWITSLSWEPIHLVKPGSKPRLASSSKDGTIKIWDSVSRVCQYTLSG HTNSVSCVKWGGQGLLYSGSHDRTVRVWDMNSQGRCINILKSHAHWVNHLSLSTDYAL RVGAFDHTGKKPSTPEEAQKKALENYEKICKKNGNSEEIMVTASDDFTMFLWNPLKST KPIARMTGHQKLVNHVAFSPDGRYIVSASFDNSIKLWDGRDGKFISTFRGHVASVYQV AWSSDCRLLVSCSKDTTLKVWDVRTRKLSVDLPGHKDEVYTVDWSVDGKRVCSGGKDK MVRLWTH SPAR_C01190 MDVSNTQQHQVAEDGRVLASSHTISSTLMKRLSSHSSHKPSRSD LQAFGSSETIDDSSCQRIFKNRYVFNESLYLKKLKKTALDDYYTRGIMPTTRYEEDGG DDEFIRLPNGDRIDEDLDSGVSFFSATSYCRKMRLDGEELAQDETVIERFKWQSMLAR VLKGDIVKSEKTRIANQVKKPGLNTELSDEIWLELKAWLNGKTGQEMEQSLTYLRGNS DSLFEEIMEFRIPQGKTLSLDALAAILQDLMNRYHSVVSYWPNLNKMHRDKPVTKTAE FTARTDVMNSWLNFKTNLTLKRKELDDWINRFSPTSSPDNFQEDFNGVPEWNYKLKSL AEQLVKEKNIESIFQKKIFYPLSPWMFKLKLHFIVYGETLKKMNMMYPYERLKSLLTF PGYLIKEVILTRLSYAQKLKNPTMMMIDQMVDDFNTFIRLSVQLKYTLTQYCSNLPFD VDFDPTFENTVIEAIRYLFFLLNLKLVDSSIQNFKAPDLLLKYWEQLKNIGHYINGAE AVIPNEFLKLTLRLVHKLQFYLSEQQNSPPTFIDASEAEKWLSSIFENLGAMKRKLNR FSNILTKAFQNSAVYHINHNLRLVKKLKDAGYFLVYPGDNLESDGVYLLAAPELLGCG NDTILRILKNKSIGCDLIPKLDIGNNLNMYDVTTQEAGSNILVSKEEDPKGIPYYRVV ANSSNDLDRRVHQSKREKSSTAPYDQRLDEENSEVLELELALSSLGVLVVLFPGEPVV WEGPVYKLSDDGIFTSNEINLKKIGNPNTLVLLNQGSNYALTYQVDKFNQTVGDSVSV IEKRCSLNSIESSLQRINKAYYKLAYTVLNNYKGILGSFMKQCPGSELLNSIFMFGRD FGRSFLKYNVFSSKRKYVIILLMVKLSMNWLKFLVEDCDPTDQRTFRWCVLAMEFAMQ MTSGYNILALDEKQFQELKERISVCMSLLISHFDVMGARATEAEKGIQQARLNIDIEE NIDEEATLEINSRLRLEAIKGLEKTTKKNPRQMGKVLDATDQGNKYLLSLASSLSNVS MRWQKRSFIGGGTFGQVYSAINLENGEILAVKEIKIHDTTTMKKIFPLIKEEMTVLEM LNHPNIVQYYGVEVHRDKVNIFMEYCEGGSLACLLDHGRIEDEMVTQVYTFELLEGLA YLHQSGVVHRDIKPENILLDFNGVIKYVDFGTARTVVESSTRTLQNAAIQDFEAETNS INDMMGTPMYMAPEAISGSVVKGKLGADDVWALGCVVLEMATGRRPWSNLDNEWAIMY HVAAGCIPQLPNRDEMTAAGRAFLERCLVQDPTRRATAMELLTDPWMMQIREIAFGNS EKDQIPTLGS SPAR_C01200 MTTTVPKIFAFHEFSDVAEAVADHVVHAQDGALAPKNERKHSVT NISMNALDMTREASCKSTASAAEIKSGSSGSGSGNCKPKKEKRFKIALSGGSLIQVLH EGLLKRDDVRWGDWDIYFADERLVPFSSNESNYGCAKRKILDLIDTAKYGTPKVYHID ESLIGDPQECADNYEKVLIRGFAGRDSVKLPMFDLFLLGCAPDGHIASLFPNFQDNLR EKLAWVVPVENAPSGPSTRISLTIPVICHSHRVTFVVEGATKAPIIKTIMERPEKGLP SSIVNEGAAGRVSWFVDDDALTDVLVTKKKYKFHPA SPAR_C01210 MVSLDDLLGLVYVTSWSISMYPPIITNWRHKSASAISMDFVMLN TAGYSYLVTSIFLQLYCWQLKDEESDLGRPKLTQFDFWYCLHGCVMNVVLLTQVVAGA RIWKFPVKGHRKMNPWYLRILLASLVIFSVLTVQFVYANYWYDWHNSRTLVYCNNLFL LKISMSLIKYIPQVTHNSTRKSMECFPIQGVFLDVTGGIASLLQLIWQLSSDQGFSLD MFVTNFGKLGLSMVTLIFNFIFIMQWFVYRSRGHDLVSEYQL SPAR_C01220 MEAEKQSDIKGTIAFDTHGNVIEATGVGSKRIEDIGDLSKVALD AEGFAQVQGDSLLVHLYKHEDITLAVYTNAR SPAR_C01230 MIENKVELVAELVLESIGKTDVVSRHTEGTKSCQVSFRTADSSN EKGATSSLFELTVVQTLDDNDKYTVVIRHGTSVTMACVVSYDDFKLPTEPKWPLEREA FPVEPDLKPVMTQLKRQTASNEDMPKFDDEYQAQTRQNQGPAPLNPYPGLTVTEPSFT NPTGGYADRDLYPVGTSHPDLSRGLPDPFGTPSNQGGMIFDPNRRPPQRREDMPPGWM PGSRYDEPFGPGSSGFGGAGSGFI SPAR_C01240 MVNEKNMLTLKTGKVVPGKKQQQEASTMSFFGLENNSNGRDGPL DFEESYRGYGEHELEENDYLNDETFGDNVQVGTDFDFGNPHSTGSSNAIGGNSVGTTA RSYVAATAEGISGPRSNGNAAAAPLDLKPMESLWSTAPPPAMAPSPQGTMAPAPQQMG PPQPILSMQDLERQQRQMQQQFMNFHAMGHPQGLPQGPPQQQFPMQPASGQPGPSQFA PPPPPPGVNVNINQMPMGPVQVPVQSSPSPIGMANAPSPGPVVGTGKMPLQGGRRSKR DLSPEEQRRLQIRHAKVEKILKYSGLMTPRDKDFITRYQLSQIVTEDPYNEDFYFQVY KIIQRGGITSESNKGLIARAYLEHSGHRLGGRYKRTDIALQRMQSQVEKAVTVAKERP SKLKDQQAAAGNSNQDNKQANTVLGKISSTLNSKNPRRQLQIPRQQPSDPDALKDVTD SLTNVDLTSPGSSSAGSSAAAAASKQRRRSSYAFNNGNGATNLNKSGGKKFILELIET VYEEILDLEANLRNGQQTDSTAMWDALHIDDCSYDVNPFISMLSFDKGIKIMPRIFNF LDKQQKLKILQKIFNELSHLQIIILSSYKTTPKPTLTQLKKVDLFQMIILKIIVSFLS NNSNFIEIMGLLLQLIKNNNVSFLTTSKIGLNLITILISRAALIKQDSSRSNILSSPE ISTWNEIYDKLFTSLESKIQLIFPPREYNDHIMYLQNDKFMDEAYIWQFLASLALSGK LNHQRIIIDEVRDEIFATINEAETLQKKEKELSVLPQRSQELDAELKSIIYNKEKLYQ DLNLFLNVMGLVYRDGEISELK SPAR_C01250 MRLGSAYAYCKPSQNVGLKLDLLRGLPGYVGHATSRINRLENQD SYSIKMMRSWPNAYGSALNCSVFDGHGEKGAQLSQLLADKLCSSLDFPESLWTKQDLK GLVQEYARRFPEGNYWKHKLTTFDKFYDKFIRSCNSKQELLLMKEGNTAILGQNGGRM IFDNMGNIIDKIALLTELDRLRLFYGFARFDLDQCCGLGTAAGSTSSSIFLYPYDDPN APTDEGKDDDSWIISHSGLLKLIVTQVGDSKIILCDQDGIAHALTTTHHTNSSRERRR LNLDPSRLDPDAFGETRFLNNFANTRSFGDVAGKPYGISSEPDIFSFLIGNTLRLPRS ERSKLPFNGDECFLALVTDGITNKLADQEVVDLITSTVNSWGLKKATPQFVADETIKF IQAIASKHSDNATCVVVRLSNWGNWPNVDRTGLQRETKLMNAQSNETKLN SPAR_C01260 MNNGSGRYLLTPPDDLHPYVPSSKRQEQVYPDFKPWEHTAAEDQ ILVNFVAKGFYHTPMVNFESISARSSVHESLVTQSNLLSQQFDKIIKIREDHINKIPS NSTTTLHGPGFQLPNRITLTDHRKETWLHELSSSHASLVKIGKFIPHGLKRRQVIEQC YLKFIPLKRAIWLIKCCYFIEWKSNHKKKRSNSAGVDDAISMHLLKDWTDTFVYILEK LIFDMTNHYNDSQQLRVWKRQISYFLKLLGNCYSLKLINKEIFHHWLVEFINKMENFE FLPLSLHILMIFWNDICQIDTNSPAAATTTSNQKEPFFLVTKITDMLLHKYYIVSSSK SMINDENYIINDIKKNNKIKLNILKILSSLILKIFQEQSLEVFIFPTSNWEIYKPLLF EIVSNADTTPNSDMKKKLELISYRNESLKNNSSIRNITMSASNANDFQLTIVTCKQFP KLSCIQLNCIDTQFTRLLDDNPTEFDWPTYIDQNPLTMHKIIQLILWSIHPSRQFDHY ESNQLVAKLLLLRINSTDEDLHEFQIEDAIWSLVFQLAKNFSAQKRVVSYMMPSLYRL LNILITYGIIKVPTYIRKLISSGLLYLQDSNDKFVHVQLLINLKISPLMKSQYNMVLR NVMEYDVKFYEVFNFDQLVEITEQIKTRILSNDMIDLQLLKTPLSIKIMVAEWYLSHL CSGILSSVNRTVLLKIFKIFCIDLEVFHHFFKWIEFIVYHQLLSDIESLEALMDILLC YQKLFSQFINDHILFTKTFIFIYKKVLREKDVSAYNVTSFMPFWKFFMKNFPFVLKVD NDLRIELQSVYNDEKLKTEKLKNDKSEVLKVYSMINNSSHTVGQSWNFPEVFQINIRF LLHNSEISDATTSKLFQKARNNVMLLIATNLKEYNKFMSIFLKRKDFTNENLIQLISL KLLTFEVTQNVLGLEYTIQLLPMNLKNNDNSYGLFLKYHKEQFIKSNFEKILLTCYEL DKKNRGNKCETNYYEILLKILITYGSSPKLLATSTKIIILLLNDSVENSSNVLEDILY YSTCPSATDLNDIPLGSGQPGNGSVVANNDNSDDDDHTVDEIDQVEYYSMMDFANLWI FQAFTCFCIEKITKNNEPAIVIEELKNFIFQIIEITNSNDLCSQIFDRLKDMQIIEMI TQIVEKDFCTTCLQINNQKMEDNYIVVVIEIITSLSKRFQRETSGMIVISTENYHLLI KIIGQLSDLNEGNLSKKEIQIDALLKIFTFHQDSIFQRIIADLTTDKTASPFIDSMCK LFDKISFNLRLKLFLYEILSSLKSFAIYSSTIDTPAFHTSGKIELPKKLLNLPPFQVS SFVKDTKLHGGDYGEEEGEEDAEQEESFSLELGIGVVEMTHEDEQKWLIYDKKDHKYV CTFSMEPYHFISNYNTKYTDDVATGSTDTAAFNDSCVNLSLFDARFERKNPH SPAR_C01270 MITPKATYDSVAKFQKTDLHQDLDYIVLQQRRTQLETLIIERES FVKNLCSLFHKIQKTKNYQEFVDVLAENRDLLRKVFTVENGFQKQRWITDDDIPQIDW NKFALDINAYIAENDQLLALYEDGLL SPAR_C01280 MLYYRPAMRMAVRPLQSVRFQSSYTSITKLTNLTEFKNLIKQND KLVIDFYATWCGPCKMMQPHLTKLIQAYPEVRFVKCDVDESPDIAKECEVTAMPTFVL GKDGQLIGKIIGANPGALEKGIKDL SPAR_C01290 MTASVSNTQNKLNELLDAIRQEFVQVSQEANTYRLQNQKDYDFK MNQQLAEMQQIRNTVYELELTHRKMKDAYEEEIKHLKLGLEQRDHQIASLTVQQQQQQ QQQQQVQQHLQQQQQQLAAASASVPVAQQPPATGSATATPAANTTTGSPSAFPVQASR PNLVGSQLPTTTLPVVSANAQQQLPQQQLHQQQLQQQQPPPQVSVAPLSNSAINGSPT SKETTTLPSVKAPESTLKETEPENNNISKMNDAGSATTAITTTATEPEIKPKEEEAGP ASLHQDHYLVPYNQRANHSKPIPPFLLDLDSQSVPDALKKQTNDYYILYNPALPRDID VELHKSLDHTSVVCCVKFSNDGEYLATGCNKTTQVYRVSDGSLVARLSDDSAANNHRN SVTENNTTTSTDNNTMTTTTTTTITTTAMTTAAELAKDVENLNTSSSPSSDLYIRSVC FSPDGKFLATGAEDRLIRIWDIENRKIVMILQGHEQDIYSLDYFPSGDKLVSGSGDRT VRIWDLRTGQCSLTLSIEDGVTTVAVSPGDGKYIAAGSLDRAVRVWDSETGFLVERLD SENESGTGHKDSVYSVVFTRDGQSVVSGSLDRSVKLWNLQNANNKSDSKTPNSGTCEV TYIGHKDFVLSVATTQNDEYILSGSKDRGVLFWDKKSGNPLLMLQGHRNSVISVAVAN GSPLGPEYNVFATGSGDCKARIWKYKKIAPN SPAR_C01300 MDPLTVYKNSVKQQIDSADLLVANLVNENFILSEKLDTKSSEIK QLQKQIDSLNAQVKDLKTQASKQGEDSGVIKDLYEYLCNVRVHKSYEDDSGLWFDISQ GTHSGSSSDDYSIMDYKLGFVKGQAQVTEVIYAPVLKQRSTEELYSLQSKLPEYLFET LSFPLSSLNQFYNKIAKSLNKKREKKEETE SPAR_C01310 MVTFNCEVCNDTVPKKNTEKHYYRCPDAYYTCIDCSKTFEDGVS YKNHTSCISEDEKYQKALYKGNKKQKQKQQQQPAAPAKKVQKPVVKKVEKVEKTSNGI ELQKGKSLYKILKTIKDKDAKKIFLKNLVVDSEGQIRYANE SPAR_C01320 MALEPIDYTTHSREIDAEYLKIVRGSDPDTTWLIISPNAKKEYE PESTGSSFHDFLQSFDETKVQYGLARVSPPGSDVEKIIIIGWCPDSAPMKTRASFAAN FATVANNLFKGYHVQVTARDEDDLDENELLMKISNAAGARYSIQTSSKQQGKASTPPV KKSFTPSKSPAPHSEKAPAKAPSPAPAAKISSPVNDNNDDDDWNEPELKERDFDQAPL KPNQSSYKPIGKIDLQKVIAEEKAKEDPRLVQKPTVAGSKIDPTSDIAHLKNESKLQR DSEFNSFLGTTKPPSMMESSSKNNDDKVIKGFRNEKSPAQLWAERKAKQINGNVESKA EAPKPEVPENESEGEPDVKDLKSKFEGLAASEKEEEEMENKFVPPPKKSEPTIISPKP FSKPQEPVKAEETQQLKTDYKKIGNPLPGMHIEADNEHEPEEEDDDWDDDEDAALQPP LPSRNVASELPAQKEEPEQEEVAPSLPSRNSIPSPKQEEAPEETSEQEGEEEEQPAPQ LPSRGSAAPPPPPRRATPEKKPKENPWATAEYDYDAAEDNELTFIENDKIINIEFVDD DWWLGELEKDGSKGLFPSNYVSLGN SPAR_C01330 MTPMNSFASLGLIYSVMNLLTRADAQIVLYQNSSASPISTSVSS SLIDFYDTSSTDNLQYSSFNSYVQPSINSFALSSPSSSFEVPTTTSSSYAISSLFTAS DTFSSYFDVSHGGASSSISRSAASSEISSSTLFLTAQPHKTSHSSSSLEPSAAASSSA SVPFSTSLIDPSQSRTLLNSAKSNTLSSTTNFASAAIAGSISPNDSKSLEATATTTLS DVPSSSSDNSNQTSLPNLSEPLSSFSSGLTFSSTIQATPNGLASKTHSTLADTASSLP LTLKLSSMTSTSDPDASSYNSTGTSSKTSGNPAIFTLDSIDPYSSLVQSLSSLSIAPS LFTATSEYSSVPSADLDQASKRETANFTASITSTPLGATVPTSLVDSMSSAGSTASSF GEISTANFSVEGISTYVTVPTASESSQNQDWSSSTLPLSQTTWVVINSTDTQGSITST TSPAYISTATKTVDGVVTEYVTWCPLTETKSQTAEISSSMSSVPQASSFGSSSISSSN STTLGASNYITVSTASESSQNQDWSSSTLPLSQTTWVVINSTDTQGSITSTTSPAFIS TATKTVDGVVTEYVTWCPLTETKLQTAEISSFTISATETSWSSSTLETSTLQLSDSIF THSTAFNGTETIDGHRMTETSSATEPIYFSGTQDSLYLSASETNPVSSFSSYPIFSST RSSTTIGPNSTVASGSTSNHLSASVSNPTKTSQYTSPSENTFTAFSFDSTETVAAMSD THKRSSSDNYSWATTQLKTSGAQTFLLSTVTTTTNGAVTEYTTWSPATSVAYTTSVSY KTLVLTTEVCSHSECTPTVITNVITTSSTIPVLSTSSAIVLSSAVSKSTENSAVSEAT TSSQVSATSGSTTVSHYSAPSHVSTASEATTSSHVSVASEDTTSSQVSATSGSTTVSH YSAPSHVSTASEATTSSHVSVASEDTTSSQVSATSGSTTVSHYSATSHVSAASEATTS SLGTRKFTTTGSSLLPALSTEMINTTVLSRKTLIISTEVCSHSKCIPTVITNVVTSKV TPSNGHSSQTQQTEAVEVTLTSRQTVILSTEICSDSICTPTTVTSVQMRSTPSLPLTS SMSSSSLAPTKKSFLEASSVTFTSSVSTQSLPLAVISSEKSSPVSASQSFSTALKSTK VSTSSDVTSKNKKPGSPTSLHNSSSGYSIPSSSTSLQYSLSTATTTINGIETVYTTWC PLTEKSTVATPTQSFCSSDRCGSSSKSSASLSSASIQYTLSTATTTISGLKTVYTTWC PLTSKSSVVVATQSACNGENCGQISSTSKVGAISSALEISTFIPSGPSTASESNFGHS FSADTKSICSGTECTQLAPMTSFSSAFTVVFPPFASTSSSPLSTTASPTLTSTLTSIS SLPPSSSISASSSSSASSSSTSLSSLAAASSTSTTATKASSSTFITSFLSLPPTSSLS LSPMSSSIFVSQSSSSIFVGQLSSSSLPLSPSASTSPIIGTVPVSNPTTSVTTQTCTE SQCKQEVSTVCTRSDCKEVTSTSTSLPTTTTDVITCTESQCQETKSSSCEGPSCKVSE THESSTTVSACSGEGCEPSATSQPHSQYATVTSVIAPSAITTTSVEVHSTESTVSITT IKPITYTSSGTKGELITITSSSQTVIPSVTTIITRTRVAITSTPKPTTMTYVTQLLSS SGVTNSLIGAASSTWITTPVVSTYAGSASRSLFSKFFLTILMVVSFI SPAR_C01340 MPLFLVLKATLSENVIKVSIENTNESRAEFAFDLQCTSCRELHD SKVMINTFEEHAMPASKGSASFLMKCKFCSKELSVSLSTFENEFLTDQSDDKWAKIKD VRKKHGLSKVKEDSFIPLSLDCRGCELVKFYPDNITFETSLSSGKVMACQLEDNEWYD YDDDSGEEVTMTEFSSSIIKGK SPAR_C01350 MTQQEYRSPSQRLSKGRSMSLPKIFARNLRSLQTNAPTGKDINC NCSSFNSFSSSASASSNSQISMTYNENKHDLPIPFPLHVECDDSWSSSKINKLKSMFH HNRSKNSGITDVSDSEKGTHQREHQSTTRVGQSQSSINDERNVLNTTKSTLISNEAIC STPNEISGSSSPNAELFTFDMPTDPSSFHTPSSPSYIAKDSRNLSNGSLNDINENEEV RHFHRKVEESCNVSPLAHLSLSNSPTDPLGGKNGNKRDQIPIKTTPRLRRAASEPFDT AKDGLLREDFLALKQPPNLGDIVEPRRSRRLRTKSFSNKFQDITVEPQSFEKIRLLGQ GDVGKVYLVRERDTNQIFALKVLSKHEMIKRKKIKRVLTEQEILATSDHPFIVTLYHS FQTEDYLYLCMEYCMGGEFFRALQTRKSKCIAEDDAKFYASEVVAALEYLHLLGFIYR DLKPENILLHQSGHIMLSDFDLSIQATGSKNPTMKDSTYLDTKICSDGFRTNSFVGTE EYLAPEVIRGNGHTAAVDWWTLGILIYEMLFGCTPFKGDNSNETFSNILTKDVKFPHD KEVSKNCKDLIKKLLNKNEAKRLGSKLGAADIKRHPFFKKVQWSFLRNQDPPLIPALN NNGCELPFILSCNKNSRKNSVSKQEIQMFCEKVANDDEIDEADPFHDFNSMSLAKKDH SILTYSENHTYGKILYKSTCTRSRHNSSHRNFFKDIIPEL SPAR_C01360 MVDIMNLNWCSWKPVVVRIKIDLSSPLTSMEGQPTISRFFKKAI KSGLTQKQEHEVAVGNDAGSESICLDTDEEDNPPPIVGPTVISDGISLNDGVLFKSSK YSEKANSTSATSSNIGFAKKLDRIMKRRVDEDIKSDKEEENVEEAEKKKAKKPTITKL TPLDKQVKDLKMHHTDKVLVVRVGYKYKCFAEDAVRVSRILHIKLVPGKLTIDESNPQ DCNHRQFAYCSFPDVRLNVHLERLVHHNLKVAVVEQAETSAIKKHDPGANKSSVFERK ISNVFTKATFGVNSTFVLKGKRILGDTNSIWALSRSVHKERVAKYSLISVNLNNGEVV YDEFEEPNLADEKLRMRIKYLQPIEVLIDTEDLPLHVAKLFKDISCPLIHKHEEDLED DVVQAINVMNETIKLSPSLIRLVSELYSHMAEYNNEQVMLIPSIYSPFASKVHMLLDP NTLQSLDVFTHDGGKGSLLWLLDHTRTSFGFRMLREWTLKPLIDICKIEERLDAIECI TSEINNSIFFESLNQMLNHTPDLLRTLNRIMYGTTSRKEVYFYLKQITSFVDHFKVHQ SYLSEHFKSSDGRISKKSSLLFRLFSELNELLSTTQLPHFLTMINVSAVMEKNSDRQV MDFFNLNNYDHSEGIIKIQRDSESVRSQLKEELAEIRKYLKRPYLNFRDEVDYLIEVK NSQIKDLPDDWIKVNNTKMVSRFTTPKTQKLTQQLEYYKDLLIRESELQYKEFLNKIT AEYTGLRKIILNLAQYDCILSLAATSCNVNYVRPTFVDGQQAIIAKNARNPIIESLDV HYVPNDIIMSPEDGEINIITGPNMGGKSSYIRQVALLTIMAQIGSFVPAEEIRLSIFE NVLTRIGAHDDIINGDSTFKVEMLDILHILKNCNKRSLLLLDEVGRGTGTHDGIAISF ALIKYFSELSDCPLILFTTHFPMLGEIKSPLIRNYHMDYVEEQKPGENWMSVIFLYKL KKGLTYNSYGMNVAKLARLDKGIINRAFSISEELQKEAINEDALKLFSYLKRILKNGD MTARDKLSKLLSLDIH SPAR_C01370 MLSATYSDLNTASNIETSKGKQAAQILIAQISLLFTTLNNNNFE SVEREIKHILDRSSVDIYIRVWERLLTLSSRDILQAGKFLLQENLLHRLLLEFAKDLP KKSTDLIELLKKRTFDNQGFQKQTGITLSLFIDLFDKSANKDIIESLDRSSQINDFKT IKMNHTNYLRNFFLQTTPETLEPNLRDLLHSLEGESLNDLLALLLSEILSPGSQNLQN DPTRSWLTPSTILDATNCGDVIARSINSVQPNQINWNRVFNLMSTKYFLSAPLKPTTA SLSCLFAALHDGPVIDEFFSCDWKVIFKLDLAIQLHKWSVQNGCFDLLSAEGTRKVSE SIPNTKRSLLYLLSIASLNLELFLQREELSDSPMLAYFQECFFEDFNYAPEYLVLALI KEMKRFILLIENRTIIDEILITLLIQVYNKSPSSFKDVISTITDDSRIVDAAKIIINS DEAPIANFLKSLLDTGRLDSVINKLPFNEAFRILPCARQIGWEGFDTFLKTKVSPSNV DVVLESLEAQTKMTDANTPFRPLKTFDLFAFHSLIGVLNKCPLDVLQLRRFESIEFSL LIAFPRLINFGFGHDEAILANGDITAINNDIEKEMQNYLQKMYSGELAIKDVIELLRR LRDSDLPRDQEVFTCITHAVIAESTFFQDYPLDALATTSVLFGSMILFQLLRGFVLDV AFRIIMRFAKEPPESKMFKFAVQAIYAFRIRLAEYPQYCKDLLREVPALKSQAQVYQS IVEAATLVNAPKERPRPAQEMIPLKFFVVDEVSCQINQEGAPKDVVEKVLFVLNNVTL ANLSNKVDELKKNLSPNFFSWFSTYLVTQRAKTEPNYHELYSKVIVAIGSGLLHQFMV NVTLRQLFVLLSTKDEQAIDKKHLKNLASWLGCITLALNKPIKHKNIAFREMLLEAYK EKRLEIVVPFVTKILQGASESKIFKPPNPWTVGILKLLIELNEKANWKLSLTFEVEVL LKSFNLTTKSLKPSNFINTPEVIETLSGALGSITLEQQQTEQQRQIMLMQQHQQQMLI YQQRQQQQQRQQQQQQQHHMSANTITDQQTNFGGEGSISHDNPFNNLLGSTIFVTHPD LKRVFQMALAKSVREILLEVVEKSSGIAVVTTTKIILKDFATEVDESKLKTAAIIMVR HLAQSLARATSIEPLKEGIRSTMESLAPNLMSLSSSPAEELDTAINENVGIALVLIEK ASMDKSTQDLADQLMQAIAIRRYHKERRADQPFVTQNTNPYSLSLPEPLGLKNTGVTP QQFRVYEEFGKNIPNLDVIPFAGLPAHAPPLTPNMGLSQPQQQQAQMPTQLLTSEQIR AQQQQQQQLQKNRLNQPSHSSQPPVVNVPNPQGGIAAVQSDLEQNQRVLVHLMDILVS QIKENAAKNNLAELGDQNQIKTIIFQILTFIAKSAQKDQLALKVSQAVVNSLFATSES PLCREVLSLLLEKLCSLSLVARKDVVWWLVYALDSRKFNVPVIRSLLEVNLIDATELD NVLVTAMKNKMENSTEFAMKLIQNAVLSDDPILMRMDFIKTLEHLASLEDENVKKFIK EFEDTKIMPVRKGTKTTRTEKFYLVFTEWVKLLQRVENNDIITAVFIKQLVEKGVISD TDNLITFVKSSLELSVSSFKESDPTDEVFIAIDALGSLIVKLLILQDFKDDTRRDYIN AIFSVIVLVFAKDHSQEGTTFNERPYFRLFSNILYEWATIRTHNFVRISDSKTRQELI EFDSVFYNTFSGYLHALQPFAFPGFSFAWVTLLSHRMLLPIMLRLPNKIGWEKLMLLI IDLFKFLDQYTSKHAVSDAVSVVYKGTLRVILGISNDVPSFLIENHYELMNNLPPTYF QLKNVILSAIPKHMTVPNPYDVTLNMENIPSCKELPEVFIDPVIDLHSLKKPVDNYLR IPSNSLLRTILNSIYKDTYDIKKGVGYDFLSIDSKLVRAIVLHVGIEAGIEYKRTSSN AVFNTKSSYYTLLFNLIQNGNIEMKYQIILAIVEQLRYPNIHTYWFSFVLMNMFKSDE WNDQKLEVQEIILRNFLKRIIVNKPHTWGVSVFFTQLINSSDIHLLDLPFVQSVPEIK LILQQLVKYSKKYTNCEQDDKSSTVDRRQTPLQSNA SPAR_C01380 MVSLFRRGKAPLTKEGPTSKKPPNTAFRQQRLKAWQPILSPQSV LPLLIFVACIFTPIGIGLIVGATKVQDLTIDYSHCDTKASTTAFADIPKKYIKYHFKS KVENKPQWKLTKDESGEQSCELQFEIPNDINKSVFIYYKLTNFYQNHRRYVQSFDTKQ ILGESIKLDDLDTSCSPIRSRDDKIIYPCGLIANSMFNDTFSQVLSGIDGTGDYNLTN KHISWSIDRHRFKATKYNVSDIVPPPNWMKKYPDGYTDENLPDIHTWEEFQVWMRTAA FPKFYKLALKNESAPLPKGKYQMNIELNYPISLFGGTKSFVLTTNGAIGGRNMSLGVL YLIVAGLCALFGIVFLVKLIFQPRAMGDHTYLNFHDDENEDYENIHAENTTLREIL SPAR_C01390 MLVPPANFGIAEEGIYRCSKVETLNLSFLETLNLKTAIFIGGQE PSKFFKDFFTRSSIKWIVLRMSDFSAAAVPVKSSSVSNANLYSNNDSTLSLQDEKKKT TANASQNSITVDPVIQEELAYHLTDNDDLMLIKSTCLKRTFKTLLNADNYNVLLIDKT ALVIGILRKIQKWNIASIINEYRLFSGKNRNYFAETFLEIISVDIEQEKDDKTIVNNN AKKYSLENNRTHSIEYKANSGKLIRVNEDDLCREPEVPQRLLTLINQIETKVKNNKVL QVSGVIGDDLKKTSSDLGIFGHRYRLAFNKKENGDYGYYKARGKDDVKIRIPSDPELP DWFKFQRDLWEKENVPEEHHFYREHIFT SPAR_C01400 MRSIENDRSNYQLTQKNKSADGLVFNVVTQDMINKSTKPYRGHR FTKENVRILESWFAKNIENPYLDTKGLENLMKNTSLSRIQIKNWVSNRRRKEKTITIA PELADLLSGEPLAKKKE SPAR_C01410 MDDICSIAENINRTLFSILGTEIDEINLDTNNLYNFIMESNLTK VEQRTLHKNISNNRLEIYHDIKKEKNPKGKSSISPQARAFLEQVFRRKQSLNSKEKEE VAKKCGITPLQVRVWSGFSFSFSFPQQYNFINPGFGFVEWLTNNYAEVRGDGYWEDVF VHLAL SPAR_C01420 MEDKDTTSVNEKEVNENTNPRIIKYDAERRATRTETSKKDKWKN IVTIIASGFALISDGYVNGSMSMLNKVFAMEYGKKNYSSKVSTRVSNAALVGIIFGQF FMGIAADYYSRKSCILVATAILVIGSALCAASHGTTVPGMFWMLTVMRGLVGIGVGAE YPTSTLSANESANEYTTTKRGGILVMVTNLPLAFGGPFATIIFLIVYKICSGTKHLEA IWRTVFAIGCFWPLSVFYFRWKTATTEVYEKGRIRRNIPYFLALKFYWKRLLGTCGTW FMYDFVTFPNGIFSSTIISSVIKDQNNLVKVAEWNLLLGVLAVLGVPIGAYLSDRIGR KYTLMFGFSGYIIFGLIIGCAYDQLKKITPLFIIFYAFMNMLGNAGPGDMLGVISSEA SATAVRGVFYGLSAVTGKIGSVVGVECFQPIRDNLGARWTFIIAAICGLIGVIITYFF VPHSLESDLMKQDVEFHNYLVSNGWTGKMGFDETDEESIVRTIEVEDNFTDCSKKNAE IISVKQIDQS SPAR_C01430 MIAKLPEARQLGMPLDFSAKAQDTFIYYGGKNCESILSPECHAV AYLTKDGGETFTEMLDSAIHCEFAGTLFKYPSNEEMVMCQVKEKSSETRSLVSSVDFF HSDKTTVFENIIGYLSTGGYIIVAVPHENNELRAYVTIDGAEFAEAKFPYGEDIGKQE AFTILGSEEGSIFIHVATNIESGRDFGNLLKSNSNGTSFVTLEHAVNRNTLGYIDFEK VQGLESIILVNIVSNSDKVAENKEDEQLKTKITFNEGSDWNFLKPPKKDSEGKKFSYD SVSLDKCSPHFHGYTERKDIRDTNSSGSALGMMFGVGNVGDRLLPYEECSTFLTTDGG ETWAEVKKGPHQWEYGDHGGVLVLVPENAETDSISYSTDFGKTWKDYKFCGDKVFVKD ITTVPRDSALRFLLFGEAKNMGSGSFRTYTIDFRNIFERQCKFGITSKESADFEYSPL GSHNGCLFGHRTEFLRKTDEKCFIGNVPLSEFSRNVKNCPCTRHDFECDYNFYKAKDG TCKLVKGLSSANAADICKKEPDLIEYFESSGYRKIPLSTCKGGLKLDAHLAPHPCPGK EWAFKEKYPTNTGAYVLVFVTILLVIFFAAWFVYDRGIRRNGGFSRFEEIRLGDDGLI ENNNTDRVANIIVKLGLYLSLITKSAFQRPKAGAAHFSSRLRASFGNRKGPTYSSLLH GQFSNESDGLHQDANDLSSFTDQGSNSEIEQEAARTPEQERTSQTDQPVTSDIPDALP AHKPDSTAARNEDK SPAR_C01440 MILFHALYIIWIFILLPLFSAEEFVPKVTENLIEKISFNLVSFD DSNTSIRLDGWGVVWISFDAGENWETVKEIEERIFKFTVDPFHGQERGFAFICESPKF YITDDRGGSWRALTIPSTEEYLDGDCFITTHPTKKEFIIANCYSYMINADVVDDPSEI YLTDDGESFFKIEPSLEKKNEDDITTSSCDFIKSSKDSDFTGNDASILCLFSNREYDE EYRLTDTYTQLALSSDGGRTFKEFDEFKDKIVNRYKILKSHVVVLTQDDGHNEMSSMD IWISNDASTFQKAQLPTQLRDVEVGKIHEDSIGRIILPISTVFTDEKNDQPASSEILI SDSQGLKFSPVEWTPNYRFGDIRLTSPDFLKGTIFGSFRPSIDHLHRKGKYDGKIARG ETKISVDNGLTWSNLKVVDRENANSFGCDITRPDRCSLQGYFYNLRVSNPSAGIILMT GSVGDGSEFDRKNQKTFISRDSGLTWRLAHNSSGLYATGDLGNIVVYIPYPSNKDGDV QSKLYFSLDQGRTWSEYELTDGISGICPSKLINTAPDGSGSKFILIGDLVTKASQEGN VSNISYLSGSIVYAIDFSAAFDYKSCEEEDFEDWNLADGKCVNGAKYKYRRRKQDARC LVKKAFKDLSLHEIPCDSCSASDYECSFEFVRDTNGQCVPDHNLIALSDICDKSKSKS VLVEPLQLIKGDKCKTPMKIEPVDIPCDEISKEGSNGNEIVTAGNKFDFKIQFYQYFD KLRIESFGHAEFDEICTFIPL SPAR_C01450 MSITIPETMKAVVIENSKAVVKEGIPIPELEEGFVLIKTLAVAG NPTDWAHIDYKLGPQGSILGCDAAGQIVKLGPAVDPKDFSVGDYIYGFIHGSSVRFPS NGAFAEYSAISTAVAYKSPNELKFLGEDILPAGPVRSLEGAATIPVSLTTAGLVLTYN LGLNLEWEPSSPQRNAPILLWGGATSVGQLLIQLANKLNGFTKIIVVASRKHEKLLKE YGADELFDYHDIDVVEQIKQKYNNIPYLVDCVANQDTLQQVYECAADKLDATIIELKN LTEENVKKENRRQNITIDIIRLYSIGGHEVPLGNIILPADPEARRAATKFVKFINPKI NDGQIHHIPVKIYKNGLSDVSHMLEDIKHGKNSGEKLVAVLN SPAR_C01460 MVKLTSIAAGVAAIAAGASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGISPDQVTRMITGVPWYSTRL KPAISKALSKDGIYTIAN SPAR_D00010 MAAIKDYKTALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNAVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTLLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_D00020 MVKLTSIAAGVAAIAATVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYS TRLRPAISSALSKDGIYTAIPK SPAR_D00030 MKNLPFLINRRKENTSNSDLYPGMAKLHEPNWIEMDDHTKKDNL DIVHLEFSPDPITPSDNNKVVAEVFDATEDAQEADESERGMPLMKALNAYPKAVAWSL LVSTTLIMEGYDTAILGAFYALPVFQRRFGSQDDQTGEWEIPASWQIGLTLCYMAGEI VGLQLTGPSVDLVGNRYTLIIALFFLTAFTFILYFCNSLGMIAVGQALCGMPWGCFQC LTVSYASEICPLALRYYLTTYSNLCWLFGQLFAAGIMKNSQNKYADSELGYKLPFALQ WIWPVPLALGIFFAPESPWWLVKKGRLDEARRSLGRTLSGKGPEKEILITLEVDKIKV TIEKEKRLTSREGSYSDCFKDKINRRRTRITCLCWAGQATCGSILIGYSTYFYEKAGV SVETSFTFSIIQYCLGICATFLSWWASKYFGRYDLYAFGLAFQTIVFFIIGGLGCSNT HGAKMGSGSLLMVVAFFYNLGIAPVVFCLVSEMPSSRLRTKTIILARNTYNLVSIACS VLILYQLNSKKWNWGAKSGFFWGALCFCTLIWAIIDLPETAGKTFVEINELFKLGVRA RKFKSTKVDPFLVKESFRNVSHNDPKEDMEASIPDGEQSTPSLMN SPAR_D00040 MSQNSNPAIVLRKVGDIAIEQRPIPTIDDPHYVKLAIKATGICG SDIHYYRSGGIGKYILKAPMVLGHESSGQVVEVGDAVTRVKVGDRVAIEPGVPSRYSD ETKEGRYNLCPHMAFAATPPIDGTLVKYYLSPEDFLVKLPDSVSYEEGACVEPLSVGV HSNKLAGVCFGTRVVVFGAGPVGLLTGAVARAFGASDVIFVDVFDNKLQRAKDFGATN TFNSSKFSIDKAQELANEVEKLLGGNHADVVFECSGADVCINAGVKATKVGGTMVQVG MGKNYVNFPIAEVSGKEMKLIGCFRYSFGDYRDAVNLVSTGKVNVKPLITHKFKFEDA AKAYDYNISHGGEVVKTIIFGPE SPAR_D00050 MLSELSSVGCNADIPNSAGADVHVQPHVEKEWSDGLDDNEVING DNIEPPKRGLLGYLVIYLLCYPVSFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTG EYYLSNVRMGLLVAMFSVGCSIGGVAFARLADTLGRRLAIVVVVVVYMIGAIIQISSN HKWYQYFVGKIIYGLGAGGCSVLCPMLLSEIAPKDLRGGLVSLFQLNVTFGIFLGYCS VYGTRKYYNTAQWRIPVGLCFLWALIIIIGMLLVPESPRYLIEREKHEEARISIAKIN MVLPEDPWVLKQVEEINAGVLAQRELGEASWKELFSVKTKVLQRLITGILVQTFLQLT GENYFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAA MMACMVVFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAE SFPSKVKSKAMSISTAFNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFF LPETIGLSLEEIQLLYEEGVKPWESASWVPPSRRGLFSRETKAQKKDWKEILKFSKNS N SPAR_D00060 MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDMAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEGKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLNAIKKATDYVLADPVKAWKEYIDFKPQLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRKEGTFK RLALPA SPAR_D00070 MTELFKPAPEPPTELGHLRVLSKSAGIRVSPLILGGASIGDAWS GFMGSMNKERAFELLDAFYEMGGNFVDTANSYQNEQSETWIGEWMKSRKLRDQIVIST KFTTDYKGYDVGKGKSANFCGNHKRSLHVSIRDSLRKLQTDWIDILYVHWWDYMSSIE EVMDSLHILVQQGKVLYLGVSDTPAWIVSAANYYATSHGKTPFSIYQGKWNVLNRDFE RDIIPMARHFGMALAPWDVMGGGRFQSKKAMEERKKNGEGLRTVSGTSEQTDVEVRIS EALANVAEEHGTESVTAIAIAYVRSKAKNVFPLVGGRKIEHLKQNIEALSIKLTPEQI EYLESIVPFDVGFPKNLIGDDPAVTKKPSTLTSMSARISFDD SPAR_D00080 MNVTGENPLLFKCGTKGYINQTYTPKELYDCGVTQGKEIIKEKN PNFLVFYHTFLTQQPDPSPQTFTCGTMGYTNSSYDSYDFYSCGYMQGKGTETNAGIHN PRPSYSLIKFIILFMLVIYTIA SPAR_D00090 MIQNSAGYRSVNTASSMTVQVKNQKKICARCNKPVVQDSQRTKT TLKALGKYYHESCFTCQDCQKPLKPKYFPYQIDRTSEPILLCQYDYFKRHNLLCHVCD TPLRGLYYTAFGYRYDEEHFSCTICATPCGVKKCFMYENQLYCKYHFLKYFSKRCKGC GFPISDQYIEFPKGEEIHCWHPECYGIHKYWHVNLAAETVGLQYLPKLEYNPNAGDKD INPTAYELEKQMQAFNFILSKTWSVLYRFEEEAASCISDMFQYLTSNDQLKGIESTGL LVLKIDCLFRGLDTFNFSTNKNMSVNSELECTENNVIAVNKYSKFPKNLSTKIMIYLQ LLRKLGTENKNETITISSFMSVITGLAHFLKLLTRFGLYTALENNKVTRSVNPLLRFL REVEKNELFENNPFQYIKTPVNATDSCAGCNKYIQEECIQFYEHRWHIACFTCCSCHK NINPRSLTDPTFNKEKKRILCSHCSIDDPASVPGFKFVTKLAQLIFLLKIALVKSRTV MLKSRASNKVGRNSLQSTMLKEQTYIRTLNDIKRLRSRRESVRVTHNKQQARKSVILE TAETDLNDPRKQGDSKNLVIQTDDLSSSQQVSTRENVFSNTKTLTLDDISRIVAAEQA RELRPNAFAHFKKLKETDDETSNIVPKKSGVYYSELNAIELSTIRAISLSLLAGKQLI SKTDPDYNNLVSMVFSNEKQVTGSFWNRMKIMMSMEPKKTITKTVFGTPLDVLCEKWG VDSDLGVGPVKIRIPIIIDELISSLRQMDMSVEGIFRKNGNIRRLRELTATIDSNPTE APDFSKENAIQLSALLKKFIRELPQPILSTDLYELWIKAAKIDSEDEKQRVILLIYSL LPTYSRNLLEALLSFLHWTSSFSYIENEMGSKMDIHNLSTVITPNILYLRHKEISNDN VPDEPESGLVDSFAQNKGENYFLAIEIVDYLITHNEEMAMVPKFLMNLLKDVQLQKLN DYESINHFISTIMQDKTIDYSECDIKTPVTVKDSTTTVIQGEVNK SPAR_D00100 MNHWLAFLNKPESLKEQNSDCDQQEEMRNVTGGTLTKSPENRPF RGRRSQSWIDSEAPAPKSTEKTNIQGNVSSDIISKLSNRRSRRNRSESWAGSESSSPS GNISTLKNPTEGNALKSPNKFLQRGGLPTVGIGSQALSPAGKPSTLGNVSPGKFTTYK VQNNTEVNKFSSTPSKLPINSHKPETVTNDVHYVVSSRNLEENIDVAHVENVFCSSKT SDEEQSEYMKLREIRLSSSSYGGSISKENDLPNILEELLSNNKEIKALRKRLDEKDDR IQEPVELKDLNDAKLRKIEHLQKVLHDERKAASKRLHIVQDQFRKEITKIREEEVSKF QNKNASKEERSNVVSGVTRCKAFSQRNILVSELYRKQRQILSLQQENDNFLKDIKESK DSIVKIQSEVEILKSNLQLSQDENKKLKDNGSFYEKRLNDVYSYMQNLSLFEKDFGKF ILEEMKHGHAPSMFQSRFTKFYPDFQDIKNFENTEQYKQLKGKIQLLEKNERIRLEKI ISVFKLISERLHFMQQQHGQKIQYLQKEALTKEQQFRLEKRRWHDILNLKEENSQKLK SELSEKLILSEKIQKNAEDKLNDYMNEHKEIVEKLQNQSLVIGKLNAQIHESDNTHRK ITDELAGKQSEILKLKETIRSLKEDVHQEKLNLKKLYGDPFTELNFETVGKSFPHITK EKYNSLSLDILTDLTYVQSQNLIKNLLIVLDIPLKTFLKIVPTIVIQLRCELTLLTKF ANDLNLKVFGKQLDFKSRRKVAMNEFLNNHDIAEVKHPLEYDLQALFKYFFS SPAR_D00110 MTKSDLLFDKGNDKHAEFLVFFGTFVDTPKLGELRIRERTSVGV LGGIIRFINRNSFDPIKDCLAYDSSLSPEDVTVIDTIGEDKTQHSTFYFPGFIDTHNH VSQYPNVGVFGNSTLLDWLEKYTFPIEAALANENIAKEVYNKVISKTLSHGTTTVAYY NTIDLKSTKLLAQLSSLSGQRVLVGKVCMDANGPDYYIEDTKTSFESTVKVVKYIRET LCDSLINPIVTPRFAPSCSRELMQQLSKLVKDEDIHVQTHLSENKEEIQWVQDLFPEC ANYTDVYDKYGLLTEKTVLAHCIHLTDAEARVIKQRRCGISHCPISNSSLTSGECRVR WLLDQGIKVGLGTDVSAGHSCSILATGRQAFAVSRHLAMKKTDHVKLSVSECLFLATM GGAQVLHMDDTLGTFEVGKQFDAQVIDTDAPDSNVDMFHWQLQGEDEMQEQMQDIYTN PPLLTKEDIIAKWFFNGDDRNTTKVWVAGHQVYQV SPAR_D00120 MLGLKGCLTILTGYAIAVCALFSSRGRNPSLTDWEKLKDQKILN IDSFGLTGHHLLEFFQDNLAFLGFSEGSYHHKHVSLYYDVFKEYILRRASSKKCLPVE SAIAKLNKDVNPMPVHSHNDYWRKLPLFEGLAYGARSTEADVWNIDEKILAVGHNEAY LDPVELTLDKLYTDPLLEILDEVNCQDSDSDRKNGVFFNSPETSLFFYIDFKSDDNEL TYKLLMQQYLKSLIDSGYLTYYDMKKNEIVWRPVTIVLTGNYPTSLEILDNGNDDGYF ESYQRFAFLDAPLLSLEPKYSKLSVVTTVSFNQLMKHCGSDSWKVSLRGHMDSNEVTC AKSLIDDAHALKLKTRIWGAPTWPSNLVKTISHQIVHDLGSDLLNLDDLYMASSLI SPAR_D00130 MTAQQGAPIKITNKEIAQEFLDRYDTFLFDCDGVLWLGSHALPY TLEILNLLKQLGKQLIFVTNNSTKSRLAYTKKFASFGIDVKEEQIFTSGYASAVYVRD FLKLKPGKDKVWVFGESGIGEELKLMGYESLGGTDSRLDTRFDAAESPFLVDGLDKDV SCVIAGLDTKVNYHRLAVTLQYLQKDSVHFVGTNVDSTFPQKGYTFPGAGSMIESLAF SSNRRPSYCGKPNQNMLNSIISAFNLDRSKCCMVGDRLNTDMKFGVEGGLGGTLLVLS GIETEERALKISRDYPRPKFYIDKLGDIYTMTKQ SPAR_D00140 MSTIPSEIINWTILNEIISMDDDDSDFSKGLIIQFIDQAQTTFA QMQRQLDGEKNLTELDNLGHFLKGSSAALGLQRIAWVCERIQNLGRKMEHFFPNKTEL VNTLSDKSIINGINIDADDEEIKIQVDDKDEDSIYLILIAKALNQSRLEFKLARIELS KYYNTKL SPAR_D00150 MSKILFCKSKVFVHPTSDTRDNVAGFLLLTLEPNKLSHQAILQY IPESGLSTLEISKLLKHETKVGMCPTSTSFVVENSINFSNLINTSSGQAFEISLSQIY CIQFRPPSPNGWYVGSLVIYPLTEQFTGFQPPVLFFHDQLCPSTTDKLKRLRKSMNPF DDSDELYWGGVDLRNKINELMELKKSNLEPEFWLVNPSLNDLRNFVSKDLLESYNNAK KNSFEPATAGVTLNEKFQEWKWNVMSKIADVTTKSTNFIDSWLTNNSPIQKSQIDNEY LQKLLNNEKVKQIEQDYDSARVYLANWSLGVKQEAERYQKQNKLFDSYRNNIFNDLNL TDELNDTEINNALQRQFPLTEAKWNSLWDENDGRLRVTVNEVKDFIFHGGLENDSLRG KVWGFLLEIYPWDSSQDERIQIDQTLATEYDQLKLTWSKDFLQFDDEDEEEYWNDQLF RISKDVRRCDRNLGIFQYNTTDGLPPPQEPPANENNNTDSEPTNDESDDADDEVKNPH LIHLQNILITYNVYNTNLGYVQGMTDLLSPIYVIMKDEWKAFWCFTHFMDIMERNFLR DQSGIHEQMLTLVELVQLMLPDLSEHLNKCDSGNLFFCFRMLLVWFKREFEMEDILHI WENFWTFYYSSQFQLFFMLAILQKNSQAILQHLNQFDQILKFFNELNGKLDWNDLMVR AELLFKKFEKMMHVMERDLQNVSSSSSSSSTNVLPCQSERLTLLLSKKPIIKHEGQRS KNSVK SPAR_D00160 MYQGPPQPPPQAVPMPYIVNNSTPYPNGNINFPPTAQQNIPPTV YPQQVPFPGQPQGGQFPQPSTDQQAFNQVPQVTQSFHSSAQNANATGGANGGSMPMYT PVASFPHPMTTAATATAPMPQSASHSSLSMLRVPYHVRKYLSNLAMLKLYEIINEVNT AMGKIGLLSFWTELISDIFTPDAVIRYSKKSMTDYREFEFIIPVFPVICSTLGRFGIV RMEVKVLQLKTQVLSNSTIFFNCPRVTFAYYYPDGSYITHFAQMKGAFDLDLKINWLD VSMHSFVPDIEWNAVERLLGDETKSAEIEQIFSKLKQEDVKDQANSFAENNGTNVPPN FEAITQLRSYFDVFRNVSVFGTQEGLMRVMQISTVMSTLKNLRKFQIEKNIDSPVTAL SAYIDADKKDIGSEPLHAKRRRNSGISPRTTTLGQNGNSNSNNEELPTSDVNDINKDM TKKKMKF SPAR_D00170 MKRYERDRSPTPDPDIVKGSYSQTSLRSLHELNYRNPAGTSGLG FAGSPQQSVASLSQMRLENLTKDKHWEEVEDFGLEELRDGFFDAAFTKPDSKARSPNS DIDDENGAAKKKLQSTFTKFSQYIWTVIYRPVVHFPRDIRKNGVSIFKFFIAYFIAIV ICVIRPSGKWVGHEFRYFLPIAVLIHHPVRNIGVQLEMTASSIIGASFGLGWSALAWY VSTATKPTANYQGGILFQSLTMALLFAIWLRSVYRRFFYVTTSFSMSIIFTHTVRLAS SKDDLKWQIFWDFGISYLFGLLLSLLVCVCVSPHSGNAELMEHYNECLQTAKAFLMAL VDTELINSKEQIYLAQVKMVKTLNIDLSQGFRDFVNQLTISRFELQSLKSLRNSLTAM ETSLRVLPIAPKIFNDVELKKMYEELEKYRSDSASPSKEASASPQFSGIPSRENTPNA FKPIVPGLLKNEIYINALKASFSKGIFNLILEMIFVLENLSRVLKKYESPNQKNNLDE CAKILSRSHSKLKRKIYKLDVCYKDFVNSSFFSQELLNDEESVDIFLFLRYLRNSARQ LVTVIHDCQILGENIHWRIALPSYPLSRALTRLPKQCVLDEGAGNVLHYFEAKRDVDE IFERVYNTYTSRHKYNKCEEEALMLDSKNDDGKSQDRNKHIISIRAIDHNDFNFHTTQ NPWRFKLWKLSRILSGDECKWTLKVTFCMIFLCLPTWLPESYHWYQEFHCWWAPLTFY LLAHRRYSGNWALVMRRLICGIVGIFWGWAANQSRHFGSPYVICTFAGLIVVPFSINF LVYRNTKSSFTALMCFTVIALEPYSKPDRQYNLTTAGIWKSTWVTGLALIIGILVSIP INWIVWPFRARTELRDSMSSLLAHLGQSYQTVADRYLYRDADDAPTDLTFAFSHIREV RLTQSLEAIRELLKKARHEPIIISNFNPEKYAGLIDSCHFLLSKIIEARISGAFFEIW DQDFDIETTRALLSLRRDSVSSVIFVFYILSNCFRSKNKIPRYLPNPIMSRKKLYHFI KKFSEMKDQSHSNLNSGGNSMEKNLFKKIYQQKASSSGQQQLPLPSVANSSEIDSEKM HWTEVHGIAFARAFTDISEALFQVESCAKDILGEENF SPAR_D00180 MAAAPWYIRQRDTDLLGKFKFIQNQEDGRLREATNGTVNSRWSL GVSIESRNDARNRYVNIMPYERNRVHLKTQSGNDYINASYVKVDVPGQSIEPGYYIAT QGPTRKTWDQFWQMCYHNCPLDNIVIVMVTPLVEYNREKCYQYWPRGGEDDMVRIASQ WESPGGASDMTQFPSDLKIEFVNVHKVKDYYTVTDIRLTPADPRVGPVKTVHHFYFDL WKDMNKPEEVVPIMELCAHSHSLNSRGNPIIVHCSAGVGRTGTFIALDHLMHDTLDFK NITERSRHSVGATEEYTRDLIEQIVLQLRSQRMKMVQTKDQFLFIYHAAKYLNSLSAN Q SPAR_D00190 MAEGVFQGAIGIDLGTTYSCVATYESSVEIIANEQGNRVTPSFV AFTPEERLIGDAAKNQAALNPRNTVFDAKRLIGRRFDDESVQKDMKTWPFKVIDVDGN PVIEVQYLEETKTFSPQEISAMVLTKMKEIAEAKIGKKVEKAVITVPAYFNDAQRQAT KDAGAISGLNVLRIINEPTAAAIAYGLGAGKSEKERHVLIFDLGGGTFDVSLLHIAGG VYTVKSTSGNTHLGGQDFDTNLLEHFKAEFKKKTGLDISDDARALRRLRTAAERAKRT LSSVTQTTVEVDSLFDGEDFESSLTRARFEDLNAPLFKSTLEPVEQVLKDAKISKSQI DEVVLVGGSTRIPKVQKLLSDFFDGKQLEKSINPDEAVAYGAAVQGAILTGQSTSDET KDLLLLDVAPLSLGVGMQGDMFGIVVPRNTTVPTIKRRTFTTCADNQTTVQFPVYQGE RVNCKENTLLGEFDLKNIPMMPAGEPVLEAIFEVDANGILKVTAVEKSTGKSSNITIS NAVGRLSSEEIEKMVNQAEEFKAADEAFAKKHEARQRLESYVASIEQTVTDPVLSSKL KRGSKSKIEAALSDALAALQIEDPSADELRKAEVGLKRVVTKAMSSR SPAR_D00200 MLSENTTILMASGEIKDIANVTANSYVMCADGSAARVVNVTQGY QKIYNIQQKTKHRAFEGEPGRLDPRRRTVYQRLALQCTAGHKLSVRVPTKPLLEKSGR NATKYKVRWRNLQQCQTLDGRIITIPKNHHKTFPMTVEGEYAAKRFIEEMERSKGEYF NFDIEVRDLDYLDAQLRISSCIRFGPVLTGNGVLSKFLTGRSDLVTPAVKSMAWMLGL WLGDGTTKEPEISVDSLDPKLMESLRKHAKIWGLYLTVCDDHAPLRAKHVRLHYGDGP GENRKTRNLRKNNPFWKAVTILKFKRDLDGEKQIPEFMYGEHIEVREAFLAGLIDSDG YVVKKGEGPESYKIAIQTVYSSIMDGIVHISRSLGMSATVTTRSAREEIIEGRKVQCQ FTYDCNVAGGTTLQNVLSYCRSGHKTREVPLIVKRQAVYFGFTDDFQGESTVYGLTIE GHKNFLLGNKIEVKSCRGCCVGEQLKISQRKNLKHCVACPRKGIKYFYKDWSGKNRVC ARCYGRYKFSGHHCINCKYVPEAREVKKAKEKGEKLGITPEGLPIKGPECLKCGGILQ FDAVRGPHKSCGTNVGARIC SPAR_D00210 MSDWKVDPDTRRRLLQLQKIGANKKCMDCGAPNPQWATPKFGAF ICLECAGIHRGLGVHISFVRSITMDQFKPEELLRMEKGGNEPLTEWFKSHDIDLSLPQ KVKYDNPIAEDYKEKLTCLCEDRLFEEREHLDFDGSNLSAASPAAASATPGVTQSREG TPLENRRSATPANSGNASNPQKEKNEAYFAELGKKNQSRPDHLPPSQGGKYQGFGSTP ANLSQERSAGSANTLSLENFQADPLGTLSRGWGLFSSAVTKSFEDVNETVIKPHVQQW QSGELSEETKRAAAQFGQKFQETSSYGFQAFSNFTKNFNGSAEDNSTAANTTHTGYQK IDNTDKTNEQDEDKWDDF SPAR_D00220 MSAASTPPINLFRRKKEYKRGITYTMLLCGPAGTGKTTFANNLL ETKIFPHKYQYGESNASISSNPEVKVVAPTKVVSFNSKNGIPSYVSEFDPMRANLEPG ITITSTSLELGGNKDQGKPETNEDDTVFFNLIMTHGIGENLDDSLSSEEVMSYLEQQF DIVLAEETRIKRNPRFEDTRVHVALYFIEPTGHGLREVDVELMKSISKYTNVLPIITR ADSFTKEELTQFRKNIMFDVERYNVPIYKFEVDPEDDDLESMEENQALASLQPFAIIT SDTRNSEGKYVREYPWGVISIDDDKISDLKVLKNVLFGSHLQEFKDTTQNLLYENYRS EKLSSVANTEEIGPSSTKRQSNAPSLSNFASLISTGQFNSSQTLANNLKADTPRNQVS GNFKENEYEDNREHDPTENEQEMSPVRQLGREIKQENENLIRSIKTESSPKFLNSPDL PERTKLRNISETVPYVLRHERILARQQKLEELEAQSAKELQKRIQELERKAHELKLRE KLINQNKLNGSSSSINSLQQSTRSQIKKNGTYTDLASIASGRD SPAR_D00230 MSLVHGQTNLSESKFLIERAFSSSSENVPLSKEATYPMPTAYSF STVRSNSETNIKKEKPHGFAGEPVMTSMLHNLTMSTGSGDGNNINSLAPHDVDVGPYC LLLRNLPKDITLRECYCIFSLATGVSSIELKRDDREPSNGNEKVVVVKFGSLSLVTHY ANILNSKAEIFGPSFPFRSHIDVVNEQTQFPVSFQEHVSVSTTNSSPKNYQLSSSAQN EIQNQTFNTISYGGTSSSPLGPSAAKIRPSLLSERSLRFSFNDPFGLETVSQRKESVP FLRNSISQHDLSNVTTTSVPAGVPPQKDAGKSLLLLEKDEISESIWNGDELVNNVGNS SYSASLREPPMSSTPVMEWNASSTANIPLFQLSSQENHQSNFLPPSHHSISQDVPHIQ SQPNLNNSGVIHSTTSLPQYHLLNQINGATKTHNVQQNVSNVPSNLELNLQTENNHSQ SSASNGSSIFNNQKANQGFLVHEQDTSTLSQQKECSSTVSASAFSKNNETNVAGSTTI SQADLSLLAKVPPPANPADQNPPCNTLYVGNLPPDATEQELRQLFSNQQGFRRLSFRN KMTSHGHGNGHGHGPICFVEFEDVSFATRALAELYGSQLPHPRPSLNNKGGIRLSFSK NPLGVRGSNSRSKSGYTFNGSYGKS SPAR_D00240 MNMNESTSRDGQSEEEQNNFPFGGKPGSYDSNSNSAQRKKSFST TKPTEYNLPKEQPESTTKNLESKAKNILLPWRKKHNKDSENSHDDADAATSRHTNVTS NVNPTSTDTKSSSGPNATVTTHGYSYVKTTTPTATTEHSKVKTSPSASHEHSNVKTSP TTHEHPKVGAGHSGVAATHAHNNAKSSTSPTAHAHSNVNAGSSGTTSTTYGHSNVKNT SPTTTHEHSKMNTGTSATATTHGHANIKKTYPVTHDHSNSSTDPKSTAATRGHSVSSS GPKSTGAAHDNSHTKTSPSTTHGHSSVKDSSLATKEYSNVDSGSDRDAMPGSFRGITG GDVNPVDPSVYTSTGPKSNVSSGMNAVDPSVYMDTSSKSTERRKTSDNTATGPPQDTI REIAQNVKMDESEQTGLKNDQISGSDVIQQQTMDPKYKGTMGTGGFVSHYNDDNKNIQ YPEKNKVEKQNISERAAEKFNSERDDILESGDDYQQKNIKSKTDSNWGPIEYNTSAGK NKNLQDVVIPPSGMKDIPHNDTSVSQQKSKSDNKWGPMKYDDGKGIKDDNLQNIVGSE GGSQNANKNFDMSPRDEGQWSGVSKDKTTQNIVVPPNMKDEDLNKGSSNKPHQYGLDY LDDVEDYNENDIDDYSNAKDKNLYPGKAYQDKPTNYGYEQREEIPGSYKPDTISNSMQ RQDEDPLSPRQTTHHAGMETAADEGLGSYEFSNVSGNRKLSDLSKNKSGPTPTRSNFI DQVEPRRAKTTQNITSDVKDSTNIPETGNTGSVDPGRAAVKSKTFSSNPFDDSKDVDT PSKNTNVAAFDNARTADTTTYAKSGDTKTAAYDNIGKADPTYAKSQNTPGMTYGQETP SEKMADYGSGGNSQSQEYSSDDNIDVNKNTKVLEEDAADYKHEVDLKNKRRTDIGGPN AANTYAAEVGNFPSLIDPHVPTYGFKDTDTSSSQKPSENTYPETSSYSIHNETTSQGR KVSVGSTGSGKSKHQHNHGHHHHSRQNSSKASDYDYNLSHSAEHTPRHHRYGSDEGEQ DYEEEEQDEEHAGKQSFMGRVRNSISGGTFGFRSEI SPAR_D00250 MIFKRFVNLLVFLFLLGAGLLTFFLILSGGRESGTLKNFYWLQA DTDGFNSAPSTTRWYNYNWCGYEDGQLANCSSRAPAKPFSPRDNFGNSANLPSSFRNN RDAYYYLSRVGWAMLLIALFFIVMALVPGFLATFLPFKAVPVLYCVLSWLAFFFIILA ACLYTGCYVKARKTFHNSGRSARLGPKNFAFIWTSVFLMLVNAIWSTIFSATHKGHST YSDHDMYAQYESPSVDTGAQMEKSTYNSGVTDGAGPVTAAPVVGQPQPTTTTTQAGNG KFFQKLKTRKQVPSTELEPAGDGGLAGPVTVRD SPAR_D00260 MDTLEEPECPPHKERIFVKSSKDFEGYPSIAIVPVQFVALLTSI HLTETKCLLGFSNFEGRDDQVEEDQYLIKVKFKDRSSERLARMTISLLCQYFEIELPD LDSGSDSSSTVVLRDIHLERLCFSSCKALYVSKHGNYTLFLEDIKPLDLVNVIRTIST KSKKGSSEHLLLEPTSKCNLKRSLVDIFNNLIEMNRDEKNSFKFVKLIHYDIELKRFI QEQQNILSQKLKAKAINPFFAPNRLGIPYLESQNEFNSQLMTLNVDEPITEIGDKGDN MHDSADPIEDSDSSTTSSTGKYFSSRSYIQSQTPERKTSVPNNWHDDDSGRNKKRKLS FQGPSAPLSQKAISYERLSLANVGSVERLEGKFVGMYPTQFASINEFKYCTLKLYYTH LPLSNIPDKVLLPGTNCIEIIIPTRERICELFGVLNCQSSKISDILLLKTPGPISVEI ERIMWDNDETISPGIAVWSLKNISTDTQAQAPVQSLPPTNPPRTRMREMARKDPTIEF CQLELNNFETKYVTMFGMLVSCSFDKPSFISFVFTDFTKNDIVQNYLYDRYLIDYESK LELNEGFKAIMYKNQFETFDTKIRQIFNKGLSDLRNGRDENLSQYGIVCKMNIKVKIY NGKLNAIVRECVPVPHSQVGSIASPPQCEHLRSFYKRAFKRIGESAISRYYEEYRRFF PIQRNNSHLAELKFAPVTVKHEREQLRAPTAPTEYIPDLNADVSSFDVKFTDIFSLLN SFARCPQQTHKSNTLYNCEGRIVAIEHHASGLCFHITNELPLSQTRIPAPQRVLQLHI ITSKNFAYFFNRSGAYLQRQPLEEKYAQLAQFLGHSFKFNITSSLKFLPGTTMTLQIW CPIECTFRELQQQLAHLKVAAAPDSGSLGCAITATVNPLRLLAAQNGVTVKREEDNDD DAGAVSASLDMMGAAKCGGAKLQ SPAR_D00270 MKIVLQKVSQASVVVDSKVISSIKHGYMLLVGISIDDSMAEVDK LSKKVLSLRIFEDETKNLWKRNIKEVNGEILSVSQFTLMAKTKKGTKPDFHLAQKGHI AKELYEEFLKLLKSDLGEDQVKDGEFGAMMSCSLTNEGPVTIILDSDQ SPAR_D00280 MKRVTGVFLTLLRFSQFASSVLVMSLLAYAIHAYGNRGNKKTNF TLATGVISVFYLIALGILCLALPTLIYIGMYFCAELIVCMLWLAAFVVLAKAQGERSC GNTNAQGLYYNPYSGQYTADSHRRACNSSQAAIAFSGLCFLLFLTSVILLGINVLTPI RKRYQTQGMWRSGASMGTKLHRWSGLALSEPFEETAAYDNTNVRTGDVEAGAGDNVAY TSDPNADTRYATNDPNRQYHTTTTNTRYTTTTTDPNARSTTNDRNTGSANIANGAVDQ HAYSTDESGDRSYQEKLTEGANSGVMSGSTAEPNRNVN SPAR_D00290 MVYTGFGLEQISPPQKKPYNELTPEEQGERGAEMIMNFMTSCPG KSVVSGVTGFALGGVLGLFMASMAYDTPLHTPTPANTATAGNVAVGGISRTVQQISDL PFRQQMKLQFTDMGKKSYSSAKNFGYIGMIYAGVECVIESLRAKNDIYNGVTAGFFTG AGLAYKAGPQAALMGGAGFAAFSAAIDLYMKSEDGRPPRNDFKE SPAR_D00300 MNSRSKISKSGAASIMSLSNKTVKALRQLLKEEYSVEDELVESI ALSSMRFRQSQELEFQALSQSSLLKTKLKQRSNTDVLSYNRVLISKLSCEKIAHYAIR GGNIEIMGILMGFTLKDNIVVMDCFNLPVVGTETRVNAQLESYEYMVQYIDEMYNHND SGDGRDYKGTKLNVVGWFHSHPGYDCWLSNIDIQTQDLNQRFQDPYVAIVVDPLKSLE DKILRIGAFRTIGNKTNDDSSPSYYELETIIFDSELNRALFETKLNLHCVIEDDESEQ ISLNRLIDSMKQYTYLMDTKNVKTRVNLATISERASNENKIKIDDQNRSTRSQFCLNT QRGDSTETSSFGSMFSGDNTSDVDMEDRNLTEFDSTDTSLYTSVEPSIHVNHTERNSR STDNFHNPRNRINSNQERRNDECSDILQRNVLETDYARAKNRILASKIKQYERLRFYK DTFTL SPAR_D00310 MLFDNKNRTALTSLNTPDIASLSISSMSDYHVFDFPGKDLQREE VIDLLDQQGFIPDDLIEQEVDWFYNSLGIDDLFFSRESPQLISNIIHSLYASKLDFFA KSKFNGIQPRLFSIKNKIITNDNHAIFMESNTGVSISDSQQKNFKFANDAVGNDTLEH GKDTIKNNKTQMGDSCPPYELDSEIDDLFLDNKSQKNCRLVSFWAPESELKLTFVYES VYPNDDPAGVDISSQDLLKGDIESISDKTMYKVSSNENKKLYGLLLKLVKEREGPVIK TTRSVENKDEIRLLVAYKRFTTKRYYSALNSLFHYYKLKPSKFYLESFNVKDDDIIIF SVYLNENQQLEDVLLHDVEAALKQVEREASLLYAIPNNSFHEVYQRRQFSPKEAIYAH IGAIFINHFVNRLGSDYQNLLSQITIKRNDTTLLEIVENLKRKLRNETLTQQTIINIM SKHYTIISKLYKNFAQIHYYHNSTKDMEKTLSFQRLEKVEPFKNDQEFEAYLNKFIPN DSPDLLILKTLNIFNKSILKTNFFITRKVAISFRLDPSLVMAKFEYPETPYGIFFVVG NTFKGFHIRFRDIARGGIRIVCSRNQDIYDLNSKNVIDENYQLASTQQRKNKDIPEGG SKGVILLNPGLVEHDQTFVAFSQYVDAMIDILINDPLKENYVNLLPKEEILFFGPDEG TAGFVDWATNHARVRNCPWWKSFLTGKSPSLGGIPHDEYGMTSLGVRAYVNKIYETLN LTNSTVYKFQTGGPDGDLGSNEILLSSPNECYLAILDGSGVLCDPKGLDKDELCRLAH ERKMISDFDTSRLSNNGFFVSVDAMDIMLPNGTIVANGTTFRNTFHTQIFKFVDHIDI FVPCGGRPNSITLNNLHYFVDEKTGKCKIPYIVEGANLFITQPAKNALEEHGCILFKD ASANKGGVTSSSMEVLASLALNDNDFVHKFIGDVSGERSALYKSYVVEVQSRIQKNAE LEFCQLWNLNQLDGTHISEISNQLSFTINKLNDDLVASQELWLNDLKLRNYLLLNKII PKILIDVAGPESVLENIPESYLKVLLSSYLSSTFVYQNGIDVNIGKFLEFIGGLKREA EASA SPAR_D00320 MSLSRILRYNQRNNKTTASLTAEHAYSNNLVSSVSSREPDNIAV DMAAKTSGALNEPYDSVLSPPPAARIDCTQSSGDDKNTDLQKLTTSWMEKIGTEMPEN ISKIDSNIISSPMVSRVEARFIVPKGKLRKNSIDFASSFSNSLSLPKSYGKLTFFTSK KSNSSTKRNQAHDINNNKDDIHSSSSSSHNIPVTATTMACDEIAFTSTEHEYEVYEDD RMFTTHVYSLEDSISSLSTNFLDDTYSEAVQVNTRHIENTESTTHVRKHSYTTSLSSI KRLLKITSFNNHNNSLCDHQANTTTDNCAITSSLNEATSSSVSTRSLSVMSENEDNDR NQIIQALYSNIEASTDLVSRKYRDLNVVLGEGSGGKVKLVQRVLDNKIFALKEYRSKK RRESERIYIKKIISEYCIASTLKNPNICETLEILYENGKIFQILEYCEYDLFSLVMSE KMQYEEICCLFKQLINGVKYLHDIGLSHRDLKLDNCVVTQKGILKLIDFGASSVFHYP LSSQMIEANGIVGSDPYLSPEVFYFSEYDPRALDVWSIGIIFFCMITRRFPWKYPKVR DGQFKAFCSGRGVSSFKDLVTRPATDDSNKYDDGYKDGAIDMGPNFLLHRLPEETHTV MRLILEISPFRRITINGLLQDNWIQEIEACQVVGAAGSDEASLQIINKGNHIHTNIDQ RYAHIGGLHQQT SPAR_D00330 MGSEEDKKLTKKQLKAQQFRKSKEEKDQGKDVKLEQAQEGKRPN SAAGNDGEEPVKKKRKTRRGRGGKGKNGKKGNRFIVFVGSLPRDITAAELQNHFKNSS PDQIRLRADKGIAFLEFDADKDRTGIQRRMDIALLQHGTLLKEKKINVELTVGGGGNS QERLEKLKNKNIKLDEERKERLTKMINDGNQKKMAKTTSTAAQTSGTDKPVPAGIHPD RAKLLK SPAR_D00340 MFSYSDFCSIGTAMILSATTFLMGVFFSNMPYDYHLLFNPNATQ EHFDLALRHYQILHETPFPVIVTLCVVAGIGLIGGTIKVFKPNPELQMFEYCSLGLYV LAICVFLTNVKTGIDCSVSHKWGEVTENQGLAVIASSNIILLVMFSGVIILQIGLWYS NWDLQKRLKKFYAEEEREAANAGKKAEKAESVKKNENKSKGAQKRKNAKK SPAR_D00350 MLLNVTSSQYVSMPQPRSLNEIDMSETFAGSSSTTDPIAASSTE TGSSVTTKLLGSLESSIQDLSSTACSTTSLRNYTSNPQYLVNNCSSSVQSNITIDRGL LSSLKTFTSSIVPTIQPSTTKLTTPLFTTFASTSASETYSVFTSDSAVYVIYDQQYKI TERSTTFNTHFPQTSVLKESNPPLTFTIPSDTITGDAKLYQYLSGALNTQDGSDTNSK RTGVIVGSTVGVVIGVVVVIFIGFVIIRNRRNVKNRSKKGFSHDIGKRVSCDEVKKGE TLSNPFLNELNYKVTTNSENKRDSFENGRDLRRGSSSDGLYIAHPYYGMEDHEAGRFS YLSSYNGSTGSSIEETSSSASTITRPNIQQTNSFLREII SPAR_D00360 MSMSSKNENKISVEQRISTDIGQAYQLQGLGSNLRSIRSKTGAG EVNYIDAAKSVNDNQLLAEIGYKQELKRQFSTIQVFGIAFSIMGLLPSIASVMGGGLG GGPATLVWGWFIAAFFILLVGITMAEHASSIPTAGGLYYWTYYYAPEGYKEIVSFVIG CSNSLALAAGVCSIDYGLAEEIAAAVTLTKDGNFDVTSGKLYGIFAGAVVVMCICTCV ASGAIARLQTLSIFANVFIIILLFIALPIGTKHKMGGFNNGDFIFGKYENLSDWNNGW QFCLAGFMPAVWTIGSFDSCVHQSEEAKDAKKSVPIGIIASIAVCWILGWLIIICLMA CINPDIDSVLNSKYGFALAQIIYDSLGKKWAIAFMSLIAFCQFLMGASITTAVSRQVW AFSRDNGLPLSKYIKRVDSRYSVPFYAILAACVGSLILGLLCLIDDAATDALFSLAVA GNNLAWSTPTVFRLTSGRDLFKPGPFYLGKFWSPIVAWTGVAFQVFIIILVMFPSQQH GITKSTMNYACVIGPGIWILAAIYYKVYKKKYYHGPATNLSDDDYAEAVGADVIDTII SKQEP SPAR_D00370 MKSWKDKSAKVQVKESELPSSIPAQTGLTFNIWYSKWSQGFAGN TRFVSPFILQPQLHSGKTRGDNDGQLFFCLFFAKGMCCLGPKCQYLHHIPDEDDIGKL ALRTEVLDCFGREKFADYREDMGGVGSFRKKNKTLYVGGIDGALNSKRLKPTQIEGRI RFVFSRLGDIDRIRYVEDKNCGFVKFKYQANAEFAKEAMSNQTLLLPSDKEWDDRKEG TGLLVKWANEDPDPAAQKRLQEEQKLESLNMMVRLINNNTNNSAGTAVSKRTDKILDK KILPEDGIDNIKKRLLPIDNGLKRDDFIEKLKKVKKNISVENHPPKASVSKLGGPLLD YVSSDED SPAR_D00380 MVDGSLGNKETETSAVNMGKDSKEHKESKESKTVDNYEARMPAV LPFAKPLASKKLNKKVLKTVKKASKAKNVKRGVKEVVKALRKGEKGLVVIAGDISPAD VISHIPVLCEDHSVPYIFIPSKQDLGAAGATKRPTSVVFIVPGSNKKKDGKNKEEEYK ESFNEVVKEVQAL SPAR_D00390 MRFVFDEVFNSDIDSAEFEETCSTTSSASSQCPTPESSPVMKLP LFTSAGAKKLVKESVVMLDPALENALRDLNLQSKLIPINEPIVAASSIMVPHSTNIPV RKPPHSSPAGSSKSLNARAPLLEAIEDSFQRKMKNLVLANQKEVQSIRENKRRVEEQR KRKEEEERRRKEAEEKAKREQELLRQRKDEEERKRKEAEAKLAQQKQEEQRKKIEEQN EKEKQLKKDQEAKLLQQKDKLGKAVTNFDKISKTFWHYKDKIAQIKQDIVLPIKKADV NVRNLLSRHKRKINPKFGQLTNSNQQLFKIQNELTQLINDTKGDSLAYQWILNFIAKA VVHQAETEVRVKPESALPLGKLTLYLLVQFPELQELFMARLVKKCPFVIGFTCEIDTE KGRQNMGWKRNNENKWEDNTSYDERMGGILSLFAIITRLPLPQEFITATSHPFPIALS WHILARICNTPLDLITNTHFVILGSWWDAAAVQFLQAYGNQASKLLILIGEELTSRMA EKKYVGAARLRILLEAWQNNSMESFPEMSP SPAR_D00400 MRKPLRWLITIAFYVLNVILIGYSLSSHGSKSEFYLHSVVLIEC FSLLGLVTSDCLTPSLSYISSNIFHISDRVSGMTLLALGNALPDITSTYQSMKSGVTS LAIGELFGGIFFLLTVVIGLMGCVATIQFQHGKSIETYTEESFDQNLSYDRSHYILDV GIFTFMLLVSGIFLADGRLYFWECIIMVLTYCCCAAYLIQSYKYPCDIDDALEREVVI KKTTLANSHVTVPNHFTLTTTGDITSTDNETRYIRSLGDTQIDEDSAVITEPTHFPTN SVDNISRFNQGVPERRDLIRRRIRGYLRSHYHGWVRMTLQDLLNIWEKQNLFNNAVKS LSLPSDDTHLFTEASLDEEGRPLIRKRINSLQPKDFYKYLSPQNEENSNALDTAISAP QNERQNYCNEPTSLFLTVPQKESSKKSLSCDRIPNLVRGNIIFNDEVSRTQDSTNAMN SISDVVDNSLLQYEGDNVILDGTLSLCSTKSRTAWQSFQLYNYLTDMSLEIAFFEFLS LLVTTPVSIILYLSIPSEARQKEHDLPLSYLQIIQLIASPIILNQLIIDDFSYWLLIL SLVIAILLYFKTKTIPNKFNSDINFTVAFLLSLACLSKAVHIIVVTLTHWIKVFNISE TILGLTIFTWGNSIGDLVSNITFVRIGVLEIAIGACFGSPLLYFLFGVGFDGIMIMLG DKTGKIVDGRDNSILMRHIDFKVDKNLINTGVGILTAFLIFTVLIPLNGWKIDKKISI ALLTLYILVTCISVFLEVRQV SPAR_D00410 MGPETLHIGGRKSKLAVIQSNHVLKLIEEKYPDYDCKVFTLQTL GDQIQFKPLYSFGGKALWTKELEDHLYHDDPSKKLDLIVHSLKDMPTLLPEGFELGGI TKRVDPTDCLVMPFYSAYKSLDDLPNGGIVGTSSVRRSAQLKRKYPHLKFESVRGNIQ TRLQKLDDPKSPYQCIILASAGLMRMGLENRITQRFHSDTMYHAVGQGALGIEIRKGD AKMMKILDEICDLNATVCCLSERALMRTLEGGCSVPIGVESKYNEETKKLLLKAIVVD VEGTEAVEDEIEMLIENVKEDSMACGKILAERMIADGAKKILDEINLERIK SPAR_D00420 MNKNTTTNKNTNLNSSRNASSPDEGGHRDKTGLIYWTNPSKSGA SFVATLVSLLIFRNVNVISVLLKIGYMVLFTSFAVELSTKVLFDKGVVSRFGMQESPD IVGVLKPHIDRGLDRMPALEDRIRKLVFAHRTRNNFTIGVSLYFLHGLFAIFSMNTVL IMTTIFLYTVPLIYDRKQVRIDRAIDRVKDLVIHRFHKNYNKVVEKTEPYIDKIIPPQ TDENSYSTSISSKNNSSTSQRNKGGFTSSEFDKKDNTASSKSGKDNHSTSQYNRADYP TSQNENIGTLKSGKQQLPTEKDFNNRHENFSKPDVKTYDPRTVDIEEELAAHQRELEQ NLKEGDYNLVGSKEIPDPITVPAPTKRTAIPTENQSIPISKNNETLHKTTHGLKQKLQ HA SPAR_D00430 MVNQGQPQPNLYDKHINMFPPVRVRESSHKLGNVNSDRHASPAQ NVVPAPYPVDDSIVELTPAIPFTSPSSSSSLSLPLSALNFTDSNADGGQLGTPVTINS NNGMDIFNYKPAGEIGDANNSINSTGNRYELPFNFSSTKGSLGSPAAHDASISGGGRI SEPVRDNSAPPPYEESESRILQEKVYRTEEKVPIRSLDNTSVASQTTNQSTTSSIAKT KDNDFSSGKDKLSSYSPEALAFYQVYKKTIADSLKFTPEIQMQWCETLLEYAFKEDFI SQYNINAEKLKRSLRPEEMLKNQKVILEHSFKVLAKLITLKWPPAMYLMGTLYSHQPY LPIKNKNIVIKNDEKALEYYCKAAKLNNSDACYRAGICFEYQRGTSSLDPSPTREQCI KKAFQYYQKGAEVCSNSACMYKLGMSHLYGLNMQKTDVLLAIKWFDEAAQKGDSPQTL YELGKIYEFSVLPSEIQNLLFANGVRKDSHLAIKYYQQCAKDFGYPLAQWKLGNCYEF GDLGLPVVAKKSICWYSKAAAAQPRGNPMAMLSLSGWYLTGAASVLKPNNIEALNWAL KSSKCSDGKLARTEFALGFYYEKGIGCEVDLNMAKQYYQRAARMGFRKAIDALRNLTN SPAR_D00440 MLRLRFMPGWIPRNGFFVLKETISAAHKRSYALASEQPSRKTVK PLDSRKTFLIDTYKHLMEKSSMVLFVHYNNLSKTEDHHFRFKIKQTGGKLTKVRNNLF EVYLRNSHLPDPCGFVRRKEQNWKHPLLPLLKGPTATITFEDTNPQQIARLLKTLQTA QDKLLVIGAKVENEVLDVEKINTFKTLPTKPEMQSQLVSVLQMLSGLGLVRTLEKGSN ALYLTLKSHHDNQKPKEDVETSADAESKGSK SPAR_D00450 MKAKPLSQDPGSKRYAYRINKEENRKELKHVKIDESSLVQGGQK IDLPKKRYYRQRAHSNPFSDHQLEYPASPQNMDWSKLYPYYKDAENGQMTKKVTIADI GCGFGGLMIDLSPAFPEDLILGMEIRVQVTNYVEDRIIALRNNTASKHGFQNINVLRG NAMKFLPNFFEKGQLSKMFFCFPDPHFKQRKHKARIITNTLLSEYAYVLKEGGVVYTI TDVKDLHEWMVKHLEEHPLFERLSKEWEENDECVKIMRNATEEGKKVERKKGDKFVAC FTRLSTPTIL SPAR_D00460 MKELLYYTYIETEVTGALLVFREKTRNLVFASLGNDKPFLLAKV EAFLKKHEKQNTRYDLQELKDTEIYKKSVENYKICLGNKMPLPTDAIPFEFLFGTKFQ RKVWNELLNVEHGHVVTYGDIAKRIGKPTAARSVGRACGSNNLALLVPCHRIIGSNKK LTGYKWSCKLKEQLLNNEKENKVSLNKV SPAR_D00470 MKPPSSMSRLNKPLAQEASSSAHIDRAHQLAQDFNSKQDDTALT SLPHKNPDIFRFENNITAHSSRRGSLYRDSDATVVLPLSEHTPRLSMDDPYRQLLQQA EISQLRSKKKRHSSRTLRASFISFVVLVSSLSGLDQGLISGNVMTLSFQKYFHYPLTS PLGNIVSVVNLGAFMASLFVYSGVLEASSRKKMLQISTMIYSLGAIVQVLALNQWCLL LGRFVLGVGMGFAFSMVIIYQFEFPLPYIRKRTFISIQCVSSVIAYSFGIWINCAFRY LSFAWRYPLSTHVLLGIILNLMSFYLILESPSWLLKQKNDVEALVLISNIFDDGNFEE NQTQLKFRVLKRDILLKSHLQKNSYPYAYILNDFSSIIKMLIGFQLLTRSNGVDAFLY YSPLILQQMGRGEKKSIYLTGLNALIYTTVILAYFPMVLRKRKEKMNILLGSVVMCVL LFTISFTDLFPKSTTRYISILFAVFLFTHFISWDSIGWVMTIELLPHLSQAPVILLIS NFYWFFKWFVSLITPILIDRLSWKFYLIPSLSSFISIIFVLKIFPIVTGDERLDSDDD SAGNSSGNHDDVFDDTGSEFSSSPSFSAYQINTLGSSIKQNNQAYSSIQNEQILPKDG GLSNQTHGSAQNVYFITSDSGPSRTGEFFSFHNRTDPNIRDDIAANKSGSGEVQNSPG DIAVA SPAR_D00480 MPHTDKKQSGLARLLGSASAGIMEIAVFHPVDTISKRLMSNHTK ISSSHELNRVIFRDHFSEPLGKRLFTLFPGLGYAASYKVLQRVYKYGGQPFANEFLNK HYKKDFDNLFGEKTGKAMRSAAAGSLIGIGEIVLLPLDVLKIKRQTNPESFKGRGFVK ILRDEGLFNLYRGWGWTAARNAPGSFALFGGNAFAKEYILGLKDYSQATWSQNFISSI VGACSSLIVSAPLDVIKTRIQNRNFDNPESGLKIVKNTLKNEGITAFFKGLTPKLLTT GPKLVFSFALAQSLIPKFDNLLSK SPAR_D00490 MPENRGVLDSITRSVIDGSDQESSSSFNPDEEYAAVTKGLGSSK VQKKPSLGQMKSKVKKLQSLVSYYRENEAVLESSTKLLSSEIMSNEIKMASLYGKMKS VMDENNALKKAQKSFSKKKMELLRLVPNDKKHNHDEDGLLVNLKKEICAKLQDYNNVQ STVNTKLDEIHVFYEKYYEGLELNFDSEVFEAETSKELAKVKRELRNVKKNSQIKVDN LKIQLLQATKSLELLKKEVKAKDDYLKGIPKLVDKTNRTLLSYKKSIANQKETIEALQ AELSHQSETQRQMEPQDQVRTLTNVTLVDPFEENHLKDLFAIQEKELQELRLHKKICD EKNRTTHLHLEKQNSTIKLLQSYVQLLVQRLPPTQGKHHLNMLPVGNKCQELRNEKPC QPISTVTSAPGSPPLLPQHSYHQKIDSTSQRLLLAAPDGQSCSKKNIVLVSPKLNPDY LSRVPYFSKLQPPHIINLNSLTLKTLPKAPKHTHAGSQQLTDNDQLHPKDKCQENAKE DDVPKLVTDELVPLDTSTSPEAQNSKDSNHSSRLHK SPAR_D00500 MVKLAEFSRTATFAWSHDKIPLLVSGTVSGTVDANFSTDSSLEL WSLLAANSEEPIASLQVDSKFNDLDWSHNNKIIAGALDNGSLELYSTNEGNNAINSLA KFSNHSSSVKTVKFNAKQDNVLASGGNNGEIFIWDMNKCTESPSNYTPLTPGQSMSSV DEVNSLAWNQSLAHVFASAGSSNFASIWDLKAKKEVIHLSFTSPNSGIKQQLSVVEWH PKNSTRVATATGSDNDPSILIWDLRNANTPLQTLNQGHQKGILSLDWCHEDEHLLLSS GRDNTVLLWNPESAEQLSQFPARGNWCFKTKFAPEAPDLFACASFDNKIEVQTLQNLT NTLDEQETETKQQESETDFWNNVSREESKEKPIVFHLQAPTWYGEPSPAAHWAFGGKL VQITPDGKGVSITNPKILGLESNTTLSEALKTKDFKPLINQRLVKVIDDFNEEDWNLL EKLSMDGTDEFLKEALAFDNDESDVQDESNNEKEDDGEEFFQQIETNFQPDGAFSLAD NVEQTISKNLVSGNIKSAVKNSLENDLLLEAMVIALDSDNERLKESVKNAYFSKNGSK SSLSRILYSVSKREVDDLVENLDVSQWKFISKAIQNLYPNDIAQRNEMLIKLGDRLKE NGHRQDSLILYLAAGSLDKVASIWLTEFPDLEDKLKKDNKTVYEAHSECLTEFIERFT VFSNFISGNSTINNEQLISKFLEFINLTTSTGNFELATEFLNSLPSDNEEVKTEKARV LIASGKSLPAQNPVTATTTSKAKYTNSKANKNVPVLPTPGMPSTTSIPSVQAPFYGMT PGAPSGALPPKPYVPATTTTSAPIHTEGKYAPPHQPSMMSPFAKTSSSTRLNSFAPPP NPYATAAVPVATASTTSIPQNSFAPIQPGMPIMGDYNAQSNSTPSQPPINAVSGQTPH LNRKANDGWNDLPLKVKEKPSRAKAVSVAPPNILSTPTPLNGIHAHATSTMPPPPLSR APSSVSMVSPPPLHKTSRVPSLVATSESPRASISNPYAPPQPSQQFPVGAPSTTSQTS NAAQATSSNPYAPPPQPRVATPLSGGVPPAPLPKASNPYAPAATTQPNGSSYPPTSAY TNNHSMTSPPPVFNKPPAGPPPISMKKRSNKLASIDQKPSQAATYPPTLSSSASPLQP SQPPTLASQANTSTENVSHEIPADQQPIVDFLKEELARVTPLTPKEYSKQLKDCDKRL KILFYHLEKQDLLTQPTIDCLHDLVALMKEKKYKEAMVIHADIATNHAQEGGNWLTGV KRLIGIAEATLN SPAR_D00510 MDPNSNSSSETLRQEKQGFLDKALQRVKGIALRRNNSNKDHTAD DTASSIRTPTGLQLQDLDRQSNMTSMFTDDICTIDDNSVLFSEPPQKQSMMMSICVGV FVAVGGFLFGYDTGLINSITSMNYVKSHVAPNHDSFTAQQMSILVSFLSLGTFFGALT APFISDSYGRKPTIIFSTIFIFSIGNSLQVGAGGITLLIVGRVISGIGIGAISAVVPL YQAEATHKSLRGAIISTYQWAITWGLLVSSAVSQGTHARNDASSYRIPIGLQYVWSSF LAIGMFFLPESPRYYVLKDKLDEAAKSLSFLRGVPVHDSGLLEELVEIKATYDYEASF GSSNFIDCFISSKSRPKQTLRMFTGIALQAFQQFSGINFIFYYGVNFFNKTGVSNSYL VSFITYAVNVVFNVPGLFFVEFFGRRKVLVVGGVIMTIANFIVAIVGCSLKTVAAAKV MIAFICLFIAAFSATWGGVVWVISAELYPLGVRSKCTAICAAANWLVNFICALITPYI VDTGSHTSSLGAKIFFIWGSLNAMGVIVVYLTVYETKGLTLEEIDELYIKSSSGVVSP KFNKDIRERALKFQYDPLQRLEDGKNTFVAKRNNSDDETPRNDFRNTISGEMDHSPNQ EQVHSIPERVDIPTSSEIPQSPENINGIAAPIIPPLQDISIPQAPELVEVQTKYVDLG NGLGLNTYNRGPPSLSSDSSEDYTEDEIIGPSSQGDLSNRSTMNDINDYMARLIHSNS TTSNTTDKFSGNQSTIRYHTASSHSDTTEEDSNLMDLGNGLALNAYNRGPPSILMDSS DEEANDNEEIDNVDSVQDSVGMKERMAQFAQSYIDKRGPPVPETPSYVLSTPLPVLAD PNKNNNEDPHSSEENSTNQPVNENNDKK SPAR_D00520 MPTMIKKDDKTMEPPNGKPHRKIERDDVPESSNHIPPPESGVLK GGEVNSKTRALKAVTSIIADADEKPQKKLNNEVNGTQKQKTEYWGKSIGKFEYIFYKF LLVLLYICFGLFRYGQYQYHKMKLRIFSIIYNHAYTPQLIRQDVVSLKKIPKRLAAIL EVKPVGDVGGGVTGLLNDASEIVCWTVSAGIKHLMLYDYDGILQRNVPELRMEIHSNL AKYFGPAHVPNYAVKIPHSNKIFYNLDGIETETDVSNEIEANEEKDKIAIEISLLSNR DGRETIVDLTKTMAELCAVNELSVSDITMDLVDSELKQLVGPEPDLLLYFGPSLDLQG FPPWHIRLTEFYWEKDNNEVIYSVFIRGLRQYAGCKVNVGK SPAR_D00530 MGLFASKLFSNLFGNKEMRILMVGLDGAGKTTVLYKLKLGEVIT TIPTIGFNVETVQYKNISFTVWDVGGQDRIRSLWRHYYRNTEGVIFVVDSNDRSRIGE AREVMQRMLNEDELRNAAWLVFANKQDLPEAMSAAEITEKLGLHSIRNRPWFIQATCA TSGEGLYEGLEWLSNSLKNST SPAR_D00540 MAGVKAYELRTKSKEQLASQLVDLKKELAELKVQKLSRPSLPKI KTVRKSIACVLTVINEQQREAVRQLYKGKKYQPKDLRAKKTRALRRALTKFEASQVTE KQRKKQIAFPQRKYAIKA SPAR_D00550 MTAIEDILQITTDPSDSRGYTVLKSEEVPQGSILGVDFIDTLLL YQLTENENLDKPFEYLNDCFRRNQQQKRITKNKPNTESLHSTFQEIDRLVIGYGVVAL QIENFCTNGAFINYVTEIVLNVNSYTDFLSQIIQRAILEGTALDLLNAVFPTLLEYCN QHVSHFDLNESVIYNNVLTIFELFVTFKPIAEIFTKIDCFFADYNCKPQFFEKQTIMG PILSLSPIDAAVAIRNYGDNLLRSKQQTAMIHESLQAEHKVVIDRLFFIVDKLVRGSL DSRTDMISYFAHIANKNHLRRADHPPFKELSSNGFMSNITLLLVRFSQPFLDISYNKI DKIDANYFNNPSLFIDLSGETRLNSDFKEADAFYDENRKTADSKPNFISDCFFLTLTY LHYGLGGTLSFEEKMGSEIKALKEEIEKVKKIAASHDVFARFVTAQLSKMEKALKTTE SSRFALQGFFAHRSLQLEVFDFICGASTFLIRVVDPKHEFPFKQIELPLIPDQIGVEN VDNADFLRAHAPVPFKYYPEFVVEGPINYSLYISKYQTSPIFRNPRLGSFVEFTTMVL RCPELVSNPHLKGKLVQLLSVGAMPLTDNSPGFMMDIFEHDELVNKNLLYALLDFYVI VEKTGSSSQFYDKFNSRYSISIILEELYYNIPSYKNQLIWQSQNNADFFVRFVARMLN DLTFLLDEGLSNLAEVHNIQNELDNRARGAPPTREEEDKELQTRLASASRQAKSSCGL ADKSMKLFEIYSKDIPAAFVTPEIVYRLASMLNYNLESLVGPKCGELKVKDPQSYSFN PKDLLKALTTVYINLSEQSEFISAVAKDERSFNRNLFVRAVDILGRKTGLASQEFIEK LLNFANKAEDQRKADEEEDLEYGDVPDEFLDPLMYTIMKDPVILPASKMNIDRSTIKA HLLSDSTDPFNRMPLKLDDVTPNEELRQRILCFKKQKKEEAKNKASE SPAR_D00560 MTVLDSGNWGLTPAMEAGLFQKPQDRIFIIELENSIVSFINSNT ESFQLRPMNSYYRLLSHQIAEYHNLNHVLARTQDSCVILFKGENFQKIEGKPLLQELQ LSKKPEESVSSSESIDKSNNNRIFRILKRKEVNNDRDSKTDGNVSNNKLTADFDKDQK VETDDKSSTDLEQERIEKERLYEQRKQEIFDKLNKNENDGKSTNSSGSNDSDNEWGDW LNGDDSNTLTSNGSVSSSSPFNSSTTTTQLNKPHQQFYDCRRGRGGRRRGAGNYKDAY RVQNRRNKENGGYQSGYPTPYVVYPPPQMGSNSMPTYPLMYNPAGPAPGPAPTPMVMG GNTVFMNPYMYNMNSQGSCSFGAPVPMYPPYQYQYQYPYNTQYHNGPYSNTPNYNSNS YTRTSANKYNQPQRKNSSSGVIQKHNDGNNNNQEIRRAIAKGSPSTKDTNSVEMKFDK LNI SPAR_D00570 MDMEIDDPMHGSDEDQLSPTLDEDMNSNDSKNNTKARSSDEDID EELDDFNFKPGSSGIADHKSSKPLELTNTNINQLDQWIEYLGKCEPLSEDDVARLCKM AVDVLQFEENVKPINVPVTICGDVHGQFHDLLELFKIGGPCPDTNYLFMGDYVDRGYY SVETVSYLVAMKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGSANVWKMFTDLF DYFPVTALVDNKIFCLHGGLSPMIETIDQVRDLNRIQEVPHEGPMCDLLWSDPDDRGG WGISPRGAGFTFGQDISEQFNHTNDLSLIARAHQLVMEGYAWSHQENVVTIFSAPNYC YRCGNQAAIMEVDENHNRQFLQYDPSVRPGEPTVTRKTPDYFL SPAR_D00580 MQRNTGIEDCLDEDDITFPSFSPEADAQNRFLPRSEFPSVRQLV ISKQKSRRRREENVFVGKMEDVLVKWRPPASSHRGAIEAINGTHPYQLSTAQFNSKRP DPFGSTKRDESVRSIIESFTDWWKRNSKIFFHDEEEGVQAEHSAGQHDRELQLFEEDL FSFHLSPNDMSTRNSEHQVQTPVLFPYETPTRTKQNLAERETIDIGDGEELSIYRDVY SNPIPVSYLDTLNLNHSMSLRQTQQQQQQQQQQQHVESSSAFQCCTDSNWARIFLCQN D SPAR_D00590 MAGAIENARKEIKRISLEDHAESEYGAIYSVSGPVVIAENMIGC AMYELVKVGHDNLVGEVIRIDGDKATIQVYEETAGLTVGDPVLRTGKPLSVELGPGLM ETIYDGIQRPLKAIKEESQSIYIPRGIDTPSLDRTIKWQFTPGKFQVGDHISGGDIYG SVFENSLISSHKILLPPRSRGTITWIAPAGEYTLDEKILEVEFDGKKSDFTLYHTWPV RVPRPVTEKLSADYPLLTGQRVLDALFPCVQGGTTCIPGAFGCGKTVISQSLSKYSNS DAIIYVGCGERGNEMAEVLMEFPELYTEMSGTKEPIMKRTTLVANTSNMPVAAREASI YTGITLAEYFRDQGKNVSMIADSSSRWAEALREISGRLGEMPADQGFPAYLGAKLASF YERAGKAVALGSPDRTGSVSIVAAVSPAGGDFSDPVTTATLGITQVFWGLDKKLAQRK HFPSINTSVSYSKYTNVLNKFYDSNYPEFPVLRDRMKEILSNAEELEQVVQLVGKSAL SDSDKITLDVATLIKEDFLQQNGYSSYDAFCPIWKTFDMMRAFISYHDEAQKAVANGA NWSKLADSTGDVKHAVSSSKFFEPSKGEKEVHGEFEKLLSTMQERFAESTD SPAR_D00600 MIPSIFIAPRSISATSRLCYGLRAYSTKLEKASLQKYLRDPVKV TVIPITDKESFVYYKHSDNLFNSQSRILKTEKWIVEKSVKLWRKLKKSPKSYNKKIVS MVQSLLNSTPWSENSLLTIPSESYILKRIKGETDKAQEIRLTLKDYTVKAEKVDTQPL HVYYPSGISNPEDCLKQMKKLYQEGLAYHKKWTLYCLLGLPLTIPLILIPLIPNVPGF YLSYRAYVNIKAYLGAKHLKSLLESSKQTLEFRELLGYAEVYKRGNSSCTRGIQEGSN GAPELLLNKKTLPLILDFLKVHELEGDLNKVILQKSKSHGENNS SPAR_D00610 MTAAKPNPYAAKPGDYLSNVNNFQLIDSTLREGEQFANAFFDTE KKIEIARALDDFGVDYIELTSPVASEQSKKDCEAICKLGLKAKILTHIRCHMDDAKVA VETGVDGVDVVIGTSKFLRQYSHGKDMNYIAKSAVEVIEFVKSKGIEIRFSSEDSFRS DLVDLLNIYKTVDKIGVNRVGIADTVGCANPRQVYELIRTLKSVVSCDIECHFHNDTG CAIANAYTALEGGARLIDVSVLGIGERNGITPLGGLMARMIVAAPEYVKSKYKLHKIR DIENLVAEAVEVNIPFNNPITGFCAFTHKAGIHAKAILANPSTYEILDPHDFGMKRYI HFANRLTGWNAIKARVDQLNLNLTDDQIKEVTAKIKKLGDVRSLNIDDVDSIIKNFHT EVSTPQVLSAKKNKKNDSDVPELATIPAAKRTKPSA SPAR_D00620 MLPRSALARSLQLQRGVAARFYSEGSTGTPRGSGSEDSFVKRER ATEDYFVRQREKEQLRHLKEQLEKQRKKIDSLENKIDSMTK SPAR_D00630 MVRLNHAASYFMPVFCSTRPHIVVVSALLSISLFSLFYVSSELL LHEYDDPLMFKPNSQDYFRTFLLGLFSPFLYYFLKTFLFNINQRFLILNLIVDFPIND AFMLIILIGLAYPQVQDHEGSTSKHKESSWHIIPRQAYIFGISWALGEFTICIIGNLF NYQEMADPNINSGFTHQESANTNSNNNNMSHKDDRGCGTEHHHNFVERSDITLSKCIE VRNDSSLISNNVYSSEYHPIKPLHSSSSAYGSIQQQSHENKKQQHVPDNSQDDTIIMM NPIDNSLKLTTLDTDDLSFPLNEEEPILKKSFGYTWAIPNENTQNTTKSFTSIKRFLA FSTAYQLVTGLLLMVLVVGSNIMLTIGESLILSMYFVYVRGHEGLFTPVVNYFGSRTI SNFILCVIIPFISLNFLINTTIYLRRELDDWFNDSQGEFEEDDEHAASKKLAANQEYQ HPLSANYMSMDNPDVINSSPGHFGLNSGQLLGNSALYYGSSNGDYDDMTSDSALLRFC KKLVKSWRALARNDSFVLGVMVSWSLLVFVTGIVSTVYI SPAR_D00640 MISDYDALLQFNKRPVSQEMIQFLATSTASIIQIRQNNNSVHGC QPPDLPTFIKNVVIQSNVQTPTLMATSVYLNKLKSVIPKNVYGIETTRHRIFLGCLIL AAKTLNDSSPWNKHWTTYTEGLLRVREVNTIERELLEYFNWDVRITTPDLIKSLSYFL GPIKEQLFLQRRQELLLFNAPSPGQLKEYINHRRPISHSRSSSAISVPSLTSMTTVST TDSRSSVLAKYQPPLPLVEFDNYNKENHVPPRNNDDMYNNFRAQEYVHPINHVDVTPR NSPITSHKPTVHQRLNFTRRGWSSFFKQ SPAR_D00650 MLRNILVRSAGSHLKFGGRCMKSPALLGCYRRVNYYSTKIQTRL TSENYPDVHRDPRFKKLTSDDLHYFKSILSEQEILQANELEDLSFYNEDWMRKYKGQS KLVLRPKSVEKVSLILNYCNDEKIAVVPQGGNTGLVGGSVPIFDELILSLANLNKIRN FDPVSGILKCDAGVILENANNYVMEQNYMFPLDLGAKGSCHVGGVVATNAGGLRLLRY GSLHGSVLGLEVVMPNGQIVNSMHSMRKDNTGYDLKQLFIGSEGTIGIITGVSVLTVP KPKAFNVSYLSVESFEDVQKVFVRARQELSEILSAFEFMDAKSQILAKSQLKDATFPL EDEHPFYILIETSGSNKDHDDSKLETFLENVMEEGIVTDGVVAQDETELQNLWKWREM IPEASQANGGVYKYDVSLPLKDLYSLVEATNVKLSEAELVGDSPKPVVGAIGYGHVGD GNLHLNVAVREYNKSIEKTLEPFVYEFVSSKHGSVSAEHGLGFQKKNYIGYSKSPEEI KMIKDLKAHYDPNAILNPYKYI SPAR_D00660 MNKNVGKLVRIWNESEVLIDRKSKFQARCCTLQNQKDIPFILQE LTQNNKSVSKASHMHMYAWRTAEISNDLNFQQEQKKKSSKTNKSNNRHADKSKKVTMQ PKNIEQGCADCGEAGAGQRLLTLLERANIFNVLVIVTRWYGGTPLGSSRFRHISTCAV ETLKKGEFLP SPAR_D00670 MATGKIQFAVSTPCNTKGKPSGYRLFEFKNDRLALIPSERGCTK VDVNANIQAFCYLRPNGRETSQSPETTHILDSCDYMVLAKSNGFIEIISNYQFKIKNG LRLAPSYILRCTPEDFESNFFSDYMIAGLEYSQGLLYCCMCSGRIYVFVMNLPTDYIQ YKNMHNPMFPDCFFKVHHDNNTAHSSEEEKIFKSSTRYTGRSCSKHICYFLLPIEPSH LRSSPVVSSFCNMYQGLPIYRPSMYLHIERGISTFHINPLDRFCFMTVSPRSPLFIRK IILPLTYVTFLSTFINLKNSIQGEKCGEILSWDNVAQQNGFGSLFSWISNKFTFDADI INSTIWDDIVKYSGTGMLDSGIVWKQRQGHAKDDIYELFHTQDMLGSSRRNSSFSAAS SEPRPLSRRRRGSFQALTRDAFRERMDVPCSTKWELDSFIRGLRRNTFMVDFEIVEKT PHRNGYDGVNEDDNNTDESDETMTSFLTDNYKKMDIVCIDHFVTLSAFRPRYYDEPII KIDSLSNKNGSENGPDEEEWAENQLKVDGQVIDDDTAQFKQALGNLCSFKKLFMLDDS LCFILDTHGVLLINRFEIQNTKNLLKNAKDTIRIIPHDFGLINDAIVIINDIDMGTNN VCSLTFHLVVTSMAGEITVFKGEFFNDSRLGRIKLCDSLKLNRKDRFVDKLALIDYDG LNAQKRRLDYDENDLYTFIVKKVKKD SPAR_D00680 MENNTVPYVVDTAPTTPPDKLVAPSIEEVNSNPNELRALRGQGR YFGVSDDDKDAIKEAAPKCNNCSQRGHLKKDCPHIICSYCGVTDDHYSRHCPKAIQCS KCDEVGHYRSQCPHKWKKVQCTLCKSKKHSKERCPSIWRAYILIDDNEKAKPKVLPFH TIYCYNCGGKGHFGDDCKENRSSRVPNEDGSAFTGSNLSAELKQEYYRHINRNAEENE NQFSGSIYDEEPLPRSSHKRHSQNDHTHSGRSKRRASNFHPPPYQKPNVIQPTIRGET LSLNNNISKNSRYQNTKGNVSSISENMYGSRYNPSTYNNNDIPGSSNYRNYNSYQPYR SGTLGKRR SPAR_D00690 MLWKRTCGRLIKPIAQPRGRLLRRSCYRYASTGTGSAESSSQWL KYSVIASSATLFGYLFAKNLYSRETKEDLIERLEMVKKIDPVNSTLKLSSLDSPDYLH DPVKIDKVVEDLKNVLGNDPENYSDAKSDLDAHSDTYFNTHHPSPEQRPRIILFPHTT EEVSQILKICHDNNLPVVPFSGGTSLEGHFLPTRIGDTITVDLSKFMNSVVKFDKLDL DITVQAGLPWEDLNDFLGEHGLMFGCDPGPGAQIGGCIANSCSGTNAYRYGTMKENII NMTVVLPDGTIVKTKKRPRKSSAGYNLNGLFVGSEGTLGIVTEATVKCHVKSKAETVA VVSFDTIKDAAACASNLTQSGIHLNAMELLDENMMKLINASESTDRCDWVEKPTMFFK IGGRSSKIVDALVDEVKAVAQLNHCNSFEFAKDDDEKLELWEARKVALWSVLDADKSK DKSAKIWTTDVAVPVSQFDKVIHETKKDMQASKLINAIVGHAGDGNFHAFIVYRTPEE HEACSQLVDRMVKRALDAEGTCTGEHGIGIGKREYLLEELGEAPVDLMRKIKLAIDPK RIMNPDKIFKIDPNEPANDYR SPAR_D00700 MATFNPHNEMENQARVQEYKVSTGRGGAGNIHKSMSKPSPVLLP LKSNSKPAANNNNNGSTQEKVPRFAIGRGGAGNIFHDPHLTRSAQQLDSNDNINYNDV IDDIDDYISPITSDMVDEDGPNAVTNTRSRISATRSHQSLHASTSSPNNKAPIVVGRG GAGNIFFNKKKVSSNGGNEEDEIRGGNVEDEDTINANEDNLFVVTSNGNALAAIKSTS KKPKNKHKGKSVPEKFAIGRGGAGNIISPKSSRNTINHNSNDDDEDEVNLKDDNSKEK KKKKKKKKSGFFNSLKTMFN SPAR_D00710 MPVLKSDNFDPLEEAYEGGTIQNYNDEHHLHKSWANVIPDKRGL YDPDYEHDACGVGFVANKHGEQSHKIVTDARYLLVNMTHRGAVSSDGNGDGAGILLGI PHEFMKREFKLDLDLDIPEMGKYAVGNVFFKKNEKNNKKTLIKCQKIFEDLAASFNLS VLGWRNVPVDSTILGDVALSREPTILQPLLVPLYDEKQLEFNEIKFRTQLYLLRKEAS LQIGLENWFYVCSLNNTTIVYKGQLTPAQVYNYYPDLTNAHFKSHMALVHSRFSTNTF PSWDRAQPLRWLAHNGEINTLRGNKNWMRSREGVMNSATFKDELDKLYPIIEEGGSDS AALDNVLELLTINGTLSLPEAVMMMVPEAYHKDMDSDLKAWYDWAACLMEPWDGPALL TFTDGRYCGAILDRNGLRPCRYYITSDDRVICASEVGVIPIENSLVVQKGKLKPGDLF LVDTQLGELVDTKKLKSQISKRQDFKSWLSKVIKLDDLLSKTTNLMSKEFVSQDSLSL KVQSDPRLLANGYTFEQVSFLLTPMALTGKEALGSMGNDAPLACLNENPVLLYDYFRQ LFAQVTNPPIDPIREANVMSLECYVGPQGNLLEMHSSQCDRLLLKSPILHWNEFQALK NIEAAYPSWSIAEIDITFDKSEGLLGYTDTIDKITKLAGEAIDDGKKILIISDRKMGA NRVSISSLIAISSIHHHLIRNKQRSQVALILETGEAREIHHFCVLLGYGCDGVYPYLA METLVRMNREGLLRNVNNDNDTLDEEQILENYKHAIDAGILKVMSKMGISTLASYKGA QIFEALGLDNSIVDLCFTGTSSRIRGVTFEYLAQDAFSLHERGYPSRQTICKSVNLPE SGEYHFRDGGYKHVNEPTAIASLQDTVRNKNDVSWQLYVKKEMEAIRDCTLRGLLELD FENSSSIPLEQVEPWTEIARRFASGAMSYGSISMEAHSTLAIAMNRLGAKSNCGEGGE DAERSAVQENGDTMRSAIKQVASARFGVTSYYLSDADEIQIKIAQGAKPGEGGELPAH KVSKDIAKTRHSTPNVGLISPPPHHDIYSIEDLKQLIYDLKCANPRAGISVKLVSEVG VGIVASGVAKAKADHILVSGHDGGTGAARWTSVKYAGLPWELGLAETHQTLVLNDLRR NVIVQTDGQLRTGFDIAVAVLLGAESFTLATVPLIAMGCIMLRRCHLNSCAVGIATQD PYLRSKFKGQPEHVINFFYYLIQDLRQIMAKLGFRTIDEMVGHSEKLKKRDDVNAKAI NIDLSPILTPAHVIRPGVPTKFTKKQDHKLHTRLDNKLIDEAEVTLDRGLPVNIDASI INTDRALGSTLSYRISKKFGEDGLPKDTVVVNIEGSAGQSFGAFLASGITFILNGDAN DYVGKGLSGGIIVIKPPKDSKFKSDENVIVGNTCFYGATSGTAFISGSAGERFAVRNS GATIVVERIKGNNAFEYMTGGRAIVLSQMESLNAFSGATGGIAYCLTSDYDDFVGKIN KDTVELESLCDPVEIAFVKNLIQEHWNYTQSDLASRILGNFNYYLKDFVKVIPTDYKK VLLKEKADAAKAKAKATSEYLKKFRSNQEVNDEVNALLIANQKVKEQEKKKSITISNK ATLKEPKVVDLEDAVPDAKQLEKNSERIEKTRGFMIHKRRHETHRDPRTRVNDWKEFT NPITKKDAKYQTARCMDCGTPFCLSDTGCPLSNIIPKFNELLFKNQWKLALDKLLETN NFPEFTGRVCPAPCEGACTLGIIEDPVGIKSVERIIIDNAFKEGWIKPCPPSTRTGFT VGVIGSGPAGLACADMLNRAGHKVTVYERSDRCGGLLMYGIPNMKLDKAVVQRRIDLL SAEGVDFVTNTEIGKTISMDELNNKHNAVVYAIGSTIPRDLSIKGRELKNIDFAMQLL ESNTKALLDKDLEIIREKIQGKKVIVVGGGDTGNDCLGTSVRHGAASVLNFELLPEPP VERAKDNPWPQWPRVMRVDYGHAEVKEHYGRDPREYCILSKEFIGNDEGEVTAIRTVR VEWKKSQSGVWQMVEIPNSEEIFEADIILLSMGFVGPELISGNDSEVKKTRRGTIATL DDSSYSIDGGKTFACGDCRRGQSLIVWAIQEGRKCAASVDKFLMNGTTYLPSNGGIVQ RDYKLLKELASQV SPAR_D00720 MNYGVEKLKLKYSKHGCITCKIRKKRCSEDKPVCRDCRRLSFPC IYISESVDKQSLKKIKADVQHQLISKKRKHAPDSAQKAAVVTRARQVVSDEQDNQIYL SKPLEDCISQKLDSMGLQLYNYYRSHLANIISIAPMNQNYYLNIFLPMAHENDGILFA ILAWSANHLSISSSNELRKDEIFVNLANKYTYMSLTHLKTNESSSDYAKLGFLYSLAQ ILILCGSEICQGDVKFWKILLNIGKNLIENHVGRDISRILTTTTTEEPSLEERIIFPN FNSVVKYWLIVNFIYHDILNFNTTSFPIEQYEKFFQRDHNSLPNSVNFIEPIDSPIEE IDPLIGINKPILLLLGQVTNLTRFLQTMEQEEMLEHGDKILSLQVEIYKLQPSLMALD HLDDEKKFYYLELFEIMKISTLMFFQLTLLKIDKDSLELQILRNKLDSKLDKVIGTFL EGSLCFPLFIYGVCIQKDDIENKIDLEAKFDDILKRYKCYNFQNARLLMRKIWQNEAD GISEHDLVHMIDELDYNINFA SPAR_D00730 MDDKEKLIVYSNASSVFTYTAEIRPNFKISVSQSQGFAWNQDLF ATQYQQSYKVVYDAHEDDFEDLILKIKGKLKANSKKRAKLKAKTKLTRTAKQKREVPA CEREASDEDGDSERYQRIQVLDGHEFPRGNPYKPVWDHDVHSNGDDSASEGESNRDVD MIGGTDTPYSRMAVMDRPRRKSERSISFVEDSKTGDYRYQIGQVDVVEVDSDTPENNH LKWLIR SPAR_D00740 MSAATVGKPIKCIAAVAYDAKKPLSIEEITVNAPKAHEVRIKIE YTAVCHTDAYTLSGSDPEGLFPCILGHEGAGVVESVGDDVVTVKPGDHVIALYTAECG KCKFCTSGKTNLCGAVRATQGKGVMPDGTTRFHNAKGEDIYHFMGCSTFSEYTVVADV SVVAIDPKAPLDAACLLGCGVTTGYGAALKTANVQKGDTVAVFGCGTVGLSAIQGAKS RGASKIIAVDINNKKKQYCFQFGATDFVNPKEDLAKGQTIVEKLIEMTDGGLDFTFDC TGNTKIMRDALEACHKGWGQSIIIGVAAAGEEISTRPFQLVTGRVWKGSAFGGIKGRS EMGGLIKDYQSGALKVEEFITHRRPFKEINQAFEDLHNGDCLRTVLKFDDEK SPAR_D00750 MHYVVLELQVVHLPDTPKDQCRIANIAYQIVNAETLVCHYETSS LPNIEVNGEAKSLESAMVQLDKDIHDIIGNDEFVLVSLRSTWHIRVTLPRQARDDGFI LTSYLQHPKVFDLWKEFDRWCVNHPEILGQKKTISNNNCNAKGNSASVAKNTKDLDEM VRILEVSTPNEDAGSVPQKYSLLKKTTEILIQLHKKCSSPEDMKSVLTQPYDSHTDIR TFLQERSKILYMNNLPPDTTQSELESWFTQYGVRPVGFWTVKNIVEDTSNVNNNWSLN NSPYVEDQDSISGFVVFQTHEEATEVLALNGRSILSNLANTKQPRVVEHVLELQPSST GVLDKAQEILSPFPQSKNKPRPGDWNCPSCGFSNFQRRTACFRCSFPAPSNIQMHAVI SNNNANGSRNNLNNRVNSGSSNNINNTALNHPYSAPEFNMVANTTPTALTYNRTHFPA ITPLSRQNSLNLAPSNSGSPIIIADHFSGNNNMVPNYRYNNNINNNNNNINNMTNNRY NSNNNINGNGNGNGNNINNNNNHNNNHHNGSNNNNTNTNNNNNCNSNVGMGGCGSNIP FRAGDWKCSTCTYHNFAKNVVCLRCGGPKSINADANETNHYIDASTIGPASRAPSNNN ISVNNNGGNNTDRTDGNDNKGRDISLMEFMSPPLSMVKSTKEGDGNGSSFNELKSDKT NVNFSNDGNSSAFGNGFNSSVRW SPAR_D00760 MESRRYGPNIIVTGTPGCGKSSTCELLKNKLKGYKYYNISDFAK DNDCFEGYDEGRKSHIVDEDKLLDMLEPLLRQGNSIIDWHVNDVFPERLIDLVVVLRC DNSNLYSRLHARGYHDSKIEENLDAEIMGVVKQDAVESYEPHIVVELQSDTKEDMVSN VSRIVTWEKMWMEQHPDGVTNEYQGPHSDDEDDEDSD SPAR_D00770 MENFGLKALVPLLKLEDKELSSTYDHSMTLGADLSSMLYSLGIP RDSQDHRVLDTFQSPWAETSRSEVEPRFFTPESFTNIPGVLQSNVTPPCFNSIQNDQQ RVALFQDETLFFLFYKHPGTVIQELTYLELRKRNWRYHKTLKAWLTKDPMMEPIVSAD GLSERGSYVFFDPQRWEKCQRDFLLFYNAIM SPAR_D00780 MRRLLAGFIPSSARPLNSRLPLLMSSSLPSSAGKKPKQATLARF FTSMKNKPSAGTSSSKKSSKHMLEDRIDDVSGEEEYATKKLKQIAVAHTPVAPSSMDS NSSSLPSSAPSSGVVDSPQQSQGLGCEVEDSLGSNDNDHYSSNIPYSEVCEVFNKIEA ISSRLEIIRICSDFFIKIMKQSSKNLIPTTYLFINRLGPDYEAGLELGLGENLLMKTI SETCGKSMSQIKLKYKEIGDLGEIAMGARNVQPTMFKPKPLTVGEVFKNLRTIAKTQG KDSQLRKMKLIKRMLTACQGVEAKFLIRSLESKLRIGLAEKTVLISLSKALLLHDENR ENSPDKDIPMDILESAQQKIRDAFCQVPNYEIVINSCLEHGIMNLDKYCTLKPGIPLK PMLAKPTKAINEVLDRFQGETFTSEYKYDGERAQVHLLNDGSMRIYSRNGENMTERYP EINITDFIQDLDSTKNLILDCEAVAWDKDQGKILPFQVLSTRKRKDVELNDVKVKVCL FAFDILCHNDERLINKSLKERREYLTKVTKVVPGEFQYATQITTNNLDELQKFLDESV NHSCEGLMVKMLEGPESHYEPSKRSRNWLKLKKDYLEGVGDSLDLCVLGAYYGRGKRT GTYGGFLLGCYNQDTGEFETCCKIGTGFSDEMLQLLHERLTPTIIDGPKATFVFDSSA EPDVWFEPTTLFEVLTADLSLSPIYKAGSATFDKGVSLRFPRFLRIREDKGVEDATSS DQIVELYENQSHMQN SPAR_D00790 MSKQFVRSAKNLVKGYSSTQVLVRNATSNDNHQVSKDSLIELAE KSYDSADFFEIMDMLDKRLNDKGKYWRHIAKALTVIDYLIRFGSENCVLWCRENLYII KTLKEFRHEDDEGVDQGQIVRVKAKELTALLSDDERLNEERNMNIKGRNRKGRRRRGT GRSDEKDDDLQRAIDASRMTAEEDERRRKQDEDYETALQLSKEEEELKRLQDLQRMQQ QQAQQQQQQPMYYDIFGNPITPEEYAQFQLQQQQQQQQQLQQQPMYYDVFGNPITPEE LAQFQQQQQLQQQQQQEQQYFASMQQQQQGMPNNPFAKSEQEPSSQKQDQLATTASSL QQQQQKQPEPLTQNRTGNQSITDKYSRLNELLAAGTGIDTFGNEGEARIPAQHTKTGT FINSQGTGYRQVSNDPNHNPFLNSQYTGLPSTNVVPTQTGYGFGNQPQQQSQNNGSNN RGYTLIDL SPAR_D00800 MLSKEALVKILSQNNSGKDMKIADEVIPMIQKYLDIFIEEAALR SLQSHKEMNGKHDDKGPLELSHQDLERIVGLLLMDM SPAR_D00810 MGSINNNFSTNNNSNTDLDRDWKTALNIPKKDTRPQTDDVLNTK GNTFEDFYLKRELLMGIFEAGFEKPSPIQEEAIPVAITGRDILARAKNGTGKTAAFVI PTLEKVKPKLNKIQALIMVPTRELALQTSQVVRTLGKHCGISCMVTTGGTNLRDDILR LNETVHILVGTPGRVLDLASRKVADLSDCSLFIMDEADKMLSRDFKTIIEQILSFLPP THQSLLFSATFPLTVKEFMVKHLHKPYEINLMEELTLKGITQYYAFVEERQKLHCLNT LFSKLQINQAIIFCNSTNRVELLAKKITDLGYSCYYSHARMKQQERNKVFHEFRQGKV RTLVCSDLLTRGIDIQAVNVVINFDFPKTAETYLHRIGRSGRFGHLGLAINLINWNDR FNLYKIEQELGTEIAAIPATIDKSLYVAENDETVPVPFPIEQQSYHQQAAPQQQLPSQ QQFAIPPQQHHPQFMVPPPHQQQQAYPPPQMPSQQGYPPQQEHFMAMPPGQSQPQY SPAR_D00820 MFQRKTLQRRNLKGLNLNLHPDVGSNGELQEKTETHQGQSRIEG HVMSGINAVQNNSNLFLRRGIKKKLTLDAFADEQATSKPSTVVIQQPQNEPVLVLSSL SQSPCVSSSSSLSTPCIIDAYSNNFGLSPSSTNSTPSTIQGLSNIATPVENEHSISLP PLQESLSPAAVDLKDTLSGTSNGNYIQLQDLVQLGKIGAGNSGTVVKALHVPDSKIVA KKTIPVEQNNSTIINQLVRELSIVKNVKPHENIITFYGAYYNQHINNEIIILMEYSDC GSLDKILSVYKRFVQRGAVSSKKTWFNELTISKIAYGVLNGLDHLYRQYKIIHRDIKP SNVLINSKGQIKLCDFGVSKKLINSIADTFVGTSTYMSPERIQGNVYSIKGDVWSLGL MIIELVTGEFPLGGHNDTPDGILDLLQRIVNEPSPRLPKDRIFSKEMTDFVNRCCVKN ERERSSINELLHHDLIMKYVSPSKDDKFRHWCKKIKSKIKEDKRIKREALDRAKLEKK QSERSTH SPAR_D00830 MSNILAVFNPPPQRELEKEETMDCVPCQVMSTMFSVGFGSYLAS GKPFKYGKKEAKRGISLAEFEKRNPQWWKLTLRSFGGLLVAFGFVRGTEGWLWHKNKE YKNYKKLSNGETRSS SPAR_D00840 MPELTEFQKKRLENIKRNNDLLKKLHLSGVASQIKHEAGVSEKS RAPAKKKQKTTNSRATKSASPTLPTRRSRRLRGESADDTKGIPNLNDNQLLKMGSSDG QDKNFIDTIKEKPVIGDVKLSDLIKDEDEDALLEKFKRFNNGNFSSGDFFEEIRKRQG DVVGMDEFDLDLYDVFQPNEIKLTYERISATYFHPAVEKKLIIAGDTSGTVGFWNVRD EPLGDSEEDRMVEPDITRVKLFTKNVGRIDCFPVNTSKILLTSYDGSIRSVHLNNLQS EEVLTLKNEYDDSLGISDCQFSYENPHVLFLTTLGGEFTTFDTRVKKSEYKLRRLADK KIGSMAINPLRPYEIATGSLDRTLKIWDTRNLLEKPEWSQYEDYPSHEIVSTYDSRLS VSAVSYSPTDGTLVCNGYDDTIRLFDVKSRNHLSAELEPKLTIQHNCQTGRWTSILKA RFKPNKNVFAIANMKRAIDIYNSEGQQLAHLPTATVPAVISWHPLQNWIAGGNSSGKI FLFTDDSRAIKQENE SPAR_D00850 MHHNSQSLSSMHVRSPEDENMAPITSMKHRTGSLSHISATHPRV ALSDVTNIVATNSSNNSISKPKAAPIKERSDSVVILEEEERAATDSAAQRKEADHNDL LTEREQEELVHEDGEESEEDEGEDQEPLLLQHYASDILVWEHAFRTYYRTTLDPNDDD VYDVVMVAELSDGIFDYMRKLEELYKPDPYYMDKQPELRWSFRGTLIDWIVQVHEKFQ LLPETLYLCINIIDRYLCKEVVPVNRFQLVGAASLFIAAKYEEINCPTIKDFVYMSEN CYSRNDLLEAERTILNGLEFELGWPGPMSFLRRISKADDYEHDTRTLAKYLLESTVMD HRLVSAQPSWLAAAAYFLSKVVLGQNQWSLAHVYYSHYTQDQVLPLATIILENCRYAS KRHNAIWRKYSSRRYLHSSQIVAKWIALAEHRVERSN SPAR_D00860 MSHEWILSASGTMRDTDHEEGLQDKGAVIANNDREANESDSDSE EDGSDMFSFDFDEEIVVCIDLSAGKLGCSILDYHTKTLQVFNQDYVVNKTTISSHDLI DDADSSANDISLLIGLLIMEVTPTICLVPVRLEDWIFDYVKTKCDEIKCRLELQPIKC FKKWNLLQSLQLRGHDNLTILNDILSNSRFTTTVTLGTIGCILANHEQHVQRDEYNDS TATNNMITGRQVQNTFEDVIHDITYIDIRDRMVLDENTISALNIFPTAHIGHDNMMRN GFLSIFELFNQVSSDYARRILKSWLFNPLTNREQIEKRYCIIRTLLDKQNAIIFNELA QSIKGCPDAFGFINQLRSGKSTLGTWTKVASFLEKGIAIFQLVSALKLGSVDGTILHD IKYKVDLSALKECLRKVETVIDFDASRDTKSLTLNTGIDNRLDECRNIYNHLEGILLD VAREAQTFLLNTLPQTDCKITKSLEKLVNAVYIPQLGYLVTVNALMESFLVNIPDLEW EEIFRSPENIYFKNDRVLELDETYGDIYGAISDFEIEILFSLQEQILRRKTQFTAYNI LLSELEILLSFAQVSAERNYTEPQLVKNECILEITNGRHALYETFLDDYIPNSTMVDG GLFSELSWRKQNKERIIVVTGANASGKSVYLTQNGLIVYLAQIGCFVPAERAKIGIVD KILTRIRTQETIYKTQSSFLLDSQQMAKSLSLATEKSLILIDEYGKGTDILDGPSLFG SIMINMSKNERCPRIIACTHFHELFNENVLTEHIQGIKHYCTDILISQNYNISGTAQI KEDRESEGITFLFKVKKGISKQSFGIYCAKLCGLNRNIVERAEELSNMINRGDDVVQQ CGKLTEKEMGEFQKNQEIVKKFLSWDLDLETSTTSENLRLKLKNCLR SPAR_D00870 MVRKSSDRAKIPSVGDEVNPYGLNEVDDFASKREKVLLEQSTFG DSAKDFDHSLLEDEDEEEVLAMDEDDESMDEREDEEEEEEEEELDGAAAYKKIFGRNL ETDQLPEEDEENGMLDNENAWGSTKGEYYGADDLDDEEAAKEIEKEALRQQKKHLEEL NMNDYLDEEEEQEWVKNAKEFDMGEFKNSTKQADTNISIRDILNMDDEARDNYLKTMF PEFAPLSKEFTKLAPKFDELKKSENNEFNKLKLIALGSYLGTISCYYSILLHELHNNE DFTSMKGHPVMEKILTTKEIWRQASELPSSFDMNEGDGSESEETSNLEAFSEKKLNEL ENSEASDVEENEEEQKEENNEEEGESDEKDDVSIDDFEEYVAQSRVHSKPKSLSTSEA DDFIESGIADVDAQDKKARRRTLRFYTSKIDQQENKKTDRFKGDDDIPYKERLFERQQ RLLDEARKRGMHDKNGADLDDKEYGSEDEAVSRSINAQGENDYYQQVQRGKQDKKISR KEAHKNAVIAAREGKLAELAENVVGDGKRAINYQILKNKGLTPRRNKDNRNSRVKKRK KYQKAQKKLKSVRAVYSGGQSGVYEGEKTGIKKGLTRSVKFKN SPAR_D00880 MSSNKGNGRLPSLKDSSSNGGSAKPSLKFKPKAVARKSKEEREA AASKVKLEEDSKRGNDRKHFSNNKNKRVTGAGGQQRRMAKYLNNTHVISSGPLAAGNF VSEKGDLRRGFIKSEGSGSSLVQKGLETIDNGAESSENEAEDDDNEGVASKSKKKFNM GREFEAHNLIEDEDDGESEKSSDVDMDDEEWRSQRIEQLFPVRPVRVRHEDVEIVKRE IQEALSEKTTREPTPGVKTEPTGSELQSYLEDRERQVNEKLENLGLAEEFQSVDGREI AAELELLNADHQHILRKLKKVNNKPERFMVFQLPTRLPAFERPAVKEEEGEVETQVSD STKKKKSSKKKDTKDVLSTGELAGKVGSVRVHKSGKLSVKIGNVVMDIGKGAETTFLQ DVIALSIADDASSAELLGRVDGKIVVTPKT SPAR_D00890 MEKDEYQLPNSHGKNTFLSRIFGLQSDEVNPSLNSQEMSNFPLP DIERGSSLLHSSNESHEDRDENDLRVPESDQGTSTEEDDEEDEEQVQAYDPQTGDGLD GYHRSNSVKGDENVLGTEKSGLERLVEGSTDDSVPKVGQLSSDEEDNEFINNDGFEDD TPLFQKGNMQEFGSKKSNTIEDGKRPLFFRHILQNNHPQRNTEKLFTSSKATHYDKDK NSNSDPRNTNGNRNHSTKYFGGASQSRFTGPSSNHTNRFTNLFPLRKPNLLSNISVLN NTPEDRINTLSVKERALWKWANVENLDIFLQDVYNYYLGNGFYCIILEKILNIGTLLF VVFVSTYMGHCVDYSRLPTSHQVSDIIIEKCYSNNITGFTKFFLWMFYFFVILKIVQL YFDVQKLSELQNFYKYLLNISDDELQTLPWQNVIQQLMYLKDQNAMTANVVEVKAKNR IDAHDVANRIMRRENYLIALYNSDILNLSLPMPLFRTNVLTKTLEWNINLCVIGFVFN ESGFIKQSILKPSQREFTREELQKRFMLAGFLNIILAPFLVTYFVLLYFFRYFNEYKT SPGSIGARQYTPIAEWKFREYNELYHIFKKRISLSTTLANKYVDQFPKEKTNLFLKFV SFISGSFVAILAFLTVFDPENFLNFEITSDRSVIFYITILGAIWSVSRNTITQEYHVF DPEETLKELYEYTHYLPKEWEGRYHKEEIKLEFCKLYNLRIVILLRELTSLMITPFVL WFSLPSSAGRIVDFFRENSEYVDGLGYVCKYAMFNMKNIEGKDTRSIEEDGLTRKTTV NGSHTLDSKQRRKFTAEDHGDKDLANNKMLQSYVYFMDDYSNSENLTGKYQLPAKRGY QNNEGDSFLNNKYSWRKQFQPGQKPELFRIGKHALGPSHNISPVIYSTRKPVKSWDDN NNGDNIDSETNNGDHNGNNDHEYELTESFLDSGALPNYDGINHNRMLNSRYNGNGTLN NGGVLGFVKEYYKKSDVGR SPAR_D00900 MAGSQLKNLKAALKARGLTGQTNVRSKNKKNSKRQAKEYDREEK KKAIAEIREEFNPFEIKAARNKRRDVLPSKTADRVAVGKPGISKQIGEEQRKRAFEAR KMMKNKRGGVVDKRFGERDKLLTEEEKMLERFTRERQSQSKRNANLFNLEDDEDDGDM FGDGLTHLGQSLSLEDELANDEEDFLASKRLNEDDAEQHQPQRKKTKAEVMKEVITKS KFYKQERQKAQGILEDQIDDLDDNFEDVMSELMITQPKKNPIEPKTDLDKEYDIKVKE LQLDKRAAPSDRTKTEEEKNAEAEEKKRELEQQRLDRMNGMIELEEGEERGVEDLDDG FWENEEDYEDDNDGIADSDDDVKFEYQDKDEGFSQILKKKNISISCPETHDALLNQIN KLDLADHPKVVKNIIKAYQPKLAEGNKGKLGKFTAVLLRHIIFLSNQNYSKNVQSFKD TQNALISILKSLSEKYNKELSEECREYINEMQERYKKNYFDALSNGDLVFFSIIGILF STSDQYHLVITPALILMNQFLEQIKFNTLKRMAFGAVLVKIVSQYQRISKRYIPEVVY FFQKTLLTFIAEKENQEKPLDFDNIRLDSYDFGLPLDVDFSKKRSTIIPLHTLSTMDT EPQTVDQRVSLLLNVMESLDVTISTVWRDLPAFKEIILPIQQLLSTYTSKYSDFEKPR SILNKIERLTKFTEHIPLALQNHKPVSIPTHAPKYEENFNPDKKSYDPDRTRSEINKM KAQLKKERKFTMKEIRKDAKFEARQRIEEKNKESSNYHAKMAHIVNTINTEEGAEKNK YERERKLRGGKK SPAR_D00910 MSRDAPIKADKDYSQILKEEFPKIDSLARNDYNSALDQLLLLEK KTRQASDLASSKEVLAKIVDLLASRNKWDDLNEQLTLLSKKHGQLKLSIQYMIQKVME YLKSSKSLDLDTRISIIETIRVVTENKIFVEVERARVTKDLVDIKRQEGKIDEAADIL CELQVETYGSMEMSEKIQFILEQMELSILKGDYSQATVLSRKILKKTFKSPKYESLKL EYYNLLVKISLHKKEYLEVAQYLQEIYQTDAIKSDEAKWKPVLSHIVYFLVLSPYGNL QNDLIHKIQNDNNLKKLESQESLVKLFTTNELMRWPIVQKTYEPVLNKDDLAFGGEAN KHHWEDLQKRVIEHNLRVISEYYSRITLLRLNELLDLTETQTETYISDLVNQGIIYAK VNRPAKIVNFEKPKNSSQLLNEWSHNVDELLEHIETIGHLITKEEIMHGLQAK SPAR_D00920 MILDPLSPNIENHTQDEIIEFWEKTESIANIPKENLDEPHVNSS LVAYLKFATDSYKVFINTDRDLYRMSLILLESPLFEFKKEFCLSKLQSLLNIDLLEMN MKFIIVYILLCEAKKNVYSLEIMLKFQGFTVFYNTLYTQFAYLSKYGKEKAIVSKQQY NSDSSITDTSLDSLNRSLTDIDLAIIDEMKQISTVLMDLLFQIMKYCKCVIANLQIVD DFFVYYLMESMRSDTMDDMFNNAEFKLLLSLNEQYMMFSKEYDIENKVYKYLIIGSVS RCFTELLLLKFNRVSDPPLQIMMCKIIYLILTPRGDFLPMNFFYTNDLHVLIDVLIRE LQNISEDEEVLRNTLLRVLIPLLKNTQLSKTHYRKDDLNKLLNYLSTLDNICVDSPVL HEHQVTVTLSRKCLQQIPWLETPSTPPGEDSSASSNTTSRNSSIVALGGPDNQNVLAR KGHLYSNRELDVSAESLTKRKARAPPPPPPPPPSRKCGTPK SPAR_D00930 MKMLTKFESKSTRAKGIAFHPSRPWVLVALFSSTIQLWDYRMGT LLHRFEDHEGPVRGLDFHPTQPIFVSAGDDYTIKVWSLDTNKCLYTLTGHLDYVRTVF FHRELPWIISASDDQTIRIWNWQNRKEIACLTGHNHFVMCAQFHPTDDLIVSASLDET IRIWDITGLRKRHSAPGTSSFEEQMSAQQNLLDGSLGDCVVKFILEGHTRGVNWASFH PTLPLIVSASDDRQVKLWRMSATKAWEVDTCRGHTNNVDSVIFHPHQNLIISVGEDKT LRVWDLDKRTPVKQFKRENDRFWLIAAHPHINLFGAAHDSGIMVFKLDRERPCSFIHQ NQLFFVNAEKQIQSFNFQKRVASLPYASLKGIGQPWDAFRSISYNPSQHSVLVNEANG KFALVILPKQPVGAVEPTSVTQDTGNYATFVGRNRFVVYNKNTESVEVRSLENKVTRN IKVEETVRTIVAAGPGSVLVIHPREVILYDVQQGKKVSQLAVKNVKYVSWSLDGQYVA LMSKHTITLATKKLELINSMHETIRIKSAAWDETGVLVYSTLNHIRYSLLNGDRGIIK TLEKTLYITKVQGKLVYCLNRDGEIEILTIDPTEYRFKKALVNKNFPEVLRLIKDSNL VGQNIISYLQKSGYPEIALQFVQDPHIRFDLALEYGNLDVALDEAKKLNDSSAWERLN QEALAQGNASLAEMIYQTQHSFDKLSFLYLVTGDVNKLSKMQNIAQTREDFGSMLLNT FYNNSTKERCRIFAESGSLPLAYAVAKANGDEAAASTFLEQAEIDEQDVTLPDQIDAS DFVQRPVISKPLEKWPLKEAELSYFEKAVLGQIDDLDIDDETPAVNTTQEQEEPLAEE SFNDMDIGEDEGAWDLGDEDLDVGEELPEEVEQGEISSPAQEAETAIWIKNSKLPAVL VAAGAFDAAAQALSKQVGVVKLEPLKKYFTNIYEGCRTYMPSTPCELPAQLGYIRAYD DTVSEDQILPYVPGLDIVNEKMKEGYKNFKLNKLDVAIECFREAIYRITLLMVDDSED EKLAHNILETAREYILGLSIELERRSLKEGNTVRMLELAAYFTKAKLSPIHRTNALQV AMSQHFKHKNFLQASYFAGEFLKIISSGPRAEQAHKIKNKADSMASDAIPIDFDPYAK FDICAATYKPIYEDTPSVSDPLTGSKYVITEKDKIDRIAMISKIGAPASGLRIRV SPAR_D00940 MSRVLVIGAGGVGVITALSLWFKRESDVSLVVRSDYDKVSKHGY TIESCDYGKLEGWRPDHIYSSVEDAAAAANNKGYNYVVVTTKNIIDGPANSRVSNIIS PVLEKNKKLHGSKLTTHILLVQNGIDIENEIWAEFPTEDYQYTVLSGIQLIGSTKVGT GHISQIGHDHLSCGAFDSQDVTAIQAANEFVRMYSNEGHNFVEFDPRVRYSRWKKLLY NAAINTSTALVGLDVPRCLEFGVNKKSTEIEVFHPAMREIIAIAASEGIIIEEEFITM FTEITRKKVFKPSMCVDREKGQLMELEVILGNPIRIAKRNGVATPTLSILYNLLVLVQ AKLKERKGLLKFDEKTASLVDE SPAR_D00950 MSAKVPSNATFKNKEKPQEVRKANIIAARSVADAIRTSLGPKGM DKMIKTSRGEIIISNDGHTILKQMAILHPVARMLVEVSAAQDSEAGDGTTSVVILTGA LLGAAERLLNKGIHPTIIADSFQSAAKRSVDILLEMCHRISLSDREQLVRAASTSLSS KIVSQYSSFLAPLAVDSVLKISDENSKNVDLNDIRLVKKVGGTIDDTEMIDGVVLTQT TIKSAGGPTRKEKAKIGLIQFQISPPKPDTENNIIVNDYRQMDKILKEERAYLLNICK KIKKAKCNVLLIQKSILRDAVNDLALHFLSKLNIMVVKDIEREEIEFLSKGLGCKPIA DIELFTEDRLGSADLVEEIDSDGSKIVRVTGIRNNNARPTVSVVIRGANNMIIDETER SLHDALCVIRCLVKERGLIAGGGAPEIEISRRLSKEARSMEGVQAFIWQEFASALEVI PTTLAENAGLNSIKVVTELRSKHENGELNDGISVRRSGTTNTYEEHILQPVLVSTSAI TLASECVKSILRIDDIAFSR SPAR_D00960 MLPIHSCYALFRRTIPKRPSYYVLSGLTARLKVKPQLKCNFFRV LTRRELATVPSGPPNIKNKLLNIPNILTLSRIGCTPFIGLFIITNNLTPALSLFAFSS ITDFMDGYIARKYGLKTVAGTILDPLADKLLMITTTLALSVPSGPQIIPVSIAGIILG RDVLLAIGALFIRYSTLKLKYPGRVSWSSYWDIVRYPSAEVKPSQLSKWNTFFQMIYL GSGVLLLLYGKEEGNGEVDENFEDRKKFFQNIFSYLGYVTATTTIISGASYALKRNAF KLLK SPAR_D00970 MNVLVYNGPGTTPGSVKHAVESLRDFLEPYYAVSTVNVKVLQTE PWMSKTSAVVFPGGADLPYVQACQPIIPRLKQFVSKQGGVFIGFCAGGYFGTSRVEFA QGDPTMEVSGSRDLRFFPGTSRGPAYNGFQYNSEAGARAVGLNLPDGSQFSTYFNGGA VFVDADKFDNVEILATYAEHPDVPSSDSGKGQSENPAAVVLCDVGRGKVLLTGPHPEF NVRFMRKSTDKHFLETVVESLKAQEVERLKFMRTILTKTGLNCNNDFNYVRAPNLTPL FIASAPNKQNYLQEMENNLAHQGARVKNAELCFKLNAETDSFQFYRGYRASYNAASSS LLHKEPDEVPKTIIFPGENEDTPPSQYTPNFDMREYFKYLNAQNTLGSLLLYGEVVTS TSTILNNNKSLLGSIPESTLLHVGTIQVSGRGRGGNTWINPKGVCASTAVVTMPLQSP VTNRNISVVFVQYLSMLAYCKAVLSYAPGFSDIPVRIKWPNDLYALSPSYYRRKNLQL VNTGFEHTKLPLGDIEPAYLKISGLLVNTHFVNNKYCLLLGCGINLTSDGPTTSLQTW INILNEERQQLNLDLLPAIKAEKLQALYMNNLEVILKQFINYGAAEILPSYYELWLHS NQIVTLPDHGNTQAMITGITEDYGLLIAKELVSGSRTQFTGNVYSLQPDGNTFDIFKS LIAKKVQN SPAR_D00980 MVGQQYSSAPLRTVKEVQFGLFSPEEVRAISVAKIRFPETMDET QTRAKIGGLNDPRLGSIDRNLKCQTCQEGMNECPGHFGHIDLAKPVFHVGFIAKIKKV CECVCMHCGKLLLDEHNELMRQALAIKDSKKRFAAIWTLCKTKMVCETDVPSEDDPTQ LVSRGGCGNTQPTVRKDGLKLVGSWKKDRASGDADEPELRVLSTEEILNIFKHISVKD FTSLGFNEVFSRPEWMILTCLPVPPPPVRPSISFNESQRGEDDLTFKLADILKANISL ETLEHNGAPHHAIEEAESLLQFHVATYMDNDIAGQPQALQKSGRPVKSIRARLKGKEG RIRGNLMGKRVDFSARTVISGDPNLELDQVGVPKSIAKTLTYPEVVTPYNIDRLTQLV RNGPNEHPGAKYVIRDSGDRIDLRYSKRAGDIQLQYGWKVERHIMDNDPVLFNRQPSL HKMSMMAHRVKVIPYSTFRLNLSVTSPYNADFDGDEMNLHVPQSEETRAELSQLCAVP LQIVSPQSNKPCMGIVQDTLCGIRKLTLRDTFIELDQVLNMLYWVPDWDGVIPTPAII KPKPLWSGKQILSVAIPNGIHLQRFDEGTTLLSPKDNGMLIIDGQIIFGVVEKKTVGS SNGGLIHVVTREKGPQVCAKLFGNIQKVVNFWLLHNGFSTGIGDTIADGPTMREITET IAEAKKKVLDVTKEAQANLLTAKHGMTLRESFEDNVVRFLNEARDKAGRLAEVNLKDL NNVKQMVMAGSKGSFINIAQMSACVGQQSVEGKRIAFGFVDRTLPHFSKDDYSPESKG FVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTAETGYIQRRLVKALEDIMVHYDNTT RNSLGNVIQFIYGEDGMDAAHIEKQSLDTIGGSDTAFERRYRIDLLNTDHTLDPSLLE SGSEILGDLKLQVLLDEEYKQLVKDRKFLREVFVDGEANWPLPVNIRRIIQNAQQTFH IDHTKPSDLTIKDIVLGVKDLQENLLVLRGKNEIIQNAQRDAVTLFCCLLRSRLATRR VLQEYRLTKQAFEWVLSNIEAQFLRSVVHPGEMVGVLAAQSIGEPATQMTLNTFHFAG VASKKVTSGVPRLKEILNVAKNMKTPSLTVYLEPGHAADQEQAKLIRSAIEHTTLKSV TIASEIYYDPDPRSTVIPEDEEIIQLHFSLLDDEAEQSFDQQSPWLLRLELDRAAMND KDLTMGQVGERIKQTFKNDLFVIWSEDNDEKLIIRCRVVRPKSLDAETEAEEDHMLKK IENTMLENITLRGVENIERVVMMKYDRKVPSPTGEYVKEPEWVLETDGVNLSEVMTVP GIDPTRIYTNSFIDIMEVLGIEAGRAALYKEVYNVIASDGSYVNYRHMALLVDVMTTQ GGLTSVTRHGFNRSNTGALMRCSFEETVEILFEAGASAELDDCRGVSENVILGQMAPI GTGAFDVMIDEESLVKYMPEQKITEIEDGQDGGVTPYSNESGLVNADLDVKDELMFSP LVDSGSNDAMAGGFTAYGGADYGEATSPFGAYGEAPTSPGFGVSSPGFSPTSPTYSPT SPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSP SYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPSY SPTSPSYSPTSPSYSPTSPNYSPTSPSYSPTSPGYSPGSPAYSPKQDEQKHNENENSK SPAR_D00990 MKTSKKISKKRSLKNLHGALKGLLKESSKKSEVKVRKHRDCNPV PQVCTPIIEKLKTKKSDENSRPIAERNGHVYIMSKENHIIPKLTDDEVMERHKRADEN MKKVWSNIISKYESIEDQGDLIDLKTGEIVEDNGHIKTLTANNTTKDKRTRYTSVLTD IIDISDEEDGDKNDKCTLWANDSEASDSDVDAENENEDEKDENVIDIDFKKYEAKLSK RTLRD SPAR_D01000 MNYSQDCLRQREENTHLEPGNDFSHHHSGDCAIDHIMPHHNAYT ESANDTEAKSIVMCDDANAYRISYVNNEPAGDGAIETTSILLSQPLPLRSNVMSVLVG IFVAVGGFLFGYDTGLINSITDMPYVKTYIAPNHSYFTTSQIAMLVSFLSLGTFFGAL IAPYISDSYGRKPTIMLSTAIIFSIGNSLQVASSGLVLLIVGRVISGIGIGIISAVVP LYQAEAAQKNLRGAIISSYQWAITIGLLVSSAVSQGTHSKSGPSSYRIPIGLQYVWSS ILAVGMIFLPESPRYYVLKDELNKAAKSLSFLRGLPVEDPRLLEELVEIKATYDYEAS FGPSTLLDCFKTSENRPKQILRIFTGIAIQAFQQASGINFIFYYGVNFFNNTGVDNSY LVSFISYAVNVAFCIPGMYLVDRIGRRPVLLTGGVVMAMANLVIAIVGVSEGKTVVAS KIMIAFICLFIAAFSATWGGVVWVVSAELYPLGVRSKCAAICAAANWLVNFICALITP YIVDVGSHTSSMGPKIFFIWGGLNVVAVIVVYFAVYETRGLTLEEIDELFRKAPNSVI SSKWNEKIRKRCLALPISQQIEMKTNIKNANKLENNNSPTLGDDNQDTPDVERFLADQ IQPKDHVIMADRENGALENTANAPPLTSMEFKPVEHPPVNYVDLGNGLGLNTYNRGPP SIISDSTDEFYGENDSPNCNNSTERNGANSINTYMAQLINSPSTTSNDTAFSQSHNSN ARISSNWTNDLASKHSQYTPPQS SPAR_D01010 MGLYASKLFSNLFGNKEMRILMVGLDGAGKTTVLYKLKLGEVIT TIPTIGFNVETVQYKNISFTVWDVGGQDRIRSLWRHYYRNTEGVIFVIDSNDRSRIGE AREVMQRMLNEDELRNAVWLVFANKQDLPEAMSAAEITEKLGLHSIRNRPWFIQSTCA TSGEGLYEGLEWLSNNLKNQS SPAR_D01020 MAEETTDFSQFEEERNNDQYKVSAKKTVDEYKNLDAEDESLAKW KESLGLSSDILPLEFPGDKRKVVVQKIQLLVNTEPNPITFDLTNEKTIKELASKRYKI KENSIYKLKIVFKVQHEIITGLRYVQYIKKAGIAVDKIDDHLGSYAPNTKTKPFYEVE LPESEAPSGFLARGNYSAVSKFIDDDKTNHLTLNWGVEIVKK SPAR_D01030 MDTDLDVPMQDAVTEQLTPTVSEDMDINNSSTDNNGEEFSIEDL KPGSSGIADHKSSKPLELTNTNINQLDQWIEHLGKCEPLSEDDVARLCKMAVDVLQFE ENVKPINVPVTICGDVHGQFHDLLELFKIGGPCPDTNYLFMGDYVDRGYYSVETVSYL VAMKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGSANVWKMFTDLFDYFPITAL VDNKIFCLHGGLSPMIETIDQVRELNRIQEVPHEGPMCDLLWSDPDDRGGWGISPRGA GFTFGQDVSEQFNHTNDLSLIARAHQLVMEGYAWSHQQNVVTIFSAPNYCYRCGNQAA IMEVDENHNRQFLQYDPSVRPGEPSVSRKTPDYFL SPAR_D01040 MEDTNFSKEVYSNTTSSTSSRIADQDRLNLNVDLEKNQTVRESG SLESLQIAKIRIPKHSDGSPLDYPKLNTYTFVPTTVPPYVLEAQFDKLRLQDKGAVDG NVTDDKNLPKEFKWGQFASNIGCHSAYTKDQNDNHDHKSYDNYSLSSSTSSKNAALRE ILGDMCSEWGGEERLEGVLHSEIGANLEFKTTEERKEWLQYIEKVKDFYYGDNKKNPE SPETVHNKTYKSDWVNELNKEREKWRRLKQRKLQQWRPPLTSLLLDNQYLILGLRIFT GVLSCISLALAIKIFQNSRSNNTISESKIGQQPSTIMAICVNAVAIAYIIYIAHDEFA GKPVGLRNPLSKLKLILLDLLFIIFSSANLALAFNTRFDKEWVCTSIRRSNGSTYGYP KIPRICRKQEALSAFLFVALFMWVITFSISIVRVVEKVSSITNRN SPAR_D01050 MSETLPRSDDLEATWNFIEPGINQILGNEKNQASTSKRVYKILS PTMYMEVYTAIYNYCVNKSRSSGHFSTDSRTGQSTILVGSEIYEKLKNYLKSYILNFK KSDSETFLQFYVKRWKRFTIGAIFLNHAFDYMNRYWVQKERSDGKRHIFDVNTLCLMT WKEVMFDPNKDTLINELLEQVTLEREGQIIQRSNISTAIKSLVALGIDPQDLKKLNLN VYIQVFEKPFLKKTQEYYTQYTNDYLEKHSVTEYIFEAHEIIKREEKAMTIYWDDHTK KPLSMALNKVLITDHIEKLENEFVVLLDARDIEKITSLYALIRRDFTLIPRMASVFEN YVKKTGENEISSLLAMHKHNIMKNENANPKKLALMTAHSLSPKDYIKKLLEVHDIFSN IFNESFPDDIPLAKALDNACGAFININEFALPTGSPKSATSKTSEMLAKYSDILLKKA TKPEVASDMSDEDIITIFKYLTDKDAFETHYRRLFAKRLIHGTSTSAEDEENIIQRLQ AANSMEYTGKITKMFQDIRLSKILEEDFAVALKNEPDYSKSKYPDLQPFVLAENMWPF SYQEVEFKLPKELTPSHEKLKESYSQKHNGRILKWLWPLCRGELKADIGKPGRMPFNF TVTLFQMAILLLYNDADVLTLENIQEGTNLSIQHIAAAMVPFIKFKLIQQVPPGLDAL VKPETQFKLSRPYKALKTNINFASGVKNDILQSLSGGGHDNHGNKVGNKRLTEDERIE KELNTERQIFLEACIVRIMKAKRNLPHTTLVNECIAQSHQRFNAKVSMVKRAIDSLIQ KGYLQRGDDGESYAYLA SPAR_D01060 MSENNEFQSVTESTTAPTTNNPYGPNPADYLSNVKNFQLIDSTL REGEQFANAFFDTEKKIEIARALDDFGVDYIELTSPVASEQSKKDCEAICKLGLKAKI LTHIRCHMDDAKVAVETGVDGVDVVIGTSKFLRQYSHGKDMNYIAKSAVEVIEFVKSK GIEIRFSSEDSFRSDLVDLLNIYKTVDKIGVNRVGIADTVGCANPRQVYELIRTLKSV VSCDIECHFHNDTGCAIANAYTALEGGARLIDVSVLGIGERNGITPLGGLMARMIVAA PEYVRSKYKLHKIRDIENLVAEAVEVNIPFNNPITGFCAFTHKAGIHAKAILANPSTY EILDPHDFGMKRYIHFANRLTGWNAIKSRVDQLNLNLTDDQIKEVTAKIKKLGDVRPL NIDDVDSIIKDFHAELGTPILKPVNKGTNEENIDVSNGHLSKKAKVTK SPAR_D01070 MLNRCISRNARLPVNLRVASRFYSDGPLGGAGPGNPQDIFIKRE RAKEDYYARQQEREQLAHVKEQLKEHKKKLENLENKINNLSK SPAR_D01080 MSDSIISFAAFILADAGLEITSDNLLAITKAAGANVDNVWADVY AKALEGKDLKEILSGFHNAGPAAGAAAASGAAAAGGDAAAEEEKEEEAAEESDDDMGF GLFD SPAR_D01090 MELRSRRSAEAYLVTPEEPARNKNESNIESDERVSTREAKSENT SVFSPAYSDIATTESAKKIDDNEYYNFTSHFMPSLKNTRELENTILNLIQRIKEGDDG TLVSEKDLILSVLNRSLASTSHWMLQAQLSELRATSEGRYAVETNLLKKEVEFLKNKT PRTSESVDSAKLKPLLERPLKRKLSLPGLTQRPLSTDARLEGGHGGVPASSWKTKVPK LPLPVPRSSLNVSPQKVPMGTDRGEEDNKVDTLELVENNKPHPRMRRRSDNPATNEYV RVFHLEKKEPKSRKK SPAR_D01100 MDATAPLLTVANSHSARNPKHTAWRAALYDLQYILKASPLNFLL VFVPLGLIWGHFQLSHTLTFLFNFLAIIPLAAILANATEELADKAGNTIGGLLNATFG NAVELIVSIIALKKGQVRIVQASMLGSLLSNLLLVLGFCFIFGGYNRVQQTFNQTAAQ TMSSLLAIACASLLIPAAFRATLPHGKEDHFIDGKILELSRGTSIVILIVYVLFLYFQ LGSHHALFEQQEEETDEVMSTISRQPHHSLSVKSSLMILLGTTVIISFCADFLVGTID NVVESTGLSKTFIGLIVIPIVGNAAEHVTSVLVAMKDKMDLALGVAIGSSLQVALFVT PFMVLVGWMIDVPMTLNFSTFETATLFIAVFLSNYLILDGESNWLEGVMSLAMYILIA MAFFYYPDEKTLDSIGNSL SPAR_D01110 MSNYEALLQFNRKAVSKEMVQYLASTTASIIKIKKTNSMIDIAL PAPPLTKFINRLIKHSNVQTPTLMATSVYLAKLRSIIPSNVYGIETTRHRIFLGCLIL AAKTLNDSSPLNKHWAEYTDGLLILREVNTIERELLEYFDWDVTISTDDLITCLSPFL KPIKEEKLYKSQRDRHTLNSPSAQEKDMVDKSSSSHSRSSSNLSIPSLASTSTLSTLE SRRSNLSNYSNRIRTLPELHESNDISDKFSPREYNIYSKQNNKENRRPIPTSKPFNFS KARPVILKTGLDKPMINEDSRVKKSNWSNFFKS SPAR_D01120 MGEEHKPLLDASGVDPREEDKTATAILRRKKKDNMLLVDDAIND DNSVIAINSNTMDKLELFRGDTVLVKGKKRKDTVLIVLIDDELEDGACRINRVVRNNL RIRLGDLVTIHPCPDIKYATRISVLPIADTIEGITGNLFDVFLKPYFVEAYRPVRKGD HFVVRGGMRQVEFKVVDVEPEEYAVVAQDTIIHWEGEPINREDEENNMNEVGYDDIGG CRKQMAQIREMVELPLRHPQLFKAIGIKPPRGVLMYGPPGTGKTLMARAVANETGAFF FLINGPEVMSKMAGESESNLRKAFEEAEKNAPAIIFIDEIDSIAPKRDKTNGEVERRV VSQLLTLMDGMKARSNVVVIAATNRPNSIDPALRRFGRFDREVDIGIPDATGRLEVLR IHTKNMKLADDVDLEALAAETHGYVGADIASLCSEAAMQQIREKMDLIDLDEDEIDAE VLDSLGVTMDNFRFALGNSNPSALRETVVESVNVTWDDVGGLDDIKEELKETVEYPVL HPDQYTKFGLSPSKGVLFYGPPGTGKTLLAKAVATEVSANFISVKGPELLSMWYGESE SNIRDIFDKARAAAPTVVFLDELDSIAKARGGSLGDAGGASDRVVNQLLTEMDGMNAK KNVFVIGATNRPDQIDPAILRPGRLDQLIYVPLPDENARLSILNAQLRKTPLEPGLEL TAIAKATQGFSGADLLYIVQRAAKYAIKDSIEAHRQHEAEKEVKGEGEDVEMTDEGAK AEQEPEVDPVPYITKEHFAEAMKTAKRSVSDAELRRYEAYSQQMKASRGQFSNFNFND APLGTTATDNANTNNSAPSGAGAAFGSNAEEDDDLYS SPAR_D01130 MSAPAALDAACIFCKIIKGEIPSFKLIETKYSYAFLDIQPTAEG HTLIIPKYHGAKLHDIPDEFLTDAMPIAKRLAKAMKLDTYNVLQNNGKIAHQEVDHVH FHLIPKRDEKTGLIVGWPAQETDFDKLGKLHKELLTKLEGSD SPAR_D01140 MSFYQQFFTLNNGNKIPAIAIIGTGTRWYKNEETDATFSNSLVE QIVYALNLPGIIHIDAAEIYRTYPEVGKALSLTKKPRNEIFLTDKYSTQIKVSDSPAA GLDVALKKLGTDYVDLYLLHSPFVSKEANGFSLEEAWKDMEQLYKSGKAKNIGVSNFA VEDLKRILKIAEVRPQVNQIEFSPFLQNQTPGIYKFCQENDILLEAYSPLGPLQKKSA QDQSQPFFEFVKELSEKYIKSEAQIILRWVSKRGVLPVTTSSKPQRISDAQNLFSFDL SDEEVDKITELGLEHEPLRLYWNKLYDKYNYAAQKV SPAR_D01150 MCCYCVCCTVSDFILYIIALFFPPVAVLFRSGPFSSDFLLNVLL TLLGFLPGMLHAFYYITITSPLRNAEYVYYYQQGWVDSERNVPSNRPQDSETFQNRSQ QGSSARNVYPSVETPLLQGAAPQDNKQSTVESPPPYVP SPAR_D01160 MDLFIESKINSLLQFLFGSRQDFLKNFKIWNNNNNNLSIYLLIF GVVVFFYKKPDHLNYIVESISEMTTNFRNNNSLSRWLPRSKFTHLDEEILKRGGFIAG LVNDGNTCFMNSVLQSLASSRELMEFLDNNVIKTYEEIEKNEEENGQESAQDEGNHKK NFRKSGKVYGKHKKKLNRKLSSKEEEENQEPDITFSAALRDLLAALNAKYYRDKPYFK TNSLLKAMSKSPRKNILLGYDQEDAQEFFQNILAELESNVKSLNTEKLDTTPIAKTDL PDDALVGQHNLDKVGTVYIPTEQIDPNSILHDKSIQNFTPFKLMTPLDGITAERIGCL QCGENGGIRYSVFSGLSLNLPNENIGSTLKLSQLLSDWSKPEIIEGVECNRCALTAAH SHLLGQLEQFERKPEGSIPEKLINAVKDRVQQIEEVLAKPVIDDEDYKKLHTANMVRK CSKSKQILISRPPPLLSIHINRSVFDPRTYMIRKNNSKVLFKSRLNLAPWCCDIDEIN LDARLPMSKKEKALQQESSEDENIGGEYYTKLHERFEQEFEDSEEEREYEDGEGNYAS HYSHNKNTSNYDPLNGEADGLTSDDEDEYVEETDALGNTIRKRIIGHADDDDENVKDH EEFHEVDNASLGEPKVSVEDQLETSSDDEDVIPAPPINYARSFSTVPATPLTYSLRSV IVHYGTHNYGHYIAFRKYRGCWWRISDETVYVVDEAEVLSTPGVFMLFYEYDYDEETG EMKDDLEAIPTNNEEGDEKEKDQEQEEGQEQEENQEQEEVQEQMKFKRTRDHRDISGK DVN SPAR_D01170 MNLYGYFLLLIIVLAFIALLPLFSGIGTFKLTKPSSSATTQSTT GKLGKREYLKKKLDHTNVLKFDLKGTEKNPSDDRANASSAARKFEIDSKTGLKRRIIG QYNKDPNDFDFDIDDLINEDELDERREEEKKLKKYNGKKNEAYEGFV SPAR_D01180 MIKRSLASIVRSSSVLSRRSMIAAAGGRVRFCPVVAKNNNRTVN TFQKRFVESSTDGQVVPQEVLNLPLEKYHEEADIYLDNLLDSMEELSEAHPDCIPDVE LSHGVMTLEIPAFGTYVINKQPPNKQIWLASPLSGPNRFDLLNGEWVSLRNGTKLTDI LTEEVEKAIAKSQ SPAR_D01190 MSEQATKPRNSSHLIGGFFGGLTSAVALQPLDLLKTRIQQDKKA TLWKSLKDIDNPLQLWRGTLPSALRTSIGSALYLSCLNIMRSSLAKKRHAVPSSINDS NTVYNKSSSLPRLSMYENLLTGAFARGLVGYITMPITVIKVRYESTLYNYSSLKEAIN HIYTKEGIFGFFRGFGATCLRDAPYAGLYVLLYEKSKQLLPMVLPTRFIHYNPEGGFT TYTSTTVNTTSAVLSASLATTVTAPFDTIKTRMQLEPSKFTSSLKTFTSIIKNENVLK LFSGLSMRLARKALSAGIAWGIYEELVKRFV SPAR_D01200 MATNLTSLKPPFKVKARYGWSGQTKGDLGFLEGDIMEVTRIAGS WFYGRLLRNKKCSGYFPHNFVILLEERLNSSTVSESGRQASEIAESYEKSNKVVIPPV PSRFSGEGSRPKKKLSSSMPNSPKRPADSLTKARKARSKEIINENNIYNTQSPRHHNN SAPNLPLPNHTKPQIRNFEESMNNPLPPLPPLPNLDNMRKTDKRTPKKSYSANDLNLA RSSREYNYYKDNQKFYDGFIPEKRSSLGEDSISSGLFSNSQYLDDSACSSENSFALMS DFSATSAGSFARHKYAQSFSDSLQRSQNAKSCPSKKVEDSQAFDDSNSSSRNGKMGDI LRKIIIPKRNTNNCSSTISSPKSPNAYPKLPDIQNLNLSATPDEARDWIAIKCHLNRA RTLTKYEKHPRYMRALEENRDLVLHPQDSIYNGLNTNEVKGNAKPGLVDAELAELNIE YIDKMTWKRCVRDGTMALDTWAQTTFSARYSTVLEKLRGMYIFCTEMFALTDDNGTSD FSAEPEYLEKILFRKHCTPYELTWLFKKLANSLGITCEIVIGFLKTPSAINWEFKYNH CWLRILVNKEWRFIDVILGNVTNPIHEFVNNRKIKKAENSYFLMAPLEMIYTHIPPRE FEQHIVPSIDQLSALHLPLVFPSFFKNELKLYKFSTALSFLEDSEVYECSLEIPNDVE VFASVVIPTDNEEISNAYRNMELALTQIKKQKAESGRRIALIKAVLPPNMSKGSLYIH SGVRGTQTSIANIHPLSMMVPLTHKGSNMKYEFVVKIPSESIQKIELYIVEPQSRYLF FDNEYSFEVIQSPSDGIIYSSDEGPNQNRRQPMAIKSPSGRVHELVKSDPHFPYGTWK VSIKIKEPGVWSALVIADSGIGWSVFAEWLCV SPAR_D01210 MELSAARQTEHFTKFSDTLKEYRIEQNNGQNPIDPFNIIREFRS AAGQLALDLANSTDERNLISSKDWELEARFWHLVELLLVFRNADLNLEEMDLHPYNSR GLFEKKLMQENKQLYQIWIVMVWLKENTYVKERPENIPTSKWSNSITSGGLKSCDLDL PLRDNSNVLDVKDKEEDHIFFKYIYELILAGAVDDALEEAKLSDNITICMILCGIQEY LNPSIDTQIANEFSTQQGIKKHSLWRRTVYSLSQQPGLDPYERAIYSYLSGDVPNQEV LQYSDWESDLHLHLNQILQIEIENYLLENNQIGTDELILPLPSHSLTVQEVLNRVASR HPSESEHPIRVLMASVILDSLPSVIHSSVEMLLDVVKGTETSNDIIDKPYLLRIVTHL AIFLDIINPGSVEEVDKSKLITTYVSLLKLQGLYENIPIYATFLNESDCLEACSFILS SLEDPQVRQKQIEIINFLKLPASNILRRTTQRVFEETEQEYSPSDEISISFDINSIDM HLIYGVEWLLEGKLYVDAIHSIIALSRRFLLNGRVKALEQFMGRNSVGEICKNYELEK ITEDISEDENGDQFLKEITQYEHLIQGIKQYEEWQKSVSLLNSESNIPTLIEKLQGFS KDTFKLIRTFLVDLTSSDFVDSADYEILYEIRALYTPFLLMELHKKLVEAAKLLKIPK FISEALAFTSLVANENDKIYLLFQSSGKLREYLDLVARTATILN SPAR_D01220 MRILCRHYTILVIDEGKRVKKQRFIFKLSKTVSPESYQSEQEFS TPLLKLAHEDHRHFVLEQKKKRRRDSGDNEAQEMLAAENNTVDDDGLPPEINQMVNNY LKLNKGEEKTERKKPSRKYFSGDSAKIASLPSLDYVFDIYHLEKIHEDEVARYNNEKN IGFVKIIEHIDLALDEESDPNEARSDDEDSNDENYYQNDYPEDEDDDRSILLGSEGED IAELEEEIVLGVNKSRFSSWNDDKILGPNGYQDVEEEYGDLFNRLGGKSDVLKSINSS NFIDLDGQEAEKEISDKEDDLGEADDMEYPRNEFFPTDADDPLAHHRDRIFYQLQKKI DRS SPAR_D01230 MVYKNKIHEPSGTIKYIKDFSSVILSLPNYNTSVLSTRSTALVT GGSSGLGFELAMELAKRVNKVIVADIQSFPTFAQEECNNIFYYQCDITSLDEIKKLKK ATERDHGNVDILVNNAGVAHIKKLEHMTNKEVKQLIDINLIGAYRIINTFAADMMNNE EGFIIDIASVLGELTPARLTSYGASKGAMIGFHKSMCRRFSSLPMKYNKPGIKTLLVC PGKIQTNMFIDVPTPSKLLAPDIIPSQLALAIISAMEHNHLQTLNAPYYVNLVPFFKT LSWPYRHLLKHFSGMDHVTSIQPTTKKV SPAR_D01240 MSDLNDIQENAKLNMESQNTGEAEPFHGTTEYVEKPEISKEGVG SPKKSPKKDKLGQRDNNKVETELVHTALLEKDNPFMEEGPTGLTKSALLEIPGMKSHK LKNPNEDYEDDSEGLLPLNHESNVETCRTSLSGSINSMNGETSASEELSVRNRKKSAR IHILEAKRVSEGQGRAYIAYVIQFENSTVQRRYSDFESLRSILIRLFPMTLIPPIPEK QSIKNYGKSITGSSSKYLLPSEGSGSVDLSLSVIHASVNNSDEKLIRHRIRMLTEFLN KLLTNEEITKTSIITDFLDPNNHNWNEFVNSSSTFSSLPKSILQCNPLDPTNTTRIHA TLPIPGSSSQLLLNKESSDKKMDKKRSKSFTSIEQDYKQYENLLDNGIYKYNRRTTKI YHDLKTDYNEIGEVFAQFAHEQAQVGELAEQLSYLSNGFSESSISLEKLVGRLYYNIN EPLNESVHMATSARELIKYRKLKYLQNEMIRKSLNSKRTQLEKLEAQNNEYKDVDKII DNEMSKSHIINLERPNNNNTGSGGKSYGGKLFNGFNKLASMVKESVKYQETDPHTASI NLKKEIEQLSESLEVTENDLDVISKVIKDDQLPKFSKEREADLSEILKHYSRYMRNYA RQNLEIWKEVKRHQDFA SPAR_D01250 MVGGAVIGKYLPHEEQLKLISDLIQDDSLEEVLELIKTSSLDIS TDRKIETSIFEKITKQVTVYASMDNEAKEMFCSSRGEMNNTLRTSAHLLCCLPTVWHK FQVWMSYRLNDIISENYKHLFDDNFGKMTVQPFFDFFAREQNADVEHEKLHLNILSLF DYLEVVYLFDESNNSISSKCLDFIIVPLLGCNSEEIAESCSKLMRWHIRPLSKCCNID SNFDKLVWNFIKQLYTEDSQQHWKQKNSLSFLLRFLLTSELSPELITYIKNDAYWEHI QAELDNDVHEHRKLALSILKLTIQKLSTHSIELQTTFYKSSDIPSIEMISSWKKFTTL YEMVALDTSLNQIQAAKHDIIKIFDNIHLHHSWGLILLSTGLKSSMESVRKYMMTLMF SITNMSAFSSSVPLLTKTLLPAAMSAHYFDVKGRNCPHGEKLSSFVNNLLSQTTDDVS DTLSEVLKLLVEKGTSFDPSRIYLSYGVLEFLQKEKKKSINSDHLNLIRKLYEFVAEE EVLETTIQTIYLKFLLHIGPSVSASELLFTLVSHIKLKGGTYDYIEPLFEDYRDLAVS QFDDLQANENLTANIGKDTIFDLLASIIFDFKDIDITPNFLVEVAKSKQDTPNNTSKA VTFLTQLLSGEPSSGYTYENATALLSYPNFTLSTWKSVNIGKLLKSVMEDFSLDKFKF FVGIYQKTYECRFDTIELNFNGLLSLYEMVKTSAGRSSRESFKLKDSAYSSYFDLLTT FLKTYALIRDTSDRDNDELHMLLHLIDDNINKDNGNYLGNLAVCKLLCFIIDTYIHCS TSVSDDDIFIVKFIFEKFSFIWECISSERLVLKERDLHLMLIKGLFHPVILYFGSKQY IDNLSSKLEEHAQTIISLSYSRRSLLPLLGSQLRIFMKFYGKSLSEGVDYWWLINIIV NVFKQPQMDVNLYKLKPVISSLFDHKLNSYYMKGDELYEKVYGPEEILARVSIIDCIL CANDQFKIRLIEKVTEKTNALYAIKRTDGAEALQRLLQWQLLLLSLQTTKEPRLSETS MIRILKSIEDESSPLVRVYKEWFISSKVVDYYKTGDSKFAEDYLFSLLEDHSKPVFVV SAEKICFMVLKDLRNDEKKYGFIHLLNRFICTLVPNAASNKPLVRHFSNSLIISMWPT FEAYLSDHTLRNIIENLYSNAKKTQIFGQYRAGDANIWDLKGDRKLTNMFGGVLKKVT DHDCPYISEPVFEKYLQAKDIVPIGTDERSLWLDKRDVNTESVNDVNASCDTSPLQTK SGAWETVLDLDNKKSNDVVIRSDLIVVSSLVDKPPNLGGICRLCDVLGVGLLTVQDIK VKNHPQFKNVAVTADRWMPMEEVTLDEIANFMKEKKKDGYTLIGLEQTDKSVKLDNNF QFPKKSLILLGTEAFGIPGTLLSELDLCLEIQQFGVIRSMNIQTATAVIVHSYTVQHM SPAR_D01260 MSLSVAEKSYLYDSLASTPSIRPDGRLPHQFRPIEIFTDFLPSS NGSSRIIASDGSECIVSIKSKVVDHFVENELLQVDVDIAGQRDDALVVETITSLLNKV LKSGSGIDSSKLQLTKKYSFKIFVDVLVISSHSHPVSLISFAIYSALNSTFLPKLISA FDDLEVEELPTFHDYDMVKLDINPPLVFILAIVGNNLLLDPAANESEVANSGLIISWS NGKITTPIRSVALNDSNVKGFKPHLLKQGLAMVEKYAPDVVRSLENL SPAR_D01270 MCSAGGIRRPIQIEEFKTAISGMSDMELAQIKTEIENSINHLQR SNARLGKYISKLEGADDRLEDDDSDHLENIDSGDLALYKDSVRENEIVLNNYNERVDA LEQETVYRKTGHGKSKHEAETKDNTKKGPDVDMDNTNVDVVTPNSIFI SPAR_D01280 MKVHISANEVLYYNQSSVKLGEFERYIITYELYQGDGIPADIKL DSLWVKIKNTTKLSYKAAYLLGPFILYCDVRAKDYESSYKIISSADKPVFQSNLQAQQ KFVSELSLHHIKPRYVWIVDVVSQILFNRETKVNFGILIGNSKASLKKKIRCDRALPD KICNTLLSGLSVQRLTTADIWKVPPLIGISQKSHLVILTHGFQSNVSADMEYIMEEIY KSQMNNSNERLVIKGYAKNVCETEKGIKFLGVGLANYIIDELYDDSVEKISFIGHSLG GLTQTFAICYINTIHPDFFKKVEPINFISLASPLLGIATSTPNYVKMSLSMGIIGTTG QELGLKDANYGDKPLLYLLSEESLINVLARFKRRTLYANAVNDGIVPLYSSSLLFLDY SQLLHKLRGQTTAPCDPLLQPEVNSGENLTNHSDDYNDDNEINTSSWNTFWKTKGNDC DKKSKRLMNASVIKSMKSVVLTPCPDANFLSNPDERVATIIHDKIYSEKNLPPPSPTL YEGIAAQGGETRKTRKEMEEIIARRWHKGMHWRKVVVSLKPDAHNNIIVRRRFANAYG WPVIDHLVTAHFQRDDSDALPVQDKQSSEEDTNIATGGVEPNKFFSWLTKIEDPSAYR GGIVSTASHLASSWISKHSSVKD SPAR_D01290 MEYTKEKKVGEGTYAVVYLGSQHSTGRKIAVKEIKTSEFKDGLD MSAIREVKYLQEMQHPNVIELIDIFMAYDNLNLVLEFLPTDLEVVIKDKSILFTPADI KAWMLMTLRGVYHCHRNFILHRDLKPNNLLFSPNGQIKVADFGLARAIPAPHEILTSN VVTRWYRAPELLFGAKHYTSAIDIWSVGVIFAELMLRIPYLPGQNDVDQMEVTFRALG TPTDRDWPEVSSFMTYNKLQIYPPPSRDELRKRFIAASEYALDFMCGMLKMNPQKRWT AVQCLESDYFKELPPPSDPSTIKIRS SPAR_D01300 MQRFISRFVTTPRIPKKFQEIFPKKRTINKILFQLDTRLTYREM FPIFLQVSQTTNEENVPWKKKYPYIKSSDIMQMRNVLITLRRQNKFVHKDLLAMEDKL LNIAAELCNNDAISILSFNVVHGHKRGSVEYNYQNDVETANRFIKNLYARNHHLTVKL IGDMFFENKAYDKAQKYYKEFLNLENSTKLTGEVYGKLGEIQIKHVNGFLKAEKSWLK CIELLEIERSSRWYFLLAKLYLSSEPMKARALLENCASIGFKESFKTLGFLELNYFHN HERAKEWFKIGMEIMDLECFFGFLDCCLKVKDIRGARDCLESVKKLGNNDNKKPMIDA FLESRRDSIKLLDKA SPAR_D01310 MMEEFTYDHDFNTHFATDLDYLQHDQQQQHNQQQQQQQQQPIQM QNQEHDHDQHTNDMSASSNASDSGPQRPKRTRAKGEALDVLKRKFEINPTPSLVERKK ISDLIGMPEKNVRIWFQNRRAKLRKKQHGSNKDPNSSSQSRDIANDYDRGTTDNNFVT TTSTSSIFHDEDLTFFDRIPLNCNNNYYFFDICSITVGSWNRMKSGALQRRNFQSIKD LRNLSPIKINNIMSNATDLMVLISKKNSEINYFFSAMANNTKILFRIFFPLSSVTNCS LTLETDDDIINSNSTSDNNNSNANNDDDNDDNSNEDNDNGSDDKRNSKDNFGELKLTV TRSPTFAVYFLNNAPDEDPNLNNQWSICDDFSEGRQVNDAFVGGSNIPHTLKGLQKSL RFMNSLILDYKSSNEILPAINTAIPTAAVPQQNIAPPFLNTNSSATDSNPNTNLEDSL FFDHDLLSSSITNANNGPSSINGHHGSKDDTLNLLDTTVNSNNSHNANNEENRLAQER LSNDADIVANPNDHLLSLPTDGELPNTPDFLKNTNELTDEHRWI SPAR_D01320 MSNTVTSGKRRNSAVTEPDGGGEARKQRKKFRTDDKSSSSKDGD PQLEFKVLQGYRDLESEMHKGRAQVTRTGDIGVAMDNLNAVDSLFNKVIGIKNNGLFA HDARAMVSISELAQISVRNLKFDDSRSMVNLENIVNSLKRYMLKEHFKLNNIAENRND LTVPADGQFVEDQQEDSDENSDRAPGDNHTDRATSSFKATSIRHSYLQQFSHYNDFSQ FNWFRMGALYNAVSKDAPIADHLMGPFSVEKKPRVLTQRRRNNDHIGEKITAEKITQH SLNSTQQETTPEQVKKCFKKLSKKIGPEGSINLFIFIIDPNSFSRSIENLFYTSFLIK EGKLLMEHDEEGLPTIKIKQSISHADSRGKEIERQRRRGAHQNHIIFQMDMSTWRKLI KKYNITSSFLD SPAR_D01330 MIAIKGTGRFLLKNCRIWQRRVSNRPIQSRKGYKVLAIETSCDD TCVSVLDRLSTNTAPTVLANLKDTLDSIDEGGIIPTKAHIHHQARIGPLTERALTESN AREEIDLICVTRGPGMPGSLSGGLDFAKGLAVAWNKPLVGVHHMLGHLLIPRMATNGK VPQFPFVSLLVSGGHTTFVLSRAINDHEILCDSIDIAVGDSLDKCGRELGFKGTMIAR EMENFINQDINDQDLALKLEMPSPLKYNPSRRNMLSFSFSAFITALRTNLVKLGKTQV HELPEYEIRSIAYQVQESIFDHIINKLKHVLKSQPEKFENVHEFVCSGGVSSNQRLRT KLETELGTLNSASFSNFHYPPLDLCSDNSIMIGWAGIEIWESLGLVSDLDMCPIRQWP LNDLLNVDGWRKGQL SPAR_D01340 MTDTKQLFIEAGQSQLFRNWESLSSQEQEELLSNLKQISSKRSP AKLLEECQNAIKFSQANSSKDTGANVLPLPSTSYKSLIDNSNKENEYWHLGLEAIGKG EVAVILMAGGQGTRLGSSQPKGCYDIGLPSKKSLFQIQAEKLIRLQDMVKGKKVEIPW YIMTSGPTRAATEAYFKDHGYFGLNKEQITFFNQGTLPAFDLSGKHFLMKDAVNLSQS PDGNGGLYRAIKENRLNEDFDKRGIKHVYMYCVDNVLSRIADPVFIGFAIKHGFELAT KAVRKRDAHESVGLIATKNEKPCVIEYSEISNELAEAKDENGLLKLRAGNIVNHYYLV DLLKRDLDQWCENMPYHIAKKKIPAYDSATGKYTNPVEPNGIKLEQFIFDVFDTVPLN KFGCLEVDRCKEFSPLKNGPGSENDNPETSKLAYLKLGTLWLKDAGAIVEDGVLVEVS SKLSYAGENLSQFKGKIFDRNGIILDK SPAR_D01350 MSEKRSLPMVDVKIDDEDTPQLEKKIKRQSIDHGVGSEPVSTIE IIPSDSFRKYNSQGFKAKDTDLMGTQLESTFERELSQMEHDIADQEEHDLSSFERKKL PADFDPNVYDISFQQIDAEQSVLNGMKDENTSTVVRFFGVTSEGHSVLCNVTGFKNYL YIPAPNSSDADDQEQINKFVHYLNETFDHSVDSIEVVSKQSIWGYSGDNRLPFWKVYV TYPHMVNKLRTAFERGHLSFNSWFSNGTTTYDNIAYTLRLMVDCGIVGMSWITLPKGK YAMIEPNNRVSSCQLEVSINYRNLVAHPAEGDWSHTAPLRIMSFDIECAGRIGVFPEP EYDPVIQIANVVSIAGAKKPFIRNVFTLNTCSPITGSMIFSHATEEEMLSNWRNFIIK ADPDVIIGYNTTNFDIPYLLNRAKALKVNDFPYFGRLKNVKQEIKESVFSSKAYGTRE TKNVNIDGRLQLDLLQFIQREYKLRSYTLNAVSAHFLGEQKEDVHYSIISDLQNGDSE TRRRLAVYCLKDAYLPLRLMEKLMALVNYTEMARVTGVPFSYLLARGQQIKVVSQLFR KCLEIDTVIPNMQSQASDDQYEGATVIEPIRGYYDVPIATLDFNSLYPSIMMAHNLCY TTLCNKATVERLSFKIDEDYVITPNGDYFVTAKRRRGILPIILDELISARKRAKKDLR DEKDPFKRDVLNGRQLALKISANSVYGFTGATVGKLPCLAISSSVTAYGRTMILKTKN AVQEKYCIKNGYKHDAVVVYGDTDSVMVKFGTTDLKEAMDLGTEAAKYVSTLFKHPIN LEFEKAYFPYLLINKKRYAGLFWTNPDKFDKLDQKGLASVRRDSCSLVSIVMNKVLKK ILIERNVDGALAFVRETINDILHNRVDISKLIISKTLAPNYTNPQPHAVLAERMKRRE GVGPNVGDRVDYVIIGGNDKLYNRAEDPLFVLENNIQVDSRYYLTNQLQNPIISIVAP IIGDKQANGMFVVKSIKINTGSQKGGLMSFIKKVEACKSCKGPLRKGEGPLCSNCLAR SGELYIKALYDVRDLEEKYSRLWTQCQRCAGNLHSEVLCSNKNCDIFYMRVKVKKELQ EKVEQLSKW SPAR_D01360 MSLATKREHSGEITDPSFKRQQRNNKLSSEYTCLGHLVNLIPGK EQKVEITNRNVTTIGRSRSCDVILNEPDISTFHAEFHLLQMDVDNFRRDLINVIDKSR NGTFINGNRLVKKDYILKNGDRIVFGKSCSFLFKYASSSSADIENDDANAGSESRSYK NDDEVFKKPQISTASSQNAITGSAIRKPNKTKPVSFFDKYLLGKELGAGHYALVKEAK NKKTGQQVAVKIFHAQQNDDQKKNKQFREETNILMRVQHPNIVNLLDSFVEPISKSQI QKYLVLEKIDDGELFERIVRKTCLRQDESKALFKQLLTGLKYLHEQNIIHRDIKPENI LLNITRRENSSQVQLGPWDEDEIDIQVKIADFGLAKFTGEMQFTNTLCGTPSYVAPEV LTKKGYTSKVDLWSAGVILYVCLCGFPPFSDQLGPPSLKEQILQAKYAFYSPYWDKID DSVLHLISNLLVLNPDERYNIDDALNHPWFKDIQQQNSVSLELQRLQITDNKIPKTYS ELSCL SPAR_D01370 MDLTVEPNLHSLINSTTHKWIFVGGKGGVGKTTSSCSIAIQMAL TQPNKQFLLISTDPAHNLSDAFGEKFGKDARKVTGMNNLSCMEIDPSAALKDMNDMAV SRANNNGSDGQGDDLGSLLQGGALADLTGSIPGIDEALSFMEVMKHIKRQEQGEGETF DTVIFDTAPTGHTLRFLQLPNTLSKLLEKFGEITNKLGPMLNSFMGAGNVDISGKLNE LKANVETIRQQFTDPDLTTFVCVCISEFLSLYETERLIQELISYDMDVNSIIVNQLLF AENDQEHNCKRCQARWKMQKKYLDQIDELYEDFHVVKMPLCAGEIRGLNNLTKFSHFL NKEYDPVADGKVIYELEDKE SPAR_D01380 MSEQESDELKRMKQLEEARKRVEELKKKKNKKSKGKKNKNSNAT GSIGSETPDLESTPAEELAHEQTVEVDLTKSENNDQDAEGNKENEEAEEKEIGQVKSN NSATQEKETEEGNSTFANVEEDSAENTSKGEVQGAVGSSNFEQAADVEKIIEPQEEKK TIQPQEEREPSNSPATTDDLFANDDNQESDFLTTIEKKKEEDELIKLRAENEKLTQEN KQLKFLNMENETTVDDLQDQLQEKEDIINSLQNDLQTTKDELIATLQRLKEAETKSAR NATTTPIQFADFNTSSNNLTPSQSVNNSGTQMTRENNMEVDRLMLDKWRQWNVDMTTW RSIGSGPIMEF SPAR_D01390 MSNFGRRTWNREEYAEQARSGYDDQSLKATLTSTELQALKSKYT NYDRLIKGSLKDLNKRKLAANTDTLSSFKRGKKFGFYCDICNLTFKDTLQYIDHLNHK VHAIKFENLFDEPLIIDIRDNDDVPQEEFELCYHNLVKEFIEVNSTEIQSKRKRFLNA GMDKSKKMVTKPSIENESKVSQMMGFANFATSKK SPAR_D01400 MSVPGSKLKEARKLVNEKQYDEAEQVYLSLLDKDSSQNSTAGAS VDDKRRNEQETSILELGQLYVTMGAKDKLREFIPHSTEYMMQFAKSKTVKVLKTLIEK FEQVPDSLDDQIFVCEKSIEFAKREKRVFLKHSLSIKLATLHYQKKQYKDSLALINDL LREFKKLDDKPSLVDVHLLESKVYHKLRNLAKSKASLTAARTAANSIYCPTQTVAELD LMSGILHCEDKDYKTAFSYFFESFESYHNLTTHNSYEKACQVLKYMLLSKIMLNLIDD VKNILNAKYTKETYQSRGIDAMKAVAEAYNNRSLLDFNTALKQYEKELMGDELTRSHF NALYDTLLESNLCKIIEPFECVEISHISKIIGLDTQQVEGKLSQMILDKIFYGVLDQG NGWLYVYETPNQDATYDSALELVGQLNKVVDQLFEKASVLY SPAR_D01410 MSEEKTYKRVEKDDPVPELDIKQGPVRPYIVTDPSAELASLRTM VTLKEKLLVACLAIFTAVVRLHGLAWPDSVVFDEVHFGGFASQYIKGTYFMDVHPPLA KMLYAGVASLGGFQGDFDFENIGDSFPSTTPYVLMRFFSASLGALTVLLMYMTLRYSG VRMWVALISAICFAIENSYVTISRYILLDAPLMFFIAAAVYSFKKYEMYPANSLNAYK SLLATGIALGMASSSKWVGLFTVTWVGLLCIWRLWFMIGDLTKSSKSIFKVVFAKLAF LLGVPFALYLIFFYIHFQSLSLDGDGASFFSPEFRSTLKNNKIPQNVVADVGIGSIIS LRHLSTMGGYLHSHSHNYPAGSEQQQITLYPHMDANNDWLLELYNAPGESLTTFQNLT DGTKVRLFHTVTRCRLHSHDHKPPVSESSDWQKEVSCYGYSGFDGDANDDWVVEIDKK NSAPGVAQERVIALDTKFRLRHAMTGCYLFSHEVKLPAWGFEQQEVTCASSGRHDLTL WYVENNSNPLLPEDTNRISYKPASFISKFIESHKKMWHINKNLVEPHVYESQPTSWPF LLRGISYWGENNRNVYLLGNAIVWWAVTAFIGIFGLIVITELFSWQVGKPILKDSKVV NFHVQVIHYLLGFAIHYAPSFLMQRQMFLHHYLPAYYFGILALGHALDIIVSYVFRSK RQMGYAVLIAFLAASAYFFKSFSPIIYGTPWTQELCQKSQWLSGWDYNCNTYFSSLEE YKNQTLTRRESQPAATSTVEEITIEGDGPSYEDLMNEDGKKIFKDTEGNELDPEVVKK MLEEEGANILKVEKRAVLE SPAR_D01420 MNNEHLLKVDPVPNVIIKRGPLRSFLITDPCDNLSSLRTVTSPK EKLLVAFLLIFTAIVRLRNISLPNSVVFGENEVGTFVSQYVNNVFFTDVHPPLVTMLY AAVSSVFGFKGFFNYGDIGTEYPANVPYIAMRFFSATLGIMSVLVLYLTLRVSGVKIA VAAICTVCFAIENSFVTMSRFTLIEGPFVFFMACAVYFFRRSELYLPNSYKAKKSLVA ASIALGFAVSSKWAGLFTIAWAGIIVLWRVWFMIGDLSKSIGSSVKYVAFHFTCLLGI PTIIYFLIFSLHIKTLNANGISSSFFPAEFRKTLKFNNVIKETVAEVAVGSAVSLNHV GTAGGYLHSHLHNYPAGSMQQQVSLYPHFDQNNKWIIELAERPNDNVTSFQNLTDGTI IKLRHLRTGCRLHSHDHKPPVSQNADWQKEVSCYGYEGFEGDINDDWIIEIDKKRSEP GPAQEHIRAIETKFRIKHYLTGCYLFSHPEKLPEWGFGQQEVTCAYFAREDLTSWYIE ENESEVSLPNPEKVSYKKMSFWQKFVAIHKFMFYLNNYMDTSHAYSSEPKTWPLMLRG IDFWNENGREVYFLGNAVLWWSVTAFICTFIIGVAVELLAWKLGANILQNKHIINFHY QVFQYLSGFVAHYLPYFFAGQKLFLYDYLPAYYFGILAFGHALDLISTYISNKRNNTG YIVVVIFTIACFHFFNEHSPLIYATGWSSNLCKRSKWLGSWDFYCNSLLLADSHYELN IE SPAR_D01430 MANSGCLSPSEFLSKVPEFFKTANEKHITVRLTAKRLIEHDPVE GNLEFDTTNHPDYDVSKKASEVTVAPRSDREYPLLIRMSYGSRDKKAKCSTVVKASEL DQFWQEYSSVFKGGMQNLIKKKKKKSKNGTSGKTSKKNKVTKKN SPAR_D01440 MDIFRHTFGNNDESFIRIPGAFREEPPADLDGRTEDQNNNTNEP AQDRGGRSKSVLHFLFQAPLIVLYYLLNFIVRSSRLLKPLLRLHGFYQRKHNRLLDHS SQLHRLLENLENEAQAVTCSEANGSTDDGSNSESTSNNQNSGVQFSFGSLYNPENGTF SKSIMQNSYTELLDACSAQVKFGVIYLHDPLLDNHMDYVNKILCSEAFVNMIRKYQVL LWYGDVTTSEGLQVSNALKIRQYPLLGIISLKAEKKIELIARVEGSISNYTTQDLETI FSKNYPRLIQLRQQRQNIEMQRLIRQQQDSRYQDSLRRDQQRDSERLEQTRRDQRELE HQRTENQWLLWRKSQLKPEPSPGKDASKVAIRLENGQRLVRKFDASLPTEEIYAFIEL QLHGLLNSEDDDPPVDRPANYQHQYGFKLITPVPRREIGLSTTISDVSGIYPSGNIVM ERLDE SPAR_D01450 MQQRVGRSIARAKFINSALLGRKRSVMEKIVDVADLDSSKAIQP LMKELETATTEARHKVLQGVLEIYDGEGVNKPTLTKDFHKMYLDVAFEISLPPQMTAL DASQPWMLYWIANSLKVMDKDWLSDDVKRKIVDKLFTISPSGGPFGGGQGQLSHLAST YAAINALSLCDNIDGCWDRIDRKGIYQWLLSLKEPNGGFKTCLEVGEVDTRGIYCALS IATLLNIFTEELAEGVLNYLKNCQNYEGGFGSCPHVDEAHGGYTFCATASLAILGSMD QINVEKLLEWSSARQLQEERGFCGRSNKLVDGCYSFWVGGSAAILEALGYGQCFNKHA LQDYILYCCQEKEQPGLRDKPGAHPDFYHTNYCLLGLAVTEASYSCAPDDPPHYIKCT PDRLIASSELTDVNPVYGLPIENVKKIIQYFDSNLPS SPAR_D01460 MSSSEMDSDGMINEAYDDSELIGEETESKYASIKRWYQLITSPL DLQLVINEKLEMINWDAHAKSLAKPLGNFLTVLFFVTRLLQDNLIKPNYYKLNVKSGA FDLSKSNKLKEFDYLWEISSSFQDNNQFYAFQSWYFVTLRFMNNLFKFTIFILLSLNL YVSCKFMFGYLKTYNLFHLKKEFDSPNLTKHNLSDLSKEYYEDIYKQSLWSMLKHFFR GSHTDAPYIDQDEDEIFYQLKKWTPTNFMINLFVSFSPTAIVFLSFSDVTFTTAIAII IHQYILDYVITKRFQRSVDDDLILSSAALQEYEDKHIIARTHEYGNTNTLSSAIGTRP KTPRIFTTHSLRGEEIREVYNYKKKEFEALPKMTENVPESRETGMKVREGISQIPGNH TYPIGFRYSPKIIPYLREKGSNNDFVQLSLNQNLKKDGAHLPNQDQNTSKSLSPLRKT PLSTRQKSFEGSEFNALNKDDIDAILRSPKKKKNNHKK SPAR_D01470 MFGVRSGDNNSGFTSLTSQAPQTTPMFQSQSQLQAQLQPQQQQQ SSPFNGPFGASSSRFGTSLTNTVNINNNSSNISSNSINNNNVNNSVNNTNQHSQGNNP SWVSNPKKRFTPHTVIRRKTTKQNSSSDISQNDESSSLNTSMRNFSKQNQDFKHNERN KSAANNDINSLLSTFNDIPPTVTLQDWQREDEFGSIPSLTTQFVSDKYTAKKINRPAY DSKNTPNVFDKDSYVRIANIEDNHLDNNYNTAETNNNKAHETFSKSSSLSAIIVFGYP ESISNELIEHFSHFGRIMEDFQVLRLGRGISPSSFRIFHNRDTNCDQNDPTANKSITL KGKDNETSNKKYPIFTGESWVKLTYNSPSSALRALQENGTIFHGALIGCIPYSRNAVE QLAGCKIDNIDDIGEFNVSMYQSSSTPSTSNTPSPPNVIVTDDALLREDVNSPASNVG IGAKISSPKLANSLNKRLDVIDGKLPFMQNTGPNSNIPTLLRSLESKMRQQEEKYRNN EPAGFIHKLNNWLFGWNDL SPAR_D01480 MSTMSTMSTPAAEQRKLVEQLMGRDSSFRHNRYSHQKRDLGLHD PKICKSYLVGECPYDLFQGTKQSLGKCPQMHLAKHKIQYEREVKQGKTFPEFEREYLA ILSRFVNECNGQISVALQNLKHTAEERMKIQQVTEELDVLDARIGLMGQEIDSLIRAD EVTMGMLQSVKLQELISKRKEVAKRVRTITENVGQSAQQKLQVCEVCGAYLSRLDTDR RLADHFLGKIHLGYVKMREDYHRLIKNNQTPNTNKTATALPGRHFV SPAR_D01490 MLITETFHDVQTSYGTTLRIYVYSPKIAGYPQAKFPGVILYSEI YQVTGPVRRFGQRIASEGYVVVAPAIYHNFMGPEALPYDVQGTDIGNEYKIKKPLESY DEDNKLCCDLLFQLPQFDGKRVGSTGMCLGGHLAFRALLDKRVTCATCFFPTDIHSRT LGLGQDDNSLERVSKELGNNQEMVLIFGTADTHVDPEGRDLIRKTLRDHGVKFTFLEI LAAQHAFIRDEFSKGRFDSAITQSCLGFLFEQFNRKLRIDLGEFVDDNTPLEHVC SPAR_D01500 MARGNQRDLARQKNLKKQKDMAKSQKKNGDPKKRMESDAEILRQ KQAAADARREAEKLEKLKAEKSKR SPAR_D01510 MLPRLGFARTARSMHRFKVSQISKPFFHSTEVGKPGPPQKLSKS YTAVFKKWFVRGLKLTFYTTLAGTLYVSYELYKESNPPKQIPQSTAFANGLKKKELVI LGTGWGAISLLKKLDTSLYNVTVVSPRSFFLFTPLLPSTPVGTIEMKSIVEPVRSIAR RTPGEVHYIEAEALDVDPKAKKVMVQSVSEDEYFVSSLNYDYLVVSVGAKTTTFNIPG VYGNASFLKEIEDAQNIRMKLMKTIEQASSFPVNDPERKRLLTFVVVGGGPTGVEFAA ELQDYINQDLRKWMPDLSKEMKVILIEALPNILNMFDKTLIKYAEDLFARDEIDLQVN TAVKAVEPTYIRTLQNGQTSTDIHYGMLVWATGNEPIELSKTLMGRVPEQTNRRGLLI NEKLELLGAEDSIYAIGDCTAHTGFFPTAQVAHQEGEYLAKILDKKLQIEQLEWDMLN STDDSKVSRLQKEINLRRSKLDKFNYKHMGALAYIGSETAIADLHMGDSSYQLKGMFA FLFWKSAYLAMCLSIRNRILIAMDWTKVYFLGRDSSV SPAR_D01520 MSHEGEEDLLEYSDNEQEIQIDASKAAEAGETGAATSATEGDNN NNTAAGDKKGSYVGIHSTGFKDFLLKPELSRAIIDCGFEHPSEVQQHTIPQSIHGTDV LCQAKSGLGKTAVFVLSTLQQLDPVPGEVAVVVICNARELAYQIRNEYLRFSKYMPDV KTAVFYGGTPISKDAELLKNRDTAPHIVVATPGRLKALVREKYIDLSHVKNFVIDECD KVLEELDMRRDVQEIFRATPRDKQVMMFSATLSQEIRPICRRFLQNPLEIFVDDEAKL TLHGLQQYYIKLEEREKNRKLAQLLDDLEFNQVIIFVKSTTRANELTKLLNASNFPAI TVHGHMKQEERIARYKAFKDFEKRICVSTDVFGRGIDIERINLAINYDLTNEADQYLH RVGRAGRFGTKGLAISFVSSKEDEEVLAKIQERFDVKIAEFPEEGIDPSTYLNN SPAR_D01530 MSAVPSVQTFGKKKSATAVAHVKAGKGLIKVNGSPITLVEPEIL RFKVYEPLLLVGLDKFSNIDIRVRVTGGGHVSQVYAIRQAIAKGLVAYHQKYVDEQSK NELKKAFTSYDRTLLIADSRRPEPKKFGGKGARSRFQKSYR SPAR_D01540 MAISKNLPILKNHFRKHWQERVKVHFDQAGKKVSRRNARATRAA KIAPRPLDLLRPVVRAPTVKYNRKVRAGRGFTLAEVKAAGLTAAYARTIGIAVDHRRQ NRNQEIFDANVQRLKEYQSKIIVFPRNGKAPEAEQVLSAAATFPIAQPTTDVEARAVQ DNGESAFRTLRLARSEKRFRGIREKRAREKAEAEAEKKK SPAR_D01550 MSTESALSYAALILADSEIEISSEKLLTLTNAANVPVENIWADI FAKALDGQNLKDLLVNFSAGAAAPAGVSGGVAGGEAGEAEAEKEEEEAKEESDDDMGF GLFD SPAR_D01560 MNSSYTQRYALPKYIAISDYLFHRLNQLNIHTIFGLSGEFSMPL LDKLYNIPNLRWAGNSNELNAAYAADGYSRLKGLGCLITTFGVGELSAINGVAGSYAE HVGILHIVGMPPTSAQTKQLLLHHTLGNGDFTVFHRIASDVACYTTLIVDSELCADEV DKCIKMAWIEQRPVYMGMPVNQVNLPIESARLNTPLDLQLHKNDPDVEKEVISRILTF MYKSQNPAIIVDACTSRQNLIEETKELCSRLKFPVFVTPMGKGTVNETDPQFGGVFTG SISAPEVREVVDFADFIIVIGCMLSEFSTSTFHFQYKTKNCALLYSTSVKLKNATYPD LSVKLLLQKLLTNLDESKLSYRPSEQPSMMVPRPYPAGNVLLRQEWVWNEISHWFQPG DIIITETGASAFGVNQTRFPVNTLGISQALWGSVGYTMGACLGAEFAVQEINKDKFPA TKHRVILFMGDGAFQLTAQELSTIVKWGLTPYIFVMNNQGYSVDRFLHHRSDASYYDI QPWNYLGLLRVFGCTNYETKKIITVGEFRSMISDPNFGINDKIRMIEIMLPPRDVPQA LLDRWVVEKEQSKQMQEENENSSAVDTPTPEFQPLLKKIKLDTDMISPPYYQGPP SPAR_D01570 MTDVLRNLVRKISFSNSDNLQLKHKTSIQSNTALEKKKRKPDTG KKVSEVQVHHSIPNFNNSAEYINDIENLIISKLVDGCKEGIAVDHIEHVDTSDSKTDE KVVTKQENISSKLSKEKVEKMINFDYRYIKTRQRTISCQWRNVSVVATRVKTEPRQYS RHKKKIVKRKRERTITDIVSLILTTRLPNIRKIQEHSSIFYGTTIFMGIRSYTNIGLV HKRVYKHDHKTDADKKNRGETIDISYPTTEVVGHGSFGVVVTTVIIETNQKVAIKKVL QDRRYKNRELETMKMLCHPNTVGLQYYFYEKDEEDEVYLNLVLDYMPQSLYQRLRHFV HLKVDMPRLEIKFYAYQLFKALNYLHNVPRICHRDIKPQNLLVDPTTFSFKICDFGSA KCLKPDQPNVSYICSRYYRAPELMFGATNYSSQVDVWSSACVIAELLLGKPLFSGESG IDQLVEIIKIMGIPTKDEISGMNPNYEDHIFPNIKPITLTKVFKGENPDILDLLTKTL RYHPCERLVPLQCLLSSYFDEIKRCDTDAYVKAQNLRMFDFDVKTELGHVPLVELPTI EERLKHAVSEPSSSL SPAR_D01580 MVKVAVLGASGGVGQPLSLLLKLSPYVSELALYDIRAAEGIGKD LSHINTNSNCVGYDKDSIESTLSNAQVVLIPAGVPRKPGLTRDDLFKMNAGIVKSLVT AVGKFAPNARILVISNPVNSLVPIAAETLKKMGKFKPGNVMGVTNLDLVRAETFLVDY LMLKNPKIGQEQDKTTMHKRVTVIGGHSGETIIPIITDKSLLFQLDKQYEHFIHRVQF GGDEIVKAKQGAGSATLSMAFAGAKFAEEILRSFYNEKPETESLSAFVYLPGLKNGKK AQQLVGDNSIEYFSLPIVLKNGSIVSIDTSILEKLSPREEQLVDTAVKELHKNIEKGK SFILDSSKL SPAR_D01590 MLRAQKLHSLKSSDITAILPTEQSQKLVLAKKNGDVEVYSRDGN TLKLFQVYPDLLQNAKNDPSTPMIENFYFANELSTIFAQCKETLILLSTTNLHEYDRI IDRRGINHCWLFERSHKNKEEKNTYLIYSTTNTAKMRVLIWEGRTYKNMMEASLSYRK ETIRSIYPGETGITLATDLGIYHWPYNKPSLIRIEKTVKNKFPRDMISALTELKEQAE KVLEKNPKKYSRNDAQSLSSMDRMSRKSSMSSLWYRSIRNERGNKIRYAFELEGNDAT PMVIDGVTKKIFKVELMHNNEEPFLIATDHATFSESNSEFDHMQYLSSNLLMLYNSST VKFVDYENGFTFLQQKIPEGIKWVKSLSGTYFLVWTSNDEIQLFSYHVDDGSEDGDQE SICGDINDPDFYQLWRKVLFYKFFIDSPHSKELCISENPEESLDICAMKLRDLTVMWC LRIFDKFQNYMVQLESSKNSRMIRSKCEEMIIKNIFDLFIKFWAPPQLVILKVFPSAI SSLVLEITGQEHHCLMKEAEEIKETYDIPPHLLNRWCLPYLTDTRRHLQNLLSKKNDD ENCITWCYRDREIKQSFDFFLISNHDDVDLETMLTLIDTVLFKCYLYYNPPMVGPFIR VENHCDSNVIVTELKIRHMFKDLIDFYYKRGNHEEALRFLTDLVDELENDNTDQKQHQ KIEHGVKILVIYYLKKLSNPQLDVIFTYTDWLLERHQDSIKEILSSIFFYDSQACNGR NHLKVYEYIKEHDKLLAIQYLEFAISTFRLEGNKLHTVLIKLYLENLDIPSTRIKLKS LLETTSVYEPRTILKLLNDTIENDSDRLPTNKLNFVKYLKIFPLSKLENHKESVHILL DEINDYKAATSYCDDVYQNDSTKGEELLLYLYDKLVSIYDSNRNSKLILNFLQDHGSK LNSVEIYKNLPQDISLYDIGRVVSQLLKKHSSKMDETRLKKALLQVELVATTYNLNDR MSSYGVLSDSHKCPICKKVISNFGTDSILWFTREGRNIITHYNCGKVLQERFNAKNEK SSKIKQKTLGEVINELNNK SPAR_D01600 MSVSEQDPNRAYRETQSQIYKLQETLLNSARTKNRQEEGHESNI LPLSERYENSKNGRALAYDIPNVNSQSVLAFTEKHYSSKLKKLGTLYYNRFKEGSFDE NSTSYSDRHNFPYDLYDNTLPPPFLPAIGVQNTNNIVTLKITYEDIQASFDNIESPRT RNNEIWGCDIYSDDSDPILVLRHCGFKIVVPPSGPFHKLRRTPVNMDNQDNVTGNLPL LKGTPFDLEVELLLLPTLQKYPSVKRFDVTSREWGLEGTAIHDGLSYGIYSIVIKQRL DRDKPHEPTGYIKNLKWT SPAR_D01610 MAGLKDVVTREYTINLHKRLHGVSFKKRAPRAVKEIKKFAKLHM GTDDVRLAPELNQAIWKRGVKGVEYRLRLRISRKRNEEEDAKNPLFSYVEPVLVASAK GLQTVVVEEDA SPAR_D01620 MTAEPAAKKIKLELSDPSEPLTQSDVIAFQKEALFRCINRRRVD FEALRKQYELSRRECIDISRKLANIMALIVTLARFIETFCTDANEKQLCKEIAQGDET LIVQRSDSFMKLLTKYGKPNATDDNTNNNASDHIQELTTELKNLRKSKEELFYENSQL TEEISALKEYYTSIIRKYDRNESFTIKRVFKEDKTEAAKEVQENKDELSERNTKSEYK DVFAINGDDKSKKSEKGNELLQDEDKQKEDAESEKLELDLKFSDLRAEVNSLKSTIKD LENIRKENEEELIKTRSEISDLKKQQIAEASHDPDFKSYDHESLLTKIQHLTEQNEEL SEVNSSFLSKFQLLAKEKEVYTNKVREEFQKSLDSLVEMNNSLEKDVVRIRTARDDLL SKIAILEAEKSKTEVLSDLQHAIDILKEQWTKIEQRSNDTKSSSTQDALIKEIQDLEK GFKELSDLTHKKYSEIINHESVISKLTVEKTKADQKYFAAMRSKDSILIEIKNLSKSL SKSNELILQLKDSDRLLQQKIGNLHKQLDLSQNNERRLIDSSKTETLKIIDLNNTSTK LKRSLEKLQEESNKSIADMTHLETKLNDTEIELKHFKLKASHLEAKCEKLHNTLFNGN NKNKGSSDEALVEELANFRTLVYCSLCSKNWKNMAIKTCGHVFCENCCKERLAARMRK CPTCNKAFSSNDLLTVHL SPAR_D01630 MGPVIHNQLYECGLTPTSQDSVLIDKYETQLFQSLNRFISFINN ANQPASTKEKNTKFCKSSSNFLRLKLLTVLRQWCDFSQSNCRLESRDVLTQWWVTLLN FLNSDTSLQIDTALELSLSIELTSVCLECVSKIMTILIILPFHSPRDMEIYSHHLLLT VHCITNKLVLISKNTKKFKRTESDDKCSKNDKKLEYLNKYSSLLRAFIGKLNAYAFFY LPEDLRFDTILLLTVSPQISSNIQACLFSWKKRQYKFTDDQGQMIHAEAFENKDTKFF KIIVSYIKNDFVLMSFYWHYWYIILQFIKFSGPHVSIEKSTLSCIPGSEILLTHVTTR FLNSDLNKFTRIIKQTPNPRITNENVTESHPNFRSLNSNNALITSERINDYVFSNFKT IKLWECLRSLSGCIVKDSHLEYLENMLSLHESLLIDYVSTISAYDYVAANVIYNKVLQ FIIFQFESLSSLKFIHWGSWHNGLLSMLRTKNVNCQTVSLLCLFNIWKHVGSEERDEI ANTLLNDFWESLTFDNEFPLIRILFMKLLVFKIIPSVQGSNSLRFLPHERIRGLYEEL IVNKEKLVEMQKHSSNDIIAHRKTALIFNGNSRLMMIPKKPNTEDHLVYKINHDKNLA TERFPSVSSVANTRPNVILKNGKYAYDVLDEMTSKVAFLLAEKKTRLDPKKNHKISDG FEGNQDNEDNDEDSEDSGSHKNKTKESNSSLSATLNSWLSKFSSTSEDSQKKKEQENE LGSDFDYDEDMTDFTESLPKRSSSNIEKIFRHGNSSGSMASSNSSIKFSKKRENILIG PPEFRFSNEIKEHNSITTVFKLAFIQTNRKVVERIDLANMKWGTIHGGSKYMKPLPVP KDLIVASAANNENEMRNFAFVRNSDLGFELPVPDFSIFGKCTEDEHDVPKIGSQSVEN LKEISEKEMTIWKQIQDMKLKTRMQKICVLIETFNATVREYFEFSNRLEHDSIFIDFE VRKPSSNNSINIKV SPAR_D01640 MSLYYTLVFAILVVEIFMFSILAIPIPSKYRRPLTLLLLKPFKS STVQVAIKCILGFILLLFIDCINRVYSIDKELQLSSSSQNNGAIIAQDRIEVLSRKFF AQRNMYLTGITLFLTFVVVRTFGLVIELLTMKDVYRASPPVASSDVKKNDSVTAEAAA QSGASKDDHGDEKNFELLKKIQDIDDEISRLKEKSESLQEEMN SPAR_D01650 MSRTNMDTRHAHSALLAARQGASEDSRSSNSSESSSNKNNINVG VGDDSGNVSAVSIDDGPHFRDIFHYGHEGNYKLASSGITNLNSSSHAHQTLSPISISN ASTPESFPEHPLGLERETGPALEAEIEAGALPPHQSKYLLNSIKATKRLKDARPFLKP VDPIALNIPHYFNYVQTPMDLSLIETKLQGNVYHSVEQVTSDFKTMVDNCLNFNGSES SISSMAKRIQTYFERKLSAMPPRVLPASALKRTSRNRKKNEDLDSPLVIRRSISTTDD NIGESGNREGVSGGRPKRTIHPPKSKDLFDIYENSKPKSKTLQKKFRTCLKIIKVLMS KKNSDINYPFLQPVDPIALNLPNYFDIIKNPMDLGTISNNLMNWKYKTIDQFVNDLNL VFYNCFQFNPEGNEVHSMGKKLKELFNFHWSENQDVLNEIETDSDLGEDNYSSSYSSD DEYDDEEINENDITNPAIQYLEQKLKKMEVELQQLKRQELSKLSKGRKRRRPGRKLLR KKITKHSVDDLKKSITDNINELSDLEMSGMIRIIKNSLPADEILTSDEDEIEIDLDIL DGETIAIIYESYFEKKNSSNIKRKLSGNYLNGQTNKKKKTLKFLEKDEIINNNNYSDS DDSSDNSDSDSD SPAR_D01660 MLRTRMFATAVARMSGIRRNSFVKTINTVTKRNIARIEKLYEVF EVDHDGYGGKKRLPTKELTKLLYTTSRDMLVHVPITGDLSTGNSFETRNEALQKLGEQ LIRLEINKMLTITFTNFNQFNIMNKNFNYIHNLDRPRVVNSDSISWLINSSLKIKQLA HLRIPANLPKEMGLDSSSKDFQNLNDWKVILSFIGYLKLLDIKNDNEKFIESITKTIC LPLINFHLRKS SPAR_D01670 MAIAPITGTIKRRVIMDIVLGFSLGGVMASYWWWGFHMDKINKR EKFYAELAERKKQEN SPAR_D01680 MSMLSRRLFSTSRLAAFSKIKVKQPVVELDGDEMTRIIWDKIKK KLILPYLDVDLKYYDLSVESRDATSDKITQDAAEAIKKYGVGIKCATITPDEARVKEF NLHKMWKSPNGTIRNILGGTVFREPIVIPRIPRLVPRWEKPIIIGRHAHGDQYKATDT LIPGPGSLELVYKPSGSATTAQPQTLKVYDYKGSGVAMAMYNTDESIEGFAHSSFKLA IDKKLNLFLSTKNTILKKYDGRFKDIFQEVYEAQYKSKFEELGIHYEHRLIDDMVAQM IKSKGGFIMALKNYDGDVQSDIVAQGFGSLGLMTSILVTPDGKTFESEAAHGTVTRHY RKYQKGEETSTNSIASIFAWSRGLLKRGELDKTPALCKFANILESATLNTVQQDGIMT KDLALACGNNERSAYVTTEEFLDAVEKRLQKEIKSIE SPAR_D01690 MNENEYDNFDDLDDLLDEDPTKLDEAEPENVQANGSVCNDGGNK RENVASKGSDDVQVGNESEEDPELKEMMADLQSEFANLMKNSGNENNVKTEDFNKLMS ALEEATKIPRQDADKESSSFKSNGTDKGALNVNNPGFKNIVSNTLDRLKENGSKVDTS LAEETKESQRSGQNNNIDDILSQLLDQMVASGGNESADNQFDLKDGEMDDAITKILDQ MTSKEVLYEPMKEMRSEFGTWFQENSENEEHKEKIDIYKRQFNIVSEIVDIYELKDYD ELKHKNRVTELLDELEQLGDSPIRSANSPLKNGNEEDLMKMLEIDGNDPNLGNLDKEL GDGCKQQ SPAR_D01700 MFYLNVRKKWRKDHPFGFYAKPVKKADGSMDLQKWEAGIPGKEG TNWAGGVYPITVEYPNEYPSKPPKVKFPAGFYHPNVYPSGTICLSILNEDQDWRPAIT LKQIVLGVQDLLDSPNPNSPAQEPAWRSFSRNKAEYDKKVLLQAKQYSK SPAR_D01710 MGRSKKRSRASSSRLNPLRKAESNGNGKDANVVNKKLQPLLQNL SSVVPNDRSIALSSISVLCEDAHMRQLLLKEKLVPIILSKLLNDSNSDIVVESFGLLR NLSLEEGYDVSIHLWRSDIWTSIASNFAKIIDSLSALQAAEQQSQPKPAGKAKIESKR LLFDFADNLLSLVVALSNGSDDILNEILMESKINEIFQVILQLLNYGIEKLPINLFNT ILDLIYDLSSESFEFIDHVSNNELLSQFLNGLSPDLHPQTNELTKVLIEGIHCQFLDM KITYDQCNKMIHSVCHSINNIDPVQLINDINNPVEIAPATGKDESGKVIDKIKDYNAK RNESMMKLQSIEIAIDLITAITEIVASKYESPESHEATIPDELINTLTNFLPHVFMIL KDTFTSRILIGWNNLIWLFVSLSLTELSEELLTTLWSYITQLDSQDDLSIKIGRMGCI WAFLKLICPDGAFKSDNRALINIQMLNNSEFAKGIISEFQNSNDLELQQKCINVLSTY AMIHGQIEVNKEIGQFFIQTLAQLNVSPEILIEMTNSLFQIYGDASYDYNEPIFVSGG FLPVLKDQVVPNLRQQFKMVDKNKDPKLKERCHDCFTTLDSFIHYKMSENSTNQ SPAR_D01720 MAHENVWFSHPRRFGKGSRQCRVCSSHTGLVRKYDLNICRQCFR EKANDIGFHKYR SPAR_D01730 MAGHSHRSSLKNGHKSYKSKHASKGALKRLYKGKVEKEPVGTGK PDKQVSKLQRRNKAKQLRAQKILDSIENRKLFEGKNGAAKIITIVPLVSDLDPLDILY KLLKSADDEEIMVQEVESKRIFNVHIKKFKSNLKIIIPDMTNFLNILDCAKVADFVVF GLSGVQEVDEEFGEQIIRALELQGIASYIGVISNLSTVHEKEKFQLDVKQSLESYFKH FFPSEERVYNLEKNSDALNVLRTLCQKLPRSINWRDNRGYVVADSVDFVETSPDSGDL VVEGTVRGIGFNTNRLVHIPDFGDFQMSKIEKIRESMQKRKIMKEKATDSLNLELDLQ TVFESNMNRDTLDEYAPEGTEDWSDYDEDFEYDGLTTARYDDHGYLPGREQASKNAAV PKGTSDYQAKWYLDDVIDVNDEEEAEQANGKDEAMMEIDDETMMDQENEEIADDEEYE IEDNEKFEELSPEEEERQLREFRDMEKEDREFPDEIELEPGESAIERLKRYRGLKNLY NCDWQVDEKDPTSPAEWKRLLRIGNYKNTKNRIIKETKNEAQAVAGDRVRMFINFPKF LLEKIQDPKQLLFAVYGLLLHEHKNAVVNFSLQRWEEYDKPVPSKEPIVVQYGVRRYT IQPLFSQGSNSPNNVHKYERFLHPDTVSVATCIAPVDFTQSPAIFFKLSPIDAKKIEL IGHGTFLNADHSRILAKRAILTGHPFRFHKTVVTVRYMFFRPEDVEWFKSIPLFTKSG RSGFIKESLGTHGYYKATFDGKLSAQDVVAMSLYKRMWPMPSLPWNGM SPAR_D01740 MTIQAKPSSNISYSSTTYGTAPGLDIKEFQVIEDWNGRPASAWS VQRIGLLQSKIERYTYNIYHNNKYGKHNLSKLIPGHALIQFANETFGYDGWRMDVVDV EASECQPFTAAKNDDNTDPIDVKYTVVAEAQVKVTLKDGTNTQCGGLGRITLSSKGEC YNRSKKEAVGDALKKALLSFEKIILDYETKITNNYYVDGLYGSKKIKNEASPSFNLQL ATDSKPTLIKLEDAKGTNTK SPAR_D01750 MDIIQGLIQQPKIQSVDETIPTLCDRVENSTLISDRRSAVLGLK AFSRQYRESVVASGLKPLLNTLKRDYMDEDSVKAILETILILFIRGDGHDDLTRGWIS QQSRLQNGKYPSPLVMKQEKEQVDQFSLWIADALTQSEDLIHLLVEFWEIDNFHIRLY TIQLLEAMMATRPLKARSALISLPTSISTMVSLLDDMHEPIRDEAILLLMAVVNDSPH VQKLVAFENIFERLFSIIEEEGGLRGSLVVNDCLSLINNILKYNTSNQTLFLETGNLP KLAHLLSEPISQDEGFFWNDQRIININTALDIVSLTVEPGNTVTTQHQNVLLDSSVLM VVLRLAFFHNIPKKVRPVALLTAANMIKSNEHAQLEFSKIDVPYFDPSLPVNSTANGG PIKLVPVISILINWMLYANSVHTFDTRVACSRLLKAYLMDSFDIQKEFLLKQVQLCNK SAINGDDNTEENGTSNSVDKEGNTNNDTDTEDDTGYESLFKANLFEVLLNYDAELNLN PFKLFFTTDIFMFFFQQDHKCSEELREITRNVTTGNDLDDEEPLKAIQTISELLTTSL TAADIRIPISYLTFLIYWLFGDFKATNDFLSDKSVIKSLLSFSYQIQDEDVTIKCLVT MLLGVAYEFSSKESPFPRKEYFEFITKSLGKDNYASRIKQFKKDSFFSRIDMNEDSIL TPELDETGLPKVYFSTYFIQLFNENIYRIRTALSHGPDEEPINKISFEEVEELQKQCT KLKGELNSLQTETKTTNENLTEKLNGLSKEHQELVEKYQLLDSSHSSLKENFSSLEAE LENVRDSLSEMTQLRDALETRDKENQTALQECRSTIHKQEDSIKTLEKELETILFEKK KAEDGINKMGKDLFALSREMQAVEENYKNLQKEKDKINVNHQKETKSLKEDIAKKIAE IKNLNENLEKMKTQHNNLSKEREHISRELAEYKSRFQSHDNLIAKLTEKLKSLANNYK DMQSENESLMKAVEEAKNENTIQLSDLQGKIDSLTQEKENFQTERGNIEKNIKQLKET ISALEQKKEETILNFNSSKDDYESQISLLKKKLETTSTANDESVNKISELSQNSKSLE VELETYKNLKNKLEAKLKTSEKALKEVKENEQHLKEEKIQLEKEVTDIKQELDSLRGN LESLRKAHEDSVAQVKKYEKQIDDKEKEYNEKISQLTDEISSTQRENGSIRQENEKLE GEVKEIKGTSEEQSNLKKSEIVALNMRIKELEEKNQTSEASLLESVKNIESEAVKMRG LQEECNLKEKKISELENKLKTSEDEKSQHLELQKQSEEIKRELDARTIELKNQLEKIT NLTKSREKSESELARLKKTSSEERRSVEEQLEKLKNEIKSKSQAFEKERKLLNEGSST ITQEYSEKINTLEDKLNELQNENELKTKEIDTTRRELEKATLSHDELLEEKRNEIKIL EDKIISYKEKISETDEKLLSIKQDSGRDLDSLKEQLQGVQESKAKIEERLKKLEEESS KEKADLQKSKETVKKLENTIETNEKELKSSMKIIKESDEKLEQSRKSAEEEIKNFQHE KSDLLSHIKESEKEIEELEDKLKTEAKSSSELETIQQELGNAQERIKVNVEENTVLKS KLEDIECELKDNQLKIKNNQEEKESLSSRLKALEQELDSAQKKAQKYEEESIAEVKKV QLERAKSDEKAMLLETKYNDLVTKEQAWKREEDAIKKTTDSQNKEIEKLAEELHNLKA ENSKLKETNKDRSEIDDLMLLVTDLDEKNTKYRSKLKDLGVEISSDEEEEEEEDEEEE EEEDDEDDDDEEDDEEEKQEA SPAR_D01760 MEKKYVGVQIQDAPPSYIKLDPNEKFVYITSTMNGLSYRIAAII SYPEKRNLSTPNIEDGRLLYKDNKLALLLHGSQSHKNAIYQTLLAKKLAQSGYWVIRI DFRGQGDSSDNYDPALGRTLDQDLEDMSTVYQTVSDRSLRELLYKTGKISLDVIVAHS RGSLAMFKFCLKLLATASPLPSHLINCAGRYDGKGLIERCTRLHPHWQTEGGFWANGP RNGEYKDFWIPLSETYSIASVCVPEFAAIPLNCSVMSCYGTCDHIVPVSAASRYAKLF EGRHSLELIENADHNYYGIEGDPNVLNLPIRRGRVNYSPLVVDLIMEYLKNK SPAR_D01770 MSNQIYSAKYSGVDVYEFIHSTGSIMKRKKDDWVNATHILKAAN FAKAKRTRILEKEVLKETHEKVQGGFGKYQGTWVPLNIAKQLAEKFSVYDQLKPLFDF TQTDGSASPPPAPKHHHASKMDRKKAIRSVSTSAIMETKRNNKKGEENQFQNSKILGN PTVAPRKRGRPVGSTRGGRRKLGVNLQRSQSDMGFPRPAIPNSSISTTQLPSIRSTMG PQSPTLSILEEERHDSRQQQQQQQQQQQQQNNSAQFKEIDLEDGLSSDVEPSQQLQQG FNQGTGFVPQQQSSLIQTQQAESMATSVSSSPSLPTSPGDFADSNPFEERFPGGGTSP IIAMIPRYPVTSRPQTSDINDKVNKYLSKLVDYFISNEMKSNKSLPQVLLHPPPHSAP YIDAPIDPELHTAFHWACSMGNLPIAEALYEAGTSIRSTNSQGQTPLMRSSLFHNSYT RRTFPRIFQLLHETVFDVDSQSQTVIHHIVKRRSTTPSAVYYLDVVLSKIKDFSPQYR IELLLNTQDKNGDTALHIASKNGDIVFFNTLVKMGALTTISNKEGLTANEIMNQQYEQ MMIQNGTNQHTSSSITDLNIHVNTNNIDVKNDVNSMVIMSPVSPSDYITYPSQIATKI SRNIPNVVNSMKQMASIYNDLHEQHDNEIKSLQKTLKSILKTKMQVSLKTLEELKESS KDENDEAPVSDDFEMLSRLQEQNVEKLRKRLIRYKRLIKQKLEYRQTALLHKLLEDET QATANSIDDNDNNVLKRLELAQELTMLQFQRRKQLDSLLKKFEDNAKIHKYRRIIREG TEMNIEEVDSSLDVILQTLIANNNKNKGAEQTITTSNANNHA SPAR_D01780 MKGLILVGGYGTRLRPLTLTVPKPLVEFGNRPMILHQIEALANA GVTDIVLAVNYRPEVMVETLKKYEKEYGVNITFSVETEPLGTAGPLKLAEDVLKKDNS PFFVLNSDVICEYPFKELADFHKAHGGKGTIVATKVDEPSKYGVIVHDIATPNLIDRF VEKPKEFVGNRINAGLYILNPEVIDLIEMKPTSIEKETFPILVEEKQLYSFDLEGFWM DVGQPKDFLSGTVLYLNSLAKRQPKKLATGANIVGNALIDPTAKISSTAKIGPDVVIG PNVTIGDGVRITRSVVLCNSTIKNHSLVKSTIVGWNSTVGQWCRLEGVTVLGDDVEVK DEIYINGGKVLPHKSISDNVPKEAIIM SPAR_D01790 MPLSKVEHYLSYHARLLLPHVLSLQSSHRIAYIFSLLSAVSAGF ITLISLYSQPWQKHLNYSSWQINTIASMTNLGMYLTPPILGMIADSHGPITLSLLAII GFIPSYSYLAYVFNHPELSLEGNGDSSFNLSIICFILIGISTSALYFSALLTCTKLYP RTKLLSISLPTTCYGISSVVGSQLLRIKWFWSYDVSSSSSDNDLNLGRVFQTFALVYV VIGLLAWIATSVVSLLHFNEEQDNQKRLDDLADVEQSPLLERNSHVQEKFVQTMLRIF SDPVTYILAVSIMLSLGPLEMFIANMGSLTNLLLQVDAPTLSTKLLSTYALSSTFTRL LTGIVADFFAKKKISIKWILLTFLSSGVCAQLFLLKMTSSASSWGLVPTGSLVGVVYG GLFTVYPTLVLLVWGERSFGTVYGSLLIAPAIGSMIFCMLYAKFYDSRCMSGGGDLRN SSCISAVYKYSSVAFVASAILSAVVFWKLKSRKLRI SPAR_D01800 MTTTSTTSVNGRASSTLKTTLSASSPSSNGPTPVILPQKPKLTG WAQAAAKALPKQQQQQQQPRKDDSVAVQPANGKAKAIVSTAPPANIKGSSTANGSSTN KKFKRANRQPYNRDEVRSYMHKLFQSYTTGEMSHSTKTYKQVLSETASGRVSTATDWG TVSSSKNKNKKYGCLTDIAKVLRNQ SPAR_D01810 MSVIGRFLYYLRSVLVVLALAGCGLYGVIASILCTLIGKQHLAQ WITARCFYHVMKLMLGLDVKVVGEENLVKKPYIMIANHQSTLDIFMLGRIFPPGCTVT AKKSLKYVPFLGWFMALSGTYFLDRSKRQEAIETLNKGLESVKKNKRALWVFPEGTRS YTSELTMLPFKKGAFHLAQQGKIPIVPVVVSNTSTLVSPKYGVFNRGCMIVKILKPIS TENLEKEKIGEFAEKVRDQMVKTLKEIGYSPAVNDTTLPPQAIEYAALQHDEKANKKI KDDPVPSVSISNDVNTHNEGSSVKKIH SPAR_D01820 MSETPQQEQQEKPQSRRNSFAVIEFTPEVLDRCLKQVEFYFSEF NFPYDRFLRTTAEKNDGWVPISTIATFNRMKKYRPVDKVIEALRSSEILEVSADGENV KRRVPLDLTAARNARIEQNQRTLAVMNFPHEDVEASQIPELQESLEAFFKKLGEINQV RLRRDHKNKKFNGTVLVEFKTIPECEAFLKSYSNDDESNEILSYEGKKLSVLTKKQFD LQREASKSKNFSGRSRSFNSHKKKNLPKFSKNKKKNDKEESKEDSSAIADDDEEHKE SPAR_D01830 MQIVSFLALFCLVAFRTVYCDVAIVAPVPSSVYDLSSSSQATIK VKWMHTDSTPQEKDFIRYTFTLCSGTNAMIEAMATLQTLTASDLTNNEFNAIIENTVG TDGFYFIQVFAQTAIGYSIHYTNRFKLKGMIGAKAANPSMITIAPEAQTRITTGDLGA TIDSKSFTVPYNLQTGVVKYAPMQLQPATKVTAKTWKRKYATSEVTYYYTLRNSVDQH TTVTPGWSYIITADSNFATPAPMPADNGGWYNPRKRLSLTARKVNALRNK SPAR_D01840 MPVSPSFASSIDSIMSHETMSLRRNPPFIDNPDKMPNPTASPNG TIHHLIDPSLPLLSSTTSSSRSTLSSALNSPPPPPLTTSYSSYNSSACQSITSSPTDN TTLAPNSKFYFAHSLSPTPLSSNSSSHVILPPISSFTNLITVAEREFNGRSNSLHANF TSPVPRTVLDHHRHDLAFCNPNNTTGIKTITPSPPTQHQNILPTTVDNVPRSKSVSSL PVGGFPPLVVKQQQQQQLNSSSASALPSIHSPLNNEHTSKYSSSLKDSAKITKQRKKK ECPICHNFYANLSTHKSTHLTPEDRPHKCPICQRGFARNNDLIRHKKRHWKDEFMQIY ARESDSNSGADDADATARTSANSDGDDSNDKLTAPSSSEETKLLKKNQLKSLYKIKGA FKCPYNSTLINLDMEVYPHKSRSLYFEPINCHQTGVFSRCDTFKNHLKALHFEYPPKT KKEDRSIVPGKCKHCGLQFPNVDVWLNKHVGKGCGYAYH SPAR_D01850 MVSRGPDEWLETIKKCQALTENEMKQLCEMVKELLMEESNIQPV QTPVTVCGDIHGQFHDLLELFRTAGGFPDDINYIFLGDYVDRGYYSLETFTLLMCLKV KYPAKITLVRGNHESRQITQVYGFYEECLNKYGSTTVWKYCCQVFDFLTLAAIIDGKI LCVHGGLSPEIRMLDQIRVLSRAQEVPHEGGFSDLLWSDPDNVEAWQVSPRGAGWLFG SKVAREFNHVNGLNLIARAHQLVMEGFKYHFPEKDVVTVWSAPNYCYRCGNVASVMKV DDDLEPTFKIFSAVPDDYIRESTANHNNQRAGYFL SPAR_D01860 MTYSLKVLLSLLFLYTAAVNAGVIGIFNALPPPNTKPINGESPL YQCDILDKQLLEIKEVNLNPNPPVRGENLTISANGEVFETIEEGAYIDVEVRLGYIRL LSQTFDLCQTLEDNDIEGLSCPIEPGVYDIKKIVEIPGEVPPGKYVVVARAYTESDDL ITCLTGEVIFPPR SPAR_D01870 MSGKPPVYRLPPLPRLKVKKPIIRQEANKCLVLMSNLLQCWSSY GHMNPKCAGLVTELKSCTSENALGKRNNVQKSNINYHAARLYDRINGKPHD SPAR_D01880 MQLSKAAELCYEITNSYLHIDQKSQIIASTQEAIRLTRKYLLSE IFVRWSPLNGEISFSYNGGKDCQVLLLLYLSCLWEYFFIKAQNSQFDFEFQSFPMQRL PTVFIDQEETFPTLENFVLETSERYCLSLYESQRQSGASVNMADAFRDFIKIYPETEA IVIGIRHTDPFGETLKPIQRTDSNWPDFMRLQPLLHWDLTNIWSFLLYSNEPICGLYG KGFTSVGGINNSLPNPHLRKDSNNPTLDFEWEITHAFGKDAEGEHSSVINTSPISVVD KERFSKYRDDYYPGWYLVDDTLERAGRIKN SPAR_D01890 MIKASSILKNCNYRYIHYIPRCLLNEANLKDAKTYKIEKALNEK TFEQALEEERKVFGELFEAGAKVENMRHTNASKIIDKYYNGLYDNSKGTGVKKEKIVF NHSQRTQRELPNKDREFLRETAGNDYVYERAESSEVGNKTISEQTRTLLEKIFDENSS LNKSNRESLTLDQYKNLGTRVLKEPVTHLNVKFSEEVMQEIGNKIRYQTTLDQVLKPH IDYLRGAVKNDYDLLEYLKQSLEIYRERDKDIESKMNAESSNIFEDIRTSCINKPAEL PKPFAMTLPYIIVKSLTLADFDFPADRKYTLISYVYNECKNNMDVSLYLTICNVDFYN LLVQLLWENFQEIRYLRRVVTEMSVNGVIGNIETVDILDKIVKEMRSLNEDVFLETGK QLDADEEVISRVDKIVNVGVLWNKDTNNDLLVVENYLKSLKKNLTRDR SPAR_D01900 MNYLEGVGSKKGGGGIASESQFNLQRRKEVESLLSKGEDVPYTF QDEQDDQVRSNPYIYKNHSGKLVCKLCNTMHMSWSSVERHLGGKKHGLNVLRRGISIE KNFQGKEGQTAQNFLQQQKIIEAKQSLKNNGAIPVCKMATVKGPKTGSVGLAIQVNYG SEDKVNGADSNDTVNIPPLIRIVSGLELSDSKQKGKKFLVIAYEPFENIAIELPPNEI VFGENNEMNTSEDGVDELNRKCSFWDAVSKLYYVQFFFKQAEPELAGA SPAR_D01910 MTISHMNHAVSKTSENKVSNTVSPTQDKDAIRKQPDDTINNSEP LHKKSKVAQPASLMETKITNRLGHTKAALGEVASMELKPANDMDPLAVSAASVASMSN DVLKPETPKGPIIISKNSSSGVFYGPSFTKRESLNARMFLKYYGAHRFLDTYLPEDLN SLYIYYLIKLLGFEVKDQALIGTINSIVHINPPEPLQDLESTISVTSVEDPLAKKQTV RLIKDLQRAINKVLCTRLRLSNFFTIDHFIQKLHTAKKILVLTGAGVSTSLGIPDFRS SEGFYSKIRHLGLDDPQDVFNYNIFMHDPSVFYNIANMVLPPENIYSPLHSFIKMLQT KGKLLRNYTQNIDNLESYAGISTDKLVQCHGSFATATCVTCHWNLPGERIFNKIRNLE LPLCPYCYKKRREYFPEGYNSTSNSNKVEVAASQVSAPERPPYILNSYGVLKPDITFF GEALPNKFHKSIREDILECDLLICIGTSLKVAPVSEIVNMIPAHVPQVLINRDPVKHA EFDLSLLGYCDDIAAMVAQKCGWTIPHKKWNDLKNENFKCQENDKGVYIVTSDEHPEI SK SPAR_D01920 MSRKRGTKPKPVAKTALKKENDQFLEALKLYEGKQYKKSLKLLD AILKKDGSHVDSLALKGLDLYSVGEKDDAASYVANAIRKIEGVSASPICCHVLGIYMR NTKEYKESIKWFTAALNNGSTNKQIHRDLATLQSQIGDFKSALVSRKKYWESFLGYRA NWTSLAVAQDVNGERQQAINTLSQFEKLAEGKISDSEKYEHSECLMYKNDIMYKAAGD NQDKLQNVLKHLNDVEPCVFDKFGLLERKATVYMKLGQLKDASIVYRTLIKRNPDNFK YYKLLEVSLGIQGDDKLRKALYGKLEQFYPRCEPPKFIPLTFLQDEEELDKKLKEYVL PQLKRGVPATFSNVKPLYQRRKSKVSPLLEKIVLDYLSGLDGTQDPIPFIWTNYYLSQ HYLFLNDFPKAQEYIDAALDHTPTLVEFYILKARILKHLGLMDTAAEILEEGRQLDLQ DRFINCKTVKYFLRANNIDKGVEIASLFTKNDDSVNGIKDLHLVEASWFIVEQAEAYY RLYLERKKRLDDLVSLKNGEEEDENGGTANDIKENQWLVRKYKGLALKRFNAIPKFYK QFEDDQLDFHSYCMRKGTPRAYLEMLKWGKALYTKPMYVRAMKEASKLYFQMHDDHLK RKSDSVDENADEIENNGQNSISSSQKKKAKKEAAAMNKRKEIEAKSVAAYPNDQDSDV FGEKLIETATPMENFATEFYNNYSKQVREDERDCVLDFEFNYRVGKLALCFASLNKFA KKFGSKNGLFGSMAIVLLHATRNNTPFDPILKKVVTKSLEKDYSEMLPLNEISNDSFD WLKFYQEKFDENDINGLLFLYRYREDVPVEQSNLKEIIINSLSPLEPHAQNEILQYQL SPAR_D01930 MKQLLISFYLLFVTLIAKSVAANTAGDKVNPSSGLIKRDESVAI NGCPNLDFNWHMSQQNILHYDMDVTSVSWVKDNTYQITIHVKAVKDIPLKYLWSLKII GVNGPSSTVQLYGKNENTYLISDPTDFTSTFQVYASPSSDGCTVWMPNFQIQFEYLQG DASQYWQTWQWGTTTFDLSTGCNNYDNQGHSQTDFPGFYWTYQCKGNSDGTCTKASSS STTKISTTTSSTTSSSSSTSFTNGFTDTFTSSSHSSSGIIQQSDSWSTDRTSSASTTP IDVTTSVDLHTSSSVADMYRSRTSNDVTTSTAPSSSIISSTPVYPSSASDSTTSTEPT SSIVSSTSVEPTSSIVSSTSVDPTSSIVSSTSVDPTSSVVSSTSVDPTNSVVSSSSVD PTTSTESTTSVGPRASSSVAGMYRTRSSSDVTTSVDPTSSSDVTSSADVATSTESTSS SAVTTSTVQTTSSDPTSSSDVNTSTDPASAVVSSIPTVQTTSADSTTSNGATTLTVQT TSVDPTSSVGSSSSVDPTSSVVSSTSVDPTSSVVSYSSVDPTSSVVSSSSVDPTNSIV SSSSVDPTNSIVSSSSVDPTTSTESTTSVGPRASSSVAGMYRTRSSSDVTTSVDPTSS SDVTSSADVATSTEPTSSSAVTTSTAQTTSSDPTSSSDVNTSTDLASAVVSSIPTVQT TSADSTTSNGATTLTVQTTSVDPTSSIGSSSSVDPTSSVVSSSSVDPTNSIVSSSSVD PTTSTESTTSVGPRASSSVAGMYRTRSSSDVTTSVDPTSSSDVTSSANVATSTESTSS SAVATSTDPASSVVSSTSSDPTSSSAVTTSTDSSSSSVLTRSTSFTSSSLFVSSNLST LATPYTSFGSSSTVIISTSADPTTSIETTTSVDPQASSSLAGMYRTRSSSDTTTSVEP TSSVVSSSSVDPTSSVVSSSSVEPTSSVVSSSSVDPTSSVVSSSSVEPNSSVVSSSSV DPTTSTESTTSVGPRASSSVAGMYRTRSSSDVTTSVDPTSSSDVTSSADVATSTESTS SSAVATSTDPASSVVSSTSSDPTSSSAVTTSAIQTISTDSTSSALTSTSVVPTGPIVN STSVDPVSSSDVTTSTVQTISTDSTSSALTSTSVVPTGPIVNSTSVDPVSSSDVTTST VQTISTDSTSSALTSTSVVPTGPIVNSTSVDPVSSSDVTTSTVQTISTDSTSSALTST SVVPTSSIVNSTSAYPTSSVIISTSADPISSVTISTSVDPTTSTESTTLVGPRASSSV AGMYRTRSSSDVTTSVDSTSSSDVTSSTVQTISTDSTSTALTSTLVAETSSSDTITLG FPTSSASVSFNSTTSVGSTGSGAQTVSSSLTGMVLSRASADLSTSSILNSQTDLSTFA ASNSPIYPTTSTSSAATGIPIASESSSLSTHERTSITFSSSTTALIPADSASSTRSST TTVIKPTISISSSDSKTQDSVSGVGVFHSTKSYTSTISADATTPAVTIVSVGSSFPDH LITSDRTYTSADTSVTNIASRVTDSTRHTTLVTSNINIQSGVNSNDSGSKGTTITKPS AFLTSFASTSTISSVQPTTSVMNHSIEDNISAAASLESISGTSTKDYSSQSNDIHFTK SFTTTTTNAFITSKHSLAAVSAGAPTSSASISLIMEGSANIEAVGKFMWLAAALPLAF I SPAR_D01940 MQRNNSLRKFFTVPIIMTRHVKRNALSAGLSSAGNATSNEFDEH LQNEVEREKEIQKRKKIKRTQPKKLPSLIGGSTFQSRTTGSKKEKHRQLDPEYKAVID GPLRKIKPYHFIYRTFCKERWRDKKLVDVFTSEFRDREPEYYKRTIANGEVCLNDKAA DLSSVIHNGDLITHQVHRHEPPVTSRPIKVIFEDDNIMVIDKPSGIPVHPTGRYRFNT ITKMLQNNLGIVVNPCNRLDRLTSGLMFLAKTPKGADDIGDQLKTREVTKEYVARVVG EFPEMEIIVEKPLKLVEPRLALNAVCQMEEEGAKHAKTVFNRISYDGKTSVVKCKPLT GRSHQIRVHLQYLGHPIANDPIYSNDEVWGSNLGKGGQVDFDTVMAKLDEIGKSKPAK SWFYDGVGYGEVLKQEKCSVCESDLYSDPGPNDLDLWLHAYLYESTKTDEETGKKKWC YKTEYPEWALAR SPAR_D01950 MITEGFSPNFNTSKRSSLLEKKADVLRQLNTTTVNQLLGLPGMT STFTAPQLLQLRIIAITASAVSLIAGCLGMFFLSKMDKRRKVFRHDLIAFLIICDFLK AFILMIYPMIILIDNSVYAKPAFFNTLGWFTAFAIEGADMAIMIFAIHFAVLIFKPNW KWRNKRSGNMEGGLYKKRSYIWPITALVPAVLASLAFINYNKLNDDSETTVVLDNNNY NFPDSPRQGGYKPWSAWCYLPPKPYWYKIVLSWAPRYFIIIFIFAVYLSIYIFITSES KRIKAQIGDFNHNIQEEEKKKKKMFGLGNWGKAKWYFRSYFKLPLLHILRNLKNFFTI SFVDPTEETDDSGSSSGTFNFGQSSNEIPTIFKKTNTGSDENVSISGGVRLLDYNSTK ARNISRCATSKHPDLERNKSYDCDNDISFNHSEFIAKQNEHNVTFSVENEGRDRGKSS MLGHQTFSCPNSLEYPPATYNNDNDNNYRKDERSNIYNNNNNNNNNDNNDNNNNNNNN NNNNNNNDNNNDNNNNNNSNDNSNNNHSNIVNNIGDNNTNSVDNIPIVSNEAFTQCQG SSQDSVNNNTNGGENLSFTNVQQHFQAQTYKQMKKRRAQIQKNLRAIFIYPLSYIAIW LFPIIADALQYKHEIKHGPTMWVTYIDTCVRPLSCLVDVIVYLFKEKPWNYSWAKTES KYLIEQYILKGELGEKEILKFCHSNWGKKGWYYRGKWKRRKCWKYSTSTLKRTLWFVE RFFKQLFELKLHISFYDNCDDFEYWENYYSAKDSNDNKRIESDETKTNSSDRSLPSNS LELQTTLNNIRAEEVEVPLFWRIIHHIPMLGGIDLDELNRSLKIRYNHDHFSLPGLKF ALNQKKSQEQHQNASSNSLIKSSFFLNNITTNEDENSIEENKNLRYPEATISEDYLLG PTIPGTTTNLIRGTQNNSDSSGIDLIAFLKNGPS SPAR_D01960 MKMLGRYLNLIGRRSAAPYRPQRLPAKFDNVIVAMSSGVDSSVA AALFAAEFPNTRGVYMQNWSESQSLDDPGKEPCYEKDWRDVNRVAGHLNIPVDKVNFE QDYWIDVFEPMLRGYNEGSTPNPDIGCNKFVKFGKLREWLDEKYGTGNYWLVTGHYAR VMQKVDGKEFFYLLRSIYRPKDQSYYLSQINPTVLPSLLLPIGHLTKPEVRDLAKYVG LPTAEKPDSQGICFVNNSQHGKFKNFLKHYLPSSPGDIITVDPQSGTKTRWGRHDGLW SYTIGQKVGISMPQADPNYQGSWFVSEKLRDTNEIVIVRGRDNAALYRDTIRIENFAS LSPKEDTINALQNTSALTLQFRSLQVPVQIRSCTLNSSGDNLDITIQLALKQRAMAPG QSCCLYIDDRVLGSGPISHVHNNDTHTPHSNEQSMSHSTIRGSD SPAR_D01970 MAGMQKRKRDLEDQDVNGSEEDDIAFDIANEIALNVSESDSNDS DSEVEAGYGPSDVQDVIEYSSDEEERRNNKKKAEDKNAKKNKNSKKEVAAFPILELSD DENNPSGKTQAGDDEDDVNEYFSTNNLEKKKHKKGSFPSFGLSKIVLNNIKRKGFRQP TPIQRKTIPLILQSRDIVGMARTGSGKTAAFILPMVEKLKSHSGKIGARAVILSPSRE LAMQTFNVFKDFAKGTELRSVLLTGGDSLEEQFSMMMTNPDVIIATPGRFLHLKVEMN LDLKSVEYVVFDEADRLFEMGFQEQLNELLASLPTTRQTLLFSATLPNSLVDFVKAGL VNPVLVRLDAETKVSENLEMLFLSTKNADREANLLYILQEIIKIPLATSEQLQKLQKS NNEADSDSDDENDRQKKKRNFKKEKFRKQKMPAANELPSEKATILFVPTRHHVEYISQ LLRDCGYLISYIYGTLDQHARKRQLYNFRAGLTSILVVTDVAARGVDIPMLANVINYT LPGSSKIFVHRVGRTARAGNKGWAYSIVAENELPYLLDLELFLGKKILLTPMYDSLVD LMKKRWVDEGKLEYQFQPPKLSYTKRLVLGSCPRLDVEGLGDLYKNLMTSNFDLQLAR KTAMKAEKLYYRTRTSASPESLKRSKEIIASGWDAQNAFFGKNEEKEKLDFLAKLQNR RNKETVFEFTRNPDDEMSVFMKRRRRQLAPIQRKATERRELLEKERMAGLSHSIEDEI LKGDDGETGYTVSEDALREFEDADQLLEAQENENKKKKKSKTFKDPTFFLSHYAPAGE IQDKQLHITSGFANDAAQAAYDLNSDDKVQVHKQTATVKWDKKRKKYVNTQGIDNKKY IIGESGQKIAASFRSGRFDDWSKARNLKPLKVGSRETSIPSNFLEDPSQGPGASGRTV RGKFKHKQMKAPKMPDKHRDNYYSQKKKVEKALEGGIAVKGYNNAPGLRSELKSTEQI RKDRIMAEKKRAKNARPSKKRKF SPAR_D01980 MNLLETRRSLLEDMEIIENAIAERIQRNPELYYHYIQESSKVFP DTKLPRSSLIAENKIYKFKKVKRKRKQVILQQHEINLFLQDYREKQQNFNRINGPEGT QEDDKDLPSFEEKLQQFEEELKNEDENFELDINSKRDKYALFSSSSDPSKRTNILSDR ARDLDLNGIFTRDEQYGEYMEMEQFHSLWLNVIKRSDCSLLQFLDVVELFLDDKKYLL TPPMDRKNDRYMAFLVKLSKYVETFFFKSYALFDREIVENLTKSDLEHSYCRGSLKSE TKGIYCPFCSKWFKTFPVFESHLVGKSHKKNESKRREFVYSEYKLHRYLKYLKDEFSR TRSFVERKLAFTANERMAEMDILTQKYEAPAYDLTEKEGDEQGDGRQRDDQPQEEYLF GKSFDMPLGPDGLPMPYWLYKLHGLDREYRCEICSNKIYNGRRTFERHFNEERHLYHL RCLGIEPSPVFKGITKITEAQKLWKNMQGQPQLISSIAAVLTKPNLSQPKLPTELELE EEDEEGNVMSKKVYDELKKQGLV SPAR_D01990 MDPHNPIVLDQGTGFVKIGRAGENFPDYTFPSIVGRPILRAEER ASVTTPLKDIMIGDEASEVRSYLQISYPMENGIIKNWTDMELLWDYAFFEQMKLPSTS NGKILLTEPPMNPLKNREKMCEVMFEKYDFGGVYVAIQAVLALYAQGLSSGVVVDSGD GVTHIVPVYESVVLSHLTRRLDVAGRDVTRHLIDLLSRRGYAFNRTADFETVRQIKEK LCYVSYDLDLDTKLARETTALVESYELPDGRTIKVGQERFEAPECLFQPGLVDVEQPG VGELLFNTVQSADVDIRSSLYKAVVLSGGSSMYPGLPSRLEKELKQLWFSRVLHNDPS RLDKFKVRIEDPPRRKHMVFIGGAVLASIMADKDHMWLSKQEWQESGPAAMTKFGPR SPAR_D02000 MSTNSFHDYMDLKSRTNTRQLSDDEEFTTPPKLSNFGSALLSHT EKASASEILSSHKNDKMANRLEEMDRSSSRSHPPSSMGNLTSGHTSTSSHSTLFGRYL RNNHQTSMTTMNTNDIEINVGNSLDKSFERIRNLRQNMKEDITSKYAERRSKRFLISN RTTKLGPAKRATTLTNVFDEDVPNSLKEPINARETVESSLEDSNLTKFRETKKNTDYD SIDFGDLNPIQYIKKHNLPTSDLPLISQIYFDKQREENRQAALRKHSSRELLYKNRSS STSLSSSNLSANKDNSMTFNNSSQPRRKISTGSSSSKSSIEIRRALKENVDTSNNSNF NSPIHKIYKEIGRNKDSDSEKREVLRNISINANHADNILQQENKRLKRSLDDAITNEN INSKNPEVFYHRPAPKPPVTKKVEIVEPAKSASLSNNRNIITVNDSQYEKIELLGRGG SSRVYKVKGSGNRVYALKRVSFDAFDDSSIDGFKGEIELLEKLKDQKRVIQLLDYEMG DGLLYLIMECGDHDLSQILNQRSGMPLDFNFVRFYTKEMLLCIKVVHDAGIVHSDLKP ANFVLVKGILKIIDFGIANAVPEHTVNIYRETQIGTPNYMAPEALVAMNYTQNSENQH EGNKWKVGRPSDMWSCGCIIYQMVYGKPPYGSFQGQNRLLAIMNPDVKIPFPEYTSNN EKIPKSAIELMKACLYRNPDKRWAVDKVLSSTFLQPFMISGSIMEDLIRNAVRYGSEK PQISHDDLNDVVETVLRKFADYKI SPAR_D02010 MFRLPVGLVARTREVTNRLAFNSAKGLNVCLSRNLRAFPRPVPS AVISTAIPKYGGEGFQFPRLSLISPNHCSYTHQFLSNQKSSTFKFISKRAFHSSRRAE IKFIFSSKSPKNGNKPFVKVYKVSPFFILFATASIFTFILTSTIVVIPFIFHFFFPLL IIFISFKQFKKWQKNIFYKDVFTSLPRTELRITVPTMRSLQLQPMVQSWKEISSRMGI PNEFAKGLNVNLVKQDEIRKQFLSFLQKRVLESFTKNEMGIRSYFLGDSVEKWIEESY DLELNIDNCRSELRKFQTFVFSSVRYKLYLDSMKNLPLNPSKKLEGKKHIADVYVIIL DESFPEIMFSSGGYSKADFFKILQESETSNSSKTLNVVIAIKSVNTLLSKHFVITANG DSGDFFSKYYISKVNGKNTEYTLKNRQY SPAR_D02020 MVKETPLHSSSSTSLSSLFRPTKLKNLSAKIFNGGGNQSYSKAD DVSRSSSRSSNKNTDSDQEDRIKYNKPNDRRSVLGKNTQGNGASSKESHTVASPSLAG ISTTSVKKVTVDYLSSRPLHNNHNPVRTGHTVPHLPHSIHNPINYIHQGSKDAFHHPH PVRSTAHNNIFTVSSAKSDTPSSNLSYQAHMHPVEILQKQIEDKHFMDSQASTPGSVE LQHNSSSGSDDTSSKKKKSLRLTRFFKKIHNDYHDNHHHHHHHNNHNRGSTPTKPKPN LNTNENIVENNGKTLYETDNPVELLEKYGIPGRKLGEGASGSVSVVERTDGKLFACKM FRKPHLNNEGTNQSQLANYSKKVTTEFCIGSTLHHENIIETLDMLTEGDTYLLVMEYA PYDFFNLVMSNLMTQDEVNCYFKQLCHGVNYLHSMGLAHRDLKLDNCVVTKDGILKLI DFGSAVVFQYPYEDTIVKSHGIVGSDPYLAPELLKQTSYDPRVADVWSIAIIFYCMVL KRFPWKAPKKSFNSFRLFTEEPEDEDDIARGPNKILRLLPRHSRKIIGRMLTLEPKQR VLMNDVVKDDWLVSVPSCEIDPTTGDLVEKPKNHKHHLVTEEELNELTKQHGNKDSN SPAR_D02030 MLKPIIFVISLGASLSSAASIPFGTFADVKQIGSQKNLFPFLGG SAPYFSFPANYGIPTDIPEGCRLTQVQMIGRHGERYPTRSEAKDIFETWHKIYNYTGK YGGSLSFLNYGYEFFIPDESYLEMETTLQNSIDVLNPYTGEMNAKRHAREFLAKYGQL MENCSNFPVFATNSKRIYDTAQYFAEALGDGFNISLQTLSEDPSSGANTLAAKSSCPN WHSNVNNDILVSYSRDYLENVSNRLNDENKGLNLSMKDAAALFSWCAFELNARGYSNI CDVFTAAEMIHYSYETDLTSFYQNGPGYNLIKSIGANLFNATVKLLRESAHMDQKVWL SFTHDTDILNYLTAVGLIDDTRNLTTSYVPFRDHSYHKSWYIPQGARVYTEKFQCSNE SYVRYVVNDAVIPIESCSDGPGFSCEENLFYKYAKDRLRGVSFYEDCDVSKVSKEKEL RFYWDWNTTRYNASLVNQ SPAR_D02040 MSAAADRLNLTSGHLNAGRKRSSSSVSLKAAEKPFKVTVIGSGN WGTTIAKVVAENCQGYPEVFAPTVQMWVFEEEINGEKLTEIINTRHQNVKYLPGITLP DNLVANPDLIDSVKDVDIIVFNIPHQFLPRICSQLKGHVDSHVRAISCLKGFEVGAKG VQLLSSYITEELGIQCGALSGANIATEVAQEHWSETTVAYHIPKDFRGEGKDVDHKVL KALFHRPYFHVSVIEDVAGISICGALKNVVALGCGFVEGLGWGNNASAAIQRVGLGEI IRFGQMFFPESREETYYQESAGVADLITTCAGGRNVKVARLMATSGKDAWECEKELLN GQSAQGLITCKEVHEWLETCGSVEDFPLFEAVYQIVYNNYPMKNLPDMIEELDLHED SPAR_D02050 MTANRSSNVMTLFLLRHGQSELNHENIFCGWIDAKLTDKGKEQA RHSAELIKQYCKANNLKLPQIGYTSRLIRTQQTIETMCEEFKLKPQLQIVYDFNKIKL GDEFGSDKKDNMKIPILQTWRLNERHYGSWQGQRKPNVLEEYGKDKYMFIRRDYEGKP PPVDLDREMIQQENEKGSSTGYEFKEPNRQIKYELECSNHEIVLPDSESLREVVYRLN PFLQNVILKLANQYDESSCLIVGHGSSVRSLLKILEGISDDDIKNVDIPNGIPLVVEL DKNDGLKFIRKFYLDPESAKINAEKVRNEGFVKNP SPAR_D02060 MASTELSLKRTLTDILEDELYHTNPSHNQFAGHYQNYHPNASIT PYKLVNGNKENNGFTWNHSSQQQNESSAASIPPQQTFHFPIFNKYADPTLTTTTSFTN GEGPANDKQINNVHLIPNEVKSVSETPLQKTVNLKNIMKVSDPYVPTRNTFNYDVKIS NDFFDNGDNLYGNDEEVLFYEDNYNPKMQWSLQDNSAAINNEDARAIFNNEFDSDDDD ISDDEEDEIEEEDCLQQDQHQEEPLLPLDATSISIFGADQKMGRAKSTGHLFNEYSYV DSNIDSMTSGVSKNLLDEQGHEKIQDEDEDEDNDLLDEDDIYDISLLKNRRKQSFVLN KNTIDFERFPSPSTSANKPSNAAAGKRKSAKSSNNRSGANNNNENSSLERIKKPTSAV LTSNTSRRKLINYTKKHLSSHSSSSNSNSKSSTTPPSAHASSSDGNNEIFTCQIMNLI TNEPCGAQFSRSYDLTRHQNTIHAKRKIVFRCSECIKILGSEGYQKTFSRLDALTRHI KSKHEDLSLEQRQEVTKFAKANIGYVMG SPAR_D02070 MSREDLSTAEGLNQMSKPLLKVKLLEVLGQGDFKHLKALVDNQF QPKDDPSVQQVLNLILHYAVQVAPILLIKEIVAHWVDQVDEGKSSSKSDDDIHLDLNY QDENGNTPLHLAAAQSRSDVISFLLSQKSINDCIKNKAHQQPLDMCKDLNVAQMIQLK RDDYFLETVHSLRAAMNKRDFSKLDMIWKSPRNLNLLDINGIDPETGTTLLYEYSQKK DIEMCQWLLKHGAEATVKDGKGRSPLDLVKSIKLPTKPSNNITPEIKLKNLLEKNLKE QAIVHENVAPSMPPTYKGFLKKWTNFAHGYKLRWFVLSEDGNLSYYKDQSHTDRPRST LKVSTCRLHIDSSEKLNFELLGGLTGTTRWRLKGNHPIETTRWVNAIQSAIRFAKDKE ILNKKRAVPPSLAMKSKSPALISHSKTQGSLPEASQYYQHALHKEVIQPSSVSLYRRP SNNLSVVSSEIQLNDNLTESGKRFVSKMIENRLDGSKTPVGVHAGSALQRVRSSNTLK SNRSVQSGSGVASPIDKVPNSANMSQSNTTTGSTASLSDNNFIANFEGDEANSDDDEE DLGINFDRDEEYIKAQYGPYKEKLDMYEQAISIELSSLIELIDQEEPSPEVWLTIKGS LINTSTVFGKLKDLTYKRDKRLVDMVSKQGDVNNVWVQSVKELEIELSNKTERLASID KERRGLKKILHKKLLESQASVGKKEALENDEQESDTTASTLGQIAKFISATKEEDEAS DAEEFYDAAELVNEVTELTETHPEVSMAAAPKHAPPPVPSEADNESRYVRDKEAKKEP EVEKTSQNFEGPNNLATEEEPKTEQPSKDFKAEDGESQVKEKTKEIASSVVGGKNIVA VTTVQKRKEEYLLKEGSYLGYEDGIRKRLSMDKDDRPKISLWAVLKSMVGKDMTRMTL PVTFNEPTSLLQRVAEDLEYSELLDQAAMFEDSSLRTLYVAAFTASSYASTTKRVAKP FNPLLGETFEYSRPDKQYRFFTEQVSHHPPISATWTESPRWDFWGESFVDTKFNGRSF NVKHLGLWHIKLRPNDNEKEELYTWKKPNNTVIGILIGNPQVDNHGEVNVINHTTGDY CKLYFKARGWRSSGAYEITGEVYNKKKQKVWILGGHWNEAVFAKKVVKDGDLSLEKTR TAASAGNGPTDDGTKFLIWKANDRPEEPFNLTPFAITLNAPQPHLLPWLPPTDTRLRP DQRAMEDGRYDEAGDEKFRVEEKQRAARRKREENNIEYHPQWFVRDTHPITKAKYWRY TGKYWVKRRDHDLKDCGDIF SPAR_D02080 MYNLCLLFFRLFFLAQFFAEASPLTFELNKGQKECLYTLTPDID CTISYYFAVQQGESNDFDVNYEIFAPDDKTKPIIERFGERQGEWSFVGQHKGEYAICF YGGKAHDKIVDLDFKYTCERQDDVRNERRKARKAQRNLRDSKTDPLQDSLENSIDTIE RQLHVLERNIQYYKNRNTRNHYTVCSTEHRIVMFSIYGILLIIGMSCAQIAILEFIFR ESRKHNV SPAR_D02090 MTSKIKKIDDIPPEIREEMMQLYHDLPGIEREYKLIDKIGEGTF SSVYKAKDITGKITKKFASHFWNYGSNYVALKKIYVTSSPQRIYNELNLLYIMTGSSR VAPLCDAKRVRDQVIAVLPYYPHEEFRTFYRDLPIKGIKKYIWELLRALKFVHSKGII HRDIKPTNFLFNLELGRGVLVDFGLAEAQMDYKSMISSQNDYDNYANTNHDGGYSMRN HEQFCPCIMRNEYSTNSHNQTPPMVTIQNGKVVHLNNVNGVDLTKGYPKNETRRIKRA NRAGTRGFRAPEVLMKCGAQTTKIDIWSVGVILLSLLGRRFPMFQSLDDADSLLELCT IFGWKELRKCAALHGLGFEASGLIWDKPNGYPNGLKEFVYDLLNKECTIGTFPEYSVA FETFGFLQQELHDRLSIEPQLPDPKTNMDAVDAYELKKYQEEIWSDHYWCFQVLEQCF EMDPQKRSSAEDLLKTPFFNELNENTYLLDGESTDEDDVVSSSEADLLDKDVLLISE SPAR_D02100 MPVTIKSRSKALRDTEIDLSKKPTLDDVLKQISTNNRNISKYRI RLTYKKETKQVPVISESFFREEADDSMEFFIKDLGPQISWRLVFFCEYLGPVLVHSLF YYLSTIPTVVDKWHSSSSEYNPFLNKVAYFLILGHYGKRLFETLFVHQFSLATMPIFN LFKNCFHYWVLSGLISFGYFGYGFPFGNAKLFKYYSYLKLDDLSTLIGLFVLSELWNF YCHIKLRLWGDYQKKHGNAKVRVPLNQGIFNLFVAPNYTFEVWSWIWFTFVFKFNLFA VLFLTVSTVQMYAWAQKKNKKYHTRRAFLIPFVF SPAR_D02110 MSFRPGSRGGSRGGSRGGFGGRGGSRGGARGGSRGGFGGRGGAR GGSRGGFGGRGGSRGGARGGARGGFGGRGGSRGGAAGGARGGAKVVIEPHRHAGVYIA RGKEDLLVTKNMAPGESVYGEKRISVEEPSKEDGVPPTKVEYRVWNPFRSKLAAGIMG GLDELFIAPGKKVLYLGAASGTSVSHVSDVVGPEGVVYAVEFSHRPGRELISMAKKRP NIIPIIEDARHPQKYRMLIGMVDCVFADVAQPDQARIIALNSHMFLKDQGGVVISIKA NCIDSTVDAETVFAREVQKLREERIKPLEQLTLEPYERDHCIVVGRYMRSGLKK SPAR_D02120 MHGDTNGRTKSNDNPAGNSPNETVILIDSDKEEDASLREANLPV RLYPDRRVGRQRDALNRFVRSDSRNRNSQRTHTTASSESFDPQASNDDLTIIREVGRF FGDDGPIDPSAHYVDLDQEPGSELLETPRTIQVDNTNGYLNDNDNDDGLTIVEERTTR PRVTLNLPGGERLEVTATTTDIPIRRSFEFQEDLAASRRQLLRRSATRARNLFVDRSD ENDEDWTEDTHNLPEAIQSARRESRMRMSRRIAERQRRVQQQRTSSDENMSTSISLQS IRERIQSYTPDIRSAFHRAESLHEFRSILQNVAPITLQECEEELMALFTEFRNQLLQN WAIDRVRNTQEEALRLHREALERQERTTGRVFHRGAFRESITNYLNFNGEDGFLSRLW SGSVLSDADEERHTQNIIDMIQEREERERDVVMKNLMNKTRAQQEEFEAKAASLPENY SASFDTTPKMKLDIAKNGKEETIIVTDDDLAKTLEDIPVCCLCGAELGVGIPDDFTGI SQKDRGISFEGLVSKYKFHCPYQTLARPSVLDRDLSKRTFIASCGHAYCGRCFARIDN AKKKSKMPKKKLAQLKGSAHPDNYGPKLCPANSCKKLIRSRGRLKEVYF SPAR_D02130 MSAQDYYGNSGSKQSYSRPTAPPPEYETGSRGYAPAQSQQNYYP PQQQQQQYYQQQPQYYQQQQPQYYQQHPQQPVYVQQQPASSGNQDCLTGCLAGLCLCC TLDMLF SPAR_D02140 MIPSNKRNARILSITMLLLLLVFFVAQNANFLTVETKGETSKIF SSNMNNIAVGSSREYAAMPTSTMNKGSSEVDEEINEIKQKVGLQQPIASVDDSLSAIK SDKGSGIPKAFIVQKEYSLILDLSPVIIFSKSTCSYSKGMKELLENEYQFIPNYYIIE LDKHGHGEELQEYIKLMTGRGTVPNLLINGISRGGNEEIKKLHTQGKLLESLQVWSDG KFSVEQREKPSNN SPAR_D02150 MKVKINEVHSVFAWSWHIPSTSDENATNKNLNDNDEDEDVCGIC RASYNGTCPSCKFPGDQCPLVIGVCHHNFHDHCIYRWLDTPTSKGLCPMCRQTFQLQK GLAINDAHVQKFVEIVSRRREEMIEEGVAEEFVDFDEPMRQNTDNAIDRQQVDTILDE DFLLR SPAR_D02160 MSIPIIFQILLIFPYFGKYYCLPIEEDDDKSGKEIEQILDSNIE SLGLLLNTITLSSNFTTGDPELDSLFQDDLIPELYSVLDDL SPAR_D02170 MGQGVSSGQDKKKKKGSNQKPKYEPPVQSKFGRKKRKGGPATAE KLPNIYPSTRCKLKLLRMERIKDHLLLEEEFVSNSEILKPFEKKQEEEKKQLEEIRGN PLSIGTLEEIIDDDHAIVTSPTMPDYYVSILSFVDKELLEPGCSVLLHHKTMSIVGVL QDDADPMVSVMKMDKSPTESYSDIGGLESQIQEIKESVELPLTHPELYEEMGIKPPKG VILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPRLCRQIFKVAGENAP SIVFIDEIDAIGTKRYDSNSGGEREIQRTMLELLNQLDGFDDRGDVKVIMATNKIETL DPALIRPGRIDRKILFENPDLSTKKKILGIHTSKMNLSEDVNLETLVTTKDDLSGADI QAMCTEAGLLALRERRMQVTAEDFKQAKERVMKNKVEENLEGLYL SPAR_D02180 MNNHSEIPEGPETSYDITYRVGVAENKNSKFRRTMEDVHTYVKN FASRLDWGYFAVFDGHAGIQASKWCGKHLHTIIEQNILADETRDVRDVLNESFLTIDE EINTKLLGNSGCTAAVCVLRWELPDSVSHDSMDLAQHQRKLYTANVGDSRIVLFRNGN SIRLTYDHKASDTLEMQRVEQAGGLIMKSRVNGMLAVTRSLGDKFFDSLVVGSPFTTS VEITSEDKFLILACDGLWDVIDDQDACELIKDITEPNEAAKVLVRYALENGTTDNVTV MVVFL SPAR_D02190 MVLQNSPASSAHTTNSSERGSNTKTMTYKNKLTVCFDDILKVGA EMMMQQQLKNVQLDSYLINGFSQSQQRLLKEKVKLFHGILDDLETSLSQSSSYLETLT AIGKEKEKEREEAEKKRAEQENLRKVKEQEELKKRQELEEASQQQQRQQNSKEKNGLG LNFAATASANTMNANDSRQNYQELGSLQSSNQTPQIENTNAASNGTTFSPLTTMRSQG QQGQPSDAMFNDLNSMDISMFSGLDSTGFDSTAFSSTVDGTKGFDDNNLGNNYNGISI SSIENNTKNNTDSIKNGKDNNSKNNDKDKDKDNNNNETNNSNNNNNNNNDNDNDEESK NNDSNGNDNNDSDSNNKNNSSTSGNGNDNIVNNDLQTTVVPNPGDNPPPADNGEEYLT LNDFNDLNIDWSTTGDNGELDLSGFNI SPAR_D02200 MLRSIVGKSSRSLNFVAKRSYAEAAAATSGLKLQFALPHETLYS GSEVTQVNLPAKSGRIGVLANHVPTVEQLLPGVVEVMEGSNSKKFFISGGFATVQPDS QLCVTAIEAFPLESFSQENLKNLLTEAKKNASSSDAREAAEAAIQVEVLEDLQSVLK SPAR_D02210 MVTENPQRLTVLRLATNKGPLAQIWLASNMSNIPRGSVIQTHIA ESAKEIAKASGCDDESGDNEYITLRTSGELLQGIVRVYSKQATFLLTDIKDTLTKISM LFRTNQKMNTTVSRLNTVTRVHQLMLEDAVTEREVLVTPGLEFLDDTTIPAGLMAQEN SMERKVQGAAPWDTSLEVGRRFSPDEDFEHNLSGMDLDFDIEEGPITSKSWEEGTRQS SRSFGAHENYIQDDDFPLDDAGTVDWDLGITEKNGHNNDDDDNSVEQGRRLGESIMSE EPTDFGFDLDIEKETPMGDIDMAADVMTESQPKQKSTRRNPKLLNTRNIQIDEETEKS ESSVSSNTYKEEGPNNLLISQSANSTTKRLWSEMAGSMSYLPDLILKNFLSYDSLKKR KIKNRPEGPIEEPELNVSLNLSDDVISNAGTNNESFNELTDNMSDFVPIDADLNEAPF PEDGVAGTKTGSETTTQTEKVRLASGEVASKAIVQMAKILRKKLTEENEVIFTDVLKS QADTELENITKREASKGFFDILSLATEGCIDLGQTETFGNIKIDAKPALFERFIHA SPAR_D02220 MSVEEKKHRLEELKDQNVVLGLAIQRSRLSVKRLKLEYGVLLER LESRIELDPELNCEDPLPTLASFKQELLTKPFRKSKTKRHKVKERDPNMPKRPTNAYL LYCEMNKERIRQNGSLDVTRDLAEGWKNLNEQDRKPYYKLYSEDRERYQMEMEIYNKK ISNVDADDDKEENEQKIKNNEDGSSTRVDESKGGEDGTLISSN SPAR_D02230 MVDSNNDQPERQALLDNDLQTSNNSKPSMVQKKYIPTPQDTRKS RAAYTGSAMINPISKQSRTGAGAQRTSRTAQKLKLLPEEPFQRDGERLSDLKNQEVYS QVNRIKDKPARRDAEKLGKAHRHLLPRSTAYCTASSYNMKELIRWLKDCRKLHHTHPK LFDECLYTPFIYNDWRGDKRFEHEDVIRLDDEGGEIIVSDKHPDLFIFEYGVVVMWGF TEREEKAFLNDIERFEKEKLAEEDIQVEEFNYYVTKSYQPRIYNDFITLRDGSNYMVK LSISHAIAQSVKISLFEELVDNTIEDTQDIPQEIAYSGKVSMSKEDIMKSIGELFILR ININLHGSVLDSPEIMWSEPQLEPIYQATRGYLEINQRVSLLNQRLEVISDLLQMLKE QLGHSHEEYLEFIVILLVGVEVLISVINIVVDMLASQH SPAR_D02240 MSQVNTSQGPVAQGRQRRLSSLSEFNDPFSNAEVYYGPPTDPRK QKQAKPAKINRTRTMSVFDNVSPFKKTGFGKLQQTRRGSEDDTYSSSQGNRRFFIEDV DKTLNELLAAEDTDKNYQITIEDTGPKVLKVGTANSYGYKHINIRGTYMLSNLLQELT IAKSFGRHQIFLDEARINENPVNRLSRLINTQFWNSLTRRVDLNNVGEIAKDTKIDTP GAKNPRIYVPYDCPEQYEFYVQASQMHPSLKLEVEYLPKKITAEYVKSVNDTPGLLAL AMEEHFNPSTGEKTLIGYPYAVPGGRFNELYGWDSYMMALGLLEANKTDVARGMVEHF IFEINHYGKILNANRSYYLCRSQPPFLTEMALVVFKKLGGKSNPDAVDLLKRAFKASI KEYKTVWTASPRLDPETGLSRYHPNGLGIPPETESDHFDTVLLPYASKHGVTLDEFKQ LYNDGKIKEPKLDEFFLHDRGVRESGHDTTYRFEGVCAYLATIDLNSLLYKYEIDIAN FIKEFCDDKYEDPLDHSTTTSDTWKEMAKIRQEKITKYMWDDESGFFFDYNTKIKHRT SYESATTFWALWAGLATKEQAQKMVDKALPKLEMLGGLAACTERSRGPISISRPIRQW DYPFGWAPHQILAWEGLRSYGYLTVTNRLAYRWLFMMTKAFVDYNGIVVEKYDVTRGT DPHRVEAEYGNQGADFKGAATEGFGWVNASYILGLKYMNSHARRALGACIPPISFFSS LRPQERNLYGL SPAR_D02250 MSSEDKKPVVDKKEEAAPKPPSSAVFSMFGGKKAEKPETKEDEE DTKEETKKEGEDAPESPDVHFEPVVHLEKVDVKTMEEDEEVLYKVRAKLFRFDADAKE WKERGTGDCKFLKNKKTNKVRILMRRDKTLKICANHIIAPEYTLKPNVGSDRSWVYAC TADIAEGEAEAFTFAIRFGSKENADKFKEEFEKAQEINKKA SPAR_D02260 MILREQIDLLIYKREDDNNGNGEAITDDDPFSSSSWRWGRWIFF IFFIVALLILLFSTAKVNRRRRIMGQAPIRGTAWLTPPTYRQSERDYNGTQRCVEDYV PEYTETANENDLGFYDERGEFHPNGKTEYLAPPPLSEDQASSADKDLQRPVAAVVRIP SESEFDLNLLRPTMNNFVNGQSNRNEQHSPTVESSSLDVSSAPARAKISR SPAR_D02270 MDLYDELPESKLLYDEEFFYLLDAVKQNGVCVVDFLTLTPKELA RLIQRSINEVFRFQQFLVHEYNEKYLEICENNSISPDDGPKCFTTADVAMDELLGGGI FTHGITEIFGESSTGKSQLLMQLALSVQLSESAGGLGGKCVYITTEGDLPTQRLESML SSRPAYEKLGITQSNIFTVSCNDLINQEHIINVQLPILLERSKGSIKLVIIDSISHHL RVELQNKSFRESQENKNYLDRMAEKLQILAHDYSLSVVVANQVGDKPLTNSSAAHKAY VTDYDYQLGWLVGWKNSTILYRQMNSLLGANSNNDEILSDDEDYMLIERVMNTVNDRG YEFSSQNKNHPITENRTLGRHPLSLLNQQNKKRKFDYRVPNLGLTWSNHVSTRILLQK SFKASTIIQRGEAHLYKGSDSASFWQVKRTMKVVYSTFAKPGQIAYLITKRGIEAA SPAR_D02280 MKFIDELDIERVNQTLNFETNDCKIVGSCDIFTTKAVASDRKLY KTIDQHLDTILQENENYNAALQQQLATPETNQSPCSSPFYSNRRDSNSFWEQKRRISF SEYNSNSNTNNSNGNSCNNNNHSGPSGTCPATFSKSAKLNDQNLKELVSNYDSGSMSS SSLDSSCKNDEKIRRRSSSSISSFKSGKSSNNNNNSGAATNNINKRRKSSINERPSNL NLGPFGPINEPSSRKIFAYLIAILNASYPDHDFSSVEPTDFVKTSLKTFISKFENTLY SLGRQPEEWVWEVINSHMTLSDCVLFQYSPSNSFLEDEPGYLWNLIGFLYNRKRKRVA YLYLICSRLNLNTSEVEDTLAKKPQGKLIIDDGSNEYEGEYDFTYDENVIDDKSDQEE PLQ SPAR_D02290 MDQPRTHSGPTTASNPAPSSTNSSSAPSVANSKQERSSSSLSKP SSVVPSKDSPDGNAIAKTQAAALQNDMKSGDSSTLDGSSQNIIPNRASMQKYIDQSSD LLSRSSGVITPSMSLNASTAATNNDSSGNSANSSDLKIPIDRDNTIFKTFDTKTGQFL KNDDNEEEIRRNNKVDSIPPKDIYTNINNPSASPPPSSKQPPSASAPQLPPATEQDKQ QAGQQQPPGNASNFLRIFSNKKMRSHSVPTILHSSLRKLSSHNQYYRNQNILLNHQTT SGISKKKFSRNHHQPYLHSNNPLSSNPLSLKRAIFLNQQISDNTSTNANNDNINNSTA NSMTNQSFLSGPNFDLTLEDRINYIKATPTPVPFPPINLQGLKEIDLQEILKNPQLRH DIIFDPLLQFRPNLDGERGNKKRQLANIYWNDVQNEIYVYSKKPEIFQYNRSRLVPLF DTLRDVLLTIVPQKESPMINNVLDTELNIQELLKGSLIMSNLSGWLADLFKHHCAPMR DPWVDKMSNKFKEAERDSSLIRLIEGLRLVFQILETMKLDIANHQIRILRPALLSNAV EFEKQYFNTLIASKRVNLNTSLLWFDKKFNENISMGLVRNPHSITIPDVYNICIRSII NLLSCRKMVREYPTPLSFDHARLILLRADIRQIVCILVCRLLFQQLVANDPSMGKATK EYVIHTYSTKRLKNEIISIITDEHGNCRWTKNTMSIAVHLCKVIDDLHKEYDNSDSCE QATRAQLPSLDNAKITFAKSWLSKQTQPLSEVYGVLENRVFKSLEDAIFNRSECTIDG RVKQDFVYLYNTNNGNVGSTSTLTTTSDTASVKISPSLMSPSKTFAATPTGNATASRG LFAATELEEFENVYRHLYALINLHWSVFGPHYIEMLGDKVNKKGI SPAR_D02300 MSIVNVIGISGPLVKVCGLQSAEAAECALDSDADLLGIICVPNR KRTVDPVVARKISSLVRAYRSNSGTPKYLVGVFRNQPKEDVLALVNDYGIDIVQLHGD ESWQEYQEFLGLPVIKRLVFPKDCDTLLSAASQKPHLFIPLFDSEAGGTGELLDWNSI SDWVRRQESPESLQFMLAGGLTPDNVGDALRLNGVIGVDVSGGVETNGVKDTNKIANF VRNAKK SPAR_D02310 MNTNVPIFSSPIKDLSRSFEQKRIAVVDAFYHAYHVKPDFIARS PGRVNLIGEHIDYCDFSVLPLAIDVDMLCAVKILDEKNPSITLTNADARFAQRKFDLP LDGSYMAIDPSVSEWSNYFKCGLHVAHSYLKKIAPERFNNTPLVGAQVFCQSDVPTGG GLSSAFTCAVALATIRANMGKNFNISKKDLTRITAVAEHYVGVNNGGMDQATSVYGEE DHALYVEFRPELKATPFKFPRLKTHEISFVIANTLVKSNKFESAPTNYNLRVIEVTVA ANALATRYSVALPSHKDNSNSERGNLRDFMDAYYARYENQAQPWNGDIGTGIERLIKM LKLVEESFSGKKIGFTVDEASSALNCSREEFTRDYLTIFPVRFQVLKLYQRAKHVYSE SLRVLRALKMMTSATFHTDEDFFTDFGRLMNDSQASCDKLYECSCIETNKICSIALAN GSFGSRLTGAGWGGCTVHLVPSGANGNVEQVRKALIEKFYNVRYPDLTDEELKDAIIV SRPALGTCLYEL SPAR_D02320 MSNITNTQDSSNNTVVRSSSASFAASEESFTGISHEKDKHRDTP ADRLTKMLTGPAKDSASQISAAMSDMSPDVVSKVESFADALSRHTTRSGAFNMDSGDD DAFDAHAIFESFVRDADEQGIHIRKAGVTIENVSAKGVDASALEGATFGNILCLPLTI FKGIKAKRHQKMRQIISNVNALAEAGEMILVLGRPGAGCSSFLKVTAGEIDQFAGGVS GDVAYDGIPQEEMMKRYKADVIYNGELDVHFPYLTVKQTLDFAIACKTPALRVNNVSK KEYIASRRDLYATIFGLRHTYNTKVGNDFVRGVSGGERKRVSIAEALAAKGSIYCWDN ATRGLDASTALEYAKAIRIMTNLLKSTAFVTIYQASENIYETFDKVTVLYSGKQIYFG LIHEAKPYFAKMGYLCPPRQATAEFLTALTDPNGYHLIKPGYENKVPRTAEEFETYWL NSPEFAQMKKDIATYKEKVNTEKTKEIYDESMAQEKSKYTRKKSYYTVSYWEQVKLCT QRGIQRIYGNKSYTVINVCSAIIQSFITGSLFYNTPSSTSGAFSRGGVLYFALLYYSL MGLANISFEHRPILQKHKGYSLYHPSAEAIGSTLASFPFRMIGLTCFFIILFFLSGLH RTAGSFFTIYLFLTMCSEAINGLFEMVSSVCDTLSQANSISGILMMSISMYSTYMIQL PSMHPWFKWISYVLPIRYAFESMLNAEFHGRHMNCANTLVPSGGDYDNLSDDYKVCAF VGSKPGQSYVLGDDYLKNQFQYVYKHTWRNFGIMWCFLLGYIVLKVIFTEYKRPVKGG GDALIFKKGSKRFIARADEESPDNVNDIDAKEQFSSESSGANDEVFDELEAKGVFIWK DVCFTIPYEGGKRMLLDNVSGYCIPGTMTALMGESGAGKTTLLNTLAQRNVGIITGDM LVNGRPIDASFERRTGYVQQQDIHIAELTVRESLQFSARMRRPQRLPDSEKMDYVEKI IRVLGMEEYAEALVGEVGCGLNVEQRKKLSIGVELVAKPDLLLFLDEPTSGLDSQSSW AIVQLLKRLSKAGQSILCTIHQPSATLFEEFDRLLLLRKGGQTVYFGDIGKNSATILD YFERNGARKCDSSENPAEYILEAIGAGATASVKEDWHEKWLNSAEYNQTKEKVQELIN DLSKEETKSELGDKPSKYATSYAYQFKYVLIRTSTSFWRSLNYIMSKMMLMLVGGLYI GFTFFNVGKSYVGLQNAMFAAFISIILSAPAMNQIQARAIASRELFEVRESQSNMFHW SLVLITQYLSELPYHLFFSTIFFVSSYFPLRIFFEASRSAVYFLNYCIMFQLYYVGLG LMILYMSPNLPSANVILGLCLSFMLSFCGVTQPVSLMPGFWTFMWKASPYTYFVQNLV GIMLHKKPVVCKKKELNYFNPPNGSTCGEYMKPFLEKATGYIENPDATSDCAYCIYEV GDNYLTHISSKYSYLWRNFGIFWIYIFFNIIAMVCLYYIFHVRQSSLLSPVSILNKIK NIRKKKQ SPAR_D02330 MSRPQVTVHSLTGEATANALPLPAVFSAPIRPDIVHTVFTSVNK NKRQAYAVSEKAGHQTSAESWGTGRAVARIPRVGGGGTGRSGQGAFGNMCRGGRMFAP TKTWRKWNVKVNHNEKRYATASAIAATAVASLVLARGHRVEKIPEIPLVVSTDLESIQ KTKEAVAALKAVGAHSDLLKVLKSKKLRAGKGKYRNRRWTQRRGPLVVYAEDNGIVKA LRNVPGVETANVTSLNLLQLAPGAHLGRFVIWTEAAFTKLDQVWGSETVASSKVGYTL PSHIISTSDVTRIINSSEIQSAIRPAGQATQKRTHVLKKNPLKNKQVLLRLNPYAKVF AAEKLGSKKAEKTGAKPAAVFTETLKHD SPAR_D02340 MYGDLGNKLILEAKRTKQLYARSSQDVNLPMYHEDIIRNILKEI SNLRKNTEYLKEQQQLGMLDDKVAKCQYFVTLLCMERNKRCLLAYQKLRTDILDSMAW NNNGLDLMSSMTFSQQDTNNLSHQEQEYLKEYCDLITDLKSGDLVDIDLSGSLVPPSD VFIDVRVLKDAGEIQTEYGVFNLIKDSQFFVRQSDVERLIQQGYLQKI SPAR_D02350 MRAYGKRGPVFPTPFRSNKRSSSSSDVEFSDDDVNSVIPDISST ISSSIADHSIEGLLNEPRNVRNGSPSFNGLSEKASLQLDSKENDQNVRIITGSDASMT FMKDEKLSAFDFLDGSKASKRKRRRTYQRHDAGIASSIESDVQGEDNITMQNESESVK KIYNDINEFILNLPRANDDVLNKMFENEMERDNTEEANNIHTSKDKKYGKFRTILINK NKENEIVEEEGDEKANTVSVNNVDNSNTEKEELTSTNHYNELKNMGDTIKYQDDIEFF LSDSKSNDNNMIPINEYFKKLLNLSLMTINDEGFFQYAKRYFKKEIIKLSFAQVRSDF PELVLLQGYLLHKVSESQSDLPSNFETFSIDLSKDDGKMWRKKNKHINKLSHLNFEDF LRKTKFKTGLCYSLSLWEMPGKLSMDIIRRISILASNRDLFSRHITTFIHLLEKLVTD PKFGHIYIEQPDMFDGVIINLNNKFKDILDNDSLVKILILLTNMEGHNYTLWKEADMI FQASMSTILRSIHPLIYAKVDNVLLHLGLCLNICGRKKSDLKVDVKLWHNMRTVFVKM VRDGSDIKNRLTQGLFYLNFSFLIKQRKEHDNLDPEELNTLLVELEAFRSETSQFNEG ISNKIEIALDYLKSIYKGKKITT SPAR_D02360 MSETIERGKIETMQQRSNKRSCSYIPLREHNNTEKGLYTEVVPI RNNKRSITTSPIVNINVVERKLFNLELEKRQLRAKNLSKDKQDGSSNGTTYSDVKKEI REQDQYQVSPLKEPLRRQPPPSMKKSPPRKKKSLKDLIYETNKTFYQVDSNKVKYKVG LSKKQLLSSKTKDS SPAR_D02370 MMASTSNDEEKLIPTADKYFIEQRNIVLQEINETMNSILNGLNG LNISLESSIAVGREFQSVSDLWKTLYDGLESLSDEVPIDEQPTLSQPKTTTG SPAR_D02380 MDTSDEIHDKIPNTLREQQQHLRQKESEGCITTLKDLNVPETKK LSSVLHGRKASTYLRIFRDDECLADNNNGTDSNNGGSVPCADKITRTESTPKSLPEEL QPSDKKRNPDTSSSPLSSFILSNHEEPAIKPNKHVAHSNNIIERGQSFDENIAKQQSY QPQVIHQQTSLKPIQNVDEGCISPKSTYQDSSHGISEDLTLKPVSSATYYPHKSKAVS GYEEKDKMENDIDTIQPTTINFASNIAALPRSYSRHTFKVKTHSTLSQSLKQENINNS SNNKKAQQSVQQSEPNKEKLNTLEQEKGGEQVEEEEDEGEDEHREYPLAVELKPFTNR VGGHTAIFRFSKRAVCKALVNRENRWYENIELCHKELLQFMPRYIGVLNVRQHFQSKD DFLSDLDQENNDSSKNIEVNHDSNDDIPLSTEPTGTPLTHIHSFPLEHSSRQVLEKEH PEIEPIHQHVKRSLSSSNQPSLLPEVVLNDNRHIIPESLWYKYSDSPNSAPNDSYFSS SSSHNSCSFGDHASTNKLKRRDSGSTMINTELKNLVIREVFAPKCFRRKRNSNTSTMG NHNARLDSSPSFLTQKSRASSHDASNTSMKTLDNSSSQANLQMDNSNVSANLQGPFLK KSLHEKISNALEGSHSVMDLKQFHKNEQIKHKNSFCGSLSPILTATNSRDDGEFASSP NYISNAQEGVFDMDEDTGNETISMDNQGHHNGPGKNKIIKSLGYNVSNDYSHHDIESI TFEETSHTIVSKFILLEDLTRNMNKPCALDLKMGTRQYGVDAKRTKQLSQRAKCLKTT SRRLGVRICGLKVWNKDYYITRDKYFGRRVKVGWQFARVLARFLYDGKTVESLIRQIP RLIKQLDTLYSEIFNLKGYRLYGASLLLMYDGDANKSNSKRKKSANVKVNLIDFARCV TKEDAMECMDKFCIPPKSPNIEDKGFLRGVKSLKFYLLLIWNYLTSDTPLIFDEAEMN DMINGETDKNSSPSATGSKINFNSKWDWLDEFDKENEEMYNDPNSKLRQKWRKYELIF DAEPRYNDDAQVSD SPAR_D02390 MKDYQEYHPHNIYGKTSNSFIRALKRVFIICLFLSGSLSIVVFQ ICVQLLLPWSKIRLQNGMNQSKKAFIVLLCMILNMVAPSSLNVTFETSQSSKNSSNTK SCFRFKDRAIIIANHQMYADWIYLWLLSFTSNLGGNVFIILKKALKYVPLLGFGMRNF KFIFLSRNWQKDEETLTSSLISMDLNARCKGPLTNYKTCYSKTNESIAAYNLIMFPEG TNLSPKTREKSDAYRQRAHLNHVQLRHLLLPHSKGLKFAVEKLALSLDAIYDVTIGYS PALKTEYVGTKFTLKKIFLMGVYPERVDFHIREFKVDEIPLQDDEVFFNWLLNVWKEK DELLENYYNTGQFKSKGETENRSTVVMKQTIGFQHETLAPRFLSYYGFFAFLIFLFVM KKILELALR SPAR_D02400 MSIIKKLVFKRFNSTLKKTALHDLHVSLGGTMVPYAGYSMPVLY KGQTHIESHNWTRTNAGLFDVSHMLQSKLSGPHSVRFLQRVTPTDFNALPVGSGTLSV LLNPEGGVVDDTIITKENHNEFYIVTNAGCAERDTEFLRSELQNGSTSDCQWKIIEGR SLLALQGPKATDVLGPLLLKTAQGKDLKELFFGQRHEFALKDGTLVQIARGGYTGEDG FEISIANEKAVEFAEQLLANPLMKPIGLAARDSLRLEAGMCLYGHELEESITPVEAAL NWVISKSRRNMVDQKYWFNGYAKIMDQLNNKTYSRIRVGFKYLKKGPAARNGVKVFLP DGQTEVGLVTSGSASPSLNNINIGQAYVQKGYHKKGTELLVQVRNKFYPIELAKMPLV PTHYYKQ SPAR_D02410 MDKKAVEEKRIVISIGGGHATGVGAIALDLQNTFKSLYNSINIR VINLDNMIEGNIKSYNNNDYDFDNILNLVYEKHAVTSQNDMIQHDYEDPIDLIIVCGC YALYDKRINEISQLKVFLDSDADKRLISLIKKKNVESNEQLAQLITEYMDHLRPEMQQ YIEPTRTFADLIIPSTNENLGRAVLVDGIVKAIEDTKSQIEGNNTNNKVRPRLWDFEA ETMDLEKDRYYDLS SPAR_D02420 MSFNREEDQKLKFKTSKKLKVSSNFESMKLKDDLLRGIYSYGFE APSSIQSRAITQIISGKDVIAQAQSGTGKTATFTIGLLQAIDLRKKDLQALILSPTRE LASQIGQVVKNLGDYMNVNAFAMTGGKTLKDDLKKMQKHGCQVVSGTPGRVLDMIKRQ MLQTRNVQMLVLDEADELLSETLGFKQQIYDIFAKLPKNCQVVVVSATMNKDILEVTR KFMNDPVKILVKRDEISLEGIKQYIVNVDKEDWKFDTLCDIYDSLTITQCVIFCNTKK KVDWLSQRLLQSNFAVVSMHGDMKQEERDKVMNDFRTGHSRVLISTDVWARGIDVQQV SLVINYDLPEIIENYIHRIGRSGRFGRKGVAINFITKADSAKLREIEKFYSIKINLMP ANFAELS SPAR_D02430 MNVTVTVYDKNVKHRLEENVKNSKGPINNNQSAYNNESKSTDGS DYAMFPTNVRYIFEDNNDEIVDSSDAALTAGIDKDEDELENVIIVQLDESGSLEDITL ISDQYELLSHRTNNLSLEENQMRTFASHSNDFKSNDDGEELSVDSGKLGMDLDIELDV ISQFCDLSPLLRDLSLNDLIKLYVTQNEQLQMISNSI SPAR_D02440 MLDINQFIEDKGGNPELIRQSQKARNASVEIVDEIISDYKDWVK TRFELDELNKKFNKLQKDIGLKFKNKEDASELLAEKEKLTQHKKELTEKEQQEDKDLK KKVFQVGNIVHPSVVVSNDEENNELVRTWKPEDLEAVGPIASVTGKPASLSHHEILLR LDGYDPDRGVKICGHRGYFFRNYGVFLNQALINYGLQFLAAKGYIPLQAPVMMNKELM SKTAQLSEFDEELYKVIDGDDEKYLIATSEQPISAYHSGEWFEKPQEQLPIHYVGYSS CFRREAGSHGKDAWGVFRVHAFEKIEQFVITEPEKSWEEFEKMISYSEEFYKSLKLPY RIVGIVSGELNNAAAKKYDLEAWFPYQKEYKELVSCSNCTDYQSRNLEIRCGIKKMGD REKKYVHCLNSTLAATQRALCCILENYQTEDGLVVPEVLRKYIPGEPEFLPFVNELPK NSTSSKDKKKKN SPAR_D02450 MFYGYAALFPRQFQAHRSKSTLDGLSDASVFCLSLLFTHLAWRT SLLLGSLPQLCTFSR SPAR_D02460 MSTELTVQSERAFQKQPHIFNNPKVKTSKRTKRWYKNAGLGFKT PKTAIEGSYIDKKCPFTGLVSIRGKILTGTVVSTKMHRTIVIRRAYLHYIPKYNRYEK RHKNVPVHVSPAFRVQVGDIVTVGQCRPISKTVRFNVVKVSAATGKANKQFAKF SPAR_D02470 MDSTSSSTNANFQNDNDPKESVEEAVLKYVGVDLKDHVKKIKKK SKKHKKKKHGLKISREDKDTNIDWYLKASDTNDSRKEGDIEPDSVAVAAIAAAYNNSI REKDKRSNHKRSFNSRSEGKKYRKSKNSKERKAKIKMVLDPELTTLDDAITTAAFSPD DLIAETAFDKYVDAEKTYLVKHPNKSSEVDDNNKENNFDNNSSTLVRIYTDLEGIPND GSYIKRTPKTPEKGIKLGESTLTLEENKGDTALLRSDIVKASAVDGAITKSVGKKFTS SEEDALDQFIEEYMKIRDLDRRHMCERIWSTDGVIRDGFWANISKVLPYRTRSSIYKH IRRKYHIFEQRGKWTPEEDQELARLCLEKEGHWTEVGKSLGRMPEDCRDRWRNYMKCG SKRGSKRWSKEEEQLLTTVVNEMIEEAHQYQGMKALEAVNKSDEYNQMYTRGPKGKRI SDNPTFKDMINWTVVSERMSGTRSRIQCRYKWNKLVTDEAARNMISIPFSERKWLLEK LSQLSKASYSNIDWNSIAASKPGSPRTGLELRLCYEQMREKVQDFKERSTADTIELLL EPMN SPAR_D02480 MSINETPHNKGQGLRKAGGRPKIVVPEGSPSRNSDSGSFTIEGD TSLNDDLLSISGSVTPRARRSSRLSLDSITPRRSFDSRTLSVANSRSFGFENETHSGS MDFSPLGNNSIYEIVMNTRRKNWLNYPTVADIPQVSLSRNDLDDHWKTHVIEYVKNIK SDYQIFQSTNNIRNMNQMEQLKELREGEKINEESFEANLRQDDAELISSIPDFYFSDK FQLDNPRTFHKVLDAIDLFLTKPDMKRQTQREEAFFELRDKLNDFLDIVETLLVTEIS KSSHKFFHALSEVDNIQKRAYNTMSELEELAQNIKTIDAENIRKKISHLEIIFKRKNV EKLEQGLLQAKLVLNKTDECRSMYKKNKLDECLELIKSIDHLIKGDDSVNEDVQCWTR SWPYKLSNLRTIPALSATREFLTNMKIEIGGKFSLQLSNLLIDDLRSFYKSIKPMETL YRIQTGSNDRKQTIFTGSFSSKIGELIVRLNRCEELTSAFDLYREKSIAELKSVIKIY LPTENTLPDNNHDEKQLNNDSTSGSKLSRLIKEQTPAEFQSMLVKIFTHALEALRRLY GHQKLLLDISLNELASVKSPNENQHNMITQLDIRTGINEIIRIIQLRTGKIIAVRREL NLSLRYDYFLKFYAICVIFIQECEVLSGEFLTKYLSNVLASQIKHYASAQSSKNYRTI KKKIDAEKWIPYIVDSSIQSDVNDIVSSIDIDPLSWTAILDMIEGSRACEDDESEGKR KDEGDGTSQGHRKSVVVGDKTFVASSSLLATIEVIKELMVLSINLPSIYLPNFEKLCY DVLQYYNSSAMASVTQPGNSLLKTGRNLSIMGESLDCLAEFVIIVQRFYQRLSNSSRD FEPFDPSHYTTLLGQYQASSNKIYMANAPPPPV SPAR_D02490 MSTNLANYFAGKKDTKNEHVNRNASHESNSKSDVKVAGNDNDND DEDMGPSVSMAVQAKNDDDFHKSTFNLKRTRSMGLLDEYIDPTKKLLGRPDDLYGNDN EYYDNSSDNSSSNSSDDDYDDDYQEHSTSVSPPPADNDSYLMPQDDNDVVVEPERHVD YLSHEWKESEISNSWKYIILKKKKRDVDLVNAARLENASWRTWAKARNNLKTVSPEVV NWSKDSDVTWLYGPIIRDSENNAQSEEEHDLERGYGSDDENSKRISMPTKKSKSLTAA PKPILKKRTVTEIIEDNALWKLNEARKHMTEMKHASVIMDPNGNKNVHDDFDALAAQV NAQYYHYPKNSNSSVSLNGQSSDKKDNYTIPNSLGVNPNVGNDEGKEDLHLKSALRVQ NNPSTAQSDKSILENNTNDRVKSHLDENLDSSDTNRFLSSKSCSNKDNENHSIGLSSI LTSSPSEKSNKPTKNRHIHFNDRVEQCMALRYPASQSEDDESDGENRQYVDVNNNANV TTINNNRTPLLATQHKSIPVNSAPERFNKDTSDDDTSSPSSSSSHSDDEEHGGLYINA RFSRRSDSGVHSPITDNSSVASSTTSRAHVRPIIKLLPDTTLNYGSDEESDNGEFNGY GNAVSHNVNTSRGYDYIYDYNSVYTGDTSSFLPVDSCDIVDVPEGMDLQTAIADDNAS NYEFNNAVESKEKHSPQLQKASTNNTTRQHGSHMLLYDDDNYSSSSDSEQQFIEDSQY NSSDDEEEEDDDDDQAVDDNHDEGLSLRRTLSLGKSGSTNSLCDLAQPSLSSATLSQQ KIPTNFIAGKTDESKDAQLAVRPYPLKRNSSSGNFIFNSDSEEESSSEDEQRPIPAGN KLVNRGSLKGSVAPANIPSQKKTALPKQPKASDSSQSFRIVNKTPSPAEVGSSDVAIE GYFSPRNESIKSVVSGGSMMDHQDHSEMETLAKGFENCHINNTGRLKDKKADSLQTTR KEASLTNSSNESLQKVMQNAKGMASKYLHSWKRSDVKPQENGNDSS SPAR_D02500 MDPFLQYRLGNISLNEFHRRAIQSELERILEDPLPNMKNYRFSK QSSYNLKKGTPLNIGVNCLDIDNTGQVLLGGGDDGSLSIWGLEESLHHNDGGEQELIN KRLNYIKRQPHQSDDEPAQVAGYKNKKSRTNDSNAMRLVHSFQTQRNKYRMYRQSNGA VSIPRSHISNKTNSPTGRGEQLSETDPEASISHHKYGITTLRWYKADNGMFFTGSNDR TVKIWDTNRLEAVQDINLGYKINQIDNNVADDSSLLAVASEDYYPRLIDLRTMNSGIT TLGMGNQTRMQSEILCCKFNPVREQIIACGDMEGGIKLWDLRMRNRLYLELKRNKNGL KTINNDDDEGQSDVYFSSNQSKAHLRCCSDIVWNSEGSELCSIGMDGKLNIWRPFTEI LQPKGMASYFQLGPQDLSRIKYKKRVSQRLLWFDKFLLCITDNGEIEIYNTEEEKLWN KLVYPMVNQVKKNLASHCQFSSMVVQTNMMNSVGLKLFFGTNNNTVSDGGSIFECS SPAR_D02510 MSDILDEIVIEDVVANCPQEFLQYHKCIRDNEENPGKCRDGRVT LSTCIREKVPSVKSIMSECNEPMKKYDQCIRENMGTRTINENCLGFLQDLRKCAELQV ENKNIKPSINGVNLELIKD SPAR_D02520 MPRVAIIIYTLYGHVAATAEAEKKGIEAAGGSADIYQVEETLSP EVVKALGGAPKPDYPIATQDTLTEYDAFLFGIPTRFGNFPAQWKAFWDRTGGLWAKGA LHGKVAGCFVSTGTGGGNEATIMNSLSTLAHHGIIFVPLGYKNVFAELTNMDEVHGGS PWGAGTIAGSDGSRSPSALELQVHEIQGKTFYETVAKF SPAR_D02530 MSTFETLIKRGGNEAIKINPPTGADFHITSRGSDWFWTCFCCYL LFGLILTFLMFRKPVNDRFFYLTGIAPNFFMCIAYFTMASNLGWIPVRAKYNHVQTST QKEHPGYRQIFYSRYVGWFLALPWPIIQICMLAGTPFWQMAFNVCITEFFTVCWLIAA CVHSTYKWGYYTIGLGAAIVVSISVMTTSYNLVKQRDNDIRLTFLVFFCIIMFLWIIA YPTCFGITDGGNVLQPDSAGIFYGIIDLILMCFIPTLLVPIANHFGADKLGYHFGPSD AEAVMAPKAPVASPRPAATPKLGKDKKKKSKKSKKSKKSKKSEE SPAR_D02540 MFESVNLDENSPEDKELVKVLSPPGSYLSPASLDSGSSFTNSGT SASCFEPKNNLPSLSFLNARAGSLGGIFNHKQMTSPSNSNIAGENVESTTNSNDSSDG NAGHSTTSQKDDNGRSSLTPNSAVTSTVTDKKGNTVKRKYSRNGCSECKRRRMKCDET KPTCWQCARLNRQCVYVLNPKNKKRRTSNASRVKEFKKHGASLDNDHHNDRKRQHSSC KAEKKIAVRSNLDDDTTDTKQIKDSAKSVPLDEIESLEIPNFDLTTTMDGYDVNLLMQ NLNDMVNMKLHDSYLLNEELKGLDLPDLDIPELLPAPNANSSVPISFLVNNVITFNTK LSSFKLGGIHDKYLKIFYYDCLDSIAPFFQNQGNPLRDILLSFAKNEAYLLSAILATG ASIAYRKSNNLEDERNYCAYLSHCLSLLGEQFKNESNVLNRIEPIILTVIMLAWDCIY SMNSQWRSHLKGVTDLFKKISAGNSSKVLNVAKCWFKVMETFASISTVFGGSLIDNND LDAIFDPYDYQYVDSLKFLNIMTPLNEFNLLRGHKEDFDLVIKEVFKSLNIIRSTEKS YFSKEKGLFTKKLDYLLLSSQTSSEKFKDQISYFNTQKILVEIDKQLDYEFIDKSGII PSDNQSHPRISNIHDNAIDMVKLKNGEEIAISWYDISHQTQVLSFLLIVLLKLLGMPK ESSTIQQVVKKIMSFFKFLDSDSPPQNSRTCYSNFAVLIAGLNAMDEETRAIVKRYYK INGGKFQRLTEHNLNRLEKVWYGKNQNYRLEEQDVLTW SPAR_D02550 MFIKNDHAGDRKRLEDWRIKGYDPLTPPDLLQHEFPISAKGEEN IVKARDSVCDILNGKDDRLVIVIGPCSLHDPKAAYDYADRLAKISEKLSKDLLIIMRA YLEKPRTTVGWKGLINDPDMNNSFQINKGLRISREMFIKLVEKLPIAGEMLDTISPQF LSDCFSLGAIGARTTESQLHRELASGLSFPIGFKNGTDGGLQVAIDAMRAAAHEHYFL SVTKPGVTAIVGTEGNKDTFLILRGGKNGTNFDKESVQNTKKQLEKAGLTDDSQKRIM IDCSHGNSNKDFKNQPKVAKCIYDQLTEGENSLCGVMIESNINEGRQDIPKEGGREGL KYGCSVTDACIGWESTEQVLELLAEGVRNRRKALKK SPAR_D02560 MLRNTLKCAQLSSKYGFKTTTRTFMTTQPQLNVTDAPPVLFTVQ DTARVITLNRPKKLNALNAEMSESMFKTLNEYAKSDTTNLVILKSSNRPRSFCAGGDV ATVAIFNFNKEFAKSIKFFTDEYSLNFQIATYLKPIVTFMDGITMGGGVGLSIHTPFR IATENTKWAMPEMDIGFFPDVGSTFALPRIVTLANSNSQMALYLCLTGEVVTGADAYM LGLASHYVSSENLDALQKRLGEISPPFNNDPQSAYFFGMVNESIDEFVSPLPKDYVFK YSNEKLNVIEACFNLSKNGTIEDIMNNLRQYEGSAEGKAFAQEIKTKLLTKSPSSLQI ALRLVQENSRDHIESAIKRDLYTAANMCMNQDSLVEFSEATKHKLIDKQKVPYPWTKK EQLFVSQLTSITSPKPSLPMSLLRNTSNVTWTQYPYHSKYQLPTEQEIAAYIEKRTND DTGAKVTEREVLNHFANVISSRRGKLGIQSLCKIVCERKCEEVNDGLRWK SPAR_D02570 MSQQDNVKAAAEGVANLHLDEATGEMVSKSELKKRIKQRQVEAK KAAKKAAAQPKPASKKKTDLFADLDPSQYFETRSRQIQELRKTHEPNPYPHKFHVSIS NPEFLAKYAHLKKGETLPEEKVSIAGRIHAKRESGSKLKFYVLHGDGVEVQLMSQLQD YCDPDSYEKDHDLLKRGDIVGVEGYVGRTQPKKGGEGEVSVFVSRVQLLTPCLHMLPA DHFGFKDQETRYRKRYLDLIMNKDARNRFITRSEIIRYIRRFLDQRKFIEVETPMMNV IAGGATAKPFITHHNDLDMDMYMRIAPELFLKQLVVGGLDRVYEIGRQFRNEGIDMTH NPEFTTCEFYQAYADVYDLMDMTELMFSEMVKEITGSYIIKYHPDPADPAKELELNFS RPWKRINMIEELEKVFNVKFPSGDQLHTAETGEFLKKVLVDNKLECPPPLTNARMLDK LVGELEDTCINPTFIFGHPQMMSPLAKYSRDQPGLCERFEVFVATKEICNAYTELNDP FDQRARFEEQARQKDQGDDEAQLVDETFCNALEYGLPPTGGWGCGIDRLAMFLTDSNT IREVLLFPTLKPDVLREEVKKEEEN SPAR_D02580 MSEGTVKENNNAEFNAYHTLTAEEAAEFIGTSLTEGLTQDESLR RLKAVGENTLGDDTKIDYKAMVLHQVCNAMIMVLLISMVISFAMHDWITGGVISFVVG VNVGIGLVQEYKATKTMNSLKNLSSPNAHVIRNGKSETINSKDVVPGDICLVKVGDTI PADLRLIETKNFDTDESLLTGESLPVSKDANLVFGKEEETSVGDRLNLAFSSSTVVKG RAKGIVIKTALNSEIGKIAKSLQGDSGLISRDPSKSWLQNTWISTKKVTGAFLGTNVG TPLHRKLSKLAVLLFWIAVLFAIIVMASQKFSVDKGIAIYAICVALSMIPSSLVVVLT ITMSVGAAVMVSRNVIVRKLDSLEALGAVNDICSDKTGTLTQGKMLARQIWIPRFGTI TISNSDDPFNPNEGDVSLIPRFSPYEYSHNEDGDVGILQNFKDRLYEKDLPEDIDMDL FQKWLETATLANIATVFKDDTTDGWKAHGDPTEIAIQVFATKMDLPRSALTGEKSTNQ SNENDQSSLLQHNEKPGSAQFEHIAEFPFDSTVKRMSSVYYNNHNETYNIYGKGAFES IISCCSSWYGKDGVKITPLTDCDVETIRKNVYSLSNEGLRVLGFASKSFTKDQVNDDQ LKNITSNRATAESDLVFLGLIGIYDPPRNETAGAVKKFHQAGINVHMLTGDFVGTAKA IAQEVGILPTNLYHYSQEIVDSMVMTGSQFDGLSEEEVDDLPVLPLVIARCSPQTKVR MIEALHRRKKFCAMTGDGVNDSPSLKMANVGIAMGINGSDVSKEASDIVLSDDNFASI LNAVEEGRRMTDNIQKFVLQLLAENVAQALYLIIGLVFRDENGKSVFPLSPVEVLWII VVTSCFPAMGLGLEKAAPDLMDRPPNDSEVGIFTWEVIIDTFAYGFLMAGSCMASFTG SLYGINNGGLGVNCDRSYNSSCRDVYRSRSAAFATMTWCALILAWEVVDMRRSFFRMH PDTDSPVKEFFRSIWGNQFLFWSIIFGFVSAFPVVYIPVINDKVFLHKPIGAEWGLAI AFTIAFWIGAELYKCGKRRYFKTQRAHNPENDLESNNKRDPFEAYSTSTTIHTEVNIG IKQ SPAR_D02590 MLRNTSTLRSFIRTQSTRPYPVNVEAVYYSPLKLPIKYGDLVAD IQLRSYDNENLDFYSDFILRTGYYLGIPLTGPKPLPTRRERWTVIKSPFVHAKSKENF ERHTHKRLIRAWDTNPEVLQLLISYITKHSMAGVGMKCNFFQKSEISVNFDSEANDIE KSLSNVSDLYSLGNDDKVQSSAVGEKVLELLNSPDFKKHLEKK SPAR_D02600 MEQILYNQSLKISTLSTFQGLIFLKVLIFSIFQQLLCNPVMQLF ETKAPIMESYSTVLFKSSWNKERNFISATKNIFILFFTILRLAEYIVYKLSDQKYRSH TSLNVQHFRWNIKGNTNQKKESSFNKVCLPRTSILPIFVANGLKNRFSGPLPGKSLEC FQKADLWKNNNIRRYNVPETKAVNALLWQKQCT SPAR_D02610 MFYPHNYSNVNVSIMPVLPGISAFDRMPDEENVEINPERKYQTL LPVLTNSHVVENELKHKLNKTAFDFRYQTKSGEGSEKWEPKYLITPNLQTRSVSFDSS SVQYNSDSSEKSSLSQLNCNSSLIRQPENGVIPNDVYNKMANSRYSLKTRKQRTDPRN TLSDEEDLEQRRKYICKICARGFTTSGHLARHNRIHTGEKNHCCPYKGCTQRFSRHDN CLQHYRTHLKKGQ SPAR_D02620 MPAPQDPRNLPIRQQMEALIRRKQAEITQGLESIDTVKFHADTW TRGNDGGGGTSMVIQNGTTFEKGGVNVSVVYGQLSPAAVSAMKADHKNLSLPEDPKTG LPVTDGVKFFACGLSMVIHPVNPHAPTTHLNYRYFETWNQDGTPQTWWFGGGADLTPS YLYEEDGQLFHQLHKDALDKHDTALYPRFKKWCDEYFYITHRKETRGIGGIFFDDYDE RDPQEILKMVEDCFDAFLPSYLTIVKRRKDMPYTKEEQQWQAIRRGRYVEFNLIYDRG TQFGLRTPGSRVESILMSLPEHASWLYNHHPAPGSREAKLLEVTTKPREWVK SPAR_D02630 MLSFCPSCNNMLLITSGDSGVYTLACRSCPYEFPIEGIEIYDRK KLPRKEVDDVLGGGWDNVDQTKTQCPNYDTCGGESAYFFQLQIRSADEPMTTFYKCVN CGHRWKEN SPAR_D02640 MPDPIVTSSKMEKTAEFEVTDSALYNNFNTSTTASLTPEVKEHS EESRDGLVHRFVNSFRRAESQRLEEDNDLEDGTRSMKSNNHLKKSMKSRHVVMMSLGT GIGTGLLVANAKGLSLAGPGSLVIGYVMVSFVTYFMVQAAGEMGVTYPTLPGNFNAYN SIFISKSFGFATTWLFCIQWLTVLPLELITSSMTVKYWNDTINADVFIVIFYVFLLFI HFFGVKAYGETEFIFNSCKILMVAGFIILSVVINCGGAGVDGYIGGKYWRDPGSFADG NGATRFKGICFILVTAYFSFGGIELFVLSINEQSNPRKSTPVAAKRSVYRILIIYLLT MILIGFNVPHNNDQLMGSGGSATHASPYVLAASIHKVRVVPHIINAVILISVISVANS ALYAAPRLMCSLAQQGYAPKFLNYIDREGRPLRALVVCSLVGVIGFVACSPQEEQAFT WLAAIAGLSELFTWSGIMLSHIRFRRAMKVQGRSLDEVGYKANTGIWGSYYGVFFNIL VFMAQFWVALSPLGNGGKCDAQAFFESYLAAPLWIFMYVGYMVYKRDFTFLNPLDKID LDFHRRVYDPEIMRQEDEENKERLKNSSIFFRIYKFWC SPAR_D02650 MGKFPAPKNDLILRAAKGEKVERPPCWIMRQAGRYLPEYHEVKN NRDFFQTCRDAEIASEITIQPVRRYRGLIDAAIIFSDILVIPQAMGMRVEMLEGKGPH FPEPLRNPEDLQAVLDYKVDVLKELDWAFKAITMTRIKLDGEVPLFGFCGGPWTLMVY MTEGGGSRLFRFAKQWINMYPELSHNLLQKITDVAVEFLSQQVVAGAQILQVFESWGG ELSSVDFDEFSLPYLRQIAERVPKRLQELGILEHIPMIVFAKGSWYALDKLCDSGFDV VSLDWSWDPREAVKVNNNRVTLQGNLDPGVMYGSKEVITKKVKQMIEAFGGGKSRYIV NFGHGTHPFMDPDVIKFFLEECHRIGSL SPAR_D02660 MSSQKANKMTRALKKNDLYIFDLSEQLLNSLNLMSFDSTLREIE VDNSSHNDGIKKTEDVQVSRKKSTSNSMRCSVCQMDFHTRDEQKAHYQTDYHLMNVKR NLRGLGILSVEEFDELISKQRDIKSEDENSDSEQISSDHEESEEASDRDPDLPVNSYM ESIIENDLQRLGIQEDESNFVSHINTQSPYIYFKSKFLQKDEVLGIYKSVVSKRFLSK PHEALTFWNSQENPMMAISALFMVGGGHFAGAIVSHQRLNIKGNAHKKDETLFEQAVN FLEHKTFHRYTTRRKQGGSQSAMDNAKGKANSAGSALRRYNESALKTDIQGVLRDWEP YLSKCDNIFIRARNVSDRKIFTDNTILNKDDERIKSFPFTTSRPTVLELKRAWCELSY LKILPRPEPVAIKETVQKLELSNKKEGLEEKQELSPEEIQTEELISLVKKGRAPLLIS FIKKNKLDGNFRLKPDSKYSVTPTMLHYASQQGMRQMVLILLSNIKCDPTIKNRLGRT AWDLTRNDDVKHAFQIARHNLGESFTDWDESHIGQPLNREQVDEINEKKKATENERTE KLIKMELEAAKERQRSIKDAERGPGKKLTNIPSVQQQNLNSLTDEQRRRLMREQRARA AEKRMNKSTEK SPAR_D02670 MARTFFVGGNFKLNGSKQSIKEIVERLNTASIPENVEVVICPPA TYLDYSVSLVKKPQVTVGAQNAYLKASGAFTGENSVDQIKDVGAKWVILGHSERRSYF HEDDKFVADKTKFALGQGVGVILCIGETLEEKKAGKTLDVVERQLNAVLEEVKDWTNV VVAYEPVWAIGSGLAATPEDAQDIHASIRKFLASKLGDKAASELRILYGGSANGSNAV TFKDKADVDGFLVGGASLKPEFVDIINSRN SPAR_D02680 MCEENVHVSEDVAGSRSFFTNARPRLIVLIRHGESESNKNKEVN GYIPNHLISLTKTGQIQARQAGIDLLRVLNVDDHNLVEDLAKKYIKDESSRRTLPLKD YTRLSREKDTNIVFYTSPYRRARETLKGILDVIDEYNELNSGVRICEDMRYDPCGKQK HAFWPRGLNNTGGVYENNEDNICEGEPGKCYLQYRVKDEPRIREQDFGNFQKINSMQD VMKKRSTYGHFFFRFPHGESAADVYDRVASFQETLFRHFHDRQERRPRDVVVLVTHGI YSRVFLMKWFRWTYEEFESFTNVPNGSVMVMELDESINRYVLKTVLPKWTDCEGDLTT SPAR_D02690 MVSPTKMIIRSPLKETDTNLKHNNGIAVSTTAAGHLNVFSNDNN CNNNNTTESFPKKRSLERLELQQQQHLHEKKRAKLERARSIEGAVQVSKGTGLKNVEP RVTPKELLEWQTNWKKIMKRDSRIYFDITDDVEMNTYNKSKMDKRRDLLKRGFLTLGA QITQFFDTTVTIVITRRSVENIYLLKDTDILSRAKKNYMKVWSYEKAARFLKNLDVDL DHLSKTKSSSLATPTLSNLLHNEKLYGPTDRDPRTKRDDIHYFKYPHVYLYDLWQTWA PIITLEWKPQELTNLDELPYPILKMGSFGRCPFIGDRNYDESSYKRVVKRYSRDKANK KYALQLRALFQYHADTLLNISSANDQTKTLIFIPHTCNDSTKSFKKWMQEKAKTFEKN ELKKPDDDAIQETHDEHVGQTDEKNSIFFKEIETKRRPLKEDEENKQSIIEESNKYPQ RKELTTIPKLNHPVLATFTRQETEEVPDDLCTLKTKSRQPFEIKASGAHQSNDVATSF GNGLGPTRASVMSKNMKSLSRLMVDRKLGVKQTNGNNKNYTAAITTAAETSREDKHRF DVDALKKDEALSRETGKNNAIHLETNSRLQNFPKVAIKSVSADSRFNNDIKTTTESPT ASKKTTSTNVTLHPNAQTAQPVKKETVKNSGYCENCRVKYESLEQHIVSEKHLSFAEN DLNFEAIDSLIENLRFQI SPAR_D02700 MSSRKSTASSLLLRQYRELTDPKKAIPSFHIELEDDSNIFTWNI GVMVLNEDSIYHGGFFKAQMRFPEDFPFSPPQFRFTPAIYHPNVYRDGRLCISILHQS GDPMTDEPDAETWSPVQTVESVLISIVSLLEDPNINSPANVDAAVDYRKNPEQYKQRV KMEVERSKQDIPKGFIMPTSESAYISQSKLDEPESNKDMADNFWYDSDLDDDENGSVI LQDDDYDDDDGNSHIPFGDDDVYNYNDNDDDDERIEFEDDDDDDDDSIDNDSVMDRKQ PHKAEDESEDVEDVERVSKKI SPAR_D02710 MQLHSLIASTAFLITSALAATSSSSSIPSSCTISSHATATAQSD LDKYSRCDTLVGNLTVGGGLKTGALANVKEIKGSLTIFNATNLTSFAADSLESITDSL NLQSLTILTSASFGSLQNVNSINLITLPAISAFTSNIKSANNIYISDTSLQSVDGFSA LKKVNVFNVNNNKKLTSIKSPLETVSDSLQFSFNGNQTKITFDDLVWANNISLTDVHS VSFASLQKINSSLGFINNSISSLNFTKLSTIGQTFSIVSNDYLKNLSFSNLSTIGGAL VVANNTGLQKIGGLDNLTTIGGTLEVVGNFTVLNLNSLKSVKGGADVESKSNNFSCNA LKALQKKGGIKGESFVCKNGATSTSVKLSSTSKPQSSKTTSKASKSSSKAEEKKLTSG NIKAAASASSVSSSSASSSSASSSSSKSSKGNAAIMAPVGQTTTLIGLLTAMIMSLM SPAR_D02720 MLMRLLRVISLASMVFGADILQLSYSEDAKDATPLGTFEIDSTS DGNVTVTTIDVQNVEVSGQYCLNAQIEGKLDMPCFSYMKLRTPLRYDLIVDVDEDNEV KQVSLSYNETNDAIVPTVRYPETGPTAPVTKLKKKTKTYADKKASKNNDGSTAQFQED EEVKEVSWFQKNWKMLLLGLLIYNFVAGSVKKQQQQDAGAGQKTE SPAR_D02730 MQAKIIYALSAISTLIPLGSSLLAPIEDPIVSNKYLISYIDEGN WSDRILKNQSAMNSGYIVNMGGDLECFIQNASTQLNDVLENSDEYSNSEKAALLTNTL NQGVTTIFDKLNERCIFYQAGFWIYKYCPGIEFVQFHGKVNTQTGEIVNRDESLVYRL GKPKAKLDEREFELLYDDVGYYISEIIGSGDTCDVTGTERMVEIQYVCGGSNSGPATI QWVRETKICVYEAQVTIPELCNLELLAKNEDQKNASPILCRTPDKSKIDSNSIDLITE YEPIFLGSGVYFLRPFNTDERVKLMVTDNAMSNWDVITDTYYQKFGNAINKMLSLRLV SLPNGHILQPGDSCVWLAEVVDIKDQLQTILSLNILNSQRAEIFFNKTFTFNEDNGNF ISYKIGDPDLSTELSQITRSSKGDTKTENTQSEELSISPDSELLLRLSKEITEVKELL NEIVSPHEMEVIFENMRNQPNNDFELALMNGLKPLLNVGSDENINGERDHAGINDDES TKNGGKKKETATDPSRDITEADPETMENTKPEVTDVTSDVSINHDEL SPAR_D02740 MKNDDKANDIIIDSVKVPNSYKPPKNPIVFCHGLSGFDKLILIP SVFHLTNLVSNSIVHNMAENFMQDDEDESDNKYTNLLEIEYWIGVKKFLQSKGCTVIT TKVPGFGSIEERAMALDAQLQKEVKKIESKDKRHSLNLIAHSMGGLDCRYLISNIKNR NYDILSLTTISTPHRGSEMADYVVDLFENLNALRVSQKILPICFYQLTTTYMKYFNLV TPNSPKVSYFSYGCSFVPKWYNVFCTPWKIVYERSKGCPNDGLVTINSSKWGEYRGTL KDMDHLDVINWKNKLQDDWSKYFHTTTVGEKVDILNFYLKITDDLARKGF SPAR_D02750 MSSSKRIAKELSDLGRDPPASCSAGPVGDDLYHWQASIMGPSDS PYAGGVFFLSIHFPTDYPFKPPKVNFTTKIYHPNINSSGNICLDILKDQWSPALTLSK VLLSICSLLTDANPDDPLVPEIAQIYKTDKAKYEATAKEWTKKYAV SPAR_D02760 MGENNDNKLDLSSLRNKISSKLRDNSSIKAKKVQKGKEVKASTD AKKVDEDIRREALALGASEEDLELIQGLSDDDDDAKSEQEFDATADEDADDKGFKNDL QNFMKNVGFDKHKFEDVDDDDVEEESSSSKESKKSAQPSPVTTTIEKPIQESTDNGMK QEGNKVEETNLSSDQEPETESAEKEKEEKKDGGLITQTTIISSDKLIIPYDKPWYEIP LDSQVGQNDDLEELSKEQIEKLFERGKQTLEADNQTYYEEFTKDSSQAKFMSQILSDG TLNDKISAVTLLIQDSPLHNTKSLETLVSYCGKKSRNSALQSLNALKDLFLNGLLPNR KLRYFKNQPGLSMMLNKKTLAIFYFEDYLKKLFFRVLEVLEVLSHDPIIHVRLQVLNH VFDLLTNQPEQEFNLLRLGVNKIGDIDSKVSSKASYLLLKLEQAHPNMKSIVIDAIVD IALRPNADYHTTYYSVITLNQTILKRSEDSVANKLVKTYFTLFEKFLINTDKDNTNGV AKSNSKSYEEKRKKNFKKGKHGGKSVRNEKTESEVLDEKNSKLFSALLTGINRAFPFA QIPASVYEVHMETLFKITHSSNFNTSIQALVLINQVTVKAKLNGDRYYRTLYESLFDP RLVNSSKQGIYLNLLYKSLKQDALNVERVEAFVKRILQVCSHWLNVGTITGFFFLLIQ LAKTVPQIKNLLTNTPVDYEYESDAEEEQNGKDIKRKEYDGRKRDPKFANAEKSSLWE INSFINHFHPTVQTYANAYVTGETEQIAKPDLGLFTLSHFLDRFVYRSAKQTNATRGT SIMQPLFSGSRVNDSVLVKASDVLHDQGPVNTEDWLTKKVEDIKPEDKFFYQYFTIKK TADRKGKKSSRGSNFDTDDEMDEDEIWNALVKSRPDVEDDSDDSELDFGEDDFSESSG EDEAKLDAIDDEDVKSEGSQESDQEEGLDEDIFYSFDGEQDGGEKKRSFPESSEEDEN NEEEEEDTEAAAKRAKKKQRKNMLKSLPVFASADDYAQYLDQDSD SPAR_D02770 MSSNKFVVRIANALFKSSLATNSPPVYPKRIKHFEVLPNEKWVI WGPGKGKFLNILNNKYICEPPLSLRFGFLKESSNVLPRIEQVAFKGVMPTAHLSARYE YFKDDYDQTCKQFIFDKASGSNAVSYKVETNNRKINMELYNALIKNLKLSSLQDRWVM GLSNGQMRRARLARSLLKEPDLLLIDDPFLGLDPGATATISQFLAKYDTIEVNGGCPV VIGLRYQDTIPAWCTHICCVDEQKGILFEGPIEKLQNRIDETRSRALKELQQLKKGTS KEEISINDLICTHPMFGKKDHEIIKMPHLIELDGLSVSYKGEAILENLHWNVQPGSKW HIRGDNGSGKSTLLSLLTAEHPQSWNSRVIENGVPRRTGKTNYFDINSKIGMSSPELH AIFLKNAGRRLNIRESVATGYRDASSNNYLPIWKRLDKNAQEIVNMYLKYFSLDRDAD SVLFEQLSVSDQKLVLFVRCLIKMPQILILDEAFSGMEVEPMMRCHEFLEEWPGTVLV VAHVAEETPKCAHYLRLISPGEYEIGDIKN SPAR_D02780 MSTPANYTRVPLCEPEELPDDIQKENEYGTLDSPEHLYQVKSRH GKPLSEPVVDTPPYYISLLTYLNYLILIILGHVHDFLGMTFQKNKHLDLLEHDGLAPW YSNFESFYVRRIKMRIDDCFSRPTTGVPGRFIRCIDRISHNINEYFTYSGAVYPCMNL SSYNYLGFAQSKGQCTDAALESVDKYSIQSGGPRAQIGTTDLHIEAEKLVARFIGKED ALVFSMGYGTNANLFNAFLDKKCLVISDELNHTSIRTGVRLSGAAVRTFKHGDMVGLE KLIREQIVLGQPKTNRPWKKILICAEGLFSMEGTLCNLPKLVELKKKYKCYLFIDEAH SIGAMGPTGRGVCEIFGVDPKDVDILMGTFTKSFGAAGGYIAADQCIIDRLRLDLTTV SYSESMPPPVLAQTISSLQTISGEICPGQGTERLQRIAFNSRYLRLALQRLGFIVYGV ADSPVIPLLLYCPSKMPAFSRMMLQRRIAVVVVAYPATPLIESRVRFCMSASLTKEDI DYLLRHVSEVGDKLNLKSNSGKSSYDGKRQRWDIEEVIRRTPEDCKDDKYFVN SPAR_D02790 MSNLYKIGAETRNKIKKFRTSTARTDSIKALSIKIEPKPSYEII VDEDEQEELDEIEDVNELAEILPDNSPRFLLTAYPTTTKDGFKQTPLVLIYWKPMTVV SQEWKMLYAGALEMIREECGTFKLIEVSSGLEDDSDVEELREQLGNC SPAR_D02800 MGRMHSAGKGISSSAIPYSRNAPAWFKLSSESVIEQIVKYARKG LTPSQIGVLLRDAHGVTQARVITGNKIMRILKSNGLAPEIPEDLYYLIKKAVSVRKHL ERNRKDKDAKFRLILIESRIHRLARYYRTVAVLPPNWKYESATASALVN SPAR_D02810 MAQNFGKIPSHKSYVLSLYRTVLRNIPKYCHSYAFQYELKKNLA KQLIKHKHDKSSWSVYILLNEFSLLNDYLLEGKLCEIKNLMKPLKRTAKQLKTTKILN SLNTLGDDNAQSPEEVRKHHVLSTYIKRRQNLGLLPAYIPKKYKHSLLLPLALNDHAC LNLFHVQQKLENGPPSARLSYTKEGRNQIWFVRSPINKGRQQSKKLGTLIRQERKDSQ KNIDNLNICEINATWALHEAIWEEYLASKKIIKLNLPKYLDYTANISKSTKYNPANHN QKIKEWVDPVREIMFKLQSKSFQKVEYFDKYKEKLLRKDGQLAHFDKMSKEMYAKRLK LFKKMTEEALPYVTLFIEKRDLQSVLAKYGF SPAR_D02820 MQIITITFIRNVILLPHQLHEQLSLAEARMIDSAVVSMLTESYC ENEQTLKYLARLLSPMSYMDIIRARTGNKICGYPLCHEMAAENPFNGFSTHSMYCSNF HSKCSLYLMRQLSQTPLHERLGVHLTSYTNLELVDTYSVRLLEELVVNKIPIDTVNSL IASFKGLEFDDTYNDEPLSLDEHFDRLTTEEETCMEDLL SPAR_D02830 MSLVAPLQFSTVQPNLYRGSYPREINLSFLRTLRLKYILSLTPE PLSSDPLMVKFCEENNIRTIHIKCQSERKADKTKPKIKRKKKTVPIEYDVVVRCVKFL IDKRHYPCYMHCTNGELIISLVVACMRKFSYWSTVSILNEFLVYNSSINIHERNFIEN FNSEIEVDDLDIKDKVPWITVRYIARASTESKDELHTEDANASEKVARVSSVSNYLPK LKFHSM SPAR_D02840 MEFFYEEQVASIEDDKTSDSRTKEVGSTEHNEDNEFQRRDDKTN EAFQKLEKEVNKRYEKTTNAFKKLVVEKDDGIEINLPISNETTEAAQKYLKKLDENIH NVESLAQSYWSKMKTKNFWSGFSGADNTTDDDHNDEGESSKENEIAVGGNRTEAELRT LSRDKSIYLGNKLDLKLEPFDVDEKTEEICLILQNDKDISKLMNDIVPHQISYKNFWN IYFLQRNKILDKESKRKEILSKKEKETEEKEVEWDDEDEDEDEEVEAADDNKPEGEAK VGVTQKELNYVNGHVDIADKDEDDDDDDWE SPAR_D02850 MEQNIISTIRDEGIRHRSKYLTIVQLTAIAETKINEFIISGEAK NRDLSSLLDKCIDILSIYKKNSKDIKNIISCRNKGAMINSNSDMIIQLNYIYYKMIHI IVTTNIPHLNEFAKIKLHKGSTNDGNSNNNTNEFQLMNIYNTLLETLLKDENIAKIKN FIKSSIRQTKLNHEQEECGMMRTGSYITPDQLNSLIISSSNSTSSQMETLLIDIRSRL EFNKSHIGTKNIICLEPISFKMSYSDHDLEKKSLITSPNDEIKLFQSRNLFKFIILYT DSNEYNIKQQSVLLDILVNHSFEKPISDDFTKIFILESGFSGWRKSNHGSQVSSSFPA NNNVKDDSVYVNGNTSGLSLQHLPKMSPSIRHSMDDSMKEMLVAPTPLNHLQQQQQQR SESDHVLKRSSSFKKLFSNYTSPNPKNPNLNLYSISSLSISSSPSPLPLHSPDPIKCD SLPINYPETPHLWKNIETDFMTNQREQLNHNSFAHITPINTRAITSPSRSATPKLQRF PQTISMNLNMNSNGHNSATSTILPSCLSFSNNSSLDHTDVTPTSSHNYDLDFAVGLEN LGNSCYMNCIIQCILGTHELTQIFLDDSYAKHININSKLGSKGILAKYFARLVHMMYK EQDDGSKKISISPIKFKLACGSVNSLFKTASQQDCQEFCQFLLDGLHEDLNQCGSNPP LKELSQEAEARREKLSLRIASSIEWERFLTTDFSVIVDLFQGQYASRLKCKVCSHTST TYQPFTVLSIPIPKKNSQNKITIEDCFKEFTKCENLEVDEQWLCPHCKKRQPSTKQLT VTRLPRNLIVHLKRFDNLLNKNNDFVRYPFLLDLTPFWANDFDGVFPPGVNDDELPIR GQIPPFKYELYGVACHFGTLYGGHYTAYVKKGLKKGWLYFDDTKYKPVKNKTDAINSN AYVLFYHRVYGV SPAR_D02860 MLRATLLRTPRQLICKSSRAPFSIAARASFPHLKNNQDEAEKKE QGLFDSNKEKLDTLEHGKNPDYKQPGMEDLKKKGDDARIEQNRPDDGVY SPAR_D02870 MASSNSTLPLHMYIRPLIIEDLEQILNLESQGFPPNERASEEII SFRLINCPELCSGLFIREIEGKEVKKETLIGHIMGTKIPHEYITVESMGKLQVESSNH IGIHSVVIKPEYQKKNLATLLLTDYIQKLSNQEIGNKIVLIAHEPLIPFYERVGFKII AENTNVAKDKNFAEQKWIDMERELIKEEYDN SPAR_D02880 MNVIFSLATFVRNMYNASLNQRNLITLPFNFTLNFAPVFIWLSI FKHAGLIPTEIRPGIHSKFAFFADQFLFGDYWHELTVQLPDNSSKLFFWSFLSSSAFL LAFLICIPLAIWYYIYYVKHVNYNLLEWFANIFHYPCKRKQRPIQKRFRTIFIPFALP LFTFVMLNIDHFFAYQSDANFTKTKDLLAWFSYVILHLTAPILTAVYLYVFQPPGTLK CFSFALGLQNIAGVFTHLLVPMASPWFTHLYGIDDTEHVNYTQEGFAAGLIRVDSHLG THLNTKGFHMSPIVFGAVPSLHSAIAFQCFLFLISRSTSLKHRFSNVGGLTMQNNDSS TFKLSEEDSEDDGDNSTPPTIGPNDLEMEPLGTVEPVDILNERSSSPSSSLTVSSNER SISNGSGNIINSNGNKKPLQFVHLYDEDTEFTNKWIFKIVNEGFIPKFWAVFYIILQW WATMYLDHHYRFDLFVGVLYAMTSFIIINWFVLQPKVLKNWIHIRLGDKVDTRNEART FGMRVFCGTKMEWFFDPLA SPAR_D02890 MSSDIAYSNINTTTENGKPNTSAGVDVDVNTNANANTNANANAD LNLPTVDEQRQYKVQLLLHINSILLARVIQMNNSLQNNLQNNINNSNNNNIIRIQQLI SQFLKRVHANLQCISQINQGVPSAKPLILTPPQLANQQQPPQDILSKLYLLLARVFEI W SPAR_D02900 MTTTAQDNSPKRRQRIINCVTQLPYKIQLGESNDDWKISATTGN SALYSSLEYLQFDSTEYEQHVVGWTGEITRTERNLFTKEAKEKPQDLDDDPLYLTKEQ INGLTTTLQDHMKSDKEAKTDTTQTTSVSNNVHPVWLLRKNQSRWRNYAEKVIWPTFH YILNPSNEGEQEKNWWYDYVKFNEAYAQKIGEVYRKGDIIWIHDYYLLLLPQLLRMKF NDESIIIGYFHHAPWPSNEYFRCLPRRKQILDGLVGANRICFQNESFSRHFVSSCKRL LDATAKKSKNSSNSDQYQVSVYGGDVLVDSLPIGVNTTQILKDAFTKDIDSKVLSIKQ AYQNKKIIIGRDRLDSVRGVVQKLRAFETFLAMYPEWRDQVVLIQVSSPTANRNSPQT IKLEQQVNELVNSINSEYGNLNFSPVQHYYMRIPKDVYLSLLRVADLCLITSVRDGMN TTALEYVTVKSHMSNFLCYGNPLILSEFSGSSNVLKDAIVVNPWDSVAVAKSINMALK LDKEEKTNLESKLWKEVPTIQDWTNKFLTSIREQATSDDDVERKMTPALNRPVLLENY KQAKRRLFLFDYDGTLTPIVKDPAAAIPSARLYTILQKLCADPHNQIWIISGRDQKFL NKWLGGKLPQLGLSAEHGCFMKDVSCQDWVNLTEKVDMSWQVRVNEVMEEFTTRTPGS FIERKKVALTWHYRRTVPELGEFHAKELKEKLLSFTDDFDLEVMDGKANIEVRPRFVN KGEIVKRLVWHQHGKPQDMLKGISEKLPKDEMPDFVLCLGDDFTDEDMFRQLNTIETC WKEKYPDQKNQWGNYGFYPVTVGSASKKTVAKAHLTDPQQVLETLGLLVGDVSLFQSA GTVDLDSRGHVKNSESSLKSKLASKAYVMKRSASYTGAKV SPAR_D02910 MMDLDKIIASLRDGKHIPEETVFRLCLNSQELLMNEGNVTQVDT PVTICGDIHGQLHDLLTLFEKSGGVEKTRYIFLGDFVDRGFYSLESFLLLLCYKLRYP DRITLIRGNHETRQITKVYGFYDEVVRKYGNSNVWRYCCEVFDYLSLGAIINNSIFCV HGGLSPDMTTVDEIRTIDRKQEVPHEGAMCDLLWSDPEDVDTWSLSPRGAGFLFGKRE VDQFLEKNNVQLIARAHQLVMEGYKEMFDGGLVTVWSAPNYCYRCGNVAAVLKIDDDL NREYTIFEAVQAQNEVGNAIIPTKKSQMDYFL SPAR_D02920 MSFGIPLSQLIVESPKPLSSGITGLDEILNLGFQARSIYEIFGP PGIGKTNFGIQLVCNSLENMQQSEMNEDKILWIETFQEMPINILRERFPEFEIVEENV KRVRILKFGQLLYFFQNLFKLSQSARYKLVIIDGFSQLLCDHLCTLSKRSGGMLDKTI HELKCRHLILIFTVMTKYTHSTGSTIILLNDCMNTAFQSNEFESLEEYYEILEDGSNF FVNSNNERRKNNVHILKSALVANIAMGSKDSTWEVFLRDRIGFFRDWNEQVDETIFVK SKRVKTPSSQNNEGCTTIKEMRINKRNFENLRVAIVFNLHGEDRKRGGGNLKRTRSSD DRNHIVKFDFDKATGQFRDINDQKPSAANIGSLPTLSTSSSSCSQLFDNVDSDDNLLP NLEGKEEIIYDSEG SPAR_D02930 MKFSTVLLSAGLASTTLAQFSNSTSASSTDVTSSTSSGSVTITS SEAPESDNGTSTAAPTEAPTEAPTTAIPTNGTSTEAPTEAPTTALPTNGTSTEAPTEA PTTALPTNGTSTESPTVLPTTSLPPSNTTTTPPYNPSTDYTTDYTVVTEYTTYCPEPT TFTTNGKTYTVTEPTTLTITDCPCTIEKPTTTSTTEYTVVTEYTTYCPEPTTFTTNGK TYTVTEPTTLTITDCPCTIEKSEAPESSAPVTESKGTTTKETGATTKHTTANPSLTVS TVVPVPSSASSHSVVINSNGANVVVPGALGLAGVAMLFL SPAR_D02940 MSKDVIEYSKLFAKLVSTSDDTKLDDTIASFLYYMFPRELFIRA ISLLESSDMFIYILNRVHKKEGNEHSSLIDVLIDEFYTGSSNSSLEYRLIVKDTNDGA PPILVDIAHWFCSCEEYCKYFHGALEKTDEKEELHNVLINEIDDHLQFSDDRFAQLDP HSLSKQWYFKFDKICCSHLLAFSILLRSSINVLKFFTVNSNKVFVISIDNIDEWLNLH INIVE SPAR_D02950 MGLFNFKFKYTRAQLEIFRFSFCLLAPVAVMYYIGTDTDKKLNV PGFWPDPATLNQIPKEPYEIKAELARMKKERLEKRLRLEKKIQEEFGLDLEEEKEKIK RDLALKKG SPAR_D02960 MARARKGALIQCDPSIKALILQIDAKMSDIVLEELDDTHLLVDP SKVEFVKHELNRLLSKNIYNPMDEEENQ SPAR_D02970 MTIDNLQNDSNLNQQPDERKIDKSDSISDKNNVDNKWEAVGVTP PTENVGCISQADDEIVSKTDRSTITGSATEAEANDNDDDESESEEDEGDGDEDDEDED EPPSLKYTRISQLPKNFFQRDSISSCLFGDTFFAFGTHSGILHLTTCAFEPIKTIKCH RSSILCINTDGKYFATGSIDGTVIIGSMDDPQNITQYDFKRPINSVALHSNFQASRMF VSGGMAGDVVLSQRNWLGNRIDIVLNKKKKKKTKKDDQSSDMKGPIMGIYTMGDLILW MDDDGITFCDIPTRSQLLNIPFPSRIFNVQDVRPDLFRPHVHFLESDRIIIGWGSNIW LFKVSFTKDSNSIKYGDSNSQSNNMSHFNPTTNIGSLLSSAASSFRGTPDKKVELECH FTLSMLIAGLASFKDDQLLCLGFDIDIEEEVAVDGSKEEGVYFSKGPENLLLKGNAPE LKIVDLFNGDEIYNDEVIMKNYEKLSLNDYHLGKHIDETTPEYYLISSNDAIRVQELS LKDHFDWFIERNQFYKAWKIGKYVIGSEERFGIGLKFLNALVAKKDWGTLVDHLNIIF EETLNSLDSSSYDVIQKVLKEWANIIEVLIRSEKIVEIAPLIPKKPALRKSVYDDVLH YFLANDMINKFHEYIIKWDPKLFSVDDFEEELETRIETANEPTATSNKGGSNITYRTE LVYLYLKENKYTKAIPHLLKAKDLRALTIIKLQNLLPQYLDQIVDIILLPYKGEISHI PKLSIFEIQTIFNKPIDLLFENRHTISIDRIYEIFGHGCPKSFNKILFCYLIKFLDTD DSFMINPYENQLIEFFSEYDRQSLLPFLQKHNNYNVETAIKVCSSKAGLYNELIYLWG KIGETKKALSLIIDELQNPQLAIDFVKNWGDSELWEFMINYSLDKPNFTKAILTCSDE TSEIYLKVIRGMSDDLQIDNLQDIIKHIVQENSLSLEVRENILVIINDETKKFGNEFL KIRSQGKLFQVDESDNEINDDLHSVL SPAR_D02980 MLSIQQRYNICLMAERHPKWTQLELAKWAYETFQLPKIPSQGTI SRLLARKSTYMNCKEHEKDANRLRKPNNLLVRKILQEWISQSLWNGIPITSPIIQDTA QAVWHRIPAEHREGNGSFSYKWISNFLSKMDVNISVLDEELPKTPKVWTFEERDVLKA YFAKIPPKDLFTLDEAFLSYNLPLDYAQYEASSIQRRIEVATVMLCSNLDGSEKLKPV VVGKYDSYKSFRNYFPNEPKDPVSQSMLGTKMAKKFDISYHSNRKAWLTSNLFHNWLV RWDKRLVAVNRKIWIVLDDSCCHRIINLRLQNIKLVYTSSNSKFLPFNWGVWDEFKTR YRIQQYQALIDLQNRISKNIQNKNKLEQNECLPSGKKCLISFEQSQLTMSNAFKFIKK AWDDIPVDAIKANWKSSGLLPPEMIHLNENVSMAFKKNEVLESVLNRLCDEYYCVKKW EYEMLLDLNIENKNTNFLSTEELVESAIVEPCEPDFDTVPKGDEVHDDNFDVSVFANN VDNNQTHLSISHPSHNHDYESNHDNDSNDNITNNGSNNNNTNNNNNNNDDDDDDDDDA DDADNDSGGKYLQQDTVNNTNKTGSPGQPNIPSIESQRNASNTDLVVDGNYDVNFNGL LNDSYNAMRQPGPLDYNVSTLIDKPNLFLSPDLDLSTVGVDMQLPSSEYFSDVFSSTI RNNDKAASDQNKPADELPSSTAMTNSNSITTALLESRSQAQSFDVPHMNGLLSDTSKV GHPVNSSNTVSQNSLNGFQHNSSSVAEASSPSITPSPVAINSAGAPPRSIVSAPVGST SSVSSPSALEHLEGAVSGMSPSSTTILSNLQTNINIAKSLSTIMKHAESNEISLTKET INELNFNYTTLLKRIKKTRKQLNGKSIKINSSKNAQDHLETLLSGAAAAAATSANNLD LPTGGSNLPDSNNLHLPDNTGFF SPAR_D02990 MDRYGHIAHQEGNVCYYIPRLFKYNTYYSGTEDVRVFVGDLKYW MRSSLQICERHYDRRLSMLFWKNHPLQQIHLIGCIVGLQYKRIGKEEQIFFQLDDCTS NSSSVSYSSDTRFLTCKVKRDVILSCGLNITDLTGSTLHVYGQVSLSYQELQVEYLKL CHTLTEEIDHWKITMNMREQLDTPWSLSDSIVGELFTQEQEWTPEKPQVEVVNPDFIN LGYKTPESKRNKTTFIEQLQEERLKDELEIASPYSSTDTSTSVHSSSFQFVSSLKDFP ETHFFHTPDQINNSNEGPLKTVKHNSADLPVMIPNKTSAKSSLMLILLELRMKEISNS DLYELQEVRSVVTSLASFLFQQQSVGEMKSFDTLEKEAFQYLVDRLVNQGLIELKDTT SKMLGLLPLKKLDEYAQKRIFVLMKLQCYTGTVQLSHVQEKLHLPYVTMNGIVDVFKE CLKRTAIRYPEVLKNWWIDLDSKKGLEGQSSGMLLHLEYAANS SPAR_D03000 MALFNVEGWAIKTKTVAFDDKTKKSSKDKKKNNRKNGKLTREQK LKEETEAELKEQVEETPSEEPVAENTQKNGEKSGESKTSRKRKHDEEGVLAQAKEKIE KPSKKQLTPLQQKMMAKLTGSRFRWINEQLYTITSEEALKLIKEQPQLFDEYHDGFRS QVQAWPENPVDVFVDQIRYRCMKPVNAPGGLPGLKDSKEIVIADMGCGEAQLALEINN FFKSYNKKAKKYLKRRHKVHSFDLKKANERITVADIRNVPLPDESCTIVVFCLALMGT NFLDFIKEAYRILAPRGELWIAEIKSRFGDGRGNEFVDALKLMGFFHKRTFDDNKMFT RFEFFKPPAEIIEERRQKLERRQKFIEVETEKEELEKKRRKIAEGKWLLKPCIYKRR SPAR_D03010 MDQARNFYNTILKSSHPLLLSFHLAGKAVPIVFYIIGSMFLNFT PQFITVVLLLSFDFYLTKNITGRKLVQLRWWYDSTDVNKNSNFTFESYKQYAPGPPIN AIDSKLFWWSMYVTPVIWGVFAVLCLLRLKIFYLILVIVAMCLTAWNTYGFRCCDRWE PTSGESDGQDTNSWFAFPSVPGFENLSRLANIQSFFQRQ SPAR_D03020 MEGSYLSSQENQPIPDRLIPRSNSTSNLFALSSTFSKLNVRNDA EYSYLGPNKKRHIYNGETSRGSVAVDRNFPVRSSSMTAAQQRKRTALFTARERNSYHE GFNNDYNYANHYQNPRNTLAVYKELTPYQLQRSRMKTSFQFPNGEIYKPKPDGKCSHS LRKASLNPRNSFLFKFSEKKDSSSSKDSVNPYNAASILPTSHTDINDGLNSLELNTSV PSTIKGSLSSTSPISAVNTLRSLTESQTDDDDSYENKTVTISYCFQNMVNEDRGDHME KLYPSTKEKIKSPTKSGLLDRRKKTILGTERNSCKKSPSRLKLGSVLKKFWYTSRNSN TRHSKNDMKRKKIPVDDIITHSDENFEIESDIELMDANLDGIEIDDDKTLMDTDSIFD DLLSKEDNKYDSRRKQLEIRQKLHETLPNDDGKTSCRDTEKDNIKDVLIDETIIEDFS KLGDYIIDTRNQPPPRSSKRPSLNDNESARHFYNISTDLRESLSNPISLPIHVGSDMV NRLRNDWEYIKFEDRRNSLSDSSFSNAGTASKPIKKDVRFAKEVYLASTWSSNAYERA NPEFIMNRHKLLWMMKVHPSMNSAMEEVKLELNSYKKNEMVVHENSKCFTHYLI SPAR_D03030 MARVSEKGEEKKQSNNQVEKLVEAPVEFVREGTQFLAKCKKPDL KEYTKIVKAVGIGFVAVGIIGYAIKLIHIPIRYVIV SPAR_D03040 METSNFVKQLSSNNRKTRENALEALKKYLTAKQFKDNKQIQFNK LWKGLYYAMWFSDRPRPQQRLANELGELHGLYFDPKDNGNPDELTINDKAFIKFSKGF WKVMCFEWFNIDRYRLDKYLLLIRRVLFNQLKYLQSRNWDNKLVDEYITKVLRWLPLS GSPKVYTGIPIHIVDILLDEWERLLKDENEDDEDEDEEKKEEQMCKIAESAKETPLAD IITIFQDIVADYNNSKVLREKIKEDLFSDTRLVSWNIFQGETQQDDPSDESEEEEAEE WKGF SPAR_D03050 MNNNNRNNKNRNTNNRNKRQLQQAKDKNENIHIPRYIRNQPWYY KDTTKKQEDEETSNIGTSTAKEGEKSDYLVHHRQKAKGGALDIDNNSEPKIGMGIKDE FKLIKPQGITARDSHSLTFCRNCGEIGHKEKDCMERPRKAQKVMPDLNSKKANGTVLV RATDDDWDSRKDRWYGYSGKEYNELISKWERDRKINIIAKDKSQTDETLWDTDEEIEL MKLELYKDSVGSLKKDDSDNSQLYRTSTRLREDRAAYLNDINSTESNYDPKSRLYKTE TLGAVDEKSKMFHRHLTGEGLKLNELNHFARSHAKEMGIRDEIEDKEKVQHVLVANPT KYEYLKKKREQEGSREPKVVSIGELEAKKVSGTKQSEEQRKQLKDLYG SPAR_D03060 MKFEDRILNKSIPEWKFYNINYEKLKLVIKKVTTYDHDNSNDSG IEKLLSQCAVAFDHEFQNVNLFVSLKIKEISTRILSVESSIIDFSKGLNKSSRNRFNL RKLKIINAHVDDCNFELQLLSRFLIIQRIALRKLFKKLLNEFPQDSKNPLTANDYVTS IRNSENLRNGHEGISFMKLDLDPYLLEVSLIVDVLHDLENKLEDAAEPVEEQSPLNCS DDSGPTSSSPEAHNFSLPPSPRSIPLLSNKKTSKMIDSSVEFDTALIDKAETLGRFLL SSEDIEGLKFMLLNIGFRIIDDSIISTSKEILDTTDNINSAGNKSIRSAKSFNDLQHT LSRSKQKNILPSAVQSNEKHVSLSILDTNGNEGSPLLLTDDNINQHPNMIISSTTEDN CILMCHVGGLRNHVVTNDLLLRHVKHILLAMKNGNNTKNISALINSLDPSPISKIALE WIQSHQLRTIEPKLDFKRTRFISADNGDIYLIALDESITIGNVSTLPFPILEIKKLSR SGGFPQTTINDDKKFKQLMKSFVTNEFQCSLIPPDLTTWKICLELVHSNELQNDLFQL LLRDQYKLSTDDSLSPDEFFQLGKDHLEEEFDLTAPINTSQGSANSDRRVRINKKSKQ QDKETKKKPIRYWNEFDEQEEDNSDNAFYIDTNGSRSTTDNEESLVLRNSPADYGFIL FSRNFINRTYDFCEKLRNLIRHDKKTSPTSMHNSKRPHYSTSYGSLASFSSRSTSASY DDVQRYLQYQQQDIEDSQSIYEYRHDEVVTFLYLSALLTSCIMASVCLGIVLSLFRGQ NNNEIDLEIQNILIAIIIISLLVSLILICACLLLLFSRFTLAPIWHYLGCFTMFFSVT GTVCYGMIEIFF SPAR_D03070 MISEKAATALATIATVCWCVQLIPQIIYNWKKKDCTGLPPLMMF LWVVSGIPFAIYFCVSKGNVILQVQPHLFMFFCSISFVQSCYYPPISMARSKILLIIG VIIAADVGMEVGFILWLRPLYEKGVKWPDLIFGISASVLLAVGLLPPYFELAKRKGRV IGINFAFLFIDSLGAWLSIISVILGNMDIMGIILYSIVAGMELGIFVSHFIWWCRFRF LAKGNIFDEESSQTQKEEQDEKIEQDIGKEDQNVANYNLDNCSILDDASSFADDLNVH DSADGGPLSRAQTLHAVHGVVVRTDPDYYSRLSV SPAR_D03080 MSDKNSRIAIVSADKCKPKKCRQECKRSCPVVKTGKLCIEVTPT SKIAFISEILCIGCGICVKKCPFDAIQIINLPTNLEAHVTHRYSANSFKLHRLPTPRP GQVLGLVGTNGIGKSTALKILAGKQKPNLGRFDDPPEWQEIIRYFRGSELQNYFTKML EDDIKAIIKPQYVDNIPRAIKGPVQKVGELLKLRMEKTPEDVKRYIKILQLEHVLKRD IEKLSGGELQRFAIGMSCVQEADVYMFDEPSSYLDVKQRLNAAQIIRSLLAPTKYVIC VEHDLSVLDYLSDFVCIIYGVPSVYGVVTLPASVREGINIFLDGHIPAENLRFRTEAL QFRIADATEDLQNDSASRAFTYPSLRKTQGDFVLNVEEGEFSDSEILVMMGENGTGKT TLIKLLAGALKPDEGQDIPKLNVSMKPQKIAPKFPGTVRQLFFKKIRGQFLNPQFQTD VVKPLRIDDIIDQEVQHLSGGELQRVAIVLALGIPADIYLIDEPSAYLDSEQRIICSK VIRRFILHNKKTAFIVEHDFIMATYLADKVIVFEGIPSKNAHARAPESLLTGCNRFLK NLNVTFRRDPNSFRPRINKLDSQMDKEQKSSGNYFFLDNTGI SPAR_D03090 MTDPSKPTSPFVDDTEHGPGSASNGLSSMSPFDDSFQFENPSSA HGNIEVAKTGGSVLKRQSKPMKDISTPDLSKVTFDGIDDYSNDNDIDDDDEFNGKKAE IHEHENEVDDELHSFQATPMPNTGGFEDVELDNNEVSNDSQADHKLKRVRFGTRRNKS GRIDMSRSKTLKWAKKNFHNAIDEFSTKEDSLENSALQNRSDELRTVYYNLPLPEEKL DEDGLPSVVYPRNKIRTTKYTPLTFFPKNILFQFHNFANIYFLILLILGAFQIFGVTN PGFASVPLIVIVIITAIKDGIEDSRRTVLDLEVNNTRTHILAGVKNENVAVDNVSLWR RFKKANTRALIKIFEYFSENLTAAGREKKLQKKREELRRKRNSRSSGPRGSLDSIGSY RMSADFGRPSLDYENLNQTISQANNRYNDGENLIDRTLQPNPEWRFAKDYWKNVKVGD IVRVHNNDEIPADLILLSTSDVDGACYVETKNLDGETNLKVRQSLKCTKIIKSSRDVA RTKFWVESEGPHANLYSYQGNFKWQDTENGNIRNEPVNINNLLLRGCTLRNTKWAMGM VIFTGDDTKIMINAGVTPTKKSRISRELNFSVILNFVLLFVLCLAAGIVNGVYYKQKP RSRDFFEFGTVAGSASTNGFVSFWVAVILYQSLVPISLYISVEIIKTAQAIFIYTDVL LYNAKLDYPCTPKSWNISDDLGQIEYIFSDKTGTLTQNVMEFKKCTINGVSYGRAYTE ALAGLRKRQGIDVESEGRREKEEIAKDRETMIDELRSMSDNTQFCPDDLTFVSKEIVG DLKGSSGDHQQKCCEHFLLALALCHSVLVEPNKDDPKKLDIKAQSPDESALVSTARQL GYSFIGNSKNGLIVEIQGVQKEFQVLNVLEFNSSRKRMSCIIKIPGPTPNDEPKALLI CKGADSVIYSRLDRTQNDATLLEKTALHLEEYATEGLRTLCLAQRELIWSDYERWVKT YDVAAASVTNREEELDKVTDVIERELILLGGTAIEDRLQDGVPDSIALLAEAGIKLWV LTGDKVETAINIGFSCNVLNNDMELLVVKTAGEDVEEFGSDPVQVVNSLVTKYLREKF GMSGSDEELKEAKREHGVPQGNFAVIIDGDALKVALSGEEMRRKFLLLCKNCKAVLCC RVSPAQKAAVVKLVKKTLDVMTLAIGDGSNDVAMIQSADVGVGIAGEEGRQAVMCSDY AIGQFRYVTRLVLVHGKWCYKRLAEMIPQFFYKNVIFTLALFWYGIYNNYDGSYLFEY TFLTFYNLAFTSVPVILLAVLDQDVSDTVSMLVPQLYRVGILREEWNQTKFLWYMLDG IYQSVICFFFPYLMYHKNMVVTDNGLGLDHRYFVGVFVTAIAVNSCNFYVFMEQYRWD WFCGLFIALSLAVFYGWTGIWTSSSSSNEFYKGAARVFAQPAYWAVLFVGVLFCLLPR FTIDCIRKIFYPKDIEIVRQMWLRGDFDLYPPGYDPTDPSRLRINDIRPLTDFKEPIS LDTHFDGVSHSQETIVTEEIPMSILNGQQGSRKGFRVSTTLERRDQLNPVTTKMDLPR RSMASARGNKLRTSLDRTREEMLANHQLDTRYSVERARASLDLPGINHAETLLSQHSR DR SPAR_D03100 MEIKPVEVIDGVPIFKPSMMEFANFQYFIDKITKFGFENGIVKV IPPKEWLELLEDSSVVENLKTISLNSPIRQQVKRWEKQENGIFTIENDYDNKSYNLIQ WKNLATKPDSRISQNDFNDETLKENCRVDSQQDCYDLAKLKALESDFWKTTGFSKPLY AVDENSSIFPYDLTLWNFNNLPDSINSSNRHLLAGQAKSIFPWHLDEQNKCSVNYLHF GGPKQWYSIPCTNTDQFLEILSKELSGNKENCPAFIKHQNIISSPDFLKNKNIKFNRV VQFQHEFIITFPYSLYSGFNYGYNFGESIEFILEQQTVVRKQPLKCGCGNKKEEEKAG AFSNLSYDSNESEQRGSITDNDNDLFQKVRSFDELLNHSSQELQNLEDNKNPLFSNIN MNRPQSSSLRSTTPNGVNQFLNMNQTTISRISSPLLSRMMDLSNIVEPTLDDPTSKFK RKVLTPQLPQMNIPANSSNFGTPSLTNTNSLLSNITTTSTNPSTTTNGNQNHNNVNTN GVTTATATSLNNINSSNNSTNNSSNNNVSTVPSSMMHSSTLNGTSGLGGDNDDNMLAL SLATLANSATASPRLTLPPLSSPMNPNGHASFNGNMMNNSNNNGNSSNGNNTYSNSAA TAAATTTSAPHNLSIVSPNPTYSPNPLSLYLTNSKNPLNSGLAPLSPSTSNIPFLKRN NVVTLNISREASKSPISSFVNDYRSPLGVSNPLMYSSTINDYSNGTGIRQNSNNINPL DAGPSFSPLHKKPKILNGNDNSNLSNNNFDYSFTGNKQESNPAILNNNTNSNDNYRTS SMNNNGNNYHAHSSKFGENEVIMSDHGKIYICRECNRQFSSGHHLTRHKKSVHSGEKP HSCPRCGKRFKRRDHVLQHLNKKIPCTQEIENAKLAES SPAR_D03110 MAPATPKASKTTHFKNGSTSSQKRMKQSSLLSFFSKQVPSGTPT KKDRKPTSATSQKADANKITKNPEGEKVGKLFVDVDEDNDLTMAEETLATVNSDTIHS EEPQSDTMLNSNTTVTKSAAADEDLSSSSQPRRSHKRRVNYAESDEEDPNTTFIAKRK KGKVIDSESDEDEYLPGKNEEDEDDDVAEDKEDVKEELAEGSCDDDDDLLSLAETTSK RKSTSTPHSLSPFTRNTSRNNSKKKNRPIQVPSRSYTPSHGQPSATSKSSKFNKQNEE RYQWLVDERDAQRRSKSDPEYDPRTLYIPSSAWNKFTPFEKQYWEIKSKMWDCIVFFK KGKFFELYEKDALLANALFDLKIAGGGRANMQLAGIPEMSFEYWAAQFIQMGYKVAKV DQRESMLAKEMREGSKGIVKRELQCILTSGTLTDGDMLHSDLATFCLAIREEPGNYYN QAQLDSSTMVQKLNTKIFGAAFIDTATGELQMLEFEDDSECTKLDTLMSQVRPMEVVM ERNNLSTLANKIVKFNSAPNAIFNEVKAGEEFYDFDKTYSEIISSEYFSTEEDWPEVL KSYYDMGKKVGFSAFGGLLYYLKWLKLDENLISMKNIKEYDFVKSQHSMVLDGITLQN LEIFSNSFDGSDKGTLFKLFNRAITPMGKRMMKKWLMHPLLRKNDIENRLDSVDSLLQ DIALREELEMTFSKLPDLERMLARIHSRTIKVKDFEKVITAFETIVQLQKTLQNNDLK GDVSKYISSFPKELVEGVQNWTNAFERQKAINENIIVPQRGFDIEFDKSMDKIQELED ELMEILMNYRKQFKCSNIQYKDSGKEIYTIEIPLSATKNVPSNWVQMAANKTYKRYYS DEVRVLARSMAEAKEIHKTLEEDLKNRLCQKFDAHYNTIWMPTIQAISNIDCLLAITR TSESLGAPSCRPTIVDEVDSKTNAQLNGFLKFKSLRHPCFNLGATTAKDFIPNDIELG KEQPRLGLLTGANAAGKSTVLRMACIAVIMAQMGCYVPCESAVLTPIDRIMTRLGAND NIMQGKSTFFVELAETKKILDMATNRSLLVVDELGRGGSSSDGFAIAESVLHHVATHI QSLGFFATHYGTLASNFKHHPQVRPLKMSILVDEATRNVTFLYKMLEGQSEGSFGMHV ASMCGISKDIIDNAQIAADNLEHTSRLVKERDLAANNLDGEVVTLPDGLQSDFVRIVY GDGLQNTKLGSGEGVLNYDWNIKRNVLKSLFSMIDDLHS SPAR_D03120 MPVIEINDQDQFTHLTTTAAGDKLIVLYFHTSWAEPCKALREVF EAISNEPSNENVSFLSIDADENSEISELFEISAVPYFIIIHKGTILKELSGADPKEFV TLLEDCKNSINSGPSQTGTVENANVNEGSHNDEEDDDEEEEEETEEQINARLTKLVNA APVMLFMKGSPSEPKCGFSRQLVGILREHQVRFGFFDILRDESVRQNLKKFSEWPTFP QLYINGEFQGGLDIIKESLEEDPDFLQHTLQS SPAR_D03130 MSQTREDSVYLAKLAEQAERYEEMVENMKAVASSGQELSVEERN LLSVAYKNVIGARRASWRIVSSIEQKEESKEKSEHQVELIRSYRSKIETELTKISDDI LSVLDSHLIPSATTGESKVFYYKMKGDYHRYLAEFSSGDAREKATNASLEAYKTASEI ATTELPPTHPIRLGLALNFSVFYYEIQNSPDKACHLAKQAFDDAIAELDTLSEESYKD STLIMQLLRDNLTLWTSDISESGQEDQQQQQQQQQQQQQQQQAPAEQTQGEPTK SPAR_D03140 MSAIPPKFFKIANISIGCIDIIAALSQLTYLFTNLSIFLLAVYG LALSIPIVYLEFKVPSNLYRYASFYFSFLGRGLSYILLGLIISFGGIYNILAGMFTFI LGVAFIVFHFSQFVEEPANFRAPGSSLSIGDDDIDDDDDMI SPAR_D03150 MALAISHEDTQILLKDKNILQESVLNKYRTAGQIAQTALKYVTS LINDSYHSKTTERQLTVSELCLLTDSFILTRLEQYYKNKVNERGIAIPTTIDIDQISG GWCPEIDDTQNLLKWNKGKDSTFASSVTGILRQGDLVKITLGVHIDGYTSEVSHTMVI YPVDETKAVAQPAGPLLGGKADAVAAAHIAMETVVALLACALTPEKLPASLGGTSSGI TGQLIRTIVDTIARSYNCGVVPGSRVRRIRRFLAGQNEGIVAEREYKGVIWTESHQEA DLLSNTDAKDLTVVDRGQSTPFTNVSAIPSDDFVVQSCEVYLIDLKMASLEHCNKRGL VTLETVDSYTGKSHKAGELIARPSVYVRDFAQTHILKLKTSRQLLTKIDKQGVYPFKL SHLSSNFPFVDENEEELQNLNKDLKSFRLGMSEISNNYLCVESPIQIARWVPWDHILK ATNPNGNLSYDATSTLTLPGHELPLPKLGVSAIKLKSLLNSTKESISLPVARECNTIV LCDSSVSTTDRPELLRLTGGSKTCQPSWVHSQHELNPEDSIVQGIFQLATLAKDKRFG LLLKETQPMKQKSAITSNGDVEETMKM SPAR_D03160 MMQTPTDNIVSPFHNFGSSTQYSSTSSRTPNQIIEVEKPNTLSP LSRGKKWTEKLARFQRGSGRKKRFSPSPASSSTFSFSPKSRVTSSNSSGNEEDNLLTT PSTVSTDYLPQHPHRTSSLPRPNSNLFYASNSDISRANEPLRTENLSYNMPPKVAPFG YPIPRTSIKKSFLNASCTLCDEPISSRRKGEKIIELACGHLSHQECLIISFGTTSKAD IGALFPFCTKCKKDANIAVQCIPENDELKDILISDFLIHKIPDSELSTTPLSCFPLPS PLLPPFGLSCTPVKRQTIYSQAPSLGPNLILAAPPKERNQIPQKNSKYTFLHSPLGHR RMPSRADSIFTSTSMVSSANDFISAVSDSVKTNDIETKIPLPLLRSYFIQVLLSNFRE ELQDWRIDGDYGLLRLVDKLMVAKDDQKYLQSWCFLFENAFVIAEVDNDADVLEIRLK NLEIFTPITNLKMTTLEASVLKCTLNKQDCTDLSDLYIVQNINSDESTTVQKWISGLL NQDFVFNEDNITSTLPVLPIIKNLSDDADNGSYETSTFLGLINPNKVVEVGNVYDNDT VIIRRGFTLDSVDCSRHSTVDSMQSVLTTISSILSLKREKPDNLVIILQVDFTKLKEE ESLIVIYNSLKALTIKFARLQFCFVDRNNHVLDYGPVLNRIDSLSFVSNLKLKRPLLQ FSPIWLKDTLYPEKIHEHLGIVAVSNSNMEENKSVLFQDYRCFTSFGRRRPNELKIKV GYLNVDYSDKINELVEASSWTLVLETLCYSFGLSFDEDDDDDEEDHDDSTDNELDSSS RSLSDAESTTTIHIHSPVGPENVTANMVNEGNPHTGDGHRDIKSLETVGSTTQSPLIP DIRFSLYSDEESTNEDENGISVLLPSDVDKGIDEITRRGSLSSFIESSNESCPLHMDY I SPAR_D03170 MDSIVNVIEDDVEYAQRVTSFSSPQNTNVKVFTIPRHSFTAFRL SYVSPTELSACSQVTLLGGIPKQWYTDQNNQVWRLLTKISLRKVKKQSDMLRRYGYGT IYKKRVEKIPTASYLRKHFTWSYEDNTSIHNSHHLEDSEVQTKRAKSSPMQRSVYKIS LPKRCRSSSDLKFMPQELLEERKSGPSGNDSLPLGDTAQAVAIKPVLHKKDEEKTNKR HEPISSSLKQRTEQQEESPSGEDYSHFDRIPSRSSTRRARETEFNYDREPSEDTLRYS PDSSVEATNRTSPGRSSILSESEQFAHSNDLSDGFSTSNTINNLGLNANEKIILDALQ SMEKENLMVWKTTRKEGRYLDERRKIADAFQKREKSLRVDISKLHSSHLPFFNILPPW PTELTVEEKIIHDRIVSKHSHHIRKHVHNARNKTSCKIKDSVGTFLGMTSSLTNKATV RKRTGQILKKEKMLVMVKEAIQNKVPLPNFSENECFDTRVSERWKEYIVIARSTGRFD PPILLQFYRHRHIPEIEDISSIATKYHRNPLDFFLSRNCIVKFYSSLDKTISIQKPDK RLDGFIDESIENKDELKRYSPVKIFILRCSSIRSSGRWYKFLLESLDRQLFTPTINLK IPLTEISIKINLNEIIFQKLIDLGKQEKDRLKICFLERGYKIFQHPILRYFTIAIIEK LKLAHYDYLIRKWDTENAVLGCALKRYDRLEWIPCDEDSLITGIFAFCQSHLIQYRPI ANRARETKSVKGDCLKETPPVEGFLIRLTDKYGSVRTHFGKYSISTAYFFTCENLLFS MKAYRANPPLPIDAMIDDSSTEMEKEEIWKQWKKIPEVYEQQPYTLDADDHIEWMNCQ TTQSEYDSRDFYAFHCFHRRIDQILKTDNVIDLTEVKDIYQGARTDYEADKIKYGVYK EASEIFWHRSYEVDDVSQSVINIETSNGLLLKLLASSVTVAEQWVVKLKQMASYWKNK QREDTDRLLHIRRSNAGLLMLNGEEETKIGENTLRWIVEHGRADEQTFNANGISLSRP LIQKGPLYQKPHKHSVFSKYYVVLISGFIVLFHCFHRSTTGFAKEVLEYAHYITIPID DCYLYSGTTTELDLLQRDRTFDEINYGSHALPRVYEDGWRSVEDESSRCFTLWFGTRR ALSSNRSQKKGNEKHYSQAYGGQQNFVDPPSASGADLDTAKASNNTDKIHFTKKLGVS GKSMVFMARSRQERDLWVMSIYYELERLRRTASSSKSQNQAT SPAR_D03180 MGAVISLPVSMAGSFVASCFGGCCSNLVSKAASSLGSSSLGTRL LYAVWLLINSLISWISYSANKSILWPGKTCTGTGECGFFTVHRLNFALGCLHLILALV LTGVKSTNDVRAAFQNSWWSLKFILYLCLIVLSFIIPNDFYIFFSKWVSVPSGAIFIL VGLILLVDFAHEWAETCISHVESEDEDSSFWQRFLVLGTTSMYTASIIMTVVMYVMFC HQQCNMNQTAVTVNLILTVITLVLSVNPKIQESNPKSGLAQSSMVSVYCTYLTMSAMS SEPDDKMCNPLVRSSGTRKFSIILGSLFTFIAIAYTTTRAAANSAFQGTNTNGAIYLG NDIEYEGLGGQTRNQLRYEAIKQAVEEGSLPESALYDTAWLGTSSSTASAIDNQNDDE RTGTKYNYTLFHIIFFLATQWIAILLTINVTQDDVGDFIPVGRTYFYSWVKIVSAWIC YALYGWTVVAPAIMPDRFDYENYY SPAR_D03190 MVNNIVIIYLGTNRIEIGRSTEACPQEIIAWKKGSIDEKNREKL KEIFELYFQMCNISGNREVQVLLLEDIFFSVAEKRIICSILFNELGCAHVSFVPRAII HCLSCNTRNGIILDIGTTYTTCVPIFDLRPLQRYIKYSKRGKREVESSSPPLVGPYTP IFFDEKYNSKSCEADEIPVINLVKDIVESLPIDLRKPLRENIIIVNIEEMYETAIKDL FKQKMATSKIQLSKNYWQAGSACAKTLLPSKGNNIVGVRRNEFYNNPYIAPDWFDYYF RTGVKCLE SPAR_D03200 MINFDGYIKARTDNKYPVMKRSIWLVLFFYATLTKAFSLPGLSP TTYHSNDEIPLLVNRLTPSVYFQHQDEEGNDVSSDKEHFLYSYDYYNERFHFCRPAHV EKQPESLGSVIFGDRIYNSPFQLRMLEEKECVALCKAMIPGKDAKFINTLIKSGFFQN WLVDGLPAARKVHDSRTKTNYYGTGFELGFTGVKQTVDGKTIPSTMEELSSEISSADA TLDAREPKNIKPNLVKTVEVPYFVNHFDIEVEFHDRGNDNYRVVGVTVNPLSIERSSP GACSTTRKPLILDEDKDNEVYFTYSVKFIASDTVWATRWDKYLHIYDPQIQWFSLINF SVIVILLSSVVMHSLLRALKSDLARYNELNLDNEFHEDSGWKLGHGDVFRTPSKSMLL SVLVGSGVQLFFMIMCSIFFAAVGLVSPVSRGSLPTVMFVLYALFGFTGSYTSMGVYK FFHGPYWKANMIITPILLPGAIFLVILVMNFFLLFAHSSGVIPASSLFFIIFLWFSVS IPLSFAGSIIAHKRCNWDEHPTKTNQIARQIRYQPWYLRTAQATLIAGIFSFGSIAVE LYFIYSSLWFNKIFYMFGFLLFSFLLLTLTTSLVTILITYYSLCLENWLWQWRSFIIG GLGCSVYMFIHSILFTKFKLGGVITVVLYFGYSFIISALCCVVTGAIGFFSSMFFVRK IYSAVKVE SPAR_D03210 MVFSYEHYMNLLFHLDNSKETVPPEIAKRIVSNAIAPVITVTST PLFDKHIQETYKVDSLYMLLRFFGGCVSDRDQANEVRVGQHEHEVCDTSASTDSVPKN KNLEVPNLAKKGSRSRSNSLFQRDSTQSQYIRFTRPLGDLIETRDANDMLFNYHSLEV FLGDYLKLVADNTDEMVPHDLLKKSIYHSFFSLAISSTNNLSPYETFNHPILSLIALD ISNGEVYEDARDLLVNFKNLNHNTENFPIFMNTNEMLPVFLLCYNDESQEEFEKCQAL AKKLKKQLFVESILLALWKDSFVNAENSAIQLHQPVMSSLEEILFFLQAPTQTTLSLP LINSIYDMLDYLVYDLMIPFMKRKVSFWEETILQPRKSLFNGAKFFKKFMNKNPVNGN HQHNSLTKDSQGNEYFASSSSEFLMRKLADWSMMLSDFKTAYSTYESLMDDLDAFPKY LASCIEWCAVSLLMGAQSIVTVKMIKNDINPLIERALATYENCSQIQRGKGKESKSSN VTEPVRSYETRCMILASELFLSLSDTWTSTPYAIQYLETILDECKLGPCSQIMVWERL SDCYNLRVDPRIKHRVGSVKNAVKDTDELRSGYEHNTDHFTDEDILSEGLTRRRKAAF FRLIAAKKWAEQKQWRQVSWCLNDIESTYSEIEFLHGDGLILSKLKNQLNLRDVDSVP GPSEKNLTRTSVSFFG SPAR_D03220 MFLQSSLLRIWEGRKRQNNMQDITWQSTVLPSQETSTFDIPPRG PEAKFYVGVDVGTGSTRACVIDQSGNMLSLAEKPIKREELKSNFITQSSREIWNAVCY CVRTVVQESGVDPERVRGIGFDATCSLVVVSATDFEEIAVGPDFSNNDQNIILWMDHR AMKETEEINSSGDKCLKYVGGQMSVEMEIPKIKWLKNNLAAGLFQDCKFFDLPDYLTF KATGKENRSFSSAVCKQGFLPAGVEGSHLGWSKEFLNSIDLSELTKNDFEKLGGSLRV KKNFLSAGECISPLDGKAARQLGLSEHCVVSSGIIDAYAGWVGTVAAKPESAIKGLAE KENYKNDFNGAIGRLAAVAGTSTCHILLSKHSIFVHGIWGPYRDVLARGFWAAEGGQS CTGVLLDHLITTHPAFTELSQLANSAGVSKFEYLNSVLETLVEKRNERSVIYLAKHVF FYGDYHGNRSPIADPNMRASIIGQSMDKSIEDLAINYLGACEFISQQTRQIIQVMLES GHEIDAIFMSGGQCRNGLLMRLLADCTGLPIVLPRYVDAAVVFGSALIGAAASEGFDH TSKKRTLEIKKSSQEQTEHFNGSHPSIQNMSIENENSTNGLALSHNLPCQIPSASVKV SSFSFPVCTQPLAETVSEEGSKNASLTVGLENLEEENYNGFLWKVMQELTGDAKVVNP HKRTHPDRILLDTKYQIFLDMIETQRNYRRMVDKVEESFSR SPAR_D03230 MAKPHYNGVLFDDDGSLPSESVTRKSQRRKATSPRESRESSKDR LLILPSMGESYTEYVDSYLNLELLERGERETPIFLESLTRQLTQKIYELIKTKSLTAD TLQQISDKYDGVVAENKLLFLQRQYYVDNEGNVRDGRNNDKIYCEPKHVYDMVMATHL MNKHLRGKTLHSFLFSHFANTSHAIIDWVQQFCSKCNKKGKIKPLKEYKRPDMYDKLL PMERIHIEVFEPFNGEGIEGKYSYVLLCRDYRSSFMWLLPLKSTKFKHLIPVVSSLFL SFARVPIFVTSSTLDKDDLYDICEEIASRYGLRIGLGLKSSARFHTGGILCIQYALNS YKEECLADWGKCLRYGPYRFNRRRNKRTKRKPAQVLLSEVPGHNAKFETKRERVIENT YSRNMFKMAGGKGLIYLEDVNTFSLANEAENSSNNMGNDNFEEEVQKQFDLTEQNYID EYDDLAHDSSEGEFEPNTLVPEDKPVHNVDEDRIDSSDVATVLDGNTEQRTEELEKGD RKESDVAPQVDQSVEITRPETSYYQTMESPSTKRQKLDQRGHADQTRDFGTSMEL SPAR_D03240 MTMTHQQDLKGVFTAKDLDFKPAAKITKKDLNAGVTKAEYAVRG AIPTRADELKEELKKNPEVLPFDDIINANIGNPQQLDQKPLTFTRQVLSILEYPEILR VGHDKLASLNLFSRDALKRAERLLNDIGGSIGAYSHSQGVPGIRQTVADFISRRDGGE PANPEDIYLTTGASSAATSLLSLLCKDFQTGLLIPIPQYPLYTASASLFNAQVLPYYL DEESNWSTNSDEIERVVQDALKKQIKPSVLIVINPGNPTGAVLSEDTIARICLIAAKY GITIISDEVYQENIFNDVKFHSMKKVLRKLQHFYPGKFDNVQLASLHSISKGFMGECG QRGGYMEIVGFSQEIRDALFKLMSISICSVVTGQAVVDLMVKPPQPGDESYEQDHHER LGIFHKMRTRANLLYETFNDLEGIECQKPQGAMYLFPRLVLPEKALRESEHLGIEPDE FYCTSLLESTGICTVPGSGFGQKPGTYHVRTTFLAPGTKWIQDWKKFHQDFFSKYRD SPAR_D03250 MPANEDKENNIVYTGNESSGINFPQTPAHLLKRSHSNVLKPPVR LDQLKRDGNSNNGNALKYIQGGKEVSPTKRLHTHVQQQGRLPLAAKDNNRSKSFMFVP ETSNQSKDADIIPQQQSTLSIRKNDQLRKLSQISRNRNRANYNDLLNNSRKLQKYGSV LGYNALPKMKSLVLKDLADSGENEGSSDDDDGTEGSENKLGKKLQSALLKQHSSDDEH EFGGGIGLFNKQGGLQQLIKNSAKNEQGTKYDKNDKNGDYDIEIAPQRQEPLPYVPDG YSQFQQEDIEKLKTFNSPYELDLEDDGGSAVKIDLLPLQEIDEEDEEDEMGRIAHDRE EDAPLPLLSKNFKEVAVIPTIESLYSGEGLDPEELEDLVT SPAR_D03260 MSLFTRLYQPQSRRMLSSISSFSALSVLRPQTNVLLNGSSLKTM PFTPFGFGFIGQRRWKSRGNTYQPSTLKRKRTFGFLARVKSKQGSKILKRRKLKGRWF LSH SPAR_D03270 MLSVVAIPKICVTGAARRCFSLTAKKLYADDYKPTAMSSNAPSL TKDQAKKRELKRLVQRKAEAKKPATASPLYMPVTMALRYLRAAEVGRPQSQQTINLTT LVVGERGTAPLSGSVTFPKPLRYIKVAAFTNDESKLEELREKYPNHLIGGADLVAKIK NGEISVDFDKAFATPDIVPALQSQVARILGPRGVLPSAKKGTVSDDISSLLQESLGSM PFRQRGNSISLGVGKSYFTDREILQNIISARAALKTAVDNQKSKKPNILSKTTLSSTH GPGIVIDFA SPAR_D03280 MFKKEPHIKALSNLKNSERKKLLQTCKKQTNNEEYTFQTSTIKQ TNFSGQKSIGTVYTDENNTPILFKEKHNEQLFPTVYSCWENPTLLPIVLTHGFVIEEH LFNGANLMISGSIPPFDPRCKIGTICGVASKQAPKVVLAIGVVELDLPSYGRVIGETG VAVKVIHHFNDGLSKAFKMKLEPPTALCTESDDENTSSKHMERPEQSKDVEEAQESAQ EANFNVEEIAEVLDHLTVSDVDYFITRALYYSLTQDKGLVLPISASNFISNHIMRNLP PIDHNEVNVKKTSWKKSAKFLKHFEREGFLKLKGKGDDLTIVGKNTDKDELKNFVPYK LGCSNATKESGENTTSKEKTSGMMYSLTLYKPFNLAKEFLKEVDLGCHTYYTSQDIRT AASQYISIKNLADTKDKGKVVMDDLLFDMVNKKKKNSDISRIIARAEILHPLLANNFT EFYQIFKSDDTLLFKAPMKGSLPRIKIITEMKIGRKVITKVSNFEVFQVDSESLAADL RKICSGSTTISESQTFKSAEVQVQGPHGQSIIDHLNKLGIPNKWIDFENKLKKKKRK SPAR_D03290 MSSPANDYFIDYNPLFPIFATRISRGLAIYRVSDHAKLAVIPIR NINLVANYNWDTTTGKFLSIFFKDGTIRIHDIFKDGRLVSFLRIPSTKISKGIWDRIP LKYESSNRNFACNIIDDLPKLIRFVKDSKRINIVPYTPPNSLWRGPDEDDPESNEKLD VHVIFNEGNDKITFFFNGDYAVFLSVYDIEKEKFLKSIVKVQGGFYQCFYEDGTVRTL NLAPLLQSKSSVNLLNYIMVIKELIGYVLTHLEFINRELITPYLDFVKRLCDEAYGYS KLKSELEALFLLGEISCDLEDWLCNSVGEKNLKRWKFVGCEAYQKTVQVLTLIFVPAC ERIIIFVEKLRAILEAFSIQNKFSHTSDLTAVEALLKNSQKLLTITLKSIIGLGKDEI LFEKFFIWFNDRIHEALDEDYKLKFKFEDDPYFGYDLLTYFDRILSRKSTESNSLINV KSYRDLINSMSDMEKEISQTNVNSHIQQHILVKSRAEVYSQKYPSSQINMLDALKLPK HNYIVYLIQVSKQNNGQDAFSEESKQKLYIGTLKDDNLGIISRESSVKIPEPFKNYSL GSARFVLKRVPNLLRETELANTSYNSSNITEYRGEDDDNEEDDGTITIPVYITENEEN DDFVACTAKISVDGRSASLVFPKEKRIL SPAR_D03300 MGKKDRQRKKLREFAKLKNRQRNLRKSVQNLKDEVQRKAKEPEN SNQIALGNDEIEEINENSPLLSAPSRQEETKVSKKIDIDIIDAHPLHEGPKNDDSPQV EVNGIEGKSADKTDEEDIKSPLQNEPGRKSALQSSIKDFSDRSVSPLQSITSGDTPMS ELELPVSSSNSLERADDIPVVQPESQTLTIKSLPIAASPPEVLVSGAEMSSYGYGSIP ESIEDVEDGANPPYIANTSSDELVHDLTRRRIFSSCMCTYLFFIAMDSSIILVIVSKI ASEFHELWRLSLVISAYLLSNAIGQLVFLKLSLISSVKLLLCIAQFSFILGSYLSWDS IHFWTFIFARCVTGFGGGSLIALKSTIMNRFSKKNDNRYSLSASMITFSLGVAIGPFV VSLFDSSHGSGWKNAFLIPVPFCLVNASIMLADMYSVKNTLHHGSPRPTLWKRFKKTI SSPDLYEILTLALFLLCFVQVTSLDLTSLEHSTVIQVVLFSVIIVCGILFLLIETSDT YMNSVISMSLQGDKHLIWTMMGISFCFAALMCIIPFGTTYFIIVLNLNTLQLAERLLP FFFSIVVGYFSVCYFWKSKRQNYLLKFVLSGVTLILYVALMGVGLSLPVWKQYICLSL PFLGSSMILTLLSNLYHEYHEQRKSPISGSIVYCFGAVGGTVGVSLGGYVFHKTLIKI MHEEVMKFSKQGYLKKDLLKIIKHATRSSDWAHESAPKFIFQTLIECYLQACRNVFKL SALFFTITVVTIFIFNRIH SPAR_D03310 MFFSQVLRSSARAAPIKRYTGGRVGESWVITEGRRLIPEIFQWS AVLSVCLGWPGAVYFFSKARKA SPAR_D03320 MSSFFRIPFKRANLHGMLKAAISKIKANFTAYGAPRINIEDFNI VKEGKAEILFPKKETVFYNPIQQFNRDLSVTCIKAWDNLYGEECGQKRKNKKSKKKRC AEINDDSSKRQKIDNGSPKETVGNSDQNEPYINILEALSATGLRAIRYAHEIPHVREV IANDLLPEAVESIKRNVEYNNVENIVKPNLDDANVLMYRNKANDNKFHVIDLDPYGTV TPFVDAAIQSIEEGGLMLVTCTDLSVLAGNGYPEKCFALYGGVNMVSHESTHESALRL VLNLLKQTAAKYKKTVEPLLSLSIDFYVRVFVKVKTSPIEVKNVMSSTMTTYHCSRCG SYHNQPLGRISQREGRKNKTFTKYSVAQGPPVDTKCKFCEGTYHLAGPMYAGPLHNKE FIDEVLRINKEEHRDQDETYGTRKRIEGMLSLAKNELSDSPFYFSPNHIASVIKLQVP PMKKVVAGLGSLGYECSLTHAQPSSLKTNAPWDAIWYVMQKCDDEKKDLSKMNPNTTG YKILSAMPKWLSDTVKSEYDSKLSFTPNEQSGNIEKLRKLKIVRYQENPTKNWGPKAR PNTS SPAR_D03330 MPPKGWRKDAQGNYPTTSYIKEQENITIQDLLFPRSAIVNLARE VPQQSGKKLLINKDASLALQRGATVFVNHLLLFAREIAKSQDKKSCSVDDVMSALDHI GHSALKGPVRDKLDEYQAAVELKKKEKLDSSEIDADGDIDMGGDRENTPAQVVKEHDE IEEEGDASQNVEESSEKKQKTENQDVDTTVRNPEETSSTMETV SPAR_D03340 MDDYHVNTAFSMGRRNKQDDGNSESNSMHTQPSTMAPATLRMMG KSPQQQQQQQNTPLMPPVDIKYVNSGNSHQAEQRERRAELESKPRENAAKSNAISQSR ASSSQGMPKQFHRKSLGDWEFVETVGAGSMGKVKLAKHRYTSEVCAVKIVNRATKAFL HKEQMLPPPKNEQDVLERQKKLEKEISRDKRTIREASLGQILYHPHICRLFEMCTLSN HFYMLFEYVSGGQLLDYIIQHGSIREHQARKFARGIASALMYLHANNIVHRDLKIENI MISDSSEIKIIDFGLSNLYDSRKQLHTFCGSLYFAAPELLKANPYTGPEVDVWSFGVV LFVLVCGKVPFDDENSSVLHEKIKQGKVEYPQHLSIEVISLLSKMLVVDPKRRATLKQ VVEHHWMVRGFNGPPPSYLPKRVPLTIETLDTNVLKEMYRLEFIDDVEETRSVLLSII SDHTYVLLSRQYWTLAAKIRAESSDSENIPNITESFEDPTRAYHPMISIYYLTSEMLN RKHAKIQNQQQRQSSENIEKLSEIPESVKQKDVEVDTTAIKSEPEAASATKDTAVPFT PKNGDNTEPPLHVLIPPKLAMPEQAHTSPTSRKSSDNQRREMEYALSPTPQGNDYQQF RVPSTTGDSSEKAKFGNIFRKLSQRRKKTVEQASVNSNNSVNKPVQKTHSRAVSDFVP GFAKPSYDSNYTVNEPLKTSDNKGNNKEDFPALPANAENMVEKQREKQMEEDIMKLRD INKQSNEVEKGSGRETYAVQKFEGSDDDENHPLAPLNVAKGRKLHPSARAKSVGHARR ESLKYMRPPVPTSAYPQQELIDTGFLESSDDNKSDSLGNVTSHTNDSASVHSVNVHIN SSSIEKELTDEQILQEASKAPAGSMPSIDFPRSLFLKGFFSVQTTSSKPLPIVRYKIM FVLRKMHIDFKEVKGGFVCMQRFSSNMAAKREETPRSIMPVSHHGSIRRQGSNKYSPS SPLTTNSIHQRKSSITETYGDDKYSGTSLENIRQQGDGSGGMTTTEKEPIKFEIHIVK VRIVGLAGVHFKKISGNTWMYKELASSILKELNL SPAR_D03350 MQQATGNELLGILDLDNDIDFETAYQMLSSNFDDQMSAHIHENT FSTGSPPLLTHDLGVIPNVTTVQPSHVEALPIDNQTHHVPLNSHAHYLNHNPHQPSVG FDHTLGLKLSPSNSGLLSTNESNAIEQFLDNLISQDMMSSNASMGPDSHLHTRSPKKQ HKYAEANQRYSEANSHSNTRELPTNIADVPAEFATREGPHQPISNDHYNPPPFSIPEI RIPDSDIPANIEDDPAKIRKWKHVQMEKIRRINTKEAFERLIKSVKTPPKENGKRIPK HILLTCVMNDIKSIRSANEALQHILDDS SPAR_D03360 MGMEELRRALALLNGQGYEFMVFVKERDHLKGESIPKKFFSESF ISSSIERDPPLTFDPLVNRKKITVYEKNIQPKMMLPLDQPILVRNYLYAAFKLLRQVP CKAIAKLWIKIIEPRKKTRFPYIKGDARKPTWWPKDVEHKEPDHLHKADRLNLMCTII MNVLPQSPFGHEILDELIRVTVAMTIFKRENVKRVIIKNVLEIAKCLCNKDFKRNTVS LDDLNDLAHKQKKSNHSHRLDVNKMANVGKDLLQQSSGSDFSPSRTIPTKESYTEYLS RGYYPVSEDHSNSIFRTEGVVDFDPLFLTKLDELSSSDDPRDFI SPAR_D03370 MKNGLSIKRYLVHSGGLSKQTMYIYKRCKWSRAFHSNRYLLEDK RREKSLQRKILERILRPKEENAVKKSGFKLWSSHLNNSRRTYERLEELQKHIMEQVHV EGSKKNDKLFDEINQWHFQNENTSTVLTPTLLIHGYAASSMSFFRNYPGLSKHIRNLY SIDMPASGLSSIPSLEINTTTPLPLDIKFIGRNKFRVPYTINASHHKFVIQMYEDFYL DRIEQWRIDNKLGKINIVGHSFGGYLSFKYAVKYPESVDKLCLVSPLGVERNIWSVNN NFHSNTLYTIDFEDPSSKFYSKRNLIPKYLFEQQFQILRMMGPLGAKLCWNYIMAAYS RVPSMAYKEYIFELFYGKGGMPAVTTDIFKGLFSRCILAKDPLMDSLHYLNLRKLFIV YGQYDWMNKKAGMLMVKELNNLGNCLEGASYSEIPSSGHNLFLDNPQSFNQSIVSFLS EEPKLP SPAR_D03380 MSWNVLFVLLIGVVVLVLLSPVFKSTWPFSTFYRNVFQPFLADD QKYRWKFHLVPLFYTSIYLYLVYTYHTRVESLIKNELFLLERILIVPIIILPPVALGI LAMVTRAEDSNDHKLGSTEKYPYDYLLYYPAIKCSTCRIVKPARSKHCSICNRCVLVA DHHCIWINNCIGKGNYLQFYLFLISNIFSMSYAFLRLWYISLHSTTTLPTAVLTLTIL CGCFTIICLIFTYLQLAIVKEGMTTNEQDKWYTIQEYMREGKLVRSLDDDCQSWFLKF TEQEENTAGRLQDQHVTFYSTNAYDHKHYSLAHYTTIKDASEIPNIYDKGTFLANFTD LI SPAR_D03390 MVKLAKVPILGNDIIHVGYNIHDHLVETIIKHCPSSTYVICNDT NLSKVPYYQQLVQEFEASLPKGSRLLTYVVKPGETSKSRETKAQLEDYLLVEGCTRDT VMIAIGGGVIGDMIGFVASTFMRGVRVVQVPTSLLAMVDSSIGGKTAIDTPLGKNFVG AFWQPKFVLVDIKWLETLAKREFINGMAEVIKTACIWNADEFTRLESNASLFLNVVNG AKNIKVTNQLTNDIDEISNTDIESMLDHTYKLVLESIKVKAEVVSSDERESSLRNLLN FGHSIGHAYEAILTPQALHGECVSIGMVKEAELSRYFGILSPTQVARLSKILVAYGLP VSPDEKCFKELTLHKKTPLETLLKKMSIDKKNEGSKKKVVILESIGKCYGDSAQFVSD EDLRFILTDETLVYPFKDIPANQEKVVIPPGSKSISNRALILAALGEGPCKIKNLLHS DDTKHMLTAVHELKGATITWEDNGETVVVEGHGGSTLSACADPLYLGNAGTASRFLTS LAALVNSTPSQKYVVLTGNARMQQRPIAPLVDSLRANGTKIEYLNSEGSLPIKVHTDS VFKGGRIELAATVSSQYVSSILMCAPYAEEPVTLALVGGKPISKLYVDMTIKMMEKFG INVETSTTEPYTYYIPKGHYINPSEYVIESDASSATYPLAFAAMTGTTVTVPNIGFES LQGDARFARDVLKPMGCKITQTATSTTVSGPPVGTLKPLKHVDMEPMTDAFLTACVVA AISHDNDPNSANTTTIEGIANQRVKECNRILAMATELAKFGVKTTELPDGIQVHGLNS IKDLKVPSDSSGPVGVCTYDDHRVAMSFSLLAGMVNSQNERTEDVDPVRILERHCTGK TWPGWWDVLHSQLGAKLDGAEPLEYSFKKNSKKSVVIIGMRAAGKTTISKWCAAALGY KLVDLDELFEQQHNNQSVKQFVVENGWEKFREEETRIFKEVIQNYGDDGYVFSTGGGI VESPESRKALKDFASSGGYVLHLHRDIEETIIFLQSDPSRPAYVEEIREVWNRREVWY KECSNFSFFAPHCSAETEFQALRRSFGKYIATITGARDIKIPSKRSAFVCLTFDDLTE QTENLTPICYGCEAVEVRVDHLAKYSADFVSKQLSILRKATDSIPIIFTVRTKKQGGN FPDEEFKTLRELYNIALRNGVEFLDLELTLPTDIQYEVINKKGNTKIIGSHHDFQGLY SWDDAEWENRFNQALTLDVDIVKFVGTAVNFEDNLRLERFRDTHKSKPLIAINMTSKG SISRVLNNILTPVTSDLLPNAAAPGQLTVAQINKMYTSMGGIEPKELFVVGKPISHSR SPVLHNTGYEILGLPHKFDKFETDSAQLVKEKLLDGGKNFGGAAVTIPLKLEIVQYMD ELTDAAKVIGAVNTVVPLGNKKFRGDNTDWLGIRNALISNGVPESVGHTAGLVIGAGG TSRAALYALHSLGCKKIFIINRTISKLKPLIESLPSEFNIIGIESTKSIEEIKEHVGV AVSCVPADKPLDDELLGKLERFLVKGTHAAFVPTLLEAAYKPSVTPVMTISQDKYQWH VVPGSQMLVHQGVAQFEKWTGFKAPFKAIFDAVTKE SPAR_D03400 MCSSLNEGPYTSPTFGKSLSLKVDGGFNAVSINPSGRDVVLASR QGLYIINLDDPFTPPRWLHHITPWQVADVQWSPHPAKPYWIVSTSNQKAIIWNLAKSS SNAIEFVLHGHSRAITDINFNPQHPDVLATCSVDTYVHAWDMRSPHRPFYSTSSWRSA ASQVKWNYKDPNVLASSHGNDIFVWDIRKGSTPLCSLKGHVSSVNSIDFNRFKYSEIM SSSNDGTVKFWDYSRSTTESKRTVTTNFPIWRGRYLPFGEGYCIMPMVGGNNAVYLVN LCDDDESEENKKTKLQPIYAFKGHSDRVIDFLWRSRHTYNGDYDDREFQLVTWSKDCD LKLWPISDSIYGKVNFDRGKRLKEKLPDYDYCSYNKEPENRENIQKNEFKRIRENFVT TSGLKKNKTNHITWLSGIRMNNANSQDDLFNDTKIQNLGEEVSTIGHKFPKVVFEKIS VSTRELCLTLNGPWSEENPDDYVFLRININFPPNYPSKGDPPKFIIEENANLTMSKRQ EILSNLATIGQRYTDSNLYCLEPCIRFVLGEKISLEDIEEGQEPLLNFDIADHIDFEE LSSLDSSYSDSQNLENLSSQSDTESYKEALVFTDTSNPGLDFGRNLALDTTPVPNGCG SCWSATGELFCFFANDKKPEKKQNAIIKLSQKDTGAEKHPFKVEPKVLYDKEVDSSAI TAADELKARPKRYVDTLGLGGSTNGDSRTYSDDETSSDDSFDSVADDWDDILRNDIIV RTKIPMLRGNFGAFSSVHSESGKTVESTKRNKNLVISKDFGSLLSDRKELAQEYLFMD VTPEEFARNNALVAEKFELDEISHCWQILSDMLIDQSDYDPYTTIWNNHPMGIKWFIG EAIIYFERQQNLQMLAMLCCVILSARKKKSSTRCYGQELENMEGTIVFNDNESQNTSF WKGSDAISTRSRSSTVTPNFNGSHLRGKNIHGGDNSSIRSDDYHARLRTHNIFNGGNK FADPAQKQSSRAISSSPFHSRMPDIKVELLHDDIIEAYEQEDLLHLEVSDIPKFQTYI YQYSKLLFRWGLPLERVKILKVSTDFRSSYSSQDIISSDDKNSPYGGVLTHWIENKKF GEEKFIARNCNYCDLRVTRSSFICGNCQHVLHSSCARTWWEIGDECPSGCGCNCPDMF DA SPAR_D03410 MNIVKLQRKFPILTQEDLFSTIEKFRAIDLDDKGWVEKQQALEA ASKDGDATYDEARETLKHVGVDASGRVELDDYVGLVAKLRESKTGAAPQTTFNVAPNS APIASTAATGLQHKGKGTQAKIIVAGSQTGTTHTINEEERREFTKHINSVLAGDQDIG DLLPFPTDTFQLFDECRDGLVLSKLINDSVPDTIDTRVLNWPKKGKELNNFQASENAN IVINSAKAIGCVVVNVHSEDIIEGREHLILGLIWQIIRRGLLSKIDIKLHPELYRLLE DDETLEQFLRLPPEQILLRWFNYHLKQANWNRRVTNFSKDVSDGENYTILLNQLDPTL CSKAPLQTTDLMERAEQVLQNAEKLDCRKYLTPSSLVAGNPKLNLAFVAHLFNTHPGL EPIQEEEKPEIEEFDAEGEREARVFTLWLNSLDVDPPVISLFDDLKDGLVLLQAYEKV MTGAVDFKHVNKRPASGAEISRFKALENTNYAVDLGRAKGFSLVGIEGSDIVDGNKLL TLGLVWQLMRRNISITMKTLSSSGRDMSDSQILKWAQDQVIKGGKSSTIRSFKDQALS NAHFLLDVLNGIAPGYVDYDLVTPGNTEEERYANARLAISIARKLGALIWLVPEDINE VRARLIITFIASLMTLNK SPAR_D03420 MSNKSNRRSLRDIGNTIGRSNIPSDKDNVFVRLSMSPSRTTSQK EFLKPPMRLSPNKTHGAKHSIQVTPRRIISPECLKGYVSKETQSLDRPQFKNSNKNVK IQNSDHITNIIFPTSPTKLTFSNENKIGGDGSLTRIRARFKNGLMSPERIQQQQQQHI RPSETKSSTESKANPFENEHPQDKDKLKRKNLLVELKKEEEDVGSGIESLTKSNTKLN SMLAKEGKVQKASFQKSVKFKLPDSIVAEETAELREIKDLVLQMLRRQREIESRLSNI ELQLTEIPKHK SPAR_D03430 MFDKLPYEIFKQIACRIPQEDKISLTYVCKRSYESIIPFIYQNI FLNETYHVSGDYDNSFGTCDWSVLNFPFIDEDDPNTTNDISNRTLAKLKFSYFERTLA ESPNRLCPLINRIRCTWHLNEDVMSNVLKLLSDYGSNLKFVDQFVRSSVNKGLEPLSK QLKTLTLTPPTVMPTHNSIRSSYLNEIDRFFLKCDLSRLEKLSIHINALKYFKNIRAP MKIKALVLNLRPDTLDLAGYDASGELFKELEYSDIFDTSTLKQLEILSWYSRDDFPSE DEGGFDRLYVQWGLEGFWKFPKIENLSLASLVYNEFFLMNCLAVFHNLKRLKLDYMGK FEFDVSLITFLSKQVCGKKLQRFDIHCELSHRLFFPTTDNPLMRLTFDGFCPCSSCKK TIHEVILKKIFPETRLKLVRNPNKFQTRNFFYQMFLEDKIMPYTNIVDNETPAMGWDS VPIETFVRKFNKNLQDTTNNAENIPIDKITREDAIRLYHLYLHYLQDVFKVFEQGLPN LEFLTINGIPTKIIQVDELQRCAVPLFYNNGYKSNSVYELVDAEALFS SPAR_D03440 MSNSPTVATLSQEHFNPNIPQILPHEKMYKIQVGKSLFKISGAS LSSDGPSFFTEYFSKKRSPANNNDSNNDSIESSENEVLFIDRSAEVFEWIYQHLQGYI IEIKDEVQYTMLFADAMYYNLPRLRSLLKETDYYFTNIGGQSFKIAKNLFRRKGDSPN YFEIYAATVYIDVEELIISRKLLRPPPHSAPYVPRSSEYFKDLLTLLGGATLDLDDNR RDALIKECRYYRFLNLEQRLIKSHVSYNPTTKKEEICLLLKDLSKKGVTFPASSAFPT SPCFEEELCSVDECDPLLKTCEQPASKKIKLNVTEKYNDSWNMLCYKRPFLDNHAREL IFQIDSTDCTIIFNKESQSIHVDITGESAQKFEALFGSHPPNTPFGALNLNNYHYRFP SDSTQAKIETHYLLPACIYLCDLDVNGIKISQVQSLLTDKNRFNDKVIDVSDPLGVKL CSGLKLYLRKSLWKLAMKDGKIMLLAIKAIAFNGTKEYYRGYEYL SPAR_D03450 MQFSTVASIAAVAAVASAASNITTATVTEESTTLVTITSCEDHV CSETVSPALVSTATVTVNDVITQYTTWCPLPTTEAPKNTTSPAPTEKPTEQPTEKPTE KPTKQGSSTQTVTSYTGAAVKALPAAGALFAGAAALLL SPAR_D03460 MTGNLVSWACKLCRSPEGFGPISFYGDFTQCFIDGVILNLSAIF MLTFGTRDLVRLCNKRHPSVKYRRNWIIVSRMVLVLLEIAFVSLASLNLSKEETENFT AVSQYASTMLSLFVALALHWIEYDRSVVANTVLLFYWLFETFGNFTKLTNILIRHTYE GKWYSGQAGFILTLFQVITCAGILLLETLPKKPLMPHQHIRQSLLRRKPNPYDSANIF SKITFSWMSGLMKTGYEKYLVEADLYKLPKNFSSTELSQKLEENWQSELKQKSNPSLS WAICRAFGSKMLLAAFFKAIHDVLAFTQPQLLRILIKFVTDYNNERQNDDNPSILGLQ NNPHQKLPIVRGFLIAFAMFLVGFTQTSVLHQYFLNVFNTGMYIKSALTALIYQKSLV LSNEASGLSSTGDIVNLMSVDVQKLQDLTQWLNLIWSGPFQIIICLYSLYKLLGNSMW VGVIILVIMMPLNSFLMRIQKKLQKSQMKYKDERTRVISEILNNIKSLKLYAWEKPYR EKLEEVRNNKELKNLTKLGCYMAVTSFQFNIVPFLVSCCTFAVFVYTEDRALTTDLVF PALTLFNLLSFPLMVIPMVLNSFIEASVSIGRLFTFFTNEELQPDSVQRLPKVENIGD VAINVGDDATFLWQRKPEYKVALKNINFQAKKGNLTCIVGKVGSGKTALLSCMLGDLF RVKGFATVHGSVAYVSQVPWIMNGTVKENILFGHRYDAEFYEKTIKACALTIDLAILM DGDKTLVGEKGISLSGGQKARLSLARAVYARADTYLLDDPLAAVDEHVARHLIEHVLG PNGLLHTKTRVLATNKVSALSIADSIALLDNGEITQQGTYDEITNDADSPLWKLLNNY GKKTNGKQNEFGDSSESSVRESSIPVEGELEQLQKLNDLDFGNPDAISLRRASDATLG SIDFGDDENIAKKEHREQGKVKWNIYLEYAKACNPKSVCVFILFIVISMFLSVMGNVW LKHWSEVNSRYGANPNAARYLTIYFALGIGSALATLIQTIVLWVFCTIHASKYLHNLM TNSVLRAPMTFFETTPIGRILNRFSNDIYKVDALLGRTFSQFFVNAVKVTFTITVICA TTWQFIFIIIPLSVFYIYYQQYYLRTSRELRRLDSITRSPIYSHFQETLGGLATVRGY SQQKRFSHINQCRIDNNMSAFYPSINANRWLAYRLELIGSIIILGAATLSVFRLKQGT LTAGMVGLSLSYALQITQTLNWIVRMTVEVETNIVSVERIKEYADLKSEAPLIIEGHR PPKEWPSQGDIKFNNYSTRYRPELGLVLKNIDIHIKPNEKVGIVGRTGAGKSSLTLAL FRMIEASEGNIVIDGIAINEIGLYDLRHKLSIIPQDSQVFEGTVRENIDPINQYTDEA IWRALELSHLKEHVLSMSNDGLDAQLTEGGGNLSVGQRQLLCLARAMLVPSKILVLDE ATAAVDVETDKVVQETIRTAFKDRTILTIAHRLNTIMDSDRIIVLDNGKVAEFDSPGQ LLSDTKSLFYSLCAEAGLVNEN SPAR_D03470 MRAHRIDTFLIRENIKLEIIHESNSYFGGEHISIAFRFKHLGSQ SELFNYKEKLLTIDKAVEEKLEQQAKIQDDAEGTMENQAWSLKSLLGAFKKTGGPGES VDSHNMEMLHENKVLRGRILKQMYFHQPVTLISGYVQISGIFQYDSEVIAQSKFEQNE VRMVGLDILSGHATNSVFASEDGDHVKGRRNLIKYFNSDYTNVSNGLLFSETSSRGGT SNYNERTLANSNDTSIRTLPLLLIPQTLIFSEISLEPGEVRTFYFKSTKLPKDICPSY SSSKIASINYTLEVGADVLSDDNIEAFSNRVPITIAPYISSNAEQYTSHLDKPPIVLK AGNIKELKPRSFTRKVSTASAVSFGRRKSSIIGIDSPLEDSEFVKRVKKNFIELVESN QNVPRDIDELTDLQMEVQFGKDEDSSDPEPNDSHFSNEMVTSAESSTTGNAVTKRRKS DSVRDNISNLDQKVWKDCSLAKSDENSNLLPQLVNLQRAYQINRNNETMATLSLSAPF YKTTDDINLVIELDSITTPLLKVTSLTVSLESFEIINPKYKTEGKRMGSKPKGNSVYE KHFICFDECKSVSVKLLPPRSPTNQITGQFKTDVFQHKWMIGLKFVIVAKTESITLDQ FYEDKKGVLFHSKENLEGEEFTCYIPIPILGTSEDFMGW SPAR_D03480 MTDTEELIQNSVGFLRKIFKALPISLYSIENEPLPSSMFHESIL NFEWEPLKSNCSVIHDRDSLIDIVLKRFIIDSMTSAIEDEEGNNLEKGLLKSCICLDF VYNSRSNRSNPASWGNTFFELFSTTIDLLNSPSTFLKFWPYAESRIEWFKMNTSIEPV SLGESNLISYKQPLYEKLRHWNDILTKLENNDVLNTVKHYGMKYKLENFLSELLPINE ESNFNRSASISALQESDSKWEGSPSERESGRNSDVIFSADYNFVFYHLIIRPIEFAFS DLEYKNDVDRSLSPLLDAILEIEENFYSKIKMNNRTRYALEEALNREYYTNYDVMSPK LPVYMKHSNAMKMDRNEFWKDLQNIKESEDFILRPTIMDISLGNKTSLYRQFTQEDDD YYRKQFILQLCFTTNLIRNLISSDETRNFYKSCYLRENPLSDINFENLDEVNKKRGLN ICSYICDNRVLKFYKIKDPDFCNVIRKLMSSDKRFAAAKIDGFKEFQNSRISKEKLSS PTFDKTFKKFTFIKMGNKLINNVWKIPTGLDKIEREVKKPEGVYEAAQAKWESKVSSE PSGEEAKDEIIRQWQTLRFLRSRYLFDFDKVNENTGVDGLFEKFKKTGALDDSFKEKL LHKINQEHRKKLQDAREYRIGRERKKRALEEETPSEREQKMKSQRLDNGFQKEGDEMK SRETLPGQEMSKDNTKITGTGVSSQDPDDVVDGGKSALQSTTAQLENPKAEDSNTASL GNPNSFSAQSMK SPAR_D03490 MLPTPYVKCDYHKVYEPAEDSFLILDCLEKEHEFLKQRFSNSLA IVCEIGSGSGIVTTFLMQNKIIPQENSIHLAVDINPWALEATLDTAKLNSCKNNFLEV IQTDLNSSIRNNQVDVLIFNPPYVPAESVPDVPESREEADQWLDLALLGGKDGMAITD KLLQQLEQILSPDGVAYILFCARNKPKEVIQTFLNSYRWNVKLVETRKAGWEVLSVYS FTRR SPAR_D03500 MSLPLKPLTIDSNNKQLDSKQKKFHANVERALERFDSVTEWADY IASLGTLLKALQSWSPKFQNVRYYVPSPYQVSRRLTSSLSPALPAGVHQKTLEVYTYI FEHISLETLATECNIWIPGILPLMTYASMSVRSHLIELYDNYILQLPQTTLRLLIRPL ISSLLPGIDDESSDFLPLTLKLVETLQENLDDNSLFWQTLFLVMTANKGRRLGGLTWL TRKFPSLNAVPHLVNKIKMEAEENTSETETNDSHLDRKRRKEEAFKVLLPAAKDLVTP EPGLLIRCLVGCLEDENDILIKRSVLDLLLQRLRLDSPVLNVLITSEDKKLLIMSCCK TTLSKDMSLNRRIWNWLLGPTAGGMLNNNSGNSMEDTTSVKSGNEESNVYFTKYGLGA LLEGLNDLLSEEESVLTAFKISMAVMDRWEIGSLVIPELFVPLLYSSENFKHNEQILK TARTFFDNVETNIIWGKLFQELEDIKNLRVLDFVLTNFNIGSDEEIIVRHLPLILLAL LALPPNDKDIKNIHKLQKFSLYNKLLSYIPERALLPLSHSKLKHDDDISCEELLSKIR EFYTNVSNPSSISEKDNTAERLPPFTTEDLTFLIANLIQKKLLSSLRELEFINESSRL FIAIFEKIPESEGPNGGSHTSWSDKKITQSIFGAILRLCESHNDAKSEEIVGIVEIFS NYLYSRMEFIESMKLLKMIMMAVWKSLKDPRHQILGVKNLKTLNRFIPSKFIESALVY TFVEEEDISERLGVLDLLWTQLDSNTNLIRRPLELILGELFDDQNPFYLTVSKWILSI INSGSAARLFYILTDNILMVTHLEKEALDERDDLDMLTYEFQMLAYVLKTNSGRTRKV FSTELTSIKSLTIWKNEDVSTYKCLLLVTLTRFLNIKNNTHAKSIRSALILLDILLDG TEENFKDIVIFLLQMSSKYIADEGIEPELIAVSLLDIVSKVLRLSHDNGIKLDIFDDN AAHLKYIDFLVTSVSNMKSPLIVTAYVKLLSESIVYFENSIFRMILPLSASLVQCVQR LFLLEKKEGGYYQPVALLLGGLEELLEISHGYLVTDEREGYFSGSNLKGDFIQSVVSN VFSSDSSNEESKIQGERDVILQSFRQVISCCLDIWYWAHNISCKSNDDSSLDVTNHNS YKFKFRSKKLLETLFLLEPLELLENLISAKPENVTVTLVHVLDGNKPAMTIPHLLYGV IIRYNRTASVKFSNRDGSRASTTKLTKGEPSMLKRLGGESIITFLFNYVDSVENSAIE EFYGDFLLFFREVATNYNLYSDVSLSILKLVALISEKVSKTQFGEQKRVRREISDVFF KYLPNAFINFTNLYRGHPDSFKDLEFVVRRVQYIVNDQIGGDKFNTTLSTIVNQCLTP YIKPKSEKNIPGYVLELAAVISHLGSKVKSWRLLIGELFQNDKKLLVIGSDQTWERII YEWSIYPENKSKILNDLLLEIGSKRSSVTPTLITFNLGSDSEVEYKCQNLLKISYLLM ISPNDAYLLQFSSLISCIFQYLVSKDIKLKGSCWILLRVLLLRFSESHFNDYWSMISY CLQTNLQEFYESLQIQSDVDSQTILQVCKTLDLLLLLNMEGFTSTNEWIFVIDTINCV YKTNSFVALADEIAEFKDYEITKADDLELPTTLKDGLPLLRGIHRIERHTQLRSFFQN LSYLHYEKVYGLGSVDLHGCSEDLKKDILS SPAR_D03510 MLRYHMQGFSGYGVQYSPFFDNRLAVAAGSNFGLVGNGKLYILE IDRVGGIAEVNSFLTQDCLFDVAWNESHENQVLVAQGDGTLRLFDTTFKEFPIAIYKE HEREVFSCNWNLVNRQNFLSSSWDGSIKIWSPLRKQSLMSLTPRPLEITKMVDPLNAI ILKKKNFTGISKNKNCVYQAQFSPHDQNLVISCSGNSYASLFDIRLPSSKNQNNFLVH SGLEALTCDFNKYRPYIVATGGVDNAIRIWDIRMLNKNESATNNRTTHSQPHNSSCIN EIPNAHGLAIRKVTWSPHHSNILMSASYDMTCRIWRDLSNDGTKETYKTNSTDSSKGS IFNFTQHSEFVFGADWSLWGKPGYVASTAWDGNLFVWNGLGY SPAR_D03520 MSESDQGQDRGTNTSPNNIENNNNSNSASAQLNSGTEQTRNITV SIQYSYFTPERLAHLSNISNNGNTENNSATSASTIAPGTGPSFGIGNGGHQPDGALVL SFRDVPASTPQDRLNSFISVAAQLAMERFNRLLNRPKGITKDEFDKLPVLPVSDLPKT EGPLCSICYDEYEDESDPSKTKRKRDSEDEGESEGTKKRKDNEGALNTRIIAGNGSGP SITNAAVVEQPPDLHDEETNPSYKHSPIKLPCGHIFGRECIYKWSRLENSCPLCRQKI SESAGVQRAAQQDTDEVAANEAAFERIRRVLYDPTAANNTNENATASENTSNTTVPTI GNANSGEQMLSRTGFFLMPQNGQSLHDPVRLPPNDSDRNVFSGLNSTDQNLPSNPGGS NNNQSPRWVPIPLTLFQFHSPNPNSNASNSSASPSTTTGSNSNDTSSDATDAHHNRLR AVLDHIFNVAQGGTSDTSETETSGAQTVQNQGHNDTSSFDATQGSSFLDNISRLTGHF RNGSRENNNDNNYNNDHQGNGSTTDSNRNNLFSSGVASYRNQNGDVTTVELNSNNSAH PPADENSSQDQDSSSSDTTIHNNVPNDNNEQRSTQ SPAR_D03530 MKLSAFTYVVDALLLYSLAVDAASIASPSSATNKDYIKVNFQKK YGNSFESASNDTKDKTRLVARDNDYELVELTNQNSFYSVELDIGTPPQKVTVLVDTGS SDLWVTGSNNPYCSTKKKDTIDSSFKQVNKDNSASVVESVFTEISYDTTIVTSEATAT LDSTASTSQTIDCTAYGTFDTSKSSTFESNKTEFSITYGDSTFASGTWGRDQLSLNDL NITGLSFAVANETNSTVGVLGIGLPGLESTYSGISLSSAQKSYTYNNFPMVLKNSGAI KSTAYSLFANSSDSKHGTILFGAVDHGKYAGDLYTIPIINTLEHRGYKDPIQFQVTLQ GVGTSKGDKEENLTTLTTTKIPVLLDSGTTISYMPAELVQMLADQVGATYSPVYGYYI MDCIQEMEQESSVIFDFGGFYLSNWLSDFQLVTDSRSNICILGIAPQSDPTIILGDNF LANTYVVYDLDNMEISMAHANSSDDGEYVDIIDGSVPSALKAPGYSSTWSTYESIVSG GNMFSTASNSSTSYSVSMSHSATSSSSFKGQKIQTSTSTFSNSKSSSTISSTRISSPT SSSSTRKENGGHNLNPPFFARFITAIFYHI SPAR_D03540 MSSNPENTGTNANNNTSTGNADVITATQQNMVLQPRQLQEMAAK FRALLTEARNVGETTPRGKELMFQAAKIKQVYDALTLNRRRQQAAQAYNNSSNPNPSN SASVSTENAPNKSQQQQQQTRNNSNKFSNMIKQVLTPEENQEYEKLWQNFQGRHTSIK ERETYLKQNIDRLEQEINKQTDEGSKQQLQEKKNELLNDWKVLKVEYTKLFNNYQNSK KTFYVECARHNPALHKFLQESTQQQRVQQQRVQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQHQQQQQQQQQQQQQQQHQQQQQQQQQQQQGQNQTNASTTNSTEMPSTTAPDAVK SQQQQNALPATNTPRGNVNAPQTEQSKAKVTNVNATASMMNNVSSSKSAIFKQTEPAI PISENISTKAPAPVAYRSNRPTITGGSAMNASALNTPATTKLPPYEMDTQRVMSKRKL RELVKTVGIDEGDGETVIDGDVEELLLDLADDFVTNVTAFSCRLAKHRKSDNLEARDI QLHLERNWNIRIPGYSADEIRSTRKWNPSQNYNQKLQSITSDKVAAAKNNSNNVASLN TKK SPAR_D03550 MDTSNSWFDASKVQSLNFDLPTNSYSSNIRGNDLSNYGIDGEYK TLATDDLGNILNLNYGETNEIIMSEIKDLNLPLGPLSDEKSVKVSAFSELVANDWQSM NFDLESTAREATLNETSLLNENRSNHDVGLAMFQKTVSDMPNDEKRIPMADNLLEAIN KSETNKSFDRNLGELLLQQQQELREQLRAQQEANKKLELELKQTQYKQQQLQATLESA DGQKFLSPKRKIAVVSENVEDVYSNSLSPMISPPMSNTSFTGSPSRRINRQKYSFERK NSNGTAGPLCFQDLNEDFNDTLISPKKTRSDPSENLNSKPKFIAPFTPKSRVSSAASN SANITPNNLRLDFKINAEEQGSEYSERPLGLGIEPLGKPGASPTKAVSLKSAAVDIMP TIPGSVNNTPSANKVVVSSGYIDQYTPRGKQLHFSSIGENSLGIAAATPHLKPPCQQV GYREGGFNDLGPNVLKKNTDNEGDDDEENEPESRFIISETPSPILKSQSMYEGRSPQF GTHVKEIDTYTGNSPSKITRKLTTLPRGSIDRYVKEMPDKTFECLYPGCAKTFKRRYN IRSHIQTHLEDRPYSCDHPGCDKAFVRNHDLIRHKKSHQEKAYACPCGKKFNREDALV VHRSRMICSGGKKYENVVIKRSPRKRGRPRKDGTSSVSSSPIKENLNKDHNGQLMFKL EDQLRRERNYDGHGTGIMVSPMKLNQK SPAR_D03560 MSRTYLLGTASLEMSKKKHQLENCNKPTKRVIHIIDPNVISEAG LQNELPVRCSNEDGEITSISLTSQPSNDFLKLAYVNEKLDPSLPSQYFRQDIINVLQS LEIPGWAANDSKETVLDKDLLTLTKIKGALTNVIYKIHYPNLPSLLMRIFGDSIDSVI DREYELKIIARLSFYNLGPKLEGYFQNGRFEKYIEGSRTSTQADFIDRDTSVRIAKKL KELHCTVPLTHKERSDQPSCWKTFDQWIKLIDSHKQWVSDHGNISENLRCSNWNFFIK SFETYKHWLYNDSAFTSKLLREGDKDITINTGLKMVFCHNDLQHGNLLFKSKDNDNVS VDDLTIIDFEYAGPNPVVFDLSNHLNEWMQDYNGVQSFKCHIDRYPKEEDVLVFAQSY INHMSENHVEIDSQEVRILYNLIIEWRPCAQLFWCLWALLQSGRLPQQLLIDEKSISK KDRLCDETCTITRKNGESKEDGKYDGYEDDSFNYLGFCREKMSVFWGDLITMGIIDKD CSDIGKTDYLDTKVIL SPAR_D03570 MLSRATRTAAAKSLVKSRVARSVMAASFIKRHASTSLFKQANRA ESLGSIYLSGKKTKFVANPFPITGNRFKSTSIEVPPMAESLTEGSLKEYTKNVGDFIK EDELLATIETDKIDIEVNSPVSGTITKLNFKPEDTVTVGEELAQVEPGETPAEGSPES KPKPTEQVEPLQDVAPEKASKQETVLKEEATPKKEAAQPKKADEPKKTAPRAKETPVA SDSFTPFPRTETRVKMNRMRLRIAERLKESQNTAASLTTFNEVDMSALMEMRKLYKDE IIKKTGTKFGFMGLFSKACTLAAKDIPAVNGAIEGDQIVYRDYTDISVAVATPKGLVT PVVRNAESLSVLDIENEIVRLSHKARDGKLTLEDMTGGTFTISNGGVFGSLYGTPIIN SPQTAVLGLHGVKERPVTVNGQIVSRPMMYLALTYDHRLLDGREAVTFLKTVKELIED PRKMLLW SPAR_D03580 MSQNNRHKKSNDKDSSAEQYTDSVDDSLTQENITINSVTRAANS EVNKGGSDDEGDKSKLFSISNILSKRETKDALPGFAGSSSHNGVLTANSSKDMNFTLE LSENLLIECRKLQSSNEAKNEQIKSLKQIKQSLSDKIEELNNQRKSFMKELDSTKDLN WDLESKLTNLSMECKQLKELKKKTEKSWNDEKESLKTLRTDLEILTLTKNGMENDLNS QKLHYENEISELKERILDLNNENDKLLISVSDLTSDINSLQGDRAEKLKIQKQLDDAK ASIFSLKRKVQKKYHQKQHTSDTTVASDSDSEWATSEEDIFDIVTDIDHMIEAHPSVE DLSEDLVKKYSENNNMTLLSNDSYNNLLQKSESVSKPKGDELMTKEVAENLNMIALPN DDNYNKKDFSLESHIKYLEASGYKVLPLEEFENMKQSISNPPYSYLREKLKALKKIPI DQNTFNLIKEPTIDFLLPLTSKIDCLIIPTKDYNDLLESTKNPSVEQVKECLGRNSSL EFTICKWLEERNDCKLLSNNVYFSMVDKIETPSKQYLSNKAKEYDQVLVDTKTLEDLQ NPTVDVLKRKASASDYLLLKKEDYESPSLEYLVEHAKATDHHLLSDSAYQDLVKFKET PDIEFLKEKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATDHHLLS DSAYQDLVKFKETPDIEFLKEKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYL VEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKKKSASLGHTIVSDEAYSELQHKYSD LEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKKKSASLGHTIVS DEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFL REKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQD LVKFKETPDIEFLREKSASLGHTIVSDEAYSKLEKQLEQPSLAYLVEHAKATDHHLLS DSAYQDLVKFKETPDIEFLREKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYL VEHAKATDHHLLSDSAYQDLVKFKETPDIEFLREKSASLGHTIVSDEAYSELQHKYSD LEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKEKSASLGHTIVS DEAYSKLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKEKSASL GHTIVSDEAYSKLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLR EKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDL VKFKETPDIEFLREKSASLGHTIVSDEAYSKLEKQLEQPSLAYLVEHAKATDHHLLSD SAYQDLVKFKETPDIEFLREKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLV EHAKATDHHLLSDSAYQDLVKFKETPDIEFLKEKSASLGHTIVSDEAYSKLEKQLEQP SLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKEKSASLGHTIVSDEAYSKLE KQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLREKSASLGHTIVSDE AYSELQHKYSDLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKK KSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLV KFKETPDIEFLKKKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATD HHLLSDSAYQDLVKFKETPDIEFLREKSASLGHTIVSDEAYSELQHKYSDLEKQLEQP SLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLREKSASLGHTIVSDEAYSELQ HKYSDLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLREKSASLG HTVVSNEAYSKLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKK KSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATDHHLLSDSAYQDLV KFKETPDIEFLKKKSASLGHTIVSDEAYSELQHKYSDLEKQLEQPSLAYLVEHAKATD HHLLSDSAYQDLVKFKETPDIEFLKKKSASLGHTIVSDEAYSELQHKYSDLEKQLEQP SLAYLVEHAKATDHHLLSDSAYQDLVKFKETPDIEFLKKKSASLGHTIVSNESYSKLE KQLEQPSLEYLVERAEQIQTKVITVSDFNKLMNPSLEDLTSKLQNSEYQIVPKDEYIA LKEMMEDPDVQLLKSKLKGYHIIDTAAYNELASYSNSPTLDFIEEKAKSKGFRLIDAN KYIDLNRIATTPSKEEIDNFCRKIGYYALEFKEYEKLKNSVQNPSKEFIEEKATLFGL ALVDKTEYETMKDNLSNKNSLIASVKALGLVAIPAPQLASLQKSSLQNSTLSDIENKL KALGYVAISKENLANLEKPIVESASRDDVLNICSKFSLIPVSTEEYDNMKKERAQTLN ALSDPSIDFLKEKSEKYELVVIDRHDYEEKQEAIENPTLDYLKDSLSKIGHIAILKQD YESMVAKYEKPQLDYLKISSAKIDHTVIPLSEYNLMVTGYKDPSLSYLREKADLNNHV LIKDEDYRNTLAASEHPTEANLSEKASLLNKVLVDKDDYANMSRSIENPTVDFLSTRA LSVGKILVNESTHKRNEKLLSEPNSEFLAMKAKEQGLIIISEEEYSGLQDKIDHPSLD VLKEKATDFGSVIVDNTEYQRLLNTAIAYPPITYEDLKAYAHQFDMELYPLNPNELSQ AESPERFDEQSINTTSSNSTTTSSMFTDALDDNIEELNRDEFEQDEDVTDIISKSSTV KGVTNIPAYATIKNSAEELGYKLVPIENSSANLKYMEALSFSKDNDDISVASSVDLNY LSKKAEKYGMTLISDEEFEEYHMLKDSTVSRDDGIEETDNSLSEDENATAKTTNIPRE RALQKGVLRNSMDKEEVIEMAQKLNLVILNEKEYTELLNKSEKYSNSAADVVGDTAKL DLVSLEKQKLEEFEHEHAHPTFTERQLKKHAGDRSLILTSRDKTLLSRDENIISQSEV VYDDDISDSFADETQEIKNDVDIIKTQAMKYGMLCIPESAFVGTSYESIPDTSDIVAL SSSYYHSLLSPEDLKWNCVNNEVLQAEVKRRGLQLAPPTKEDSKAQATASKHEGRSHK LSNKTSTLSTKSGVKKGLAEAAATTAYEDFEGHPQAQEQSHRKNHHKHHKRQQSLTSS STSKTAHSSKNTPTSRRDVVASFMSRAGSAGRTASLQTLASLNEPSIIPALTQTVIGE YLFKYYPRLGPFGFESRHERFFWIHPYTLTLYWSASNPILENPANTKTKGVAILGVES VTDPNPYPTGLYHKSIVVTTETRTIKFTCPTRQRHNIWYNSLRYLIQRNMQGISLEDI ADDPTDNMYSGKIFPLPGENTKSSSKRLSASRRSASTRSLRNRVPKSRSFGNLR SPAR_D03590 MMTNVAPNSHYLNLPNANSTSTTTSSIFSDLNKEYESKIREIEE YYIKTLLNDNTDNDESSSSEGHNINEAEILSEYSPRPSPWLPSKPNCYHSLADFKDLI ISDSRPANTSHFANLFSTTNNISTLATTDKKRKKRPLEVEINPTYATNAFSLPLTAEN LQKLSQVNSQSTGLPFALPIQKTTKLEPCRRAPLDLPQLVNKTLYKTELCESFTIKGY CKYGNKCQFAHGLHELKFKKKSNNYRTKPCINWSKLGYCPYGKRCCFKHGDDKDVQVY QNANNGRDNDMAFSTLPPAIAPANDNNVTNLGKPKNLHTSVKALQRMTW SPAR_D03600 MDYKEEQKQELEVLESIYPDELRIINDEYPKIKFEVDIKLELDT GDSTSVLTKEHTIIAEFKLPETYPDEPCMISLEAQEVALNDGEEDDEEEGDEDEVEYD DHGNKVLKKFENLPDLISFKVYLPELTVQLESQIETDMLLGMQMCFALISSIKERCEQ WYSEQLSKLEKQCEMEAQEREKKEQAKFHGTKVTRESYLEWRSKFRQELKLDERDQVR RLKAHHGKLTGKQMFEQGVVGTGDEYMEEDDASVDDVAKGLAKTEIAN SPAR_D03610 MDSLSKKIQNLGIHDIRNAARFAQNVIVQYEPYQIDIRRATNTD AWGPTPKHLAKVLRNRYQVPLYLMTEYTLKRLVDHIATRPKNLYEKARKDYVNYGSEW RVVLKCLVVIEFLLLNVDTGDELNQIRSCLLTHKHILTREIAQFKVKFSNDGKMEVHE RGIRKKGELILQYLEDPQFLKKERAKNKKNALKIRQQGESSIYNANQISSSASYDNVD DDEFDTDADGFDSEMDANNVTNFNVPVETEANSNPRRRSHMEEQRRQRREILREQIKN KEQQRKRKQEQDNIPDLIDFDDSTSTTNNITIDNGNNVNSNNDDDDDDDDEFGDFQSE TSPDTTAPKTSSNSQIDDLLDWDAPKSSAGTTTAAEAPLPFAEKNQQKARPQATKDKS KGNDAFSDLFSYSKSLV SPAR_D03620 MSQVYFDVEADGQPIGRVVFKLYNDIVPKTAENFRALCTGEKGF GYAGSPFHRVIPDFMLQGGDFTAGNGTGGKSIYGGKFPDENFKKHHDRPGLLSMANAG PNTNGSQFFITTVPCPWLDGKHVVFGEVVDGYDIVKKVESLGSPSGATKARIVVAKSG EL SPAR_D03630 MMKGSRRTGNNAATTLNTPVVIHATQLPQHVSTDEVLQFLEGFI NEKENIIDSTTMNTISGSAADADATAVANPGLNMDTNLSSSISQLKRIQRDFKGLPPA QDFSAAPIQVSTAEKKETSIGVSATGGKKTTFADE SPAR_D03640 MAGKKVAGVLGATGSVGQRFILLLADHPHFELKVLGASSRSAGK KYVDAVNWKQTDLLPESATDIVVSECKSEFFKECDIVFSGLDADYAGAIEKEFMEAGI AIVSNAKNYRREQDVPLIVPVVNPEHLDIVAQKLETAKVQGKPRPGFIICISNCSTAG LVAPLKPLIEKFGPIDALTTTTLQAISGAGFSPGVPGIDILDNIIPYIGGEEDKMEWE TKKILAPLAEDKTHVKLLTPEEIKVSAQCNRVAVSDGHTECISLRFKNRPAPSVEQVK TCLKEYVCDAYKLGCHSAPKQTIHVLEQPDRPQPRLDRNRDSGYGVSVGRIREDPLLD FKMVVLSHNTIIGAAGSGVLIAEILLARNLI SPAR_D03650 MNTSFGSVVPSTNFDFFKGPANNDSTSNNANVSSNNFFLNGNGT NSSKNVFMVHSAPQVKSGQPSQDLSHSPSHMENKPDQKKKYMTSDDKTIQLVGPLVSS PDSLGFQRRSHKPRELPRFLINQEPQLKKRIFVQDPWDKANQEKMISLEESIDDLNEL YETLKKMRNTERTIMEEKGLVDKADSAKDLYDAIVFQGTCLDMCPIFERSRRNVEYTV YSYEKNQPNDKKASRTRALKVFARPAAAAAPPLPSDVRPPHILVKTLDYIVDNLLTTL PESEGFLWDRMRSIRQDFTYQNYSGPEAIDCNERIVRIHLLILHIMVKSNVEFSLQQE LEQLHKSLITLSEIYDDVRSSGGTCPNEAEFRAYALLSKIRDPQYDENIQRLPEHILQ DKLVQTALCFRRIISNSAYTERGFVKTENCLNFYARFFQLMQSPNLPLLMGFFLQMHL TEIRFYALRALSHTLNKKHKPIPFHYLENMLLFNHRQEIIEFCDYYSIEIINGDSADL KTLQHYSHKLPETQPLKKTYLNCLESRLQKTTYESLINCGKDHFDSLINVKSAPETDR VPSTVGQLSYMKDSANNFNVKLNQNQSFEPKINDSPNRTVTRPNDFPFSQQFKQSSQL SQSQTLPINPLLTQQVPGYLSGQKHEQIKTVTGASSPPLFNGSLPNSEVQASKIYMKS TTNNGMYDEKFESVSTEQEEMRRKEIEQMEEEKAQLKKKREDADKQKITEQIANELIV EIVSSNVTDIVKHEVSEVKYRKILVDKMAHELYDAFLHEKLYLIYMDSRADLKRNTAL RKRFFEKWQASYSRAKENRILEEKKREEIKLVSHQLGVPGLKKSTCLLRTPYKGNVNS SFILSSSDKNIVFSPVNDEFNKFATHLTRVSKLWQPLDMHSIYYDNLTEKFPANSLTP ANIFIYAKDWTSLSNRWILSKFNLRTMQDSKNFNNNIISSRIICINDEYEPSDFSDLQ LLIFNTGVTNPDIFDLEMKLKDDGEELIKLITGVSLNTNMCFSLLIIYWESAENTLSE TTIKQSLKLNRISKNYSSVVERIDLMNLTEESPHKCLEDKLYEISQSYVYKLTERGKY DKTLRQKRSLAGIHSRNTQLQTTKDIDQKMKKMLEKEKNKYQQRMGERNTYAHLESHI AASPRIKKRKLPILLSTSHSSQFKTPLASRPNTSGTSTSPPLPSHLAMKFRKNSRVTS LHTVLPVSTPSHSNNLPASSFSGNNTTDIQSQQLIKSQRSTSGYSKNVFERVPGNQEI CKTPFNPVTPVLDGADQGKEDIPDSILELKVLIDSVKKKVNND SPAR_D03660 MSSINQIYDLFPNKHNIQFTDSHSQERDTSSSIAKNDTDGSISI PGSIDTGILKSIIEEQGWNDAELYRSSIQNQRFFLTDKYAKKKHLTMEDMLSTEEEQS YQEPIQDFQTYNRRVQREYELRERMEEFFCQNIRNDLHILNEDSLNQQYSPLGPSNYV LPLDRYSRMKNITSKFFKKNIGIPGKLKRRGHYNNNAEGHIKGNSSISSFSTDVIDNA SYRNIAIDENVDMTHKEHAIDELNEQGFSGGESAVGGGSLLHDIEKVFNRSRATRKYH IQRKLKVRHIQMLSIGACFSVGLFLTSGKAFSIAGPFGTLLGFGLTGSIILATMLSFT ELSTLIPVSSGFSGLASRFVEDAFGFALGWTYWISCMLALPAQVSSSTFYLSYYNNVN ISKGVTAGFITLFSAFSIVVNLLDVSIMGEIVYVAGISKVIIAILMVFTMVILNAGHG NDVHEEVGFRYWDSSKSVRNLTYGLYRPTFDLADAGEGSRKGISGAKGRFLATASVML ISTFAFSGVEMTFLASGEAINPRKTIPSATKRTFSIVLISYVFLIFSVGINIYSGDPR LLSYFPGISEKRYEAIIKGTGMDWRLRTNCRGGIDYRQISVGTGYSSPWVVALQNFGL CTFASAFNAILIFFTATAGISSLFSCSRTLYAMSVQRKAPPVFEICNKRGVPYVSVIF SSLFSVIAYIAVDQTAIENFDVLANVSSASTSIIWMGLNLSFLRFYYALKQRKDIISR NDSSYPYKSPFQPYLAIYGLVGCSLFVIFMGYPNFIHHFWSTKAFFSAYGGLMFFFIS YTTYKILGTSKIQRLDQLDMDSGRREMDRTDWTEHSQYLGTYKERAKKLVTWLI SPAR_D03670 MSELEGTIRQAKEALSENNAKKALKILKPFKSSLKKENADNVIL NEVFADAYLDNGQVEKAYPILARACELDPEGQIGGPDKFFTMGQIMGGQDGVSIITRG IMNISNIGETLTNDHVEKIVGGLLSMIEIWMTDLCMEPNAEEQCEELIQKAMELTEGK SPETWSTLGSIKISQQKFGEAYEAFSQAWNFFDLKKQEIGSGINGNGDATQRTSLQSE YVDLLQPLLSLTKMCLEVGAYEVALKVIAAVRDIDEDNIEGYYLEGFTYYLMSKLEIF KLKNPEVSLRPENIYEFNQLIQEVPLDLSHELISQFIYDSRLALSFALQAGANADSKD EIVQELLGGATALLQEIGGPLDPRELSQIRKGELVNENEDLEELDIEEECSD SPAR_D03680 METMETTTQKDSSILKSGLKKTIGTLNEALLQNGREAEVVQTEN SDTMEGTETTTIGYISIKDYAYADSNPLHYGYFDGDNEEDEMVSDSSSVEDTYNKRQS ITLPDDYIVNQRAVALYDFEPENDNELRLAEGDIVFISYKHGQGWLVAENESGSKTGL VPEEFVSYIQPEDGEDEVENKARPFYLTHLITQNISPKNSNNNVDDDDDYDDDEWEDI DDVAEVEAGIKTKLNISN SPAR_D03690 MTTSHRPQLEARSGAKAAAYTPTGIEHARLLPGHTKLKYRKFKE EVEAKSDSGLEERSNDKYLEEAGLEEQDAAEEEYLKENHSGNDEKDSIQNLPIIQEAR VENKIKLEQNEQIKERNSSRRSWRKGTAFGRHKITKGANIKDDVTKEPLSGYINDMTK SEYHHEFLHKHVR SPAR_D03700 MSDLIELQRSYLIGVLNQIETRNNLKFLIIDKTVETILSYLFLT PQELLNNVTSVDLIDSPTRKGQTSIEAIYILEPTKYNINCIDADFMVRPPKYRRCHIR FLPGLTNPIFQFFQSKRYIAQNLESFKPIELGFFVKESQFFQTLQMEHSLQVFFNDNC KALIPTNVRKIVGSLVSLCVVTGEYPIVRYSVSNPVEEEDARNGNAVVNGNSLTRSIA NAFQMAIDTYARNNPDFPPQNTERPRSILIITDRTLDPFAPILHDFSYQAMAYDLVTN VDTQKDIYHYSAENEAGEQEEKVSKLVDLYDPDWIDLKHQHIMDANEYIQGRIKELIA KNPLLVDRSNVKNTTDLLSVVAHLKDFDEERRRLILHKTLIDECLGENAERKLADVSA VEQNLSGFGMDFSGEKTKHIIDDLLPALAMKEPTILDKLRYIIAYALFRGGIIELDFI KLLNFTGITHEHESFQQYLKIFRNYDLMDFKLIKDRPKDKPFQKEWFHDTLVNDPNIY HTSRFVPAVGNILSKIIANPLLLSEQYFPYLKDKPIELLNEEEFQAGLANTSANSSSS LRNPRHKAAWTTKSSNIKKNVPRQRFFYYIIGGITIPEIKAAYDQSNLKNRDIFIGSD ETLTPTRFLDEVERLQNPREFFKFKEDQRQQINPPDFLLREMKPVAQPVSHVHLKSQD NSPKSGTSSPKVTGSLKSEPAEKEKKRSKFSRFLKRKSHHDK SPAR_D03710 MSIIHPLQSTLTSRDGSLVFAIIKNCILSFKYQSPNHWEFAGKW SDDFNKTEGVEKIIVKEQQGQVSENENKNKKLKSNKGDSITKIEAKIPSPGIGAPPIY SYIRNLRLTPDESRLIACADSDKSLLVFDVDKTSKNVLKLTERFCFPKRPNAISIAED GTTVIIADKFGDVYSIDINSSPEEKFTQEPILGHVSMLTDVHMIKDSDGRQFIVTSDR DEHIRISHYPQCYIVDKWLFGHKHFVSSICCGRDYLLLSAGGDDKIFAWDWKTGKNLF TFDYSGLIKPYLTDQHLAPPKFQNVDSNVIEFAVSKIIKLRNLPFVAFFVEATKCIVI LEISEKQKGNLTLKQIITFPYNVISLSAQNNEFQVTLDNTDSSGVQRDFAKFIEYNSN DNSFGVDNEKSNKFDDAIIQSVQGDFNLVTKKEEIYPLYNVSSLRKHGEHYS SPAR_D03720 MDKFQVGDEQLLRFYQLKTTNPTHSWTQDSSKLNNDEATSNEVG VESSFDILKDFKYGNQISIDKESKAYLNDESLSYIRDPLNGQEMSKELQHMPNDSTRL NYLVNSKQFNVKAFLRDMHKQDSFNDLNNSLDRLDSDIQDQSIHLKQLVGKNFTKYVK IKNKLDQIYKEFDEKTNEKNQADSPKENQINVESLNKKVDDVIRTTTFKLKPLMDNYQ KILNYQATKKFIELNKFYFNLPKSLKRCLTNNDFNEFMIEYSKGLTLRRDFNQSSDAS QSLVIKRIWTQVENLLIMYKDLIWNNLINSNFNVDQPQETILSLFSKLLNLENFINNS QNESQGGDKNTASSSSENPILRWMSIKLNGFQSDLRELSGHMVNKIIHSQRLILQNNT NQDNSQGCVELSYYLKINQLFQIISDTGKDNEGLKSAVDSNKVNTISGTSYLNLNCQP SSQGLTDSPTIIEMWLLILKYINDLWRICDQFIEFWEHIEKFLDGTYQNSIINEKRKE NILIGDSNIIESYQKSLILKEEQINEVRSKGEEFISSVSQNLLSFFMSSQSSLPSSLK KDHAGDVARGNKDSGSPLDYGFIPPNCNGLSCLRYLPKIVEPILKFSTELAQLNITTN GITICRNTLSTIIDRCVGAISSTKLRDISNFYQLENWQVYETVTFSSKSQDNSKSLTF EYGVTQFPEIVTSFQEVSIKTTRDLLFAFEKLPIINGISVVSYPSKQLLTGIEIQQII SMEAVLEAILKNAAKDKDNPRNSHTILTLTNLQYFRECAFPNILQYFDDAFEWNLASK NLELFSLLSKMESSIFGNYLSDLKINLRDTLEEKFHEINWPMYTSNSFRVGDYIIEAL MILIVVHSECFRIGPQLIHKILIETQIFIARYLFEAFKPYVGNLSNDGSLQIIVDLEF FQKVMGPLLEKDTEATLKACLQNCFQNDTSRLQKCIDEINPIVSANLKRTAIQFAAFN SPAR_D03730 MDFEEDYDAEFDDNQEGQLETPFPAVTGADDGNNADDDSIAGNM KRKQKREVVVDDGSENAFGIPEFTRKDKTLEEILEMMDNTPPIIPDAVVDYYLTKNGF NVADIRVKRLLALATQKFVSDIAKDAYEYSRIRSSVAVSNANNSQARARQLLQGQQQP GVQQISQQQHQQNEKTTASKVVLTVNDLSSAVAEYGLNIGRPDFYR SPAR_D03740 MAIDYSKWDKIELSDDSDVEVHPNVDKKSFIKWKQQSIHEQRFK RNQDIKNLETQVDMYSHLNKRVDKILSNLPESALADLSAVTIFLNANFDKLEKSKGKN VDPEIATYNEMVEDLFEQLAKDLSKEGKDPKNPSLLRDAILKHRAKIDSVTVEAKKKL DELYKEKNAYISSDDFHTGFDSSFMNKQKGEAKPLEAVSSGALASTADSNILNKLAKS STPQTFIEFKDDPMKLAKETEEFGKISINEYSKSQKFLLEHLPIISEQQKDALMMKAF EYQLHGDDKMTLQVIHQSELMAYIKEIYDLKKIPFLNPIELSNVINMFFEKVIFNKDK PMGKESFLKSVQEKFLHVQKRSKILQQEEMDESNAEGVETIQLKSLDDSTELEVNLPD FNSKDPEEVKKVKVFKTLIPAKMQEAIMTKNLDNINKVFEDIPIEEAEKILEVFNDID IIGIKAILENEKDFQSLKDQYEQDHEDATMENLSLSDRNGGGEKHEEVKDTADTVD SPAR_D03750 MSENQKEVSPPHIISVKSEANPSIFSKPISTSSPAGLAAAQRVT PGKLSTLLLEKGPLAIRHITQTLCLDIPCFKDLSSSKQRRLIMSAMESGDKERSVVFE KIGWGQWSAKRVDPANFDKELEATNFANAKVKDLISQESQRRKSNNSNSNSGGKVEMP VKIEHSITNIDESTTPPAATASTTIPVNIKRSKSPLAAANVVYIDENALASEDEDEEF DEDDHHLHYQNKSRNSSNNFGKVSNSDPYSFGRRRSQVVFADSNPENIEHEIIAQKIR PLLRNRRRSSIKPHTPFISKLNTHQDSSYLSPNPTSTTTPSNNNSNSNQGKIDLEKLT ATSEPTSRRASRLSVSKESSIRSTLFPNKNYLIVTTNPNSKATSVSTSPKLEDQMNVS SNHILLSDKEKQRAASRRLNEESSPQIVPHSHHQPHSDTDEEDWESIGAASLRNNSLV PNIDSVASSTNGVVSPKPTDSKFTNSQNGDIESPTQHDQQKHDQQPKNGEDNSAAFLL MSLKS SPAR_D03760 MSGQNSAVNAEKSDAETSPKVEAASVNQAVKPQNDVKEEINETN EEDQRRKEPESVGNTAKTEESSNDATRETQVPEGTEDTNDSSTSDEGEDEDEESDEDD EDEDEDENDEDADSNSSETSSEDGEDSESVSGESAGSSSGEDEESDESDGNTSNSSSG DESGSEVEEEEEEEEENIDEAVIAHQDSVPTNNSTAPRSTHTRNISLSSSGSNTNSTI ILVKTTLETILNDKDIKKNSNAQKAIERTLQKFKEFDPQTTNNPHYVDSILVFEALRA SCRTKSSKVQSLALDCLSKLFSFRSLDETLLVNPPDSLASNDQQQDAADGITPPPKQK IIDAAIDTISDCFQGEGTDDRVELQIVRALSSCILEEDSSSLCHGASLLKAIRTIYNV FVFSLNASNQGIAQATLTQIISSVYDKIDLKQTASSTVSLLTKNHQQQSATELSEFSE NVETPAPLTLENMDKLNDDEERLMDAQQPDSNAITNQDLAVKDAFLVFRVMAKICAKP LETELDMRSHAVRSKLLSLHIIYSIIKDHIDVFLSHNIFLPGKERVCFIDSIRQYLRL VLSRNAASPLAPVFEVTLEIMWLLIANLRADFVKEIPVFLTEIYFPISELTTSTSQQK RYFLSVIQRICNDPRTLVEFYLNYDCNPGMPNVMEITVDYLTRLALTRVEITQTQRSY YDDQISKSLSTYNFSQLPLLTSSNLSSSPDVGQVNLLFPLDFALKMVSLNCIVSVLRS LSSWAHKALNPNPHNSNKVSLNTTSSGPQESRSSLSNEVRSSIMTSNDDFKPTYEDEE SRSLSSQNIDADDPTQFENLKLRKTALSECIAIFNNKPKKAIPVLIQKGFLKDDSPTS IAKWLLETEGLDMAAVGDYLGEGDDKNIAIMHAFVDEFDFTGMSIVDALRSFLQSFRL PGEGQKIDRFMLKFAERFVDQNPGVFSKADTAYVLSYSLIMLNTDLHSSQIKNKMSLR EFLENNEGIDNGRDLPREFLEGLFNEIANNEIKLISEQHQAMLSGDTNLVQQQQSAFN FFNSRDLTREAYNQVSKEISSKTELVFKNLNKNKGAPDVYYAASHVEHVKSIFETLWM SFLAALTPPFKDYDDIDTTNKCLEGLKISIKIASTFRINDARTSFVGALVQFCNLQNL EEIKVKNVNAMVILLEVALSEGNYLEGSWKDILLVVSQMERLQLISKGIDRDTVPDVA QARVANPRVSYESSRSNNTSFFDVWGKKATPTELAQEKHHNQTLSPEISKFISSSELV VLMDNIFTKSSELSGNAIVDFIKALTAVSLEEIESSENASTPRMFSLQKMVDVCYYNM DRIKLEWTPLWAVMGKAFNKIATNSNLAVVFFAIDSLRQLSMRFLDIEELSGFEFQHD FLKPFEYTVQNSGNTEVQEMIIECFRNFILTKSERIKSGWKPILESLQYTARSSTESI VLKTQLLVSNDIVTNHFENVFSQEDAFSELVGVFREITKNKRFQKLSLHALESLRKMT QNVADICFYSENKTEEERKHNNALLRGKDIFQDVWFPMLFCFNDTIMTAEDLEVRSRA LNYMFDALVAYGGKFNDDFWEKICKKLLFPIFGVLSKHWEVNQFNSHDDLSVWLSTTL IQALRNLIALFTHYFESLNKMLDGFLGLLVSCICQENDTIARIGRSCLQQLILQNVTK FNEYHWNQIGDVFDKLFDLTTANELFDYDPLQQGRKSSVSHHQTTTDTSQHSDNENND RSENDSNISETVERAHQEESSEDVGGDMVETLNGETKLNNGNSIPTVKDELSSKPATL SAPKRTKHMKRSENNEDIRRRINIKNSIVVKCVLQLLMIELLNELFENEDFAHCIPYK EAIRITRLLEKSYEFSRDFNEDYGLRTRLVEARVVDKIPNLLKQETSAAAVLLDIMFQ LYLNDDEKKADLITRLITICIQVVEGYVSLDDRTMERSINAWRSVIVEILQGYYEFDD EDFRLYCPAMYALVIQILDKSVPTELRHAVKQFLSRVGELYLSTD SPAR_D03770 MSFYQPSLSLYDVLNALSNQTGQRGQQGLPRQSQRPQRYHPHYG QVHVGGHHPRHHHPLYNRYNGVPNTYYYQFPGQAYYYSPEYGYDDEDGEEEDQDEDMM GNSGAVRKEEGEEGSNARRYPSYYHGNTARSNRSDQQANSLNDLLSALIGVPPYEGTE PETEANDVQGEDGKGREKEKSEISKEETAESDKEKNPLDQPEASSRPPLTKNSSSFAH LQAPSPIPDPLQVSKPETRMDLPFSPEVNVYDTEDTYVVVLALPGANSKAFHIDYHPS SHEMLIKGKIEDRVGIDEKFLKITELKYGAFERTVKFPVLPRIKDEEIKATYNNGLLQ IKVPKIVNDTEKPKPKKRIAIEEIPDEELEFEENPNPTVTN SPAR_D03780 MSDSNQGNNQQSYQQYGQNSNQQQGNNRYQGYQAYNAQSQPAGG YYQNYQGYSGYQQGSYQQHNPDAGYQQQYNPQGGYQQYNPQGGYQQQFNPQGGRGNYK NFNYNNNAQGYQAGFQPQSQGMSLNDFQKQQKQAAPKPKKTLKLVSSSGIKLANATKK VDTKPAGSEMKEEDKPTENKEPTKEPTKLEEPVEKEEKPVKAEEKKEQKSELPKVEDL KISESTDDTNNANVNSADALIKEQEEEVDDEVVNDMFGGKDHVSLIFMGHVDAGKSTM GGNLLYLTGSVDKRTIEKYEREAKDAGRQGWYLSWVMDTNKEERNDGKTIEVGKAYFE TEKRRYTILDAPGHKMYVSEMIGGASQADVGVLVISARKGEYETGFERGGQTREHALL AKTQGVNKMVVVVNKMDDPTVNWSKERYDQCVSNVSNFLRAIGYNIKTDVVFMPVSGY SGANLKDHVDPKECPWYTGPTLLEYLDTMNHVDRHINAPFMLPIAAKMKDLGTIVEGK IESGHIKKGQSTLLMPNKTAVEIQNIYNETENEVDMAMCGEQVKLRIKGVEEEDISPG FVLTSPKNPIKSVTKFVAQIAIVELKSIIAAGFSCVMHVHTAIEEVHIVKLLHKLEKG TNRKSKKPPAFAKKGMKVIAVLETEAPVCVETYQDYPQLGRFTLRDQGTTIAIGKIVK IAE SPAR_D03790 MDTVSNYRVLEHKAAGHDGTLTDDDGLLIFKPALPQELEFYKAI QVRDMSRRESSSGGDAPLYSWMPTYLGVLDEGTKVEKSGDAALLKIDQRLSDSTDSLD SRPMWSEKCKQYLVLENLLYGFSKPNILDIKLGKTLYDSKASLEKRERLERVSETTTS GSLSFRICGMKIQKNPFVLKQLLPEYYEEEADTDYIFINKLYGRSRTDQTISDAIELY FNNMHLSDARKHQLKKTFLKRLQLFYNTMLEEEVRMISSSLLFIYEGDAKRWELSNDV DKLMRDDFINDDDDVDGDDDDDDDAKGSSGEPKDKTTGSLSSMSLIDFAHSEITPGKG YDENVIEGVENLLDIFMRF SPAR_D03800 MTTDPSVKLKSAKDSLVSSLFELSKAANQTASSIVDFYNAIGDD EEEKIEAFTTLTESLQTLTSGVNHLHGISSELVNPVDDDKDAIIAAPVKAVRRKIERD PNAPKKPLTVFFAYSAYVRQELREDRQKAGLPPLSSTEITQEISKKWKELSDNEKEKW KQAYNVELENYQREKSKYLEAKKNGTLPPASLENGPTHAPVPIPFSLQHAAEPPVEKR PHDDDGSSEKKKKKKKKDKKKDKSNSSI SPAR_D03810 MKAPLALWKASKASLWSTQKRALSMSRRLNNDAGGGVSSTLATR EGPAFPADLYMHPEKWKGLPPQRILELYWERMARLGSEYKPNKDELNALLSTSEHTNV PVNDIKKLYHKGEQGAIDIKGGSVNRDNSLRPFMFDELPSQAQELVAQHREQRFYNRL AAYELPLLAQYRQEYERPSPETHPVTYRFTSYVGEEHPNSRKVVLSVKTGELGLEPKS LHKFRVLARSRYDHTTDIFKMSSDKFEHASQNGRYLHDILQRLLAESKDLTEDDFSDV PLDTRHTIAKSLRKKKRDYEFPEHWKRPEDAPKKKFDIVDQLLSTL SPAR_D03820 MPRHGRRGKLPKGEKLPKKEGADDTPSKLLSSMLKTLDLTFERD IGMLNGKSVRSIPNKKTLLELQSQLDSLNEILGTIARSDQETIDALRKIRDSKNEKEA NDEKQEIPNADRQDENTAVNKEKNINEKGVQSPPKPPPSDKIPDTIENDVESIKQSAD SMAKEEINEDKDLQVHRDQPREKRPFDSETENAATENERTQGPDGKKQKIDVDKMEND PTVKNPKSEFVVSQTLPRAAAALGLFNEEGLESTGEDFLKKKYNVASYPTNDLKDLLP GELPDMDFSHPKPTNQIQFNTFLAFVENFFKDLCDDNLKFLKMKYIIPDNLQFDKTYD PEINPFIIPKLGPLYTDVWFKDENDKNPAFKKTSPYSNDTSAILPKKSANELDDNILE TDSISCGPLLSRLLSAVLKDDNDKSEFQSSKIIRDSEVPRAGGEDDIQSPRNNNNDSI DMTLSQNGPSVQTPDSDIDEEASFQTKSVANKGSNGGTTSTLPQQIGWITNGINLDYP TFEERLKRELKYVGIYMNLPKDENNPNSDDPDWITGREDDEISAELRELQGTLKQVTK KNQKRKALLIPLVERQLAWQEYSSILEDLDKQIDQAYVKRIRVPKKRKKHHTAASTNV NTGTTSQIAQQKAANSSLKSLLDKRQRWINKIGPLFDKPEIMKRIPNESVFKDMDQEE DEDEADVFAQNTNKDVELN SPAR_D03830 MSRAKRIMKEIQAVKDDPAAHITLEFVSESDIHHLKGTFLGPPG TPYEGGKFIVDIEVPMEYPFKPPKMQFDTKVYHPNISSVTGAICLDILKNAWSPVITL KSALISLQALLQSPEPNDPQDAEVAQHYLRDRESFNKTAALWTRLYASETSNGQKGNV EESDLYGIDHDLIEEFESQGFEKDKIVEVLRRLGVKSLDPNDNNTANRIIEELLK SPAR_D03840 MMLPRSMKCINGRRIFHTTTVRAFQSTAKKSLTIPFLPILPQKP GGVKGTPNDAYIPPPENKLEGSYHWYMEKIFALSVVPLATTAMLTTGPLSTAADSFFS VMVLGYCYMEFNSCITDYISERVYGVWHKYAMYMLGLGSAVSLFGIYKLETENDGVVG LVKSLWHSPRKEKNEKIEVKK SPAR_D03850 MVVKEEIIKAIEDPRKYHYKKEWLNSKDLDERQLFEIFAFGNIK DLPENIILTSLMRSKLEKLTLVSLSEIYNELSYELVRKECQIEDDSIIESHLIQLQGI LKAEMDSVNKSMKFSKRFDCRDVYCHERELTVIENPRVTKEYLLHNLRSWETKLKQNI LE SPAR_D03860 MPTILYNTNSSLITKYRRPNASKQYKGLLSKKGHTRLNSKSNGD VWEKDCDHTKNSSNDTSFESEFEKDSIEYLRDLCCSIYPSSLHQKIRSVEGLPDLQIN AFVALIFQNFVKSWYGAKIPTDDSKFLTELYNLVQDLITYMKSSKINYHALLLDYIPC LLSTHLKALDDSSQSSDLVYEQYCKLTLYDPKRYPMLFTEIIKNKLNTKSLLQRSFLD SFLNELVFGHLLDSIMEPYYLLEGLNKICIRIKLNSAGNFRIKGSHVKHKCGPWWFAS NVMQKLSRMARFVSYSASTKSPNLNTTDIPETAFLKRYAFTFFTDNFFKLSMRKPFLF SICRTLQHWISKSNALNRVMYSIFDNIVHARITSPVSIGNLFSSLRHSLFPNDNMMGP PKVVPKGDALLEFREECISNLWDVCMTYKLGRILAIERSDIADLIICISKNKDCNKLL LYRIIDCVIAQLP SPAR_D03870 MSYPGEDTNIPRRVIEALEDQPLSYLVPKDGLAALVNAPMRVSL PFDRTVFTSADDGRKVNINVFSTTNTTTPSIKNEAEQDRLVFRRPDNFTLATNSVDYV PTNFLEGLSPLAQSVLSAHKGLVDSINIEKKSEIVGGLQQKHKLENITSNAGNLSFND DSPNKKTKISTGITMTQANIAKQYLNDLRKILDIVGFDQNSAEIENIEYWLQLPNKKF VLTTNCLIKLQMTIKNITDNPQLWDSIEIIWLLRLLDVMVCNINFSKSSLKTGLDDST LRYIALLSTVILFNIFLLGKNDSSLHRESYIMEPLNFLSDLIESLRTLTVEYNSLKIE FDTFQEALDLLPKYISNGPFLDDNVTTRLVYIFSDLLMNSDIETTANIQFQSFWDDVK RISSDILVSLFSSFDQQRGFIIEELISHVEKLPTKRVQKKLRKVSKENIYITDFTFTL MSMLENINCYTFCSQMKELVPENIEQLKNEYKKQEDFLFNVVEHINDTILERFFKNSS SLRYVIDNFVQDLLLLIPSPQWPVAEKILSSLLTKLLRVFSPSVQLSANIETICLQLI GNIGSTIFDIRCSTRDHEDNNLIKMINYPENLPHFFKLFGDCIAYNETTKCRRSATRF LWNLRLGTVLRLEEYTKDAKEQTVAVNDELKNILEQILGGGFGPPLKTKETDFSTIKL DYFSILHVFELLNLYDPYLKLILSLLAKDKIKLRSTAIKCLSMLASKDKVILSNPMVK ETIHQRLNDSSASVKDAILDLVSINSSYFEFYQQINNNYNDDSIMVRKHVLKINEKMY DETNDVVTKVYVIARILMKVEDEEDNIIDMAKQILLNRWILKVHELLDQPEKLKEISS SVLIVISRVAIMNEKCSQLFDLFLNFYLLNREAHSKETYEKITHVLATLTDFLVQKIV ELNSEDTNEKNSIIDKQNFLNLLAKFADSTVSFLTKDHITALYPYMVSDEKSDFHYYI LQVFRSTFEKLANFKPKFLYDLETTLLSRLPKMNVREIDEAMPLIWSVATHRHDTTRV AKACSSCLSHLHPYINKANKEEAAIVIDGKLQRLIYLSTGFARFCFPKLSNEKIAFLQ EDETLYEHVTKCLLVLSKGKITHVIRRVAVKNLTKLCGNHPKLFNSRHVLHLLDKEFQ GDQLDIKLVILESLFDLFLLEERKSVRNTGVNSTLSSNSILKKKLLKTKKAEFANDGV CSALATRFLNNILQLCLLRDLKNSLVAIRLLKLILKFGYTNPSHSIPTVIALIASNSQ YIRHVAYEILEDLFEKYETLVFSSLSRGVTKAIHYSIHTDVRHYYKHDYFLSLLEKLC GTGKKNAPKFFKVVKRIMQSYFDEVTDLTSTTTSVQKSIFVLCANISNITFVSQYDLV SLLKTIDLTTDRLKEIIMDEIGDNPSSLSESEEKLNGMILIQLCLQDLGSYLLHVYGL KNDVLLLDMVEESELKNKQLPAKKLDINKFSAQLENIEQYSSNDKLITYFKKHVKDT SPAR_D03880 MEGANNNSKRSLRSEVKNEHGEFDKFDFDTEEYEVNPKRKLRLV SRINPNAGHLRKARSCFLTPAKIVGEHVDKTCCQKRVTKLPFMSNVDDEVKKKRETIT KMTLEIEHHELSQRIRKLTDDLLPDIAYQPYHKKMLKQENRMIQSDIVNGENEAERLS LISDRLDMLNWEITLQKVTKINDPTDENEMETKRYQTKELIDSMLHKFESTKKKSHNL ARRPASSDSLLKLVSGKDWPKIYSRIDRTFIPDYASSSDEEEETITVEEIRKRRLKKR EQQCGGSIIILLSDHRSQKGMTRFAIVAEPLRKPYLIKTSTEERNSWKNKVPSNPKKF KKAPRISTQVAVKRRKEVIPLTMEVEPEVIRDIRRDTQKSVKSNTKVEEIVVTDTVKS EDMSDFRYNSASIRSTLPEAALLDSISSCTASETSPNSSENVVTMGSNIDPSLGVVSS PGEKNFVTTHNGVTTNSDINILPVRKRKKA SPAR_D03890 MVYRNRSKSVLSKHSKKSDDKAHYKSRSKKKSKSKSKSKKLRIY WRYISIIWILWLGLIYYYESVVVKRAMKKCQWSTWENWPKGAESHRVGLFADPQIMDE YSYPGRPQVVNYFTRVIVDHYHRRNWKYVQYYLDPDSNFFLGDLFDGGRNWDDKQWIK EYTRFNEIFPKKPLRRTVMSLPGNHDIGFGDEVIESSLERFSSYFGETSSSLEAGNHT FVLLDTISLSDKKNPNVSRVPMEFLNNFAMDSHPHPRILLTHVPLWRNPEQQTCGKLR ESKKPFPIQKGLQYQTVIDEELSQEILTKTQPEILFSGDDHDHCHILHSYPSQGEAKT AQEITVKSCAMNMGINRPAIQLLSLYNPSDLKTVNAGEEYESKTYQTELCYMPDPFKA IKMYLWGLLFSAIFILYMHFFPKSFNNHVAITMNRVFSRPDNVASDLPLPTSVSKSKS RKSLTHTKYAVNDTRSVKQFLANATMLTISVMSIFIYFYTVV SPAR_D03900 MEDKLDGYYASVLSNAENGTHTTVDCHDKSSDEENLDELLNELD KELDEDHEFLSTYRSERLQQISDHLKQVKKNVEDDGYGKLQCVDKETDAIQICTKTDM VVIHFELETFGKCQYMNEKLKNLARRYLTTRFIKVNVQTCPFLVNKLNIKVLPFVVGY RNGLEKVRYVGFSKLGNDPNGFDIRRLEQSLAHSGVIEDTFEIRKHSSRNVGQFASTN DSGSGSGSDLDI SPAR_D03910 MNTNQSNSNADLSDDANIEHTLHRLLTQANNHFDDTVKIDGQSL DLGKDLEQVMMDNLECTDIFDSDISSQKHLTLESLFHDEHNTNSSTLLDMQKSANDSL VGIDLDRYNHKKNNTDKASLHESTNQNSVHRTDKELKNYKIDKPTIKKKKSLLKTTNE PMLSPASLSPSSSLASSDTNESHLKIESMITDITSKIDSARQDIVSATKPAKFTNEFT ISQISEMKARIINTHKLLLNFNFIKEGYARSCIQLKKTMDSLKDSEIHRAHLLVENDD LKQQILELTQKLNEKPSKGS SPAR_D03920 MKSFQKSYEFDYPWEKVTTANWMKYPNKISTHVIAVDVLRRELK EHGNVLLSERLITIRQNTPRWMSILVGNTNLAYVREVSTVDRRDRSLIMRSCNMTFPH ILKCYETVCYVPHPKNPSNVTLFKQDAKFMSGVPTKTFSERVENWGVKRFSDNAMKGK VGFDSILAMFNDIWKNGNE SPAR_D03930 MDTVGTDTAAASVNKRRFAQSTSPKVSVKSQDSLFLITYSNMQQ PLVQSSLADRYPSLMKLNILLYIDIPTIDYYNDEMTHNKLSRLNKRFKLHRLRSSIAQ SFSNTSTAEDNDKFWEDLKNLISSRSTPDNKFDLNVLVSSSGSLRYVETIRFLVEKLF NSFKGLYVQKKLNLSFQINVSPTSFKWFSTFLNAELLNLKIINWQNIGSFTKTIQNSK SLPFKEYYTKLNEKFTSLNHSNVSMQDQTVLDSIVIVTNNTGVKALLTLLSDHPLTSL ISQESIKALHEYSDAINEDKRDDQTNTSLKRNSSSLLSFQNSVLTSNKDKSVRIRSLS INRKSNRAHMFKTNESITTIPSTSINNLIGQESNLRKQPSATALHLQSHLHPHSRSQS YSSSNMSRSPSPFPYGKTPSNDELVYDELNNQINEVQDRAKNEEIALYNNNNYDDFTE EREEQEQDRTSYADDYDFNYDDDEDGNENDYDDDDDDDESDDEGLSFYAPSILSRSGS STDMLSSGIDSMAKNSKKTRGRFRSLSLMDPALQKPFNQKFTNSQQPDSAGAGSPKRS NSSNHFTNVYVHDGDFDGVDAINNKKNLSSATLIKRKSLMNRNLTPSISNGLIPPEFI SRISTPSTSASSSNSSLNDMSTVSNAFSKLLNDTSKKQKFLNSPIPQHTQQASPLLMR NNSNNNLLFEKNLINKSFEELRRQPSVNLFSTLMNGNMEKNGLALNFKSRTPTDTLMA NSMKDSNNASHRLLNLEEEDQIMSGSATKEREDDNDSTNSTIVPNHPENDNYNDNDND NNTGINSNNLNLNLYDESDGSSFTDVTTEGVKYPNSNSTVTKPVYKKAVTLDLYGEDD MDNMGGWVLGGNAR SPAR_D03940 MSLQLLNPKAESLRRDAALKVNVTSAEGLQSVLETNLGPKGTLK MLVDGAGNIKLTKDGKVLLTEMQIQSPTAVLIARAAAAQDEITGDGTTTVVCLVGELL RQAHRFIQEGVHPRIITDGFEIARKESMKFLDEFKISKTNLPNDREFLLQVARSSLLT KVDADLTEVLTPIVTDAVLSVHDAQADNLDLHMVEIMQMQHLSPKDTTFVKGLVLDHG GRHPDMPTRVKNAYVLILNVSLEYEKTEVNSGFFYSSADQRDKLAASERKFVDTKLRK IIDLKNEVCGMDPEKGFVIINQKGIDPMSLDVLAKHNILALRRAKRRNMERLQLVTGG EAQNSVEDLSPQILGFSGLVYQKTIGEEKFTYVTENTDPKSCTILIKGSTHYALAQTK DAVRDGLRAVANVLKDKNIIPGAGAFYIALSRYLKSANMNKLGAKGKTKTGIEAFAEA LLVIPKTLVKNSGFDPLDVLAMVEDELDDAQDSDETRYVGVDLNIGDSCDPTIEGIWD SYRVLRNAITGATGIASNLLLCDELLRAGRSTLKEAPQ SPAR_D03950 MAVEEISSRKDISLRDMQISAILKMLFLNKNSNNNDNITTITDD IFNQQEIIWKVLILDIKSTATISSVLRVNDLLKAGITVHSLIKQDRSPLPDVPAIYFV SPTKENIDIIVNDLKSDKYSEFYINFTSSLPRNLLEDLAQQVSVTGKSDKIKQVYDQY LDFIVTEPELFSLEISNAYLTLNDPKTSEEEITGLCANIADGLFNTVLTTNSIPIIRA AKGGPAEIIAEKLGTKLRDFVINTNSSSTSTLQGNDSLERGVLIILDRNIDFASMFSH SWIYQCMVFDIFKLSRNTVTIPLENKENGTDTTTSKPLATKKYDIEPNDFFWMENSHL PFPEAAENVEAALNKYKEEAAEITRKTGVTDITDLDPNSNNDTVQIQEVVKKLPELTA KKNTIDTHMNIFAALLSQLESKSLDTFFEVEQDPGSTKTRARFLDILKDGKTNNLEDK LRSFIVLYLTSATGLPKDFVQTVENYFKEHDYDINALKYVYKLREFMQLSNMSLQNKS LEDGSDSTFKPSNLTLSGIYGLTEGKLQGGVGSLISGIKKLLPEKKTIPITNVVDAIM DPLNSSQKNLETTDSYLYIDPKITRGSHTRKPKRQSYNKSLVFVVGGGNYLEYQNLQE WAHSQLHNPKKVMYGSTAITTPAEFLNEISRLGASNNSNSDA SPAR_D03960 MVAISEIKENAGVNSSNSGAVTRTAAHTHIKGLGLDESGVAKRV EGGFVGQIEAREACGVIVDLIKAKKMSGRAILLAGGPSTGKTALALAISQELGPKVPF CPLVGSELYSVEVKKTETLMENFRRAIGLRIKETKEVYEGEVTELTPEDAENPLGGYG KTISHVIVGLKSAKGTKTLRLDPTIYESIQREKVSIGDVIYIEANTGAVKRVGRSDAY ATEFDLETEEYVPLPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDVISMMGQLLKPK KTEITEKLRQEVNKVVAKYIDQGVAELIPGVLFIDEVNMLDIEIFTYLNKALESNIAP VVVLASNRGMTTVRGTEDVISPHGVPPDLIDRLLIVRTLPYDKDEIRTIIERRATVER LQIESSALDLLATMGTETSLRYALQLLAPCGILAQTGNRKEIVVNDVNEAKLLFLDAK RSTKILETSTNYL SPAR_D03970 MQSHKMKQKVVLPITPPSTVEKKPQTGNRCNEGLKPRRLLPQLK RSVSNRKPRLTYRPALNSVFDLDAYVDSTHLSKSQRHHMDRDAGFIRYALKYSKRMVV VSGAGVSVAAGIPDFRSSEGIFSTVNGGSGKDLFDYNRVYGDESMSLKFNQLMVSLFR LSKNCQPTKFHEMLNGFARDGKLLRLYTQNIDGLDTQLPHLSTNVPLAKPIPSTVQLH GSIKHMECNKCLNIKPFDPEIFKCDERFDSRTEIIPSCPQCEEYETVRKIAGLRSTGV GKLRPRVILYNEIHPEGDFIGEIANNDLKKRLDCLIIVGTSLKIPGVKNICRQFAAKV HANRGIVLYLNTSMPPKNVLDSLKFVDLIVLGDCQQVTSLL SPAR_D03980 MSAFANPFTSGVKPNLSNTSGVNPFTTNGTNNNSMGSSAFGRPS FGATNTMTGGTTTSAFGMPQFGSNTSNTGDASISAFGNTNNAAKPPAFGPPAFGPSAP VNNNTPSTSSAFGAPSFGSAGFGTTAAAGNPFGKTPSSMGSAFGQAGFGANKTSTSSN SVNNSNNTPFGATSNKSLTTNSPFGSLQQNTSQNAPSTSSAFGKPTFGTTGNTQSPFG AIQNTSTNSGTGTSPFGSFGANSNNKSPFGNLQSGAAAGSSPFGFTTSKVNNNNNNER SPFGTTNNQFSANQSPFTGGVGGTFSSAPNFNTNTNGNFQSSFGNKGFSFGIAPQKDA SKVSQSSSPFGQTAPNTDPNISLKNSGNATSFGFGQQPVNVNTAAGKIRFVQGLSSEK DGILELADLAEETLKIFKANKFELGLVPDIPPPPALVA SPAR_D03990 MLTSILIKGRTPLLVSRNLAVLLTNCKRINRAVSTRLYNDGNRD QKNFGRYQRNDNNNRSRNSRFNSRPRTRSREDEDEVHFDKTTFSKLIHVPKEENTKEV TLDSLLEEGVLDKEIHKAITRMEFPGLTPVQQKTIKPILSSEDHDVIARAKTGTGKTF AFLIPIFQHLINTKFDSQYMVKAVIVAPTRDLALQIEAEVKKIHDMNYGLKKYTCVSL VGGTDFRAAMNKMNKLRPNIVIATPGRLIDVLEKYSNKFFRFVDYKVLDEADRLLEIG FRDDLETISGILNEKNSKSADNIKTLLFSATLDDKVQKLANNIMNKKECLFLDTVDKN EPEAHERIDQSVVISEKFANSIFAAVEHIKKQIKERDSNYKAIIFAPTVKFTSFLCNI LQNEFKKDLPILEFHGKITQNRRTSLVKRFKKDESGILVCTDVGARGMDFPNVHEVLQ IGVPSELANYIHRIGRTARSGKEGSSVLFICKDELPFVRELEDAKNIIIAKQEKYEPS EEIKSEVLGAVTDEPEDISDVVISLISSYRSCIKEYRFSERHILPEIASTYGVLLNDP QLKIPVSRRFLDKLGLSRSPIGKTMFEIRDYSGNRDANESSHDYNGADSETSFRGNKS YNNRNKRRDYDDEPFRRSNNNRRSFSRNNDKNNYSSRNDNRY SPAR_D04000 MSAPVPQLVNISHALQASTIQQIRLDMVDFNKDCKLSSIQLARI DKYIDSLQAALNQFTNDNLHIERKDKNVTAADVQLYSGLKSMYLDYLNQLIKLKHDKQ HHSTPPIANDVSLDFFVNQLPKFSPEERKNYIDNLILNKNSHNRLSKMDGLVDAVINL CVLDTSVAENVRSYMKLLDTLGFQKGSNSTGTKVNLKKKLTSSKAKTKDSEKEKEKDK SKVKTKTKLKPSPLLNNDDNNSLSLPSASTSSMKKLKSGLFNKNEVKPSTESSTASSK KKLSFSKYLNKDDADTAKLGIKRPLDVDFKVDPEVPMTASNIASSSTSASSTTTIATP ASSEEPLKKKTKKSVRDSNIQSILRNGKPKKTRISNIKFLDDSQLIKVYGDDLPNQGL KVSPTQLKKILKPFKEGEPKEVILFEDMSIKLKPLDLKFLKNTNSDDYMDISETKGGP IHCETRTPLIYRKNFNHFNPDLNKRPPREPIEFDMNGNTNSNPTIAKAFGKNSLLLRK DRGGLPYKHVPIVKRNKYPPRPVH SPAR_D04010 MLVVGLTGGIASGKSTVSRRLRDKYKLPIVDADKIARQVVEPGQ NAYDQIVLYFRDKIPNLLLEDGNLNRGALGMWVFSHKEDLQTLNGITHPAIRYAMFKE IGYYYLKGYRMCVLDVPLLFEGNLDSICGVTVSVICTKELQLERLMTRNPELSKEDAK NRLNSQMSAEERMARSDYILQNNSTLVDLYEQIESIIKKIRPSKLRTALEYFPPFGVV SASSIIMSRLLMKKLQKKKPSAVQVDTL SPAR_D04020 MSSSIPRVYSLGNSAMTYLLALRIAQLPSQPKVPSIVLLLNDQK KLNRFLNNDSKIVVKSRNNNKEIYHRQFMASCVPPILSNGEVAPIENLIVSDTSSKFI TTQLSKYNKSLKPETNILFLNPSLNLLEYLHRYHWSSDQTRPHLFMGFTSPVDVGTIH QEFQLSLKMKGRIQFHIAKIDGFPPLSSAGGNASLSLMSDGQKNEKESNTFYKLFREM SKLRSGIGSDLVSFDLYIDRFHDLYFAQMEKLILESCTEPLLAVYDCVYKKELLKIPG AQDLIRKLINEQLSIIGRSYPSLNTNPNYSVIFDKERIFNLVIRDLKVNGHKRAKLAQ SLNQLNQTNINELNGFFSTLGKYKRCSCKWNDMLLTLIRGKQSITKQRALDYHYL SPAR_D04030 MDGKVNALLTWLKKSDKFYIAPNISVSESPESGRGIVLSHGSIK KNDIIVSVPSSKQLNFHTILYHISKFNKGLDIPGITIDRKLMDNEENIMEAENKGPTD PRYGLYSQLSKEFLLSLSSFQLISFYVLVENFLLPKWTHNEIHSDWKPFFDIWPSMEE LRSIPAIWCCEPNSRYRSLIEYLPTTSRNLMARISDLVREDWKTISEVVLKWNKTCGS LSCTKNSDKFTSDELFSLFLHVYFVINSRCLYAEIPLKIEDPSSNFTLVPYVDFMNHI CEVDLHCYPQLSVQLRSEGEKIIGIGQFSIRCGEYFYKNINEELFLNYGAHSNDFLLN EYGFVVSGNKWNYLDISNEIIELIDDDKKEVKTFLLEHDYWGDYTVNENDISYRILVA LNYYVTRDERKVRKFIEGYISEDYFEPKISPILKKLLVSLTVKYRETLSQLTEEACNA KDNFCLHNIITVYEGYIKILTRHLQDLQS SPAR_D04040 MKVNRNPDPTDSHQSHQLEIRRKEDKCKIISMAELEKRRRPPPQ LQHSPYVRDQSNSPGMTKTPETSPPKRPMGRARSNSRSSGSRSNADIDQYTIPTDLDS LPTASPPPSVHQASQQQQLSPILVNKTCSPFGNQSQNQSNNSIDPVSAEQVTNPKEAV SPPALDELSKFQNGSTETLFRTGSSRKKHTHIIILKSLNATFETKFLVVPFKPDGLKL GRPVTNSINKNNSASKRDLFSQQVRPDNGNFDSRVLSRNHACLSCDPTTGKIYIRDLK SSNGTFVNGVKIDQNDVELKVGDTVDLGTDIDSKFEHRKISAYVEEISVIPLMNTVSD PTNLVTKKQEFTNKNNGNSTNINEMKLDRGHHTQHIPIRSHLSDNYTEVGVTSATTAQ RAAFEAAMFGDVNNLELDDDILGPETEVLSGIFINNSAGTSINLINMIKTLTTELSLE RQELQKLHSMQNFMQNYTVNLDFINKHMIDMNEKHLLKLSTALQKTLSENNDALLKES EDQLKEIKQQNNKIKSACSSKEKQNYETLQGLESELRDLNFQIEEERGKNSALTQSNS NNSINNDNIAREKQDGSGEKEKDSEDTLVSTEELGVVEGKKPRVGKGILFGIVAISFG LVATAVKQLPQ SPAR_D04050 MTDALEQSVLALERTVSVLQDSVESLKRSNKPSTNLASTMLQTK RVFRLVPEYDVERSKLDLIEEVEPLVRTLGDKLRKSMGRMQRELDTLQQTYELNDLRL KKNISMDGDGVLDSSDVGREYENRDADVSTDVVMMASSTNEELEELKKLKEKKKQLED KLEILKQK SPAR_D04060 MSVELFPNDRFGAADKYDGLKDAVEECSWLIEEIVKPQLPNIID NFSKCLEMLESDQIFKMPVSNGIPNESSKQNDSPTVKGVITRQGQYIIDFHIVVRFPQ FQRGKQVIFRMNTGLNFLLIQFSKIMTHLKNILEILNQLQLATDVSEFVSKFGVAMEL LNHSLILLQNPPRDLVFPEDNNFAMKEMFQDCYSVCESTAHILGLELTLCKNELCIEL RNLIKVTKKPWCEIDSKTGKSFCDEIRNQVTNERHKTLSKILSENGIQVQDSTLLNHI ISSFQSEAITLPEAQELLRRGVTFDNRVVMECEKLIVSTSDPTLISISAKLNSLKAAM ANHQANLIASKQLSTNK SPAR_D04070 MLRLSVLRSTATFSVKCHRRGLIIPAAAMYTLGSLIFGKEARLA DAMERGELHNKNVDYAKEAEERTELRIRALANTRPMGPQYEGHVPLYRYEKLLLFAIS GWNSFFHPEDGYNIVQLGEATALPVFLENLKQTMLSDSSGRRILKEQPNITTDILHMD KLAKLPHNTFGYVYYQWLKRENVSPDTRAPVKFIDDPMHAYIFKRYRQCHDFYHAITN MPIIIEGEITIKALEGANLGVPMAILGGILAPLRLKKVQRKRLYDIYLPWAVRTGLSC KPLINVYWEEMLEKDVATLRKDLQITLPPDLRTVRKERAALKREIDAKYSLHD SPAR_D04080 MDLQELLAKVPLLLSYPTIILSSNLIVPSHNDLISRATTTSAAE YAGQSPALFSTDHVIRLVFLPMFVASSFNLFAHYFNFVNYFSRRKYYVLFTAVFLISI LTAIFHPIQSTCITLLIIKLLTTAGESSSTIAINFKIILKTFVPFITMTLVMLRCDPS FDIDAGDMNKIFASLTAYAVLILALRYASPMLLSTLSSSIDVVYKDTGIAQDSISRNK KFPLMLVLPFISFALLYLMTIFNNTYNIQLLMVFVFFGCLSIFFLSLKDLFTEDGNQK KRGQEDEHCRMFETKYMISHFWLTRFTILMTGIMAIVVHFLSFNEITSSIKTDLLSLL FVIIAEYGSTFSSIHPDSHSHNHAHHHSHLTNSLPLENESIFRQMALNKDTRSIFSFL LLNTAFMFVQLLYSFRSKSLGLLSDSLHMALDCTSLLLGLIAGILTKKPASDKFPFGL NYLGTLAGFTNGVLLLGIVCGIFVEAIERIFNPIHLHATNELLVVATLGLLVNLVGLF AFDHGAHDHGGTDNENMKGIFLHILADTLGSVGVVISTLLIKLTHWPIFDPIASLLIG SLILLSALPLLKSTSANILLRLDDKKHNLVKSALNQISTTPGITGYTTPRFWPTESGS SGHSHSHGHTHSHLEDHDHGHHHDQTNDSEEPPSLVGYIHVQYVDGENSTIIKKRVEK IFENVSIKAWVQVEPQNSSCWCRATSMNTVSTNPNSLPLQPIAS SPAR_D04090 MELPDTQTEDLPTALNGIKCQLNSILKSNQLFQDYALLNGFLAF VHSKLNAVVLSSIESRYVLHVSGGSSATDLVSFDQSNISSILDFSWESVHYPIFKWFQ MWRNYILFEKENKKQQTKFIDFRKMNSKMLKFFKTVQNFYFNIINTVYKRYDISVLLP KRIIQDLKLSDIEGPAIGADNYAVKTFNSGSPFAHLVLTLFHRCLLFLGTAYRYKSLL EEVSNKYSIPNFKKSLDFFHLASLMLPSAGETYSQAGTVFLQTGNLGTAVFNFVKGMM TKMPSPVSIKNFGALMVDNKSSLNRSLHTTIMNTYLQESKGPRTPAKEILEFYFLGLF GSVWSPSSWRDDTKPNQLNNGIKLRHLENVLYETMSARYLKNIQVIFYNLIITIGGFH LLLKRRFDVGAKTLKDLRSNELDYLNFAFKFIGHILNYIVKESWSENPDVPEILGMAR IINCWIKANPMVLQYSQSNLEFVNAMAYLINDIMKKKPSPSFTITGYIPKRTYWFEED LMVKGLSFVNFQLSDFDDYEKIFEMDHSFDRLIGDPPLCDKLSVSSEMLLRLQAVVNL GSQLLMENKCGVEWSDNKSRYIFNKKIGFKETVKTNMKAPKQSNEKVRLQRKSKASTI NGSISMADLERQMRSTSLDSSSPTMGYSGSSVPMAPDTFNVKPSGIITGNKINVELFE TEVVGQKVDDTTTNISPDYSSAAISSSNSAGGSSFDLSNILSSMQNSHLEKSFARTMQ GVNEQMPANDICHQAQSPMQGGVYSPQQPSSMSSLKSAYQKSTVPSPTSMVSYPYNFL NQQKQGVIPPFNAQDLQWQNEVYALKSRNYANPAWGSDQHQASVPPPAYTQAQMQMFQ QPMQQEVGKYMQFSFDAQNNTDSIKGNSQTSMF SPAR_D04100 MLDKARSQSKHMDESNAAASLLSMETTANNHHYLHNKTARPTLM NSSQDGKKRAEDEGSDRANSRHPTISSASIESLKTTYDENPLLSIMKSTCAPNNTPVH TPSGSPSLQVEGGSDTKDGSKENDATTATTDLQDRRDSDNAAHAAASPLAPSNTPSDT KSLYNGHAAQARAPQASGAMQPQYTTANNVVFPYSSTSTNSNTATTTVVAGAKKKIHL PPPQAPAISSPGTTAAGSGSGTGSGLRSRTGSDLPLIITSANKNNGKNTNSPMSILSR NNSTNNNDNNSLHSSDSKESSNNNEIGGYLRSGTKAGGNPSNDSHIQPDDHDDQYAMG VAPRNFYFNKDREITDPNVKLDENESKVNISFWLNSKYRDEAYSLNESSSNHASSNTD TPTNSRHANTNSSISSRSNFQHFRFNQIPSQPPTSASSFTSTNNINNNNIQRNTLNRG EDPFATSSRPSTGFFYGDLPNRNNKNSPFHTNEQYIPPPPPKYINSKLDGLRSRLLLG PNSASSSTKLDDDLGTAAAVLSNMRSSPYRTHDKPISNVSNMNNTNALGVPASRPHSS SFPSKGVLRPILLRIHNSEQQQPIFESNNSTAVFDEDQDQDQDLSSYHLNLNSKKALD PTFESRARQVTWNKNGKRIDRRLSAPEQQQQLEVPPLKKPRRSVGNARITSQTNSDYN SFGESSTSSAHSSPSLKASSDLACTVDYPSATSPDSTKSKGKTTKSKAKSKAKQSSKK RSNNTTSKSKANNSQELNNATSSTSQGTRSRTGCWICRLRKKKCTEERPHCFNCERLK LDCHYDAFKPDFVSDPKKKQMKLEEIKKKTKEAKRRAMKKK SPAR_D04110 MSVLRSQPTSVVPLHLTTSTSRKTEQEPSLLHSAIIERRQDLSV PNSNSNLDSNHRIKKDHNNHTSYHSSSNSESNMESPRLSDGESSTPTSIEELNPTINN SRLVKRNYSISIDPLHNNNSNNNTDDDHPNTITSPRPNSTNNREIQKYSFPENKESKK ITTPSLSSNNYLDNSSLVHTDSYIQDLNDDHILLNKRVSRRSSRISAVTATSTIIKQR RNTQDSNLPNIPFHASKHSQILPMDDSDMMKLSNGNTSMKPNPATKINHSMTSLPLHP LPQPSQKSKQYHMISKSTTSLPPENDHYYQHTRGNNHNHAAGAAASTNTAAAAAAATG LKRSESATAEIKKMRQSLLHKREMKRKRKTFLVDDDRVLIGNKVSEGHVNFIIAYNML TGIRVAVSRCSGIMKPLTPADFRFTKKLAFDYHGNELTPSSQYAFKFKDYCPEVFREL RALFGLDPADYLVSLTSKYILSELNSPGKSGSFFYYSRDYKYIIKTIHHSEHIHLRRH IQEYYNHVRDNPNTLICQFYGLHRVKMPISFQNKIKHRKIYFLVMNNLFPPHLDIHIT YDLKGSTWGRFTNLDKQRLEKDRSYRPVMKDLNWLEEGQKIKFGPLKKKTFLTQLKKD VELLAKLNTMDYSLLIGIHDINKAKEDDLQLADTASIEEQPQTQGPVRTGTGTVVRHF FREFEGGIRASDQFNNDVDLIYYVGIIDFLTNYSVMKKLETFWRSLRHDTKLVSAIPP RDYANRFYEFIEDSVDPLPQKKIQSSYRDDPNQRNYKD SPAR_D04120 MAAKKGQKKSGQSNHGKNSDMDVEDRLQAVVLTDSYETRFMPLT AVKPRCLLPLANVPLIEYTLEFLAKAGVHEVFLICSSHANQINAYIENSKWNLPWSPF KINTIMSPEARCTGDVMRDLDNRGIITGDFILVSGDVLTNIDFSKMLEFHKKMHFQDK DHISTMCLSKASSYPKTRTIEPAAFVLDKSTNRCIYYQDLPLPSSREKTSIQIDPELL DNVDEFVIRNDLIDCRIDICTSHVPLIFQENFDYQSLRTDFVKGVISSDILGKHIYAY LTDEYAVRVESWQTYDTISQDFLGRWCYPLVLDSNIQDDQTYSYESRHIYKEKDVVLA QSCKIGKCTAIGSGTKIGEGTKIENSVIGRNCQIGENIRIKNSFIWDDCIIGNNSIID HSLIASSATLGSSVRLNDGCIIGYNVKVDDNMDLDRNTKISASPLKSSGSRLYDNEDD EEFDQDRDDQELAVSVVGDKGVGYIYESEISDDEDSSTEACREINTLSNQLDELYLSD GSISSATKKKKKRRTMSVNSVYTDREEIDSEFEDEDFEKEGIATVERAMENNHDLDTA LLELNTLRMSMNVTYHEVRIATITALLKRVYHFIATQTLGPKDAVVKVFNQWGLLFKR QAFDEEEYVDLMNIIMEKIVEQSFDKPDLILFSALVSLYDNDIIEEDVIYKWWNNVST DSRYDEVKKLTVKWVEWLQNADEESSSEEE SPAR_D04130 MSQLFNNSRSDTLFLGGEKISGDDIRNQNVLATMAVANVVKSSL GPVGLDKMLVDDIGDFTVTNDGATILSLLDVQHPAGKILVELAQQQDREIGDGTTSVV IIASELLKRANELVKNKIHPTTIITGFRVALREAIRFINEVLSTSVDTLGKETLINIA KTSMSSKIIGADSDFFSNMVVDALLAVKTQNSKGEIKYPVKAVNVLKAHGKSATESLL VPGYALNCTVASQAMPTRIAGGNVKIACLDLNLQKARMAMGVQINIDDPEQLEQIRKR EAGIVLERVKKIIDAGAQVVLTTKGIDDLCLKEFVEAKIMGVRRCKKEDLRRIARATG ATLIGSMSNLEGEETFESSYLGLCDEVVQAKFSDDECILIKGTSKHSSSSIILRGAND YSLDEMERSLHDSLSVVKRTLESGNVVPGGGCVEAALNIYLDNFATTVGSREQLAIAE FAAALLIIPKTLAVNAAKDSSELVAKLRSYHAASQMAKPEDVKRRSYRNYGLDLIRGK IVDEIHAGVLEPTISKVKSLKSALEACVAILRIDTMITVDPEPPKEDPHGH SPAR_D04140 MSEVGIQNHKKAVTKPRRREKVIELIEVDGKKVSTTSTGKRKFH NKSKNGCDNCKRRRVKCDEGKPACRKCTNMKLECLYTPIHLRKGRGATVVKYVTRKAD GSVEPDSSVDLPPPIKKEQTPFNDVQSAIKASGSSNDSFPSSASTTKSESEEKSSAPI EDKNNMTPLSMGLQSTINKKDMMNNFFSQNGTIGFGSPERLNSGIDGLLLPPLPSGNM GAFQLQQPQSQQQQQQSQPQTQPQQASETPNERYGSFDLAGSPALQSTGMSLSNSLSG MLLCNRIPSGQNYTQQQLQYQLHQQLQLQQHQQVQLQQYQQLRQEQHQQVQQQQQEQL QQYQQHFLQQQQQQILLQQEQQPNDEDGGIREESSKKVKEGHLQSHTGEATINSDAAT LQADALSQLSKMGLSLKSLSTFPTAGIGGVSYDFQELLGIKLPLNNGNSRATKVSNAE EALANMQEHHERAAASVKGKDGQLSDTKSPTPSNNAQGGSASIMESQAPDAVSTMAPI SMIERNINGNSNISPSTPSAVLDDRQGMQDSIGSLGNLTKAALENNEPTISLQASQIG NEDENSRQDLASKISNEGGRSSISAGTSSIAKLLDLSTKGNLNLIDMKLFYHYCTKVW PTITAAKVSGPEIWRDYIPELAFDYPFLMHALLAFSATHLSRTETGLEQYVSSHRLDA LRLLREAVLEISENNTDALVASALILIMDSLANASGNGTVGNQSLNSMSPSAWIFHVK GAATILTAVWPLSERSKFHNIISVDLSDLGDVINPDVGTITELVCFDESIADLYPVGL DSPYLITLAYLDKLHREKNQGDFILRVFTFPALLDKTFLALLMTGDLGAMRIMRSYYK LLRGFATEVKDKVWFLEGVTQVLPQDVDEYSGGGGMHMMLDFLGGGLPSMTTTNFSDF SL SPAR_D04150 MVVNNPNNWHWVDKNCIGWAKEYFKEKLVGVEAGSVKDKKYAKI KSVSSIEGDCEVNQRKGKVISLFDLKITLLIEGHVDSKDGLTLPFEGSINVPEVAFDS EISSYQFEVSIFKETTELNEVKPLIRSELLPKLRQIFQQFGKDLLATHGNDIQVPESL VKSNYTRGNQKSSFTEIKPSASKPEKNASSPSSSAPASSTSKVTQNGSGNSTSIYLEP TFNVPSSELYETFLDKQRILAWTRSAQFFNNGPKLEANEKFELFGGNVISALVSCEKD KKLIFRWKLKDWSAAFNSTIEMTFHESQEFHETKLQVKWTGIPVGEEDRVRANFEEYY VRSIKLTFGFGAVL SPAR_D04160 MANVEKPNDCSGFPVVDLSSCFSNNFNSTKQEIEMETDDSPILL MSSSGSRENSNTFSVIQRTPDGKIITTNNNMNSKINKQLDKLPENLRLNGRTPSGKLR SFVCEVCTRAFARQEHLKRHYRSHTNEKPYPCGLCNRCFTRRDLLIRHAQKIHSGNLG ETISQTKRASRAITKARKNSASSVKFQTPNYGTPEHGNFLNRTTTNTRRKASPGANVK RKYLKKLTRRASFSAQSASSYALPDQSSLEQHPKDRVKFSTPELVPLDLKNSELDSTF DLNLNLDLGINLDSNFNIALNRSDSSGSTMNLDYKLPEAANNYTYSSGSPTGGYVAAN SSSKNASFNDADLLSSSYWVKAYNDHLFSVSESDETSPMNSELNDNRLIVPDLKSTIN QWKDSRSSSWTVAIDNNNNNNNNNNNNNNNNNKDSGNHTDFVDFQELLKNDALANDSL ETTAVLKEFNLLHDDSVSATATSNELDLSHLNLSNSPISPHKLINKNKEGSNDDVLIS FGLSQPSNCEDDLDKLCNMTKDVQAIFSQYMKGEESKRSLDHFLSSSNRKENPDSGNY TFYGLDCLTLSKISRALPVSTVNNEQPSHSTESKLFNEPMRNMCIKVLSYYNKFSHDG SGTSMDSNPNLLSKELLMPTITELNEYLDLFKNNFLPHFPIIHPSLLDLDLDSLQRYT NEDGYDDVENVQLFDRLSQGTDKDYDYEHYQILSISKVVCLPLFMATFGSLHKFGYKP QTIELYEMSRRVLHSFLETKRRCRSTPVNDSHQNIWLMQSLILSFMFALVADYLEKID SSLMKRQLSALCSTIRSNCLPTISANSERGINNNNEPLTFGSSLQYIIFESKIRCTFM AYDFCQFLKCFFHIKFDLSIKENDVETIYIPDNESKWASESIISNRHTVQKQNLYDFR NFYYSFTYGHLHSIPEFLGSSMIYYEYDLRKGTKSHVFLDRIDTKRLERSLDTSSYGN DNMAATNKNIAILVDDTIILKNNLMSMRFIKQIDRTFTEKVRKGQIAKIYDTFLSSKN LNFLKNYSVEVLCEFLVALNFSIRNISSLYIEENDYCQRMNSLELPRIHLNNQALSVF NLQGYYYSFILIIKFLLDFEATPNFKLLRIFIELRSLANSILLPTLSKLYPQEFSGFP DVVFMQQLINKDNSALVPDSYTNEHNNSANAAVKIKLAKKINVEGLAMFINEILVNSF NDTSFLNMEDPIRNEFSFDNGERSVMDIARPAHFLLDSGLEGINFNGLNDSHQTVSTL NLLRYGEHHSSKHKSGGKGQGFAEKYQLSLKYVTIAKLFFTNVKENYIHCHMLDKMAS DFRTLEGHLKGNS SPAR_D04170 MSGQLVQWKSSPDRVIQSVSTGAPHSPSTDSDMNETNVPVDALE NKANVTNIVDGSPKSNPNPVKFMNTSDIFQKSLGLLDESPQHDDELSIEVGDNGRPNT NISHNERTPDLDRIANFFKSNRTPGKENLLTKYQSSDLEDTPLMSRKKLNLHTTTNSS EQQTFKKLKSNTEFYFYREQNDGENNTSLEVTEADATFVQMAEHSVDNYDGALEGVVT PKRYKDDLIQSGGARDRGVQKTEITTSTGSPNSISSYDKNVITENDWTIRNVNKVFGN NEDDTKATEEENAMKKKGEDCSKDNIRVRNNQIIQSNESEEINEIDKNLNFSSRENDV NNSYIDFEKSAASGTPSRNNEEEEMPSIESASNGAPSKRWVFRYSKDKTENNSNRSTQ VVNNPRTQEMPPDSVSIDTQPLSKSYNTEANNELETQIIVSSLSQGISAQRGPEFQST SQTEEIKTQIINSPEQNALNATLETPVNLSRINFEPILEVPETSSPSKNTMSKPSNSS PIPKEKDAFNVHEKEEEINNVFSNDIQHSSNAGIEDDIIIAGSSDFNGQKEITDKIYL QLSGKQRSDLGSDETERMSQNELDTKKESTIMSEIELTQELPEVEEQQDFDASPKEVI NEEVTLIETRKSKAESLQIHPDNAEYNSDEPGGTESSDVVSTKQEGKGKNSELQKNLM QLFPSESQEIIRNRRTIKRRQKDTIEIGEDEENRSAKASPTKRFKRSSNFDTAPIKRE ASCSISIQTGDTGSDKGSKEQSYVFPESIKTEDNSFLSKENIIFGNAVWCQYSWNYKF YPGILLEVDTNQDGCWIYFETGRSLTKGEDIYYLDIRVGDAVTSDGNEYVVVGLECRS HDPNTIRCIRGYDTVHLKKKNSSGSLGKRTIIKALSSISLDLSEWAKRAKIILEDNEK TKGDAYRYLRHPIRGRKSMTNVLSPKKLTDDEKGMNAHTSVHNNELESSSEKKEIIKK DTKDSLSEYVGVPGLLFSSGEIRKGNVFDKCIFVLTSLFENRDELRQIIESQGGTVIE SGFSTLFNFTHPPAKPLINKGNLENIRESIMKLIWKPHSLFTDYRFACLITKRHLRSL KYLETLALGWPTLHWKFISACIEKKRIMPYLIYQYLLPSGESFRLSSDYQSKGGIIKS NNIFSFYTEFLRGSNLRDQICGVRKLLHDYIVIIWGRSELDSFVKFAFACLSAGRMLT IDLPNIDVDNTEPLLNTLGSLVPRIGSALSDQKLKFLIYVNENNGKSQMRLLEKLRSQ VTVKFKNLDYKFHTESKEWLIQTIINEDTGFHDDVTDNDI SPAR_D04180 MKDNSALEHHTPNRDELRRRKGYKKGLQLSILLLGEKGSGKSTF LNNLCGQDISLSDDNNDEVINNVTLEKGNAIEDIDPGYKTAHLSPGLKLVTRRVYLND ELGVPVTLDIISFPGCGDNIDNSQSSVVIKNYLDQQFANVLKEEVRIKRNTKDTDGRP HVCLYFLKSTPRGVKKFDIELMKTICDKVNLIPIISKADGLTETELNLHKTIVRQEIL ENNIRVFDFKNDNLGETLALYDMDIDSSSAKSKYCHDTKIKDISPFAIVCSKTFRTNS ENSVEHIRVYEWGSLVVEDQNTSDFIFLKAILLGSHLQELKDVTNNVLYENYRAKVLT DKKINYDIPNYSFIDESSRGSISNVSTRRNSSSRTLGNLDTNDESSYQIHKEIDEKNR IIEDYQRKIDLLEKMLASPDKNKV SPAR_D04190 MSSFTHNVQTPLEEKSLTNLPLNLLFRILSHLDMNDLQSIGKTC TLLRMLANENIVYRNAVIGSNGNMWWTKNVLVDIFDVLNLNRKAMRTLNNHNISLVAS LRNVQRKYKLGVVDTARKSISHGPNEVESKENASVKDLNMHLSERTEISREQIAHTAI LQGMNQFIELNDKAFRTHSADSDDTYIEENYDEIHSLHELEKNTTFEEDLLKKPPLTP SPTFSNYSRSSTNSVFSSSSPKLLDDDWNNITTDFTRPRDPDYKEVTPTSTESSDSIT RLRKSSKVKDKAELFEKLIFRDSRPLKTKKKDNPRMKLPSSLSVNDEDFRKIISPPSE VLPKVGRRSVSRGYLEEIERHCPDYTSETGNPLSIKRVNSRKIANYEQLIMKENSPNC KRVTEKNDENRFQRSHTSPVIESSKPHQRSKLKAVVTNGNKISYRKIDLEIPSNSNTN DYVIRQLDANTNSDI SPAR_D04200 MVSVLSLFLLIIEQSPLVVSSQLIQGHVVGVPRERQHLYDSNEP DLTKWHCLNHEDIVLDLSQINDGVCDCPDGSDEPGTAACEEDIFKSVAERTGKVNKYF YCDNKDFIPRYVRKSEVADGICDCCDCSDELLSEYKLFDVGSNCSQLKNEFDIMASTE LLSYREGKKALDALEKKYGIKEDGMAHNSCINEDREKVSNEIKVLSDRLAKDRIKVEQ SRGDYFNQLTQDPILYRFEQLNSARLGSDILASFTMVSRVSKGYQDILRILSDLSEAY TPSLNDKVVNDNIKKFRKVRRRAEKAKITADSKIDGDQAENLFSYFTEEVPQIFLKRE SEYSLRYVIGKSNFVQALVEGKINYTNDILEYIKEFRSIMDDISQNYNVNFQDAGVKS AADSYNNYLGEYGERIELGPIHPSESLLESLNAVTTFVNENAPKVLPPDASEPEQDTN DYLIGTFEGLRNKLKEILSKLNLFSSRRDLVSLEKRFRSYESQVNLLENELKLKMAYK KILDDTQNEGNGTTAGNLPELLERMGSQSYCLEDILDNYSYAICFEHPMVEGAIYQSE DKVGGKKVLIGHFKTSGFNVDLNMEKYVEHLKAMYDEKSDLISNLAAIQEDDDKTQRY IFGNLNELNSGLVLEYEDGDQCWNGPRRSATVFVRCSDTFKIRSVHEVTKCSYIFDVT GPLGCNKTFEYEPPKFNLVE SPAR_D04210 MLESNSDKIKFAPVKEVDYKKPVSKSKNYTLINDIQPLEWYCHN DSESGYQHTVNNKTDGGRGLFRVMKKSMETRVETQTLYFTDLQTGLCGFVQLLYSTVM GGFYKGFQLNFKVFGSETNNTDYDVWESFKLDDIAEFQPLKFVSRSVIFEFLDNKDEK LGSIGQLSIKCDLPTCNNTIQNLKIDLLVDLFQGFKMNPNGCNYYFDKQVSTSDESIS SDKMIRHVFVPRGKCNGHISYDKKLNSGGFQNKNISLADVPVVYLDAVQGLLPNKAAS KWNFLCFQSENYSVLAIEFTTPQEHDNVTVTVWSITEKNKLISIGSSVHSPKRHVRFR ATSTDKESGWVYPTSIKFPGGFSEHDLRLVNRYDVLGELPSMVRSLAQKIVSIKPFIY QYCQPSKYKHEKGISIVESTFIS SPAR_D04220 MLLSVPIKSTVRRKPHIPNKKTPKETSITASCPPTSSTILFSSD NDGSFDAFMDQSISNVSNFESSYTNAKRLKSESNNRRKNRSYKFSRKENINEVEEEAS LGDSSKTEADNIFNDQLMSAGRSTYISNKRDVNFGANAAVELLGSPTSKSESHRQYHT TSASKINQDEEDIGVSILIDDPSDSCQTASINNDRPITFQYPETESDIDFDETVIFTP MDGTNKEAKNSRPFKKNSGSDFEERTLLNLDDGRFSECNHFSTLDVSDISHLNENLHK INEVELDRPVDHILSLDNVAINVKKRDTDYLYISSREELYDSSCSSEDPANDSYDSDR NIQEVIYRDDESTDEDESLPTPDRKRKKIGSKACEILDSRRVGIKVPKLHVWSLSGKP FSVIDGLCTKSLYQLGDDIGTPGSLSSGSSSANSQGEQKENPTFDNDAMIADLLNIGG LEVEKVSNGHNDPMGEEFLDIPKGSLSPFRGRNDRLQETDALYDLKNTRVQ SPAR_D04230 MSAKAEKKPASKAPAEKKPAAKKTSTSTDGKKRSKARKETYSSY IYKVLKQTHPDTGISQKSMSILNSFVNDIFERIATEASKLAAYNKKSTISAREIQTAV RLILPGELAKHAVSEGTRAVTKYSSSTQA SPAR_D04240 MSGGKGGKAGSAAKASQSRSAKAGLTFPVGRVHRLLRRGNYAQR IGSGAPVYLTAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDDELNKLLGNV TIAQGGVLPNIHQNLLPKKSAKATKASQEL SPAR_D04250 MSSSESIRMVLIGPPGAGKGTQAPNLQERFHAAHLATGDMLRSQ IAKGTQLGLEAKKIMDQGGLVSDDIMVNMIKDELTNNPACKNGFILDGFPRTIPQAEK LDQMLKEQGTPLEKAVELKVDDELLVARITGRLIHPASGRSYHKIFNPPKEDMKDDIT GEALVQRSDDNAGALKKRLAAYHAQTEPIVDFYKKTGIWAGVDASQPPATVWADILKK LGKN SPAR_D04260 MSDDNKTPNRSSTPKFNNNPVTPNDKIPETAKKSNEIRTPRIPL FTFAKGKNHSRPSTTTHNSPNQSTDVKQVFHKQLQQPKSSPLKRNNSNSFSHSNLEKI SNGKLLSLLRSKTSAGRIESKNPSHDASRSLATFEQTTFPLHAEQQTFSLNTKPEHTI IPISKSQNNNSFLSGVKSLLSEGKTKDHPKEILSISLVNTQSVLEKSLKKEAVNIDAG VVSVTKNKIIKEDDVEGKEKKLNVSENSARSDALPAPKASASDASLSPKESKTRSPEN TKANTSPKEVYRINQESTNKGLEINREKLEKLEKSRANSTVPSALYANETSITAETQT PKKTCPSSKKFAIDQDKTALFCEKTLASSKYQPITAEQEMKEDANLKRMEVLKSPHLS KNPTKKPQESKISQDLSTRDEETGKLVSLAGYEGRKSNYSPSSGSTEKIYDMNTPTNK KNGENKETKKKSLEIKSTKAHIIKAAEEITKHIVPDARINSRKVLQNVQGESQIGLTN KTSNVMPSKRPWLEDVLIPTKKRKHSEESTSNTTNRTINTQNRLEPEKIVQDISNTKE STNEINIATQRLNKPQSSTVASTQIVTQKNDTEKGTNDFFQVESASVESPKIDREHDN SKDVPVSKAVESKSLLNLFSNVFKTPFIKSENKPSPSSVLLEEKTNVLKSIARTEGPG NKADNRSLSQPVSGSKPDYNDDFQISLSQPSKKSFTNSPKDKQIKEEKHYRGRMDTII THPGKMELIYVSDSDNTSSDNNSLTDLESLSSGESNEIKVTNDFDTSAEKNQIQANKW FDPVLDWRKSDRELTKNILWRLADKTTYDKETINDLIEQGIPRHSYLSDNPLTSVTND ICSVENYETSNAFFYQQVHKKDRLQYLPLYGVPSLEESNNTDKNDLTNKNISSGKKSQ QNSTSTKSSQKSTTSSPLDFEETKQSTTPTAKNNSGVPRSDTNYSKLKNTKENLSKGS WRQEWLANLKLISVSLIDEFPSQPSNSERQKINEKMQLLKDIFAYKFNSAISNNFRES DIIILKGEIEDYPMSSEIKIYYDETQNKPGTKKARFWSFMKTQRFISNMGFDIHKSRE PVSKPTGVKPHEIEPESVIDVKVIPENKIPQTMEKPAMINKVNGSTSPDVKSLVGQNT MKTKKLPEKKQFINTFNCKKGEIVSSNDKMASKSASLSFSKRPSYGHLPVDKIVPTVK VRGATSIDDITDSNTTDILSSVDVLGRQSQSNTQLSKIYIPTQETEHEIDNKDSDTEY SEGMQEDGLSFADIVLSKTASVLDEKEKQLAVANDIIRSLSDDVMRNEIRITSLRGDL TFTKKCLENARSQISEKDARINKLMQRIFKKRRR SPAR_D04270 MDHDTEVIIKDFNSILEELTFNSRPIITTLTKLAEENISCAQYF VDAIESRIEKCMPKQKLYAFYALDSICKNVGSPYTIYFSRNLFSLYKRTYLLVDNTTR TKLINMFKLWLNPNDTGLPLFEGSALEKIEQFLIKASALHQKNLQAMLPTPTVPLLLR DIDKLTCLTSERLKNQPNDEKLKMKLLVLSQLKQELKREKLTLNALKQVQMQLRQVFS QDQQVLQERMKYHEFQQQQQQQQQQQQQQQQQQQQQQQQQQQYHENKDRTGSSPQNSN AAIPLFGNNSDTMNQQNSLSSSLFGNISGVESFQEIEKKKSLNKINNLYASLMSEGLI YTPPKESIVTLYKKLNGHSSYSLDSHEKQLTKNLPKISLLNDILSDCKAYFATVNIDV LNNPTLQLSEQTLLQENPIVQNNLIHLLYRSKPNKCSVCGKRFGNSESEKLLQNEHLD WHFRINTRIKGSQNTANTGISSSNLNTTTTRKNIQSRNWYLNDSQWADFKDDEIISTK HKSDYTDPHANRNIDRSAISNHVDDTDENSVDNTSVSGRNNEVKIREKYVVVPETSQD MAFICPICKETVTGVYDEESGEWVWKNTIEVNGKYFHSSCYHETSQNSTKSNEGKVGL DDLKKLVTK SPAR_D04280 MPDNNTEQLQGSPSSDQRLRVDWDDGNHYDVSPDRYAPHLSEFY PIVNNKRPVTSNAGSVNNDHLDDMNHLRSSKVYSKARRASSITSGTSTINDLQTLITK RDVKETQEALSTLFRNSNAYSDSLLKTSQNGAEIAHSLENIAKLKGCNDETAEKLLSA SGLFYLLSNHQLIMSKYFNDLLGDNLIDDIDEFQLQTKIMENKFKVQSKEQSLKLKLQ ERHNFDISKRKIRNLISYRESLSSLQARLDQLETLKHDFYMDSYELVENTCSKVLSKV ATVSRAQVEISENIARKGWSGGGLDELLCDADDPFSKKADGPHGTIGGDEETVEEGYN SDEGTGGNDVVLNELLEGTSQPSTSKTSLPKSKGSSTGSTSNHSQSSSNKEGVRSNDG GKNGEDEDTDNLMGAENSFSLPPTRNSADETTHTLRQLSIKEDNDNHDSDTDSMQDQS SNI SPAR_D04290 MRWWPWSNQAEDQTQQQQQQDRPGSDRVLTNYSRGQKILLEDTP PKFADDLSNSQLAKKQERATLKEAWDSVRWSDFTLQKLTSIPCFRDAGMLGFSSMFLM GSIIFIYHKSPTKAMNWAMSSLILGSIVGWEQCRLKRQKSFQIAQLAKETVAKKERPM LHNVPHDPSLPGQWEAAKNEKQSQFEQSNQNLSQASSEKKWYKFW SPAR_D04300 MQRSIFTRFGNSSTAVSTLNRLATTAAPHAKNGYATTTGAGAAA ATATASSTSAAAAAAAAANHSTQESGFDYEGLIDSELQKKRLDKSYRYFNNINRLAKE FPLAHRQREADKVTVWCSNDYLALSKHPEVLDAMHKTIDKYGCGAGGTRNIAGHNIPT LNLEAELATLHKKEGALVFSSCYVANDAVLSLLGQKMKDLVIFSDELNHASMIIGIKH ANVKKHIFKHNDLNELEKLLQSYPKSVPKLIAFESVYSMAGSVADIEKICDLADKYGA LTFLDEVHAVGLYGPHGAGVAEHCDFESHRASGIATPKTNDKGGMKTVMDRVDMITGT LGKSFGSVGGYVAASRKLIDWFRSFAPGFIFTTTLPPSVMAGATAAIRYQRCHIDLRT SQQRHTMYVKKAFHDLGIPVIPNPSHIVPVLIGNADLAKQASDILINKHQIYVQAINF PTVARGTERLRITPTPGHTDDLSDILINAVDDVFNELQLPRVRDWENQGGLLGVGEAG FVEEPNLWTSKQLSLTNDDLNPNVRDPIVQQLEVSSGIKQ SPAR_D04310 MSTSAQHSQPQQQQQQQQQQKSCNCDLLLWRNPVQTGKYFGGSL LALLILKKVNLITFFLKVAYTILFTTGSIEFVSKLFLGQGLITKYGTKDCPNTVGFIK PRIDEALKQLPVFQAHIRKTVFAQVPKHTFKTAVALFLLHKFFSWFSIWTIVFVGDIF TFTLPVIYHSYKHEIDATVAQGVEISKQKTQEFSQLACEKSKPYLDKVESKLGPISNL VKSKTAPVSSTAGSQTASTTKLAANVPLEPESKAYTSSAQVMPEVPQHEPSATQEFNV DELSNELKKSTKNLQDELEKNNA SPAR_D04320 MLRSTTITRSFHSSRTWLRGQNLTEKIVQSYAVNLPEGKVVHSG DYVSIKPAHCMSHDNSWPVALKFMGLGATKIKNPSQIVNTLDHDIQNKSEKNLTKYKN IENFAKKHHIDHYPAGRGIGHQIMIEEGYAFPLNMTVASDSHSNTYGGLGSLGTPIVR TDAAAIWATGQTWWQIPPVAQVELKGQLPQGVSGKDIIVALCGLFNNDQVLNHAIEFT GDSLNALPIDHRLTIANMTTEWGALSGLFPVDKTLIDWYKNRLQKLGTNNHPRINPKT IRELEGKADILKADKDAHYAKKLVIDLATLTHYVSGPNSVKVSNTVQDLSQQDVKINK AYLVSCTNSRLSDLQSAAEVVCPTGDLSKVNKVAPGVEFYVAAASSEIEADARKSGAW EKLLKAGCIPLPSGCGPCIGLGAGLLEPGEVGISATNRNFKGRMGSKDALAYLASPAV VAASAILGKIASPAEVLSTSEIPFNGVKTEIIENPVAEEEVNTGSETPKQSVEILEGF PKEFSGELVLCDADNINTDGIYPGKYTYQDDVPKEKMAQVCMENYDAEFRTKVHPGDI VISGFNFGTGSSREQAATALLAKGINLVVSGSFGNIFSRNSINNALLTLEIPALIKKL REKYQGAPKELTRRTGWFLKWDVANAKVVVTEGSLDGPVILEQNVGELGKNLQEIIVK GGLEGWVKSQL SPAR_D04330 MDKYTALINDENFSTLTLSVSRYPKSLVYWERLLNYIVKASAPI CKSTEPQLLELIRHTYSSMLNEFPYLENYYIDFALLEYKLGNVSTSHKIFQRGLQAFN QRSLLLWTSYLKFCNNVVLNQKQLFKKYETAEEYVGLHFFSGEFWDLYLEQIRSRCTS SKRYWNVLRKILEIPLHSFSKFYALWLQNIDDIMDLKQLSQLTSKDELLKKLKIDINY SGRKGPYLQDAKKKLRKITKEMYMVVQYQVLEIYSIFESNIYINYYTSPETLVSSDEI ETWIKYLDYTITLQTDSLTHLNFQRALLPLAHYDIVWIKYSKWLINSKKDLVGAKNVL LMGLKFSLKKTKIIKLLYSVICKLNDYVLLRNLLEKIESSYSDNVENVDDFEIFWDYL QFKTFCQNSLYSSRYSDSQSNGLLNKELFDKVWKRLSCKEKKSGQEILLNNLVQFYSK DTVEFVEKNIFQKIITSGWKYYLQNSIFWNCYCRLIYFDASRSYLDKRQYIVKKIWPQ IDKKFAQSVLPSLTEFCQSYFPEEMDTLEEMFTEEP SPAR_D04340 MLILAVQALWLRKWRFDPVKRSQTRVVKVSMFTWAVYVSLLLVL AGTFLMRRNTNTDIIDSFKREVDLPIPAQPSPPFPLVTEYCDIVCGFGRGSAELGIPT ANVPIEQLPKDINDLDLGVYFGFAHIKAIDGREPSVETRRDGRTVIYNYGRYLSEANG DLFVLPMVLSVGKNPFYGNDFKTMELHVIHDFKNDFYGAKVKFNILGHIRPELNYTTK EALIEDINIDIRTAQAVLATPPYQAFERQL SPAR_D04350 MQRFTLVTHRSFSHSCVKPKSACSLVKPVHHLVKIDKSKLSPRF PELKYDKCDIRSPGFKPKDTHADRLNDHYLNTLQSDLLLINYSHNATVTKGLKQRAWS GNSPYHLNRPPKNPQGSKVQLPDIHPIKWSNIPGLESVVINCFVREARENQLYAITAA LQLQQITGCKPHPIFSKNDVPTWKLRKGHQMGAKVELKGKEMSQFLSTLTEIVLPRIR EYKGINNQSGNRFGGISFGLTPEDIKFFPEIDANQDSWPKTFGMHINVNTSAQLDYQA RTLLSGFQFPFFGEEK SPAR_D04360 MTSFSSQPAYTLVFDPSPNMETYSSTDFQKALEKGSDEQKIDTM KSILVTMLEGNPMPELLMHIIRFVMPSKNKELKKLLYFYWEIVPKLAEDGKLRHEMIL VCNAIQHDLQHPNEYIRGNTLRFLTKLREAELLEQMVPAVLGCLEYRHAYVRKYAILA VFSIFKVSEHLLPDAREIINSFIVAETDPICKRNAFIGLAELDREKALHYLENNITDI ENLDPLLQAVFVQFIRQDANRTPALKAQYIELLMELLSTTTSDEVVFETALALTVLSA NPNVLVPAVNKLIDLAVKVSDNNIKLIVLDRIQDINANNVGALEELTLDILRVLNAED LDVRSKALDISMDLATSRNAEDVVQLLKKELQTTVNNPDQDKAMQYRQLLIKTIRTVA VNFVEMAAGVVSLLLDFIGDLNSVAASGVIAFIKEVIEKYPQLRANILENMVQTLDNV KSAKAYRGALWIMGEYAEEESEIQHCWKHIRNSVGELPILQSEIKKSTRNQEDAEENE VDATAKPTGPVILPDGTYATESAFDVKTSQKSVTDEERDSRPPIRRFVLSGDFYTAAI LANTIIKLVLKFEKVSKNKTVTNALKAEALLILVSIVRVGQSSLVEKKIDEDSLERVM TSISILLDEANPEEKKEEVKLLEVAFLDTTKSSFKRQIEIAKKNKHKRALKDNSKNIE PIDRPISFRQFAGVNSTNVQKDSIEEDLQLAMKGDAIHATSSSSISKLKKIVPLCGFS DPVYAEACITNNQFDVVLDVLLVNQTKETLKNLHVQFATLGDLKIIDTPQKTNVIPHG FHKFTVTVKVSSADTGVIFGNIIYDGAHGEDARYVILNDVHVDIMDYIKPATTDDEHF RTMWNAFEWENKISVKSQLPTLHAYLKELVKGTNMGILTPSESLGEDDCRFLSCNLYA KSSFGEDALANLCIEKDSKTSEVIGYVRIRSKGQGLALSLGDRVALIAKKTNKLTLTH V SPAR_D04370 MFDSFNNSKSKRKSFFRFGSESRNNDSEKPVRKPSAPSTTKKSM NIARTSKVATSTPDIPPRSPNRNVHGRSRSIQSPLQKETLKNTNPFLNTEDTLEDSLR LSQFKEAPTNDRKGNGHLQENKITRQSTNPFTTNANSNANLFNIKRSRPPPPPMDMKS ITTSMSNNASKEEIWRDNDSERDSIAPSSIHNQHRRQRSEAEKLVDDIENYINEHKGN SGSSVSLNTSENSDVKKSQDVVPLDVMESPVLRNVSVESPLSYVKPLIVDPEEVNKPS DRKLVQSDHPEQLSLNVDDGDDRFSFSTSASGKSTKSLQQVAEDESNGFKPAHFDFAY KSNEHLGSDESVGSARKPLRITNEIDNSSSSEEEDDSHQEISFVGNESNVFINDANDQ DPLIKNDVPTQEPELASHRRVFRVVNEDRPSFYLNSVNDTGSLTDRHSFVTTSSGEYD IPSNFSNQSELSMSKGSKSTVLAALGSDGNTKSSNKTSELNSLNSISESLIPATHSFR EHAVPIPQTVDLPNPVQAAPSERSVKSSTLASVVSNKSEKSVPLVSSYVEELRLKYYK TSNFLQAPPNLPVALKQKNNLIQPKNIKVKLRTSSKQIGIKHGKVKQKLLALETRNEE SDETVAGLKNNINVDHTKEFHKLLGKENEVGSALKKGDTGAEQSEDYLKDIPGDEAYN SDDIMAPLREKRGQNGSIDSVSRSNTVVSYYTRSQNRMRSGTLDNDYVNRQKLPTHIS LQDYRDSNASSNITRQDSVSTTNSDAVDLSYSLGHGLRVANPDSDSE SPAR_D04380 MRPRRRGLAYHHTKPKGQLSQGHYPTVSNDGQRRKVSNPEAFQS FDIWKNLDKIRSTKKNAVQFIKGSLLIIPMRAQDKQQFEECMNELHKYISKDILQCYP QEEQTGENALFYVALKDFNILDSCFVLSVLLAFQKRLWMAPSEKSYFRVPKNINLTGS FYLPKNIETARGHIITSYRKEQPTSSIVEVGFNVVPNFQQFQVKACHVSKFMNELSNF FSQVEFGKCENNVINRFQREYKRNHSQISLALYELPLIGDGLFDMKSYISKTRPIIET SKAQMIKHISELKAYSEITGPQGDQLPRPQRPLPNSSSQNAFSSSITIQTGTASHQSK LQRNIVSKPSNALNSSNRYSGPTTLEDGRYVDSHKSGFMTQDEIKQHCIGTIKASMDA VKKKSSYQILKTYVRCPRQNYIDIVYQSLNDLRSKTNCNIVVLNLNNLHESQPWLGSL NIANYTVFAQQPHPSTVRVVSIGGVGEYIVKALELILNILEH SPAR_D04390 MTVHSTWKDKVQLKKNQLNSKIKDEWKLNSATVWRLRNDKKNLI KNIDDLCPSSENQITHSTIMSLRERLGTRELSCHEITFAFCHRAALIHQVVNCLSEIM FSEALRLADYHDKNRPATLPPLYGIPISLKDQCNVEGVDTSLGYLSRTFKPKTKNEES LIVSFLRDLGAIIFVKTTVPSSMMATDTQSNTFGYTYNSINLSFSSGGSSGGEGSLIG AHGSLLGLGTDIGGSIRIPSSYQGLFGLKPTFGRVPYLRVDNSFEGRETIPSVIGPLA KNLSDLRYFMSCIINTCQPWVQDVKCIPYHFDSTTKKLHDNYVVAIWYGDGVVDPPPS DIRALKTCEDLVNKTKGMKAIKWEPSSELSRELFDIANEADVADSGNEIKGEFKVSGE PLLDILKPMVLEDGRPPYTVNEWWHLTKRVYNAQQSMRDYYLSFPESERPDVIISPTT LMPFRPGDMLKTTLRYILLFNVLNFPSLSIPVGNVNCQIDGLMDATSSLNPEDKMVKT YWNGLIKSGEIDGFPVGLQVVSPTFNDSEVCKFASWLFSKI SPAR_D04400 MARPIDVSQLIAGINKKRGLDRGTPGKVSKPKFLSKNERFKQER LEENEEDLKPAVGNIATVDVEEVNFRDDSFLNETNNKKKNSSKQNGSKFQFSWNESED TLTGYDPIVSTKASDLLQKGKTPKDSAESSYMGKHWTEKSLHEMNERDWRILKEDYAI VTKGGAVQNPLRNWEELNIIPRDLLRVITQQLQFPSPTPIQRITIPNVCDAKQYRDFL GVASTGSGKTLAFVIPILIRMSRSPPRPPSLKIMDGPKALILAPTRELVQQIQTETQK VTKLWSKENNYDCRVISIVGGHSLEEISFSLSEGCDILVATPGRLIDSLENHLLVMKQ VETLVLDEADKMIDLGFEDQVTNILTKVDVNADPAVNRQTLMFTATMTPVIEKIAAGY MKKPVYATIGVDTGSDPLIRQVVEYADNDEDKFKKLKSAVIKYEPPIIIFINYKQTAD WLAEKFQKETNMKVTILHGSKSQEQREYSLQLFRTNKVQIMIATNVAARGLDIPNVSL VVNFQISKKIDDYIHRIGRTGRAAKEGTAISFVSGAEDESLIRDLHKYVRKHDPLNSN IFSDVVKNKYNVGKQAVNEVIY SPAR_D04410 MDVGSCSVGNNPLAQLHKHTQQNKSLQFSQQNNGPLNESALQNN NKPNVSEAFKSNMNTISQESMVNMHRFINGEPLADDKRRMEIGRSSGRPPFFSDVRSL QSLSSSNQTNGTNDISHWSREFQGSNSIQNRNTDAGNSEKAWQRPSQTASSRFQYPNT MMNNYAYASMNSLSGSRLQSPGFMNQQQHERSKEDVSQHGEQPWADQFEKLEKEVSEN LGINDEIEEEENVTEVEQNKPEIVEKEEEVYGDQYQTDFQDVWDSIHKDAEDVLPSEL VNDDLNLGEDYLKYLGGRVNGNIEYAFQSNNEYLNNPNAYKIGCLLMENGAKLSEAAL AFEAAVKEKPDHVDAWLRLGLVQTQNEKELNGISALEECLKLDPKSLEAMKTLAISYI NEGYDMSAFTMLDKWAETKYPETWSIIKQQDDNAQKEKGFTHIDMNARITKQYLQLAN SLSTVDPEVQLCLGLLFYTKDDFDKTIDCFESALKVNPNDELMWNRLGASLANSNRSE EAIQAYHRALQLKPSFVRARYNLAVSSMNIGCFKEAAGYLLSVLSMHEVNTNKKGDVG SLLNTYNDAVIDTLKRVFIAMNRDDLLQEVKPGMDLKKFRGEFSF SPAR_D04420 MSSVPYNSQLPISNHLDYDEEEKKSRGSKSGLKYKIIYWRKTLR NSLARWRKPILLISLALFLFIWISDSSISGGPSTTSFQGQNSNDNKLSNPGSSLNSKR YVPPYSKRSRWSFWNQDPRIVIILAANEGGGVLRWKNEQEWAIEGISIENKKAYAKRH GYGLTIKDLTTSKRYSHEYREGWQKVDILRQTFREFPNAEWFWWLDLDTMIMEPSKSL EEHIFDRLETLADRELKSFNPLNLKDDIPYVNYSEEMEFLITQDCGGFNLGSFLIKNS EWSKLLLDMWWDPVLYEQKHMVWEHREQDALEALYENEPWIRSRIGFLTLRTINAFPP GACSEFSGDSRYFYSEKDHDFVVNMAGCNFGRDCWGEMQYYTTLMEKLNRKWYTRFFF P SPAR_D04430 MAIETILVINKSGGLIYQRNFTNDEQKLNSNEYLILASTLHGVF AIASQLTPKALQLTQQTNIENTIPYIPYVGMSSNKSDTRSGGGNNNRQHGNNEKLGSF KGDDFFKEPFTNWNKSGLRQLCTDQFTMFIYQTLTGLKFVAISSSVMPQRQPNISTTD KPDRPKSSSNLAIQIADNFLRKVYCLYSDYVMKDPSYSMEMPIRSNLFDEKVKKMVEN LQ SPAR_D04440 MMMFHNCRINNYLITSQIGEGAYGLVYHAVDIRTDKEYAIKAVV QSYSVTKEADIGDDKIHKNSAKLQKRLGKLFKESKNIVRIPSIDLESIENMSEEDFKK LPHYKEISLHLRVHRHKNIVTIHEVLQSAICTFIVMDYYPTDLFTSIVDNRHFVTNGL LVKKVILQICSALSYCHDHGIYHCDIKPENLLLDADDNVFLCDFGLSTTSAYIKPNVC IGSSYYMPPERISFDNGRLSSSKSGGRKLGKVCASCNGDLWSLGIILINLTCIRNPWL KADKAEDNTYYYFTKDPNILKQILPLSDDFYSLVTKILQVNPKNRISLQEVMKEVSSI TSFTNEGPLSKVPPLSKSVYEKFTSPVDNTSRNSPENQYTHVPNSKVGKNLSYTSSSE EEDGVKEEENDDDNGSRSGSLGTLDTDTGLQSSFTSTSCESDNECSKISSKFSLFEKK FNELRMSSSSLTN SPAR_D04450 MTRKYEAMEKFKVIVLAGTAGTGKSTIAGELIHEFKDVYPDLKF IEGDDLHPPANVEKMTRGIPLNDDDRWDWLKKVAVESTKAAASTKEHLSIVACSSLKK KYRDLIRHTCPESEFHFIFLYASKIEVLKRLKTRKGHFMKADMMESQFRDLELPDVND ETDCDIVPLDFKTFYQIEKDVIQVVKSKVLKIE SPAR_D04460 MGYIFTGYSSRHDKRKKHVLPLHQYALSSINLQHHVHLFDTAIL SKRPILEEDRPTRFQTKKPRHRKKLTLQDLPIEIIQHIFIFTKGEPSMVALNKFFYSC LRPSFSLLSRIMWEKYLFDPLEFDVDNIKANAGNIVIPTLFEHETFFKLLLDHHIVLL KSISHFLPRKHYQDMQNGDFDTSKELDLCSINTEDTKKEDFPKNFYNNMYIFLTHREC VKAMGNHFTLKNPYDVISPFIEWFFQGIEIQEATVSSKFTIISLFESIDLILRVSGSA ARKLGSVEPLTTMIFLLYFTYADALETLNFEFFLQNRSRLQLIKKFILKYYYNPSLAE NEVLSDTTIWDLLRRVSDLKLIDLVVKCGGRPQYGVMFA SPAR_D04470 MTSALRVLVCGDHPNLILYTSRFQHAKNIEFYLVNNSENASYEI SSLFYGTERFQIQNHFQSLLDLVDLNNENSSLVFDLIIMSASSLQEIPQVLRNLKHMI NKTTKILFESSGFVYLEPFIKASVDLSLSNIFSIFTDYDIRRLDSSSYKQCTTANAKS FSVSIGQTTSVRKDNYSSDIIPILNTFQKLFQKLFPRDIVTLYDHSPSAFLTKQWELA LPQICFDPLLIILEEKVPSNLDDHVLAKPLISGLLGEVLLLIKKMGIALNNPNFQNEQ TILKHWKNKCEELPDVPALLHNFIHKSSSLNIDLLLLQPILLADDFGVRTPYLECLFT MMTQYQLLNKGNSEWFIRKESNTALTRVDDLQNNIALKDGKIVQLQNSEATLKNQMKE LQDQVSNLKQEISLGKTNHEQELDTLKKKMQMGDNPLFDRNLPHINTTGIPRSDNSAD GDMNYEKNDQGNNSSENASRRQSFFNSTSDTTLSRDETSLKERELEVRMKELELQERE LELQRKALQQQQQYQQRPPKQGYAGPPGTPTSSNNNINSNKSYNPGRKSSYSQPQHVA MMTNRGLHGPSIASSSPVISANNFVDPISSGTPYSGNSSRFSQQIPSQQYMHTVKPTS RKNRSSVMPNIGYVPGLTNSEYSRKFNGNGVNGTQSRVNSLSNQSTFRSQQGPPIIQQ KPFQNNGGSMRTNRISSANYNISNQKPGFVNSISSPNLSNFENNNKGQKSRNADSAPC VNQLNNDSQSQLQSQPQNSTSKVPQINITQPSPIQTNFTTSDNPAPVIKLGTPPEGTI STATTNNNISAVADESYKEEVKEKKKKKFSFFGKRKK SPAR_D04480 MPVDQEKLAKLHKLSAANKVGGTRRKINKKGNLSNNNDKDDSIL QTELHKLHPMTIENVAEANFFKRNGKILHFNSAVVQIAPQCNLTMIHGQPKENTLNGL YPGVASQLGSQELDYLTGLAHNLENEQTVLDQLGDRCSRTKQQAMNS SPAR_D04490 MEDQDAAFIKQATEAIVDVSLNVDNIDPIIKELLERVRNRQNTS QNKKPSLIQAENGVNINSQNGNMNVKKENELQKPPKPSKSKSQDRRNSTGEKRFKCAK CLLEFSRSSDLRRHEKTHFAILPNICPQCGKGFARKDALKRHYDTLTCRRNRTKLLTA GGEGINELLKKVKQSNIVHRQDNNQNSSSNG SPAR_D04500 MSNGLRLEDNYVPTSDTSVVYKQLMRLPITVLYDLTLSWFAKFG GSFDGDIDLLTETLDLLIEKGVRRKVIVNRILYVYWPDGLNIFQLAEIDCHLMISKPE KYKWLPSKAFQGDGKPYIVKLQPAKFIENLQTDLAKIYHCHVYMFKHPSLPVLITRIQ LFDSNNLLLNTSNIGSINKESLYNKLDKFQGKTLISRRPYYVAFPLNSPTIFHSVDKD IYARLVLQSISRTVSERQTIIFKPIQKIPMKSIHNMITLVGPSRFAESMGPWECYANA NFERSPLHDFKRHQGLTGKRVLVREFDDSFLNDDENFYGKEEPEIRRLRLEKNMIKFK GSVKGVMDEKYNTLKEFNEHVHNIRNGEKKANSGEAVYISRYTSLVPVEKVSFTLKNE INNRIITIKLKFSGNDIFGGIHELCDKNLINVDKVPGWLAGENGSFSGTIVNGDFHRE QVSRGGLL SPAR_D04510 MSELLDSFETEFAKFYADSNLEETNLQKCLNHTHEFKSQLKKLK AHLNKHIQESEPEVYNRLNDKEKQKFKRKRELIIEKLSKSQRQWDHSIKKQIKHVSQQ SNRFNKSTLNKLKEFNIDSVYVNKLPKTAMENVNEAIGYHILRYSIDNMPLENKNEAF HYLKDVYGITNKESTKFIEMGRIVHDLKKGDTESCLKWCSNEMDSLSSNHTALSSLKF DLYTLSAMQMVMHGNPVEVYYQITQNAPLDCFRHREKELMQNVIPLLTKSLIGQPIED INSKVNKELKECISLFIKEYCAAKHIFFDSPLFLIVLSGLISFQFFIKYKTIRELAHV DWTTKDELPFDVKLPDFLTHFHPIFICPVLKEETTTENPPYSLACHHIISKKALDRLS KNGTITFKCPYCPVNTSMSSTKKVRFVML SPAR_D04520 MSGQEKNEVNRSDVREDRVVTNSTGNPINEPFVTQRIGKHGPLL LQDYNLIDSLAHFNRENIPQRNPHAHGSGAFGYFEVTDDITDICGSAMFSKIGKRTKC LTRFSTVGGDKGSADTVRDPRGFATKFYTEEGNLDWVYNNTPVFFIRDPSKFPHFIHT QKRNPQTNLRDADMFWDFLTTPENQVAIHQVMILFSDRGTPANYRSMHGYSGHTYKWS NKKGNWHYVQVHIKTDQGVKNLTIEEATEIAGSNPDYCQQDLFEAIQSGNYPSWTVYI QTMTERDAKNLPFSVFDLTKVWPQGQFPLRRVGKIVLNENPLNFFAQVEQAAFAPSTT VPYQEASADPVLQARLFSYADAHRYRLGPNFHQIPVNCPYASKFFNPAIRDGPMNVNG NFGSEPTYLANDKSYTYIQQDRPIQQHQEVWNGPAIPYHWATSPGDVDFVQARNLYRV LGKQPGQQKNLAHNIGIHVEGACPEIQQRVYDMFARVDKGLSEAIKKVAEAKHATELS SNSKF SPAR_D04530 MTVDKRGDSVNMDNFSKDTERFVCWLNNIAKIEVSPKIEIKDLC CDNQGRAIVATQKIKKDETLFKIPRSSVLSITTSQLITDHPSLKHKFLNEIGSWEGLI MCILYEMEVLQEKSQWAPYFKVWNKPTDMNTLIFWDEKELQLLKPSLVLERIGKKEAK EMHERIIKSIKQIGGEFSCIATSFGFDNFAYIASIILSYSFDLEIRDQDTNENEEDET SEEELENGRYLKSMIPLADMLNADTSKCNANLTYDSDSLKMIAVRDIEMNEQVYNIYG EHPNSELLRRYGYVEWDGSKYDFGEVLLENIVDVLKETFNTSKKFLESCMDILRNNAN IQELLEGEEIVLNSYDCYSNGELLPQSICLVQILTILCQIPDLCKLDIKSMERQVERI VKKCLQLIEGGRTTVNCSATWKRCIMKRLADYPLKKCLFFEQPSEGNSLTKEKLRDIM ALRVLKSEADSLRACEKSIDKNYKIIPDEKLLTNILKRKLTKEEESSVKRPCVQK SPAR_D04540 MLRQAKQAPIQKYLQRTQLIRRNAPRIYTFVQCKRTIYSLNARS RAATRSPIDSKTNGLNGAAVLTFAFKSLNELSNFRRTYVQMRMDPNQQPEKPALEQFG TNLTKLARDGKLDPVIGRDEEIARAIQILSRRTKNNPCLIGRAGVGKTALIDGLAQRI VAGEVPDSLKDKELVALDLGSLIAGAKYRGEFEERLKKVLEEIDKANGKVIVFIDEVH MLLGLGKTDGSMDASNILKPKLARGLRCISATTLDEFKIIEKDPALSRRFQPILLNEP SVSDTISILRGLKERYEVHHGVRITDTALVSAAVLSNRYITDRFLPDKAIDLVDEACA VLRLQHESKPDEIQKLDRAIMRIQIELESLKKETDPVSVERREALEKDLEMKNDELSR LTKIWDAERAEIESIKNAKANLEQARIELEKCQREGDYTKASELRYSRIPDLEKKVAL SEKSKDGDKVNLLHDSVTSDDISKVVAKMTGIPTETVMKGDKDRLLYMENSLKERVVG QDEAIAAISDAVRLQRAGLTSEKRPIASFMFLGPTGTGKTELTKALAEFLFDDESNVI RFDMSEFQEKHTVSRLIGAPPGYVLSESGGQLTEAVRRKPYAVVLFDEFEKAHPDVSK LLLQVLDEGKLTDSLGHHVDFRNTIIVMTSNIGQDILLNDTKLGDDGKIDTATKNKVI EAMKRSYPPEFINRIDDILVFNRLSKKVLRSIVDIRIAEIQDRLAEKRMKIDLTDEAK DWLTDKGYDQLYGARPLNRLIHRQILNSMATFLLKGQIRNGETVRVVVKDGKLVVLPN HEEGEVIEEETEK SPAR_D04550 MQNPPSIRPDMYNQGSSSMTAYSASEKNLNEHPSPQIAQPSTSQ KLPYRINSTTTNSDTDNTVNNNPIQPPLPNLLHLSGPSDYRSMHQSPMHQSPMHQSPM HPTYIIPPHSNERKQSDSYSRPQNAHVSMQPSVVFPPKSYSVPYPPYQVNPPLPNGLP NQSISLNKEYVAEDQLSNLPSRNTSVISAPPPFKNSASGAKNSADDSDNVTKPATEKD VQLVSNSGKTLRNTRRAAQNRTAQKAFRQRKEKYIKNLEQKSKIFDDLLAENNYFKSL NDSLRNDNNILIAQHEAIRNAITMLRSEYDVLCNENNMLKSENSIIKNEHNMSRNENE NLKLENKRFHAEYIRMIEDIENTRRKEQEQRDEIEQLIKKIRSLEEMVRSHSDSAT SPAR_D04560 MSSSLYRDSYFQYRHLSAPHHILYAEWNQDILALPDEVGNVTMA MEDNTRTDAGEVRAAQDGERNSSVRESGQAKASMTNEQNSNRYWNSFHDEDDWNLFNG MELESNGVVTFAGHAFDHSLNGGTNSRNDSANEPRKETITGSIFDRRITQLAYARNNG WHELALPQSR SPAR_D04570 MPLKSYFFSAFLVLCLAKFTQGLNTTEKEKSLSPFELNFLQNKF ASYYANDTITVKGITIGGWLVTEPYITPSLYRNATLLAKQQNTSSNISIIDEFTLCKT LGYNTSLALLDKHFKTWITEDDFEQIKTNGFNLVRIPIGYWAWKQNNDRNLYIDNITY NDPYVSDGLQLKYLNNALDWAQKYELNVWIDLHGVPGSQNGFDNSGERILYGDLGWLR LNNTKELTLAVWKDMFQTFLNKGDKSPVVGLQIVNEPLGGKINVSNITEMYYEAFDLF KKNQSLSDNTTFVIHDAFQGIGHWNLELNPNYQNVSYHYFNLTGANYSSQDVLVDHHH YEVFTNNQLAETQFSRIENIINYGDSIHKELSYHPAVVGEWSGAITDCATWLNGVGVG ARYDGTYYNTTLFTTNDKPVGTCISQNRLADWTQDYRDRVRQFIEAQLATYSSKTTGW IFWNWKTEDAIEWDYLKLKEADLFPSPFDNYTYFKADGSIEEEFSSSLSTQAFPRTTT SLLSSTTTSRKSKNAAVSNKLTSSQILSINNMSLTWKTSICALVITITAFCASL SPAR_D04580 MFHLPVSILIYFSLIWAMEPSFVRGKNVVSLITFKDSNGKLHKR IAPEEIPPREHGSQANPNALGYMGVRDFSRPAVNLDNILETQQRKQQKFSAELSPLSL ESKLSLVKEVQIFAGYVRNDVETYNKISDPNEDLIVIAPTNGAISQLTSKPWQFPNNI DKLESEGATEKELDMAIQENISKFVRSHIVVYNEDKNSYKRVGPGCTLLQSIDFTEGK RSDSELDGDILLKKEGEVYYVASTKDKKFHAVESIESGSNGIILTVDFPLVWP SPAR_D04590 MGIPGLLPQLKGIQKQVTLKKYMYQTLAIDGYAWLHRASCACAL ELVMNKPTNKYLQFFIKRLQLLRRLNIKPYIVFDGDSLSVKNRTETRRKKKRLESKMV AKKLWSVGDRDNAMEYFQKSVDVTPEMAKCIIDYCKRNSIPYIVAPFEADPQMVYLEK MGLIQGIISEDSDLLVFGCKTLITKLNDRGEALEISKDDFSALPENFPLGELTEQQFR NLVCLAGCDYTSGLWKVGVVTAMKIVKRYSEMKDILTQMERAENFCFSEAFKQEVEFA NYAFQYQRVFCPVSNQITTLNNIPKPIINSHAEIVKIMRCIGSVVEKGSRIRKGVINT KNIDHKVHEMIAKGELHPVDMASELINREKNLRARKLFKVDLLVGESNSLNKKAKQLP VDTQHTMLKRENSVDNKSTSPVYMGSPATISSTVQSVV SPAR_D04600 MVNELENMPPASTLTDEEQMVDPSNSDSQEDISLGDSNDVTSLA SLKAIRSGNEDASGNEQMNRNDEAEEDPLLTRYHTACQRGDLATVKEMIHGKLLEVNN DGDPVEHITGLHWASINNRLSVVDFLVSQGADVNARAGALHATPLHWAARYGYVYIID FLLKHGADPTMTDDQGFNLLHLSVNSSNIMLVLYVLFNVVSKGFLDVDCQDPKGRTSL LWAAYQGDSLTVAVLLKFGASIKIADTEGFTPLHWGTVKGQPHVLKYLIQDGADFFQK TDTGKDCFAIAQEMNTVYSLREALTHSGFDYHGYPIRKWFKKSQHAKLVTFITPFLFL GITFALFSHINPLFAIIVLFLLAIATNKGLNKFVLPSYGRMGIHNVTLLRSPLLSGVF FGTLLWVTIVWFLKVMPRTFSDEQYANIVMLVILVSVFYLFCQLVTLDPGCLPEETDH ENVRQTISDLLEIGKFDTKNFCIETWIRKPLRSKFSPLNNAVVARFDHYCPWIFNDVG LKNHKGFIFFITLMESGIFTFFALCLEYFDELEDAHEDKTQKNGKCFILGGSDLCSGL MYDKFVFLILLWALLQSVWVASLIFVQAFQICKGLTNTEFNVLMKESKAIGPEGLSFN ENFNTTPEGFAPSIDPVDPGEESNDTVLAPVPGSTIRKPRTCFGVCYAVTGMDQWLAV IKETIGIKDSTGHNVYSITSKIPTNYGWKRNIKDFWLTSDVNAPLWRRILYSPSGSKA LLNGIEVDYFKLYKLPNKDVEQGNDMV SPAR_D04610 MKNDNKLQKESLKRLSRLRYPFADAPSIVQAHQKDEQIQTLLIL KVTELCKLIKNQLFVNSYPRELSIFAKLLYLLFTTGRRGRTLGEEYVDLIYTNKKGTQ LVGRLKMVSFVFAYSLCPYFITKLYKKIMKSKKESETESVTGFCKSLLDFILDLYMTL FYFKGTFYSIFKRIFGMRYVFKHIMSKNETKFRKEGSKKYKVLGYILLAQNTMKWYPV LTSTLGSWINEKKSNNNSIIRSSLDLRERSDRYSIEGIPSESQLAHINLSDKYQLPYI PESSRKCILCLMDMTDPSCAPCGHLFCWNCLMSWCKERPECPLCRQHCQSQEILALRQ SPAR_D04620 MSESLKENVTPKGNFRRTQGPHNNTKPHNDRKNSRRKQKKNNLS AGSGLNTSSADDTDEENELCIICARKLVYVSLTPCHHKTCHICAFRQRALYDKKSCLI CRTENEEVTFTDHIDGEISDKYNFCEKNEKYGISFTSEEVATETLNLLKFFCPLSKDE QVCDFGSFKKYNEHLKSEHNRMICLICATHKHAFPSELEIFTQNQLRNHQTKGNSEGF KGHPMCAFCSGKRFYSDDELYIHMRNQHEKCHICDKINPASPQYFKDYNQLFDHFRHS HYVCTVQTCLDNKFVVFKDELELQAHILQEHGNILKGKPKFFQSELSTFISAPSRVIR ERDDYDLPSISSLPGSSSGSRTDVRSASSPEESRLRLVERAKYYLENSKEGFDKFSSY NDDYAKGRLSAEKLLESYKLLFTRPNADVYLLIHNLAESFPKNSSKYNNLNAIYEQRE QTLARQTSLPSLSSDSSLSMSIARGHWGGTNDGGSGGAALGVRNIKNLPTLKSPAASY DPFATTIKKNTLKNVKNIKRTTPQSVSYQNSTNTVAFSPTYLESKKNSSSATSLNNGK DKLKSLNLPQLPPPRPKVQIPGLNRPQIADPKQWGKKSSTENASVHDDLRELNISSGG NKKKGKQKQLLFHIGV SPAR_D04630 MASINLIKSLKLYKDKIWSFDFSQGILATGSTDRKIKLINVKDD DFTLIDVLDETAHKKAIRSVAWRPHTSLLAAGSFDSTVSIWAREESADRTFEMDLLAI IEGHENEVKGVAWSNDGYYLATCSRDKSVWIWETDESGEEYECISVLQEHSQDVKHVI WHPSEALLASSSYDDTVRIWKDYDDDWECVAVLNGHEGTVWSSDFDKTEGVFRLCSGS DDSTVRVWKYMGDDEDDQQEWVCEAILPDVHKRQVYNVAWGFNGLIASVGADGILAVY EEVDGEWKVFAKRALCHGVFEINVVKWLDLNGKTILATGGDDGIVNFWSLEKLA SPAR_D04640 MLNRQAVLKLASKRLTSTVQRADYKLNSEALHSNATVFSMIQPT GCFHLGNYLGATRVWTDLCELKQPSQELIFGVADLHAITVPKPDGETFRQFRHEAVAS ILAVGVDPEKASVIYQSAIPQHSELHWLLSTLASMGFLNRMTQWKSKSNIKQSINGEY QVNDSDVGKVRLGLFSYPVLQAADILLYKSTHVPVGDDQSQHLELARHLAEKFNKTYK IKFFPKPVTMLAQTKKVLSLSSPEKKMSKSDPNHDSVIFLNDEPKTIQKKIRRALTDS ISDRFYYDPVERPGVSNLINIVSGIQRKSIGDVVEDVSRFNNYKDFKDYVSEVIIEEL KGPRTEFEKFINEPNYLHSVVESGMRKAREKAAKNLDDIHKIMGF SPAR_D04650 MREVILAVHGMTCSACVNTITTQLRALEGVTKCDISLVTNECQV KYDNEVTADSIKEIIEDCGFDCEVLRDSDITVTSTREGLLSIRGMTCGSCVSTVTKQV EGIEGVESVVVSLVTEECHVIYDPSKTTLETVREMIEDCGFDSSIIIDGNGSADMAEK TVILKVTRAFEDESPLILSSISERFQFLLDLGVKSIEISDDMHTLTIKYSSTELGIRD LLTHLESTGYKFTIFSNIDNTTQLRLLSKEDEIRFWKKNCIKSTLLAITCMLLYMLVP MMAPKVIQNHIFPYKETSFIKGLFYRDIFGVVLASYIQFGVGFYFYKAAWASLKHGSG TMDTLVCVSTTCAYTFSVFSLVHNMIHPSDVSKLPRIVFDTSIMIISYISIGKYLETL AKSQTSTALSKLIQLTPSVCSIVSDTDRNETREIPIELLQVNDIVEIKPGMKIPADGI ITRGESEIDESLMTGESILVPKKKGFSVIAGSVNGPGHFYFRTTTVGEETKLANIIKV MKQAQLSKAPIQGYADYLASIFVPGILILALLTFFIWCFILGTSANPPIAFTTNTKAD NFFICLQTATSVVIVACPCALGLATPTAIMVGTGVGAQNGVLIKGGEVLEKFNSITTF VFDKTGTLTTGFMVVKKFLKDLSGITKLDEDEVLACIKATESISDHPVSKAIVRYCDS LGRNNALNAVVLESEYVLGKGIISKCKANGNTYDILIGNEALVSEEVLKKSGIINNNV DQGNTVSYVVVNGHVFGLFEISDEVKHDSYTTVQYLQRNGYETYMITGDNNSAAKRVA REVGISLENVYSNVSPTGKCDLVEKIQEKEGKNKVAVVGDGINDAPALALSDLGIAIS TGTEIAIEAADIVILCGNDPNTNSLRGLANAIDISLKTFKRIKLNLFWALCYNIFMIP IAMGVLIPWGITLPPMLAGLAMAFSSVSVVLSSLMLKRWSPPDIESHGTSDFKSKFSI ADLWSRLFSTRTIIGEQDIESQAGLMSNEEAL SPAR_D04660 MHVKSIKMRWESGGVNYCYLLSDSENKKSWLIDPAEPPEVLPEL TAGEKISIEAIVNTHHHYDHADGNADILKYIKEKNPTSKVEVIGGSKNCPKVTNIPEN LKKLHLGNLEITCIRTPCHTRDSICYYVKDPKTDERCIFTGDTLFTAGCGRFFEGTGE EMDIALNESILETVGKENWGKTRVYPGHEYTSDNVKFVRKIYPHVGENKALDKLEKFC SKHEVTAGHFTLRDEVEFNPFMRLEDPTVQKAVGDTNNSWDRAKIMDKLRAMKNCM SPAR_D04670 MGKRNRKGKENNVTKNGFLKVGKIKNSNPELELPSQEYTSIEEK GSCSPIDIPLITKKPETTKADSTVFDYPTIGDLVSSVEELCILKELEIAFPEVGNTLI KAILIASQGTLEPAFNSLLYYSNPEENTDFALPMKPINVEDLSKVDVREILQCGILDY IENEVSGQEIDGSMMISKIGSERSSLGDLTDNINIPRSNREIAESTRNMAVAEEHSVN LSREASAHKGEEKGVSSLKGAAVKVATKSLKRNRIPVTVKKNGPSNNLFDVLNCDESE EEEEQNIETDASDQGKKSQGGNTEVPKTSKTGDNAQRDSTNKLPTNDDSGYKSAFGTD SCGLFAADGKDEKQVHPPRKQELSFT SPAR_D04680 MFFFPKLRKLIGSTLIEQDTRNSSGKEEIMSNSRLALVIINHAF DKVISLTWHCGILSEIRSGLMLMFNIFQLMCSLGVIILLLPIIILDAIDLFLYICRLL DYGCKLFHYNRSSLPVGDGKEETSGPTSTKEEIIIDEEIINMLNESSESLIDHITAGL EYDTRSESANKSRRMNLSSTVTFVRQNKNSNERKEDAYYEEEDDDFLSNPNYDKISLI ERSFTSRFEVACEQKAA SPAR_D04690 MDSAKIINIILSLFLPPVAVFLARGWGTDCIVDIILTILAWFPG MLYALYIVLQD SPAR_D04700 MFVSPPPATSKNQVLQRRPIESTNNSHGFASSLQAIPENTVSGS DNASFQSLPLSMSSSQSMTSSRRENFVNAPPEYTDRARDEIKKRLLASSPSRRSHHSS SMHSVSRRSSVAESGSLLSDNASSYQSSIFSAPSTVHTQLTNDSSLSEFPNHKLITRV SLDEALPKTFYDMYSPDILLADPSNILCNGRPKFTKRELLDWDLNDIRSLLIVEKLRP EWGNQLPEVVTVGDNMPQFRLQLLPLYSSDETIIATLVHSDLYMEANLDYEFKLTSAK YTVATARKRHEHITGRNEVVMNLSKPEWRNIIENYLLNIAVEAQCRFDFKQRCSEYKK WKLQQSNLKRPDMPPPSIIPRKNSTETKSLLKKALLKNIQLKNPNNNLDELMMRSSAA PNQQGKNKVSLSKEEKATIWSQCQAQVYQRLGLDWQPDSVS SPAR_D04710 MTISDIGSEGRVIILPDGYEASKNINTFTLPPPSNITSKHRIEL FEDISGKLYEIRSFQFGKGPSYSHEEDLANDKYHYTKENHPIKSTFIVNTSNPSEGYV FKSSKIYFCSLHDITFSLIGFYYKNSVTADEQDYANSSDTNDNQISSSKNHERFLTVR DYHDLLTDTHDKNWDSISLSCLKSGLTKVSETIEECGDVYYKITPAMITKYLVGKVSK IVKNFPPSIPTLKNVPTEIEQSYKVVTATSLLISLIPRAAYHNLVTFSPTMASSCTNL DIKASFIDLENYEITKESQNAEKELLMKSAVNVGLSSNGSVSLSVKKVTKKVIQSKRP KVAIGKGAIDGFFKRK SPAR_D04720 MAKDIEISASESKFILEALRQNYRLDGRSFDQFRDVKITFGKEF GDVSVKMGNTKVHCRISCQIAQPYEDRPFEGLFVISTEISPMAGSQFENGNITGEDEI LCSRIIEKSVRRSGALDVEGLCIVAGSKCWAVRADVHFLDCDGGFIDASCIAVMTGLM HFKKPDITVHGEQIIVHPVNEREPVPLGILHIPICVTFSFFNPQDTEENIKGETNSEI SIIDATLKEELLRDGVLTVTLNKNREVVQVSKAGGLPMDALTLMKCCHEAYTIIEKIT DQILQLLKEDSENRNKYAAMLTSENARES SPAR_D04730 MEQTLRRSDDVLKMRQQEEETRQAEYAEAQGEREEVKCIDYIID LEAGLPRHESSGKSNTFKQYYDAFLGFIEELIIVIIIVLLLYSLTMVGLFYVMTMTKF LF SPAR_D04740 MMLSFRVLTSSFGSVPRSQIRRLGTSLLIQNSKVRDDRWRDELA AEKETNKRNKPVDTKIKTMKTLKNSKNPTRFLRRSFVPNHGVEEKGRDNLEDVLSKKY LKVKNCITITTGEGYDLKQCMRLLNSQGLQPTNLVPDEIVIFGYQDNGDKGEIMILGQ NGSIVSWGFNEATVRNRVVPLVETASINPLNGEEFETEDMDYVELKKKQDLDKIFSSN NKITPKIAGESFLSGDLIIINSLDSDQGMLDKAAFSSGVSRSTNLAVLEKAMEKHISK ARTITENISKGTKLNLRSSDALKSIGRLFLIRGKLNLYSELIETPDLYWSEPQLEEIF KNVSRYLDIGPRINILNSKLDYSTDECRALISLLNERNSTFLEWIIIYLIAFELCFEI YHFYQKYSSYCTETANDDLDEY SPAR_D04750 MSLSHLTLDQYYEIQCNELEAIRSIYMDDFIDLTKRKSSWDKQP QIIFEITLRSVDNEPVESSITLHFAMTPMYPYTAPEIEFRNVQNVMDSQLQMLKSEFK KIHNTSRGQEIIFEITSFTREKLDEFQNVVNTQSLEDDRLQRIKETKEKLEKEEREKQ RETIKKRSDEQRMIDEIVQRELEKRQDDDDDELLFNRTTQLDLHPPSEWIASGEAIVF SKTIKAKLPNNSMFKFKAVVNPKPIKLTSDIFSFSKQFLVKPYIPPESPLANFLMSSE MMENFYYLLSEIELDNSYFNTSNGKKEIANLEKELETVLKVKHDNVNRLFGYTVERMG RNNATFVWKIRLLTEYSNYYPVGDLIQSVGFVNLATARIWMIRLLEGLEAIHKLGIIH KCINLETVILVKDADFGSTIPKLVHSTYGFTVLSMLSRYPNKNGSSVELSPSTWIAPE LVKFRNAKPQRLTDIWQLGVLFIQIISGSDIVMNFETPQEFLDSTSMDETLYDLLSKM LSTDPKKRLGTLELLPMKFLRTNIDSTINRFNLVSESANSNSLELVPGDTTDFRGNGG RTLSQSSIRRRSFNVGSRFSSINPATRSRYASDFEEIAVLGQGAFGQVVKARNALDSR YYAIKKIRHTEEKLSTILSEVMLLASLNHQYVVRYYAAWLEEDGMDENVFESTDEQSD LSESSSDFEENDLLDQSSIFKNRTNHDLDNSNWDFISGSGYPDIVFENSSRDNENEDL GHDTSSTSSSESQDDTDKESKSIQNVPKRRSGVKPMTAVKKRSTLFIQMEYCENRTLY DLIHSENLNQQRDEYWRLFRQILEALSYIHSQGIIHRDLKPMNIFIDESRNVKIGDFG LAKNVHRSLDILKLDSQNLPGSSDNLTSAIGTAMYVATEVLDGTGHYNEKIDMYSLGI IFFEMIYPFTTGMERVNILKKLRSVSIEFPPDFDDNKMKVEKKIIRLLVDHDPNKRPG ARALLNSGWLPVKHQDEVIKEALKSLSNPSSPWQQQVRESLFNQSYSLTNDILFDNSV PTSTPFANILRSQMTEEVVKIFRKHGGIENNAPPRIFPKAPIYGTQNVYEVLDKGGTV LQLQYDLTYPMARYLSKNPSLISKQYRMQHVYRPPDHSRSSLEPRKFGEIDFDIISKS SSESGFYDAESLKIIDEILTVFPVFEKTNTFFILNHADILESVFNFTNIDKAQRPLVS RMLSQVGFARSFKEVKNELKAQLNISSTALNDLELFDFRLDFEAAKKRLHKLMIDSPH LKKIEDSLSHISKVLSYLKPLEVARNVVISPLSNYNSAFYKGGIMFHAVYDDGSSRNM IAAGGRYDTLISFFARPSGRKSSNTRKAVGFNLAWETIFGIAQNYFKLASGNRIKKRN RFLKDTAVDWKPSRCDVLISSFSNSLLDTIGVTILNTLWKQNIKADMLRDCSSVDDVV SGAQQDGIDWILLIKQQAYPLTNHKRKYKPLKIKKLSTNVDVDLDLDEFLTLYQQETG NKTLITDSLTLGDKGDEFKRWDESSSAGSSQEGDIDDVVAGSTNNQKVIYVPNMATRS KKANKREKWVYEDAARNSSSMIIHSLSNAPIITVDALRDETLEIISITSLAQKEEWLR KVFGSGNNSTPRSFATSIYNNLSKEAHKGNRWAILYCHKTGKSSVIDLQR SPAR_D04760 MNRLSFIKTPFNIAAKWRLEDTFLLIIMVLLNYPVYYQQPFERQ FYLNDLTISHPYATTERVNNTMLFVYSFIVPSLTILIIGSILADRRHLLFILYTSLLG LSLAWFSTSFFTNFIKNWIGRLRPDFLDRCQPLEGLSSDTLYTAKEVCTTKNHERLLD GFRTTPSGHSSESFAGLGYLYFWLCGQLLTESPLMPLWRKMVAFLPLLGAALIALSRT QDYRHHFVDVILGSILGYIMAHFFYRRTFPAIDDPLPFKPLMDDSDVTLEEAVSRQRI PDEELHPLSDEGV SPAR_D04770 MSNFFRDSSMGFKPRPNIFAKLRVRDADSESSANTVVENSSNCL EVGTSIERDDAFKKPHITSTEREVVTNMGPNQRNHNYSNDGMDINSRKSSISTDQYNR ALNNHDSTIENDTDEDFEITEVREVSEGVAKEMKEGHEDPNDSETTLKDSKLHEYTMT NSKPLFHTTVDTSSTSSNDVLLEAFTNTQRICSNLKQELQKQQQDNAKLKIRLQSYAS DSGKINEKVGKYKSCLETLQERIAALTSHKNNQDTKLKDLRQNHQLYQRRISGFKTNI ENLNRTITDLGKHKKETDAELMKKGKEIEYLKRELDDCSGQLSEEKIKNSSLIQEMGK NREQMIKAIENFFSEDKAHHLLQFNKFEEKVHDLFEEKLQKHFDIAKDTLNIELKGTT IELSSNTETLLKQQYESFKENLKEKMSSSKDEITKTLNELGIKQEELVIGVHKELLTS SGDIKTAFITEMNSTKQDLLDDTAQSAKNYLSLENLLKAYKAEIVQSNEYEERIKHLE SERSTLSSQKNQIISSLGTKEAQYEDLVKKLEAKNIEISQISGKEQSLTEKNEGLSNE LKKIQDQLDKLDNLNTTTKSNYENKISSQNEIVKALASENDTLKQRIQQLVEIKENEQ KDHSTKLEAFQKNNEQLQKLNVEVVQLKAHELELEEQNRHLKNCLEKKEIGVEESLSD VKTLKQQVIVLKSEKQDITAEKLELQDGLENLEEVTKNLQQKVQLQKKELEHKVKELE EIKSYKGKEPNKKSAQSFTKPSDSPKKSATTSHLLPNSSTSIHSPMARYPKVDHISKS RASSSKETSKFNDEFDLSSSSNDDLELTNPSPIQIKPVRGKIKKGSNGMKPPISSRKK LLLVEDEDQSLKISKKRRRK SPAR_D04780 MSRRLLCFIHTSRILLHDAGVKLTFFSKPNCGLCDQAKEVIDDV FERKEFHNKGVSLDIVNITDRRNAKWWKEYCFDIPVLHIEKVGDPESCTKILHFLEED DISEKIRRMQSR SPAR_D04790 MVLTTQELKEVENTFIQLLRSEIGPLLKSYAGTNFYSYDDKSNG VDLVTALDKQIESIIKVALTAKYPSFKFIGEETYVKGVTKITNDPTFIVDPIDGTTNF IHGYPYSCTSLGLAEMGKPVVGVVFNPHLNQLFHASKGNGAFLNDQEIRVSKRPLILQ KSLIALEGGSERTEGSQGNFDKKMSTYKNLLSESGAFVHGFRSAGSAAMNICYVASGM LDAYWEGGCWAWDVCAGWCILEEAGGIMAGGVRGEWDIPLDRRCYLAIRGGCEPIEQK EFAESFWNHVAGELEY SPAR_D04800 MSSTDNDSDVDLTEDLAVAKIIRENPVARKVVRYILSRGESQNS IITRNKLQSVIHDAAHEENVAKLSFSKMFLDINAILWNVYGFQLQGLQSKNNMNIGNN SGNNNNNTNKSMTEPMGHRAQKFILLNNVPYSKVFEDFKLLRSARTYEELVVNGEYIG DDIGLESSNTLESKLSTDQDLVYKGVLSVILCIIFFSKNNILHQELIKFLEAFGIPSD GSKISILNITIEDLIKTFERREYIVKLEEKSDTVGEVISYRIGRRTQAEFGLGSLEIL VQEIMGLEKDQSKSLYDDIIKSIGDSYSI SPAR_D04810 MPFSSEQFTTKLNTLEDSQESISSASKWLLLQYRDAPKVAETWK EYMLRPSVNTRRKLLGLYLMNHVVQQAKGQKIIQFQDSFGRVAAEVLGRINQEFPRDL KKKLSRVVNILKERNIFSKQVVNDIERGLKTESSPVEALVLPQKLKDFAKDYEKLAKM HHNVCAMKIRFDKSSDELDPSSSVYEENFKTISKIGNMAKDIINESILKRESGIQKLQ STLDDEKRHLDEEQNMLSEIEFVLSAKDPSRLNNNVDEDNIIPTYEVGDGDDDDDDEE DNGNNNDDDDDDDDHDKNYDNGSNDNSFGVGNINTIEQKNEVIDETNSEHKNSTENSG DIQFGIKRPHDMIAHDDANYIPEKKVHLDSKTSEDGTSNSEDGHYELDMEGHVGAQTD EGVENSGGVSSSIQDLLSKLAN SPAR_D04820 MQEGPFKKKLKPADEEGRNIHAFKNFEQFFFRLNTLYTFLICRK HVVPTFKTLCGPIETALKRTVTKEDLARVMALMPRECIFKYIDENQIYTETKIFDFNN GGFQQKENDIFELKNIDDQNQAQNSTQLLIFEFIDGTMQRSWSASDKFSQIKIPTYTT EEMKKMISKREVLFKSRLREFILEKENAGLDAFFELTKVAQNYIPKERDYEDPIEAMM KAKQESNEMSVPDDADSSVIATVPEMIDKLKSTEFYASQIKHCFTIPSRTAKYKDLCF ELAPEVYQGIEHNRFYSHQADAINALHQGKDVIITTSTSSGKSLIYQLAAIDLLLKDS ESTFMYIFPTKALAQDQKRAFKVILSKIPELKNAVVDTYDGDTQPEERSYIRKNARVI FTNPDMIHTSILPNHANWRHFLYHLKLVVVDELHIYKGLFGSHVALVMRRLLRLCHCF YENSSLQFISCSATLKSPVQHMKDMFGIDEVTLIHEDGSPTGAKHLVVWNPPTLSQHE RKRENFIRESAKILVQFILNNVRTIAFCYVRRVCELLMKEVRNIFIETGREDLVTEVM SYRGGYSASDRRKIEREMFHGNLKAVISTNALELGIDIGGLDAVLMCGFPLSMANFHQ QSGRAGRRNNDSLTLVVASDSPVDQHYVAHPESLLEVNNFESYQDLVLDFDNILILEG HIQCAAFELPINFERDKQYFAESHLRRICVERLHHNQDGYHASNRFLPWPSKCVSLRG GEEDQFAVVDITNGRNIIIEEIEASRTSFTLYDGGIFIHQGYPYLVKEFNPDERYAKV QRVDVDWVTNQRDFTDVDPQEIELIRSLRRSDVPVYFGKIKTTIIVFGFFKIDKYKRI IDAIETHNPPVIINSKGFWIDMPKCALEICQKKQLNVAGAIHGAQHAVMGMLPRFIVA GVDEIQTECKAPEKEFAERQTKRKRPARLIFYDSKGGKYGSGLCVKAFEHIDDIIESS LRRIEECPCSDGCPDCVAASFCKENSLVLSKPGAQVVLHCIIGHSEDTFIDSIKDGPE PNMPEIKVETVIPVSEHVNFSEDFKIIDVRRATKDISHANGIIKKEE SPAR_D04830 MFDQLAVFTPQGQVLYQYNCLGKKFSEIQINGFISQLITSPVTR KESVANANTGGFDFNLLTINSEHKNSPLFNALFYLNKQPELYFVVTFAEQTLELNQES QQTLTLVLKLWNSLHLSESIRKNLQGQNEKNKHNYVDIFQGIEDDLKKFEQYFKIKYE ESIKQGQINSDNPARNELVSQSYNKSIKKKLKDTKTKKPSTGNIGSGRKWGRDGGMLD EMNHEDASKLDFSSSSSHNGSQVVLEATINKDSFGDRTEGGDFLIKEIDDLLSSHKDE AISGNESKNSGYVSTAFGFLQKHVLGNKTISENDLKSVLEKLTQQLITKNVAPEAADY LTQQVSHDLVGSKTANWTSVENTARESLTKALTQILTPGVSVDLLREIQSKRSKKDEE GKSDPYVFSIVGVNGVGKSTNLSKLAFWLLQNNFRVLIVACDTFRSGAVEQLRVHVEN LAQLMDDSHVRGSKNKRGKTGNDYVELFEAGYGGSDLVTKIAKQAIKYSRDQNFDIVL MDTAGRRHNDPTLMSPLKSFADQAKPDKIIMVGEALVGTDSVQQAKNFNDAFGKGRNL DFFIISKCDTVGEMLGTMVNMVYATGIPILFVGVGQTYTDLRTLSVKWAVNTLMS SPAR_D04840 MSKNSNMNNNRSQEPNNMFVQTTGGGKNAPKQIHVAHRRSQSEL TNLMIEQFTLQKQLEQVQAQQQQLMAQQQQLAQQTGQYLSGNSGSNNHFTPQPPHPHY NSNGNSPGMSAGGSRSRTHSRNNSGYYHNSYDNNNNNNNNNNNNNNPGSNSHRKTSSQ SSIYGHSRRHSLGLNEAKKAAAEEQAKRISGGEAGVTVKIDAVQTDSSSNSATEQSDF KFPPPPNTHQGHRRATSNLSPPSFKFPPNSHGDNDDEFIATSSTHRRSKTRNNEYSPG INSNWRNQSQQPQQQLSPFRHRGSNSRDYNSFNTLEPPAIFQQGHKHRASNSSVHSFS SQGNNNGGGRKSLFAPYLPQANIPELIQEGRLVAGILRVNKKNRSDAWVSTDGALDAD IYICGSKDRNRALEGDLVAVELLVVDDVWESKKEKEEKKRRKDASMQHDLIPLNSNDD YHNDASVTAATSNNFLSSPSSSDSLNRDDSSVRRKRSSTINNDSDSLSSPTKTGVKRR SSLKQRPTQKKNDDVEVEGQSLLLVEEEEINDKYKPLYAGHVVAVLDRIPGQLFSGTL GLLRPSQQANSDNNKPPQSPKIAWFKPTDKKVPLIAIPTELAPKDFVENADKYSEKLF VASIKRWPITSLHPFGILVSELGDIHDSNTEIDSILRDNNFLSNEYLDQKNPQKEKPS FQPLPITPESLEFRRDFTDANEYNIFAISELGWVSEFALHVRNYGNGTLELGCHVVDV TSHIEEGSSVDRRARKRSSAVFMPQKLVNLLPQSFNDELSLTPGKESATISVVYTLDS STLRIKSTWVGESKISPSNILSLEQLDEKLSTESPGSYLSTVQEIARSFYARRINDPE AKLLPTLSLLESLDDEKVKVDLNILERTLGFVVINEIKRKVNSTVAEKIYTKLGDLAL LRRQMQPIATKMASFRKKIQNFGYNFDVNTADELIKAVLKIKDEDVRVGIEILLFKTM PRARYFIAGKVDPDQYGHYALNLPIYTHFTAPMRRYADHVVHRQLKAVIHDDPYTEDM EALKITSEYCNFKKDCAYQAQEQAVHLLLCKTINDMGNTTGQLLTMATVLQVYESSFD VFIPEFGIEKRVHGDQLPLIKAEFDGTNRVLELHWQPGVDSATFIPPDEKNPKSYRNS IKNKFRSTAAEIANIELDKEAEAEPLISDPLSKELSDLHLSVPNLRLPSASDDKQSAL EKFISTTETRVENDNYIQEIHELQKIPILLRAEVGMALPCLTVRALNPFMRRV SPAR_D04850 MSGVSNKTLSTGSWYGMPIHLLREDCDFTQFMILTINESKRDVH DYFINTPWYNMVKDYLFVVFCYRLLSNFLYLLKVYGPVRLAVRTYEHTSRKLFRWLLD SPFLKGTVEKEVSKVKQSIEDELIRSDSQLMNFPRLPSNGIPQDDVIEELNKLNDLIP HTQWKEGKVSGAVYHGGDDLIHLQTIAYEKYCVANQLHPDVFPAVRKMESEVVSMVLR MFNAPSDTGCGTTTSGGTESLLLACLSAKMYALHHRGITEPEIIAPVTAHAGFDKAAY YFGMKLRHVELDPTTYQADLKKVKKFINKNTVLLVGSAPNFPHGIADDIEGLGKIAQK YKLPLHVDSCLGSFIVSFMEKAGYKNLPLLDFRVPGVTSISCDTHKYGFAPKGSSVIM YRNSDLRMHQYYVNPAWTGGLYGSPTLAGSRPGAIVVGCWATMVNMGENGYIESCREI VGAAMKFKEFIQESIPDLNIMGDPRYSVISFSSKTLNIHELSDRLAKKGWHFNALQKP IALHMAFTRLSANVVDEICDILRTTVQELKSESNSKPSPDGTSALYGVAGSVKTAGVA DKLIVGFLDALYKLGPGEETVTK SPAR_D04860 MSRKSSKKLKVYYLPVTLTQFQKDLSEILISLHAKSFRASLAGE SQANALSKPCGSPADPETHSYPKLSQRQLTYIFDSNIRAVANHPSLLVDHYMPRQLLR MEPTESSIAGSHKFQVLNQLIDSICFRDREGSPNEVIKCAIVAHSIKELDLLEGLVLG KKFRIKRLSGTSLYNEKHKFPNLATVDSTINKDGTPNSLSSTSSNSNSTSYTGYSKDD YDYSVKRNLKKRKVNTDDWLFLATTKHLKHDQYLLANYEIDMIISFDPMLEIELPALQ VLKNNANKDIPIIKLLVQNSPDHYLLDSEIKNSNTNLSYLNNNDHIDNGQEYEEIKCS LLYFLQARNAQVDNCDIDFIKLVKCCLEGKDCNNFLPPLDLITLDEASKDSSDSEFWR PQLTKLQYSSTELPLWDSPLDIKTYQTELMHRAVIRLRDIQDEYTKGNSPLYENRLKE NQRQNKLDEIKNSVGLTFKKKQELEKSINDSEKRFKHAMTESTKLENKINVLLKNKQE LEIFTKLSYNTISSENQLEKKSALTAKLDEYVDRNATLSNKLKELEQTNAEKSKLNDE LRSKYQVESSKAAESAQTVKNLEESMKSLQNEVNGPLTKFSTESLKKEMEFLQNDFQS LKARNKFLKNYINLMNRQYDLKNKNNIQVEKAAGTGTRFRSTRSNTPNYT SPAR_D04870 MKMNHSISRFRPASWFEKTKIIPPQVYIFRNLEYGQVLYSQFPN FSQKQVDKLFMRPNWSNRKPSLRRDIWKCMCVVNLQNYEQSVHLYQNLCRLRYLRDVA QRKKSDKLRKKDSNGHVWYSGQYRPTYCQEAVADLRESLLKVFESSAQPEKQTPSVKK PSIYWEDPWRMGDKDKHWNYNVFNALGLEHKLIERVGNIAREESVILKELAKLESHPT EQTEVSSQ SPAR_D04880 MNVTSNATAGASFPLAFGLKTSFGFMHYAKAPAVNLRVKESLLP EMSDGVLALIAPVVAYWALSGIFHVIDTFHLAEKYRIHPSEEVAKRNKASRMHVFLEV ILQHIIQTIVGLVFLHFEPTYMTGFEENAMWKLRADLPRIIPDAAIYYGYMYGVSALK IFAGFLFVDTWQYFLHRLMHMNKTLYKWFHSVHHELYVPYAYGALFNNPVEGFLLDTL GTGIAMTLTHLTHREQIILFTFATMKTVDDHCGYALPLDPFQWLFPNNAVYHDIHHQQ FGIKTNFAQPFFTFWDNLFQTNFKGFEEYQKKQRRVTIDKYKEFLQKRELEKKEKLKN FKAMNAAGNEVKKEK SPAR_D04890 MFNRVFTRSFASSLRAAASKATAPPPVKLFGVEGTYATALYQAA AKNSSIDAAFQSLQKVETSVKKNPKLGHLLQNPALSLNDRNSVIDAIVETHKNLDGYV VNLLNVLSENNRLGCFEKIASDFGVLNDAHNGLLKGTVTSAEPLDPKSFKRIEKALSA SKLVGQGKSLKLENVVKPEIKGGLIVELGDKTVDLSISTKIQKLNKVLEDSI SPAR_D04900 MGKSLADQISDIAIKPINKDFDIEDEENTSLFQHNEKNGESDLS DYEDANIEQTKKAHYFEVEKSKLRAEKGLELNDPKYTGVKGSRQALYEEVSNNEEEGE EEEEDEEDEEEDALSFRTDSEDEQVENEEEESDADGDEMEEAQQKRQALSKLVQQETK HAINKLSQSVQRDASKGYSILQQTKLFDNIIDLRIKLQKAVIAANKLPLTAESWEEAK MDDSQETKRLLKENEKLFNNLFNQLINFRIKFQLGDHITQSEETAKHKISKKRSVKEL HKETDSLDLELKEYRSAVLNKWSTKVSSASGNSALSSNKFKAINLPADVQVENQLSDM SRLMKRTKLNRRNITPLYFQNDCANGRLPELTSPVVEDGVDDNENSDDGLDIPKNYDP RRKDNNAIDIAENPYVFDDEDFYRVLLNDLIDKKISNAHNSESAAITITSANSRSSNK LKKNIDTKASKGRKLNYSVQDPIANYEAPITSGYKWSDDQIDEFFAGLLGQRVNFNEN DDGEQNVGEENDEELEAVKNDDIQIFG SPAR_D04910 MKNANESKSYTIVIKLGSSSLVDEKTKEPKLAIMSLIVETVVKL RRMGHKVIIVSSGGIAVGLRTMRLDKRPKHLAEVQAIAAIGQGRLIGRWDLLFSQFDQ RIAQILLTRNDILDWTQYKNAQNTINELLNMGVVPIVNENDTLSVREIKFGDNDTLSA ITSALIRADYLFLLTDVDCLYTDNPRTNPDAMPILVVPDLSKGLPGVNTTGGSGSDVG TGGMETKLVAADLATNAGVHTLIMKSDTPANIGKIVEYMQTLGLDDENKIRKAYDGDL TQLQKRELEKLKALNVPLHTKFIANDNKHHLKNREFWILHGLVSKGAIVIDQGAYAAL TRKNKAGLLPAGVIDVQGTFHELECVDIKVGKKLPDGTLDPNLPLQTVGKARCNYTST ELSKIKGLHSDQIEEELGYNDSEYVAHRENLAFPPR SPAR_D04920 MNVYDDVLDATVVSHSLTTHFTTSDYEELLVVRTNILSVYRPTR DGKLYLTDEFKFHGLITDIGLIPQKDSPLSCLLLCTGVAKTSILKFNTLTNSIDTLSL HYYEGKFKDKSLVELAKTSTLRMDPGSSCALLFNNDIIAFLPFHVNKNDDEEEEEEGE EEEEEDIDDEELIHSIDQKSQGTNAFNKRKRTKLCDEFTASSVVLVANELYEGAKNII DIQFLKNFTKPTIAILYQPKLVWAGNTTISKLPTQYIILTLNIQAAENATKIESTTIA FVKELPWDLHTVVPVSNGAIIVGTNELAFLDNTGVLQSTILLNSFADKELQKTKIINN SSLEVMFREKDTTSIWMPSSKSKNGASNNDETLLLMDLKSNIYYIQMEAEGRLLIKFD IFKLPIVNDLLKENSNPKCITRLNATNSNKNMDLFIGFGSGNALVLRLNNLKSTIETR EEHKAPSSSTNSLMDINDEDDEEMEDLYADEAPENGLTTNDSKGTVETVQPFDIELLS SLRNVGPITSLTIGKVSSIDDVVKGLPNPNKNEYSLVATSGNGSGSHLTVIQTSVQPE IELALKFISITQIWNLKMKGRDRYLITTDSTKSRSDIYESDNNFKLHKGGRLRRDATT VYISMFGEEKRIIQVTTNHLYLYDTHFRRLTTIKFDYEVIHVSVMDPYILITVSRGDI KIFELEEKNKRKLLKVDLPEILNEMVITSGLILKSNMCNEFLIGLGKSQEEQLLFTFV TADNQIIFFTKDHNDRIFQLNGVDQLNESLYISTYRLGDEIVPDPSIKQVMINKLGHD NKEEYLTILTFGGEIYQYRKLPQRRSRFYRNVTRNDLAITGAPDNAYAKGVSSIERIM HYFPDYNGYSVIFVTGSVPYILIKEDDSTPKIFKFGNIPLVSVTPWSERSVMCVDDIK NARVYTLTTENMYYGNKLPLKQIKISNVLDDYKTLQKLVYHERAQLFLVSYCKRVPYE ALGEDGEKVIGYDENVPHAEGFQSGILLINPKSWKVIDKIDFPKNSVVNEMRSSMIQI NSKTKRRREYIIAGVANATTEDTPPTGAFHIYDVIEVVPEPGKPDTNYKLKEIFQEEV SGTVSTVCEISGRFMISQSQKVLVRDIQEDNSVIPVAFLDIPVFVTDSKSFGNLLIIG DAMQGFQFIGFDAEPYRMISLGRSISKFQTMSLEFLVNGGDMYFAATDADRNVHVLKY APDEPNSLSGQRLVHCSSFTLHSTNSCMMLLPKNEEFGFPQIPSFQNVGGQVDGSLFK IVPLSEETYRRLYVVQQQIIDRELQLGGLNPRMERLANDFYQMGHSMRPMLDFNVIRR FSGLAIDRRKSIAQKAGRHAHFEAWRDIINIEFSMRSLCRDK SPAR_D04930 MPAKKRTRKTVKKTVSFSDDTTLTTHQNREKKNVDHDRPPVYVR KAPLMTFPYHLIALLYYYIFVSSNFNTVKLLSFLIPTQVAYLVLQFNKCTVYGNKIIK INYSLTLICLGVTFLLSFPAMLLTILFGAPLMDLLWETWLLSLHFAFLAYPAVYSVFN CDFKVGLWKKYFIFIVVGSWISCVVIPLDWDRDWQNWPIPIVVGGYLGALVGYTIGAY I SPAR_D04940 MDIRGRKMKKPPACVQCRKRKIGCDRVKPICGNCMKHNKMDCFY PDVPGQYVPSSSSSSNTRQVASGPYLNSYYASRRVSKETAALLQKNPELASLEQIREY NTRLQLLNAQSQLNNRSSAANATLNQQHTQYIPKSVPSLESKPVTSANESSIPLNWVQ GPAIFHMLTSPYTQDEIINHEMNFLKGRLLELQEITGKKITGVNLDFKQDSSAQMQSS HSNRNQEEFLTIRKRKLGEDGITDGDGKTIPENERRPHLNEFKDLDPQFLDTNKVFNV FNSAISQESRNKLWLLPKNINKSSIFQIQYLIERDPFLFKFFKELNTLIETQFNGPLH DVITNHNNKERNSSISQILRFPSQSITQNLVNKYLSTITETNSILPILKPKRLLPIIE QLFPSNTINIPNSSEFETIFQVFSVTDDQLLNLGFITLCLLILFESLNSTVLIPLRDE GHLQLFNVLFNYLPSLKSNLTTFKFEIEKRSMCNIDTLRFISLWKYYQFVTTTSSSSS LVIDYDEDMHMACALSLNHETQNQSHILVWNFIYKNYCWRHLFLGQLPLLMSEPFTNS TPIIDPLLNNDFELIDFEVNLMKYLQSKDQQLSIDKIIELIKLLKTKNIEVSQGCLTT PSIINNIMDSLIYRNSMLYLNFYLLLQFETLRNYAKFNEILEEFLELSRETLFFVFSN LANIKFAGHEFTFINKSITVLQALVLMLLALYQRSFESSKMTNDGKETCIDQTESIHG NNDNNKRIKNKNVIHLIINKIAMLLSDYTKNCKKQNKLIENLITKIKIISRYIKNLEE NKATFTADLNYRTNNGFSSISSDQLIKLNHELNKISESLIKTDFYEQRKNSTVSNGTA GTAAPIDSDANLDTFGLTKENFNEIFKAIRS SPAR_D04950 MKFQVLSIITLFACFFTTAIFAKEVTPEDPEITHKVYFDISHGD EKVGRIVMGLYGLTTPQTVENFYQLTISRDPKMGYLNSIFHRVIPNFMIQGGDFTHRS GIGGKSIFGNTFKDENFDVKHDKPGRLSMANRGKNTNGSQFFITTVPCPWLDGKHVVF GEVLEGMDVVHYIENVKTDSRNMPVKEVIIVESGELETVPLGHQDAAKLQEEIKAEAS EASHDEL SPAR_D04960 MPESQDYFKTLQLIHRFIKWQYKADSINVAIQDGPEAGQSVPHL HTHIIPRYKINNVGDLIYDKLDHWDGNGTLADWQARRDEYLGVGGRQARKNKSTLATA DGDEPLKGPNVLKPDSQRKVRTLGEMKKEVEDLQSGLEQFVSSNTDLTQWL SPAR_D04970 MANKSRPKKIKAPYRKYVAGEGFSSTRNDNKAREFTITVPDDAE LIETPQGSYYYDKINETIVKLTRLSNDKKEKKGHKQSLLSSSAPNFQEDKDGKVIENE ETSIHSLSLRMVLPWEVQHRIIHYLSIPEDEEKANKTTNGRKATTGINMNYLLVCRNW YFMCLPKLYFAPALTSKNFNGFVDTIIINKKKNLGHYVFELNLSTILQSGRNSFVSKL LRRCCSNLTKFIAPQTSFGYAPLISLKSCHDLKFLDLGLVSETVKLKELFSAIKNFTK LTHLSFPRSSIDCQGFQDIEWPQNLRYLKLSGGITNEFVIDTKWPKTITTLEFSYCPQ ITELSIYSLLSQIGDNLKHLFFHYPMPSLTENSLDHVFTYCANLISLQLMVDYCSKWC FSEFMLSKLVEYDRPLKTLYLECSGSLGLASKIHPDDLTIAILESRLPCLKNICVSPK LGWNMKSDEVADLVVSLEDQDGSLYLNY SPAR_D04980 MLRQDKTTSKNMRDLRLQGPYRKYIPYNIFELCGIGHLNALDYI FAFLVVVANFTLISRLHSSSFWNRPWDNHGEEELSQLIQFYVDKAFYIHELPPFTIQF YSIVRRLKIAENLRYVSLLLNSSTLGFLFLILRRINCSYVISATGLLILSTWETFRNE GTVISFDSLEWCLFSVVIYSLISVSTVKQGTTRWFAHLVTLSISLGLAISSKFIGVVT WAFVILSLVRQFDRLISDIKVTTSQIVRFIILCVLFVLVVPGSIFIISYSNLLTNFKT DTPQFSKYMSTFFKSYLRGPQLQPSRLYYGSTITLRHLDSMVGYLASHDISYPSDADE QLVTLSFEEFNVDNEWVVEHPTLNLNFSEVHHADQLTPVEFGQDIKLRHKSTGKLLRA STAKPPISEQDYDFQISCTKDSDYEGGMDETWDVLLIKDETNNDKKNNADDKYVKPLR SEMRFYNNGQRCGLLSHDLRLPEWGRFEQEVLCMENPVTPRTTFVIDSVQLPVDFQVP MMEYYMSEINSSAEVNHTLSWSQLFHLLGEYIFKQYKYNYYIKYGKNKVSFEDAFAVE KWPITLDAESPVWFNFAWYGSILSMFIFLCVQCKRMICWNPWSTAEASFSIHWDIYNE FGWKCIIGWFLHFYIFTMSPHFNLGKTLYFQSFFFSVLCLLESLDFLTKQMVERSCQL SPAR_D04990 MTDRLTQLQICLDQMTEQFCATLNYIDKNHGFERLTANEPQMSD KHATVVPPDEFSNTIDELSTDIILKTRQINKLIDSLPGVDVSAEEQLRKIDMLQKKLV EVEDEKIGAIKKKEKLLRNVDSLIEDFVDGIANSKKST SPAR_D05000 MTSASITNTGNETMNLPQMRSIWLDEDEEAEKLYGLQAQQFMGS DDDDENLGITFINSDKPVLSNKKNIDLPPLLPNAHSSSYHRRSNSSSAKSKESSASSA SKTNHKNVFFKLSLLKKKFLGAQLDIRGKGISTPFDFQHISHADTRNGFQDQQVQEPS PLPTEIEDDCASSSSKRDSRSLNKAFVTERIPANRESKLISRSHDKKTSRLSVARSIS VTSSNYSKNTQGNIHSINGRVVSTSTMATSIFEYSPNASPKQLKNKSHALGHRYTNST DSSESSLDFLKNYNFPTLLEDKPILDFLPRSQRSSAYRSLLETPNSNKDSSKVFSPLR QSPLLKRRNSIATPSPQSKFSYADSPANYRKSFDDVLHPYNQLEPLQI SPAR_D05010 MSENTTAPSDNITNEQRLPSGSKDDVDTLTPTSPQNQANDMREL DADANTKDLPSITDIPISDDSDIKRHDGSGFGSNPHHIEDPPCSSIDTLKKGMEKVTK QCNDLKTALLSKDTSLTDSVQDLFNSLKVLSHNQSVLENKLDDVMKNQVNTDVLVNNL NERLNKLSTLLQNTSKVNYSNLPIENSSNNNNSQHNTSSSRRGPGRPRKDTSTSAMNK LVSNAASANPKSSSNQSTPLSPVNISLPTAVVQTSKSKRYFVEPSTKQDSLLLSAPSS SRDDADIPLTSVPQRTSLENGKDRPSTANSSSMTPTPVTPNNLIQIKRKRGRPPKKRT VETMISNSTDTIDKSDASNRIKTEIPINSLLPSSKFHQIPSSPSNPTSQPVPIRISRS ATHETDSKSLEPASPISTNGDANTEDSNATNIAYNNAEEKVDGEEIKTQKEKIITIKT PSDNSGNNTNNNNNNTDNVIKFSANSDINSDIRRLMVNDQFSLSYDASGNITVKLPPV SSPAAATAAAAAAVTSEMNRQQRELDKRRDSREKMLVNMKYNDRDKAKSFMESNKKLL KAMKEEERRKRMTSIIHDNHLNLNLNEISTRSKIKSMEKPTTKGSSMSPKPRSASISV MSDHQQEGCQPAEQEKLVDIDNEGSNVNNDPLKMGLTISAAGTVHKVGIQSMLNSGEE AAANDNAEYEKKALGDEETTTFVPLVNSPSSDVVRKRSSGDDEPGNQTEDTSISSKKR RTADQIKGEEDEGETGVSQGEMLATVENVSGDISADFSKGTSSIHNDTESVNDSSNGN GNLGLGTESRNTLLTATPIELICREGFFYRRDIPDVPITTGAYLEFKFKAKEEELINS SINEEDYATKSKHEKMNAHFFKPDIQEETELAFEILSKTTLTEKYVNSLEYFLMEFRW ENKLVGLGLKLRESKRTWQRRKALFALFEFWRDQSRDKRRFHNYTILHAVKEMENYRI FINRSVSWFYNHITLLKMILYDLCDNVTTQWREWMFPHNETLPTLGQDGINEDNLNET IDNMLIFDFLDDGSENNQVKYSRIIPPDIR SPAR_D05020 MSRSGAAIFEKVSGIIAINEDVSPAELTWRSTDGDKVHTVVLST IDKLQATPASSEKMMLRLIGKVDESKKKKDNEGNEVLPKPQRHMFSFNNRTVMDNIKM TLQQIISRYKDADIYEEKRKKEESAQNTETPMSSSSVTAETPTPHLDTPQLNSGAPLI NTAKLDDSLSKEKLLTNLKLQQSLLKGNKALMKVFQETVINAGLPPSEFWSTRIPLLR AFALSTSQKVGPYNVLSTIKPVASSENKVNVNLSREKILNIFENYPIVKKAYTDNVPK NFKEPEFWARFFSSKLFRKLRGEKIMQNDRGDVIIDRYLTLDQEFDRKDDDMLLHPVK KIIDLDGNIQDDPVVRGNRPDFTMQPGVDINGNSDGTVDILKGMNRLSEKMIMALKNE YSRTNLQNKSNITNDDEDEDKDERNELKIDDLNESYKTNYAIIHLKRNAHEKTTDNDT KSSVNSMKNADLKVSNQQMLQQLSLVMDNLINKLDLNQVVPNNEVSNKINRRVITAIK INAKQAKHNNVNSALGSFVGNTSQANETEAASTLPIDLLESCRMLHTTCCEFLKHFYI HFQSGEQKQASTVKKLYNHLKDCIEKLNELFQDVLNGDGESMSNTCTAYLKPVLNSIT LATHKYDEYFNEYNNNSN SPAR_D05030 MAKRRQKKRTHAQITPEQEQGIPKSMVIRVGLTSLANHSLNQLV KDFRQIMQPHTAIKLKERKSNKLKDFVVMCGPLGVTHLFMFTQSERTGNVSLKIARTP QGPTVTFQVLGYSLGKDIKKFLKRPKSLNNDDVLNPPLLVLNGFSTTKKSGENDQDVN VEKVIVSMFQNIFPPLNPARTSLNSIKRVFMINKDRETGEISMRHYFIDIREVEISRN LKRLYRAKNNLSKTVPNMHRKEDISSLILDHDLGAYTSESEIEDDAIVRVVDNQDVKA KHSQSSKPQRTPVEKSDIKGHQKETEEEDVEMEGPKFSETPQPTPRKKAIKLTELGPR LTLKLIKIEEGICSGKVLHHEFVQKSSEEIKALERRHAAKMRLKEQRKKEQEENIAKK KAVKDAKKQRKLERRKARAAEEGEDQEKGDAMSDDKSSSSGDEHYSDVPEDLDSDLFS EVE SPAR_D05040 MVIKEDCINNLARWQADEDAQSCFQCKTNFSFLIRRHHCRCCGR IFCSSCTENFVNYNKKRVHPLQKKNSDVESPPYRTCNECYDNLLHLNLLVPSANRDVR LSPILAPPSALSPAALDGNTDEDAEILEDSVDQSGTACRSEERSQNEEDRFCPICNSD LTQFSNEEEAREHVEDCLQRAENAQQHTSTSDAAHDSVKESPAFQNRMLVYKIPLSTA DDENLVAIKECPICFENMEPGEKVGRLECLCVFHYKCIKNWFHKKAQMTAAQKGNGHA FVKRNFCPFHDAVF SPAR_D05050 MVKRLLESGQQDQAKRKISKGEKKDVSLYEEEEADDSFDSFYQD EQDDLSDIDWEEVSLDGSITVTFDNIRRDKGEVNKRKKKPNEKAFNYQRLKFGLHLVM MPFMLFFLKARMKWIEDERLNRRLRRSVPKLIGKKFKDWDARDPAFKMTSLRTLLLGL VLWFRSNYKMNSNGIRQNFNRLQYLLRYANSQKESSAFEYTYKEVFENQQEFYGNRPL VNHGIEDIRKMAKRKMANRDILTLFFLIILDNVLPGPKKLYLCFALPLHDYDIRCNRV KWQIEHGIGKVPNRFDSDLIQPYFWIELEFPTLSDGELYIIDPIAHLDEQEMVLKTRK DRFVPTYQPSVETKYNLNQKFHYVIHIDCTEKLLEDVSPRYVPNVCYRYFELSESSPI LKSKHYASYQNLSKWLEILNKKKVSFPHDALMKSIALSNFTFPKSVADIKKTDNFLIP SLLKSNEVLKPHAKQAATFTRGENSSEPIFWKKDVIQLKSRQHWAILGRSVLPNAQPL KRKKHLPMRERMVRNLDKYVIKELFSYEQTMKTPKYPNTYCDHLGHEHVITDLSHYKN KFGNIEIYSKETKPDGFELIPLSEEVDFKCLIKRYNKGKRKAQKIEYLDVVSGFDFKQ KKGHAIPKIESILVEERDFKLVQNLKRQTKLLLGLSFWDILLRKLRVKDRLNAEYGNL GDNLGDNDQVWKDH SPAR_D05060 MRVIGRGGANILVDYGDPRWLWRCCIRCPDLLSSNNSYTIKNIQ YIKNSVEPLLHGLLCPMDLIDVNIEAIRPILSVFISDLDDKVVKVIKIKNLVNRATNL IKNDHFLKSYCSQNLQTVLLELKPKWLYYDTDYCRNCTHNAFKGRKTKYCYNQLLVNP SHLEVVFGDCNIYTENFKAAMHEYLHNDNNIFKILYDLQKKLTKNTISTKDIKSINDV NDEYLLLMTLRDVTCFIEWNSAESALCVNIIDVDLKPKEKWTHWTKTYSQLASSQKIY HTSDK SPAR_D05070 MVVFRRSPMGLLHYARQPVSRSVFLESQRRLLSLTSCRYNNSHI DDNKNKKKLKNVFQANSNKVVRKPKTKEELARERFEEQLKSPNRFVRWGAIARSEKFS KGMTKYMIGAYVIFLIYGLFFTKKLFAKDKELERLLKKQEEGNANEYETLRIKELKGK LRRRDELKLEEYKKMQEEGVENFDDIQVQNFDQNKLNEQILPARDTTNFYQGKAGEYD KAINMEEKVIFLGKRRKWLMKHCQGDVLEVSCGTGRNIKYLDMSRINSITFLDSSENM MEITHKKFREKFPKYKKAAFVVGKAENLVDLAEKGKPSLENESEKQVKYDTIVEAFGL CSHEDPVKALNNFGKLLKPDGRIILLEHGRGQYDFVNKMLDNRAEKRLNTWGCRWNLD LGEVLDDSDLELVEEKRTHLGTTWCIVAKRKGDAKKKGELGFVEKYLQSSIRKRMESF EKNDSTESKKELGPITPVSKS SPAR_D05080 MSYSVDTFTDYILFFGSSSLVGKGALENLLDINFYIKNVSDIQG KLDSLTEIKGDVVLNKHVFCVNRRSIAEEKSFMKTIDYINMRSVTWKGGRYYLRSRKE KDTEKRAPSPNTFCYDAFEEGFIKNTTEEKGNDNFSFTYNQKQFSYALHYACGKEKGL GIIYNFTVTQMIIPRSENWPRLLSRIFSGTRKLEKFDTNNKTYVPGKNLPNLCDIRTM VCSLGSTSARVRKTQVPNSFADYYLPFTLAQEFTNTTDKKLVVITAFNNDFLSKTFEY FRTKAKLENDLDESLPNKLKELVILRPGPMCGQHGNPINVGLGQENSNLLEKILYYPR YLLVYKKQYIGEVRRVGLRTKLSEIIASSIYRMPGSALLGYAVPVSKVSYVASLMAIE RKSKEAGPKLEVISSYQIDMIA SPAR_D05090 MSKIDDLQQDIESLLSEIKSLEKSREELKVNIKNKRKNEDSTNP IVQEFEDLFDQFPQLNNFLFNEHPELEETDEKNVTKAQAIIPATPVPYEPKKRVKLEN DEILPEQEWVLKTQPMVQHQMFDSEVADLLDTDILTSPSKRKRKLKIEDIDTRDRRKL EDSVVLENVYRMFGITFFPLVDPVDLKIKDASGEIFVDREMLGIRLEVFSERTSQFEK PHYVLLKKRIKSNSWFLFKHTIPNFIDVQGIFDDTNGGLVISRDDAYLFAKRVFLQLV EVRNRRQIFKDLEAKKIIHDLDLDLESSMVSFLVKDVKVELFVKQNEIVSCSILDDIH DFSQNNKSKWELALLGSLDDLELKLNHSFATIFK SPAR_D05100 MIRQLNYWSRKACLIYPFQVLVGALFSIVISSETLNHQKKTYAL LKSSNIFNVIFAYKANQLWPFLFFGLAFLQIYFHYLARMDVLPLPISSTETSSSYLTY TNRWPLFKNRVISIMITQYACKFVLKYLLLFLSFQFIDHVFIWTGGECSSGSKTTSAE QCRLENGQWHGGFDISGHFCFLVNISMILWMELHLFSRFVQAEDMFWVVNKWVRACLA IVCTVLVIWICILWVTAIYYHTILEKVLGCIMGYICPVFIYHILPKIGLLHNYLYV SPAR_D05110 MSDPFAHLLTSLKNKDSASVSKETTPQSSNSPSISASSALAGLA KTDKSINDTVNSISASPLILSSKLDFSGPPLVPSNSTPNSNTADNTPPSALVNIDDDF NQLFGTDTIAAANTIQQVDQDYYGSKQDLHNNVDDALVDEVKDMEIAKLMSLGLSIEK ANEFYDNDITYERYVENLKSNQKKRKDRIVREKRNGTRIEKSGLSNNADPDNNTLFSM ATNFFNKGKELVDQWTSFPPEANDRLNSYLNTHEKSEDYHLPPVNDPSNGPLLEDNKV EQKLPPSNNLDEDLLTDFEKIDITKGTVTDVTHSPSPSPDILIEENPRRNEPLVEHSL LDFSEENPINSKRNEGSTLFNGSSNADFTIPISDIELSGYNEFKAKGTSLFKNGDYVN SLQEYEKSLNSLPLNHPLRIIALSNIIASQLKIGEYSKSIENSNMALELFPPSKATWN NKISKSDPERSFNDIWPKIMIRRAESFEHLESFKNALETYQELINKNFFDDRIMQGKR RCQNFMNPPPVRKSNPVEKTSTTLPPTRRPTSTPSPALSPITIDSTSEIKKLELENAK LALYDKVFERVSSWKDGKDDDIRHLLTNLSGLLTWCNWKDVPVQDLVMPKRVKITYMK AVAKTHPDKIPESLSLENKMIAENVFSTLSIAWDKFKQQNDIN SPAR_D05120 MENPHEQVQANILSRIIGNVKRLNESVAILNQELVTINNRNKNL EIMGAICDNYHSSVQFNLEATNNKKPPL SPAR_D05130 MKSDSVEITTICPDVENSQFVVQSNCPETIPEILKSQNAAVNGS SITCQQRSLPRIKILGTGGTIASKAVDSSQTAGYHVDLTIQDLLDAIPDISKVCDIEY EQLCNVDSKDINQDILYKIYKGVSESLQAFDGIVITHGTDTLSETAFFIESTIDAGDV PIVFVGSMRPSTSVSADGPMNLYQAICIASNPKSRGRGVLVSLNDQISSGYYITKTNA NSLDSFNVRQGYLGNFVNNEIHYYYPPVKPQGCHKFKLKVDGKRFRLPEVCILYAHQA FPPAIVNLVADKYAGIVLATMGAGSLPEEVNEACMKLSLPIVYSKRSMDGMVPIANVP KKGSTDDNLIASGYLSPEKSRILLQLCLAGSYSLEEIKHVFTGVYGG SPAR_D05140 MLRRSIHTTKVLKKPNATPHIWSNFTMRPSSLSIQSSKVKNYLF QKKASLDPPSISRRSNRIKYSPPEHIDEIFKMSYNFLEQRSSKFYELAKKTKNPLKKD ALLIKAEINNPEVQYNFQFNNKLDNVKDIIDYDVPVYRHLGKQHWESYGQMLLMQRLE TLAAIPDTLPTLVPRAEVNIKFPFSTGVNKWIEPGEFLSSNVTSMCPVFKVQEYDLVD IGRQLYTVLVVNPDVPDLSNDSFKTALCYGLVNINLTYNDNLIDPRKFDSSNIIADYL PPVPEKNAGKQRFIVWVFRQPLTEDSQGPNVLKIDQEELTRDDFDIRQFTTKYNLDAI GAHIWRSEWDANVAAVREKYGLPSGRVFSRVRR SPAR_D05150 MSTVNVLRYSALGLGLFFGFRNDMILKCNAKKKEEQSQYEEKLR LVEEAKKEYAKLHPVATPKDAPANTSFNLEDPNIDFEKVILNTVESLKETST SPAR_D05160 MVFEGISPDFLRDLSIMDLENVSCPICLRKFDNLQALNAHLDVE HGFNDNEDSLGSNDSRAVNGKPKKTNSSSSNVQKLKRSHWEKFQKGKSRCHTCGRTLN NDIGATNCRKCGKLYCRRHLPNMIKLNLSAQYDPRDGKWYNCCHNCFVTRPGYNDYGE AVDLTHEFFKVRNTKREDKNLRLLQLENRFVRLVDGLITLYKRYTRSIIYNLKMNSEM SKLERTVTPWRDDRSVLFCNVCSEPFGLLLRKHHCRLCGMIVCDDANRNCSNEINIGY LMSAASDLPFKYDIQKDDLLHIPISIRLCSHCIDMLFIGRKFNRDVKMPLSGIFAKYD SMQNISKVIDSLLPIFQDALNNLKLEIAKDSESVLEPKNLNDLARLRHKLLSSFNLYN TLTRQLLSLQPQNHLERQLQNSIKIASAAYINEKILPLKSLPAILNPESQKTKEDEQK GEPEVKKLSQLMIENLTIKEVKELREELMVLKEQSYLIESTIQDYKKQRRLEEIVTLN KNLEELHSRIHAVQSKLGDNGFN SPAR_D05170 MNELIATFNFKEKPRRLKIRRKTVKMTSQERMIVHRCRFVDFTP ATITSLAFSHKSNINKLTPSDLRLAIGRSNGNIEIWNPRNNWFQEMVIEGGKDRSIEG LCWSNVSGESLRLFSIGGSTVVTEWDLATGLPLRNYDCNSGVIWSISINDSQNKLSVG CDNGTVVLIDISGGPGVLEHDNILMRQEARVLTLAWKKDDFVIGGCSDGRIRIWSVQK DDVNSGRLLHTMKVDKAKKESTLVWSVIYLPRTDQIASGDSTGSIKFWDFQFATLNQS FKAHDADVLCLTTDTDNNYVFSAGVDRKIFQFSQNTNKSQKNNRWVNSSNRLLHGNDI RTICAYQSKGADFLVSGGVEKTLVINSLTSFSNGNYRKMPTVEPYSKNVLVNKEQRLV VSWSESTVKIWTMGTDSDKEQNYKLVCKLTLKDDQNISTCSLSPDGQVLVVGRPSTTK VFHLQPVGSKLKVTKLDNVLLLRTATKLVKFIDNSKIVICTCEDDVFIVDLESEDDEK PQEIELLEITSTKSSIKVPYINRINHLEVNQNIAVISRGCGAVDILDLKTRISKSLAR LNNFVTAVHINTPRKSVVVITADNKIYEFNMNLNLEAENEDSESVLTQWSKNNTDNLP KEWKTLKENCVGIFSDMNNSNRLWFWGATWISRIDFDIDFPINKRRKQKKRTHEGLTI TDESNFMNDEEDDEDDDIDMEISENLNVLLSQGNKIKSTDVHRSEESSSRFFFTDKYK PLLFVDLISSNELAIIERKPLSSHSKQKAFIQPKLVF SPAR_D05180 MQDPNGIDINTRIFNSVAEVFQKAQGSYAGHRKHIAVLKKIQSK AVEQGYEDAFNFWFDKLVTKVLPLKKNEIIGDRIVKLVAAFIASLDRELILAKKQNYE LTNDEEGVFSRFVDQFIRHVLRGAESPDKNVRFRVLQLLAVIMDNIGEIDESLFNLLI LSLNKRIYDREPTVRIQAVFCLTKFQDEEQTEHLTELSDNEENYEATRTLVASIQNDP SAEVRRAAMLNLINDNNTRPYILERARDVNIVNRRLVYSRILKSMGKNCFDDIQPHIF DQLIEWGLEDRESSVRNACKRLIAHDWLNALDGDLIELLEKLDVSRSSVCFKAIEALF QSRPDILSKIKFPESIWKDFTVEIAFLFRAFYLYCLDNNITEMLEENFPEASKLSEHL NHYILLRYHHDDIPNDFEGQFDNNILEFIIEQLLIAAERYDFSDEVGRRSMLTVVRNM LALTTLSEPLIKIGIRVMKSLSINEKDFVTMAIEIINDIRDDDIEKQEQEEKIKSKGS GRRKKISVDGEDENGTHHDAADDDEEDDNIASFHSAVENLVQGNDNVSESDIINNLPP EKEASSATIVLCLTRSSYMLELVNTPLTENILIASLMDTLITPAVRNTAPNIRELGVK NLGLCCLLDVKLAIDNMYILGMCVSKGNASLKYIALQVIVDIFSVHGNTVVDGEGKVD SISLHKIFYKVLKNNGLPECQVIAAEGLCKLFLADVFTDDDLFETLVLSYFSPVNSSN EALVQAFAFCIPVYCFSHPNHQQRMSRTAADILLRLCVLWDDLQSSIVPEVDRDAMLK PNIIFQQLLFWTDPRNLVNQTGSTKKDTVQLTFLIDVLKIFTQIEKKEIKKMIITNTN AIFLSPEHDYSTLKQLLEYSDDIAENDTLDNVSKNALDKLRNNLNLLIEEINERSENQ TKDEHNMTNDQYSSILGNSFNKPSNDTMEHDVNTTNGDDTGLTKPTAKVSTANTNQIN PRKRTRSETEQIDTSRDLENTFILDTSAVTKNVSFVLPEEKGDAMSVDEEGNNSESFT DVC SPAR_D05190 MGDEGSRKKRSFSDGHFFKKLKLMSRKKQPVVERSKTTRTRKES TNSAAKSSLSLRRGNNGRKTIAKRRVLTDIGSTNEGVAGNSVSNSPTHDSHKPHFSDS IPPLPLELPDIVSIRSSRSHISNKSNKNKHGIDLTFIPRRSLQNSKAGLKKLNTSPQG YFNIPVTIDRASEKVKHTDTKNTFNSSSSENERPVLSTLQKDDTQSTSHPAVDTMSAP SNITDNKEVENSSNSLFDTILSIAHSAISHVPKISALNTEIQRELSHSGESHKGSTRH SYLHHHHGQRQHPLAQQQGRLPVSENANQNPNDTVLIHSPSANTAHRSSSFLRHLDYL LSPTSGTTSDNHAQAEEEDDEEEFSPLSKAFLSPSTQLVPTNTSTTPLSDSLTPNNRN TNANSNSETENDNDRDDRSNVGKVKFQPLKVHEPAISTFGKGNLTLEAVAGSSDIDNT TIDLDENNTNNNNNNNPNASPTNLSHISKSNMSNNLGPKELNTSYRNSSYIDMARFEN SQSNLSNHRARSKTLPANKALENAATDENNSKRNSRYSSYSNDMTFDDTEERKFRSMS KKFLNRRSFSPSNLGNKVIPGISLRNSFNKNRNSSSDFFSTNQGQQMPRTSTAGSGNI HAIMGLDSGNNDFKLQGIEYSSEKKNSEFHTLFKDCDINPNEKLIVDHSCALSRDILL QGRMYISDAHIGFFSNILGWVSTVFIPFKEIVQIEKKTTAGIFPNGIVIDTLHTKYIF ASFMSRDATFDLITDVWNQIILGKKYRNGFASNDDGTLSDSSSAFFDDYDDNDDDGDL GDDDPDINSTDMTSSDDIDADIFNESNDLGKNQKSTNFLLGPNKHSPTTANFKPSSND HLVVEANINAPLGKVVNLLYGEDVSYYERILKAQKNFEISPIPNNFLTKKIRDYAYTK PLSGSIGPSKTKCLITDTLEHYDLEDYVKVLSVTKNPDVPSGNIFSVKTVFLFSWDKN NSTKLTVYNSVEWTGKSWIKSMIEKGTFDGVADTTKVMISEIKKILSDEDSNINSKQQ ASNNESEEETVNLPTIGPPVHDPTEPDFQKGKDDTVIDEKINIPVPLGTVFSLLYGDD TSYVKKIIENQNNFNVCDIPKFVNNTREITYTKKLNNSFGPKQTKCIVTETIEHMDLN SFFMVKQIVRSPDVPYGSSFSVHTRFFYSWGDHNTTNMKVVTNVVWTGKSMLKGTIEK GSIDGQRSSTKQLVDDLKKIISNASSTKKRSRRRGKTMNKRKSSPSTTKNENNEENIE ATSTKPSFFSVFSVLQQVNITSVQGIMTIISFFICLVFFFRLLFHSSNTSSIQIITPG KISINGNEYNYVPNFKTLYHVYEDNIIKDAHRKDSNKKNIVTDTEGLIWDWLIDRGNG TVQNGILSNRMKEGNGKKVKLVNEVSDHKIQQLVESIKITELQLQEMKELLAQTDNAS VANQLL SPAR_D05200 MVTSSVVLVSGEGERFTVDKKIAERSLLLKNYLNDMHDSNLQNN SDSESDSDSETNHKSKDNNNDDDDDDDDEIVMPVPNVRSSVLQKVIEWAEHHRDSNFP DEDDDDSRKSAPVDSWDREFLKVDQEMLYEIILAANYLNIKPLLDAGCKVVAEMIRGR SPEEIRRTFNIVNDFTPEEEAAIRRENEWAEDR SPAR_D05210 MPPDQRSRSLLQRHRGKLLISLTGIAALFTTGSVVVFFVKRWLY KQQLRITEQHFIKEQIKRRFEQTQEDSLYTIYELLPVWGMVLNENDLNLDSIVTQLKD QKNKSTRAKSNESGEASPLKTKAELWNELELKSLIKLVTVTYTVSSLILLTRLQLNIL TRNEYLDSAIKLTMQQENCNKLQNRFYNWATSWWSDPEKRVGDAMAAKKSKKDGQEVY INEQAFLSLSWWILNKGWLRYNEIIANQIEVEFNGIHPRDTLTLEEFSSRLTNIFRNT NSQILQQNNNSLTSILLPQDSSGQEFLLSQTLDAEALTSFHSNTLVFNQLINELTQCI ESTATSIVLESLINESFHFIMNKVGIKTMAKKKPGQEDQQHYQMAVFTMSMKDCCQEM LQTAVGSAHGGGVNEYLAALDSVQPLDDLSASVYSNFGVSSSFAFKD SPAR_D05220 MSEGKIDEFMAITGADDAAIATQFIEMADGNLDTAISLFFENGG AALLSSNNTPAPSNSAPMASISADANADAQLAERLQREAYQQQQPEQDYVRPPDEARH EVLTETSGFPISYGGIGGRFEPLHRVNDMFDEGRPESIFNQRLDATNTNTYINDNSSD SLESEVENDDDEYEYVEEPVIELDEDGNIKEYTKLVRKPKFISKEQKLALLFKPPFSI MSKLDLDAAKQKARTKQKWIMINIQDSGIFQCQALNRDLWSSRPVKTIIKENFIFLQY QYESRNAQPYLQFYHLNNKDDLPHIAILDPITGERVKQWNRVVPIPEQFISEINEFLA SFSLDPKVTNPTVNEPPPKVDPTTLTEEQQMELAIKESLNNNSVESNQEEVPNTGEGQ KQVQEMDPFSTIEARVHPEPPNKPGITTRIQIRTGDGSRLVRRFNALEDTVRTIYEVI KTEMDGFVDSRFTLNDHQREDLIDKLNMTIADAGLKNSSLLVEKLDPE SPAR_D05230 MRIAMHLSLLLLYLFLLPFSGANNTDATHEAGPTNTNNWAVLVS TSRFWFNYRHMANVLSMYRTVKRLGIPDSQIILMLSDDVACNSRNLFPGSVFNNKDHA IDLYGDSVEVDYRGYEVTVENFIRLLTDRWTEDHPKSKRLLTDENSNIFIYMTGHGGD DFLKFQDAEEIASEDIADAFQQMYEKKRYNEIFFMIDTCQANTMYSKFYSPNILAVGS SEMDESSYSHHSDVEIGVAVIDRFTYYCLDFLEQIDKNSTLTLQDLFDSFTFEKIHSH VGVRTDLFDRDPSEVLITDFFANVQNVIPDDSRPVSMSHYHHYKDNISTAQYELNNNV LDLALETYRKNNKSSRIGKNFKNIKSTSALNVDIDSNECFFTSFKQSTTIVFALIVTV LLFMLRGNTTKVTYDLYTN SPAR_D05240 MISELATRISTYSISFKFQRIKILKRCYSVPVLRDYQQDAINAC VSSIRQGTKRIGVSLATGGGKTVIFSNLINQLRQNYFKENEGNFKSLILVHRRELALQ ATTTLKKIFPNLKVHIEMGKYDCDIEDSDVIVASVQTLIRRLDKYDTDSVNLIIIDEA HHSVANSYRSILDHFNASTAETKIPVIGFSATFERADKRALSMVMDKIVYHRGILEMI DDKWLCEAKFTSVKVEADLSTVKSTTDDFQLAPLSSIMNTNEINEVILKTYLHKKQEK SLKSTLLFGVDKTHVRSLHKLFKDNGIDTDYVTSDTKQIERDNMIQKFKNGETEVLMN CGIFTEGTDMPNIDCILLCRPTKSRSLLIQMIGRGLRLHHSKDHCHIIDFIGASNVGV VSAPTLLGIKSDDTELDDATVEDLKAIQGEIIAKQQKIDERLKTLFQTNETAMENVSE RNSAVNWIQNANSLDLTLCSFDSFRNFTQSNNSYPAGKEFDEASEAVKEMELLMNSQY PWVKFAFNAWGLPLKGKNHLRIYKEKSEDKSSVIYHLKMYRQLPSFITNKYADYVPKS IIKDASLWNVMSKVEKIINTLNSDLEGQTMQYQAISSKFSKWRHAIPTPKQRDFVFRK LKKVYGESSKDFMSLSLDDVTAYIDTKMTKGDASNLIFASSLAPVYPLKSLLRILEYQ KRRPFMK SPAR_D05250 MSSRALRRLQDDNALLESLLSNAGANKVTSGKSAATNSQKRENI FSMMDSVRDSDNASDEGQLSEQDEETANTGERDAQSNVQAERITLASKSSRRKKNKKA KRKQKNHTAEAVKYNNSDDDDDDDEEFDKIIQQFKKTDILKYGKSKKNDDTNEEGFFT ASEPEEAFSQPWKSFLSLESDPGFTKFPISCLRHSCKFFQDDFKKLDPHTEFKLLFDD ISPESLEDIDSMTSTPVSPQQLKQIQRLKRLIRNWGGKDHRLAPNGPGMHPHHLKFTK IRDDWIPTQRGELSMKLLNSDDLLDWQLWERPSDWKDVIQNDVSQWQKFISFYKFEPL NSDLSKKSMMDFYLSVIVHPDHEALINLISSKFPYHVPGLLQVALIFIRQGDRSNTNG LLQRALFVFDRALKGNITFDSLNCQLPYIYFFNRQFYLAIFRYIQSLAQRGVIGTASE WTKVLWSLSPLEDPLGCRYFLDHYFLLDNDYQYIIELSDSPLMNCYKQWNTLGFSLGV VLSYLRIKEMSSARNALLKAFKHHPLQLSELFKEKLLGDHALTKNLSIDGHLAEILEL RAYMARFPLLWNKNEEVAFLHNEISNILQDYHNGKVTIDSNDGQNHDGISDSQLPFFI AGIPINLLRFAILSEESSVMAAIPSSIWSDNEVYEFDVLPPMPTSKESIDVIENIKSF INDKDLAVLQAERMQDEDLLNQIRQISLQQYIHQNEESNENEAQ SPAR_D05260 MTTSRKSHTKDKKASGEQDLADLKFKYDLLTNELFHLREFVSLI DYDPTHFNDSESFQKFLKDTHLLLEEGAETSANDVIKKSTNGDLTRRRRNLRTSTVVS SETTNDKKGDIEQKLESIAPLVRNKCQELKYRLKDYSNTKSLVPQKRPIQHLKKREVT KALKFKSERKENLPALPAPKTEEKHDRIAKVEETSQAFTIKYHSDDSSFENTSEHYSD NFYFTTSSEEEEIKKKRGRKKKRPRIKLVVHPPKQTVTNPLHVVKPKYENLHEYIASF KSLEDDLTLEEYNQYIDEQRQLLSRLKKGIENGALKYDKGTDSLQPITSKEIKTILAY KPDPISYFYKQQDLQIHTDHLINQGVHMSKLFRSTTKARIARAKKVSQMIEQHFKHAA GAEERKAKEEERHKKSLARFAVQAVKKRWNMAEKAYRILRKDEEEQLKRIEGKQHLSK MLEKSTQLLEAQLNQVNEEEKSSNLSSDSDDLLSESDVAMDEELSTSSDEDEEVDVDV GLKNSPVSTEATPTDESLNLIQLKEKYGHLNGSSTAYDSRNKNGKFPTLDEHESSSSE NSIMTGEESSIYSSSENESLNENERESEDKTPSVGLSALFGKGEESDGEFDLDDSEDF AVNSSSAEGEELEKDQMGDSAANIEKARELDHTQNENRNDIKGIEEDVQTKIQEEQLS VVDVPVPSLLRGNLRTYQKQGLNWLASLYNNHTNGILADEMGLGKTIQTISLLAYLAC EKENWGPHLIVVPTSVLLNWEMEFKRFAPGFKVLTYYGSPQQRKEKRKGWNKPDAFHV CIVSYQLVVQDQHSFKRKRWQYMVLDEAHNIKNFRSTRWQALLNFNTQRRLLLTGTPL QNNLAELWSLLYFLMPQTVIDGKKVSGFADLDAFQQWFGRPVDKIIETGQNFGQDKET KKTVAKLHQVLRPYLLRRLKADVEKQMPAKYEHIVYCKLSKRQRFLYDDFMSRAQTKA TLASGNFMSIVNCLMQLRKVCNHPNLFEVRPILTSFVLEHCVASDYTDVEKTVLNLFQ KNNQFNLVDLDFMNLVFTLNDKDLTSYHAQEISKLTCVNDFVGEVNKLKETNKQLQEE FGESSLNFQDANQYFKYSNQQKLEGTVGMLNFLMMVNKLRCNRRPIFGKNLIELLTKD RKIEYDKSSIIDDALIRPLQTRVLDNRKIIDTFAVLTPSAVSLDMRKLTLGLNDDSSV NENTKLKVVQNCFEVSNPLHQLQTKLTIAFPDKSLLQYDCGKLQKLAILLQQLKDNGH RALIFTQMTKVLDVLEQFLNYHGYLYMRLDGATKIEDRQILTERFNTDSRITVFILSS RSGGLGINLTGADTVIFYDSDWNPAMDKQCQDRCHRIGQTRDVHIYRFVSEHTIESNI LKKANQKRQLDNVVIQEGDFTTDYFSKLSVRDLLGSELPENASGEDKPLIADADVAAK DPRQLERLLAQAEDEDDVKAANLAMREVEIDNEDFDESAEKKAANEEGESPAELDEYE GTAHVDEYMIRFIANGYYY SPAR_D05270 MDSTGASQIVSALDVIYSPKSNNSQRQEAQKFLDEVKLCSESPF WGYEIALQNPTNSILKYFGLGLLDHAVKKNWNDYDEEKRVALRKWVMELNFGVQDYDT RYIKEKLATLWVEVAKRTWGEALKQTNPTEEQLLTSWVDMDNNLYELWNINQSSRELA LIIFRILFEDVFLLDDLIVLKRMTVIQPLCVMIVCPIEVFAIKYKFSDKWTKFKANKE GWFSVWIPELNNALQQNNSEYIIRLLETLKTCLNWPLTEVIVKNDVLSSLLTCLSSNI PRAQSMALDSIHILLTRPYNNESHYQMTIDRVFDNMDLLDSVYESLLFDPTDDIDETK YPIIKKFVDMISCLYVCVPKIKETNGQIQKYFKLVLKTTYNPSLIVSGLTLDLWCTCL RNDEYLPKLEKYVIPDLLQFAADALVYYEQIEGHISKKFAEIDFQSKSEFQTFCSTYR KRIRDIIRLISCVELDLTYDWLNNRLNNYFSSPFGQQVLSSTFLDHKLEPYLGALSQY MIVECFINGCIRWKIWYPTGDDYDEKLDSILQKLEILSNQLIALNLREPLLLKKQIQN FALFLTMLKDNVLFTLLEKIITSATMDYPEINLEERGAESDAVRDLRYACGIELNRMA LLMPESLKKIYPDLESVIARIMPNLSYHEKISFKSFLLIIVLKSSLDMKEERFAAIVD PELLAWSDKTTVVGLSDLHWFMERLGIVQIAEYFQRRNINENSDLLSIPIDDEGKELK SELTKRWQSLFPVRATRMFIHYSMQSIKTDEEFKMLQDLWRPRIVPILPYITRLLYQL QSYHDPDNWKGLPTVVQSFVKYSTIERFWEAGASNKSKDEFIDEHMKAMQTLRDFADS VGHIIRYTREYTLLVLSAISSLGSVFYLLDESPDLLLNSIAIFKPGTNEISPGVSTHG WKHIMNIAIRPILKGCPKDCLGKFMPAFLPKLFEILDLLLCQKWSSHMNDMDMNPVPT DDDQMTEEILEENLLRQLTTVVVRIVIDCVGQGNANPNSAKSRLNNHQMEMRKIIFND LNTLAPFLKLLNHLISFKDTKCSFNSILVMKCCLTSVLNQNNTVDEYFTFEVMKNLLL NVLSNSAFKDSFHEALYVFTVIFLTLCKEYPSARAFLFEISNGYNIDELYRNLRSVDE YKTQRALMIDFIDWVKSTNGKEDGNVDHAGDERKRQEKREAILKKANERLVKKNKENG DMLDDPNIEDGAVGSLFDDN SPAR_D05280 MSQIYKRYVHKSTALIQNIVANTKTTTLVTQLSVEKAKKKVLKA AFKKKPNSWPKERSPNWLELNDAFNIHYGKPTDSDINKVNRFFNKAKVEFEWCAASFD DIPGRKPKTSFPNVEPDNDILSKNEREITSINTLPEVIFLGGTNVGKSSILNNITTSR VSKDLGSLARVSKTTGFTKTLNCYNVGNKLRMIDSPGYGFSSSKEQGKITLQYLLERE QLVRCFLLLAGDKDINSTDNMMIRYIHEHGVPFEVVFTKMDKVRDLDKFKKKVTSSGL MDLPTLPRLILTNSITSSTSPKRFGIDLLRYTIFQSCGLIS SPAR_D05290 MSIIGRNAILNLRISLCPLRMGKRSFVSSPISHSAKAVKFLKAQ RRKQKNEAKQAALKASTDKVDPVLGRVDTPFITRIMAELKEPLVLSKGYNIEEVDKFF AAIECAKRERAELSGLNTEVVGLEEIETPEERREAILRILSMRNSENKNAIKLAVELA RKEFERFPGDTGSSEVQAACMTVRIQNMANHIKEHRKDFANTRNLRILVQQRQAILRY LKRDNPEKYYWTIQKLGLNDAAITDEFNMDRRYMQDYEFFGDKILIRDSKKVASQKRK EIRKQKRATF SPAR_D05300 MAGILSKTLSEVHPSLRTNGMGIGNTHRRISLGFLSPDKKNPLV RKFRARRRNIDQRSFRSLTDDFGSNVHEPSPYLGNIDEEPDLYYHDEEDGELSRTISL PSRVSETPDLSPQDVDWILHEHERRYSSVCNSDNEEASQSNTPDRVQEYSGRELEYDE FMNRLQAQKQKLTQSTVMNAKGTSYHRRPSFVSVTSRGSIPTIYQEIDENDSEALAEL AHSHVTFKSEARVLASYSFPLIFTFLLEQIFPMVCSLTVGHLGKNELAAVSLASMTSN ITLAIFEGIATSLDTLCPQAYGSGRFYSVGVHLQRCITFSLVIYIPFAFMWWYSEPLL SYIIPEKELINLTSRFLRVLILGAPAYIFFENLKRFLQAQGIFDAGIYVLTICAPLNV LVSYTLVWNKYVGVGFIGAAIAVVLNFWLMFFLLLFYALHIEGRKCWGGFSRKAFTHW NDLGHLAFSGIIMLEAEELSYELLTLFSAYYGVSYLAAQSAVSTMAALLYMVPFAIGI STSTRIANFIGAKRTDFAHISSQVGLSFSFVAGFVNCCILVFGRNLIANVYSKDPEVI KLITQVLPLVGIVQNFDSLNAVAGSCLRGQGMQSLGSIVNLMAYYLFGIPLALILSWF FDMKLYGLWIGIGSAMLLIGLVEAYYVLFPDWDKIMSYAEILKEAEDDEVDSDEYLTD SDDPDENTALLGA SPAR_D05310 MGKAKKTRKFGLVKRTLNTKKDQRLKKNQESVEAKDDPELTRNI PQVSSALFFQYNEAIKPPYQVLIDTNFINFSIQKKVDIVRGMMDCLLAKCNPLITDCV MAELEKLGPKYRIALKLARDPRIKRLSCSHKGTYADDCLVHRVLQHKCYIVATNDAGL KQRIRKIPGIPLMSVGGHAYVIEKLPDVF SPAR_D05320 MASTANMICQLKKLSIAEPTVAKDSHPDVNIVDLMRNYISQELS KISGVDSSLIFPALEWTNTMDRGDLLIPVPRLRIKGANPKDLAVEWANKFPCGDFLEK VEANGPFIQFFFNPQFLAKLVIPDILTRKEDYGSCKLVENKKVVIEFSSPNIAKPFHA GHLRSTIIGGFLANLYEKLGWEVIRMNYLGDWGKQFGLLAVGFERYGNEEALVKDPIH HLFDVYVRINRDIEEEGDSVPLEKSTNGKAREYFKRMEDGDEEALKIWKRFREFSIEK YIDTYARLNIKYDVYSGESQVSKESMLKAIDLFKEKGLTHEDKGAVLIDLTKFNKKLG KAIVQKSDGTTLYLTRDVGAAMDRYEKYHFDKMIYVIASQQDLHAAQFFEILKQMGFE WAKDLQHVNFGMVQGMSTRKGTVVFLDNILEETKEKMHEVMKKNENKYAQIEHPEEVA DLVGISAVMIQDMQGKRINNYEFKWERMLSFEGDTGPYLQYAHSRLRSVERNASGITE DKWINADFSLLEEPAAKLLIRLLGQYPDVLRNAIKTHEPTTVVTYLFKLTHQVSSCYD VLWVAGQTEELATARLALYGAARQVLYNGMRLLGLTPVERM SPAR_D05330 MSQDAAIAEQTPVEHLSAVDSASHSVLSTPSNKAERDEIKEYGE GENQEPLVEIPKRPASAYVTVSIMCIMIAFGGFVFGWDTGTISGFVNQTDFIRRFGMQ HKDGTYYLSKVRTGLIVAIFNIGCAIGGIILAKLGDMYGRKMGLIVVVVIYIIGIIIQ IASINKWYQYFIGRIISGLGVGGIAVLSPMLISEVSPKHLRGTLVACYQLMITAGIFL GYCTNYGTKTYSNSVQWRVPLGLGFAWALFMIGGMTFVPESPRYLAEVGKIEEAKRSI AVSNKVAVDDPSVLAEVEAVLAGIEAEKLAGNASWGELFATKNKIFQRLIMGAMIQSL QQLTGDNYFFYYGTTIFKAVGLSDSFETSIVLGIVNFASTFVGIYVVDKYGRRTCLLW GAASMTACMVVYASVGVTRLWPNGQDQPSSKGAGNCMIVFACFYIFCFATTWAPIPFV VNSETFPLRVKSKCMSIAQACNWIWGFLIGFFTPFITNAINFYYGYVFMGCLVFMYFY VLLVVPETKGLTLEEVNTMWEEGVLPWKSASWVPPSRRGANYDAEDLTHDDKPLYKRM FSRK SPAR_D05340 MSQDAAIAEQTPEEHLSPVDSASNSVLSTPTNKAENDELKAYGE GEEHEPVVEIPKRPASAYVTVSIMCIMIAFGGFVFGWDTGTISGFVNQTDFIRRFGMK HKDGTNYLSKVRTGLIVSIFNIGCAIGGIILSKLGDMYGRKVGLIVVVVIYIIGIIIQ IASINKWYQYFIGRIISGLGVGGIAVLSPMLISEVSPKHLRGTLVSCYQLMITAGIFL GYCTNYGTKTYSNSVQWRVPLGLGFAWALFMIGGMTFVPESPRYLAEVGKIEEAKRSI AVSNKVAVDDPSVLAEVEAVLAGIEAEKLAGSASWGELFSSKTKVLQRLIMGAMIQSL QQLTGDNYFFYYGTTIFKAVGLSDSFETSIVLGIVNFASTFVGIYVVDRYGRRTCLLW GAASMTACMVVYASVGVTRLWPNGQDQPSSKGAGNCMIVFACFYIFCFATTWAPIPYV VVSETFPLRVKSKAMSIATAANWMWGFLIGFFTPFITNAINFYYGYVFMGCLVFMFFY VLLVVPETKGLTLEEVNTMWEEGVLPWKSASWVPPSRRGANYDAEDLTHDDKPLYKRM FSTK SPAR_D05350 MNSTPDLISPQKSSENSNAELPSNSSQVMNMPEEKGVQDDFQAE ADQVFTNPNTGKGAYVTVSICCVMVAFGGFVFGWDTGTISGFVAQTDFLRRFGMKHKD GSFYLSKVRTGLIVSIFNIGCAIGGIILAKLGDMYGRKMGLIVVVVIYIIGIIIQIAS INKWYQYFIGRIISGLGVGGIAVLSPMLISEVAPKEMRGTLVSCYQLMITLGIFLGYC TNFGTKNYSNSVQWRVPLGLCFAWALFMIGGMTFVPESPRYLVEAGQIDEARASLSKV NKVAPDHPFIQQELEVIEASVEEARAAGSASWGELFTGKPAMFKRTMMGIMIQSLQQL TGDNYFFYYGTTVFKAVGMSDSFETSIVFGVVNLFSTCCSLYTVDRFGRRNCLLYGAI GMVCCYVVYASVGVTRLWPNGQDNGSSKGAGNCMICFACFYIFCFATTWAPIAYVVIS ETFPLRVKSKAMSIATAANWMWGFLIGFFTPFITNAINFYYGYVFMGCMVFAYFYVFF FVPETKGLTLEEVNDMYAEGVLPWKSAAWVPTSQRGADYNADALMHDDQPFYKKMFGK N SPAR_D05360 MLKWIKGGISAVTGMAEPEYGKDYIHSVADRVKNKQPYRETSRE DFFWQAPDHTNVESVIFYFSDLKTGIFGFAQVIHSNIIGLHTASQFTFRIFDSKNPED LNIWTSTKLENFYIEGPNFYADNLSVELSEDGESYHIQSSVCDLSVVDLHIRRLTPGA KIGDDPATYYGNNIDEPWGSMRHVFWPRNACHGTIKVKKEVIPESDEEESSADEDDND DEGEEEEEEEESGDSEEESGSEEESDGEEVEITYEDRTITFKEEDPAISTFIMAFQGM KPHHAAKAWNFMFFHSEKYSAVLMEFTTPKSYANTKISAGIITDDKEVLAMTTNNNVE HLNSEIDSVGWKVPKDIKITFKGINTKVKDEQLKSENGTEQDLQAGDEKEEEEEEEYK NVAEEDKICAVVEGPLDNLVERIDVMGEIPSFVKNIVSGVAGTKPFIYQYADPKSSTL QINGGEKIHGVAWTEVTFISESDVISEESYNEA SPAR_D05370 MLRYTGARAIRKYSTRYALEHLKEGTPLKGLFSIDGLQKAWFDR VKCLDAKLNDCTNEAQQKPLETLIHENSKSASKKHIVNYASSLYNLRFSMSSLQGCTR TPPEECPKLGPEALLQTPDFNRTISNEPLTTGNERLQAALVSSFGSLMEFRTLLINSN LALPGDGFTWLVARRQLDKRSTRSDMPNRDIEYDKLFILNTYNAGTPFNFSTSGVMNE LNNQYINMEKQRAKEAGTFDDSEMTAKQAKTKFIYETQQKGFSGKEVSYIPLLAIDAS PKTWLTDYGVFGKREYLERVWDSIEWRTVESRLPQRTKIQAFNTL SPAR_D05380 MENRNSSASSRPFSVNNPFRNATIDSSINQYKNDSQFQEWARNQ SRTNSFDKPQLNARTSSQLSFPNIPEDGLQRNADQQGVFYSGLESFSSGSLSPPSRPL SSKNPFLDDESPTNDFRRSPPLPSNNKRYPTATEEKEQLRQKYLEESDVSSTSSTQEG RDLPPSYEEITSTNGSRRAYPKEKGSRSSSHREHSNSGSYIPRRSSSHHHREASSSST PSKKGKRKSKVIVPKNVDTIDKLDVTGLFGGSFHHDGPFDAVTPHRNKNNKAAPVLAF PVDGPNSTIGGASTKKSALDEVFGRDDIDDSDIYQYSSQTLRRGGDTQDAIKANVGNI QQMDAKNKTELVHGPVTAGLGSSTFLDGAPASSAAIRSDIKAHSYHNRNGGIQRNKSL SQRLGLGGSSDSNAPMTGVRRNLSLSRDNYDVGHSNEGVRRSKTVNSSNRTHKSTYTS DFNDQNDDNKDEEDVYLGVRYNESNMKKKSTGSKLLNRVKSLKVGRKS SPAR_D05390 MSCLSLWYLWLISTFQLGFATASTANTTTTAKYKTSSSTENPFP VLTVGKDGRGNYYVNSTFGTPGQNQRLAVDIIQPYINLVSGTNESHSEYFNVYHNHPT YFINESTSSVPVSPGQIYELSFIDGRAVNSTLVTDDMNFTNVLPENSSTALATDLMIT RDNVQFNSGSLSISNVSFFNIESSNFKTSGLLGLSGKITNPGNDIDSSQYTEQSYFLS LLKDANFIESSSYSLWLAGDTSTYETYRDPIFNCGKLLLGGVDPSLFTGTLGKFDLIP YVDPVSNAVSIGYPIVPLGPIYIVSNSGQSLNMTSKDFLSPALLDSTSSVSYLPTSTI IQIAVQIAATYVESLDRWLVQCSMADMGVSLGFRLRELTIEIPLRDLLSSTYDTSTNS SMFFGSGQEACFLTLYANTNTGLNILGEAFMKNIYMAMDLEDNTIAIAQAKKVEDDAV TDDANETTKPTIIKKIRSGYIPYAKAMNSSSTRNLTLYPSNRSGYMLTVPGQLTAAYS NGVITGAGRSFYDTSRATTSPRPSSSQFDSFSVSASDEWSNSTNRTSSVSGAGVRLSS PYTINNNPAGFVARVASLLLLSTFSILIVL SPAR_D05400 MVKCIYRVYFGNLAQMVTRPLFKHVGSAYAYQVLPITNLRSLST KSCPLESKLNRSYSVQFGDSERLMPCFSKGGSSKNVQKHLYELRQLKSVLSETFGVTE YASFFGSLQNTLHIKNCSESEKKKLLYDIILHQHELYPEVARKIGFYIPDEVHKWFWH HIPKSESFNHYLFLLKNDVLLSTSGYCTKFTNRLMKGTEMERQLATFQIFLHDETNIK LIMEKVLKLHTFDSLAALVNGLAKAKDFRFIKVYIQALLQKLEQHCYSGEDGAKQKSL RFVKFNNTLLYYLLKSGNVELFIKTFQEELKFIINSGLLNHIDGKEHILNFPIHHYLN LLRISNRQEELFNVISCLQSSPLMKYKLFKEFLMGELIASFQAFRDPKLVCKYLLSSY SLKPSANILNALGIWGWLYHTKSTTLTVSELEKELKNNNNILPNTMRIASPVTVPILT ELYRSLLSSSFVSLERGQFKNCLLDLYYKYRSFLSREARKYRYWRNDTGILNVFLNYI RFQAREPRLAYDVLLDFYSQPFAKNVNLTTTLCPFSIVAYKNHALTQVELSELLQVMH KNRVPLTFKFCSAMVMHYIKMRDEKGARSWYNKILFGGFEIRHMALIQIIKDQGWPFP KNFDETLLTELIENSSIKEPTDSTLFTDEDMFEESSEPRFNDDDVNKCTNIIRETLKI LN SPAR_D05410 MTARRLINIVPNTSKLDPLKEEDSTHLEQSHPNKSSIKGLVLSE NNERKSNSLPLSKSRSGSSASSSTVSSNGRSIGTRRPSANLDFNVGNQDPLKIVSGYH NAYVPTAKCIRPISDDSIGTSSTEIFSSSHSNTTSDSLCASDISSEECEIGNSKSGDN PFLNSAKEAENRSNITPLKKSRPGSILQKTRTAGSADKTICSMSTITTCIPSRQSSVT TPKLSRTVGLPGLSNTTNSIAASQTSFISENDSPLKHHCMSTAAFHEPKVMPITKKPY VHSNSTSAILPYKTTQLTPSQRYRLRKEQNDQSLRKAIKKKEKFYEDQDANLELQEGD VDGSLIWNIPMASLSTSSFLTLSKFNKKGKSSDSTWGDEEILAQESNYEGNQHTRPPL GVDGTFNQVHGSKKHTLYSSNRLKESCLDYKELPPTCIPGISPVSDSQYIQDTMKNLS QVYLHSSEKISKGILSGRSRSLQCLPLEFKEASNQGMEDLMLVSEDKLKAVSHFRPSW LPPKDFKERKLQDKQIYKNIDLASMEELQKNRERDEKAKKNGQNRLKFQHLLDRGVTR NSSLNELKKIIWETPLTSTVRLQIYSQLLQSDSCLITECFIESFEEVMQLLNKMDFPK DKEFEIRQLIEHDVQQKIFYKNGTDKQVVSDLMLLLQLKSISQQGLVAGDEMLFYHFL TDQSFGTLKETWEMVNLIQMSCFSEICKEKYDSRILNPRGIVAHLLRKDEFKNEFNGS CLNSNTWWNILQRMDHKLFMWVMDVIIVHNGQNFASYPVKLETFKDKVWEYYRSKKVV VNYKILVSLTVNVLINYHFGYDNLKHLSDLNDKHFCIPLYTEDSIEEENLNNIFTKWW LHYYRKLR SPAR_D05420 MSCLNSIWPTVSNLCGSLSFFTSVISLFPQIIETYRDKSVDGLS PYFLLAWLCGDITSLIGAKLTGQLLFQILLAIYFLLNDSFVCGQYYYYGVLHENKLAT IGHEPKPLLPELVENGELLREEEDMIQGVESPRSSRRRSAITAALAIAHTISTASAYP LNVGSIQPQMAPPGDDKNSQLGTVLSWIGASFYVGARIPQLIKNYNRKSTDGLSPFLF ATTLLCNITYNMSIFTSCQFLTSQNKREFIMNELPFIFGSAGTIAFDLIYFYQYYILY ATDMQLRELERELYGPEEDNAEQLVTERTSLLSGETQA SPAR_D05430 MVHNKVTIIGSGPAAHTAAIYLARAEIKPILYEGMMANGIAAGG QLTTTTEIENFPGFPDGLTGSELMDRMREQSTKFGTEIITETVSKVDLSSKPFKLWTE FNEDAEPVTTDAIILATGASAKRMHLPGEETYWQKGISACAVCDGAVPIFRNKPLAVI GGGDSACEEAQFLTKYGSKVFMLVRKDHLRASTIMQKRAEQNEKIEILYNTVALEAKG DGKLLNALRIKNTKKNEEADLPVNGLFYAIGHSPATKIVAGQVNTDEAGYIKTVPGSS LTSVPGFFAAGDVQDSKYRQAITSAGSGCMAALDAEKYLTSLE SPAR_D05440 MSEATLLSYTKKLLDSPPQLTSVDLHDALLVILSLLKKCDTDND ENLSIYTKVSSFLTALRVTKLDHKAEYIAEAAKAVLRHSDLVDLPLPMKNGLSSEEGP VILDIVGTGGDGQNTFNVSTSAAIVASGIQGLKVCKHGGKASTSNSGAGDLIGTLGCD ISKVTSSTVPSLWPDNTFLFLLAPFFHHGMGHASKIRKLLGIPTIFNVLGPLLHPVSH VNKRILGVYSKELAPEYAKAAALVYPESETFIVWGHVGLDEVSPIGKTTVWHVDPTSS ELKLKTFQLEPSMFGLEEHELSKCASYGPKVNARILREEVLSGKNHLGDNNAIYDYIL MNTAALYCLSQGHQNWKEGIIKAEQSIHSGNALRSLEHFIASVNSL SPAR_D05450 MDEASHLPNGSLKNMEFTPVGFIKSKRNSTQTHIVSPTKVPNAN DSDENEGPVRKKQRRSIDDTIDSTRLFSETSQFDDSFPEIKANIPPSPRSGNVDKSRK RNLIDDLKKDVPMSQPLKEQEVREHQMKKERFERALESKLLGKRHITYAHSELSNKEL YINEIKSLKHEIKELRKEKNDTLNNYDTLEEETDDLKNRLQELEKELDAKNKIVNSRK VEDHSGCIEEREQIERKLAESDRKLKAAKDQVQELENNRDVQELQLKSKEDELKKLIN ELNELKSNAEEKDTQFELKKNELRKRTNELNELKIKSDETDSQLKQKQNELRRLKNEL NELENNFSENGSQSFAKENELKESKKKIAELEEEINAKSSQLTAKESKLASLMAQLTQ LENKLNQKDSQLGSREEELKTLNDKLQRDIRIAREETSSRDERITDLQKKVKRLENDL FVIKKTHSESKSITNDELDSKGKLIKTLEKDLKIAQEKYSKIEKEFKERESNYKNSES KMGDENTKLNEKISNLVAENSQLKSKLDEESTVTYHMKETYEEQLDLLRKEVEEYKEN TKDSEDKVEELKIRIAENSAKVSEKRSKDIKEKEEQINELAHNLKLQEDEISSLKTII NRYKRDFNQLKSEQGNIQRDLNLQILNLENKLIESEDELRSLRDSQRIEIENWKRKYN NLSLENDRLLTEKGSASDKEHEVSLLNRKIDELDKEKWNLQESKEKYKRELQKVIAAN DRLRREKEELNENSNNIRIMEDKMARIKKSYLSEITSLQEENRRLEERLILNERRKDD DSTMQLNDVISYYKLKYHSEVRHNNDLKVINDYLNKVLALGTRRLRLDTRKGEHSLNI SLPEDDELDRDYYNSHIYTRYHDYDYPLRFNLNRRGPYFERRLSFKTVALLVLACVRM KRIAFYRRSDDTRLRILRDRIDSNSGRISW SPAR_D05460 MQDNSSHSRESASAGDDPLGIDKLTVDYDYLLYKIKDYVQSIQL DTTELCKKQNEVMVNGIIENTIDKNIAKFKQLLEKCDTLENHYEMLNQLAMITDTFKE RIAEAVNDYNSIKKGASKSK SPAR_D05470 MPQRIELTSEPVIKPRSTESSLLRKIQRACRSTLPEPDLGLNLD VADYINSKQGATPREAVLAIEKLVNNGDTQAAVFALSLLDVLVKNCGYSIHLQISRKE FLNDLVKRFPEQPPLRYSKVQQMILEAIEEWYQTICKHASYKDDLQYISDMHKLLKYK GYTFPKVGSENLAVLRPNDQLRTASELQEERERAQAAKLEELIRSGKPDDLKEANKLM KVMAGFKDDTKVAVKQAINNELNKLKRKADLFDEMLTSTEEPDLENETIQELYGDLKS AQPKFKKLIEEERDDDALVNNLSKFNDLVVQLLEKYRSIKGVKEEERSTIDTDESAKE FNLIDFDDDSTANVLPVTSSGKPLQLSEDLLGDLNNLSLSSSEKLKENSAFVDIFGDN HPKSTGIDLLDFGSQTGETKAGTSSYSNSFDLPNGLINNSSNSKENSAQLQRHILNES DQLRIDYELIRESITKLRLVIFYSNLSNDPVTNFALLLASPKGTTLSLQPQSGNILQS KSRDGIKQIASIEGMAVNLGKHIKLKWKASYNTKDGSKEESGTATLPII SPAR_D05480 MSSRSSPTVSNSTSLSDGHISDKNKLTKTEDLIDERDRKLTELY CVSRLNQLLELTDENKLRKEIDGFLKKNDIRRGIRFDDASLPKFLHSAMTPTAKKKSK EANLISISNQTLSDSKIGKEPLGSSEKISVKSENHFITNHDNSIRENMMKTLTPVEKA GGTWNKRPLESTMGSEEQRHEKRQKMQSQSLESSNNSEITSLPISPRPPVPDALAHYT YYEDIEYPPADPTTVQPAMRFRDPLIKNIMEKGIETSDHYNENNVDASETLFLLMNDY IPSKIPQALPLAELKYMSQTLPLINLIPRAHKALTTNIINNALNEARITVVGSRIEEL RRLGLWSLRQPKRFIDPWKQHNTHQNTLLEEAKWMQADFKEGHKYKVATCTAIAQAMR DYWIYGEICCVKRKIVLTSKEDTLLSDGRVSEKSVRPSDISTNDSDINIAGKDDTEAA INVDNTTTKESAAATNYRNGNGNNEPRPRSKLDFVDGCLAQKAANYQAVPSIDTKLLF KKPSSSSEIVCIQHDVAASSALIGTEESEKDLAPPFKLAIFVDELSAFEKTLVQDLPI YSGIIEEQPKGDDSLPFIPISKSVVSLDDNGFYKLLERQLIDEEPSISQLSKRRGMFY GNRRNHYLRPPAVPSLRYLQNRTPTIWLSEDDQELVKNINTYGYNWELISAHMTHRLT YSYLSNIERRTPWQCFERFVQLNERFNFSDLKGPRAHSAQQWLIEAHKFQQRQNRRIS PLGVNTESIQRGHRRLRWASMFEAIRKCMKKRENTPRPNPTQPRKPLDCKNMKVPTPA EMSLLKAQRDEALRRDIQLRRTVKNRLQQRQQQSQQAHSSRAQSPVPSNGKSSNLARG GQASTLRPSQKQYTEQDIIESYSRKLLEQKPDIGPEMALKAAKNYYRTLKEQQQQLKQ HQIQQQRLQLQEDSSQVQHLQQLQTGSQAPPPKASPSQSSLSNLSNINSASRIKSPTP QEILQRFQKQ SPAR_D05490 MVQAIKLNDLKNRKRKNAEEENGSEESEIDISSTDSENEEEQNG EEEIVNIDFDFFGGNPDVDFHALKNLLRQLFGPQESARIQLSNLADLILGSPTTTIKT DGKESDPYCFLSFVDFKANHLSDYAKYLQKVDMRLSTFFKTIIDSGNKNCALVLSERL INMPPEVVPPLYKITLEDVVAALGDEKHYDFYVIVSRKYEVNFDTDDDTGFGKKSKSK DERSKKRVKADEVDYFHEEDRFFEKYAKIHFESEAKKGVISSYMILDHDGLVKSIDEL ETEISTW SPAR_D05500 MAVVPAKKRGRPRKSVVAEVAYDSLGIPVSGDSETKRPRRNASK KAVANLAQLVNVDRDDVINTAQVNNVDDTDDDDFILNEEGDSEESDNVEIECENDLEP AGNEVSDLNGSGSGASDRSNGKKKTVQKPRLKKALAKNVKSGFPGSSLGQKGRPIRLL KDLSSARDKIERIYGVNREKLLLLAKVKEGFETSVFDFPFKNIQPDSPYFVCLEPPCN RENAYNKVIGDKNKTIYHEINKMEFENMVKLRTKRLKLLIGGVDAVVSTDDKIDFPVL PNGKRHGFIYNVGGLVTDIAWLNSDTGENIQYLAVAVSQYMDEPLNEHLEMFAKEKHS SCIQIFKMNTSDLHCVKVQTIVHSFGEVWDLKWHEGCRASHLVGCLSFVSQEGTINFL EIIDNATDLHLFKMCEKPSLTLSLADSLITTFDFLSPTTVVCGLKNGFVAEFDLTDPE LPSFYEQVHDSYILSVSTAYSDFEDTVVSTVAVDGYFYIFNPKNIATTKTTVSRFRGS NLVPVVYCPQIYSYIYSDGASSLRAVPPRAAFAVHPLVSRETTITAIGASRLHPMVLA GSADGSLIITNAARRLLHGIKNGSATQKSLRLWKWDYSIKDDKYRMDSAYEVYPLTVN DVSKARIDAHGINITCAKWNETSAGGKCYAFSNSAGLLTLEYLS SPAR_D05510 MSSNTKSTSELSINLDPDNASFSDDNSDDFFMDNSFDVDEIDHC GGSNRRSVIVDSKVTESSPHHSTSPLPDSEDDDANQSYQLLNRSSSENVNTENITERK SDKPSGRTRGRSMMKESVVAISSPESNLSEDKRLPRSRSRSSSSIRSISPAGKYKRQK SSLLYTYDENDDFFKELAREAKKNTSISKESTPGQRKRVYNIKFLSKLEGTINKAVQV KVLGKYEFLKILPAALDGLMKSYKIPKVMKDIYKVENVTLYWNNAKLLNFMTCNSLHI PQDFENEVSDIDVTIVSKEYEKNFEATLESKLKEEETALLIKERQEIERKLEKKRNEQ EESEYREFESELKNVEETQEIKENETVINTKRLQGDGRLSGNNSDREKVMKIALMGQD NKKIYVNVRRSTPFSKVAEYYRIQKQLPQKTCIKLLFDHDELDMNECIADQDMEDEDM VDVVIH SPAR_D05520 MSTDVAAAQAQSKIDSTKKKNEEINKKSLEEDDEFEDFPIDTWA NGETIKSNSVTQTNIWEENWDDVEVDDDFTNELKAELDRYKRENQ SPAR_D05530 MCLVEGYGTSSDSDSDFDESKSIDKKKKRNLHKEDASYEPSQNN IRKRKLHFTKSELKRRRKTRKGGGPWGSWSSSDDETSQTSESHTQEQDIFMHTLAENN QDLEQTETEEVSNFYGKSEKDYQGRGYLHPPNDVDVDLREEIMSSRCYLPKKVIRNYP GHPDGTTALKFLPKTGHLILSGGNDHIIKIWDFYHDYQCLRDFQGHTKPIKALRFTED CQSFLSSSFDRSVKIWDSETGKVKTKLYLNSTPADVESRPSNPHEFIVGLSNSKILHY DDRVSEKQGLVQTYDHHLSSILALKYFPDGSKFISSSEDKTVRIWENQINVPIKQISD TAQHSMPFLNVHPSHNYFCAQSMDNRIYSFSLKPKYKRHPKKIFKGHSSAGYGISLSF SGDGRYICSGDSKSRLFTWDWNTSRLLNNIKIPGNKPITQVDWHPQETSKVICSGAAG KIYVCD SPAR_D05540 MAGEKPEKEGVDARFAGIYSDPKFKNAKTKDHKIKLDSRFSKKD LEVQHKSKVDKYGRKIRDARNNRELEDFDKYFEKEAGNDENTEANAKTAVDRARGEIP DDYVSSSDEFTSSDSESSGESEVESEEESEVVIENSKPESGDVSKTLAVVNLDWDHVK SEDLMITFSSFVPKGGKIERVAIYPSEFGKERMQREEVEGPPKELFQKKNKNKVSKKK KIDDSDSDMDIGINDLYEEGDADKDVDSRALRQYQLDRLRYYYAIVYCSDVTTSKAVY DNCDGTEYESTANMFDLRYVPDGMAFDDEVRDECSILPKNYRPHQFSTDALQHSSVKL TWDETPADRVEVAKRAFTQKEIDDMDFKAYLASDSDESDEQGDEEAKSKLKSLVGDFG INSKKETPNDGDEEVDMEITFTPALEGRDEKPSEGQEETTIEKIRRKEKERRKARKQK VKELKQQSEKDKKSKLKSLNKRHASGGEEIKNNAKSKAELELLMDDDDDDIEAQGTIN NKAHFNMNEILRSEKEKHKKGRYQKKERIVEDTFTPDLEDPRFKEVFEDHDFAIDPTQ PEFKSTQAMSKILRERSKRAKNKKRKHSGSENDMIKNGGDNADIGNLVNKLKKKSKSS KKVKV SPAR_D05550 MRSSLLTLPKSFLGFMPLYLAVEIVLGISILNKCSGAYGILALF TGHPLDFMQWIAYLWSVFTLIVFSQGLYLIHKPNLLVFSQICVLYTIDTISTCFFTLW FTTQWFTLEDTGNGDGNTTLQGINSNSISTGKLTARGTDISKQSATESYEYSMTILIT LVSLIFRFYFNFILASFVQELLHHPKYLVDRDDVEQDLKNKPVWKRLWAKSQKACYKL CKNLLE SPAR_D05560 MPATLKNSSATLKLNTGASIPVLGFGTWRSVENNGYHSVIAALK AGYRHIDAAAIYLNEEEVGRAIKDSGVPREEIFITTKLWGTEQRDPEAALNRSLKRLG LDYVDLYLMHWPVPLKTEKVTDGNVLCIPTLEDGTVDIDTREWNFIKTWNLMQELPKT GKTKAVGVSNFSINNIKELLESPSNKLVPAANQIEIHPLLPQDELIAFCKEKGIVVEA YSPFGSANAPLLKEQAIINMAKKHGVEPAQLIISWSIQRGYVVLAKSVNPERIVSNFK IFTLPEDDFKIISNLSKVHGTKRVVNMKWGSFPIFE SPAR_D05570 MWVVRYQNRLEDGSISFISCCLQAFKSYSIGRSSKNPLLIKNDK SISRQHITFKWQLNDSSDLKYNSLCLINQGKLTSINKKFMKVGETFTINASEVLNSTV IELGTTPIRIEIEWINEVWNVPSHLTQFRKILSEFGISTEVSVNDIPANLMISDFPNN EDKCIRELYALVNFIPLKKSQLLVELCNTLLSTSKTDSKFNERWNDMINSPEYNVFDF APNILHSKFMRLSNINVLTTISNEPHLSSLLGTFNVRLFAFDNIDNLYKHVDSLKPST KYLILASTNKKENGQVLCTIKALLTSIIDGTLTSVTNTKSASLRGQDNDKLKGASEGF SGALKTPRVPEFEISPVILKKRRLNRPRVLPLDSLDFFAGGLSAKASLKNDPLTDPKK PNCGPNSKTVISSPIIGRADEKNTLPSHDAQKLVEDIGKKSDHNSPGAIIVSSPNLDA VHTNEDSMGKSLPPHKLPQLSSPKLTGIHSQNKSSNPVNSETAATNLMEGDNTKNRTI KNRRTQDIVQNPKSICNLPNYSQEISSPLQANCKSPVKESSHKKKSGTPQAFVEAIQK TKNREVKRVKSTIVELEDEELSEEAINQLKNLAIVEPSNDMLRKPSDRGGYKTNSTTG EGDNGLTKQEWHKRRNFKTFIKVWPKSNAQKKEGKNNTQSSDFIRNAAFLITRNYVPL KKYSKKNKATKGNGDENEDMFALREMEKLGSNTYTPDYTNSNAIQERSQPRNIFMNED SSDDGEKDSFSFSRSSGTATNLQPAKSRMFITDEDDIDDIDDIDDKNCRLKDRENNRK LVAVKETNVRPNMPEESSNQSRRSRSATGRPHGSSEAFGNGDDDDDDDGPKFTFKRRK G SPAR_D05580 MVVSQSLLSVQTSVMSTEQDAVLGLTKDLESINLHTAPDVVRGY QNKSFKGKTISGSSSLGRLSQQGDNHINRRPKLICVPYTSFLHTGMHNFSTNSPRDIF HESREVALFTNDQTYTTLRRNHIPNLKKGVAELYESSLLEARKRKVPYLGHDLFANID QFVPMTISELDSVSPCISYIENWRLDNHGENFKIGKKFTVVTTRHHIVDLTMHLFNRR NKHTSLIATYLGAGLISFSRNARNDFQMSKEGIYSSDPNIKKICYSGFEFENWVTEDT KVTGGSRRPIFSVVESKLSEEIGLLIRCELDAFNPVSKANTELKCFAPLSMHNSNHRR KLLKTWIQTGLLPNSDIMIGLRDSHSGQLLDIQWYSRDLLCKKFNHPGLPPNKKELNY NAQIAVEWCNHCVESVCKLVEANISDYNSTRPESFEISIDTNNTIAITKLKTTPKNVE LFGT SPAR_D05590 MVGHSAHHGSRTSVISHLLILLIVITLIIEMCLYSRIFKNQRSD DIRDNFKNGGRQVPGMAQNQGPHIEGEGFLSGVYYSNWSPYKPRFHFPHDINLMQVSH IYYAFFKINPRTGGIENTDSWSDLEMNLYKPLAIKSSELINGNPNNGNQNILPLGCIG ELFYLKNICSDKKFKVIMSIGGWSDSENFKIIIKDNKLLKNFVDSSVETMFRLGFDGV DLDWEFPENNQSEPQGYLKLVKMLRLKLNSLESQIFGGRVEDHFQLSIAAPAFKDKLF YLPITEIDQYVDYWNMMTYDYYGSWSETTGYHSNLFSETELNGNFAMHYMIDKFGVNS RKLVLGMAAYGRSFHIKDNKFEPFSQNSVLINKIFKGVGKPTKEIDKADGKEGIWPYK NLPKKDTIEQYDPKYVSAYCFDEKNSIFISYDNTKSAKTKAEYVMHNKLGGGFWWESC GEAYTNESRSLINAFNEGLHFNVSSKPSIFQDMRVVKYYLNKYGDDGFLTPYLKHLDS SKQ SPAR_D05600 MSTLQRRRVNRADSGDTSSIQSSANNNNTKGDKIAGITADGDDD NGTNKKIAYDPEESKLRDNINIPTLTLMEEVLLMGLRDREGYLSFWNDSISYALRGCI IIELALRGKIRILDDSARKRFDLSERLIEVIDSSKTGEVLLDETLQLMKNDEPLTISN WIDLLSGETWNLLKINYQLKQVRERLAKGLVDKGVLRTEMKNFFLFDMATHPIADASC KEAIKRRVLSVLVSRNMELSYNEYFPETTSFKIIRTLALICGSYGANVLENVLTTLEY EKRDKAISRAEEIMAQFSQYPFDLEKETELGVSVNLNKEVKEEVEKNPGHDLQLEVIA GVFEVFSRMDLLL SPAR_D05610 MGAKTSKLSKDDLTCLKQSTYFDRREIQQWHKGFLRDCPSGQLA REDFVKIYKQFFPFGSPEDFANHLFTVFDKDNNGFIHFEEFITVLSTTSRGTMEEKLS WAFELYDLNHDGYITFDEMLTIVASVYKMMGSMVTLNDDEATPELRVKKIFKLMDKNE DGYITLDEFREGSKVDPSIIGALNLYDGLI SPAR_D05620 MNQIWSTGPSNFYLNSEWKENKRNDRTIEDSLRELDGLIHSLER THIEVQTNPKPKESITGPNDTNKKENKGEIVDKNYTHQINNVPLANSNLNRHLPSSRA YYQSENGNNNYYKEYVSKPRSFQQLTKDQAFNEFHKRKSSAIIPPWLNIPENSRFFVI KSSSLKHVKRSFYNGIWSSTHFGNKRLSEAYKTLNSGAKVFLFFSINTSGRFCGVAEM VSDLKMDLDTSIWEDEQKYGKAFKVRWVIVRDVNNRSLKRFLIPSNEMKPITHSRDTQ EIPYSIGISIVNLFKTQDSDIFSFLDETYE SPAR_D05630 MDTEALANYLLRQLSLDVEENKLENLLQRQNGDEELSQEYNKKL LLACGFQAILRKILVDARARAAAEGLREVYPYHVEAATQAFLDSQ SPAR_D05640 MSDKPIDIQYDKQATPDLSGVITPPISKIDNDTVRSKLSKLVGD AMASNPYFAAGGGLMILGTGLAVARSGIIKASRVLYRQMIVDLEIQSKDKSYAWFLTW MAKHPQRVSRHLSVRTNYIQHDNGSVSTKFSLVPGPGNHWIRYKGAFILIKRERSAKM IDIANGSPFETVTLTTLYRDKRLFDDILNEAKDIALKTTEGKTVIYTSFGPEWRKFGQ PKAKRMLPSVILDSGIKEGILDDVYDFMKNGKWYSDRGIPYRRGYLLYGPPGSGKTSF IQALAGELDYNICILNLSENNLTDDRLNHLMNNMPERSILLLEDIDAAFNKRSQSGEQ GFHSSVTFSGLLNALDGVTSSEETITFMTTNHPEKLDAAIMRPGRIDYKVFVGNATPY QVEKMFMKFYPGETDICKKFVKSVKELDITVSTAQLQGLFVMNKDAPLDALKMVFSLR NANHIF SPAR_D05650 MYFVQIRHLSSQINRKTVSIVGSGPSGFYTAYHLLKKSPVPLNV TLWEKLPVPFGLSRYGVAPDHPEVKNCEGTFTTCAEEFSSPTDQKHKFSFVGGVTIGK EILLKTLLDNQDAVILSYGCTGDRKLNIPGELRTKGVFSSREFVNWYNGHPDFAKDKR FTDFDWSKVSKVGIIGNGNVALDITRVLISNRIDEIWKNTDISSLALNFLRRAPVKDV KLIARRDFVHSKFTNKELRELWELEKYGIRGRIDSKFFQKEMFDLSKYDRAFNRRVEM CSEYLKPFDERSKKNYKKAPPPSSGYNKFWELDYLKTPLEINRDDFGSIKSLSLCNNQ IHEDNTLQPLKDTNNIMTYQIDLLVTSLGYAGVPMPEFSNLSIGFDKDHIANKHGRVL TTSGETFPHLYASGWIRKGSQGVIASTMQDAFEVGDRVIQDLAVSGALSSEKSIDLSN VKHTTWEDWERINKKELLRGQKEHKARSKFLTFKGLWSGVEGI SPAR_D05660 MIFKRAVSTLIPPKVVSSKNIGSAPNAKRIANVVHFYKSLPQGP APAVKANTRLGRYKTKYFDGDNASGKPLWHFALGIIAFGYSMEYYFHLRHHKGAEEH SPAR_D05670 MSGKASTEGSVTTEFLSDIIGKTVNVKLASGLIYSGRLESIDGF MNVALSSATEHYESNKNNLLNKFNNDVFLRGTQVMYISELKI SPAR_D05680 MPVDPINNQPPSCVRCNKSIASSQVYELESKKWHDQCFTCYKCN KKLNADSDFLVLDIGTLICYDCSDKCTSCGDKIDDTAIILPSSNEAYCSNCFRCCRCS KRIKNLKYAKTKRGLCCMDCHEKLLKKKQLLLEKQTKDSPRDDFSMKLPERSVKRPLS PTRMNGKSNVSINNAAINQNLVGSHEGQQLTPQVLVSQERDESSSNVSNDNDNSNDGE ERSSHARTVSIDDILNSTLEHDSNSIEEQSLVDNEDYVNKMGEDVTYRLLKPHRANQN STVVKDPKTPNSNSNANRFYSIYDKEETDRDDADGKENEGIVNTPRNSTDKITSPLNS PMAVQMNEEVEPPHGLALNLSDTSKENNKLPQGMQTLTSKSMNHISPVARTDTVEMKS STSSFTLRVSDNGSSSRPQTSDNLQAHKKVAPSPNKKLSRSFSLKSKNFVHNLKSKTS EMLDSKHPHHNASIQESDTHSGWGVSSTHTNIRKSKTKKNPVSRGQSDSTIYNTIPQH DNFTVPEFNHKKAQSSLGNIPKKQNSNDLAANRRINGSFPSSSSGQHIAMFRTPPLES GPLFKRPSLSSESTHHRSSSLQTSRSTNALLEDDSTKVDATDENATSLEKDFYFTELT LRKLKLDVRELEGTKKKLLQDVENLRLAKERLLNDVDYLTREKDKQSASSRESLEQKE NNVTVIGVKSPNANSDRKGSISNASPKPRFWKIFSSAKDHQPGDLESQQRSPNSSSSG MTNMAQKEISTPKLIRAHDELPSPGKAPLSPSPKKLDYTPDGSHLYGSSLQARCAYER STVPMIIECCIDHIETDDVGLNMEGLYRKSGSQTLVEEIENEFAQNNSLHGDRLSPKL NALLNQDIHAVASVLKRYLRKLPDPVLSFSIYDPLIDLVRSNQLIERLPLNSGDFLDS PQKMTFYETVLKNLHEIFNILPVEHQEVLKVLAAHIDKVRRYSERNLMNLHNLSLVFA PSLIHDLDGEKDIVDMKERNYVVEFILGNYRDIFKQT SPAR_D05690 MPKRLSGLQKEVLHLYRASIRTAHTKPKENQTNFVNYIHEEFGK YRNLPRRDFTTIEHLLRVGNKKVATFSHSELTNIH SPAR_D05700 MAPVTIEKFVNQEEPHLVSNHSPTIPFGEYIFKRLLSIGTKSVF GVPGDFNLSLLEYLYSPDVESAGLRWVGTCNELNAAYAADGYSRYSNKIGCLITTYGV GELSALNGIAGSFAENVKVLHIVGVAKSIDSRSNNFRDRNLHHLVPQLHDSNFKGPNH KVYHDMIKDRVACSVAYLEDIETACDRLDDVIRDIYKYSKPGYLFVPADFADMSVACD NLVDIPHISQQDCIVYPSETQLFDIIDKITSWVYSSKTPAILGDVLTDRYDVSNILNQ LIYKTGIWNFSTVMGKSVIDESNPTYMGQYNGKEGLKQVYEHFELCDLVLHFGVDINE INNGHYTFTYKPNAKIIQFHPNYIRFVDTKHGNEQIFEGINFAPVLKELYKRIDVSRF SLQYDSNVTPYTNETMQLKDPTNDQSSIITQVHLQKTMPGFLNPGDVVVCETGSFQFS VRDFAFPTQLKYISQGFFLSIGMALPAALGVGIAMQDHLNAHIDGGNINEGYKPRLIL FEGDGAAQMTIQELSTILKCNIPLEIIIWNNNGYTIERAIMGPTRSYNDIMSWKWTKL FEAFGDFDGKYTSSTVIECPSKLALKLKELRNSNRRDRIELLEVKLGVLDFPEQLKCM VEAAALKRNKK SPAR_D05710 MSNPFQNIGKNLVYISAAGIASIYVVKTIVKSRRDAKFIPKARG NNGEANGENYYDNLAQVKPGFPLPKHEGDNINSSEDHGLVRKSKYEGSGLSAVTRKRG DKLGFLDRRRNE SPAR_D05720 MKYLAAYLLLVQGGNAAPSTADIKAVVESVGAEVDEARINELLS SLEGKGSLEEIIAEGQKKFATVPTGGASAAASGAAGAAAGGDAAEEEKEEEAKEESDD DMGFGLFD SPAR_D05730 MTDTYNSISEFIENDLTTFLSNDDYLMDDLAGELPNEVCRLLKA QVIEKRNDALSRGKQDLLSKEIYDNESELRSSQLQQIMDLIGDIPKYSLGSELRSRVE GEPQSTSIERLIEDVLKLPQMEVVDEEELEVENDLKVLNEYSNLRKDLILKCQAIQIG ETKLSDILSQINSINSLITSIKDASEDDDVSEYFATYSGKLVVALEEMKLLLEEAVKT SDSSPGKRKKIKDILSELKK SPAR_D05740 MTSSASSPQDLEKGVNTLENIETLPQQGSIAGASQGFSNIQEIY SDRDFITLGSSTYRRRDLLNALDRGDMDEGNCAKQAPHQFANPVPLGLASFSLSCLVL SLINANVRGVTDGKWALSLFMFFGGAIELFAGLLCFVIGDTYAMTVFSSFGGFWICYG YGLTDTDNLVSGYTDPTMLNNVIGFFLAGWTVFTFLMLMCTLKSTWGLFLLLTFLDLT FLLLCIGTFIDNNNLKMAGGYFGILSSCCGWYSLYCSVVSPSNSYLAFRAHTMPNAP SPAR_D05750 MVAFTVDQMRSLMDKVTNVRNMSVIAHVDHGKSTLTDSLVQRAG IISAAKAGEARFTDTRKDEQERGITIKSTAISLYSEMSDEDVKEIKQKTDGNSFLINL IDSPGHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVVINKV DRALLELQVSKEDLYQTFARTVESVNVIVSTYADEVLGDVQVYPARGTVAFGSGLHGW AFTIRQFATRYAKKFGVDKSKMMDRLWGDSFFNPKTKKWTNKDTDAEGKPLERAFNMF ILDPIFRLFTAIMNFKKDEIPVLLEKLEIVLKGDEKDLEGKALLKVVMRKFLPAADAL LEMIVLHLPSPVTAQAYRAEQLYEGPADDASCIAIKNCDPKADLMLYVSKMVPTSDKG RFYAFGRVFAGTVKSGQKVRIQGPNYVPGKKDDLFIKAIQRVVLMMGRFVEPIDDCPA GNIIGLVGIDQFLLKTGTLTTSETAHNMKVMKFSVSPVVQVAVEVKNANDLPKLVEGL KRLSKSDPCVLTCMSESGEHIVAGTGELHLEICLQDLENDHAGVPLKISPPVVAYRET VESESSQTALSKSPNKHNRIYLKAEPIDEEVSLAIENGIINPRDDFKARARIMADDYG WDVTDARKIWCFGPDGNGPNLVIDQTKAVQYLHEIKDSVVAAFQWATKEGPIFGEEMR SVRVNILDVTLHADAIHRGGGQIIPTMRRATYAGFLLAEPKIQEPVFLVEIQCPEQAV GGIYSVLNKKRGQVVSEEQRPGTPLFTVKAYLPVNESFGFTGELRQATGGQAFPQMVF DHWSTLGSDPLDPTSKAGEIVLAARKRHGMKEEVPGWQEYYDKL SPAR_D05760 MELSSNLKGQYIEWLQELVDGLSPKQEQLKIAYEKAKRNLQSAE GSFYYPIDLKKVKGIGNTIIKRLDAKLQDYCKIHGIPPVEAPSLTQTTSTRPPKRTTT ALRSIVNSCESDKNEAHEERGAKKRKTRKYIPKKRSGGYAILLSLLELNAIFRGVSKE QVIELAGKYSEHCMTPNFSTKEFHGAWSSITALKKHSLVLEEGRPKRYSLTEEGVQLT KSLKLADGISFPKESDEPDEYRAIRNESSEFTANLTDLRGEYGKEEEASDINNTSVML DITFQDLSTPQRLQNSIFRNERLRTQTNTCSHELKEISDNQTISDTAVKAKSTTTRRR YNGISYELWCNGDFEVFPIIDHREIKSQSDRDFFSKAFERKGMKSEIKQLALGDIIWV AKNKNTGLQCVLNTIVERKRLDDLALSIRDNRFMEQKNRLEKSGCDNKYYLIEETMSG NIGNMNEALKTALWLILVYYKFSMIRTCNSDETVEKIHALHTVISHHYSQKDLIVIFP SDLKSQDDYKKVLLQFRREFERKGGIECCHNFECFQELMGKGDLKTVGELTIHVLMLV KGISLEKAVAIQEIYPTLNKILMAYNTCSSEEEAKLLMFNVLGDAPGAKKITKGLSEK IYDAFGKF SPAR_D05770 MSTGESEDVYSDLYSIISQVTSNTANDIEQLPYALTFKTSLIFV GATVGGLLFGYDTGVISGVLLSLKPEDLSLAVLTDVQKELITSSTSVGSFFGSMLAFP LADRYGRRITLAICCSIFILAAVGMATARTLTFLICGRLLVGVAVGVSAQCVPLFLSE ISPSRIRGFMLTLNIIAITGGQLVSYVIASLIKGIDNSWRYLFAFSAIPAILFLSILD FIPESPRWSISKGDILYARDSLRMLYPTASTYHVNSKIKQLIIELDKLRIYEDASEPL LVQSQSVVRYMDSSGSGTLSPSNIKRLSSNTERASNTVSSSSAFLSALRGPALNGVTT SNKKKRHRMEPRTIRALLVGCVLMFFQQVTGFNAFMYYAAIIFSKFDIKNPLLPPILI ASTNFIFTFFAMYSMDSLGRRAILLRTILIMTVGLLLCSVGFGHNQVGLLLISVVIYV AAYASAMGSVPWTCVEFLPLNRRSFGASCIACTNWLTNALVSMTYLSAINIIGDENTM LIFAFFTVCAWFFVYFWYPEVKGLSLEEVGKVFDNGIDVHYVFRTYH SPAR_D05780 MSFKGFTKAVSRAPQSFRQKFKMGEQTEDPVYEDAERRFQELEQ ETKKLSEESKRYSTAVNGMLTHQIGFAKSMEEIFKPISGKMSDPNATIPEDNPQGIEA SEQYRAIVAELQETLKPDLALVEEKIVTPCQELLKIITYIRKMATKRNHKKLDLDRHL NTYNKHEKKKEPTAKDEERLYKAQAQVEVAQQEYDYYNDLLKTQLPILFSLEAEFVKP LFVSFYFMQLNIFYTLYNKLQDMKIPYFDLNSDIVESYIAKKGNVEEQTDALTITHFK LGYSKAKLEMTRRKYGVATAEGSPVSGASSGVGYGAGYDAATATSPTSTGYGYGAAAP GYAAQPATQYGAAAAVGTVPGTFPQYGAAQSPPLTGLGFQQSPQQQGPPPAYSNPLAS PVAGAPAVAAAAAPGVETVTALYDYQAQAAGDLSFPAGAVIEIVQRTPDVNEWWTGRY NGQQGVFPGNYVQLNKN SPAR_D05790 MPNSTLKQGPKIENVSPSKGHVPSFWKQFINNPKSMSSENITVP RSPNSLSRNAQPTTLKRPPLSSRPYSYNTPTKDRKSFSKSAKQNNNNNNANSGTSPHA EFKNYRDMFLSNRNGFTGRVFGVTLAESLSVASAEVIVQSELVSFGRIPIVVAKCGAY LKANGLETSGIFRIAGNGKRVKALQYIFSSPPDYGTKFNDWETYTVHDVASLLRRYLN NLAEPLIPLSLYEQFRDPLRARPRILRHMLTHEVSHPNANKANNITVKSSRQNYNDDG ANDGDIEKEDTKDDEEKRRRKIRHKRRLTRDIRAAIKEYEELFVTLSNDTKQLTIYLL DLLSLFARQSQFNLMSGRNLAAIFQPSILSHPQHDMDPREYELSRLVVEFLIEYSYKL LPHLLKLAKKEQQKRLSTEKKNNNAEKQKIDPTEIPRITSSDSPPIVSSNKYPSTIDN SNKLDRPTLSPISTSIPENSSDLQDSKMLKPPKQRRPHSKSFGSTPVPPDVIASNKRR TNLFPWLHKPGILSDTGDNGDLTATEAEGDDYEEENVDPYGQSPASVHSGSLPKQHHL PIPRMNRSLSGNSTNSSFNTRPISMILTSGNDNSADHLELLSNSHSNSERSHALPLTE DDGDERNSRSRKRESWFQRLTSRSGSANRA SPAR_D05800 MARETNLVTIIGEDSYKKLRSSKCLLVGAGGIGSELLKDIILME FGEIHIVDLDTIDLSNLNRQFLFRQKDIKQPKSTTAVKAVQHFNNSKLVPYQGNVMDI STFPLHWFEQFDIIFNALDNLAARRYVNKISQFLSLPLIESGTAGFDGYMQPIIPGKS ECFECTTKETPKTFPVCTIRSTPSQPIHCIVWAKNFLFNQLFASEVSVNEDDDNQDWG TDDAEEIKHIKQETNELHELQKIIISNDVSRIPEILNKLFIQDINKLLAIGNLWKTRT KPVPLSDSQINGSIKTTKFGSNSVGTLQEQINHFINITQKLMDRYAKEQNHIEFDKDD VDTLEFVVTAANIRSHIFNIPMKSVFDIKQIAGNIIPAIATTNAIVAGASSLISLRVL DLLKYAPITKYTDLNMAFTAKASNLSQNRYLSNPKLAPPNCNCPVCSKVCRGVIKLSS ECVKKMKLSDLVGLIREKYTYPQDISLLDATNQRLLLDFDFEDLNDRTLSEVNLGNGS IILFSDEEGDTMIRKSIELYLSVDDELPCDTCRLPDIQVPLVKTGNSTSTNEEQERGE KGTDAVTTANSHGEDGIVILDDDENEIVIDMEPMNGSKKRPIDTEVSETSSNKRTKLA NESTNFDIVGLD SPAR_D05810 MSLENKLPTPLENNDGKAHAVCILNKTTDRGRAAETLAIAFKNS PAFHFISKKILNIPLTEEVPTGTIITNIILPFLDSPYGEVSEVNNFDAVAVWSLPPHV PKARSNDVKFNKDFIDDLNRRIKQVIPKSINYYYLFCIGKNLNEKGIRGSVRKIFEEY KRRADEENCAIVLEAIAEHARSVYEYFGFRNYKTFKYGEGEVDSNGNCDSNGEGFTGY LMIYHKDGNNVLKE SPAR_D05820 MMDKHKYRVEIQQMMFVSGEINDPPVETTSLIEDIVRGQVIEIL LQSNKTAHLRGSRSILPEDVIFLIRHDKAKVNRLRTYLSWKDLRKNAKDQDASAGVAG GTGNAGAGGEDDLKKAGGGEKDEKDGGNMMKVKKSQIKLPWELQFMFNEHPLENNDDN DDMDEDEREANIVTLKRLKMADDRTRNMTKEEYVHWSDCRQASFTFRKNKRFKDWSGI SQLTEGKPHDDVIDILGFLTFEIVCSLTETALKIKQREQVLQTQKDKSQQSSQDNTNF EFASSTLHRKKRLFDGPENVVNPLKPRHIEEAWRVLQTIDMRHRALTNFKGGRLSSKP IIM SPAR_D05830 MLRHYGATRNLPLVFSINRFMLRPSSFARPFHYSSHPLQSGETP DKSSTNRSGMRASEDTVWKRNIEPQWQNLKRKFNDLYSRFNFHRDQLSYQVNKAKKSI QEANRKLSEQENEINDSRLNYNKDELTSAKIEGLPSEREQHRKKWSRKLEFYFDSLQE TLFTATRALNDVTGYSGIQKLKSSISLMEKKLEATKKEHKLYKAQYANAIDERAQSQR EVNELLQRQSAWSSSDLERFTQLYKNDALNAKQEQELKNKVKEIESKEEQLNDDLYRA ILTRYHEEQIWSDKIRRTSTWGTFILMGMNIFLFVVLQLLLEPWKRKRLVGSFEDKVK SALNEYAKEQNMKMDKLLPGRSSEITDQGNTENSVVEEPMEQKSESKINTMKADGAEV ATVETTTTGIRSFRDIWDRIKTLFIALRSIKFRKLDAPLVANTLEFYLYSISLVSMTI LISGLI SPAR_D05840 MEELGIVTPAEKAVEDKPTVKSYASLLAQLNGTANNNSALFNVN SDIYFKLKKLEKEYELLTLQEDYIKDEQRHLKRELKRAQEEVKRIQSVPLVIGQFLEP IDQNTGIVSSTTGMSYVVRILSTLDRELLKPSMSVALHRHSNALVDILPPDSDSSISV MGENEKPDVTYADVGGLDMQKQEIREAVELPLVQADLYEQIGIDPPRGVLLYGPPGTG KTMLVKAVANSTKAAFIRVNGSEFVHKYLGEGPRMVRDVFRLARENAPSIIFIDEVDS IATKRFDAQTGSDREVQRILIELLTQMDGFDQSTNVKVIMATNRADTLDPALLRPGRL DRKIEFPSLRDRRERRLIFGTIASKMSLAPEADLDSLIIRNDSLSGAVIAAIMQEAGL RAVRKNRYVILQSDLEEAYATQVKTDNTVDKFDFYK SPAR_D05850 MVQEQAILSCIEQTMVADAKVIKEAEQQLFEFQKQAGFTSFLLN IVSDENFALNVRLSSAIYLKNKIHRSWDTKREDGIKADEKLSIKERLIETLVKNCENN HIRPILTETINGILVGQEDWDLAPVIKNLLSSGDGSYVYPGLLLLFQLCKAHRWDMVG SRDYIDSVIEELFPIVEGIASNIGSQTDYRSNEILYLILKSFKYACLNNLPQYFSQPE RIMSWVQLHLYLCSKPFPAEVMELDPADRTLDKRVKVNKWGFGNLNRFLQRYNKVTKA ITKEFIDYIFNTIVPVILREFFKDIEAWGNNTLWLSDSSLYFLISFLEKCVTIDQLYP LIEPHLQIIFENVIFPCLCANEQSIELLEDDQEEYTRRYFDINREGSTPDAASADFIF LIGSKRPEKLNNILPFINDIFTRFDANSSDISMAFKEEGALRTLSNLFSFIDEPSILE NIFGHFIVPLLSQDKYMFLVARSLETIALYSEEFKDMNILSQLFELTYTNFLNSNVLP VQIEAADAIKCLIVSNPQIHPAVSAHVPGMMEKLLKLSKIFEIDILSEVMEALVERFS DELSPFAKDLASNLVEQFLRIAQGLVENPSETYSASDQEQEIQASGLLQTMTTMVMSM NKVSLIESLAPVVKFVVLHAQISFITEAVDLLDALTISSHLLYNQIAPPIWELLHDIL DSFQTYAMDYFEAYSIFFETIVMTGFPQDQTYVQPLLEILSAKLESEVDYDIEHVMQI LMYFALSMRDIPLFSKAIKVSANDELGLDSKSIVKLGLANLFAKPIETLQIMETEGFT INFFTNWFNEKFYSVFTIKLQILVILTLLKLPEIPNSVSPLLSNLTNKLVELTLSLPK AIRNRDAVTEGKSLEGDLTPEEEEEYFIECDDDMKETVLDQINVFQEVHAFFKNLQNE DAGKYEKIINYLDESKKDSLQVILEFVSQH SPAR_D05860 MAGDSDNVSLPKATVQKMISEILDQDLMFTKDAREIIINSGIEF IMILSSMASEMADNEAKKTIAPEHVIKALEELEYNEFIPFLEEILLNFKGSQKVKETR DSKFKKSGLSEEELLRQQEELFRQSRSRLHHNSVSDPVKSEDSS SPAR_D05870 MDSPVLQSAYDPSGQYLCYVTVALDKQRVGVQPTQRATSSGVDT VWNENFLYLEDSKLQVTCLKWVTLASSDTVTIILGMNNGEIWLYSVLANEVTYKFTTG NSYEIKDIDLIGNQLWCIDSSDAFYQFDLLQFKLLQHFKINNCVQLNKLAIVPAGNSA TQLLVASHSISLIDIEEKKVVMTFPGHVSPVSTLQIITNDFFISGADSDRFLNVYDIH SGMTKCVLVAESDIKELSHSGQADSIAVTTEDGSLEIFVDPLVSNSTKKRGNKSKKSN KKIQIASKDGRKVPIYNAFINKELLNVSWLQNATMPYFKNLQWREIPNEYTVEISLNW STKNKSADRDLHGKDLASATNYVEGNARVTSGDNFKHVDDAIKSWERELTSFEQEQAK SPQANELLTETFGDKLESSTIARLNGKKTNLKGSNLKTATTTGTVTVILSQALQSNDH SLLETVLNNRDERVIRDTIFRLKPALAVILLERLAERIARQTHRQGPLNVWVKWCLII HGGYLVSIPNLMSTLSSLHSTLKRRSDLLPRLLALDARLDCTINKFKSLNLETGDMYS SEPVVEEDEDDVEYNEELDDAGLIEDGEESYESEDEEGDSDSEEEEKHASSKQDGRSE REQTNHDEEEAGYSDVEME SPAR_D05880 MSANDKQYISYNNVHQLCQVSAERIKNFKPDLIIAIGGGGFIPA RILRTFLKEPGVPTIRIFAIILSLYEDLNSVGSEVEEVGVKVSRTQWIDYEQCKLDLV GKNVLIVDEVDDTRTTLHYALSELEKDAAEQAKAKNIDIEKSPEMKTNFGIFVLHDKQ KPKKADLPAEMLNDKNRYFAAKTVPDKWYAYPWESTDIVFHTRMAIEQGNDIFIPEQE HKQ SPAR_D05890 MTISKIPIWLDCDPGHDDAIAILLGCFHPAFNLLGISTCFGNAP PENTDYNARSLLTAMGKAQAIPVYKGAQKPWKREPHYAPDIHGISGLDGTSLLPKPTF EARTDKTYIEAIEEAILANDGEISFVSTGALTTLATVFRCKPYLKKSIKYISIMGGGL HGLGNCNPNLSAEFNIWIDPDAANAIFRDPDVKDKCIVVPLNLTHKAIATYKVNETIY NEKNNSKLRELFFELFQFFAHTYKDMQGFESGPPIHDPVALMPLLEFYGWDPLSAVGF HYKRMNISCIDDVFNENSGKIIIEEEYPSDGDVGTIVGLDLNIQYFWNQIFAALNRAD KMSTIG SPAR_D05900 MELFKLLGLILFLTISYIAFAIIVPPLNFPKNIPTIPFYVVFLP VVFPIDQTELYDLYIRESMEKYGAVKFFFGSRWNILVSRSEYLAQIFKDEDTFAKSGN QKKIPYSALAAYTGDNVISAHGAVWRDYRNAVTNGLQHFDDTPIFKNAKLLCTLIKRR LQEGQLSIQMGPLSQRLALDNISQVALGFDFGTLKHEKNAFHEHLIRIKKQIFHPFFL TFPFLDLLPIPSRKKAFKDVASFRELLVKRVQDELVNTYKFEQTTFAASDLIRAHNNE IIDYRQLTDNIVIILVAGHENPQLLFSTSLYLLAKYSNVWQERLRQEVNGVTDPKILA DLPLLNAFLLEAVRLYPPLSTIINRCTTRTCKLGPEIVIPKGVYVGYNNFGTSHDPRT WGATADDFKPERWGSDIETIRKNWRMAKNRCSVTGFHGGRRACLGEKLALTEMRITLA EMLKQFRWSLDPEWEEKLTPAGPLCPLNLKLKFTENITE SPAR_D05910 MTFTSNLPLSSEQSTSPPASSFSSSTDTLKDIDIPHNGADLSTY SKFLALYCRSDKNDDFYSLEEKQNCKFGDQWPAFINTIASLDCSGSEISERVSQKILP APLANKFTNNLGVAIKISEYTRDDEHQIRGCVTTVENENSFNNWFVYHILDQSRLSLS EQPIVAKEVKYHELFADFFEKNLKNTIVNDQWNFGGRDYFMERSRYFTDRYLRIECIL PAFPCKSSNEQKVYGSVPDKGEELALKRLIKATQDLVEVYPPGMKIWIVSDGHVFSDC IGVDDDVVSTYTTKLHELYERVAIPGVDAIGFCGLNDLFFSGAASEVFDSKWVSDIEV EHYTGTQICPKSDLSRQILMKGCDTDAGRLRKQIAIEGHPRLHLYRGFSRFMMEDLSL LDHFQSYSRKKFKKIISTIAFNMIKRNDAYSNLVELIFPHHLRISIHAHTNSGPKFGI KVISNEQCSIVSSLEDLDEPKFEDFLHIPTPWHNCVVKVEDEEEKYFLTKSKVIKDAL EKGMYDGVWKDTRFDIGEGGHFVIKKIS SPAR_D05920 MFFIKDLSLNITLHPSFFGPRMKQYLKTKLLEEVEGSCTGKFGY ILCVLDYDNIDIQRGRILPTDGSAEFNVKYRAVVFKPFKGEVVDGTVVSCSQHGFEVQ VGPMKVFVTKHLMPQDLTFNAGSNPPSYQSSEDVITIKSRIRVKIEGCISQVSSIHAI GSIKEDYLGAI SPAR_D05930 MPRLTVGTKNMLYPLKKTLAVGSCKPEQVSIRSLASMVESSAKK PSTSGDVRGVDINVSERIYKWTKAGVEQGKEHFKVGGNRVYFPKARIILLRPNAKHTP YQAKFIVPKSFNKLDLRDYLYHIYGLRAMNITTQLLHGKFNRMNLQTTRFREPQIKKM TIEMEEPFIWPDEPRPDENNFWDSTTPDNMEKYREERLNCLGSDANKPGTAFEGVVGP YERVAQPFVPRFLKREIDNKRERYAAELQRADKLIALNRYVEDLH SPAR_D05940 MSSDIRDVEERNSQSSSSSSSSSSNSNSAAQSIGQHPYRGFDSE AAERVHELARTLTSQSLLYTANSNNTDHNSISSNHNTHNADSRSVFSTDMEGVNPVFT NPDTPGYNPKLDPNSDQFSSTAWVQNMANICTSDPDFYKPYSLGCVWKNLSASGDSAD VSYQSTFANIVPKLLTKGLRLLKPSKGEDTFQILKPMDGCLNPGELLVVLGRPGSGCT TLLKSISSNSHGFKIAKDSVVSYNGLSSSDIRKHYRGEVVYNAESDIHLPHLTVYQTL FTVARMKTPQNRIKGVDREAYANHVTEVAMATYGLSHTRDTKVGDDLVRGVSGGERKR VSIAEVAICGAKFQCWDNATRGLDSATALEFIRALKTQADIGKTAATVAIYQCSQDAY NLFDKVCVLDDGYQLYFGPAKDAKKYFQDMGYYCPPRQTTADFLTSITSPTERIISKE FIEKGTKVPQTPKDMAEYWLQSENYKSLIKDVDSTLKTNTDEARDIIKDAHHAKQAKR APPSSPYVVNYGMQVKYLLIRNFWRMKQSASVTLWQVIGNSVMAFILGSMFYKVMKKN DTSTFYFRGAAMFFAILFNAFSCLLEIFSLYETRPITEKHRTYSLYHPSADAFASVLS EMPPKLITAVCFNIIFYFLVDFRRNGGVFFFYFLINVIATFTLSHLFRCVGSLTKTLQ EAMVPASMLLLAISMYTGFAIPKTKILGWSIWIWYINPLAYLFESLMVNEFHDRRFPC AQYIPAGPAYQNIAGTQRVCSAVGAYPGNDYVLGDDFLKESYDYEHKHKWRGFGIGMA YVVFFFFVYLILCEYNEGAKQKGEMVVFLRSKIKQLRKEGKLEEKHRPKDVENNAGSS PDSATTEKKLLDDSSEGSDSSSDNGGLGLSKSEAIFHWRDLCYDVPIKGGQRRILNNV DGWVKPGTLTALMGASGAGKTTLLDCLAERVTMGVITGNIFVDGRLRDESFPRSIGYC QQQDLHLKTATVRESLRFSAYLRQPSSVSIEEKNNYVEEVIKILEMQKYSDAVVGVAG EGLNVEQRKRLTIGVELAARPKLLVFLDEPTSGLDSQTAWDTCQLMRKLATHGQAILC TIHQPSAILMQQFDRLLFLQKGGQTVYFGDLGEGCKTMIDYFEGKGAHKCPPDANPAE WMLEVVGAAPGTHASQDYNEAWRSSDEYKAIQEELDWMEKNLPSRSKEPTAEEHKPFA ASLYYQFKMVTIRLFQQYWRSPDYLWSKFILTIFNQVFIGFTFFKADRSLQGLQNQML SIFMYTVIFNPILQQYLPSFVQQRDLYEARERPSRTFSWAAFFFSQIVVEIPWNILAG TIAYCIYYYAVGFYANASAAGQLHERGALFWLFSIAFYVYIGSMGLLMISFNEVAETA AHMGTLLFTMALSFCGVMATPSAMPRFWIFMYRVSPLTYMIDALLAVGVANVDVKCSD YEMVKFTPPSGTTCGEYMASYIKLAGTGYLGDPSATDICSFCAVSTTNAFLATFSSHY YRRWRNYGIFICYIAFDYIAATFLYWLSRVPKNSGKISEKPKK SPAR_D05950 MNILKHFPSYVGPSKIRTLVIPIGHWTRKEFNDAVQKLSEFNEI YLSDVTPIDSPIFTPQGFPHGKLFFEFLTIDHDDALELFLYDFEPFRKTFVIIGLVND GSDPLANLNFMKEKYPTLISPNLVYASSPPTKELEQVIDTMENVFVSSPDMQKNIETI MCDIARNFLTALNNYYSSYKHVTLRSPGAIGGNSVLKTTLIRQNNYTPSSSTASMSVV QSSVSSLSKAGSVTTTSKRLSSFEMTTNSLKRSASLKLATTLSTSENRAQQKSLGRQL KILGNFQLLAGRYVDALNSFVDAITILYKVRDYLWLGSALDGISICFLLLSYLGLSYQ IPQIVSLICPVEKLNIEASSTGLSPVDSNNKATASTTTSSTPRNSISIAAMQSPRNSI MSLSAPALNIDVENINLPLLIKCISDKVLYYYDLSLMHNSEYAPQVVYCESLLKTLTF MTSCYKSSEFSKDVLDTIVKNQHRPLSAIPSSPIFPRFEIYFYSNKLFELQLKEMQVE AQVKIYSTMAEVYSLLGYKRKQLFVLRLLMVALLATPNKIAWHPDYRTIINTIMELLD INERGAKINVDDPSQSSWSILQKKILQLCIKVSRKINDFEYVAKFSSILITKYTHLLN QPEQDTLLREYIQPSITNESITRYWDPFLLREIVINRILDGDPTSNEIPLESDISSLE TPKSKQKSQDINPQEVFNPFKRVQPTSFISNNNTKLPTLVFLVGDRAEFTCRVQNPFK FDFTINDIQLDEEISEFCEIDRKAVRYSGPYNVKAESIRSITLPLIIKRPTYKKTYQI SSLKISVLKLPPQKFDIIKDSRKSNLVEKEAEYNKCIYGKLRIKILPEQPQLELLSTS KMTRNSWMMLDGTKTDFHITVRNKSLSCTIDHVKIISMNNIEQMLKPDYWKKMPPDDL YIMEKQLDWLSKSCVRIVKVPTVIKPNETITFDLELDNTAVPFNFTGFDLLIEYGMSA AEESCIYLKKLSIPYEVTLRRTIEVPSMDIIPLNELFSSQVENVDWIEYVMDKIRADT NLHPSDFILLLLDFRNSWIDGIKLNVQFEDFNSNEYHVEASHTSRIIVPIRKIDYKRY NFENTPIPRIYPGRQFIQSGLNEEQTIEMRQKFWCREHIISRLKCNWKLTTDQSVTGS VDFNKFIEKFDHKMVYTIYPGRLFYGVQLLLDEPKVKVGERTNLKILAEPTSSCRRKQ NSTINFLDIVILDSKTSKLLPRSNRRILYNGSLTKPISTAKTSEINLEIIPIERGRYE FSVCISKSNNQDGIIQFDSESVNLSVI SPAR_D05960 MARIVVLISGSGSNLQALIDAQKQGQLGDDAHITSVISSSKKAY GLTRAADNNIPTKVCSLYPYTKGIAKEDKVARAKARIQFENDLAKLVLEEKPDVIICA GWLLILGSTFLSQLQSVPILNLHPALPGCFDGTTHAIEMAWRKCQDENKPLTAGCMVH YVIEEVDKGEPLVVKKLEIIPGEETLEQYEQRVHDAEHVAIVDATYKVLQQLQK SPAR_D05970 MINLEDYWDDDTPGPDREPTNELRNEVEETITLMELLKVSELKD ICRSVSFPVSGRKAVLQDLIRNFLQNAIVVGKSDPYRVQAVKFLIERIRKNEPLPVYK DLWNALRKGTPLSAITVRSMVGPSTAQPQSPSVIRQSPTQRRKTSSTSATSRVPPANP EASSSSLAVPTIHFKESPFYKIQRLIPELVLNVEVTGGRGMCSAKFKLSKADHNLLTS PNSKHRLYLFSGMVNPLGSRGNEPIQFPFPNELRFNNVQIKDNIRGFKSKPGTAKPAD LTPHIKPYTQQNNVELIYAFTTKEYKLFGYIVEMITPEQLLNKVLQHPKIIKQATLLY LKKTFREDEEMGLTTTSTIMSLQCPISYTRMKYPSKSINCKHLQCFDALWFLHSQLQI PTWQCPVCQIDIALENLAISEFVDDILQNCQENVEQVELTSDGKWTAIFEDEDDSDSD SNDGARSPEKSTSVSDHPCVSSRPSEPIIINLDSDDDEPNGSNPHITDNHDDSNGFSD NNNNNQNNNNSIKNNDSRNNKNNNSNNHNHNNYSNNVNNHYNKNNKKDNYANDDDDDD DDDDDDRLMADIASNHLGNTNTDPLTQKSASVPSKTLDPKSYNITASETTTPITNRVI SEHLGNSSSYIGRQLPNILGKTPLNVSGVANSSHLISPDVSLSSPTPRNTASNASSSA LSTPPVIRMSSLDPGGSIVANKTIIRPLINTNNHPTSTTDPFVQHQESSVFPPREQYR DISFPSAVNATFDDSRLNATKFSASKLRGATILSNNGLNQRNNSLPTTDPTARNETGR QVSMPVLPTLPPNVPIQVCSNKSVLPRINNETTVTGTNPPSGATIPLQKSRLIVNPFI PRRPYSNVLPQKRQLSNASTTPSGPIMGAWKTQGYGKKSNDD SPAR_D05980 MHQDLTDDEHEYPDIRRNPLHEVTMTSYILGILLGIFVGLFPQI RFKNFNLFIIALSLFHFLEYYITAKYNPLKVHSESFLLNNGKSYMAAHSFAILECLIE SLLFPNLKTFSYSRATKLCTILGCLLVILGQYTRTIAMHTAGHSFSHIVKTKKESDHV LVKTGIYSWSRHPSYLGFFWWAIGTQLLLLNPISLVIFISVLWKFFNDRIRVEEKYLI EFFGTEYIDYKSKVGVGIPLVY SPAR_D05990 MAGPRNVRTLHGNGDRNNDVMGPKEFWLSIPPITRTLFTLAIVM TIVGRLNLVSPWNFIYVWNWTFKKAQVWRLLTSCLILSSRAMPALMELYSIYDRSSQL ERGHFGPGLSNRRGPMVTVDYAYYLCFCILTIITAATILYGSDYPVVLTSGLISCITY TWSIDNANVQIMFYGLIPVWGKYFPLIQLFISFVFNEGDFVISLIGFTTGYLYACLDT RTLGPIWGMISRKADTNYGILPNGKFPTPWWFTSLYARIMGARSGPTTPSNNFVNVPP AQSETRTFSGRGQRLGTAPATLSRTSGADSGRTSGSQLRSGSSNLNQFQGRGQRVGQT NSASDSQ SPAR_D06000 MAVHTNRQILTRGKNYATKQSKKFGTDEVTFDKDSRLDYLTGFH KRKLQRQKKAQEFIKEQERLRRIEERQKVRQERKEVMEEQLKTFKESLNLEAEIEDAK NDKTDGFQVESDESWHGFDSDKENGDNNSNERSVKPILKKGAITEIYDDSTTVELETL EPNDNFEYLAHLNNVKLEKAEKVLNQSINRATKYAKFLGVDEKQKKKQKVKKFRYLTK NERRINQRKANDNKRRR SPAR_D06010 MENSEVNPEGPYLSTILNVPAPQRFIVLIILAIWIWTWILRFFL HNNLDISQVILTRVPHDIRPGYTLQQLHRTARNFALKITRIIMPFHFVTVFLFEFKNV TEGPLKNIVFIVYFLPFIQCVIIFWFLLKECHMIKYCARRCLFIEPSPRTLRNTYILI SDTLTSFAKPLIDFTLFSSLIFREPFTHFDLFVALFPVLVRLLQCLREYRLLHDTTLL CNALKYSCNLPILFCTWKSRVYEGSINKERLYHIQRWFMLFNSSYTLFWDIRMDWSLD SLTSLRSRSKSAVTLKKKMYHSAIVIDFLLRFWWLWVYIFQNLKLAAADSDYIFFQGE IQYFEVIRRGIWVIFKLDAEYYVKFTSK SPAR_D06020 MRLQSLLVWLNAATIAWSYPYEPLRVLQVGENEVIKVPESEKLN LLRRGVKFFDVTRHTSSLPFFNKEEEPVVPVYNYPAEISNKEIVDGLIENIDEESMHK NLAKFTSFYTRYYKSDHGFESAEWLAATIANITKDIPQDTLTIEHFDHKEWKQYSIIV RIAGSTTPEDIIVIGSHQDSINLLLPSIMAAPGADDNGSGTVTNIEALRLYTEYFLKR EFRPNNTVEFHFYSAEEGGLLGSLDVFTEYAKQEKQVRAMLQQDMTGYVPEPEDEHVG IVTDYTTPALTDFIKLIVDSYLSIPYRDTKCGYACSDHGSATRNGFPGSFVIESEFKK TNKYIHSTMDTLDRLSLVHMAEHTKIVLGVIVELGSWSAW SPAR_D06030 MSHIDIRAMKGVMTNVDEIIRNDEDVAFEYEIQKTPQNILTWKR YLEYWKEEGRTDQQIRWLYERFCSQFVTDTSIWEDYIQWESTREVVETSRIFWLFQRC LKSCVEDCDRICSSYLELAIEQHDLSMIRHALDLSLTRVEREMHPKIWDPVLKFMEKK VLPLTQLDSTQEDEEESTDEAELINILLVKGLAKIGLINEELSRSESRGDIWSSQLLE RYLKVAPQQKQNELLATLAKTRDNVTIMSVYQRYMSQDGSSERYLPSSKLTFELNLNY LISLDKLGLDDQYEEFMSQMNGIYADNWVYLTLSLAKYYISRGRLDSCGDLLKKSLQQ TLSYSDFDRIYNFYLLFEQQCSQFILEELKENNSKSFKQEHWAEKLQGHMATFESLIN LHDIYLNDVSLRQDPNLVETWMKRVSLQKTSAEKCNIYSEAILKIDPRKVSTPGSFGK LWCAYGDVYWRANATSTARELWTQSLKVPYPYIEDLEEIYLNWADRELDEEGIERAVS VLEDALHIPKNTGILLEKYNNGHRKVPAQTILFNSLRIWSKYIDFLEAYCPNDANSSN RIFNKTKMAYNSVIDLKLITPAMAENFALLLQNHHEVVESFQVYEKTIPLFPPEIQYE LWIEYLEVATSHRLSSLSPEHIRFLFEKALGNLCSNGIDCKTIFIAYSVFEQRISGLV RRCIEILHKGAIQDAVSVSTHLESRLQLWRMCISKAESTLGPSVARELYQECIQMLPN PKAVEFVIKFSNFESSIGETIRAREILAYGAKLLPPSRNAELWDSFENFELKHGDKET YKDMLKMKKLLDSDMVIDSEGVSQEEGNINFVAAAASHAPHSHAVIQPTSSRSINPDE IELDI SPAR_D06040 MPPKFDPNEVKYLYLRAVGGEVGASAALAPKIGPLGLSPKKVGE DIAKATKEFKGIKVTVQLKIQNRQAAASVVPSASSLVITALKEPPRDRKKDKNVKHSG NIQLDEIIEIARQMRDKSFGRTLASVTKEILGTAQSVGCRVDFKNPHDIIEGINAGEI EIPEN SPAR_D06050 MSNFTWKDLIQLGSPSKAYESPLSCIAHIDMNAFFAQVEQMRCG LSKEDPVVCVQWNSIIAVSYAARKYGISRMDTIQEALKKCDNLIPIHTAVFKKGEDFW QYHDGWGSWVQDPAKQINVENHKVSLEPYRRESRKALKIFKSACDLVERASIDEVFLD LGRICFNMLMFDDEYELTGNLKLKDALSTIREVFIGGNYDINSHLPPIPEKIKSLKFE GDVFNPEGRNLITDWDDVILALGSQASKSVRDTIKDILGYTTSCGLSSTKNVCKLASN YKKPDAQTVVKNNCLLDFLDCGKFEITSFWTLGGVLGKELIDILNLPDKNSIKHIRET WPDNAGQLKKFLDARVNESDYDRSTSNIDPLKTAELAEKLFKLSRGQYSLPLSSRPVV KSMMSNKNLRGKSCNSILDCISWLEVFCAELASRIQDLEQEYNKIVIPRTVSISLKTK SYEVYRKSGPITYKGINFQSHELLKVGVKFVTELDAKGKNKSYYPLTKLSMTITNFDI LDLQKTVVDMFGNQVHTFKSSADKEDERKTTSSRDDEEIPKLECSKCQVTFTDQKAFQ EHGDYHLALKLSEGLNGVEETSKNLSFGEKRLLFSQKRSSSQHSTAPRKKQATSSKNI LSFFTREK SPAR_D06060 MVSLKINKILLLVSLLNAIEAYSNNTIYSSLHNSGTESTPPHST DSISNTGFSSEENAVTSSPETTTLAGQYSGSGRAVANEKQHTNTFNEYVGTSTTTQNS NAVLSGEQYTEVATPSSSTVATPAQSYTDASQISQTLSRNSESVPKSNDDTTFSESID SIIISTSDGTAVPREIDPITTTASSFSKGESTLPQSSGISGMARTTQAVSGVSQLSSI TTAATTDSIKSELMQTALSSTILSERPTEEEYAMSKSELSENRGSSLTVYPEGKSSSL IASLLSSYSQTKSTQTFDSSQAAVGVSRPSSITRTTSIDSFSSESMHTQLDNTISSEI STGKHSTNEFELSAHYGWGDSNYPEQELIVDRPFTSSTTKASSVISQNTGLVSNSITI SPISTTNFMVSITSNTAIVTSTANTVPFTSVHSTSPSTYVSPILNSSPFSSASLSSQF GIAPFPSAYLSFSSDFVSTSSQTSSPAASAAVSSVYTSSPAASVAPSSTSTISPTSTS SPHGIASSPAASAPVSSVYTSSPAASVAPSSTSTISPTSTSSPHGIASSPAASAAVSS VYTSSPAASVAPSSTSTISPTSTSSPHGIASSPAASAAVSSVYTSSPAASVAPSSTST ISPTSTSSPHGIASSPAASAAMPSVYTSSPLTHVAVSSVYTSSPAASVVLSSTSTISP TSTSSSHMIASSPAASTAVSSVYTSTPLTYVAISSISTSSLYEYAPSPSTSSSTSSTY AYFSSAYALEFSSEEHSTTSAIPVIQVQSASSGFTDSLQQTSIAIQSLASQVISKSST SNDETYSSAFSVFSPSASNLVETSLIILSTPISPTNAGNSPESSSLQKQLPSSTKTSY DMVSQNTETSGRSAAVSNFLYISSTVKSDNERFSAIPKKTTTIPSSVKVSSLSASSSR HSATGIPLNVIDSSKSTGSYTPSFYYSSSSISSVKHSKETIPASKSVSNTQERITSFT LTSRLNSQAQKSEVRSAVSAQQDSHFSSSSSLSANTKVSSKTSSNRVSKTITENGEKT SLTTRKTQYKSLSGSSGSYSRSFTKISTGLLTTVVQTQASTNPELTAPASSAYPTASY PPPNSYAWLPTAIIVESSETGTTTASFNPSITGSLPNAIEPAVTVSEPTNHTLITIGF TAALNYVFLVQNPLSSAQIFNFLPLVLKYPFLNTSSELESSTDGLSSFVLSYQSGSST TTLSPEPMSSLSVVKKKKQRKNATKSTEDSYLPQIDTSSIAVKKIVPMVDSSKAYIIS VAEVYFPTEAVAYLQKLIVDANSTLYNNPQTPLRSLAGLIDSRIPLGGLTLYGPGDGG YVPSSTSSSVSDSLKGNSQDKVGTYKYGALDDFINSFTDSASAGSYAVKIIIFLIILT SGVLLWLLLAFFAFRHRNILLKRRPRDCIEKSLGSERNLESTELSRSSSGNQIYNEKP PESEGESVHSAVDDQYIMTGENTVYNIIHGLHYTINDDGDFLYRDAVPIDFDQTNENE GSGIDSIVRECVYDKNQDATEAYLNDEESISGILDVDDNGDIRLYDNYSDDEEPNSFL LPDEVIEEYNKNHLCKIKLDGLGTGSCTTDDPDTGNLVTNEFSSGSQTCLPSTTYTTP LHTNSIKLHTLRYAESPLPKPNQALFSNLEDLEIEDIDDNGSVSDLQIEELDALDEEL YKRMSKVIKQQNH SPAR_D06070 MSLKRRSSEKTAPALPKRRRTYQACISCRSRKVKCDLGPVDNPH DPPCARCKRELKKCIFSSNKGATNGPSPNMIDTICQTSLGESKQEIRNGSTSPIPSDI PLSRKGTNNETSFKSEGVKWKLELSSMQNALEFLAQAAGTVAKEGAKEIIKDKSATPK PLESSLHPPSKSATDEGLKRLSKSESAASATNTPYENTTAMLTFTLNANRKTSQLVEE ISRVRPIPTRKIDDFEYIGPDSLLTKEEAIELIEAFFLTMHPFFPNIPLQLHTPEELA EYPILFCAILTVSARYHPFNTLGLDNGEDGMRHIEVHDKLWVYCQKLISQTIWAEAST RSIGTVLAFIIFTEWNPRSIHYKWSDYANDPELNNVNARGSKNINTRKDEEGLTGVGA IRRSDRMSWMLTGSAVRLAQDMGFIENSSKIFIVTHISETTSAMNMNQRSLLAESFSV LNLNLGKFENDGNENNEDYLGNEKFYLDEILPDNESRERWRRIFESSENDNDNEKYLL TDWEREFLNDEYVLYYSNKKDDTNLAQNHTPPFPLRFSFAQRAKIEIIRILSIAYETI YCEKNKRKLATTDQRHNLSVLSVFSPLIEGWLSNYREVLVPLSDVPFSLADRKNKKQM LDNIDRINGESIITDFNYCQLYIFSLALQVDGKTSRLNMNEIVTSARYVELAYRSAKE ILGSAKRVSRQGMLKYMPVRWVIRIIRSIAFIVKCYLTLTGSELATNPDARNILKLSA ISVDETFDIIRDTAVTLKEATPDELHLCQRYAAILMYLCTEMKLRKKSYLDRPPLLRS GTTSLEGNCESSLEGVEFAKKPTFSKRMGCNKTESNFEPSERPVTEEIDSNFNNPNNI SSKAIVYPYVEQNNDITAALLNNELFQGPSLSEEVTDWFGASEDIGLEFVEPWTELIE QRYMQCGDGDNNNFENLYNLFVNSYDIDNDTDNSRPITRK SPAR_D06080 MGNSPSTQDPSHSNKKEHGYHLHEAFNKDRQGSITSQLFNSRKS THKRHASHASDHNGAIPPRMQLLASHDSSSNGDGSINNDNTIDSVPSPLFKKDYSLSA VADANDTTLASLSLNDDHDEGAPEEQVKSPSLLSPGPSMATVKQTKSDLDDLSTLNYT MVDETTEDERNNNSHHERHRSSIIALKKNLLENSATASPSPTRSSSVHSASLPPLIKT DSIDIPVRQPYAKKPSVHAYQYQYLNTDSKFSESLQIDKEKNSDSVDAEAGVLQSEDM VLNQSLLQNALKKDMQRLSRVNSSNSMYATERLSHSNNNGDSENNIRNKGNSGGSNDD FTAPISATAKMMMKLYGDKTLMERDLNKHENKTKKAQNKKLRSASNSRRSSFASLHSL QSRKSVLPNALNLQPLHPLHPIINDNESQYSAPQHREISHHFNSMSSMSSISSTNSTE NTLVVLKWKDDGNVAATTEVSIVSNDIASALKEQRELSLDENASLDSEKQLNPKIRMV YDDVHKEWFVPDLFLPAGIYRLQFSINGLLTHSNFLPTATDSEGNFVNWFEVLPGYHT IEPFRNEADMESQIKLTSDEESPKRPELKRLSSSSRKSSYYSAKGVERPSTPFSDYRG LSRSNSINMRGSFVRLKASSLDLMAEVKPEKLEYSNEIPNLFNIGDGPTASAKGDFDD LPPQDRPSFTHKVVDCNQDDLFSTLQQGGNIDAETAEAVFLSRYPVPDLPIYLNSSYL NRILNQSNQNSESHDRDEGAINHIIPHVNLNHLLTSSIRDEIISVACTTRYEGKFITQ VIYAPCYYKTQKSQGNNHNFNSQ SPAR_D06090 MGHPVRINGLYSSQGESVYLTSGMKGKVSVNKGGTPKRKVGRPR KRIDTEAKSRRTAQNRAAQRAFRDRKEAKLKSLQERTELLEQRDAQNKITTDFLQGSL RSLLSEISRYRAKNSDDERILAFLDNLQKEQRDEEQQKRKYEEKETITVISKSTEGLP SPSLSGNMIVNTDTEVHPHTQESGKYIWTMGLCNTPKLTNMWDSPPHNRTGAIIIGDK SANNSENRDCSLDLVFSGGQTGTEGLDYEIHNHLSGHSEGLTAEKIDSLPCLNEIDQK YFSHEIEDDILLSSVLPLAIESKCDNICRGKCTGIKSSLNKEIKCELITRYLLNQESL VSVLPMPSPRARIFGTQSEAIEHITSALSNEKASCCRILEEISSLPKYSSLDIDNLCN ELMIKAKCTDDCKIAVRARDLQSALARQLL SPAR_D06110 MDYNVFEAIHEQQSNTSDMDLSEEDNNPFVGTHHLYASGIGTTI GEAHPANVNSPPSSSSLPSSPAHSSSSGSSRASTSSSTSSHAVVEADAETEPFVSLSM STTATISKVAPHDANGTEQIQIIDAGDFKDPWGKHAIGYVIVYENNKIIRRYSEFHSL RQSLTRLLPTIIIPPIPSKHSLLKYIWSPINATNDSKIISTRKKMLNSFLCNCLDIQE INNDIVFRKFLNPEFNWKDVLSSSPIIILPLNNLLAPPLNPTKPSPFHSILPIPSNSS LKNYNSIWQQHITIKSHNEVSNLPTEILQNEPQFTHIENLFQNYKRIITHLLKNIRSN KSHFHSLSTYFAELGAYYNAFSLENDITMPNSHREPKSISKNPMMEIISHIEKTGHSF DIIYISSEILIEKYNSILEDPINELLQFLNESFRVLNFKKLKFLQFKILERLIIEKET KLSSLTEIENQLQKINESLMQSTALTDDNYKDTKAVDLTFAKKDIRSLSKSSSNSSSS GHQNEIHIGTSKLNYKTSTPTMNLNKLEIKQLTEQERSKQIKQLNQDLSKLKDCLSIS ISDMLQINSSSYNSLMHTYNHIHLSIGKILKLFTTSFKAWIKECLKNWKLAKLQIDQA L SPAR_D06120 MVNNHEIDTILSTLRMEADPSLHPLFEEFEKFYEERLWFQLSES LTKFFDDAKSTPLRLRLYDNFVSKFYDKINQLSVVKFLLASLKDSKDFDESLKYLDDL KAQFQELDAKKQRNDGSKDHNDGILLIDSEIARTYLLKNDLVKARDLLDDLEKTLDKK DSIPLRITNSFYSTNSQYFKFKNDFNSFYYTSLLYLSTLELSTSITLAERQQLAYDLS ISALLGDKIYNFGELLHHPIMDTIVNDSNYDWLFQLLNALTIGDFDKFDSLIKVQISK IPILAQHESFLRQKICLMTLIETVFVKNIRMLSFEDISKATHLPKDNVEHLVMRAISL GLLKGSIDQVNELVTISWVQPRIISGDQITKMKDRLVEWNDQVEKLGKKMEARGQSIW V SPAR_D06130 MSSTIRTISQDITLFNKTLTFQEISQNTREAVIYIHGGAWNDPE NTPNDFHQLASIIKSMDIESTVCQYSIEYRLSPEIKNPRNLYDAVSNITRLVREKGLT NINMVGHSVGATFIWQILCALKDPQERMNEAQLQMLKLLQTVKRIFLLDGIYSLKELL EEYPEYDCFTRLAFPDGIQTYEEEPPIVMPYVKKALSRFHIDMHVVHSYRDELLTLKQ TNFFIACLQDYQLNFKLYLDDLGLHNDVYKNGNVAKYIFDNIR SPAR_D06140 MSEVAPEEIIENPDGSRSIISYKIEDGIKYKITQKVKEVKVLEK VHKSVAERKNWHKYGSEKGSPAGPSAVTARLGEEVELRLSRNWKQAEEERIQKEKASL TKTGLQCRLCGNDHMTMNCPFKTILSELSALEDPATSEGGAEAISEEKGGQAGGAGAI PGQYVPPSRRAGARDPSSDAYRDSRERDDMCTLKIMQVNENADENSLREELLFPFAPI PRVSVVRNKETGKSRGLAFVTFSSEEVAEQALRFLDGRGYMNLILRVEWSKPKVKE SPAR_D06150 MLRFQRFASSYAQVQAVRKYPVGGVFHGYEVRRILPVPELRLTA VDLVHSQTGAEHLHIDRDDKNNVFSIAFKTNPPDATGVPHILEHTTLCGSVKYPVRDP FFKMLNKSLANFMNAMTGPDYTFFPFSTTNPQDFANLRGVYLDSTLNPLLKQEDFDQE GWRLEHKNITDPESNIVFKGVVYNEMKGQISNANYYFWSKFQQSIYPSLNNSGGDPMK ITDLKYSDLLDFHHRNYHPSNAKTFTYGNLPLVDTLKYLNEQFAGYGKRARKDKLLLP IDLNKDIDVKLPGQIDTMLPPEKQTKASMTWICGVPQDAYNTFLLKVLGNLLMDGHSS VMYQKIIESGIGLEFSVNSGVEPTTAVNLLTVGTQGVNDIQIFKDTVNNIFEELLKTK HPFDRKRIDAIIEQLELSKKDQKADFGLQLLYSILPGWTNKIDPFESLLFEEVLQRFR DDLETKGDTLFQDLIRKYIIDKPCFTFSVQGSEDFSKSLEDEEQVRLRKKITSLDEQD KKNIFKRGILLQEKQNEKEDLSCLPTLQIKDIPRAGDRYSIEKKNITMSRITDTNGIT YIRGKRLLNDIIPYELFPYLPLFAESLTNLGTTTEPFSEIENQIKLYTGGISTHVEVT SDPNTTEPHLIFAFDGWSLNSKSNHIFEFWSKILLETDFHKNSDKLKVLIRLLASSNT SSVADSGHAFARGYSAAHYRSSRAINETLNGIEQLNFINKLHNLLDNEETFQREVVDK LTELQKYIVDANNMKFFITSDSDVQAKTVESQISKFMERLPHGSSSLNGPKTSDYPLI ESKHKPTLIKFPFQVHYTSQALLGVPYTHKDGAALQVMSNLLTFKHLHREVREKGGAY GGGASYSALAGVFSFYSYRDPQPLNSLETFKNSGQYVLNDAKWGVTDLDEAKLTIFQQ VDAPTSPKGEGVTYFMSDVTDDMKQVRREQLLDVSLLDVHRVAEKYLLNKEGVSTVIG PGIDGKTVSPNWEVKEL SPAR_D06160 MSDPQETHVEQLPESVVDAPVEEQHQEPQAPQAPEAPQVPQESA PQESLPQESAPQEPLAPQEQHDVPPPSNAPVYEGEESHSVQDYQQAHQHHQPPEPQPY YPPPPPGEHMHGRPPMHHRQEGELSNTRLFVRPFPLDVQESELNEIFGPFGPMKEVKI LNGFAFVEFEEADSAAKAIEEVNGKSFANQPLEVVYSKLPAKRYRITMKNLPEGCSWQ DLKDLARENSLETTFSSVNTRDFDGTGALEFPSEEILVEALERLNNIEFRGSVITVER DDNPPPIRRSNRGGFRGRGGFRGGFRGGFRGGFSRGGFGGPRGGFGGPRGGYGGYSRG GYGGYSRGGYGGSRGGYDSPRGGYDSPRGGYSRGGYGGPRNDYGPPRGSYGGSRGGYD GPRGDYGPPRDAYRTRDAPRERSPTR SPAR_D06170 MSNANLRKWVGFCFVAIYLFVGVPLWYKLTTVYRASLPINYIES LQNNKFQDIHLVIPVYVKSDTYRFPDVHDAIQVQVNHLLNTQEQQVPWSLQVLPYNET IEQMEGEGNQFHVVTLKLDEFIGYSSAYDTKETLVYYDDAAVLSNDLPFFIAQTLVEH TFQLEWTHLNKTYEGVSTNNVAISYDPNIHLSITLLSGDGNPVAWEIERTLTDYFSPF RKFLSPLVNFTVDSSIVYHNDLNLHSLNGSCASVTWFDLSHTIDLSELSSMTYYPEDS ALNLAIVFPSASSSPDGLAFINGTRISDDLTTLDWNSYLVPQWGVIIINKMPLKPNSV ISEDYLEPMMYRFATDIFQLLGLAEGSQDLLSPYITIDSFKRLTILQNLDKAIETLWS LVKLTQQFQGMSIPREVSDNVVEALDLRLQIIDLLNDPGKGGDIVWNNALHLSNELVK LCEKAFFNGEMVQQNFFPQEHMIAVYLPLLGPISAVMFFGFYNVMKEKVLKTEKNGTE REVAKEKLELKETQKLHAIDGEDEL SPAR_D06180 MERVIQQTDYDALSCKLAAISVGYLPSSVLQRLSVDLARKYTQW HRSYLLTLKKFSRRAFGKVDKAMRSSFPVMNYGTFLRTVGIDAAILDFLDANEKVQVV NLGCGSDLRMLPLLQMFPHLTYVDIDYNDSVELKNNILRESEILRTSLDLPVEDATTS PFLIDQKRYKLVACDLNDITETTRLLETCTKRDIPTVVISECLLCYIHQNESQLLINT ILAKFAHGLWISYDPIGGSQPNDRFGSIMQSNLKESRNLEMPTLMTYNSKEKYALRWS AAPNVTVNDMWDIFNSQIPESERKRLRSLQFLDELEELKVMQTHYILMKAQW SPAR_D06190 MGNSGSKQHTKRSSKKDDHDGDRKKTLDLPPLTKSDTTHSLKSS RSLRSLRSKRSESSLASNVQAQTQPLSRRSSTLGNGNRNRRRSNNAPITPPNNYHLSS LPSSSRRLSSSSRRSSMGNNNNSELPPSMIQMEPKSPILKNSTSMHSTSSFNSYENAL TDDDDDKEDDGGESPSMAKATRINTSSSADRSYKRTPLRRHNSLQPEKGITGFSSTSS KLRRRSDNTLPSSYPSNAESSGNGSDYFSNRSNSHVSSRKSSFGSTGNTAYSTPLHSP ALEKMSSRDDDNGNNVNDRRSSPIPNLNIDKPSSSSSSASKREYLSAYPTLVHRDSSS SLSPRGKGQRSSSSSSCSQRIYVSPPSPTDDFVHGSCADGDDGSRTNTLVEMKRKKPI RPIDIDEIIQKLLDAGYAAKRTKNVCLKNSEIIQICHKARELFLAQPALLELSPSVKI VGDVHGQYADLLRLFTKCGFPPMANYLFLGDYVDRGKQSLETILLLLCYKIKYPENFF LLRGNHECANVTRVYGFYDECKRRCNIKIWKTFIDTFNTLPLAAIVTGKIFCVHGGLS PVLNSMDEIRHVSRPTDVPDFGLINDLLWSDPTDSSNEWEDNERGVSFCYNKVAINKF LNKFGFDLVCRAHMVVEDGYEFFNDRSLVTVFSAPNYCGEFDNWGAVMTVSEGLLCSF ELLDPLDSAALKQVMKKGRQERKLANR SPAR_D06200 MYTKEYYWFSQYMIITSTLVLTIIWSILPSSLGEAAPKQFIDTI LDIFPQRRWIVTLESIMLMGMLCTYTGLLMYNEDTLTPPLDSLSTVTDAGGQLVIEDD PDVFLKKWAFKETSGIYDLPLMDACQLLYLYDNEDTNT SPAR_D06210 MNRVGIDVDHMVGILLLAVVVVFWVGASCLTNELFETNAYNKPF FLTYLNISLFALYLAPDLWRIIQLRRKSLHERTDRTLPIQTQESFSELLPLINSGSSN SSNSSSLVDMRVKDTMRLSVLFCVLWFVANLAANSALSYTTVASSTILSSTSSFFTLF LATSLGIETFSMKKLLGLFVSLFGIILIVMQSSKQQDSVSASSFLVGNTLALLGSFGY SVYTTLLKYEISSKGLQLDIQMFLGYVGIFTFLLFWPVLIILDITHLETFELPSDPRI FFLVMLNCIIIFVSDFFWCKALILTSPLVVTIGLTFTIPLAMFADFVWQNASFTPWYI VGVFFIFISFLLVNHRGESAVEKRCTTVEKEPILDP SPAR_D06220 MTTLLQLLSNYYKAKLDSERIYNEYVQSQYEFASLDELNNNKGD AKKVVDETLFLQRQIAQLNKQLQLSFQENEKLLNVQKNQKALYQSKLSSKDALIDGLK IRLKVGQIPLDKHNRERTPPTGSDGQQHTLRAAHTSKPTIHLLSPIVNRDKPNNQTNN RGGNDPDSPTQRRSKGLRSLLSSGKNTIFDSISKNLDDEINENGPIRNDITSSKTAGK SPSVSLVLRKPSELRDERNDIVLKEHILRPKDDRDIMSSRKLDNIELSSIGDSTIVTS RSSTTHANDILGNEDDNHGITKLKRVNMLTSSPVRSDSTTKKKRKLARQRITTLPNSD EELSNNLNVDEYV SPAR_D06230 MSGQESTSNNNSDSFIMSSPNLGSQESSISPNDAKKGTNSQIPS SSSSSKCTFLSKQVQELLEGANKYDPKYGLSLPRGFLRDRNPKVKDNGLVPLVEKVIP PIHKKTNHRNTRKKSSTTMKKDVKKTKTAKGKGKSNRSKTYHKHTLISKQEINAAPER KSCNKARAKKKNEGEDTPSTFVDWNGPYLQLQYPLFDIEYLRSHETYSGTPIPSISLR TNSPQPMCLPSENDVSSVTTAKLQSFLFSNYMEEYKIDFERTTAIYNPMSEIGKLIEY SCLVFLPLPYSEQLKETILPDLNASFDNSDTKGFVSAINSYNEMIRQIPRSRIINHLE TIDKIPRSFIHDFLHIVYTRSIHPQANKLKHYKAFSNYVYGELLPNFLSDVYQQCKLK KGGTFMDLGSGVGNCVVQAALECGCALSFGCEIMEDASDLTVLQYQELTKRCKLFGMR LNNVEFSLKKSFVDNKRVIELIPQCDVILVNNFLFDENLNKEVEKILQTAKVGCQIIS LKSLRSLTYQIDFYNVENIFNRLKVQRYDLKEDSVSWTHSGGEYYISTVMGDVDESLF SPTARGRRNRGIPVKYTR SPAR_D06240 MSVSESYTKEIKGAFRQFTDFPIKGEQFEDFLPIIGNPMLFKKL IDTFKAHLEEKFGKEKIDFIAGIEARGLLFGPSLALALGVGFVPIRRAGKLPGECAAI TFTKLDHEEVFEMQVEAIPFDSNVIVVDDVLATGGSASAAGDLIERVGAHILEYDFVL VLDSLHGEEKLTAPIFSILHS SPAR_D06250 MSSDASTYRLEDVLSSFYRVEKIKKINYHQYISKTQSDQWSIQM EFMLRKQDPKNLVALLSRDLWCFSINDDPVPTPPVIEHKPVSPDKVGTFTADYSKPNL PPHYALFLKALRRKIYINLALGSHNKLIQFGNACISLSGAPNYLVQLEPHLFVNGDLT ISLCAKNMGLVPMKEENLEESFLLKHALYLAPSGIRMHLVPASKQGYLIKPPKHTELL LTTLSVSHGINLQNKKNLKWVAVIPDLGHLNGHTPTIASYLTPLLEAKKLVWPLHLIF AQPVSDIENSASGDPSEFHSLQDALDAIDDFIQLKQTAAYRTPGSSGILSSNIAGTNP LSSDGAYTEQFQHYKNNSISSQPASYHSVQETNKISPKDFSPNFTGIDKLMLSPSDQF APAFLNTPNNNINENELFNDRKQTTVSNDLENSPLKTELEMNGRSLEKINNSVSKTGS VDTIPNKEGTLEQQEQTENLSSDKSDSMVDKELFGEDEDEDLFGDSNKSNSANESNKS ISDEITEDMFEMSDEEENNNNKSIDSNNKEMRSDLGKDMPFFPPSEKPNIRTMSGTTK KINGKRKYLDIPIDEMTLPTSPLYMDPGAPLPVETPRDRRKSVFAPLNFNPIIENNVD NKYKSGGKFSFSPLQKEEALNFDISTADLSSSEEEEDDEEENGSSDEDLKSLNVRDDI KISDNISANNNIHEPQYINYSSIPSLQDSIIKQENFNSGNDANIVGNKEGFNSIWKIP QNDIPQTESPLKTVDSSIQPIETNMKLALEDNNVTSNPSEFASNTVNSEISNLPKDKS GIPEFTPADSNLPIESSSSLPFLLRHMPLASIPDIFITPTPVVTISEKEQDILDLIAE QVVTDYNILGNLGIPKIAYKGVKDCQEGLVTTTMLQLFSTFDRLNGNDTISKFYNMKQ PYVFVKKHHELIKVKHDSQPFIKFLNFRPPNGIKNFKSLLLSSSFKEDCLSFAPTLSQ TYINQELGFCELLKLTNEDPPGLMYLKTFDKNKLLLLAAQIVSYCSNNKNSIKSVPPI LIILPLNNATLTELVDKANIFQVIRNEVCAKMPNIELFLKVIPMDFIRNALVTVDQYV DVAISIYNMLPPKSIKFTHIAHTLPEKVNFRTMQQQQKQQQQQQQQQNNSTGSSSIIY YDSYIHLAYSRSVDKEWVFAALSDSYGQGSMTKTWYVGNSRGKFDDACNQIWNMALNL ASKKYGKICLILTRLNGILPDDELMNWRRLSGRNIHLAVVCVDDNSKISFIDEDKLYP SFKPIYKDTRFGGRMDMTRLDDYEIRDIDQDIHGVVFQHPFPLAHSQHRCAIRSGALI KFKKCDGDTVWDKFAVNLLNCPHSDSTQLLETILEEFRNLAALNVWYGLSDGADGHIP WHILAVKKMMNTLVHTRVKIANTTTATGHTAPSSSITLLDK SPAR_D06260 MPYKISRMKNSLEINETEGSLLVDERKRLRIGELYRYKFSVNKD VLKEQGLDVSHLFMRIKNEESALLRPVYLTGPYSFYIDVRPHNYNENRKFSGKEAIPF VENLKPDERFKVKILLNENSRVGDSSFYSWTIDIISQLAVITIPRLEFTFRIGTTRKV VKKSNGPLNSIEGVSLEMWDTKTLWDLPPKFPEKPVHLVIVTHGIFSNIGCDMLYMKD KIEEMTFPMDESINPNIIVRGFMGNVGKSGHGIHCLGVRVGKYVLKTVDELNKKYEVD RISFIGHSLGGPTQSMAVRYITVKRPDFFDTVKGVKPVNFITLASPFIGVIGDFPFYL SVPLDMGALGLTGRDLNLKYTPLTSKDGLYADDEACPEHSKYILEILPQAPAKKIFES FQRRTVYANVMDDGIVPLRTAALLYLDWRGIHQVQKIRKKNKNFPTSGEYVSSDSPES SAASSPSNENDNNVGEIPAESPNKKATLQWTLPQAVIHGGKINKYKRGQTNEANSDLD SEQGVVLDGQKFEPPKEANTVLSALSVLTAAVPNQEYIKDPAVRKDEIIHDKLYHPEE LPPPHYENRPIVKKLIYPNESVNRIQERIAREWQETMTWRKVLVQIQPDSHNNIVVRR RFVNLYGYVAVEHMVEHHFGTKACSELADDPNEPKDEPNQPEQPDLSNETSEGRKSNS MENIA SPAR_D06270 MTVIKTEPTTEVALYSPPPKESLSKDDAQKRKQNNKQPSNINSR SGSNKHKVTTKAPEKKINNTDKQDLSAFLLNPSLIVKPSESKKKENTVAYDAPNVKTE YTGFQPLTPISKKRALKEKSTSDKYGNFDLSKDENSHTQKKPKKLSSVTDINTSEYKL PLNRENTSSPAKEKSQEPTENPGAYQKTKNYLFDKPDLLDTCLQDYSSMLPPNIAEED QEYFVGVADSTLEEWTNKGQEILDQQFQLYQEIIKKRIELSYKFKGIISVINDRADAL EEQGQQLEGKIKKVKSLANEILNII SPAR_D06280 MAPSVLSNAIQEKVRTKTVKRASKALIERYYPKLTMDFQTNKRL CDEIATIQSKRLRNKIAGYTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPEVSALD LSRSNGVLNVDNQTSDLVKSLGLKLPLSVINVSAQRDRRFRKRN SPAR_D06290 MSNKFHCDVCSADCTNRVRVSCAICPEYDLCVPCFSQGSYSGNH RPYHDYRIIETNSYPILCSDWGADEELQLIKGAQTLGLGNWQDIADHIGSRDKEEVKE HYLKYYLESNYYPIPDITQNIHVPQDEFLEQRRHRIESFRERPLEPPRKPMASVPSCH EVQGFMPGRLEFETEFENEAEGPVKDMVFEPDDQPLDIELKFAILDIYNSRLTTRAEK KRLLFENHLMDYRKLQAIDKKRSKEAKELFNRIKPFARVMTALDFEEFSKDILEELHC RARIQQLQEWRSNGLTTLEAGLKYERDKQARISTFEKFGSSTAASLSEGNGRYRSNSA HRSNAEYTQNYSENGGRKKNMTISDIQHAPDYALLSNDEQQLCIQLKILPKPYLVIKE VTFRELLKTGGNLSKSACRELLNIDPIKANKIYDFFQHQNWM SPAR_D06300 MSKTRYYLEQCIPEMDDLVEKGLFTKNEVSLIMKKRTDFEHRLN SRGSSISDYIKYISYETNVNKLRAKRCKRILQAKKTNSLSDWSIQQRIGFIYQRGTNK FPQDLKFWAMYLNYMKARGNQTSYKKIHNIYNQLLKLHPTNVDIWISCAKYEYEVHAN FKSCRNIFQNGLRFNPDVPKLWYEYVKFELNFITKLINRRKVMGLINEREQELDMLNE QKNNQTLDEEKSHVQVPSTGDSMKDKLNELPEADISVLGNAETNPALRGDIALTIFDV CMKTLGKHYINKHKGYYAISDSKMNIELNKEALNYLFYESMRYIQLFDEFLDLERDHL INHVLQFWKNDMYDLSLRNDLPELYLKTIMIDTTLNIRYMPVERLDIDQLQLSVKKYF AYISKLDSMLVKSLRSEYSTYLQDNYLKKMNAEDDPRYKVLNLIISKL SPAR_D06310 MSLVVQEQGSFQHILRLLNTNVDGNIKIVYALTTIKGVGRRYSN LVCKKADVDLHKRAGELTQEELERIVQIMQNPTHYKIPAWFLNRQNDITDGKDYHTLA NNVESKLRDDLERLKKIRAHRGIRHFWGLRVRGQHTKTTGRRRT SPAR_D06320 MESRNTVLPSLPTIISSSSSSPFQLHALPNTNFPSDEQGVIRLP PLGASEHVVRPVVSIYKSPRDEERPKRKSPQAVDFLSQSVTTSMTPLSKPKKLGTHSP FTPTISITSKEQPTQSMHSYKKVNILTPLSAAKAILTPTTRKEKKRSFAFITHSQETF PKKEPKIDNARLARRKRRRTSSYELGILQTAFDECPTPNKAKRVELSEQCNMSEKSVQ IWFQNKRQAAKKHKNNSGSISRCKVHSNDSVSMISYSDAALELTSTPTSAKKAITAEL LKTSPANTSSIFEDHHITPCKSSGQLKFHGKSMLVKRTLSSASHSELLKSPKNKENRL KFNAYERKPLGEIDLNSFKN SPAR_D06330 MVVVGKSEVHNVSMSRPKKKSLIAVLSTCVLFFLVFIIGAKFQY IPVFTKFLDDRGDDKSPQLLRDMEFTRLGLTPRAPVIIRDLKTGKEKKLHGRFLHITD IHPDPYYVEGSSIDAVCHAGKPSKKKDAAPKFGKAMSGCDSPVVLMEETLSWVKENLR DKIDFVIWTGDNVRHDNDRKNPRTEAQIFDMNNIVADKMTELFSAGNEEDPRDFDVSV IPSLGNNDVFPHNMFALGPTLQTREYYRIWKNFVPQQQQRTFDRSASFLTEVIPGKLA VLSINTLYLFKANPLVDNCNSKKEPGYQLLLWFGYVLEELRSRGMKVWLSGHVPPIAK NFDQSCYDKFTLWTHEYRDIIIGGLYGHMNIDHFIPADGKKARKSLLKAMEQSNRIKE EGNTNVDDKSELNRILDHAMAAKEVFLMGAKPSNKEAYMDTVRDTHYQKVWNKLERVN DQNVESGKKKKDKEKKDKKKKKPITRKELIERYSIVTIGGSVIPTFNPSFRIWEYNVT DIVNDSSFIISDYKPWDEFFESLDKIMEDSLLEDEMNSSNIDLGINREKVDEKKNKKK KKKNDKTIPIEMPEECELGPAYIPQLFTPTRFVQFYADLEKINQELQNSFAESKDVFR YEVEYTSDEKPYSMDSLTVGSYLDLAGRLYEDKPAWEQYVEWSFASSGYKDD SPAR_D06340 MVAQVQKQAPAFKKTAVVDGVFEEISLEKYKGKYVILAFVPLAF SFVCPTEIVAFSDAAKKFEDQGAQVLFASTDSEYSLLAWTNLPRKDGGLGPVNIPLLA DTNHSLSRDYGVLIDEEGIALRGLFIIDPKGVIRHITINDLSVGRNVNEALRLVEGFQ WTDKNGTVLPCNWTPGAATIEPDVKGSKEYFKSANN SPAR_D06350 MSRPIVISGPSGTGKSTLLKKLFAEYPDSFGFSVSSTTRTPRAG EVNGKDYNFVTVDEFKSMIKNNEFIEWAQFSGNYYGSTVASVKQVSKSGKTCILDIDM QGVKSVKGIPELNARFLFVAPPSVEDLKKRLEGRGTETEESIAKRLGAAQAELAYAET GAHDKIIVNDDLDKAYKELKDFIFAEK SPAR_D06360 MLSKVLLNIAFKVLLTTAKRAVDSDDDDELLPSPDLPDSDDPIT GDPDVDLNPVTEEMFSSWALFIMLLLLISALWSSYYLTQKRIRAVHETVLSIFYGMVI GLIIRMSPGHYIQDTVTFNSSYFFNVLLPPIILNSGYELNQVNFFNNMVSILIFAIPG TFISAVVIGIILYIWTFLGLESIDISFADAMSVGATLSATDPVTILSIFNAYKVDPKL YTIIFGESLLNDAISIVMFETCQKFHGQPATFSSVFEGAGLFLMTFSVSLLIGVLIGI LVALLLKHTHIRRYPQIESCLILLIAYESYFFSNGCHMSGIVSLLFCGITLKHYAYYN MSRRSQITIKYIFQLLARLSENFIFIYLGLELFTEVELVYKPLLIIVAAISICVARWC AVFPLSQFVNWIYRVKTIRSMSGITGENISVPDEIPYNYQMMTFWAGLRGAVGVALAL GIQGEYKFTLLATVLVVVVLTVIIFGGTTAGMLEVLNIKTGCISEEDTSDDEFDIEAP RAINLMNASSMQAGLGPYSDNNSPDISIDQLAVSSNKNIPDNPSTIGGNSFGDLNGTE NTSPNPGRSSIDKRNLRDKLGTIFNSDSQWFQNFDEQVLKPVFLDNVSPSLQDSATQS PSDFSSQNH SPAR_D06370 MVLFTRCEKARKEKLAASYKPLVDYLVDCDTPTFLERIETIKEW DRSRDDLYVWIPILDRMDGLLLKVAEKYNYKQDPKKKSEVKLVEMEAHDVIYCLKMLG FTRRLLLNTENRFVYSSGDVLMYLLNCPNFTIKLAVMRILAILGERFVIAREKIVAHN VFGDHNLRKKTLKLALSLSSSVMDEDGEHFSLVDLYFDKKKVPQKWRRLRFTHYTSND FKKSNQQKNNTNETQTSIKKVTMTTQELCEHSLQQIFDRGMALLPSESWFDFSVKASV AKAFSDDSNENIDLRNIIIETKLNAIAFVNTIFSPPQVSSKLFELDPYAFNSLTDLIS LSETKIPKELRTDALFTLECISLKHVWCSDIIRNLGGNISHGLLFQILRYIAKTLREA TNEVDEEYNVRFFYLISNLADVKPLHESLFAAGLIPTLLEIVSIRNCSYKRTLASATH LLETFIDNSETATEFIENDGFTMLITSVANEIGFTLEHPETWQPPKYSVVYYSISFRE LAYIRSLLKLVLKLLSTDSGDRIRNLIDSPILVSLKKILENKSVFGLTLITYTLDVVQ KVINSEPTIYPVLVEAGLIPYIIDNFPKLLGPSAELLSLLPDVVSAICLNAEGLNQVK EKGLINNLFDFLLDADHARILTGGDRSTEYGTDIDELARHYPDLKANIVEALCDVIRK MPSAYRDEREFLFTSPKDQKYFFHRKSEEILTDKEEHEPAYWELLDKGTMLDTFTSVL FGMSLGNGSFSQVPQHLEARDFLAIIFMENPPYEYFTSVAISNVTEVLQYLDEKYEDY AFMDVMKMLNDQLENLNEFLNSPNDTSFFLEKDGENSVRSCHSKLCRLAAILNIVTNV YIDLTTLSCKRIMQIYAYFDKKGFSLIKNLKSLFQKCALEEMYIRQHMPDSAITETMP LPIVDVSGDGPPLQIYIDDPKKGDQKGKNTSVKMRNTLQVRTILYTLQSNTAILFRCF LRLSHSRNMDLEHKDLTTEIHIFENVVENIIEMLKVTEIEGHLPYFLVLLNFNTFVFT IPKASPNSTEILQTIPAYIFYQKGGYLLYLQIIRDLFTRMTKIRDLSSLDNINYIDES NGILTLSCLINALTFYNKSMQTETMENVQSIGKFYVSIDDDYNIMKALTVPIKVMALA MILDLDKSDGLFKTQSRNVPYSVFKQLLSMLKNIFTNVNIYTKELYELHWDLIFPSVK KMNLFEQVGIPGDVAANYLTETGDDLPADNSIGLFSPEQWEKYEKLVGEDKSIYYPQP MQAQYYKGCSSKELDELRDTFFNDGLPSRIFTVLPFYPKLVNAFAKTLLQIFTKYDEP TEVFAGKILDRILETNLDDPATLSSLIHLFGIFLNEKYIYQKASHLMQRFIEYLEKSL KPEHVNTPWFSKALYVYEIILAKSELPHLEELSKDVLLRYPLLSMAKAFRIPEPMKQK LFDILIRVSDISNFYSALATSRILIFYSRDELYANNIARSGILSRLLKVIGSFQKLDK INFLESSFLLLTRRCFETTENVDALIRAEVDKSFTARPLGGGDDAVRELTTILEEKAH VVMRSPSQFIDILCETARFQEFDDQGALVDYSLKRFLGEKDKNTGANSEKSDIYERTG IMHLLLSQLMAASEKDWLSEPANSSDLPENKKTQLDPSRNPVCAYMIFLLKLLVELVS SYNQCKFELLTFSRRNTYAERPRPRTTAINFFLYRLLDKPVGTDHDKHEAKRREVIGM LARSVIIGFLATVQDDKTTKTDVKVADPHMNFIRKFAIEAIIKAIRNATSSSKLLESN HLKLDMWFRIITSMIYVQAPYLRQLLDSNKVEADQYQLCKLVIDLGLPSVITEAMASI DLNYPFSKKIFNVAVEALNTISSTRNNFSEHFKIEDHDEVEDEVDESDKEEIPDMFKN SALGMYDVEDIEEDDDDDTSLIGDDDAMAFVDSDNGFEVVFSDEDDDMGEEDEDGAHS GSEGNGLSSEMNQSTTDGTDVDYEVDDADGLIINIDQSSGDDEEMADYDINISHSSHT DNEDDASMDVIEVYDDELSSGYDVDLSDYDVDESDWDSGLSSLSISDEEGESSEDEPI NSTRMGDSRRRWLIAEGVELTDDSQGESEEDDRGVFRGIEHIFSNENEPLFRVHDEMR HRNHHRSINRTHFHSAMSAPSLSLLNRGRRNQSNLINPLGPTGLEQVENDISDQVTVA GSGSRPRSHHLHFSEVLVSGSFFDEPVLDGIILKSTVSRWKDIFDMFYDSKTYANCII PTVINRLYKISLALQKDLEKKREQEKLKNKNALFQKPRVESNNSPDAILAERDGTQPS TVTHDDHEPVYVTIQGSEVDIGGTDIDPEFMNALPDEIRADVFAQHVRERRAEARLNS DHNVHSREIDSDFLEAIPEDIREGILDTEAEEQRMFGRIGSSANVIRTDDDVINNDEE VEGGLDHENNNDRNIADPEKKKAARIYFAPLIDRAGIASLMKSVFISKPYIQREIYHE LFYRLCSSKQNRNDLMNTFLFILSEGIIDQHSLEKVYNIISSRAMGHTKANTVRQLPS DCTPLTVANQTIEILQNLIDADSRLKYFLIAEHDNLIVNKANNKSRKEALPDKKLRWP LWHLFSLLDRKLITDESVLMDLLTRILQVCTKTLAVLSTSSNGKENLSKKFRLPDFDE DDLMKILSIIMLDSCTTRVFQQTLNIIYNLSKLKGCMSIFTKHLISLAISIMSKLKDA LDGLSHEVSTITTGMEINSELLQKFTLPSSDQAKLLKILTTVDFLYTHKRKEEERSVK DLQSLYDEMNSGPVWSSLSECLSQFEKSQAINTSATILLPLIESLMVVCRRSDLSQNR NTAIKYEDAKLLDFSKTRVENLFFPFTDAHKKLLNQMIRSNPKLMSGPFALLVKNPKV LDFDNKRYFFNAKLKSDNQERPKLAITVRREQVFLDSYRALFFKTNDEIKNSKLEITF KGESGVDAGGVTREWYQVLSRQMFNPDYALFLPVPSDKTTFHPNRTSGINPEHLSFFK FIGMIIGKAIRDQCFLDCHFSREVYKNILGRPVSLKDMESLDPDYYKSLVWILENDIT DIIEETFSVETDDYGEHKIIDLIEGGKDIIVTEANKQDYVKKVVEYKLQTSVKEQMDN FLVGFYALISKDLITIFDEQELELLISGLPDIDVDDWKNNTTYVNYTATCKEVSYFWR AVRSFDAEERAKLLQFVTGTSKVPLNGFKELSGVNGVCKFSIHRDFGSSERLPSSHTC FNQLNLPPYESYETLRGSLLLAINEGHEGFGLA SPAR_D06380 MDRKDLDPKTLKVSQLRRVLVENDVAFPANARKPVLVKLFEEKV RHRLQSSSETSNVKGIIQRTVKSEAKNADRKKTLKNKKLESSSGASKTVKVENVETNK RKRKQSSTENVATIQMKEEKSPKKKRKKRSSKASKPLESPPKSKSEGEATSVASSCEL DTGGYRNKEDFSDEKLRTGEFTKPELPNLKVSNEFLAQLNKELASAATESYDHSIKSK NLSSIRIETEGPVGTSSEAETRNRSELIENTNVKAQPESKEVKEELIKTLQTSDDQDE QGSKKISSKINKPSPKGRTRHFIANKTKRGIDIMRPFLAHVFIWLWNAALFLSIILPI LFGLWYREQRIRIGYCGHEKPMKPLAIPAFPQTERVDSLLQAYRPNCLKCPEHGICSS FMNVECEPGYELRSSILETYGIIPFSKYCVKDESKEKEVDELVWKVNEYLRKKNAKVE CGEGENLFASGETETKLYDIFSQSRPSWESQREFKEHWENVLEILKNKDEIVWLPLDF ETDEKRRHFEPNKTNYVYRSTSKKWVRLQCHLEGNIQEYVKKYGGPILITLGILFFIK KIQSTLDNYVQGEQIIEKLVKDATDKLKDVKKNKDEEPFLTTVQLRTILLSDISNIKE QNNLWTQTKEKIMKEQSDNIELYLLEENGEIMTCLEWKE SPAR_D06390 MALSWNIRIGRKSWFRFVLPIIVLSLLCYGTWAYCHKLCYEQVD KQLHHKSVAVGLICAVCFLDVVVVFIWFQIVVWVGPGTQPHVAPFLILPIASKEKTSD ISQNTSVEYDAVVPPRCYQSDPHGYPIWCSQCQSLKMERTHHSSELGHCIPRFDHYCM WIGTVIGRDNYRLFVQFAAYFSALLLIIWVPICVYIRTITQLNHSHTPRLNANLITTL VFAILGWLLTTSLLGSSIFYMSRNKTSLEAIIDGKRKKFGTRKIFCYYSEANRLRFVV EFDRSEFHSFWDKKTIFANIKDFMGSNILMWIIPLGKPFTFPCESDDKGSPFQSDQRT TLAEILGPYEETLSDYTIQAIEDKISRGEYLATLRASGDDSGPVY SPAR_D06400 MLMDEYEENKDMCPICKTDRYLSPDVKFLVNPECYHRICESCVD RIFSLGPAQCPYKGCDKILRKNKFKTQIFDDVEVEKEVDIRKRVFNVFNKTIDDFNGD LVEYNKYLEEVEDIIYKLDHGIDVAKTEEKLRTYEELNKQLIMNNLERNRTEIESFEQ RQKFEKEMKLKKRLLERQIEEEERMNKEWTKKEIVNRLSTTTQDINETIEGVKNTVKL KKSSARRKLEELNRVLKNNPYFNSNVNVQNSRLKDAVPFTPFNGDRETHPRFTLKGSV YNDPFIKDLEHRKEFIASGFNTNYAYERVLTEAFMGLGCVISEEL SPAR_D06410 MDSSNSAKNKHACKDTATPLPVDPPSYEETMKHDKEEIEGDETA SSAHRDSFMGPVYTHHPHPRSRKGYPGAQTLTYASR SPAR_D06420 MLAQTLKKPHKTVLGQVSGTTVFIRNKRTKSKSSLSPLAQRVVT QLSVMSASRKQPKLLKLAREDLIKHQTIEKCWSIYQQQQRERRNLQLELQYKSIESSM NLLQELSPRLFEAANAPEKGKRFPMEMKVPTDFPPNTPWHYHFRK SPAR_D06430 MPSTALLFPQKHIKAIPGKIYAFFRQLVSGVVVSKPDLSQHYFC ENAMKEEGEDGEDQEKTATSLFPESNNIDRSLNGGCSVIPCSMDVSDLNTPISMTLSP ENRIKCEVNAMSLLRSKPERDIGASIKMSNGVTSSPLSPSGSTPEHSTKVFNNGEEEF ICHYCDATFRIRGYLTRHIKKHAIEKAYHCPFFSSATPPDLRCHNSGGFSRRDTYKTH LKARHVLYPKGVKPQDRNKSSGHCAQCGEYFSTIENFVENHIESGDCKALPQGYTKKN EKRSGKLRKIKTSNGHSRFISTSQSVVEPKVLFNKDAVEAMTIVANNSSGSDIISKYG NNKLMLNSENFKVDIPKRKRKYIKKKQQQMSGSTTTTIPRVAIENNQEVAPDEISSAT IFSPFDTHLLEPVPSSSSESSAEAMSHDKQMKNLLIDINSFTNQQQQAQDVPSFMPLD IEQSSYDLNEDAMSYPIISTQSKCDSPQYNNTKISQILQSQLNPEYLGKNHLRETQQY LDFYNDNFGS SPAR_D06440 MAYDEDDGEINFNELVGNLLSSHNQGGQEEEEVQEQEQRGDDFD KISSTNENIEPEHPSDSQDVHNPPHQNIEIPHFVDEEDELVSVVANAVQNIDDEQAKP EGHLENESEHVASDTADDNHEKEQQQEWAHILQQEILKADGEPLRENTERRVSTSQHH PSQRTDDPLDQDDENLRMAILESLQELNTNEDEEEEPEKHDHATSNEKLPSKKPSKKK RKDKSKNKESSKDKSSKKSKSSSHSKKHTKDRNKEKHSKAADNDNTLDLSNILENLIH ENENTAVGTVKQAVDIQDPSHTDANTEDVEAQALVEATLKAFENELLSSAPTEEPSQE QSIEPASSTKAAEPPRKPTADDIPLAMLQAFKPKKRPPQEKKKTKSKTSKPTSTNKLP ASESASKKKKKKKAVKENNKSHEAYEDDEFSRILADMVNQVVNTSLKETSTATQDNKL ESESDFVSPVQSQYTAGDASTANDDTLDLNQIMQNAMAMVFQNQNDADFDENIVQDFN RGLGDLSVSDLLPHDNLSMMEKKSVPKSLSKSEKKAAISSRKASKKASKDVSSKEMAK SPSKPKKPSKSEISLEKKLRKKYVSIANEAASVARKKRWAKNKELKEREKLERQTARE ERRHKKKLEKQRLAEEQEELKKIVERGPPYPPDLRVTKSGKPKKPYRRWTPEELLKRS QDAEKPRKVKKERKKKEKKVKIPSSTLKKIPLFNFVKDNVQTSARHRLNDIEGSLSTI GLHKSPDGIRRILSRPKSEDHEWPLSDSSTSQNYDTHLKTVVHKEKIPFHPPWTIPSQ PPFALPVARRKKIPNIKKYRKRNNNSFRISKEGMANARNRIIPAILLPIINTLKAAAK SQTASGATPEEARKRLATIIQHAKSTVIRAALQARKNSMQGLNSKATTTELTRAAPQM KNPLRMIPIFNTSRVKQQLEKRLPARTVEKEIACSVPPNEPTSDPHSSSSIAGQTFKG VAIPIKIEDSDGNIPPASITPSTTEPLQHKLELTKSADSVEPMQNTIEAANGTEIVQE IKEVVDTNAPDEAIPAENKPNGNSEVQKSVLTESSDVEKMDEKNPGKKIGTNLNEDES KLGEKDVDEKATFHSGISQHMDNEPDNANTATEKPKLIDISHKPLNEAKPKIPIIFPL KRPQIKPEASVINLVQNLVNSKMPEIKNESVDLGSNITDILSSTITNILPEITATDVK NYQYEDENVKYLKKTPRQVLNLDGLVPPSGRCITKAKRVRRIKKPSTDGSTAQESNAK AGSESITYAFDIPSPEEVQSKRSVVLKFAKARLTEAELNSLKKEINNVRKRRWREMNS IKNWEYDVKSRLKKRANAFFGEGESETKSKWIEERFQEKVSQEKYKDRLETTETQANN TKIVIDDKEILNILAVNMNSLNKARCIEKDIQESFREEKLASLQPKKKRKKSISH SPAR_D06450 MSELHALLTFPERPISQSYYVPKLQHFLKSGIPATYTLEQVAAF ERESENRNGDNESRESKDDTKTSNTTPLHVLARSLPLDIKDEELQVVMNMMNILFEYG AGWNFIDYEDKTVGDLFLERNQTRESPLYNRLVEAGVSAELLLRKLNGCDVEFLDTTE PIGIGSEESVRVAPDGQKQEPVDSDDDDATAANQHVYLKTELEYKDDALITKENKDGV MMDWETKIMEIASETLFPDREARSATVLNIGFGMGIIDTFIQARKPYRHYICEAHPDV LAKMKTDGWYEKDNVVILEGRWQDTLNNLLDKGEVFFDGIYYDTFSEHYQDMLDLYDV IVGLIKPEGVFSFFNGLGADRSLCYDVYKKIVEIDVATYGMKCDYTRYSLDKQLPDWN DVKRSYFNCNYYYHPRITFA SPAR_D06460 MTSRKRSPHDFIFKEELGHGSYSTVFKALDKKSPNKIYAIKVCS KKHIIKEAKVKYVTIEKNTMNLLAQKHHAGIIKLYYTFHDEENLYFVLDFAPGGELLS LLHKMGTFNDTWTRHFTVQLIDALEFIHSHGIIHRDLKPENVLLDRDGRLMITDFGAA ATIDSGLNGDSTKYNSDSNSSDDNQNCASFVGTAEYVSPELLLYNQCGYGSDIWALGC MIYQFVQGQPPFRGENELKTFEKIVALDYPWGPNNRINNSASPINPLVINLVQKILVV EVNERISLKQIKRHPYFSKVDWNDKVKIWRGIWQSQGQSMQQTPGGLPNIPQNVLPTR QLHVIDTPARSIQITKQKRKKPTKISNTTSSIVVWRKRLGISTGKDDLGTVPSSSPAM TAPSGNNVITNTVPQSTSNVTLPVNSQSSQVKRAQPVAPNRIPPKVPVINDNLRNKSI PRTKPIVQPSQTTSIPQQSSSSAGSALSGPSIETQHLDVTQSLDERNSIDLHILKQDY VFIYGIPYENEGPAMSLNSYNKIDNDLITSLVAQHEEELKNSESFLQVLTLKTNGMLS YKNTVVMEENEDQVDKEHQMANIEDTDLSMYDFEFNELTRKGFLILEKYKNRIWFISL PSYSILSKIPFNAVKSLTINNNENWVDCFFRARQLLEEKQILDKISNVSFDSKASTEP SSPSPISRKEQPLNIGNNATAPGYTAKNASQNSVSQNSNIGEETPFRISSSVKDRPTV SSTPSSRHPRILSGNNASRTAKKTNGGLPNSAPSTSTCNNGSAPVVNHRPSTNVANNK HNLSTLKKQGPFFLSASSSATKPQIKTTGYRQSTPSPPLPPMEFLATREKYSAPSNMV ISSSRYEVLHTLNNSQTNFDREIASRGASAAFRSLQKGKKKK SPAR_D06470 MNNSEDPFQQVVKDTKEQLNRIGNYITRHNTAGDDDQEEEIQDI LKDVEETIVDLDRSIIVMKRDENEDVSDREAQVKDIKQQFDALKLRFDQRIQESTQTT LPSEETMENSALNSTMAENNDGGMSNPFQEQMLREQDVHLDGIHKTMQNLHIQAQTMG DELENQGQLLDNMDEGMDSVVNKLARGRRQLEWVYEKNKEKYDDCCIGLLIVVLIVLL VLAFIA SPAR_D06480 MNGNENSPRYNETTVPTVGDSSSDTDIQVGQNRGVDTKNYDKHD NKAFDMSSNHNGNSDNLQIDNASSSATRDLKNVKSVNNQNIKLEESSNTNSVIEDSSE PKISKLENVDLATTVGGSQTRKYLNANVTPHLLAGMRLIAVQQPDDPLRVLGEYLIEQ SNILKSGENESNASI SPAR_D06490 MNNNNVTEATSRAQIRPYYDPDSFNAGYSAVFKPDEGVVDPHGY TIASKLNVINSSPATKRMANALFKSSPMKKLSNSINDGLSLEGSNGEITSLNNFEWAE LINLQKWRKIFEQLLDMFFRKYFQLLIQQPFDVARLLTQVGEFQISKTTVDTSKPQPP IILQDKERDATAREGEEEYGYDEEEIDFFPIERKMAEANSTAPITTKETDRSHSELTD ISLTIAPQSLHTIDVINALFDQEGIRGLWKANNTTFIYNFLSLSIDTWFTGLFSSFLG VPDPYFMEVINSSDISKSFILALGAGVFTSIILLPVDLIRTRLIVTSFKRKKNAKANG KNRITNTRSLRQLIRCWSWRKNGVSIPLDMWSLTILQSINNSFFNKLFDLVIYNQFHI EKYSQTVIYNTMKFLSKSLELFIKLPLENLLRRCQLNYLLNDQRLSFKVDSTELIVKP KKYNGIWDVIRNNSKTNRGQLWNGWKVGVISLICGYGLQMMNKVEIDMEQEKF SPAR_D06500 MAKFLKAGKVAVVVRGRYAGKKVVIVKPHDEGSKSHPFGHALVA GIERYPLKVTKKHGAKKVAKRTKIKPFIKVVNYNHLLPTRYTLDVEAFKSVVSTETFE QPSQREEAKKVVKKAFEERHQAGKNQWFFSKLRF SPAR_D06510 MSQRIIQPSASDQQFQGKSDGYEYTVGPKQAITSETSTAYMPSR IYSDSLLFKRQEVSLSAMAFLFQEMISQLHRTCKTTGDFENKLSDYGHDIGIRLLELL NFRASVAPSSLPRASAFLSQGDSSSKLLNASNSSGILSNSSTTTSASANERLQEKQTE SLSNYITKMRRRDLKILDILQFIHGTLWSYLFNHVSDDLVKSSERDNEYMIVDNFPTL TQFIPRENVSCEYFVCGIIKGFLFNAGFPCGVTAHRMPQDGHSQRTVYLIQFDRQVLE REGLRFA SPAR_D06520 MPPRNTYKKVSSKRQYNTHYEPSFLRREETTNDEGGFQGRGLKT ELHSALKSSNLNLIRRNYQTGENPYLSDPHDPSSSSRINRRYERGLKFYKKGEISKRI AEERILQKHQKEKEFEIKLKQEEDEKEKEKLIESGDLPNLKLHEDKFVLNLSKFETYY NNNHGYEWWDTVYLDEKGEVMEKYNMKGTSPVEEKPAGDVDEQDDDDDEHPSIRYVTH PLPEKINEAKVSTKAYLTQHERKRLRRNRRKMVREALEVKIKLGLLPKPEPKVKLSNM MSVYENDQNITDPTAWEKGVKDEVELRKRKHLEENERRREEAIKRRKEAVNMSNEKPT IYYCKVFQFKNLQNPKIRFKLKMNSKELSLKGLCLRIRDDGPGIIIVVGDEKSCKFYE NLVMKRIKWNEDFELRTNTGNIKMDMHNNSIAKKWEGYLKDCKFKGWFMKVCNDQDSL IRTLGQFDSEHFYSPVQT SPAR_D06530 MVVRDQDEALRNSYKYVKLYVREDQLEDTVLANQDEDKVTNDDS RSLVSILDSSSSVKKKWKGSNEKYLPCVSFNTVPRTKASSPLDEEKREFPGVQIPGDY TMEEYYDDESGYTSDNNSDYFSGNNYSNKREGSVSPGRYSSPPPASRRNIKIGKMFKI SENGKIVREDYPTTPTDINDALVISRAYANWRQLWIKKKNQIDHRLEQKHEFFNYPTI LFPPKKKSSTGAAAIIKFNPPIEDGFTPLTKSQKRKERVLNEKVGFPNTPRTILCHIS GRRHTWVALDWALRTLIQNTDHIVVLANLPRLTRNNFEDSDSMSERKRMLMMMDDNRS IGSATRSRSRSRSRSICTRRALSLGPEESDNKLKHQSFMEWTSGYTQIEIERKLQDLF DYVTLVIPQDRSVKVTVEILIGKTKKTLLEAINIYLPDFFVSSTLRWERTDNLVRWKS NLLTDKLCTNFPIPTFIVPAKRMFDLEMDLQKQFEDPKAKQENRSSVKPSFSHSKSAD ASIPTISNLKQKQTNESSIDSLCYTPEINGADDNGRDDASGDELNAFQDDENDVMSVK SLTSNISVKEKLCTMARNRRKIMAQQLNDADHDSSIPPGQRHLKKLDIILESSLKFSL EIDSITDSTGNGDANESRTHSMDSGFEELKRVITGGAPPKHVATQKRSMLDVLDNPTS SRSKSKSRSSSKSRTRDKSKPSSPIATDINSSGSTSRSRSPQIKFASSVKNVDGNAAL GAIKSRLSLDSTEDQQRHHHHHRHDADLLSVPGLPQLTPSKSYSVSSGNKDSSLRKVS SSSSLRKVKSNDSNSGKRTKKPVVTSAHLKPNSGGGGLFSFFKGNSRSPSPLRKKDDS KNTPKRSGLFGFRRL SPAR_D06540 MWDSLIVSINDTHKLGLEDCLAVFGHVPITKAVKHVRLTEIDTQ TSTFTLKFLHTETGQNIEKIIYFIDNDTGNDTRTATGIKQIFNRMFRIAAEKRKLSPI QIDTVEYPCTLVDLLILVGVALPPLCYLYRPALHAVFFLVPNPLGSTLKKWLDSDRVL QIIIVAEFLTHALETLIFVVPRLKYYRVPGEFVPEWLLLGLLEGYGPARRLDTKARTL GEGSVN SPAR_D06550 MSSNNNTNTAPTNANSNHHHHHHHHHHHHHGHGGSSSTLNNPKS SLADGAHIGNYQIVKTLGEGSFGKVKLAYHTTTGQKVALKIINKKVLAKSDMQGRIER EISYLRLLRHPHIIKLYDVIKSKDEIIMVIEYAGNELFDYIVQRDKMSEQEARRFFQQ IISAVEYCHRHKIVHRDLKPENLLLDEHLNVKIADFGLSNIMTDGNFLKTSCGSPNYA APEVISGKLYAGPEVDVWSCGVILYVMLCRRLPFDDESIPVLFKNISNGVYTLPKFLS PGAAGLIKRMLIVNPLNRISIHEIMQDDWFKVDLPEYLLPPDLKPHPEEENKNNESKK DGSGPDNDEIDDGLVNILSSTMGYEKDEIYESLESSEDTPAFNEIRDAYMLIKENKSL IKDMKANKSVSDDLDTFLSQSPPTFQQQNQSHQKNQVDHETAKQHARRMASATTQQRT YHQSPYMDQYKEEDSTVSILPTSLPQIHRANMLAQGSPAASKISPLVTKKSKTRWHFG IRSRSYPLDVMGEIYIALKNLGAEWAKPSEEDLWTIKLRWKYDNGNKTSTNEKIPDLM KMVIQLFQIETNNYLVDFKFDGWESSYGDDTTVSNISEDEMSTFSAYPFLHLTTKLIM ELAVNSQSN SPAR_D06560 MNKEQAGKYQERSLRQKYNLLHVLPTLNSRALSGLYYKNFHNSV KRYQITLPEQLRSGKFCSHCGCVYVPHFNAKLQVTTNAEQDDDSEKLDDEGMKEPKIC IKLNCLNCEKSTLFEWKSELVDPAFEQDANSMINSTSSRKTSYAVKKPQKGKISGGKD RSKKRKLNSLTNLLSKKNQEKKLEKKKSSSMSLESFMKS SPAR_D06570 MDSVTNFFWNDTYNAGTTTRSTLKGKKVQSGIDGKSQAKKDSIS SSAKTGDSMRGSLPSSSGQSASGGGFTSTSNIQKMMADTLVEKIIKMALPPSSKTAVD TIHHRMVAGKERPKLSVQITSRNFIQMNSRLGVPFMIMDELIKILNWVNPAYTVSIMF LYTFIILKPFQMLSSLPIFYLLFCVMVPQYLYIHKPNPTHYLDNNQTPAQGPPLRRPE IPKPVPELSQEFVLNLTDLQNHMLLYVKFYDFTLLILQKFAFFTNEAISSFYFIVLLI TATLNFLYMDKFIQLIPIRPVLILLGWCFFIASHPFNREYLLTKLNSEETRLKTLTVS TNLESKILQHLKLIEAREHRLVMIFEIQKYLPEYKEWRPIGFSDDDYSLFSNLRIYQR RIEENSVRSLEEIEPPRDWEWEASSHWVLDLDPKEWVEDEFIQYVEIDSETKWVYDLN LDGQRGSYRRRMWTNSCVRKKLDSGISANSDEEEVINPLREETYRQGVHGVTKGSMSG GLTHSSDDDNDDDENINDTIPNLNNADADASYPSIEELTDTLNATI SPAR_D06580 MSKQEQEDPQQEQRPTVQENDPRNLQRLGMLLVSPGLDEDRLSE KMISKIKKSKDIEKNQKLLISRLSQKEEDHAGKPPAITISSAEKTIPFKPPNHSLKRK RVPPALNFSDIRSSSHLHASKSAPPNITRFPQQKSSLRVRYMGRMAPTAQDYHSPIAN SYMAPTYPYPYTGLPPVQCYPYSSTPTHTHAYEGYCSPMYPNPLYNNAIGPADYQAKR KKLASRSPHLDDLASRKRTSLSRHHGGDATTSRTDGDTEYPVPKHSLSEGASLNDDPD DYNDKERSIIGEISLYDDVFKFEVRNNKDDYMKACETIWSEWNNLKK SPAR_D06590 MMTHTLPGEQTRLVPGSNSNSRPKKKRISKRSKIIISTVVFIGL LLVLVQLAFPSSFALRSASHKRKNVIFFVTDGMGPASLSMARSFNQHVHDLPIDDILI LDQHFIGSSRTRSSDSLVTDSAAGATAFACALKSYNGAIGVDPHHKPCGTVLEAAKLA GYLTGLVVTTRITDATPASFSSHVDYRWQEDLIATHQLGEYPLGRAVDLLMGGGRSHF YPQGEKVSPYGHHGSRKDGRDLIDEAKSNGWQYVGDRKSFDFLLKSHGDNVTLPLLGL FADNDIPFEIDRDEKEYPSLKEQVIVALNALEKASNEDKDSNGFFLMVEGSRIDHAGH QNDPASQVREVLAFDEAFQYVLEFAEDSNTETVLVSTSDHETGGLVTSRQVTASYPQY VWYPQVLANATHSGEFLKRKLVDFVHEHKGATSKIENFIKHEILEKDLGIYDYADSDL ETLIHLGDDANAIQDKLNDMVSFRAQIGWTTHGHSAVDVNIYAYANKKSTWSYVLNNL QGNHENTEIGQFLENYLELNLGEVTDLIRDTKHASDFGATEIASEVSHYDEYYHELSK SPAR_D06600 MSYNGIGLKSAKGSSTSGHVQRSLASNNRRRLQGSQQQRQQGQN AIKKASHDKANRPLAVQKQMETHMEKREIEVQVSELRDRLEEDESLPEDQIDKRCEAL RAKLTSEWQEQQRVSSLYTSRKARLTEEQQGHE SPAR_D06610 MALFLSKRLLRYTVIAGTVILLLLTLNSNSSTQQYIPTSLSSAL DFSSGPILPEQQAISEDTDAEKLRQHALNPEADDDSEAMDEESKALKAAAEQADAPID AKTTMDYITPSFANKGDKPKACYVTLVRNSELKGLLSSIKYVEDKINKKFPYPWVFLN DEPFTEEFKAAVTKAVSSEVKFGILPKEHWSYPEWINQTKAAEVRADAANQYIYGGSE SYRHMCRYQSGFFWRHELLDEYDWYWRVEPDIKLYCDINYDVFKWMQDNEKVYGFTVS IHEYLITIPTLWETSMNFIKENPQYLDENNLMSFLSSDNGKTYNLCHFWSNFEVANLN LWRSPAYRKYFDTLDHQGGFFYERWGDAPVHSIAAALFLPKDKIHYFSDIGYHHPPYD NCPLDKEVYNSNNCECDQGNDFTFQGYSCGKEYYDAQGLVKPKNWKKFRE SPAR_D06620 MDVLKEVLSLDQAKFDQLKETSQNETDKTNDPFENYLKDCKFKA PSNQDQSPFAKLKSLQETHSNNETAINKIIPQLIDYLTEFTNRLSNYTQDLDFIKKKS NELQSLLEYNSTKLAHISPMVNDLMIPPELIDDIVKGKINENWQDNITFIADKEEIYD KYRYNNVDHDHKDTENSAVIAPKDFDKLCQLLDILKNVILERSKRLIISKIKTLRSHH PVPSQRIQTKLLKVQKIFPFIRDNNLSLALELRQAYCYTMKWYYREYFSRYIRSLTIL QFQQIDSQFALGNGLSTTSVGGFSNSPSLFFSNYLTTSASNAFYNKLPVTDEEVDRYF QIKKRLNILTQEDNTVMVSQIAENNTAKNYIEIGFKNLNLAILDNCTVEYHFLKDFFA MNGENFEEINGLLEQIFQPTFDEATAYTQQLIQYNYDIFGVLISIRVANQLQFESERR GIPSMFDTFLNGQLIQLWPRFQQLVDFQCESLRKAAITTNVAKYAGSSTTSNNSPLTS PHELTVQFGKFLSSFLTLAITHKQAIDERSEPLYNSIIRLRNDFETVMTKCSKKTKSP ERFLTTNYMYLYNNLQQLHLHLNISNSDAQNHNFDSAENVSTKATDDDENDSSVPLII RETESHFKTLVEAFTRS SPAR_D06630 MSDEGDDNGLDYNTEFIIQTRSRRSNAGNKLQKLLEQELRDIES TKRQLSSYKNGDDDDEDEIGLLFQEDEDDEDFEVMAKDDNDEGEEEEDDETQSITREH SQVSSEQVADDLMFSSSESENSSNENDEDAEEREIRRQELLSRKKRNKKLQKGPVIIK KQKPKPKSIKGDAAPRSHHSHEQLNAETLLLNTRRTSKRSSVMENTMKVYEKLSKAEK KRKVIQERIRKHKEKESQHMLTQEERLRIAKETEKLNILSLDKFKEQEVWKKENRLAL QKRQKLKFQPNETILQFLSTAWLMTPAMELDDRKYWQEQLSKRDKKKKKYPRKSKKKL NLCQQDTSDDKKEEREGSTRNDDSVNPAEENSSTVHNQEMFEVGKDSTNDTVEEGWSP DAVVKTVNPEELKPTPLPDVTLNENADNQNTVDEASNGQSQEDITDAKQKITNVSEPI QNLQSKEIKDELTSALKNKENSFKESSPAGQAISQSDESATPPPLNSTGTEDTMLISK DTDIKEDIEPGVKIEDTKNFPHNTPREIESNTATTASKQVTFTDHPQVAIIDTEESPS KKTTANMNESSTEDSLPTPIYEGPEQLTSRNFVTLYDFPNTPPNLKDFNTNLFGDQWA YTNGLSATQRPQDMKTVFHSILPSPSQSSVSSSTVDISLDLSALANFPSFGEYDKKIV HQINTETNKDLEIKIKTQPPTGVFLANGIRKKCLITNKECQYFDPRTGVPYSDVEAYK IIQQIQDPISREEGRTDIRRGETTDEDSTDQVRFKWFGFKNGGIYLDLDQRPAKGVPE GF SPAR_D06640 MNRIFGYGNKKSHDQLLQESNQSMNQAQQSLSNRISQLDTQIAQ LNFQLQNIQKNLQRSNNKQPSLRKQALKILNKRKQLENMKDSLDSQSWSMTQAQLTND NLQNTMITINALKQTNNAMKAQYGKINIDKLQDMQDEMLDLIEQGDELQEVLAMNNSN GELDDISDAELDAELDALAQEDFTLQTSENSLGNDMPNYLLGANAPPAFIDEEPNLDT EDKNKALESAQ SPAR_D06650 MFTPIDQAVEHFKQNKFVIVMDDAGRENEGDLICAAENISTEQM AFLVRHSSGYVCAPMTNTIADKLDLPLLRTGMKFESNDDDRHGTAYTITVDVAQGTTT GISAYDRSLTCKALADSSSTPKSFLKPGHICPLRAADGGVLQRRGHTEAGVDLCKLSA LSPVAVIGELVNDDEQGTMMRLKDCQAFGKKHGIPLISIEELAQYLKK SPAR_D06660 MERLKELEEKRRQLKELRERRKQASLFPGSEMMRHHPTGVHAKA TMVSVSVQTEMEEGSKIREPQTAHIRRKEVITYDKGIQTDQIEENKPEEKENLMTSDA SAAVDEGNNDKNENTQPRLELAKPFLIEDATATLNNASFARLETLVPASAEQASSEMQ QDGDNLMKWTMVGENVQSEADCDRIAQEYDPEKGILVVVYLQLPPVDRQYASGEAAWS VVNVVKCDNANGRNGLLVDMVEFRGTRIMRATILRRNHPDSKIVSILLTTFTGKIILY ELRLKQKRQETPAAYVVQRNLVARHYFQHPVVAVIETSSVQGQEKVLVAADNGNIMEL SCLDLTVLRKPQQLRPVPLSQLLSLEKDNCTYTERLQRLAKFDEVGIASVAYTWEDPQ YIWVGGEDGCIYKVVWDQPGPLYLALNNNGFQPAESHSTRVTGLEFYRDDARRLMLLL SCSTDWTVRLWDARAGKSVIGGPLLLGAPVLRARWLEYNEGKNSHTLRCEVWCADGRH VVVNWAFDSKTSLYTAAVIS SPAR_D06670 MDINIDDILAELDKETTAVDPTNITQVSSSTTHRDANTIVDSSL DLNGKTQIYVTPQQDFADLMKSWKNERCSPELLPYPHQLMKRLLNRISMQSQLIENIS MGFLDMQSTSNTNSPMPNDSKLPLLCMETELERLKFVIRSYIRCRLSKIDKFSLYLRQ LNEDENSLTSLTDLLSKDEIKYHDSHSLIWLKLVNDSILKYMPEELQAINDTEGSVNM IDEPDWNKFVFIHVNGPPDGNWNEDPLLQENEFGKPCYTVTIADLKEEVELTVGSIYV MRYEVIRDLLRDDKVALI SPAR_D06680 MGNRSLTEADHALLSKPLVPTSAEHTQTQDYSRPFVDGSNSQNE SELQASPQGQFGDKALTSTNRFIPLANDDPGRQHEMGLAPSMRRRREEWAERGAAKII KDVIDPTTGELTKHVVKMGIKDFKFGEQLGDGSYSSVVLATARDSGKKYAVKVLSKEY LIRQKKVKYVTVEKLALQKLNGTKGIIRLYFTFQDEASLYFLLEYAPHGDFLGLIKKY GSLNETCARYYASQIIDAVDSLHNIGIIHRDIKPENILLDKDMKVKLTDFGTAKILPE KPSSTADGEPCFDLYAKSKSFVGTAEYVSPELLNDSYTDSRCDIWAIGCILYQMLAGK PPFKAANEYLTFQKVMKIQYAFTAGFPQIVKDLVKKLLVREPNDRLSIKQIKAHIFFH EINFEDGSVWDGNPPEIQPYKISAEAMKPLQKVAELDTTAKMANLQLASNSHADTAPQ APTVSSQERSVISMTAATAAFSKDYTGQPKLGSKSSTSVRSVPNNTDRERTQKKNSKD RASLSSPSVATISRGKDNISRSSDTFWSRSLQNVDERVLLMKEVALSVQNLEDLSVDF ENVAPDYKNPFDIDPPTNSGKFYKKMLVITNLGRGLVFVKKRSLSMRKEQEFELQFEI QLSEVERIHFRNDQMLEIDGSKTIFIGCKERAVLMKIWKLINNEMSVRPKVASPKLDH KMFDRFIFQKKQNTKQKNQAPPVPESNKLVNGLPDSCVSKAPEEGGLHAKRPTSLQTR SSSNYSKLLARSTQMRKNITRTNEK SPAR_D06690 MSITTTRRRNQDSVCCKATTASIKVEAVSDKTAFGKQKMLHNFD ELPEWQKDNDKILTGYVRETLSWKKCLHSLFYWNNETVNIYTHLVPAIIYFVFAITLT NYFLIPVFPSTSWSDYTVINIFLMGAFSCLMCSSCFHCMKQHSEEQSNFWSKLDYLGI ISLISCSMIPIIYFGYFDHISYFSLFTIVTLVLATFCTICVLHDKFNTSTFRPFRAMF FILFGFSGLLPLTTGFFKFGIQGVLNRIKVSFVFWEALFYISGAVIYGFRIPETLAPG KFDFFGSSHQIFHIMVVLGSVCHLKAIIDSYKLMHSHIHP SPAR_D06700 MSTRSKALNAYRHGLRAARIAFQNDTEVLLAARAKMRSGMLCPP NPKLTTEEQVQHLEDVAVFLRRNLVQGKKVDCANKKEPRYHLNIHKDTELGDNETVAD PTARVKTNLKARPFKCSDKKQ SPAR_D06710 MRLSMFRCVSRAHYSTNVTEDFINSILARAQEATAKASSNALKL DKMKEGRMHNKKKNGNQNRNNMNNKEGRGREGSQGEKNMRLNNRTSVSARSNKQQWNR GTKTSFAKDSTGNTVVMQPQFKKMQNAKNNLKVDAEVGDDLLDVFNSSMEQKPVNFNK NVKSKARFQKKSHILTASKRRKAPQQQLQKVVQRPVSSEYILEEPTPLSLLEYTPQVF PTKESRLVNFTLDSLKKSNYPIYRSPNLGILKIHDFTLNTPNFGKYTPGSSLIFAKEP QLQNLLLQENFADFDRQVTGEYQLLKPYARKDFEKLAKSKDTVSKLVQNSQVARLSLQ SVVMSPEDKKLVYDVCSGMKPISELQQ SPAR_D06720 MVKKRTNVDKGEEVEDDRGKLEVDIETSTHEREGDEKKTGNDSL PETKSEQLTMHNVSSNEIVQADKPFPEESRSVEDSLDTDKITAQEAGQLSSAEDNVTK TDIKSLDEKTSTSDKQEEGSPLKICEGPFRISTLLDNVPSDLTYTCCEAYENHIFLGT TTGDLLHYFELERGNYMLVSQTKLDAESNSKIDKILLLPKVEGALILCNNELVLFILP EFAPRPNTTRLKGINDVVICNFSRSSKAYRIYAFHTEGVRLLKISADSLVLAKTFNFK LIDKACAHEETLMVSKLNNYELINLKSSQVIPLFRISETDEDLEPIITSFNEDNEFLV CSGGGSYESGAIALVVNHHGDIIKGTIVLKNYPRNVIVEFPYIIVESAFQSIDIYSAL PTEESQLLQSITSSGSGLKISKSDNIFTNTDNSKEYKEKLFNKLRLEPLTHSDNKFRI ERERAFVEESYEEKTSLIVYNNLGIHLLVPTPMILRFTSCEESEIDNIEDQLKKLAKK DLTKFENIEAKYLMSLLLFLMTLHYDHIEDEVMKRWCDFSDKVNIRILFYMFGWKVYS EIWCFHGLVNMVERLKSLKLSNKCEDVIKMLLMMKSELKRKNKTGLLASDFDDIMKTI DITLFNLRLEKKEAITIDMFERESYDEIIKEINIHGDEFPGIELLIEIYKEKGEYLEP LNLLKEAEDYRSLVSFIEKNIKEFPEEYVKERLADDLILTLKQDDESPEECVIKNILK ILSMAGINKNEFLDKIPAEEISLKVSFIEELGIQNSNDSKFLFNYYLAKLREIINQNN IWSILEDFIKEYKDDLAYDKTDITNFVHIKLKHNLKCESFSKYYEKCENLKSENEKDD EFINFTFEEISKIDKEYILSLLFFPNELINWISSEELLKIYLSFNDFKSVEKYTGKQN LVAVMKQYLDISSLNYSVELVTNLLQRNFELLDDLDMQLKVLETIPSIFPIQAISQLL LKVLIQYQERKEESNLRKCLLKNQISISDELSRNFESQE SPAR_D06730 MAPLTKKTNGKRSAREVSKSEKKLAKKPRISIDSSDEELELSKR EADSSSSDNDDLDNLSSGDSGAEDEQADELDISEDSEEHEDENENKEGKDKSEGGEGG NHTEQRKLLKERKMQRKSGTQVQQIKSVWERLRVKTPPLPKQIREKLSNEIWELSKDC ISDLVLKHDASRIVQTLVKYSSKERREQIVDALKGKFYVLATSAYGKYLLVKLLHYGS RSSRQSIIDELHGSLRKLMRHREGAYVVEDLFVLYATHEQRQQMIKEFWGSEYAVFRE THKDLTIEKVCESSIEKRNIIARNLIGTITASVEKGSTGFQILHAAMREYVKIANEKE ISDMIELLHEQFAELVHTPEGSDVACTLVARANAKERKLILKALKNHAEKLIKNEHGN TVFITILNCVDDTVLVFKTFSPTVKEHLQEFIIDKFGRRPWLYILLGLDGKYFSPIVK NELLRYIKLSEATSKKDPLQRRHELLSKFAPMFLSTISKHYSSILTENLGCQFIAEVL INDELYAQLNEKDQEKYQQVLDNILTTFKGDITEEEHPIHRAFSTRLLKALIQGGKWN NKEKKVMPLKNVQGLGVPFAHKLYDEIIDSSNLLEWINNADSSFTIVALYETLKDQKE GKPFLEDLKEVQSKITVDENNKGSHLLTKLLK SPAR_D06740 MGIHIPYLTSKASWSNASDAVGNADSVEFNNERDSPSKTTKVTL ESHEIQRAPASDDEDRIQIKPVNDEDDTSVMITFNQSLSPFIITLTFVASISGFMFGY DTGYISSALISIGTDLDNKVLTYGEKEIVTAATSLGALITSIFAGTAADIFGRKRCLM GSNLMFVIGAILQVSAHTFWQMAVGRLIMGFGVGIGSLIAPLFISEIAPKMIRGRLTV INSLWLTGGQLVAYGCGAGLNYVNNGWRILVGLSLIPTAVQFTCLCFLPDTPRYYVMK GNLERATEVLKRSYTDTSEEIIERKVEELVTLNQSIPGKNIPEKVWNTIKELHTVPSN LRALVIGCGLQAIQQFTGWNSLMYFSGTIFETVGFKNSSAVSIIVSGTNFIFTLVAFF SIDKIGRRTILLIGLPGMTMALVVCSIAFHYLGIKFDGAVAVVVSSGFSSWGIVIIVF IIVFAAFYALGIGTVPWQQSELFPQNVRGIGTSYATATNWAGSLVIASTFLTMLQNIT PAGTFAFFAGLSCISTIFCYFCYPELSGLELEEVQTILKDGFNIKASKALAKKRKQQV ARVHELKYEPTQEIIEDI SPAR_D06750 MVMTFLQDLGVLQDALLDYLQKLSTISHRKETGESKQDNKDNFA IIVNKHDEEEEEVEFEDLVSAIENKISDFESVLKCSIVEMTYTYPELKLQWEKSPKYD QCDKLHVAKLDKQMDEDIYAQLVEGLDSVLQFVDWFYCYRLKTKEILRQHHKRDLAWN DEERDRAIKFHAVDYDKLHQGALSSQSLASTSMEKASTRDKLLSKTKQLTNNLMRGNQ ILQSGILQSDLNLDELRAQTNSLTQIDDKYTQFETVFKKTADLVKVLENASHQEKRDV YLSLGFLICCVSWVLWRRIFKLPVKLGLWLLFKFFKGILVTLGLVKSYAASSSSPQAP SLVLNAPFLATTTTSSAAPVEPFASVSAVSSIQRAVDEAMGRIVSHDEL SPAR_D06760 MRQETSAEFSSDDDDDILLELGTRPPRFTQVPSSSAALQTQIPA ALAVTTTTLNKQDEKGTALVNQLNKAQGEASMLRDKINFLNIEREKEKNIQVVKVNEL QVKHIQELAKLKQELQKLEDEKKFLQMEARGKPKKEVITVTKTPSTTSSANTNTITPD SSSVAIEAKTQSPQLKKRKINDSLLKKNVVPLNPNRIIPDETSLFLESILLHQIIGAD LSTIEILNRLKLDYITEFKFKNFVIPEGAPIGKSIVSLLLRCKKTLTLDRFIDTLLED IAVLIKEISVHPNESKLAVPFLVALMYHIIQFRPSATHNLALKDCFLFICDLIRIYHH VLKIPIHESNMNLHVEPQIFQYELIDYLIISYSFDLLEGILRVLQSHPKQTYMEFFDE NILKSFEFVYKLALTISYKPMINVIFSAVEVFNIITNIILNMDNPSTLKSLISSNWWR DCITRLYALLEKEIKSGDVYNESADTTTLHVSKYHDFFGLVRNIGGNELGGLISKLIY TDRLQSIPRVISKEEIGMDSNEFTAPIISYKFERWLLKLKDEVLNIFENLLMIHGDDA TIINGEMLIHSSKFLSREQALMIERYVGQDSPNLDLRCHLIEHTLTIIYRLWKDHFKQ LREEQIKQVESQLIMSLWRFLVCQTETVTANEREMRDHRHLVDSLHDLTIKDQASYYQ DAFEDLPEYIEEELKMELNKRTGRIMQVKYDEKFQEMARTILESKSFDLTTLEEADSL YISMGL SPAR_D06770 MSHLFPPSSPVADKSLESPQKGIGKLPNASVLTLGRKRSICEPE EYPTPDPSSSIGRHSSPVKEITSHLDEAGSTYALSSPSKQGKKLADPIKIELDPSDPS RLAIGRKKSVCNVILPCRKNISRQHAFISYVADRNEIKLECNGTNGLSVHLPCSVQLY LIKPFQTRNFYKLMTEEPLTPQNAKLSHAKILQKNQNFISFVLAKGETVTFPYIQGTL VNFTGATVCLSLKEIARFSEDGNINFNEENSTETEDELCLLTTKSDDFPWQEETPSMK FVPVEHSPRTEQISKPLLIASPILVKNSPISHRTTPQTSFVINQPSTPRKLKRKSISL KNNTIQETPLPKDKGIGTSSASTRRDSIIEQQTLNVVAKKTNELSSVTTNVPPPCKRF KTSLNSNPEIFRSLTERGIRCDDLVHVLCNHLAFSNLQQTPLSQLQNINSNTSQLSKD ELRRVLEAISCIGIIVRAGKDASGKALEDEYYYDVENDDSDERKILYNSLRGRSRLRS CRKKHKQYFWKKPTK SPAR_D06780 MSKSKTFLFTSESVGEGHPDKICDQVSDAILDACLEQDPFSKVA CETAAKTGMIMVFGEITTKAKLDYQQIVRDTIKKIGYDDSAKGFDYKTCNVLVAIEQQ SPDIAQGLHYEKSLEDLGAGDQGIMFGYATDETPEGLPLTILLAHKLNMAMADARRDG SIPWLRPDTKTQVTVEYEDDNGRWVPKRIDTVVISAQHADEISTADLRTQLQKDIVEK VIPKDMLDENTKYFIQPSGRFVIGGPQGDAGLTGRKIIVDAYGGASSVGGGAFSGKDY SKVDRSAAYAARWVAKSLVAAGLCKRVQVQFSYAIGIAEPLSLHVDTYGTATKSDDEI IEIIKKNFDLRPGVLVKELDLARPIYLPTASYGHFTNQEYSWEKPKKLEF SPAR_D06790 MISVMADEKHKEYFRLYYFQYMIVGLCTILFLYSEISLVPRGQN IEFSLDDPSISKRYVANELVGPLGCLILSVGLSNMVVFWTCMFDKDLLKKSRVTWLRE RPDEISNDFHFMHTSILCLMLIISINAALTGALKLIIGNLRPDFVDRCIPDLQKISDS DSLLFGLDICKQTNKWVLYEGLKSTPSGHSSFIVSTMGFTYIWQKAFTTRNTRSCIWC PLLALVVMVSRVIDHRHHWYDVVSGAALAFLVIYCCWKWTFSNLAKRDILPSPVSV SPAR_D06800 MDLPTINSTTSISDNVDLKNYYEDLLFKNNSGKSLSDLPRKLND NSDNAGNDAVDPLAGLNNLRNSIKSTGSGMENRRTFDDIDFMSRFPYLPPVPHQQQQS FSHQNGFIQEQPSSTLTSFQMSSSNSEPMSAPPISSNNNHLDSTQMGNYQAQQRSFPQ FSANSFHPNGNELMENRTDLDYMRLMNKANIGFTSNSGNTFTAPSHSAGNPSSVNSQQ QPSFNWQQSSHPESTIRRSSYISDTLINHQIPGARQKQTSQMQQQHAQGFNLFNGRFN YDNLNSTHLTPKGVPDFGNGVPAPFSYDSDPNNGNISNSNNNNSHNMVPIQQFRRNTQ PVASFNPAPPTFQQQQQPRNTNVPNSLNGERIDDVQLVQLQRSTSVPSSTNSHNLQNE NANEGNVSLDNGLVLIQGKHLTSSKTLHDLYSDCGSGYFASSAVFEFTDNIKKMLKLH DSNESHEAKNMSLIDEEGSKYQSLLNFLDILRSCNLNYVNDPGSNNGIISNNGSSKNR SKGSFTTDLPCRNSNNSFLPYTPLVLVALKNGKLELLSTPQATNLLLKRGDLVIIDGD RGRDLVLVVEPCVDLNLALFINFLKKKIHFDSLITSESQHYRNDEFIQMLIDSKNGQK KKLNPKLYDVIELTELIIPSKQVLRFATPWEVTTNLHNKFEDELKALHIAQSKLQALN DNSKSQNTNDSSSNNFTNPATYSKPKLNIKILNAEFQFDRKKLTFYYVCEERNDFRDL IKELFKYYKTRIWLCAIPNNLSIDSKYYDKQQKELKLYQDIVKNYNTEDLMNVNEFSQ NRGNSRINFAPPLNEIELDNFQIAVYEELVHELFH SPAR_D06810 MKSILLKLVQIPMLMLILFKFIKGSEEGKIHVLEFNVTSEYTSD KRRFISINGYNGTFGPEIRVKSGDTLNLRLINWICSEEEAGKDNVVWKDYCSTALHFH GVVPLSNRFDGIPDLTQPIIGYGESYWYNFTIDRSTCGTFWYHSHSSVQYGDGLRGVL IIECDDYDNHVENTVNSVSDVETLIDGIVTMKKKKSTKELSKHEVEEKIITLSDWYTN WNLDTLKDKVLSLTGGTDPKLDGSLINGKPSDGEGIKLGLNAKYLLLRIINAGMSGTQ VFHLEGFQLIVLETDGIMIKPFIVQTLTLAAGQRYTVLVKLKTDTNPIRMINGCNKMM GYITKQWWFYKEGLHLDLSKNPSDVSIKHLPGFTKTELYRDLEPTQEENKKLRIKTDP VAVFEFDYAYYKDDDTKRKYGTGMYKVNNRTFSEYLKEPVSFSEYNETYEVIINSLDH MRHPWHMHGHHFQVISLGTNGDGPLHRNVQEGEAWTQYQKDLRHWARTGKAPMVRDSI NIAGNSYAVLRIRTELPGKWLLHCHVEWHMMKGLGIVFEVPATTTDGTKQATTAILQY PTKEPNPTTVVHPAVLHQNKSKVITIYILIMCAIDGVFYWLLM SPAR_D06820 MAVNGNSIPAIKDNTIGPWKLGETLGLGSTGKVQLARNESTGQE AAVKVISKAVFNTGNVSGTSIVGSTTPDALPYGIEREIIIMKLLNHPNVLRLYDVWET NTDLYLVLEYAEKGELFNLLVERGPLPEHEAIRFFRQIIIGVSYCHALGIVHRDLKPE NLLLDHKYNIKIADFGMAALETEGKLLETSCGSPHYAAPEIVSGIPYQGFASDVWSCG VILYALLTGRLPFDEEDGNIRSLLLKVQKGEFELPSDDEISREAQDLISKILTVDPER RIKTRDILKHPLLQKYPSIRDSKSIRGLPREDTYLTPLSESNSSIDATILQNLVILWH GRDPEGIKEKLREPGANAEKTLYALLYRFKCDTQKELIKQQQIKKRQSISSVSVSPSK KVSTTPQRRRNRESLISVTSSRKKPISFNKFTASSASSSNLTTPGSSKHLSKNFSSKK KLSTIVNQSSPTPASRNKRASVINVEKNQKRASIFSTTKKNKRTSKSIKRMSLIPSMK RESVTTKLMSTYAKLAEDDDWEYIEKETKRTSSNFATLIDEIFEYEKYEQIRKEKEEL ERKVREAKALEELERRKRKQEEKERTRKLLEKEDLKRKQEELKKQIEIDISDLEQELS KHKEEKLDGNIRSISAPMENEEKNINHLEADIDNILRRRNFSLQTRPVSRLDPGIMFS SPVEAVSPAEPKRTENERLTTEKKILETIRRSKFLGSSFNIDKELKLSKMEYPSIVAP QRLSEDRVVSDPNGGYESLVLPKDARGVPQSKDNVALTTADLVSDGKLRKISEVRVPQ FTRKSRHFSESNKRLSVLSMYSTKESFTNLVDILKNGNLDVNNQQSQRIPTPRSADDS EFLFETVNEEAEYTGNSSNDERLYDVGDSTIKEKSALKLNFADRFNGSTEERETDNLH LPILPPLNGDNELRKQKSEEDAQVHPKIKSMIPESGSSSHTEKEEKKEKEEQEEQEEQ GKQEEQGKQEKQENTEKGVNDMEPPLNKSVKKIREKDTSSQAKDHSKDHLKEHKQDRN ATNGNGSFFRKFSKSSSEKSVELYAKISAKQLFNGLEKLLRGWTQYGLKNIKSHPNNL TLTGKLSSDNIFSLRSTLFEISIYPRGKVSVVQFKKVSGSFKAVKKLVNEVENVLNKE GVLQK SPAR_D06830 MTLGNRLHGRNNEGSSNMNMNGNDLDDVSHYEMKEIQPKEKEIG SIEPENEVEYFEKTMEKTMENMEYEDEHHTSYLRRFINSFKRAEGSRPNSPDSNNSNG TTPICTKDSSSQLDNELNRKSSYITVDGIKQPPQEQEQKQENLKKSIKPRHTVMMSLG TGIGTGLLVGNSKVLNNAGPGGLIIGYAIMGSCVYCIIQACGELAVIYSDLIGGFNTY PLFLVDPALGFSVAWLFCLQWLCVCPLELVTASMTIKYWTVKVNADVFVVIFYVLILV INVFGAKGYAEADFFFNCCKILMIIGFFILAIIIDCGGAGTDGYIGSKYWRDPGAFRG DTPIQRFKGVVATFVTAAFAFGMSEQLAMTASEQSNPRKAIPSAAKKMIYRILFVFLA SLTLVGFLVPYTSDQLLGAAGSATKASPYVIAVSSHGVRVVPHFINAVILLSVLSVAN SAFYTSSRILMSLAKQGNAPKCFDYIDREGRPAVAMLVSALFGVIAFCASSKKEEEVF TWLLAISGLSQLFTWITICLSHIRFRRAMKVQGRSLGEVGYKSQVGVWGSAYAVVMMV LALIAQFWVAISPIGGGGKLSAQSFFENYLAMPILIALYIFFKVWKKDWSLFIPADKV DLVSHRNIFDEELLKQEDEEYKERLRNGPYWKRVLDFWC SPAR_D06840 MSDSEVNQEAKPEVKPEVKPETHINLKVSDGSSEIFFKIKKTTP LRRLMEAFAKRQGKEMDSLRFLYDGIRIQADQTPEDLDMEDNDIIEAHREQIGGATY SPAR_D06850 MNNKLIYRSVRFATHNSQLLLPPLVLYRRILRQHKMLPTPQREM GDQYVRSEFKLHKNIDNPLHIVGFLASWQDYLNMISNGGWKDATLSSETLEKLSPEQT VQLYELMKETQKLHQDSETESSKDVKRNKKD SPAR_D06860 MSAKYPTTMSCREAFDQLTSCYSIGGQFRSYYRYGDFTSCDKQV SKFKFCMVHGNDPVKVQEWYKEQVSNNKALENSSGIIWQERDTTANK SPAR_D06870 METNFSFDSNLIVILIITLFATRIIAKRFLSTPKMVSQETVAHV KDLIGQKEVFVAAKTYCPYCKATLSTLFQELNVPKSKALVLELDEMSNGSEIQDALEE ISGQKTVPNVYINGKHIGGNSDLETLKKNGKLAEILKPVFQ SPAR_D06880 MNSSANCVKAYEAVIKMVTHRFNSKAVRNYHQPQGLNRTLTTRN AARVRGMVPSRRGGVGSYKSSSSKLLNKLGRQKTWINEFEHFNSLHEIAYSPNSMLSN EIQKYLKTLEIDYQSIYEKSTKLLDKKLEDVDKEWVEKNGCIPDVSKDDVEKNIRKQY LADIQDVKNEHIPVMNCEPGSSQFKYLCKTIELLSSNKTICFAIDVEAFEFDTDIVTE IGISIYDPRENIYSLTPIIRNYHLIIAEALPLRNKKFVCDFKDCFLLGESLVLPLEQC VEFIQSLINFYMKCETDQDTTWERAFVGHVIAGDIKWLKKIGVHVPELDNELTKPDDL TESKGVRKHVKMLDTEKIYSMCYGKKGSSLGKLLRLFHLPHAFLHNAGNDAYYTLLLM LKLGDYNFRKQIGADDLETMGYRIREWFKREKDEPKIVPMSYVLSVMNANNSKPKVDD KTRKKPRDLVPQTEFSGSQWFQNARAAFKSTLV SPAR_D06890 MSSQNFNDDLKDLPLAAEDKKKQASSLKLAPIPTTSPWKSSSPD SNTAIPVEELRDMSKTSKPNKNGSGSIRLTSNTKWTPITPSVTISGSKDTNSKSRKNS KNFKSSKKMKKRGNNNNNINKKDFNGQTNSISEINNASNLESKPFDANAKVKTRLNSG ATAGANNKRITSDNNSTNGKQSRYYQNREGKTRYNNEDRSFRHNKAAHNGSPFPSNHH SRPGYISNASHWVNNNSGNSYNQLSYFRPQQYYSNYNYQQQFQTPYYYSMEPIFKSIE SIKNQIEFYFSEENLKTDEFLRSKFKKINDGFIPMSLIGKFYRMVNLSLGGDPILILA SMREVLQHKETNHLEIAYGNIEGAQTKLADDLNPLHNYFIRRKNWLEYVTEGNFDEND DETGKYNIEKLLEPGDLDNYSYMGYPNFFSSSANGKKSQTFDQGEMSREFEQNLQIND SPAR_D06900 MSFENLHKVNAEALEDAVVEICSSLEVDAAKLDELTAYFIECME KGLNNTSVGEEKTVDKGLPMIPTYVTSLPNGTERGVLLAADLGGTHFRVCSVTLNGDG TFDMQQLKSKIPEEYLNDKDVTSEELFSYLGRRTRAFVRKHHPELLKSTGENIKPLKM GFTFSYPIDQTSLSSGTLIRWTKSFKIEDTVGKDVVRLYQEQLDIQGLSMINVVALTN DTVGTFLSHCYTSGARPSSAGEISEPVIGCIFGTGTNGCYMEDIENIKKLPDELRTRL LHEGKTQMCINIEWGSFDNELKHLSATKYDIDIDQKFSPNPGYHLFEKRISGMYLGEL LRNILVDLHARGLILGQYRNYDQLPHRLKTPFQLCSEVLSRIEIDDSTNLRETELSFL QSLRLPTTFEERKAIQNLVRSITRRSAYLAAVPIAAILIKTNALNKRYHGEVEIGFDG YVIEYYPGFRSMLRHALALSPIGTEGERKIHLRLAKDGSGVGAALCALVA SPAR_D06910 MFRIAKNLVRTFEQSVQDTLALSQDSGSLDAFFQSIPPNLLSAQ LESPVDAVSEGVKHTNVNETLSGLRIVWVDEMQFQLQSFFDYIVGFNDDPVPVVNNQH GFSYPDYRRITSIFNEHCGRTLKVNIWSAKGGTFRDEYVNVISKDSNDLDDVSLNHDE RRPSSGEAHQFQALGFKVQWTPLIASTFTYHILNVNIPDGPAQLAGLIPDEDYIIGCQ DGLLATGGETLLQDIVRSRANYDLVLYVYNKVSDCVRPITVHIGPDGRLGCNVGYGFL HRIPTVKQRSQQGQQQQQEDDSVPFSAESETAFVPSAFTAPPVPTKKKSKNKKGSQPL AMDDYFNEGRDKSSAAAKLAESDVTAPPPQKQPSSN SPAR_D06920 MKVTTGFIFAIVSFCLFTSFTLAENSAIATPGSDLLVLTEKKFK SFIESHPLVLVEFFAPWCLHSQILRPHLEEAASILKEHNVPVVQIDCEANNLVCLQQT INTYPTLKIFKNGRIFDGQVYRGVKITDEITQYMIQLCEASVIYLDSEDEIQPYLENA TLPVVINRGLTGLNETYQEVALDLAEDYVFLSLLDSKDKSLSIRLPNTTEPILFGGNV DSLVGNSVALTQWLKVVILPYFTDIEPDLFPKYISSNLPLAYFFYTSKKELDDYTDLF TQLGKENRGHINFIALNSTMFPHHVRFLNMREQFPLFAIHNMINNLKYGLPQLPEEEY LELKEPQPLDRDMIVQLVKEYREGTAKPIVKSEEIPKVQNSNVYKIVGKTHDDIVHDD ERDVLVKYYATWCIHSKRFAPIYEEIADVLASNESVRDKILIAEVDSGANDILSFPVT GYPTIALYPAGNNSKPIIFDKIRNLEDVFEFIKESGTHHIDGQAIYNELHKTKDYKVS TEDTVHDEL SPAR_D06930 MLFNIYLFFTFFSTILAGSLSDLEIGITKRIPVEECSVKAMPGD RVEVHYTGSLLESGTVFDSSYSRGSPIAFELGVGRVIKGWDQGVAGMCIGEKRKLQIP SSLAYGERGIPGVIPPSADLVFDVELVNVKSAA SPAR_D06940 MDINSSASANPRPDGLPMTSGCNSGSGRIRNSIRSIINHPEDSA GANEGSEANSHKNNGNKKPRKKRKTFSCDTCRRVKTRCDFEPFVGKCYRCNVLQLDCS LARNKDDEILNTLREDGLLKKINSINPNLSSFPHLSADASSESQNSVGKNEMATIDSC MINKRLSSLEDYLKSLHQKMDLIITTAKLSDNGNTKDPRNDVQNVEFSSSNTYDSSMT SSAKTVRKTGEYIKENLFLNGFKLKESPLKLLHDIDERLFPSKATSKAAKLAGQQRPY AVARVNFLHFYENNQELCHKLAKEFLVRSHFWIIPGGRKEIDVEYAHSHLFITSVFTI IAMSFADNDKYAAEQEILYPLVERLLTNTLTMFEKLTAFDIEAILYCCMFHISRKAKR YRQLKFNSLVLSNFALNSLLHVIDFYQIKDRVLVKEVYNPEDLYHLRILNSLTACYLE YSISYGDIREQDDMLKEFNKLVAKFPQANFGDDIKISEINLGDIVNGIFMNLKNYFTQ YLNEFNNDRHGDNTNTLVFVFPELNYWLKNWEELLAKDGAGVLLFTFDFYHIMICRTF ITEFPSTLRSNQGFLKLILNTMKEHSFSLLKGFLRLPPTLIRGAPIFTCHQLVYACLT LCDYLYWFDSSEHQHVLSLCTKVYWHLSTIGEKMNEATDNVGKIIKSIIDTSKTRINF GNLPKENGDNDTILSSASNSMGAENLHAVKPATSHTNSAALHESLSSSHFMIPDVDQF NSFEDFFQDFFDSLKPNSQKMFSSNKKTEQTT SPAR_D06950 MVNKETKVITDKEKDNMLNQLNTILSLLFLFLQLTKPSTAFEAN GELNILDHNIMLVNTNATVPKKEKTNFETISPTKQTKIDEDCKKSLYHIENAANLIEL QAKCWKVVGNIEISSNFSGSLIDLGSIKEIEGDLIIKNNKHVFRIQGYNLESLQKLEL DSLTSFVSLDLPVLKEVETVDWRVLPILSSVVINGNIKKIKNIIISDTALTSIDYFNN VKEVDIFNINNNRFLETLFARLESVTKQLTVHSNAKELELDLSNLYTVENMTIKDVST IKVAKLSSVNSSLEFIENQFSSLELPLLAKVQGTLGLIDNKNLKKLNFSNVTDIQGGL MIANNTELAKIDFFPKLRQIGGAIYFEGSFEKIDLPELKLVKGSAYIKSSSDELNCEE FTTPKNGRSIIRGGKIECTSGMKSKMLNVDEEGNVLGKQETDNNDGKKEKGKNGAKSQ GSSKKMENSAPKNTFIDAFKTSIYTVLTILFAIVF SPAR_D06960 MENKEISIRSRTPPSKLYSIQSCIGRGNFGDVYKAMDRVTQEIV AIKVVNLEHSDEDIELLAQEIFFLAELKSPLITNYITTMLEDVSMWIVMEYCGGGSCS DLLKRSYVNGLPEEKVSFIIHQVTLGLKYLHEQRKIHRDIKAANILLNEEGMVKLGDF GVSGHIRSTLKRDTFVGTPYWMAPEVVCCEVDGYNEKADIWSLGITTYELLKGLPPLS KYDPMKVMTNLPKRKPPKLQGPFSDAAKEFVAGCLVKTPADRPSAYDLLSFEFIKNIT VTNLKSDVELIKQKKVQERYTKVPKYPLQNRLYNSSNTVRGKEFWNFESTRLRTTQIS KKELSPTTQDSPVSSLNMESPYLLHGQTVTPITNPSSSSFRKCAQAVFELDSGMDIDS GCANVHAEIEMVASSNHNKKHKKNDIQALKIEKFDYLKNIVSHILNRMYDRARDDETR KYVNEMLKQFMKTEANVPGFNEVFIEEISLRIEAIKKGFV SPAR_D06970 MDFYSTDINQNVAPLPGEGTVARTASKAHYSLWYNNALKLTNIL LKSLRCKLQTNRYEEDRGFDVYYVIIKSIALLMTAKESLILSQIPPSLPPRFPFRSPQ LSFTYLSTRLGGSQKKATHSHHINHQTHRIHSNSNNSNSNNRIPSKTDSSKQHTQHFS FANTGASNRDELLSIVRKIDKSNLKCCDCGSTATVEWVSINLLCILCIKCSGVHRSLG SHISKIRSLTLDNFTSLELMHLLQNNVSNSNVNAIYESNLRNFSVKKITSISADSERS KFIIDKYQHKKFVIDGNQGREASLKSLIKAIHLDSVFMMQRAIAQSKYSLRELTASEK EQDDLNHPSIFQYSLKHYEIVDGTPVFFITEFLLCNGIHIDNLPKITKNWSPKVLEYW ETKLEMYGTFQDVNASRPKSGPHLNIHSNVDSASSYSKTHDLRVNIPERSASASKRWS LSSIPKSSQNLMSPTNLLAMHKSLKLTKKDKK SPAR_D06980 MQFKTIVAAFATVAAVQAANVSTNGSNGTNGSNTTSTKISTGAA ASNALGAGVFGAAVAAGVAFLF SPAR_D06990 MHARDWFLVFIAIFIPPLAVWLKRGFFTKDLLINFLLFLLGFFP GLIHALYVISCHPYEENEAQYSHLSSTDDNYGSLA SPAR_D07000 MDLLGDIVEKDTSDSVENNDNDALITNNSRTGFPELYKPKKISS WKERLREKRAQKKTGAKQIEKQQATTDAPLSEAKSIHNENIKILQGMTDEQIAQERQD LFDSLDPGLIAKLLKNINKRAKDENNAPLFAEIEGASGTWVGGNKQGIYDLPPLEDED VNAALEIKPKSGINPKHVQFEEDDDEKNNDDVDDIAPLDFQMAQSIDHMKNEDLFKDV HFIKENNQNEADLEKLDINDPDFNDKLHEKYFPDLPKEVNKLKWMKSVQQETNKNCII EDVSECRFDFNGDLVPPTRQIDSTIHSGLHHHSDSPELAGYTIVELEHLARSTFSSQR CIAIQTLGRILYKLGQKSYYQLVPEIDAETYKEDGSISNVMDKIYSMFWDLVKDGKIV ESLEIASDEKFTRNLSVRNYAIDALWLWKQGGGDFRTKK SPAR_D07010 MENLCPPPPSQRMDFSTPPRNRHRHKRSFAISGDFEFLKQPASA PVFTSGYDSPTLENTPRRASGMNLTMPDESQNESALVPSPSPRFFISEASTYTSPVKG VPDAIINLDDVLINEPRMCRSHRKTKSVPVKLDEFYSSHKCSSVPELTINEEMDEDDT NPQLLEPVKPLSTSLSTEMNEDKRMALKNTRSHNSLKIQAQKQRYYNSARYLPLNNDD RTTDPQSLTKQSSVTSLFSSRSITPVSCNINNAGRINTINGNYLDDVLYDLDTPATTL TQDIDNFQTSISERVRLSPQSSSIKKYFSKDGKSLSSFNFQSQEYDMVSFTEDFDHAT SLSSSILDSEKQTDDEEESIPEEILRGEPLHVYNETNKSEKNASSPTKQKSAPTDRNS KHKSSQYEKKPHKKNRKFKIFAKLFCTRK SPAR_D07020 MPQSFTSIVKIGDYILKSPVLSKLCVPVANQFINLAGYKKLGLK FDDLIAEENPVMQTALRRLPEDESYCRAYRIIRAHQTELTHHLLPRNEWIKAQEDVPY LLPYILEAEAAAKEKDELDNIEVSK SPAR_D07030 MVDKNLKQKIRDRFVAAEKDGHLKVTHAESKKLKNPQTATQYWV TFAPSLALKPNAKKSNDSRTVDPFANPDKELVVTDDVNGDGEYKLLLNKFPVVPEHSL LVTSEFKDQKSALTPSDLMTAYNVLCSLEEGKDSEVSDGRYLVFYNCGPHSGSSQDHK HLQIMQMPKKFIPFQDALCNGKDHFLPTFNAEPLQDDKVSFAHFVLPLPESPDQVDED LLAMCYISLMQRALTFFQDWTNESPELTKSYNVLLTKKWICVVPRSHAKSKPPLTLNI NSTGYCGMILVKDKEKLEKLTVEPHLVDKSLLECGFPNTAGQKPTEYHY SPAR_D07040 MPRITQEISYNCDYGDNTFNLAIDIGGTLAKVVFSPLHSNRLMF YTIETERIDKFMELLHSIIKEHNNGCYEMTHIIATGGGAFKFYDLLYENFPQIKGISR FEEMEGLIQGLDFFIHEIPDEVFTYNDQDGERIIPTSSGTMDSKAIYPYLLVNIGSGV SMLKVTEPNNFSRVGGSSLGGGTLWGLLSLITGAQTYDQMLDWAQEGDNSSVDMLVGD IYGTDYNKIGLKSSAIASSFGKVFQNRITSNKSLANDENKLYSSHESIEENNGQMFKN PDICKSLLFAISNNIGQIAYLQAKIHNIQNIYFGGSYTRGHLTTMNTLSYAINFWSQG SKQAFFLKHEGYLGAMGAFLSASRH SPAR_D07050 MDMEGASIKDYETVLTDIEDAIALSSEEVLNNQELRLKNTLHEI TSSILAINGENKFVNPLRNDDSSDAEGKEVYINPKILGAKIKEFNKLMELLKLTYLEQ ETLDYFFRFTLSSTKPLQLNSEKDPQFVKLNERVNDLKEEISNVQESKIEQIKTEIQE TGRNFAEKQDLINELYLEATGDIESCWDSLNELKHFTNKEDKNMIGEKDTILNSSDSD GFVEETYVNWQKLLFLQKQNQKLTKELKEMREVKNQTIRKGEQLKKEDSMHTMANESE LCQSINLLIKFWEKYFLSEGLKSTILNFEIFTQLGKVQFEIKDMQYIIAISLSDSKRP MIKDITILQKAGGNIVTNIEASSKFNNRYQNNSKMQIFEVMDDIISELTNE SPAR_D07060 MTTKKVLLALTSYNDVFYSDGAKTGVFVIEALHPFNSFKKEGFE VDFVSETGKFGWDEHSLVKDFLNGQDKVDFENKDSDFNKTLAKIKTPKEVNADDYQIF FASAGHGTLFDYPKAKDLQDIASEIYANGGVVAAVCHGPAIFDGLTNKETGRPLVEGK SITGFTDVGETILGVDSILKAKNLATVEDIAKKYGAKYLAPVGPWDDYSITDGRLVTG VNPASAHSTAVRSINALEN SPAR_D07070 MRFSSALALSAITVAALGESITTTITATKNGHVYTKTVTQDATF VWTGEGERAPAATSAVTSAVSSAANSAVSSAAEPSADEGSGSSITTTITATKNGHVYT KTVTQDATFVWTGEGGRAPAATSAVTSAAITSAAEPSASAEGTTTDEGSGSSITTTIT ATKNGHVYTKTVTQDATFVWTGEGERAPAATSAATSAVTSAVTSAAITSAAEPSASAE GTTTDEGSGSSITTTITATKNGHVYTKTVTQDATFVWTGEGERAPASTAVISGTSTLE TSSSAPEISSAETSSAVQTSSTAEMSSTETSSAVQTSSTAEMSSTAEMSSTETSSAVQ TSSTAEMSSTAEMSSTETSSAATISSALQSSASYTRASSAVSKISSTSKASSAVSKIS SASKASSAVEASTTTSSKSSITTVVTATKNGQARTKSVTQDAEFVHTGEGSNGGASGS FASSKAISSIPKVPTTTVETLTNGKSSTTAQVVNYTGAADSIAAGTGLMGAALAAVIF L SPAR_D07080 MKDSKLSKFKGRFMSRTSHWGLTGQKLRYFITIASMTGFSLFGY DQGLMASLITGKQFNYEFPATKENGDHDRHATVVQGATTSCYELGCFAGSLFVMFYGE RIGRKPLILMGSIITIIGAVISTCAFRDYWALGQFIVGRVVTGVGTGLNTSTIPVWQS EMSKAENRGLLVNLEGSTIAFGTMIAYWIDFGFSYTNSSVQWRFPVSMQIVFALFLLA FMIKLPESPRWLISQSRTEEARYLVGTLDDTDPNDEEVITEVAMLHDAVNRTKHEKHS LSSLFSRGKSQNLQRALIAASTQFFQQFTGCNAAIYYSTVLFNKTIKLDHRLSMIIGG VFATIYALSTIGSFFLIEKLGRRKLFLLGATGQAVSFTITFACLVKENKENARGAAVG LFLFITFFGLSLLSLPWIYPPEIASMKVRASTNAFSTCTNWLCNFAVVMFTPIFIGQS GWGCYLFFAVMNYLYIPVIFFFYPETAGRSLEEIDIIFAKAYEDGTQPWRVANHLPKL SLQEVEDHANALGSYDDEMEKDDFAEDRVEDTYNQINGDNSSSSSNIKNEDTVNDKAN SES SPAR_D07090 MFLLPSRANLAFFKTTGVFARFPLLNRTISTSSSFLSYKLSKDV TRVSTSPPRPKRIVVAITGATGVALGIRLLQVLKELSVETHLVISKWGAATMKYETDW EPHDVAALATKTYSIRDVSACISSGSFQHDGMIVVPCSMKSLAAIRIGFTEDLITRAA DVSIKENRKLLLVTRETPLSSIHLENMLSLCRTGVIIFPPVPAYYTRPKSMDDLLEQS VGRILDCFGIHADTFPRWEGMNSK SPAR_D07100 MRKLNPALEFRDFIQVLKDEDDLIEITEEIDPNLEVGAIMRKAY ESHLPAPLFKNVKGASKDLFSILGCPAGLRSKEKGDHGRIAHHLGLDPKTTIKGIIDY LLECKEKEPLPPTTVPVSSAPCKTHILSEDKIHLQSLPTPYLHVSDGGKYLQTYGMWI LQTPDKKWTNWSIARGMVVDDKHITGLVIKPQHIRQIADSWAAIGKGNEIPFALCFGV PPAAILVSSMPIPEGISESDYVGAILGESVPVVKCETNDLMVPATSEMVFEGTLSLTD THLEGPFGEMHGYVFKSQGHPCPLYTVKAMSYRENAILPVSNPGLCTDETHTLIGSLV ATEAKELAIESGLPVLDAFMPYEAQALWLVLKVDLKGLQALKTTPEEFCKKVGDIYFR AKVGFIVHEIVLVADDIDIFNFKEVIWAYVTRHTPVADQVAFDDVTSFPLAPFVSQSS RSKTMKGGKCVTNCIFRQQYERSFDYITCNFEKGYPSELVDKVNENWKKYGYK SPAR_D07110 MSNSVLVSGASGFIGLHIVSQLLKQDYKVIGTVRSHEKKAKLLR QFQLNPDLSLEIVPDISQPNAFDDVLERRGREIRYVLHTASPFHYDTTEYERDLLIPA LEGTKNILNSIKKYAADTVERVVVTSSCTAIITLAKMDDFNVVFTEDSWNEATWESCQ IDGINAYFASKKFAEKAAWDFVKENEGHVKFKLTTVNPSLVFGPQLFDEDVQRRLNTS CEIINVLIHTPVDASIPDLHSIFTDVRDVALAHLYAFQKENTIDKRLVVTNGKFGNQD ILDILNKDFPQLRGVIPLGKPGTGDQVIDRGSTTDNSVTKKIVGFEFTSLHETVHDTA AQILKKENRL SPAR_E00010 MAAIKDYETALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_E00020 MSACPCNIVILPVEILKNSSIDTKYSLYTTINRGYDVPRLKYGI VVSPRVHSLETLFSDLGFDKNIEKSSFYLLLNDPALAYPTFYENFEQVKGETNEDLSL PTYYIPKFQFLTDAFNSEHVLATIGYKPNNKESYEITGFTSMGNGCGIKLFNSSVIHM MRFHKCKRLVADIIMEHDLLGYYEKKLGFVEVQRFKVLKEEHQPKVFDDKVECTKDFH VIKMIKDLKSHKL SPAR_E00030 MTITHPVAQLTAEAYPKVKRNPDFKVLDSADLAYFRSILSNDEI INSQAPEELASFNQDWMKKYRGQSNLILLPNSTDKVSKIMKYCNDKKLAVVPQGGNTD LVGASVPVFDEIVLSLRNMNKVRDFDPVSGTFKCDAGVIMRDAHKFLHDHDHIFPLDL PSRNNCQVGGVVSTNAGGLNFLRYGSLHGNVLGLEVVLPNGEIISNINALRKDNTGYD LKQLFIGAEGTIGVITGVSIVAAAKPKALNAVFFGIEDFDTVQKLFVKAKSELSEILS AFEFMDRGSIECTIEYLTDLPFPLENQHNFYVLIETSGSNKRHDDEKLTAFLKDTIDS KLISEGMMAKDKADYDRLWTWRKSVPTACNSYGGMYKYDMSLQLKDLYSVSAAVTERL NAAGLIGDAPKPIVKSCGYGHVGDGNIHLNIAVREFTKQIEDLLEPFVYEYIASKKGS ISAEHGIGFHKRGKLHYTRSDIEIRFMKDIKHHYDPNGILNPYKYI SPAR_E00040 MNGTKIEDGENIALLSSGRLASQSTFILPKDVFRNRLTWLCYET RNSLGFRIWLLLWLPLVVWWGMSSTWIYPFMGSAILFSGLLILPAIQILCHKYALSNQ LTHLSKEVIKSTPGAFSGDWDTVALHFNSYLYENNAWNTGQFFFNGTDCQEAFRKTIL EPVVLRRENEGARFTSFEVSGFHIEKAVQVYFTKVHEQWKLIHTEKECSPSGLENVKL PKETYRCKLAWIFQRIVTFYFPIKFLSDLNDICFSKFFGPLLGFLYLGYLFYIRVEDF QNTRPKSMRVENKMQYLSNIINEQGAGTERWDNIARKTNRWFLEKKVWKNEGFFFDGA DCQAFFERNFSSLLFSKKSVSPRSLNVELWQYIQEAQLASHYELLP SPAR_E00050 MTINTKNIVVVGAGVFGVSVANHLYRELGGTYAVKLVTVSDHVY FLPSAVRLTISKDYTKSILPLKSVLDDGVEVIKDAAASFDVKRVVLESGGAIEFSILI LATGSKWSDPIGSTYTFRDNYEEYFERESSRISDANHILFLGGGFVNCELVGELLSKY SDEIRSGKKHISIIHNSDKLLPGSGLYNDTLRKNVTDYLSNNGITLYLNTVGASSDAS PNRIFLGEGSSKYIDADLIYKGVGISPNVPVNCIANLCDKKGFIQVEKNFRVNAVEAG NVFAIGDVTNFRYHGLVKRDNWVDVLTRNVMTFLQEGTEASLVDADCLDSGHAPTGVS LGPNAGFGQFPLPLFGTVNIPSFLISRAKSRDLFSNKMEPLYKK SPAR_E00060 MTKSNETTATSLNAKTLKSFESTLPIPTYAREGVKQGIVHLGVG AFHRSHLAVFMHRLMQEHHLKDWSICGVGLMKADALMRDAMKAQDCLYTVVERGIKDT NAYIVGSITAYMYAPEDPRAVIEKMANPDTHIVSLTVTENGYYHSEATNSLMTDAPEI VNDLNHPEKPATLYGYLYEALLLRYKKGLTPFTIMSCDNMPQNGVTVKNMLVAFAKLK KDEKFAAWIKDKVTSPNSMVDRVTPRCTDKERKYVTDTWGIKDQCPVVAEPFIQWVLE DNFSDGRPPWELVGVQVVKDVDSYELMKLRLLNGGHSAMGYLGYLAGYTYIHEVVNDP TINKYIRVLMREEVIPLLPKVPGVDFEEYTASVLERFSNPAIQDTVARICLMGSGKMP KYVLPSIYEQLRKPDGKYKLLAVCVAGWFRYLTGVDMNGKPFEIEDPMASTLKAAAVK GGKDPHELLNIEVLFSPEIRDNKDFVAQLTHSLETVYDKGPIAAVNEILDQV SPAR_E00070 MSSVQSSINSDKDIRDASNADIHVAPPVEKEWSDGLDDDEVING DNIEPPKRGLLGYLVIYLLCYPISFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTG EYYLSNVRMGLLVAMFSIGCAIGGLMFARLADTLGRRLAIVIVVLVYMVGAIIQISSN HKWYQYFVGKIIYGLGAGGCSVLCPMLLSEIAPTDLRGGLVSLYQLNMTFGIFLGYCS VYGTRKYDNTAQWRIPLGLCFLWALIIIIGMLLVPESPRYLIECERHEEARASIAKIN KVSPEDPWVLKQADEINVGVLAQKELGEASWKELFSVKTKVLQRLITGILVQTFLQLT GENYFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAG MMACMVIFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAE SFPSKVKSRAMSISTACNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFF LPETIGLSLEEIQLLYEEGVKPWTSASWVPPSKRGIPTEERNTEKKDWKKFLKFSKGS D SPAR_E00080 MRVCMLAVALQGLALVCCMNTTENRKTFTYNTWTSFQIADYDSR FWSVRLPPVNRTTCGDEDHPWITIHYDEVDRLVNDRLANWDDGPVPDREEFRKRVISQ ARCDRPHY SPAR_E00090 MHANERDSRAHVHILYLPTVVSPKAVGMSNEESEKMTNDRIVVK AIEPKDEEAWNKLWKEYQGFQKTVMPPEVATTTFARFVDPTVKLWGALAFDTETGDAI GFTHYLSHLTSWHVEEVVYMNDLYVTEHARVKGVGRKLIEFVYKHADELGTPAVYWVT DHYNHRAQLLYTKVAYKTDKVVYKRAGY SPAR_E00100 MDPATANHTLTEEFTEVVVPEMLEKEAAATVDVNPTLTTSSPAP SYIELIDPGVHNIEIYAEMYNHPVYRVALFFSLFLIAYAYGLDGNIRYTFQAYATSSY SQHSLLSTVNCIKTVIAAVGQIFFARLSDIFGRFSIMIVSIIFYSMGTIIESQAVNIT RFAVGGCFYQLGLTGIILILEVIASDFSNLNWRLLALFIPALPFIINTWISGDVTSAI GTNWKWGIGMWAFILPLACIPLGLCMLHMRYLARKHAKDRLKPEFEALNKLKWKSFCI DIAFWKLDIIGMLLITVFFGCVLVPFTLAGGLKEEWRTAHIIVPEVIGWVVVLPLYMI WEMKYSRHPLTPWDLLQDRGIFFALLIAFFINFNWYMQGDYMYTVLVVAVHESIKSAT RITSLYSFVSVIVGTILGFILIKVRRTKPFIIFGISCWIVSFGLLVHYRGDSGAHSGI IGSLCLLGFGAGSFTYVTQASIQASAKTHARMAVVTSLYLATYNIGSAFGSSVSGAVW TNILPKEISKRISDPTLAAQAYGSPFTFITTYTWGTPERIALVMSYRYVQKILCIIGL VFCFPLLGCAFMLRNHKLTDSIALEGNDHLESRNSSETEEKEESFLKSKFFTQFTSSK GKEN SPAR_E00110 MSELGWYTKLENKELRTEFELTDFPLPGITDNDSDDGSQGKGSL NAIYPIASDTDDTLVNRVLRENDKKSSMRMAFMNLANSILGAGIITQPFAIKNAGILG GLISYIALGFIVDWTLRLIVINLTLAGKRTYQGTVEHVMGKKGKLLILFTNGLFAFGG CIGYCIIIGDTIPHVLRAIFSQNDGDVHFWLRRNVIIVMVTIFISFPLSLKRNIEALS KASFLAVISMIIIVLTVVIRGPMLPYDWKGHSLKLPDFFVKTTIFRSLSVISFALVCH HNTSFIFFSMRNRSVAKFTRLTHISIIISVICCGLMGYSGFAAFKEKTKGNVLNSFPG TDVAINIARLCFGFNMLTTFPMEIFVLRDVVGNLLHECRLIKNYDEHTQLTGKQHVVI TSLLVFITMSISLTTCNLGALFELIGATTASTMAYILPPYTNLLLTSKKKSWKEKLPF YLCICFGFMIMIISSTQTILDAVNGSDEQHCQI SPAR_E00120 MTNLKREEDIDEKHMYNEPVTTLFHDVEASQTHHRRGSIPLKDE KSKDLYPLRSFPTRVNGEDTFSMEDGIGDEDEGEVQNAEVKRELKQRHIGMIALGGTI GTGLFIGLSTPLTNAGPVGALISYLFMGSLAYSVTQSLGEMATFIPVTSSFTVFSQRF LSPAFGAANGYMYWFSWAITFALELSVVGQVIQFWTSKVPLAAWISIFWVLITIMNLF PVKYYGEFEFWVASIKVLAIIGFLIYCFCMVCGAGVTGPVGFRYWRNPGAWGPGIISK DKNEGRFLGWVSSLINAAFTFQGTELVGITAGEAANPRKSVPRAIKKVVFRILTFYIG SLLFIGLLVPYNDPKLTESTSYVSTSPFIIAIENSGTKVLPHIFNAVILTTIISAANS NIYVGSRILFGLSKNKLAPKFLSKTTKGGVPYVAVFTTAVFGALAYMETSTGGDKVFE WLLNITGVAGFFAWLFISISHIRFMQALKYRGISRDELPFKAKLMPGLAYYAATFMII IIIIQGFTAFAPKFNGVSFAAAYISVFLFLAVWILFEAIFRCRFIWKIEDVDIDSDRR DIEAIVWEDHEPKTFWDKFWNVVA SPAR_E00130 MLSYFQGFVPIHTIFYSVFHPTEGSKIKYEFPPNNLKNHGINFN TFKNYIIPKPILCHKLVTFKYGTYRIVCYPVTINSPIYARNFFSFNFVFVFPYDCETS PYEPAITRLGKMFKVLEEQNQLLSKSERDPVFFDLKALENSTTTPSTAGPSSTPNPSS NTTPTHPTSDKDTKDLRSSRYSELIKELGLPQSAFSIQDLLMRIFQDLNNYSECLIPI DEGNAVDIKIFPLLRPPTTCVSLEDVPLSSVNLKKIIDVNWDPTMMSIVPFIDGLNNI AKISKLSNSDPGLVIECIRHLIYYKCVTLSDIFQFSNIYAPSSLIRSFLTDPLMASDC QSYVTFPEVSKLSSLPLNKSLGSGDQDSPSFSVRRKSKSSSIPSNPDSRTTSFSSTSK VSQNSSLNSSFSSVYKDWRQSQTSCSSSNIHVINDRNRFLPTRSCLFDLYRSLSQGQT LKTWYESKYMILKENNIDIRRFITFGLEKRIIYRCYSFPVMLNTGSRETKEMTPMKTK DFLNEDKSLEKRNHDYSLSVTRSKNTAKSSNLKPERPSKVSFEMQRASSLATGSSTIP KLTDEEERILEESVRSAENFDKICVLLGKPKLEVESYLNELGEFKVINS SPAR_E00140 MPVENQNISQERSSNSTSKNGNAQIGCHNAPNEELNITVAVRCR GRNEREISMKSSVVVNVPDITGSKEISINTTGDTGITAQMNAKRYTVDKVFGPGASQD LIFDEVAGPLFQDFIKGYNCTVLVYGMTSTGKTYTMTGDEKLYNGELSDAAGIIPRVL LKLFDTLELQQNDYVVKCSFIELYNEELKDLLDNNSNNSSSNGFDGQFMKKLRIFDSS TANNTTSNSASSSRSNSRNSSPRSLNDLTPKAALLRKRLRTKSLPNTIKQQYQQQQQT MNSRNNSSSNSGSATNNASSTTNTNNGQRSSMAPNDQSNGIYIQNLQEFHITNAMEGL NLLQRGLKHRQVASTKMNDFSSRSHTIFTITLYKKHQDELFRISKMNLVDLAGSENIN RSGALNQRAKEAGSINQSLLTLGRVINALVDKSGHIPFRESKLTRLLQDSLGGNTKTA LIATISPAKVTSEETCSTLEYASKAKNIKNKPQLGAFIMKDILVKNITMELAKIKSDL LSTKSKEGIYMSQDHYKNLNGDLESYKNEVQECKREIEGLASKNSLLVKDKLKSKQTI QSQNSQIESLKATIDHLRSQLEKQHKTEIEISGFNNKLQKLTEVMQAALHDYKRRELD LNKKFETHITKEIKNLKSTLFLQLNTMQQENILQETNIQPNLDIIKNEVLTLMKTMQE KAELMYKDCVKKILNESPKFFNVIVEKIDIIRVDFQKFYKNIAENLSDISEENNNLKQ YLKNHFFKNNHQELLNRHVDSTYENIEKRTNEFVENFKKTLNDHLDENKKLIMQNLTT ATSAVIDQEMDLFEPKRVKWENSFDLINDCDSMNNEFYNSMASTLSQIKSTVDTSSNS MNESISVMKGQVEESENAISLLKNNTKFNDQFKHLINKHNILKDNIENSITSTHSHIT NVDDIYNTIENIMKNYGNKENATKDEMIDNILKEIPNLSKRMPLRLSNTNGNSVQSIM SPKKHAIEDGNKSSENADSEGSRKMLKTE SPAR_E00150 MKLENTLFTLGALGSISAALVIPNLENAADHHELVNKDDQQEKP RNVEFVRDSETRPSKKGHKGPEHDDEESSESGEKKQLKKGSHGRGHEGGKGMKPKHES SDDEEQKPHHKGGCHEKKLEEKKKKKAKDKKVKDKKHHAKTLEKGRHHNRLAPLVSTA QFNPDAISKIIPNRYIIVFKKGVPQEEIDFHKESVHQAQLQSVENLSAEDAFFISTKD TSLSTSEAGGIQDSFNIDNLFSGYIGYFTQEIVDLIRQNPLVDFVERDSVVEATEFDT QNSAPWGLARISHRERLNLGSFNKYLYDDDAGRGVTSYVIDTGVNVNHKDFEKRAIWG KTIPLNDEDLDGNGHGTHCAGTIASKHYGVAKNANVVAVKVLRSNGSGTMSDVVKGVE YAAKAHQKQAEEKKKGFKGSTANMSLGGGKSPALDLAVNAAVEAGIHFAVAAGNENQD ACNTSPASADKAITVGASTLSDDRAYFSNWGKCVDVFAPGLNILSTYIGSDEATATLS GTSMASPHVAGLLTYFLSLQPGSDSEFFELGQDSLTPQQLKKKLIHYSTKDILFDVPE DTPNVLIYNGGGQDLSAFWNDTKKSHSSGFKQELKMDEFIGSKTDLIFDQVRDVLDKL NII SPAR_E00160 MAPPTTIRSRNQALAPLATLDSKTDCQLKELVQWECQFKGADYI CSPFKRLFEHCIAPDKSATNYEVTDTYTNS SPAR_E00170 MKIDYELLRKLYVDTCRTKNVQYSYGTAGFRTQAKDLDTVMFTT GILAILRSLKLQGQYVGVMITASHNPYQDNGVKIVEPDGSMLLATWEPYAMQLANAAS FAANFEEFRAELVKLIEHEKIDLNTTIVPHIVVGRDSRESSPYLLRCLTSTMASVYHA QVLDLGCVTTPQLHYITDLSNKRKREGDAAPVATEQDYYSFFIGAFNELFTTYQLEKR LSVPKLFIDTANGIGGPQLKKLLASNDWVVPTDQIEVINDRSDVPKLLNFECGADYVK TNQRLPKGLSPFSSDSLYCSFDGDADRVVFYYVDSESKFHLLDGDKISTLFAKFLSKQ LELAQLEHSLKIGVVQTAYANGSSTTYIKDTLHCPVSCTKTGVKHLHHEAATQYDIGI YFEANGHGTIIFSEKFHRILKSELSKSNGDTLALRTLKCFSGLINQTVGDAISDMLAV LATLAILKMSPMDWDKEYTDLPNKLVKCIVPDRSIFETTDQERKLLNPVGLQAKIDLA VAKYPMGRSFVRASGTEDAVRVYAECQDSSKLDQFCNEVVEHVKASA SPAR_E00180 MANDGIQRNDNRKAFKTVQFSAYSKEIDIIMKKISFLERNITQQ LDTLPHFPETLSPSHKDCVSRKNRTRGSWSNQLKNLLGIYSRDEIISLDNLAATLHDQ VLKLQATLFPNAILKQVHLDNDNIENKRILKEITYEYFSKENCREGDKFGAFIVKRIF FGDLSLGISILVNHTTFESATSSIIAVKSSFIENDFFYEDYLIFDCRVKRRKKLKRKI LFISTTMNFNYQTKV SPAR_E00190 MENQEKPLSVDEEYDLWKSNVPLMYDFVSETRLTWPSLTVQWLP TPVQESDGGFIKQELIIGTHTSGEEGNYLKFAEINLPKEILNNEDSQEEAEENDQVSL PPPRSNIRITAKYEHEEEITRARYMPQDPNVVATINGQGTVFLYSRSEGLQSTLKFHK DNGYALSFNTLVKGQLLSGSDDHTVALWDVGSSGDSIKPTRTWNDLHSDIINDIKWHN FNKDLFGTVSEDSLLKINDVRADNTTIDTVKCPQPFNTLAFSHHSSNLLAAAGMDSYV YLYDLRNMSEPLHHMSGHEDAVTNLEFSTHVDGVVVSSGSDNRLIMWDLKQIGAEQTP DDAEDGVPELIMVHAGHRSSVNDFDLNPQIPWLVASAEEENILQVWKCSHSLPIVGGL PKVNKDIIS SPAR_E00200 MTGKVNRDLFFKLASDLREERLHAAVALIEDLSALDLPSDAEEW SYVLNRLIKGLASDRNSARLGFSLCLTEVINLAVNMPSGQRPKGLESANDFLSTLSTI LDVNLNESNKKSIKGKEERGILFGKLFGLKSLLNEPLFSETFVKDLKKGNTEFFIRFA EQLIDLALKKNWIKEPCFFTLFQTMKMLLPFMNENTAVKILLIYDKYNLTLTNEGLST YLLLKYEGDESLIPSVLDLKNSAWKDNDPLARGNLPLLTKVLRDSSVIPDNNGNSKDT KKQKNTNWNPRLHYVWSALLPLFGNEKLENTDHISKKRKKTSNKKAQNSIQFPEFWKM AVDESFFNEKASSERKYLGFLIIDATFKTIPGSYIGFCFSQNVMRTLINQSIDSQRAL NKIAQITLDSIVKACEEDPLNKLVPCLNAMLFGPHGSVNFDKLTKSGTVSKLITIKEL PSTIFAQLLDVFLLQLQEKKGDLSHTHFILDSILHIIRAHKVEINDMDIMKPVLTPIV YMAFFRHTPDDQKLEQLHEVAKERLYSILGELTINKEIRSKDPQINSWQYLTLKLILD MEKSHTGDLINPLDENLEKTKNEAISSLTEICRSRTAQSWGLSTLLSMCLIQLYAGET DSISVIEELCEFSKRENNSMVGITEILLSLLAQKKALLKKLSLIIWQQFIEEVGLEEL QILLDILKARENKQGFAQLFEGEDEFEEIKEEESASENESKTESESESESDSDDADEK DEEDEANEDVVNIDKEATSALVKALNLPDNIVNDKGEVDMDQLEGLSDDDEDDEDEES MDDEKMMELDDQLSEIFKRRKEALSNISTGNQRKVEVKQSRENVISFKHRVVDMLTVY VKYCEKLTIANKGEGSADLTSPLSKLVYFIIPMLKCINETLDKPLADKISKLLKGKIF KIKVTAFKDMDKKIELMDLLKSTHKVMLTSKPGQHAAIFYSACSTSSLFLSKIYVEIG GNDKLDELIDLYTATTKEWMQKGKFGANVFIDFINWLSSKKQSVANKK SPAR_E00210 MPPKFDPNEVKYLYLRAVGGEVGASAALAPKIGPLGLSPKKVGE DIAKATKEFKGIKVTVQLKIQNRQAAASVVPSASSLVITALKEPPRDRKKDKNVKHSG NIQLDEIIEIARQMRDKSFGRTLASVTKEILGTAQSVGCRVDFKNPHDIIEGINAGEI EIPEN SPAR_E00220 MEVDNILGSLSITDDFDQLVDVTSLFDELCSKLKPEAIVKDPKF DLFEGTHSLEVNNSKLDSSLIELTAEEIEFDVNVAYEPPLANVTAISDRLLRCVISWL NDYQTLPTTVLSCRYTESLLSSLVKGTTAGPSWCTGNVLYDKALGSCVLGVCYLTKFV QRLLSAGIVFEEEDLNFNGMGFNTFDNLPEQGVIINTLTESLQLLEINSDDSLHLTML KHILKIILCLVHLEDHLTDYSTETTHLDELIENVHMVNGILPQLQLSPPKGAFSTYIQ KHRSNQFPPRKITKLPTDYSGFITLANDIKTILLVGEAESALETYQFARFFNKLKQRH VIARILFPLIFIRDDRTVLGKFSYTQFYSLHVKEFSAQTPSKLLSSIGNELIQESSNI LLEWYQNCSQNTCRYRQGFNRQLILWDSLQAQFESVDSQIYCSWTYFMKLSSMIEFSL KGFDLDIYKPFEAYSMFWYVYYLSHHLETLLKESQNDIESNINAIHSMNKKLKKLKAG EKKDQLRLKYRFAMDNEMEHLQTTKQFLNYLLKEISITKSLCLVEVFQFAILKSFGLI DNKNSIASKFSDERLIHNLRFKPFNSIGVPELPEYEVFQQTLKDFIIEEKGAAFDIKL ERATAFIETEVRNVVNSIDEIMQGIKGGDNNGVLVTGTRLVQELSLEYYSKLKHTSKA LAVNSKVIVSTLKKSVENKVSHEYKMELIHTTEGWNYFPVQTLRMKQNRYK SPAR_E00230 MITLKPNAVRTFRQVQHCSIRICRYQSTKLRKFLTPLQEYDRLV KLGKLRDDTYQRGIISSLGDLYDSLVKYVPPIVKTPSAIDQVGGWLSGLKSVFSRGKA KNIGAYVDVSKIGNSIPRGIYLYGDVGCGKTMLMDLFYTTIPNHLTKKRIHFHQFMQY VHKRSHEIVKEQNLKELGDAKGKEIDTVPFLAAEIANNSHVLCFDEFQVTDVADAMIL RRLMTALLSDDYGVVLFATSNRHPDELYINGVQRQSFIPCIELIKHRTKVIFLNSPTD YRKIPRPVSSVYYFPPSTSMKYASKECKTRRENHIKEWYNYFAQASHTDDSIDSHTVH KTFYDYPLTIWGREFKVPKCTPPRVAQFTFKQLCGEPLAAGDYLTLAKNFEAFIVTDI PYLSIYVRDEVRRFITFLDAVYDSGGKLATTGAADFTSLFVEPEQILNDFELRPTTKE SDSVDTGLVDEMVEKHGFSKEIAKKSQMFALDEERFAFARALSRLSQMSSTDWVTKPR Y SPAR_E00240 MSGNREQVFPTRMTLGLMKTKLKGANQGYSLLKRKSEALTKRFR DITKRIDDAKQKMGRVMQTAAFSLAEVSYATGENIGYQVQESVSTARFKVRARQENVS GVYLSQFESYIDPEINDFRLTGLGRGGQQVQRAKEIYSRAVETLVELASLQTAFIILD EVIKVTNRRVNAIEHVIIPRTENTIAYINSELDELDREEFYRLKKVQEKKQNETAKLD AEMKLKRDKAEQDASEVAGTDEEPQGETLVADQEDDVIF SPAR_E00250 MLVLGPLRSVLSCSSSASLIGRRNPCYPYGILYRTLSQSFKSRQ ENVSKDDSSLNLTPRLLKIVPNDTDIVTLEKQDELIKRRRKLSKEVTQMKRLKPVSPG LRWYRSPIYPYLYKGRPVRSLTVVRKKHGGRNNSGKITVRHQGGGHRNRTRLIDFNRW EGGAQTVQRIEYDPGRSSHIALLKHNATGKLSYIIACDGLRPGDVVESFRRGIPQTLL NEMGGKVDPAILSVKTTQRGNCLPISMIPIGTIVHNVGITPVGPGKFCRSAGTYARVL AKLPEKKKAVVRLQSGEHRYVSLESVATIGVVSNIDHQNRSLGKAGRSRWLGIRPTVR GVAMNKCDHPHGGGRGKSKSNKLSMSPWGQLAKGYKTRRGKNQNRMKVKDRPRGKDAR L SPAR_E00260 MSLTPCFVSLIDESDKPILIYVPNEVENEMNEVLKYNVLSNISL DYFESALVEWHSLDSKPLLKSIFQLEGVSVFAMLIKQTGLKIVIGFEQRSLNGGDDEL RTINQIFETVRRIYIRVKCNPLLASSDEISIVKSLERKFDELFASTEVEL SPAR_E00270 MSSSSVVVIGTGLAGLAAANELVNKYNIPVTILEKASSIGGNSI KASSGINGACTETQRHFHIEDSPSLFEDDTVKSAKGKGVQELMGKLANDSPLAIEWLK NEFDLKLDLLAQLGGHSVARTHRSSGKLPPGFEIVSALSNNLKKLAETKPDLVKINLD SKVVDIHENDGSISAIVYEDKNGEKHTLSASDVVFCSGGFGFSKEMLKEYAPELVNLP TTNGQQTTGDGQRLLQKLGADLIDMDQIQVHPTGFIDPSDRNSSWKFLAAESLRGLGG ILLNPITGRRFVNELTTRDVVTAAIQKVCPQDDNRALLVMGEKMYTDLKNNLDFYMFK KLVQKLTLSQVVSEYKLPITVAQLCQELQTYSSFTTKADPLGRTVILNEFGSDVAPET VVFIGEVTPVVHFTMGGARINVNAQVIGKNDEPLLKGLYAAGEVSGGVHGANRLGGSS LLECVVFGRTAAKSIANDRK SPAR_E00280 MTEFELPPKYITAANDLRSDTFTTPTPEMMQAALEASIGDAVYG EDVDTVRLEQTVARMAAKEAGLFCVSGTLSNQIAIRTHLTQPPYSILCDYRAHVYTHE AAGLAILSQAMVVPVIPSNGDYLTLEDIKSHYVPDDGDIHGAPTRLISLENTLHGIVY PLEELVRIKAWCMENGLKLHCDGARIWNAAAQSGVPLKQYGEIFDSISICLSKSMGAP IGSVLVGNLKFIKKATHFRKQQGGGIRQSGMMARMALVNINNDWKSQLLYSHSLAHEL AEFCETKGIPLESPADTNFVFINLKAARMDPDVLVKKGLKYNVKLMGGRVSFHYQVTR DTLEKVKLAISEAFDYAKEHPFDCNGPTQIYRSESTEVDVDGNAIREIKTYKY SPAR_E00290 MSGGRGNSSNSSINNNNNNNNNDGGDERLLFLRSVGERNEIGFP SRFKPAHYKKPTRRHKSARQLISDENKRINALLTKANKAAETSTTVKRLVPKATYFSV EAPPSIRPAKKYCDVTGLKGLYKSPTNNIRYHNAEIYQLIVKPMAPGVDQEYLKLRGA NFVLK SPAR_E00300 MTASVITTVLACLWLSYRLYKFLTIPVSNIVSTLKIKTPPATKV SIDKIATDSVTIHWENEPVKVGKDVDVDRNFISHYLLYLNNTQLAIFPNNPNSLYTCC SITGLEAETQYQLDFITINNKGFINKLPSIYCMTKAREANEALKTRKWRRNTITSSTA MQPSNSKSELAPLTSHFSSVSLSTFASNITNSTTSNNGSNLPAYTSLTTLKDLDSFSI DDLKKILICAQEDLHDVLSQQTLLLQDFQESRLELELELDNLKTHWSHEIDLRKSLKS NIKSLENSKLLTDLKIEKLNKKIDKSKEKISKMRKDMQNWSREDTELLSKETIKEKYF KLLSESNASVANVNKDIESLQNEISKVEESNKRLNATRKSLITSIVVNANVENDKPIA NGELSAVLKKLNDFTLEKNGFLSNAGEEFLSRLNADSSLIKMIKQELSIDQELETNWK LQRSKMLKKISTLENQFNEMSLNNRTLKTKLMVQPYKNNGDPLAATNSNNSTEKNRSS GSIQLPLSSNMSRTGSMDLISNNNKSINNNNGDSAPPLRLHNPVSYSPTNESIQPSSS LLSQLTQDTDNRSMLSNHISSNNENKQQPSSYSHALPTTAIANATATATNGHSKSNFW NTTQFAQPSRQQVSTELDQAFEYDNANHLISGLQNMIYDETDYPDNISNYSKGFTTDE LDNYWTKQQPQVRSTNESLFSTTGTPMSSYKANPVLSPYSSSHLRQNSNAANANSMHP QSLLAATLNDPSLQSFVRSGSFYSGPPPANTLQNNIKNSNETENISPRISSDFNLLVP NLSPRLSNDVPIVPGNNTTLTPSHSNILTINHQPTTDNNTRRSFHASSPPFNSIWNST TSQLPPPLEEQYHLDVPVTPKAAAKESNPKPSHKRNQSNSSISSAWSKFKHKSASSSA NADTNIQDSSTPSTSPSGRRMSKLLSKSGMNNLFNPHSHDS SPAR_E00310 MAPIFRNYRFAIGAFAVIMLILLIKTSSMGPSSIARTVATTASI PKTPEDVSISPINDEPGYIHDPKTEQNYPELADAVKSQTSQTCSEEHKYVIMIDAGST GSRIHIYEFDVCTSPPTLLYEKFEMLEPGLSSFDTDSVGAANSLDPLLEVAMKYVPLK ARSCTPVAVKATAGLRLLGDAKSSKILSAVRDHLEKDYPFPVVEKDGVSIMGGDEEGV FAWITTNYLLGNIGTNGPKLPTAAVFDLGGGSTQIVFEPTFSANEKMVDGEHKFDLKF GDENYTLYQFSHLGYGLKEGRNKVNSVLLENAIKDGRILKGDNTKTHELLSPCLPPKV NATKEKVTLESKETYTIDFIGPDEPSGAQCRFLTDQILNKDAECQFPPCSFNGVHQPS LVRTFKESNDIYIFSYFYDRTRPLGMPLSFTLNELKDLARTVCNGEETWKSVFGGIAG SLDELESDSHFCLDLSFQVSLLHTGYDIPLQRELRTGEKIANKEIGWCLGASLPLLKP DNWKCKLSQIE SPAR_E00320 MKTDRLLINASTDTCTKVDAEVETMDRPVPIKVLAEGKVLNNFE EPGLMKCGYHDAKSWVRRLSSETIVGDDMNNLYPFYVDTAYDVRRLRKDIINAKIELH VENLIIICNINDVSTVFLMREVVEWVLRNFPSITVYVQDIFEKSTQFAVSDLCDDSCC SKNRVKYWSKEFVQKHDSFFDLMITLGGDGTVLFASSIFTKEVPPIVPFALGSLGFLT NFEFQKFKETLKHILTDEVRINLRMRLQCKLYRRNKPEIDTVTGKEICFIDFVSEHHV LNEVTIDRGPAPCLSLLGLYGNDSLMTKVQGDGLIVATPTGSTAYSLSAGGSLISPSV NAIAVTPICPHTLSFRPIILPDSMELKVRVDMNSRGTSWVNFDGKDRIELKQGDYVVI TASPYSVPTVESSPSEFFESISKNLNWNDREEQKPFAHILSPKNQEKYRIDSSKNRNN SISNSSESSFLSSDTQDEERKSVIETEMVVERTRQAHFAI SPAR_E00330 MTIANSWLICLVSIFSFVIRVEASTFCNATQACPEDKPCCSQYG ECGTGQYCLNNCDVRYSFSHDSCMPIPICKSSSTKFKDYSSKLGNANIFLGNVSEADW LYTGNVIDYDDEESLILAMPKNSGGTVLSSTRAVWYGKVSAKMKTSHLGGVVTGFILY SGAGDEIDYEFVGADLETAQTNFYWESVLNYTNSVNISTTDTFENYHTYELDWHEDYV TWSIDGVVGRTLYKNETYNSTTQKYQYPQTPSKVDISIWPGGNSTNAPGTIAWSGGEI NWDATDISNPGYYYAIINEVNITCYDPPSGVKKNGTSAYVYTSSSEFLAKDIAITDDE VMMDTDEGSGLDPHKGATTSSTQKSSSSTSTSSSQTSSGHSSSSKKSSKTSSTASSSS SSSSSSSSPSTTTTKNGDKALSTTTSSAASNTESASSASGSASSSTSSMSGSNVGANV AANWRLAALCVILRYIL SPAR_E00340 MAKESTGFKAGSAKKGATLFKTRCQQCHTIEEGGPNKVGPNLHG IFGRHSGQVKGYSYTDANINKNVKWDEDSMSEYLTNPKKYIPGTKMAFAGLKKEKDRN DLITYMTKAAK SPAR_E00350 MGDSYSTYLLDIEGTVCPISFVKDTLFPYFAKKVPQLVQQDSRD SPVSDILSQFHIGDKDQLQAHILELVAKDVKDPILKQLQGHIWAQGYESGQIKAPIYA DAIDFIKRKKRVFIYSSGSVKAQKLLFGYVQDPNTPALDSLDLNSYIDGYFDINTSGK KTKAQSYANILRDIGASASEVLFLSDNPLELDAAASVGIGTGLATRPGNTPVPDTQKY QVFKNFETL SPAR_E00360 MVSLTFKNFKKEKVPLDVEPSNTIFEAKTKLAQSTSCEESQIKL IYSGKVLQDSKTVSECGLKDGDQVVFMVSQKKSTKTKVTEPPIAPESTTTPAREDSTD GASPSTDAPAATAPEGSQPQEEQASTTEPTESASTPGFVVGTQRNETIERIMEMGYQR EEVERALRAAFNNPDRAVEYLLMGIPENLRQPEPRPQTAAAAEQPSTAATSAEQPAED DLFAQAAQGGNASSGALGSTGGAGDAAQGGPPGSIGLTVEDLLSLRQVVSGNPEALAP LLENISARYPQLREHIMANPEVFVSMLLEAVGDNMQDVMEGADDMVEGEDIEASGEGA AAGLGQGEGEGEGEGSFQVDYTPEDDQAISRLCELGFERDLVIQVYFACDKNEEAAAN ILFSDHAD SPAR_E00370 MKYNNRKLSFNPTTVSIAGTLLTVFFLTRLVLSFFSISLFQLVT FQGIFKPYVPDFKNTPGVEFYDLRNYQGNKDGWQQSDRILFCVPLRDASEHLPMFFNH LNTMTYPHNLIDLSFLVSDSSDNTMGVLLSNLQMAQSQQDKSKRFGNIEIYEKDFGQI IGQSFSDRHGFGAQGPRRKLMARARNWLGSVALKPYHSWVYWRDVDVETIPTTIMEDL MHHDKDVIVPNVWRPLPDWLGNIQPYDLNSWKESEGGLQLADALDEDAVIVEGYPEYA TWRPHLAYMRDPNGNPEDEMELDGIGGVSILAKAKVFRTGSHFPAFSFEKHAETEAFG RLSRRMNYNVIGLPHYVIWHIYEPSSDDLKHMAWMAEEEKRKLEEERIREFYNKIWEI GFEDVRDQWNEERDSILKNIDSTLNSKVTVDWSEEGDGSELVDAKGELVSPNNQQQQQ QQQQQQQQQQQQQQQQPDGNPQGKALDDNDKNKKKLPKEVPLDFDPDRN SPAR_E00380 MSDEEHTFETADAGSSATYPMQCSALRKNGFVVIKGRPCKIVDM STSKTGKHGHAKVHLVAIDIFTGKKLEDLSPSTHNMEVPVVKRNEYQLLDIDDGFLSL MNMDGDTKDDVKAPEGELGDTLQTAFDEGKDLMVTIISAMGEEAAISFKEAARTD SPAR_E00390 MESSTGFDGDATTFFAPDAVFGDRVRRFQEFLDTFTSYRDSVRS IQVYNSNNATNYNDDQDDADERDLLGDDDGDDLEKEKKAASSASLNILPHRITISLDD LREFDRSFWSGILIEPAYFIPPAEKALTDLADSMEDIPHPNASAVSSRHPWKLSFKGS FGAHALSPRTLTAQHLNKLVSVEGIVTKTSLVRPKLIRSVHYAAKTGRFHYRDYTDAT TTLTTRIPTPAIYPTEDTEGNKLTTEYGYSTFIDHQRITVQEMPEMAPAGQLPRSIDV ILDDDLVDKTKPGDRVNVVGVFKSLGAGGMNQSNSNTLIGFKTLILGNTVYPLHARST GVAARQTLTDFDIRNINKLSKKKDIFDILSQSLAPSIYGHDHIKKAILLMLMGGVEKN LENGSHLRGDINILMVGDPSTAKSQLLRFVLNTASLAIATTGRGSSGVGLTAAVTTDR ETGERRLEAGAMVLADRGVVCIDEFDKMTDVDRVAIHEVMEQQTVTIAKAGIHTTLNA RCSVIAAANPVFGQYDVNRDPHQNIALPDSLLSRFDLLFVVTDDINEIRDRSISEHVL RTHRYLPPGYLEGEPVRERLNLSLAVGEDADVNPEEHSNSGAGVENEGEDEEDHVFEK FNPLLQAGAKLAKNKGNYNGTEIPKLVTIPFLRKYVQYAKERVIPLLTQEAINVIVKN YTDLRNDDNTKKSPITARTLETLIRLATAHAKVRLSKTVNKADAKVAANLLRFALLGE DIGNDIDQEESEYEEALSKRSPQKSPKKRQRVGQPASNSGSPIKATPRRSTASSVNAT PSSARRILRFQDDEEQASEDDNDIMSPLPADEEAELQRRLQLGLRVSPRRKEHLHTAE EGSSGPLTEVGTPRLPNVSSAGQDDEQHQQQRSVISFENVEPGTISTGRLSLISGIIA RLMQTEIFEEESYPVASLFERINEELPEEEKFSAQEYLAGLKIMSDRNNLMVADDKVW RV SPAR_E00400 MTKKSFVSSPIVRDSTLLVPKSLIAKPYVLPFFPLYATFAQLYF QQYDRYIKGPEWTFVYLGTLVSLNILVMLMPAWNVKIKAKFNYSTTKNVNEATHILIY TTPNNGSDGIVEIQRVTEAGSLQTFFQFQKKRFLWHESEQVFSSPKFLVDESPRIGDF QKCKGHSGDLTHLKRLYGENSFDIPIPTFMELFKEHAVAPLFVFQVFCVALWLLDEFW YYSLFNLFMIISMEAAAVFQRLTALKEFRTMGIKPYTMNVFRNKKWVALQTNELLPMD LVSITRTAEDSAIPCDLILLDGSCIVNEAMLSGESTPLLKESIKLRPSEDNLQLDGVD KIAVLHGGTKALQVTPPEHKSDIPPPPDGGALAIVTKTGFETSQGSLVRVMIYSAERV SVDNKEALMFILFLLIFAVIASWYVWVEGTKMGRIQSKLILDCILIITSVVPPELPME LTMAVNSSLAALAKFYVYCTEPFRIPFAGRIDVCCFDKTGTLTGEDLVFEGLAGISAD SENIRHLYSAAEAPESTILVIGAAHALVKLDDGDIVGDPMEKATLKAVGWAVEKKNSN YREGTGKLDIIRRFQFSSALKRSASIASHNDTLFAAVKGAPETIRERLSDIPKNYDEI YKSFTRSGSRVLALASKQLPKMPHSKIDDLNRDDIESELTFNGFLIFHCPLKDDAIET IKMLNESSHRSIMITGDNPLTAVHVAKEVGIVFGETLILDRAGKSDDNQLLFRDVEET ISIPFDPSKDTFDHSQIFDRYDIAVTGYALNALEGHSQLRDLLRHTWVYARVSPSQKE FLLNTLKDMGYQTLMCGDGTNDVGALKQAHVGIALLNGTEEGLKKLGEQRRLEGMKMM YMKQTEFMARWNQPQPPVPEPIAHLFPPGPKNPHYLKALESKGTIITPEIRKAVEEAN SKPVEVIKPNGLSEKKPADLASMLLNSAGDAQGDEAPALKLGDASCAAPFTSKLANVS AVTNIIRQGRCALVNTIQMYKILALNCLISAYSLSIIYMAGVKFGDGQATVSGLLLSV CFLSISRGKPLEKLSKQRPQSGIFNVYIMGSILSQFAVHIATLIYITTEIYKLEPREP QVDLEKEFAPSLLNTGIFIIQLVQQVSTFAVNYQGEPFRENIRSNKGMYYGLLGVTGL ALASATEFFPELNEAMKFVPMADDFKIKLTLTLLLDFFGSWGVEHFFKFFFMDDKPSD ISVQQVKIALK SPAR_E00410 MPLAWKAFGAHNLLRILTRFQSTKVPDAVIGIDLGTTNSAVAIM EGKVPRIIENAEGSRTTPSVVAFTKDGERLVGEPAKRQSIINSENTLFATKRLIGRRF EDAEVQRDINQVPFKIVKHSNGDAWVEARNQTYSPAQIGGFILNKMKETAESYLAKSV KNAVVTVPAYFNDAQRQATKNAGQIVGLNVLRVVNEPTAAALAYGLDKSESKVIAVFD LGGGTFDISILDIDNGVFEVKSTNGDTHLGGEDFDIYLLKEIVSHFKDETSIDLNNDR MAVQRIREAAEKAKIELSSTLSTEINLPFITADATGPKHINMPFSRAQLENITAPLID RTVDPVKKALKDAKLTASDISDVLLVGGMSRMPKVADTVKKLFGKDASKAVNPDEAVS LGAAIQGAVLSGEVTDVLLLDVTPLSLGIETLGGVFTKLIPRNSTIPTKKSQIFSTAA SGQTTVEVKVFQGERELVKDNKLIGNFTLAGIPPAPKGIPQIEVTFDIDANGIINVSA KDLASNKDSSITVAGASGLSDTEIERMVNEAEKYKNEDKARRNAIETANKADQLANDT ENSIREFEGKLDKTDSQRLKDQISSLRELVSRIQAGDEVNDEDLETKIDNLQTSSMKL FEQLYKDGNNSTTNREDNK SPAR_E00420 MPRLLATQSHVVHGYVGNKAATFPLQCLGWDVDCCNSVQFSNHT GYGLDKVFGTITRETDLKELLSGLFDNFSQDYQALLSGYLPNKNSVRCMGTYYAKFKE ANPEIIWLMDPVMGDEGQLYVSEDVIPEYRKLALSPKQLVDIITPNQFELEILYGEKI KTKEHLKKALEKLHRTIPVIIVTSCDCKMFDDQEFIYCVASMEGKTPIVYRVPFIDSY FTGVGDLFSALLLDRVYKILSNPTTTLEFEDQVNNVLNVIQKVLKITRSYTSEKTKAK MGSASEMKEMELRIVESRDLYETINVHQTDYIYARL SPAR_E00430 MTELCPVYAPFFGAIGCASAIIFTSLGAAYGTAKSGVGICATCV LRPDLLFKNIVPVIMAGIIAIYGLVVSVLVCYSLGQKQALYTGFIQLGAGLSVGLSGL AAGFAIGIVGDAGVRGSSQQPRLFVGMILILIFAEVLGLYGLIVALLLNSRATQDVVC SPAR_E00440 MSAPNPKAFPLADAALTQQILDVVQQAANLRQLKKGANEATKTL NRGISEFIIMAADCEPIEILLHLPLLCEDKNVPYVFVPSRVALGRACGVSRPVIAASI TTNDASAIKTQIYAVKDKIETLLI SPAR_E00450 MARQDETGSKQSESNASFLPLCITSHKSKDEAEKLVSCLHHEGS YYLCFSYGLYTGTSNELKAKVEFALDGPFIVNYTTIPKFKSAFFCHLLMFNDGSIKGF KYENNKFEIIYNSNVAPKLDTISSSVSSWLNASLDGILYTCNNNMSYDSSLRTFGATI YSFNFKNGLVQKFYATDGEEIISFHFISREDLLGDITHAGKSDAYFFCLLKSDYSENL LLKEYHSSGNHEDRKFDLYEYRQYNLLPISDDEFCYMEVICGHTIIVLTNTYTQILKI ETRGLKRGAFFKNKGLPNAENYKFLRDSYNVIYEKTVIFLTIFDAYANRYTTKIHTGS LKENHAQEDLQWSKGKVFKLPKHDLCDIILQLPGEKYITLTRINGINFISRNHRGSKL HKVKGGPVYTNRVYLASQVIRNKGTDIDSLLLCGSFNSKKGFLEKKFLVYNKNLFKLV TSTKVLLENVTDFWVTDLVINGGDKFAYESGGLVYRNGILLMDELYDCNILVTRTGKF LKADMDGSTGEIRQFDIMLSDHNSSTMFCYPIQNSGVRISTFEAKSDSLRKIKDFFFQ GLDSKESIVSCFSDGNEKYLFAVYSEGRISVWDDSQKKVATSHPDYSFIAYDQLIKES WVGKNHEDDSIYIIASSYVGCVRVYKSESNFLRVVLEMHSSLDQKLELLDTIPTLPLV FLYNDKEIILLNLQNMSYGYVQLGLVPRRMRILPGKVLFSLCILDDESRISIFDFSKT FHREYFTKQMVPLKPQLENYMLDLPSVPVQLYTIPNNLSQAVACLVDTNSRQYKLMLF DYVLMKTISTFSFSNEKYSHAVVKPLWPDQDSIYSSLGPFYGNKFIVCLGIDDKRTKF WLFEIRNNSIIQLYANYLEDCICSVLIYYECNMVLFSGGSGIAAYKINILKEGSEILE AYSFPTLASVNHMGLPIYMSGDYLVQFEPLRGFVRTHLPIRTSIEHPSEHTERSYLSF KEFGSITQVATKTIFKEPTGCENDYLASGKNYPLDRLSGLSCTKLKYSNRSYVAIIGA DNTLTIYEDSDKLLADKDGLTIPYLKIRLPNKIISLAVIPDGFQNLQICPSFNDQRLE GVIPLFVLCGTEGQIYIISEFIGELWMRTLHNYKTVKLEHERAIRRPGTNMRNVTKRY SASKETGINESGFDELARNLKRRHIDHPPYKTIDFFDPLKLKR SPAR_E00460 MLGIRSSVKSCFKPMSLTSKRLISQSLVASKSTYRTPNFDDVLK ENNDADKGRSYAYFMVGAMGLLSSAGAKSTVETFISSMTATADVLAMAKVEVNLAAIP LGKNVVVKWQGKPVFIRHRTPHEIQEANSVDMSALKDPQTDADRVKDPQWLIMLGICT HLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNLEIPAYEFDGDKVIVG SPAR_E00470 MDSFKYIHKQYNKSGTRGDSSINSSSHSSSGKNIILCFDGTREN FGPQPFTNILKLYNLLENSDGSKQICYYQPGIGSVGFDAVVDIRRRLTISHLQNLLDS MFAFSLDNHICSAYLFLMKYFEPGDRIYMFGFSRGAFIARVLAGMIERVGLLSRGLEE MVKMAWQIYEKWEYDSQPNELQYTSTLAEEFKKTFSRDCEVKIHFQGLFDSVNSVGIL RDRLFPCTQRSNIVEHVRHCVSLDERRGKFKQLCFTPMPYIPRLFSLAYCNQLTDRCS TVSTSNALMRDHSPENPLIKYTLKSGAHSVGNPSPLIPDNHERLLSSKSEETTELLLD LNSFLEGNSYARDTECSTRGIEAIFQLQSIQGSGTSSRMTMTPDLIEKWFPGDHSDVG GGWAPDCETEENLSNLTLRWILAEAIKFGVKFKPGSIHEYATKHTSIGSLFADAHDYL DFSSPKKCFLLGVTDNEDGAREEQSSRNERMEDCLKRIKETRLSLKDEKEKIKDAFTL KCGHANKFMRLVWWVLELLPIGIRMENKEGKWQNFHVPNLGRSRYVPEYVSLHWSVYW RIKFDRRYRPNNMPEYVRQLFQDLEGIDLKTNKLSNKYDEQDHNNGSEINKSLFNNEQ GQELRMGQKASYFATTYNSRFFDSKYLQLKKKFTDWNSNSWADIPDDLEVYLQQDESL SPAR_E00480 MSDKGFVTVDPVTIIIKECINLSTAMRKYSKFTSQSGVAALLGG GSEIFSNQDDYLAHTFNNLNTNKHNDPFLSGFIQLRLMLNKLKNLDNIDSLTILQPFL LIVSTSSISGYITSLALDSLQKFFTLNIINESSQNYIGAHRATVNALTHCRFEGSQQL SDDSVLLKVVFLLRSIVDSPYGDLLSNSIIYDVLQTILSLACNNRRSEVLRNAAQSTM IAVTVKIFSKLKTIEPVNVNQIYINDESYTNDVLKADTIGTNVESKKGGSQEDPIAIE VNDEEAISEDDGTEEGHIHSEKNTNGAEQLEIVQKTTRSNSRIQAYADDNYGLPVARQ YLNLLLSLIAPENELKHSYSTRIFGLELIQTALEISGDRLQLYPRLFTLISDPIFKSI LFIIQNTTKLSLLQATLQLFTTLVVILGNNLQLQIELTLTRIFSILLDDGTANNSNPE NKNKPSIIKELLIEQISILWTRSPSFFTSTFINFDCNLDRADVSINFLKALTKLALPE SALTTTESVPPICLEGLVSLVDDMFDHMKDIDREEFSRQENEMEILKKRDRKTEFIEC TNAFNEKPKKGIPMLIEKGFIASDSDKDIAEFLFKNNNRMNKKTIGLLLCHPDKVSLL NEYIRLFDFSGLRVDEAIRILLTKFRLPGESQQIERIIEAFSSAYCENQEYDPSKISD NAEDEISTVQPDADSVFILSYSIIMLNTDLHNPQVKEHMSFEDYSGNLKGCCNHKDFP FWYLDRIYCSIRDKEIVMPEEHHGNEKWFEDAWNNLISSTTVITEIKKDTQSIMDKLT PLELLNFDRAIFKQVGPSIVSTLFNIYVVASDDHISTRMITSLDKCSFISAFFDFKDL FNDILNSIAKGTTLINTSHDDELSTLAFEYGPMPLVQITFEDTNTEIPVSTDAVRFGR SFKGQLNTVVFFRIIRRNTDPKIFSKKLWSNIVNIILTLYEDLILPPDIFPDLQKRLK LSNLPKPSPEISINKSKESKGLLSTFASYLKGDEEPTEEEIKSSIKAMECIKSSNIAA SVFGNESNITVDLIRTLLESAKTEKDADNSRYFEAELLFIIELTIALFLFCKEERELG KFILQKVFQFSHTKGLTKRTVRRMLTYKILLISLCTDQTEYLSKLINEELLKKGEIFT QKFFATNQGKEFLKRLFSLTESDFYREFLLGNENFWKFLRKVTAMKEQSESIFEYLNE SIKTDANILTNENFMWVLGLLDEISSMGAVGNHWEMEYKKLTESGHKVDKENPYKNSI ELSLKSIQLTSHLLEDNNDLHKNEIFAIIQALAHQCINPCKQVSEFAVVTLEQTLINK IEIPTDEMESVEELIEGGLLPLLNSSEKQEDQKILISSILTIISNVYLHYLKLGKTSN ETFLKILSIFNKFVEDSEIEKKLQQLILDKKSIEKDVNGSSSRESLHEQTREPNDLQA EAAATIDDNTDEDNKPKFSDEDSTERRE SPAR_E00490 MSKATYKERAATHPSPVAAKLFNIMHEKQTNLCASLDVRTTKEL LELVEALGPVICLLKTHVDILTDFSMEGTVKPLKALSAKYNFLLFEDRKFADIGNTVK LQYSAGVYRIAEWADITNAHGVVGPGIVSGLKQAAEEVTKEPRGLLMLAELSCKGSLA TGEYTKGTVDIAKSDKDFVIGFIAQRDMGGRDEGYDWLIMTPGVGLDDKGDALGQQYR TVDDVVSTGSDIIIVGRGLFAKGRDAKVEGERYRKAGWEAYLRRCGQQN SPAR_E00500 MDALNSKEQQEFQKVVEQKQMKDFMRLYSNLVERCFTDCVNDFT TSKLTNKEQTCIMKCSEKFLKHSERVGQRFQEQNAALGQGLGR SPAR_E00510 MTATATQQFAQLLQKYGIDTVFGIVGIPIVQLADTMVANGIKFI PCRNEQAASYAASAYGYISDKPGVLLIVGGPGLIHALAGIYNSMSNRWPLLVIAGSSS QGDMHKGGFQELDQISLLSPFLKFTGKLTPSNVGMITQKALNHCIQGTAGVSYIDVPA DFIEYEIPLEGNDHTGNELPMILTPNKCGPDPSKIKEVVQLILQHSKKNILIVIGKGA VKNSHEVRKLVDKFNIPFLPTPMGKGIVPDSSSLNVSSARSQALKTADIVLVLGARLN WILHFGASPKWNSESIFIQLDSNPETLGDNNSSPGADLSIWGDIGLSVTALIDELVRQ DSCWKYSGINQVLREKIKLNQTRLLRKEKTRGAQLNYNQVYGTLRPLIDDYRTILVTE GANTMDIARVSFPTDAPRRRLDAGTNATMGIGLGYALACKASHPELDVVLIQGDSAFG FSAMEIETAVRCQLALVIIVMNNSGIYHGEKDTKTDLPPTALSKNCRYDLVGKGLGAN GFYVNTLSELSRCFQQAVQFSRTRRETSVINVIIEPGEQKQIAFAWQNKPRL SPAR_E00520 MDLNDNPIPNSVPLHQKSGRYFHTLHVQDLSNLYQQCYKQIDET VNQLVDSTSPSTTGISEQIADITSTYKLISTYESESNLFHEGIKDLKENFKQISDACP QIDLSTWDKYRTGELTAPKLSELYLSMSTAELTATVNNTDTLKILKVLPYIWNDPTCV IPDLQSPAGEDDLQIEGGKIELTCPITCKPYEAPLISKKCNHVFDKDGIQNYLQGYTT RDCPQAACSQVVSMRDFVKDPIMELRCKIARIKESQEQDKRSNQAVDVL SPAR_E00530 MDKEVSELVVLQLIHTLISNKNEELVRNGGGINMIGNNLRISLV KLTNEIQNNLLINELTNLRRQSNMVNGNRKLGINDILTIVKSLFPEYRTTLNDGQLSL HGLEMHDIEKLLVEKYDRFKRTQIGQVRTMEDEILKNGIKSGASQLQPHAHSSKSGSA GAGTTVITTTAHGAHSMDPKREKLLKLYRDTVLNKLESKTGNFQKLFKSPENGIIKKE INYEDIKNETPGSVHELQLILQKSITDGVMREVIGTDDWKLARQVQLELDDTVQFMRR ALE SPAR_E00540 MPTKSTFSRWKKADLIDLANKLEIDGFPNYAKKSDMIDYLESHL NHLEKPVDFKDDYPELKSFYESMTVEQSKDERNESGSGSSETATNDSDLEKAYIKDED DEKPQSGDEARAAKPLSSRNAISNAKTNYNLLDFSSDNDSSTSALTKFKFNFQEYLSD IRYQAQKLNENVQDYLSTISSVDTIFSLLEFSFLLRNTLAAGQPTSSSSLTSSLEAAV AAHNKYQCTLDFCLPILTWLLFFRGIPSLVSYYINFIRYDLNIELDPMTFNLTKFLIS LAIFKTCNNKNIDFHSFQCVNQLWTQLCTVNHSLGMVPLVFSIVSCLLTLYVL SPAR_E00550 MLPLEQFIDLEKNNGSDTNIRAPVISRRHLFKLLLCSIILIGLL SYPKCSIANYTEPRTTVNRSNTYFNGTHDFKTLTILISIDGFHPRLIDAKHTPFLYNL HNLRSPYDMNITAAPYMIPSFPTQTFPNHWSMVTGKYPIEHGIVSNMFWDNSTSSEFR PNNLDSRIWSNVADPIWQLLQTKSQGEYKVATHMWPGSDVVYEKYGDVPRERMPFYYD KFDQWEGLQDKLAQIFRYVDMPQLKDRPELVLSYIPNVDSYGHNFGYDLRDKRLQKLI SEVDGFFRGLIEGLQERNLLKISNVMIVSDHGMSNVNTNDGEHVVVWERMFPGDAISA FISHLYNEGPMMMVCLKNPRDKQWIRDLIEVQLEKVYGGELSRKFHVILKEDFEPSWK YFQYDGKKHTYDDRVGDIWILADEHYAIVKETSDVRVGIMGTHGYNFDNCSDMASIFV GMGPMFSNEVIPPFENIEIYKMLIKASALLEEKEGKEEKSLLQ SPAR_E00560 MSQFVGFGVQVELKDGKLIQGKIAKATSKGLTLNDVQFGDGGKS QAFKVRASRLKDLKVLTVASQSGKRKQQRQQQQQSDYNQNRGEHIDWQDDDVSKIKQQ EDFDFQRNLGMFNKKDVFAQLKQNDDILPEDRLQGHNRKQPQSQQNNYQNDELVIPDA KKDSWNKISSKSEQSTHQSQPQQDDQDDVVLDDDEHEYDVDDIDDPRYLPITQSLNIT HLIHSATSSPSIDDKTKNTVINDKDQVLAKLGQMIISQSRSNSTSLPAANKQTTIRSK NTKQNIPMATPVQLLEMESITSEFFSINSAVLLENFAVNSSFFLKQKLGGRARLRLQN SNPEPLVVILASDSNRSGAKALALGRHLCQTGHIRVITLFTCSQNELQDSMVKQQTEI YKKCGGKIVNSVSSLESAMETLNSPVEMVIDAMQGYDCTLSDLAGTSEVIESRIKSMI SWCNKQRGSTKVWSLDIPNGFDAGSGLPDIFFPDRIEATGIICSGWPLIAINNLITNL PSLEDAVLIDMGIPQGAYSQRTSLRKFQNCDLFVTDGSLLLDL SPAR_E00570 MGSCCSCLKDSSDEASVSPIADNEREAVTLLLGYLEDKDQLDFY SGGPLKALTTLVYSDNLNLQRSAALAFAEITEKYVRQVSREVLEPILILLQSQDPQIQ VAACAALGNLAVNNENKLLIVEMGGLEPLINQMMGDNVEVQCNAVGCITNLATRDDNK HKIATSGALIPLTKLAKSKHIRVQRNATGALLNMTHSEENRKELVNAGAVPVLVSLLS STDPDVQYYCTTALSNIAVDEANRKKLAQTEPRLVSKLVSLMDSPSSRVKCQATLALR NLASDTSYQLEIVRAGGLPHLVKLIQSDSIPLVLASVACIRNISIHPLNEGLIVDAGF LKPLVRLLDYKDSEEIQCHAVSTLRNLAASSEKNRKEFFESGAVEKCKELALDSPVSV QSEISACFAILALADVSKLDLLEANILDALIPMTFSQNQEVSGNAAAALANLCSRVNN YTKIIEAWDRPNEGIRGFLIRFLKSDYATFEHIALWTILQLLESHNDKVEGLVKNDDD IINGVRKMADATFERLQRSGIDVKNPGSNNPNSNDNSSNNNDTGSEHQPVEDASLELY NITQQILQFLH SPAR_E00580 MKLLMSDHQVDLINDSMQEFHVKFLGPKDTPYENGVWRLHVELP DNYPYKSPSIGFVNKIFHPNIDIASGSICLDVINSTWSPLYDLINIVEWMIPGLLKEP NGSDPLNNEAATLQLRDKKLYEEKIKEYIDKYATKEKYQQMFGGDNDNDDIDSEGDLQ EEDSDSDEDMDGTGVSSGDDSVDELSEDLSDIDVSDDDDYDEVAV SPAR_E00590 MFNIPDNVKGAVEFDPWLKPFADVLSERRYLADKWLYDITHATP DGSYQSLSKFARDSYKSYGLHANPETKEITYKEWAPNAERAFLVGDFNNWDTTSHELK NKDEFGNFTITVRPLPNGDFAIPHDSKIKVMFVLPDGSKIFRLPAWITRATQPSKETS KQFGPAYEGRFWNPENPYKFVHPRPKFSESVDSLRIYEAHVGISSPEPKITTYKEFTE KVLPRIKYLGYDAIQLMAIMEHAYYASFGYQVTNFFAASSRFGTPEELKELIDTAHSM GILVLLDVVHSHASKNVEDGLNMFDGSDHQYFHSISSGRGEHPLWDSRLFNYGKFEVQ RFLLANLAFYIDVYQFDGFRFDGVTSMLYVHHGVGAGGSFSGDYNEYLSRDRSFVDHE ALAYLMLANDLVHEMLPNLAVTVAEDVSGYPTLCLPRSIGGTGFNYRLAMALPDMWIK LIKEKKDDEWEMGSIVYTLTNRRYGEKVVAYCESHDQALVGDKTLAFWLMDAAMYTDM TVLKEPSIVIDRGIALHKMIRLITHSLGGEAYLNFEGNEFGHPEWLDFPNVNNGDSYK YARRQFNLADDPLLRYQNLNEFDRSMQLCEKKHKWLNTKQAYVSLKHEGDKMIVFERN NLLFIFNFHPTNSYSDYRVGVEKAGTYHIVLNSDRAEFGGHNRINESSEFFTTDLEWN NRKNFLQVYIPSRVALVLALKE SPAR_E00600 MNSGRGDDNGNNNDDSTIANESISGSKSNRLLENLFRAMVVKEA LTPEPLFYHFPMFINNPNLTNISTLALAFLTSIFLFVIWKERATSKMGRERRPSCQAL RNNFKKSKRSWYLAFSLSFVILTYLIWKIQLLPSKHETSGSRMIIQFIVLDFGIILIN AIVAKSFRVYYLHHGPDVSGYDFSLSNEQMC SPAR_E00610 MSEYQPSLFALNPMGFSPLDGSKPTNENMSASTSATKPVVGQLI FDKFIKTEEDPIIKQDTPSNLDLDFALPQTVTTSDAKTVLPIPELDAAVVESFFSSSA DSTPMFEYENLEDNSKEWTSLFDNDIPVTTDDVSLADKAIESTEEISLVPSNLEVSTT SFLPTPVLEDAKLGQARKVKKSNAVVKKSNHHGGKDDESRLDHLGVVAYNRKQRSIPL SPIVPESSDPAALKRARNTEAARRSRARKLQRMKQLEDKVEELLSKNYQLENEVARLK KLVGER SPAR_E00620 MAVPNLPCYITLPLIDRSTARIQFVEQKYRRVPLPVNKRCCPHP IPHARTHKAPIPFTTPNTTGIL SPAR_E00630 MDIEPTFKGYIEDEDDALLILQATLDGKLKHIPRRPYEIERPYL IVSGSIFVFIEEISGIKRWTDGVSWSPSRISGKFLIYKELDKENASSNANATSSGSTD STVIPDGTSGARTNPSSSKIKLPPLKNHQFDLPPTMSHSSFESEQDTSISPSNRSNLP LKYTGLVKKTISVKLKRPPFNSIENLHIVSYYSVKDIKQNCLVTPKASPFLKDVRPSQ ELIVAMENTTLGNVKNNSTTNGNGSNNINNKSNSSTPLNTVISTNNNSANINAAGSNQ FTSANKNYYYKNDESSGYPITQFAPALPSTTLMYTANPPYITQSPDNTNTTGMNTHAN NNNNNSNNSSNNNNNNNINNVNNNTGNGNNPNRFHNASFAYNTTGDFINPQQQGQISY PFYYTTIPINNPNYYTTQPPNPVANASTNENQSYSTSSTQHPYYGHSTESQSASATTG ATGAPGTTENVLPVSSMQPLLHQANNNSTSSASSTAPYPVYSMNVNVPYYNSSGSAYK RAQDNTTSNTNTEPSGATSTNSGTMLSNPAYANSQQYTPSQVYYQGFPQYAMASAQNS SMYQHQHQHPLPTVYPITTPQQNIMNSSHALNTIGSDPQHHHYQQEPNDHKNFAMGHA NNNILNITNNDTMNNLNTNTSTTTQ SPAR_E00640 MNNGDNKTTLENSNNSSLPNGNYTTPTKLNRLKRNADPRIAAIS GALSGALSAMLVCPFDVAKTRLQAQGLQNMTHQSQHYKGFFGTFATIFKDEGAAGLYK GLQPTVLGYIPTLMIYFSVYDFCRKYSVDIFPNSPFLSNASSAITAGAISTVATNPIW VVKTRLMLQTGIGKYSTHYKGTIDTFRKIIQQEGAKALYAGLVPALLGMLNVAIQFPL YENLKIRFGYSELTDVSTDVTSSNFQKLILASMLSKMVASTVTYPHEILRTRMQLKSD LPNTVQRHLLPLIKITYKQEGFAGFYSGFATNLVRTVPAAVVTLVSFEYSKKYLTAFF K SPAR_E00650 MVADLTKGILKWKSKIEFDSVANSPFYEDLKSLPPLASYKKLTQ AAIFNSTKYELLQVKKDILSIYEIVSGDIDKERNQMQQIELQLKKSLKKVEHSYKNVL KQRVSTNCINGNDRLLANAEKKIGSLNEELACVDGIVSDIVNNFVALDANLPKKAQLL KDDSINEAHYPLLFEFLHKAIIASEANVQENGSLSSLSEYDEVRAESINSCCEESEPQ SDSLAPLQTHNDNASSYQKLLPPKFNTRSGPSIETNFENISADGPTYAKCSLKNSISL T SPAR_E00660 MWNLLKAFTLVFGGCCSNVITFETLMSNRTNSINNLITFCQFLF VTCQGLRNFIDLHRPFPYFKPLKTPFHVYIITVVLFYISSTTNNNVFKYNISIPIHIV FRCFGTVITMFTCWLLNGRKYTKIQISSTLFLTIGAIMASLYKDVDFRYQDLKLQALK IGRDQPVDRTFIFGICILVFSSFTSSLLSAYNERTYQKYGKHWKENIFYSHFLSLPLF LLNRKQLIYEYQVMRKSERILCLNFGGKVKVPREEAILFFNVLTQYFCVKGVNILASK TNALTLSITLLVRKFISLLLSVQLFGNSLSYTGYIGVNLVFFGAFVYSLGSIRPRQKD KETVGKIK SPAR_E00670 MEQRNNVFQAKYNEYKQILEELQTKIIELGHDKDEHNIVLKTLK DAEPTRKCYRMIGGALVESDVQTSLPILENKKENIEGTISKMKETLIQTAQEFEKWKK DNKIQVVKN SPAR_E00680 MRINWSFFLCILLQAIFAVSKQSSRTLVLYDQSTEPLEDYSVYL KDLEQRNYKLEYLDINSTSTAVDLYDKEQRLYDNIIVFPTKGGKNLARQIPVKQLIKF FENEGNILCMSSPGAVPNTIRLFLNELGIYPSPKGHVIRDYFSSSSEGLVVSSDHLLN KHVYNAKKSEKFVFGESSAALLENREQIVPILNAPRTSFTESKGKCNSWTSGSQGFLV VGFQNLNNARLVWIGSSDFLKNKNQDSNHEFAKELLKWTFNEKSVIKSVNAVHSHADG TSYDEEPYKIKDKVIYNIGFSEWNGEKWLPHIADDIQFELRQVDPYYRLTLSPSGNDS EIQYYTTGEFILPDRHGVFTFLTDYRKIGLSFTTDKDVKAIRHLANDEYPRSWEISNS WVYISAICGVIAAWIFFVVSFVTTSSVGKKLETFKKKN SPAR_E00690 MKFSKLIGFTVLNVLSCLCAATSANNSDNVEHEQDVVEAVAPPS INIEVKYDVVGKEPNDQDGFLEFYAEDTATLAYNVTNWEDTNITIFGVNGTIVTYPQG YPVADITGANVGPYEIEVNGTSKFGQDVTLNLPEGQYFLIPFLLASRFDEVVRIAAPP TLFEIVSPPISFFNPQFLSVQVIFLAIIGGVSYYYMKSKTNQRPSKKNATVKKIDESW LPETYKK SPAR_E00700 MLALRRFILNRRSLRSCTIPVLVGALIIIFVLFQLVTHRDDALL QSLRSSNVNGTKKATLNDSDRKVLIEAFGSPEVDSVYSIPVSPLELVPFYDQFIDAKR NSSWLLNRKSYYKHFNELSLTDRCKFYFRTLYTLDDDWTNSVQKLMYSINDDEEIDEV KDSEGKPMDEKSEKLYRRKNDMFLGFERIRAYDKCFMQNNPVNVQEIFPKSDKISKER VQSKLVRTLNSTFPDYDSNNFKKYDQFEFEHKMFPFINNFTTETFYEIIPKITSPFGK VLEQGLLPKFNDKTGKVDEYFKYEYNPTKTFWANWRDMSARVADRGIVLSLGSNQFSL AVKFIAALRFEGNTLPIQVVYRGDELSQELVNKLIYAARSPDFKPIENKYDNSTNVPQ EIWFLDVSNTIHPKWRGEFGSYKSKWLVVLLNLLQEFVFLDIDAISYEKIDNYFKTTE YQKTGTVFFRERALRENVHERCIARYETLLPRKLESKNFQNSLLINPDHALNECDNLL TTEEYIFKAFFHHRRQHQLEAGLFAVDKSKHTIPLVLGAMIHLAKHTAHCTHGDKENF WLGFLAAGHPYALQEVYSGAIGDYVKKTDLNGKRQEAAVEICSGQIAHMSTDKKTLLW VNGGGTFCKHENAAKGDWDKDNGDFTKFKNQFNTLEEMEEYYYLTPISSKYVILPDPK SDDWHRPSAGACGGYIWCATHKTLLKPYSYTHRTTHGQLVPLDSDQRLYIDAVNTVWS HTNKDKTRSFTEDEIKELENLGHEQN SPAR_E00710 MTSVRKRKMNRSSVGKATRRTKDKQRKINIQSNPIIAANWDYSL TMAQNYKKLGLRAKLQTPAGGKEADLSKAVKRVPLTKSVLDEDEDEDEDKQNDYIGAS VELDENEIPEGEARIQRDKNGDVVRVVYGKKKIFDVDEDVDKIKARDATKGTEVVRQL EELAARPVIRKERCQSEREEEWLERLYKKHGDDYKKMFFDKKLNIYQQSEGDLKRRLL KWKKRNGIVSK SPAR_E00720 MSNKLFRLDAGYQQYDWGKIGSSSAVAQFAAHSDPSVQIEQDKP YAELWMGTHSKMPSYNHESKESLRDIISKNPSTMLGKDIIDKFHATNELPFLFKVLSI GKVLSIQAHPDKALGKTLHAQDPKNYPDDNHKPEMAIAVTDFEGFCGFKPLQEIADEL KRIPELRNIVGEETSRNFIDNVQPSAQKGSPEDEENKKLLQAVFSKVMNASDDEIKTQ ARSLVERSKNSPSDFNKTDLPELIQRLNEQFPDDVGLFCGCLLLNHCRLNAGEAIFLR AKDPHAYISGDIIECMAASDNVVRAGFTPKFKDVKNLVSMLTYTYDPVDKQKMQPLKF DRSSGNGKSILYNPPIEEFAVLETTFDEKLGQRHFEGVDGPSILITTKGNGYIKADGQ KLKAEPGFVFFIAPHLPVDLEAEDEAFTTYRAFVEPN SPAR_E00730 MNGLVLGASGLCGGGFLRHAQEAPQFSKVYAILRRELPFPATDK VVAIAEKDNSQWSTLITDEMHPQVLFTALATTRAAAGGLDKQYKIDHDLNIQLAQTAK EKGCETIVLVSSAGAHPDSRFGYMKMKGEIERDIIALNFKHTIILRPGPLLGERKNSK QSGFGGNLTAALGTRLYRSRFQSWLGYPVYGDEVGKVGVHLALNPSGKDKVQFVSSKD ILDISGSLGKITT SPAR_E00740 MLIENTNDRFGIVIDAGSSGSRIHVFKWQDTESLLHAKYQDSES IFQSVPHIHQENDWTFKINPGLSTFEKNPQDAYKFHVKPLIDFAKKIIPESHWSNCPI FIQATAGMRLLPQDIQSAILNGLCQGLKHPSEFLVENCSAQIQVIDGETEGLYGWLGL NYLYGHFNDYNPEVPDHFTFGFMDMGGASTQIAFAPHDLEEIARHRDDIATILLRSVN GDLQKWDVFVSTWLGFGANQARRRYLAQLINTLPENTNDYDNDDFSTRNLNDPCMPRG SNTDFEFKDTTFHITGSGNYEQCTKSIYPLLLKNMPCDDEPCLFNGVHAPRIDFANDK FIGTSEYWYTANDVFKLGGEYNFDKFSKSLREFCNANWTQILANSNKGMYNSIPDNYL KDACFKGNWVLNILHEGFDMPRIDVDAEKVDDKPLFQSVEKVDERELSWTLGRILLYA SGSILAGNDDFMVGIAPSERITKLTGKKFVPGKLLEPDQPRKQSSSLSTKGFLMWFSI ICCIFYLIFNRSHTIRRRFSGLCNMAQDFKTGIRRKLKFLRRPDPFSRLEEGEIGTDA DSFKDVYRMKSSSMFDLGKSSATMQREHEPQRTASQSANLASSNIRPAFSMADFSKFK DSRLYD SPAR_E00750 MRVRKRQSRRTSTKLKEGIKKKASAHRKKEKKMAKKDITWKSRS KKDPGIPSNFPYKAKILEEIEAKKMKDLEERELAKQQRLEARKAAKEQGFDAMDEDVI GEDENGLAALVESAQQAAAEYEGTASNDVDAGDDELDVIDYNIDFYGNDVEGESELEK SRKAYDKIFKSVIDASDVILYVLDARDPEGTRSRKVEEAVLQSQGKRLILILNKVDLI PPYVLEQWLNYLKSSFPTIPLRASSGAVNGTSFNRKLSQTTTASALLESLKTYSNNSN MKRSIVVGVIGYPNVGKSSVINALLARRGGQSKACPVGNEAGVTTSLREIKIDNKLKI LDSPGICFPSENKKRSKVEHEAELALLNALPAKHIVDPYPAVLMLVKRLAKSDEMTES FKKLYEIPPIPANDADTFTKHFLIHVARKRGRLGKGGIPNLASAGLSVLNDWRDGKIL GWVLPNTSAAASQQDKQNLSTISTGAKQAPTAVNESTIVSEWSKEFDLDGLFSSLDKA IDASKDQDTMME SPAR_E00760 MSQCKTNGRDTMNYEIGDRLKIGGHFCTVKFIGVIKPWPSIKAY GVEWDDHSRGKNSGTIDDIHYFDVHIPNSGSFLKESKIKSSNVHRITFYEALSEKYGS SSNSINSLSIGNKRIESLGFDELDARNKNFKKLRKIALRDSDIAILFRSQDELNYVVR ECVSIRDLDLSLNLLTDINSLCEFIEPLKSLESLNLSQNKLSKGWDNLKKYDLSHIKT LRLSSCDLSYEHVDKLLKSFSALKMLDLSYNNLTGVGIQNFKTEIPRTLEELNISGNN LVSFPLFPTDLTLKGLNISDNQISRTPSIAIYSIESLDITDNKFKERKVIDDLNMAFP SLRNIHLSGNELKYNGNDVNVEEQATFYEVLARFDHVMVLNGSICNIKTRREAEMFFI SKVVNNELDYDTNLPRWSRLIKSHEIDTNKLNLNKSRVTRQSLVLKIKVRAGKEPNGD LNYWVLPSFTVRYVKSIICRKLELDVLKVRLFHENSKGMINEINHNFRPISDFNIVDG DIIHVYFTSK SPAR_E00770 MFKKFTREDVHSRSKVKSSIQRTLKAKLVKQYPKLEDIIDELIP KKSQIELIKCEDKIQLYSVDGEVLFFQKFDELIPSLKLVHKFPEAYPTVQVDRGAIKF VLSGANIMCPGLTSAGADLPPAPGYEKGTIVVINAENKENALAIGELMMSTEEIKSIN KGHSIELIHHLGDPLWNFSVE SPAR_E00780 MRSSKSPFKRKSHSRETSHDENTSFFHKRTISGSSAHHSRNVSQ GAVPSTAPPVSGGNYSHKRNVSRASNSSQSSNFLAEQYERDRKSIINCCFSRPDHKTG EPPNNYITHVRIIEDSKFPSSKPPPDSKLENKKKRLLILSAKPNNARLIQIHKARENS DGSFQIGRTWQLSELVRVEKDLDISEGFILTMGKKYYWETNSAKERTVFIKSLITLYI QTFEGHVPELVNWDLSLFYLDERSYQRAVITNRPGSISPIKSPTSNFTTNTAQSMGSL PSSAPVDRARRSETESDNPVATPTSVAYHPGMKSLNKAPYSSNSTLNEVNRRYELEQQ QQQEEAEQRRLEEQRKLQLKKENEMKKIEEERRIKQEEQRRQMELELEHQRQFEEEER KRQMELENRREMELKRQRQFEEEQRLNKERELLDLQRRQSEQEAAERLRKEEQEALAK KEREERSKRNKLDNESYTQEINGKVDNLLEDLNAVLAEETETTPTMQNGTYVPERSTA RAHDQLKKPLNIAKVESLGGSELNDSISLDEEIAGLNTSNLSEEYQDEKNDLSFEKGD EVRYSNNFEGEAPHVYHEVSIIQEEAPAVSQKLALPEENNQMEVIADSKEETRTMENI DDEVLLEILTDINWTIEDDADSMIERIDMKLAETEYSFNQNLLSLQKIGPNLHPYEDK VNEECHRIIPTFSLFLMEMSNFSNDIENVESQDNGLQVESANKKLLWNTLDELLKTVS LDEISLNQLLECPIREKNLPWMENQLNLLLKAFQAIGSDENEVEYNLREISGLKQRLQ FYEKVTKIFLDRIVEEMQKKFSNIHGQDISHDQMIRILTTLLIFSPLILFCKEISQKS YQAIVENWNVSIQPIYMELWTKKISQLENISANDEKMSELSLSQLLQAWNTFRKERKI NDFNPIFKEKFSLLTECLQTMRQECIVYQNFVEVFFHISSKHNFEKYVEHFNDPETPP LLLDTVKVMQSDREAAVIETQLVSRIFQPIVTRLSSYFIELVKTEPTVAPALTFYLEN EIKSLESSNHEFLLSAVTRVYTQIKQVWSDDVEEQVLYFERISNATTNGEILPGVLDL PVDLKNSEDLFRFAKSTMDIKDTNKSYESIELMSSSFRKLSMAATQSIAHKEGNSNIN PSMSDTAALNNDYMETISLLVNSNWLTEMLSMLNFNKDGIFDIPLQNVKRVFDVEKES YASFLLRDTMPKLTAFVYGVSNIIENTNNVNMTNPSRWAAYSRQNLENILLAYTSHEI ETLVKRLHTHMVNDFGYHQENAINNVLCDKLWSCIQGQTVSLYLKLYTVIDKHYRGTN IHFTKNDIISAFEEYKNA SPAR_E00790 MSLDFNTLAQNFTQFYYNQFDTDRSQLGNLYRNESMLTFETSQL QGAKDIVEKLVSLPFQKVQHRITTLDAQPASPNGDVLVMITGDLLIDEEQNPQRFSQV FHLIPDGNSYYVFNDIFRLNYSA SPAR_E00800 MSNLQTLETFSTCDISDGLLNVYNIPTGGYFPNLTTISLPSNSS IVGAAYTVLFAPIDDPRPAVNYIDSVPPNSVLVLALEPHLQSQFHPFVTITQAMYGGL MSTRAQFLKSNGTVVFGRIRDVDEHRSLNHPVFAYGVGSCAPKAVVKAVGTNVQLNIL TSDGVTQTIYPGDYIAGDNNGIVRIPVQEVDISKLITYIEKSIEVDLLVSEDIKNGVP AKQAQNDRRSVLKKYI SPAR_E00810 MAYTKIALFAAIAALASAQTQDQINELNVILNDVKSNIQQYISL ATDPNSGFSLSNMPAGVLDIGMALASATDDSYTSMYSEVDFAGVSKMLTQVPWYSSRL EPALKSLEGASSAAPSSSEAKTSSAAPSSSEAKTSSAAPSSSEAKTSSAAPSSSEAKT SSAAPSSSEAKTSSAAPSSSEAKTSSVNSGSAKTASATPESSAAASSAKASAISQITD GQIQATKTVSQQTENGAAKAFVGMGAGVVAAAAMLL SPAR_E00820 MDIILGIRVQDSVILASSKAVTRGISVLKDSDDKTRQLSPHTLM SFAGEAGDTVQFAEYIQANIQLYSIREDYELSPQAVSSFVRQELAKSIRSRKPYQVNV LIGGYDKKKNKPELYQIDYLGTKVELPYGAHGYSGFYTFSLLDHHYRPDMTTEEGLDL LKLCVKELEKRMPMDFKGVIVKVVDKDGIRQVDDFQTQ SPAR_E00830 MSDISKLIGAVVGSDDPVIIEFVLNIINKSANLQEFIQNIQKLD AGISYEDSTKMYDAFSGKQEKEKIRSTVIDPPLSQKVHQVLKDDVNLDDPVVTEFVLS ILNKSKSITEFQGQLNSMQSGLDNETIFKIYQIASPPVIKEEASVFPSTKIPIKIEEI REETQRIESLDPSPVLHKVYEGRVRNITSFGCFVQIFGTQMKNCDGLVHISEISEQRT LDPHDVVKQGQHVFVEVIKIQNNRKISLSMKNVDQHSGETSKTNNESVEDRGRSRDTQ TSRKMKNKIKRRALTSPERWEIRQLIASGAASIDDYPELKDEIPINTSYLTAKREEGS IINTETENVDSKPKEEQEKNETDEIDVELNTDDGPKFLKDQQVKGAKKYEMPKITKVH RGFMNRSAMNGSNAIRDHREEKLRKKREIEQQIRKQQAFDDPTKNRKDSRNEIQTLRN KLVVTEWERNRMNEPISYGKRTSMPISVQRQTLPVYAMRSELMRAVRENQFLVIVGET GSGKTTQITQYLDEEGFSNYGMIGCTQPRRVAAVSVAKRVAEEVGCKVGHDVGYTIRF EDVTSPNTRIKYMTDGMLQREALLDPEMSKYSVIMLDEAHERTVATDVLFALLKKAAI KRPELKVIVTSATLNSAKFSEYFLNCPIINIPGKTFPVEVLYSQTPQMDYIEAALDCV IDIHINEGPGDILVFLTGQEEIDSCCEILYDRVKTLGDSIGELLILPVYSALPSEIQS KIFEPTPKGSRKVVFATNIAETSITIDGIYYVVDPGFAKINIYNARAGIEQLIVSPIS QAQANQRKGRAGRTGPGKCYRLYTESAFYNEMLENTVPEIQRQNLSHTILMLKAMGIN DLLKFDFMDPPPKNLMLNALTELYHLQSLDDEGKLTKLGKEMSLFPMDPTLSRSLLSS VDKQCSDEIVTIISMLSVQNVFYRPKDKQLEADNKKAKFHHPYGDHLTLLNVYTRWKQ ANYSEQYCKTNFLHFRHLKRARDVKSQISMIFKKMGLRLISCHSDPDLVRKTFVSGFF MNAAKRDSQVGYKTINGGTEVGIHPSSSLYGKEYEYVIYHSIVLTSREYMSQVTSIEP QWLLEVAPHFYKAGDAESQSRKRAKIIPLHNKFAKDQNSWRLSSIRQSRERALGIKR SPAR_E00840 MLLPLTKLKPRAKVAVVGGGVSGLCFTYFLSKLRPDVEITLFES QNRTGGWIYSCTTKDMSGKPIMVEKGPRTLRGVSDGTVLIMDTLRDLGKEAVVQRIDK GCIADRKFLLDPSDRLVQVPDSISTTIKFLLNPLGKGLITGMMGEWFRKKSPHPGEDE SVESICYRRFGNNYISNNMISALLRGIYGDDVALLSAKRTFKKIYYNELKHGSNTQAM IDNIREKSKSKKTKSLHQSLTGCLSDYSNALGKDKSKLLDLSNTLKKYPMLGLAGGLE TFPKIVRNALNEFSNVKIITGNAVTQIMERPANETTIGLRVKSGDKYEGFDHLRLTIT PPNIAKLLPKDKNPLSKLLDEIQSNTIILVNYYLPNKDVLDADLHGFGYLVPKSNKNP GKLLGVIFDSVIERNFKPLFDKFSANPNALKKYTKVTAMIGGCMLNEHGVPVVPSKEV TISAVKDALNHHLGISNKDLEAGQWEFTIADKCLPRFHVGYDAWQDKAERKFQETYGQ TVSLGGMGFSRSPGVPDVIVDGFKDALQLSK SPAR_E00850 MPAPDYALTDLIESDPRFESLKTRLAGYTKGSDEYIEELYSQLP LTSYPRYKTFLKKQAVAISNSDNESGFSPIYRSSLSSENLISCVDKNLRTAYDHFMFS ARRWPQRDCLGSRPIDKATGTWEETYRFEPYSTVSKRCHNIGSGILSLVNTKRKRPLE ANDFVVAILSHNNPEWILTDLACQAYSLTNTALYETLGPKTSEYILNLTEAPILIFAK SNMYHVLKMLPDMKFVNTLVCMDELTNTELNVLNESLLPIKCNSLNERITFFSLEQVE QVGRFNEIPAIPPTPDSLYTISFTSGTTGLPKGVEMSHRNIASGIAFAFSTFRVPPDE RNQQLHDMCFLPLAHIFERMVIAYDLAIGFGIGFLHRPDPTVLVEDLKILKPYAIALV PRILTRFEAGIKNALDKSAVQRNVANTILDSKSARFTAKGGPDKSIMNFLVYHRVLID KIRDSLGLSNNSFIITGSAPISKDTLLFLRSALDIGIRQGYGLTETFAGVCLSEPFEK DVGSCGAIGISAECRLKSVPEMGYHADKDLRGELQVRGPQVFERYFKNADETSKAIDQ DGWFSTGDVAFIDGKGRINVIDRVKNFFKLAHGEYIAPEKIENIYLSSCPYITQIFVF GDPLKTFLVGIVGVDVDAAQPILATKHPEVKTWTKEVLVENLNRNTKLKKEFLNKINK CIDGLQGFEKLHNIKVGLDPLTLEDDVVTPTFKIKRAKASKFFKVTLDQLYDEGSLIK AEKL SPAR_E00860 MAKKTLETGQKILKTGTKERTTFHQIRYEAMSAGIGESRTELLT WLNGLLNLNYKKIEECGTGAAYCQIMDSIYGDLPMNRVKFNATAEYEFQTNYKILQSC FSRHGIEKTVYVDKLIRCKFQDNLEFLQWLKKHWIRHKDESVYDPDARRKYRPIITNN SAIKTRTVSNPTTAKRSSSTGTGSAVPGGLTTRHSSLGINSSRKTSVTQGQLVAIQAE LSKSQETIGSLNEEIEQYKGTVSTLEIEREFYFNKLRDIEILVHTTQDLINEGVYKFN DETITGHGNGNGGALLRFVKKVESILYATAEGFEMNDDEDELGGKNVGEHGTVPNEGG YENINGSVNGDEGSNHDVIMQNDGSNVGMSNNLIIDEETF SPAR_E00870 MMMWQRYARGTPRSWTSLAFGKTSRLSNMSPVLRPRMPVHQRLQ TLSGLATSNTIYRSTQIRAFHVSWTRSNESRPNKDDEGQNNGNKDNNGKDGKDKKNEF NSLSEYFKSKEFANTMFLTIGFTIIFTLLTPSSSNSGDDSNRVLTFQDFKTKYLEKGL VSKIYVVNKFLVEAELVNTKQVVSFTIGSVDIFEEQMDQIQDLLNIPPRDRIPIKYIE RSSPFTFLFPFLPTIILLGGLYFITRKINSSPPNANGSGGGGLGGMFNVGKSRAKLFN KETDIKISFKNVAGCDEAKQEIMEFVHFLKNPAKYTKLGAKIPRGAILSGPPGTGKTL LAKATAGEANVPFLSVSGSEFVEMFVGVGASRVRDLFTQARSMAPSIIFIDEIDAIGK ERGKGGALGGANDEREATLNQLLVEMDGFTTSDQVVVLAGTNRPDVLDNALMRPGRFD RHIQIDSPDVNGRQQIYLVHMKRLNLDPLLTDDMNNLSGKLATLTPGFTGADIANACN EAALIAARHNDPYITIHHFEQAIERVIAGLEKKTRVLSKEEKRSVAYHEAGHAVCGWF LKYADPLLKVSIIPRGQGALGYAQYLPPDQYLISEEQFKHRMIMALGGRVSEELHFPS VTSGAHDDFKKVTQMANAMVTSLGMSPKIGYLSFDQNDGNFKVNKPFSNKTARTIDLE VKSIVDDAHRACTELLTKNLDKVDLVAKELLRKEAITREDMIRLLGPRPFKERNEAFE KYLDPKNNNEPPAAPAATN SPAR_E00880 MASIDAFSDLEHRMDVFQKDVAQVLARQQNHARQQLQQFQAEMR QLYNQHQHLIDELQRLATQRTALQQQIHAAQQATNTTREQWRSYHERECELSRRQSTL AAQSRELDSLLQQRGEECNQLRARWAAQSGNDAAEVALYERLLQLRVVPGSSDVHDVR FVFGDDEHCWIEIAMHGDHVVGESHPELSVENRATLEHVLAVEGDLAAFLIVVRDMLL ESL SPAR_E00890 MSDNNKEYSIKFLTFNTWGLKYVSKHRKERLRAIADKLAGHSTL TPISDELLPNGGDSIGNEDYDVIALQEIWCVEDWKYLASACATKYPYQRLFHSGILTG PGLAILSKVPIESTFLYRFPINGRPSAVFRGDWYVGKSIAITVLNTGTRPIAIMNSHM HAPYAKQGDAAYLCHRSCQAWDFSKLIKLYRQAGYAVIVVGDLNSRPGSLPHKFLTQE AGLVDSWEQLHGKQDLAVIARLSPLQQLLKGCTTCDSLLNTWRAQRQPDEACRLDYAL IDPDFLQTVDAGVRFTERIPHLDCSVSDHFAYSCTLNVVPQGTESRPSTSVKHAKVLD REQILQKYSNYETMIECLHTYMKTAQRQKFFRGLHFWASILLLIASLVVTTFTANKAG WSSIFWVLFAIAVSISGTIDGGISFLFGRSEIRALTEVELEVLDAEHHLQTFLTEK SPAR_E00900 MAASVPPGGQRILQKRRQAQSIKEKQAKQTPTSTRQAGYGGSSS SILKLYTDEANGFRVDSLVVLFLSVGFIFSVIALHLLTKFTHII SPAR_E00910 MGLCASSEKNGSTPDTQTASAGSDNAGRAKVSAQQQPQRQPQKT VRTVNTASQQEKQQQQQQQQPFARNVKDNNTSINNAISPTATANTSGSQQINIDSALR DRSTNGPAQPSLSDASSGSNGKELKVLLLGAGESGKSTVLQQLKILHQNGFSEQEIKE YIPLIYQNLLEIGRNIIQARTRFNVNLEAKCELTQQDLSKAMSYEMPNNYTGQFPENI AGIISTLWALPSTQDLVNGPNASKFYLMDSTPYFMENFTRITSPNYRPTQQDILRSRQ MTSGIFDTVIDMGSDIKMHIYDVGGQRSERKKWIHCFDNVTLVIFCVSLSEYDQALME DKNQNRFQESLVLFDNIVNSRWFARTSVVLFLNKIDLFAEKLSKVPMENYFPDYTGGS DINKAAKYILWRFVQLNRANLSIYPHVTQATDTSNIRLVFAAIKETILENTLKDSGVL Q SPAR_E00920 MASAAVMMDVDSSGVNDLHHSEKKYAEEDQVQELLKVLNEISKT TLTLDPRYIWRSLKDLSSLRNQELLNAEILSFTVNVLYPDSSSFKKNLLKFINSNHKS SVPGSDELRNSYPASFYSVNTEKKTIEVTAEINCFIHLLVQLFLWDSKKLEELVEFNR KVVIPNLLCYYNLRSLNLINAKLWFYIYLSHETLARRSKEIDNDNQNIILRSTMMKFL KIASLKHDNETKAMLINLILRDFLNNGEVDSASDFISKLEYPHIDVSSSLEARYFFYL SKINAIQLDYSTANEYIIAAIRKAPHNSNSLGFLQQSNKLHCCIQLLMGDIPELSFFH QSNMQKSLLPYYHLTKAVKLGDLKKFTSTITKYKQLLLKDDTYQLCVRLRSNVIKTGI RIISLTYKKISLRDICLKLNLDSEQTVEYMVSRAIRDGVIEAKINHEDGFIETTELLN IYDSEDPQQVFDERIKFANQLHDEYLVSMRYPEDKKTQQNEKSESGENDDDMLDGDLM DDMSDISDLDDLGFL SPAR_E00930 MTTEEGPPSHVDSATGIKLALDPNLITLALSSNPTSSLHSPTSD EPVSESAGKADTGIRLEGDELENKTKKDNDKKLKFLKNKDSLVSNPHEIYGSMPLEQL IPIILRQRGPGFKFVDLNEKELQNEINQLDNNSSDSRNSEKKDNDGADENAQTGEDFM EVDYEDKDNSVNSQNGTDHKTNETGETDDNVETIMTQEQFVKRRRDMLEHINLAMNES SLALEFVSLLLSSVKESTGMSSMSPFLRKVVKPSSLNSDKIPYVAPTKKEYIELDILN KGWKLQSLNESKDLLRGSFNKLSSILQNEHDYWNKIMQNISNKDVIFKIRDRSSGQKL LAIKYGYEDSGSTYRHDRGIANIRNNIESQNLDLIPHSSSAFKGTDFIHSVKKFLRVR IFTKIESEDDYILSGESVMDRDGENEETETEDIRKQIQILKKIIFEKELMYQIKKECA LLISYGVSIENENKVIIELPNEKFEIELLSLDDDSIVNHEQDLPKINDKRANLMLVML RLLLVVIFKKTLRSRISSPHGLVNLNVNDDILIIRPILGKVRFANYKLLLKKIIKDYV LDIVPGSSITETEVGREEPQENRNIDDENITRLNKEIRAFDKLLNIPKRELKINLPLS EYKSPSLSLILESPNYCNALIHIKFKDSAEANAVSFDTTFSDFKEVEDFLHFIVAEYI QQKKV SPAR_E00940 MTYTLAILGCGVMGQALLSAIYNAPKAVDETAAAFYPSKIITCN HDEPSAQQVTDLIETFDESPNGIKVESTYGHNVSAVKEASVVLLGTKPFLAEEVLNGV KSVIEGKLLISLAAGWTIDQLCQYTSTVCRVMTNTPAKYGYGCAVVSYSADVSKEQKP LVNELISQVGKYIELPEKNMDAATALVGSGPAFVLLMLESLMESGLKLGIPLQESKEC AMKVLEGTVKMVEKSGAHPSVLKHQVCTPGGTTIAGLCVMEEKGVKSGIINGVEEAAR VASQLGQKKK SPAR_E00950 MSSGSTIVSSDKSERTFKHEEELPKLPLPKLCDTLQRLKESLEP LYYADGYYQHPLDPEQIEKLSSIIENFEENSVSEKLQSKLQSYHDTSDCYLDELHLDI NNQTSTREIQDDVLPRNPFLVLADDALPNITQADRSAVLVHSAARFISALKQDLLPPD INASNGKPLSMAPFLNLFGTTRSPVFQRGEVESFDLNKPYTASDLEDPDYSGDEGDNG EPSQKESADRKRKREEDIFTGNGITIKRYPESKHILIISRGQYYTLEMLDSTNKIIYT AAELTTIFNHIIKDSSGTEKSTALGSLTSHSFRNWKYARKRLQKRYPNELHRIDSALF VLVLDESQEETTNDDGDTADISQMFNRTITERDKKCTSANCKRVFYGTSIINSKGHQV GSCVSRWYDKLQLVVTADAKATVIWDSFTCDGSVVLRFTSEIYTESVLRLARDVNAGD PQFSLWPNVTQMDPETKKLMTATISADGGGPSEIDPKLVVDKIDWSFSNILNTHVHLS ETKLADLISKYDIVRASIPLGRRSAQRLGIKPDSMVQVALQIAHYALYGRMVFGLEPI STRGFKNSRSSFINIQNQELLELCQLFISSSIDGTGKLDKFIQTCETHHEMVKHAKSG VGYEKHFNALKYLFKFHDHFGISLNDDESSAAKDLFENPLVLPFSQPELIVANCGNAA TTTFGITPAVPQGFGIGYIIKDDQVDLTVTSQFRQGDRLMFMLNWALGEIRSYWRMSR GTSHNKTGVKISPVVDKLYEMDNAVNNPPNRNGHPATGSRKTSSSQVNLNRYGGFFDL EGHIDSRNISKSPSMKNLQKTFNGLTMSAENDHSSSAVSVPTEKEKLNTGHEILQIQP REVASNGLEAGDETDTEIIAGNTDGISSSSSATSLNSKKRNVINSRFDIDFDRSRVGR KVATLDQ SPAR_E00960 MSDLQDQEPSIIINGNLEPVGEPDIVEETEVVAQETEETEEANK PKKKVAFTGLEEDGETEEEKRKREFEEGGGLPEQPLNPDFSKLNPLSAEIINRQATIN IGTIGHVAHGKSTVVRAISGVQTVRFKDELERNITIKLGYANAKIYKCQEPTCPEPDC YRSFKSDKEISPKCQRPGCPGRYKLVRHVSFVDCPGHDILMSTMLSGAAVMDAALLLI AGNESCPQPQTSEHLAAIEIMKLKHVIILQNKVDLMREESALEHQKSILKFIRGTIAD GAPIVPISAQLKYNIDAVNEFIVKTIPVPPRDFMISPRLIVIRSFDVNKPGAEIEDLK GGVAGGSILNGVFKLGDEIEIRPGIVTKDDKGKIQCKPIFSNIVSLFAEQNDLKFAVP GGLIGVGTKVDPTLCRADRLVGQVVGAKGHLPNIYTDIEINYFLLRRLLGVKTDGQKQ AKVRKLEPNEVLMVNIGSTATGARVVAVKADMARLQLTSPACTEINEKIALSRRIEKH WRLIGWATIKKGTTLEPIA SPAR_E00970 MIESDEDFAPQEFPHTDTDIIIDERRDENEGYSSDEVGGTLSRR ASSIFSINTTPLAPPNATDIQKFTSDEHHFSMMRNLHMADYITMLNGFSGFYSIVSCL RFTLTGKPHYVQRAHFFILLGMCFDFLDGRVARLRNRSSLMGQELDSLADLVSFGVAP AAIAFAIGFQTTFDVMILSFFVLCGLARLARFNVTVAQLPKDSTTGKSKYFEGLPMPT TLALVLGMAYCVRKGFIFDNIPFGIFREDQILEFHPIILVFFIHGCGMISKSLKIPKP SPAR_E00980 MAGDNPENKDASMLDVSDAASNTTINGKSNTNSNNEASLAYTFS QMNVDNPNELEPQHPLRHKSSLIFNDDDDDEIPPYSKHAENGSGETFDSDDDIDASSS SSIDSNEGDIHDADMTGNALQKMDYQPSQESDALQNQGSQQQQEQQQSTVEGKKGRAM MFPVDITWQQGGNKVYVTGSFTGWRKMIGLVPVPGQPGLMHVKLQLPPGTHRFRFIVD NELRFSDYLPTATDQMGNFVNYMEVTAPPDWGNEPQQQVSENKANHADDSKSSKRPMS ARSRIALEIEKEPDDMGDGYTRFHDETPAKPNLEYTQDIPAVFTDPNVMEQYYLTLDQ QQNNHQNMAWLTPPQLPPHLENVILNSYSNAQTDNTSGALPIPNHVILNHLATSSIKH NTLCVASIVRYKQKYVTQILYTPLQ SPAR_E00990 MNYRRESFPPDNDQRPFRCEICSRGFHRLEHKKRHVRTHTGEKP HKCTFQGCPKSFSRSDELKRHLRTHTKTVQRRSRRLKSKGSGKTAMDAATTTPTTFYD NIGVSGTEKSHSEISPILISVAQNCDDVSGRSAGNNSGMVETQTPAILVPIIGIQKEP HIIPNNLSTASIASIVSMYPSTSSFQYLNSAFSEGSVSIPCVPSSSSSLTSDELSSNS SIFSKSRKNLAAMSGLNSLSSSKNQSSISLLSQTSQPSKNLARPPTALGPLRKITPAV NSGDMEISRTISLSSSSTSLTSATYDDMTAKDMGMGIFLENPPVTQEACRSDRKFKTN TISRGRQHERAQFHISGDDEDNKVHRQESGASNTNPKVSLPPIRSILRQIDTFNNGPS YFY SPAR_E01000 MSKIQVAHGSRLANLIDYKLRVLTQDGRVYIGQLMAFDKHMNLV LNECIEERVPKTQLDKLRPRKDSKDGTTLNIKVEKRVLGLTILRGEQILSTVVEDKPL LSKKERLVRDKKEKKQAQKQTKQRKEKEKKPGKIAKPNTANVKHTNNSAREIAQPSSS RYNGGNDSNSANRSRFNNEAPPQTRKFQPPPGFKRR SPAR_E01010 MEEKGETMSDETKEKREFEGQKETTHTKSEKSVEPKPKRRRRRN YDDYDAEVAKEETKANNDSTKTENKGAVEDSESDMDDAKLDALIGNEGEEEEDDLAEI DTSNIITSGRRTRGKVIDYKKTAEELDKKESSASSKNNVNHSDKEEDEEDDEDDDFKE Q SPAR_E01020 MSSEDYGYDYDLLFKIVLIGDSGVGKSNLLSRFTKNEFNMDSKS TIGVEFATRTLEIDGKRIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDISKSSSYE NCNHWLSELRENADDNVAVGLIGNKSDLAHLRAVPTEESKTFAQENQLLFTETSALNS ENVDKAFEELINTIYQKVSKHQMDLGDSSANGNANGASAPNGPTISLTPTPNENKKAN GNNCC SPAR_E01030 MSLPVTPVKSKVCSALSMQHEMDHDQYRDLSYPRENQLAGVRPN NIENATIPRQSRSKNKKPHEHTQSQVRFSIPDPNEISQNSPLKIVFPKSGNEIEGKMS TSSLLMNSHGHLVDMHSKVLVDVPEEVWQFHHNRRMKCESKHRRTRSDIKSSENSSRK ELNHSRSKSLQSIIVDTMNTYRATDADATFNENISNVSQVSPLNLSFDRPPPLTPEKN LYLTPESPLNRYHLPVPLEISLPPYLSPRNKHKKRSSLVYDGDGYSQFQESNTSSSTE SSLEQPSSSYSDEDDSIPYAHHEVSFELNNPDTDKFLGIDENANVNLKIQRRNLKNPR HIKKKTNSGYEEENHEKNVSLKILSTPNKLIDIPDLEHMASPPSTGLNGTLKFFQQFE PNEEPMSPTRNINLKSLDKLDMSFKFPSSAVNNNLKEVHENRKSIGTNNEDFLKVDTS PVNQSFESRRQILMDLQKSPTNNDPRIHKHRRSRSVHNIDDTFINFEATSTPPAPASA PTLPVEDSIPCTSFDIPKRSPLRFTSSPKTSDMPPEVQSPNNGSSLQEFSVPSIQIIA DESTSHKGESSLTERPEDEHDHDNLSIKDADQSIAIINETKSIPSVEPFKPLSSFNSF GQRIQNNESAALTQAPTELITKQAGYVTPHSIPFNVASSNSQSSQSGSSKSSSYNSEF STNTAITDTTSQPSMTINRSGLQYNLTDRKKSIKNVGYGPPSQRNNYSPRKKDTPLER VNLEFNTIYEKRDGKMVEIILLDEDDDVSHKIKNTPRTKADQAQEAKIEQQKKRLSHC NEILGMCDKTADEAKRIIYQLVNEKPKFSDKGKQKKPKKSRVLPPLPFPLYDKNGNSL IPNKYQSNIHNDMQSHRKLK SPAR_E01040 MRSFIKAHKKSTSFDESPKRHSNFSSNTNNSSQRSSNDSLDFLP STPSQMNYDNIPPAAKHSPGFESFHRLANKTSKLFKKTSNSNLNSHLASNSSTSSNQT TSSSFVLQNPPTKNSVPPPQLPPPLLPTSSTSSFSRHDNDNESTAYKKTSPTRDINKG TESLPAIKGTITHSWGDSKVESHVIILNDPASPASNASEATSSKQFKNSMVGNENPTS FTSPSNLEPAIRILNKNKGRQQENDEDTEDGLSKKEHHVYKALALAKNRNRQARIHSH DDIINLGKASQMDMSLLAAAFSGNSTTTINNDQSSNEQTDEKILDIERVTTTSTLTSS ETTSPVNKSPCFYGQTLTLSPKIRQGDLLSLPSKGNKNEDQNETSDKKKARISLNRKE EKKIYSLNNNSDEYPVDEDEPNKVSDYNDETSEKDDDDDDDDDDDDDDESEFSFEYAG INVRTSSVKYYSKPEPVANVYIDDLYEDENFDDDMNCIEDDGSDTEGNEIHCLSTGFE ETSLKSSKVKKYNDLFDLSDDDDEDGGDDDDNDGDDDGNNDNDSDYQEQSQSVKESYH GNHDEHYDNAVVGETLENVKKPIQKYNDLFDLSDEDEDEDEDKETSEQESYMFSDEAP SIDSGLTLNTKLTPGIYSQSNKNIIHDVKTNYPFSLRKNSSKNETENISAMKASLTLT NIRPTVTSFSDIFNVDDSASDTESDSGTNRNSNSLISSNSEKHSSLKISLYENKPEPY PSNHSHSQLPQTPAKIVITPSGSGVCSQACAIADDGDGEDDDDDTSSILRTPFQLLDS SHSNQLNYESPQYPVVINSPPLPPPARSQSLKYHDLNCDLDSEVPRPMSNLFFIDEAE EDEYNQKSKYLDYDYYDIDEINGIPEDFNFSDSEKDDLNSRTLKSPLRRGSKNREASP FSSVSSSFRSTHSFNGKLTINQGAKELAPMKNKIELTNKTVTFFNSNNWNTHDCNSLS RKSSSQMRDIKYQMHNVNQNSELSSLRSPQHQISNGLDEKCNDNFIISPNLAKTVTPT NSFNKPTPEFSTDYSLSPIQETPSSVQSSPRRT SPAR_E01050 MDAFSLKKDNRKKFQDKQKLKRKHATPSDRKYRVLNRQIEEKTA TEEGEQDEEQPALKSNEDRYYEDPVLEDPGTAATSAEVNKVLKDVLKNRLQQNNGSTA VSNVANTDALKIKDLKQMGTDELNCWLGRQNATSAVTAAEPEPIVAPFYVQDDHDHAG KKIGPPSADLPEELETDQDFLDGLL SPAR_E01060 MGPDTKNSATAKILTGESPPSAKEHMRPTKPQVLVPPAQSLPNG EKPNFGKSTKQRREPRERTSKTRHGDDKATMVTVNIDAFLYDKAPKKKSCKYKKKKSR QYQDTAAPIDSKPHAAGHTAFAGASFTTDIPHEAALPKPSFV SPAR_E01070 MPPVSASKAKRDAKKAERDAKKAAAGKTIRKLGRKKEATAEESE VDAAAREIKMMKLQQDKDGLSDRVVTGVLSSLETSRDIKLSSVSLLFHGKVLIQDSGL ELNYGRRYGLLGENGCGKSTFLKALATREYPIPEHIDIYLLDEPAEPSELSALDYVVT EAQNELKRIEDLVEKTILEDGPESELLEPLYERMDSLDPDTFESRAAIILIGLGFNKK TILKKTKDMSGGWKMRVALAKALFVKPTLLLLDDPTAHLDLEACVWLEEYLKRFDRTL VLVSHSQDFLNGVCTNMIDMRAQKLTAYGGNYDSYHKTRSELETNQMKQYNKQQEEIQ HIKKFIASAGTYANLVKQAKSRQKILDKMEADGLVQPVVPDKVFSFRFPQVERLPPPV LAFDDISFHYESNPSENLYEHLNFGVDMDSRIALVGPNGVGKSTLLKIMTGELTPQSG RVSRHTHVKLGVYSQHSQDQLDLTKSALEFVRDKYSNISQDFQFWRGQLGRYGLTGEG QTVQMATLSEGQRSRVVFALLALEQPNVLLLDEPTNGLDIPTIDSLADAINEFNGGVV VVSHDFRLLDKIAQDIFVVENKTATRWDGSILQYKNKLAKNVVL SPAR_E01080 MTFAKDYRTVYRNQIKKQIRLNQEHLQSLTHLGSQINFEVDPPK FPDPDPARKVFFFDIDNTLYRKSTKVQLLMQQSLSNFFKYELGFDDEEAERLIESYYE EYGLSVKGLIKNKQIDDVLQYNTFIDDSLPLQDYLKPDWKLRELLINLKKKKLGKFDK LWLFTNSYKNHAIRCVRILGIADLFDGITYCHYDRPIEEEFICKPDPKFFEIAKLQSG LSSFANAWFIDDNESNVQTALGMGMSHVIHLIEDYQYDSENIITKDHENKQQFSILKD ILEIPLIMDIDVYRPSSIAIKEMEELEEEGEAVNWSNQQINVQSS SPAR_E01090 MRRRQESQMGSVNSSPNKEFEIVPDSQISGFDSPLIPTSVGSNF RDDDDDEKMQPNFISDTENDDLDSDEEFSSLEHSDLNLPSTNAEFEDDYDPILKRTII SKRKAPGNDEGEEILKTPKRLINYVPLKNFNLGDSFDNTITTKVAKLQDLKKEILDSP RRNTNIVITSNTVAKSDLQKSIKFAGFIPEIYLDLITKETISDKYKDWYFISENCHYE ELMDLEMKDMDYSFFFGSTSFQGNVPEFVRLKCPDITNLLVLFGVNQEKCNSLKINYE EKENAKHDNLCTIFPANKMLKFLMYYYNDDENAKEVFLRAFICLILDRNVFNAMESDH RVCFKVLELFDETYFINLYFEIVSKNDFFLHYRLLQIFPNLQNALLRRRFNENQGQIE TMRQNLINEFNELFDCKNYKNLLYFILTMYGSRFIPFGPKSQVTEYFKDCILDISNET TNDVEISILKGILNLFSKIR SPAR_E01100 MNKNKHEWTESVANSGPISILSYCASSILMTVTNKFVVNLANFN MNFVMLFVQSLVCTMTLCILRAVGVANFRSLNRTDVKSWFPISLLLVLMIYTSSKSLQ YLAVPIYTIFKNLTIILIAYGEVLFFGGKVTSMELISFIMMVLSSVVATWGDQQAIAI KASPLGDLDQELVDSTIFVLNPGYLWMFTNCISSALFVLIMRKRIRLTNFKDYDTMFY NNVLALPLLLVFSFIMEDWSANNLSVNLSADSLAAMVISGLMSVGISYCSGWCVRVTS STTYSMVGALNKLPIALVGLMFFDAPKNFLSFFSIFLGFLSGLLYAVAKQKKIQQQKV VLTTSEK SPAR_E01110 MQDDPENSKLYDLLNSHLDVHGRSSEEPRQTGDSRSQSSSNTGE NEDDIAFTGGLNGGTFDSMLEALPDDLYFTDFVSPFTAAATTSVTTKTVKETLPATNH MEDDIAMFDSLATTQPIDIAASNQQNGEIAQLWDFNVDQFNMTPSNSSGSATISAPNS FTSDIPQYHHGSLGNSVSKSSLFPYNASTSNSNINQPSNPINNNSSTNAQSHHSFNIY KLQNNNSSSSAMNIANNNNSNSNIQHPFLKKNDSMGLSSSNTTNSVRKNSLIKPMSST SLANFKRAASVSSSISNMEPSGQNKKPLIQCFNCKTFKTPLWRRSPEGNTLCNACGLF QKLHGTMRPLSLKSDVIKKRISKKRAKQTDSNIGQNTPNAPTTASTPVTTTNAKPIRS RKKSLQQNSLSRVIPEEIISDNISNTNNILNVNRGGYNFNSVPSPVLMNSQSYNNSNA NFNGASNANLNSNNLMRHNSNTVTPNFRRSSRRSSTSSNTSSSSKSSSRSVVPILPKP SPNSANSQQFNMNMNLMNTTNNKSAGNSVASSPRIISSANFNSNSPLQQNLLSSSFQR QGMNIPRRKMSRNASYSSSFMAASLQQLHEQQQVDVNSNTNTNSNRQNWNASNSVSAN SRSSNFVTQKPNFDIFNTPVDSPSVSRPSSRKSHTSLLSQQLQNSESNSFTSNHKYSN RLSSDSTSPIKYEADASTGGKISEDNSTKGSKESSAIADELDWLKFGI SPAR_E01120 MGVPQIWEFLKPYLQDSRIPLRKFVIDFNKSQKRPPRIAIDAYG WLFECGFIQNTDIRTRSRSRSRSTSPMRSPRETNIDSSQEYHGNRGYTTTGKAVLNFI SRLKELLSLNVEFLLVFDGIMKPSFKRKFNQEHNATCYNDEKEYYLNWEQHVKNHEVY GNCQGLIAPSDPEFITLVRKLLDLMNISYVLACGEGEAQCVWLQVSGTVDFILSNDSD TLVFGGGKILKNYSKFYDDFGPTSITSHSPSRHYDSKEPFVTVIDLPRINQVAHMKFD RLSLLFFSVLLGADYNRGIKGLGKSKSLQLAQCKDPNFSMEFYDIFKDFKVEDSNSES LRKSRYRTFQKRLYSYCKNHSVKLFGRNYSVLLNQGSFEGWPSTVAIMHYFHPIVQPY FDEKVLSNKYINMSGNRNYRSLNFNELKYFLQSLNLPQISSFDKWFHDSIHEMFLLRE FLSYDESDSIGKGYMRITEEKTVSIDGDKFQISCFKVRYTTFLPNIPISSQSPLKRNN SPSRSKSPTRRQMDIMEHPNSFWLPKHLIPQSHPLVIHYYETQQIALRKKEKKGKKTI KTRPLQKNNLDEFLRMHTSPIKNIEKVGESRKRILEPVKKKLFVDADEDTSLEEIPAP IISTVTDEHTDDGDDSLIFVDEVTSSQTVLDNSPGKRLRDLMHGDEVEIEKDIIEISP MKKSRTSIDEKKSSASELKSNLSSAANIHLQSTEMLPPNLTSLRLEREHSSVLDQLVT DAQDTFDQFVACDSDSSSNIE SPAR_E01130 MSSLISKTIKYDPAKDKLITLACGCFWGTEHIYRKYLNDRIVDC KVGYANGEESKKDSPSSVSYKRVCGGDTEFAEVLQVSYNPKVITLKELTDFFFRIHDP TTSNSQGPDQGTQYRSGLFAHSDADLKELTKIKAEWQPKWGNKIATVIEPIKNFYDAE EYHQLYLDKNPQGYACPTHYLRDI SPAR_E01140 MSAPAQNYKIADISLAAFGRKEIELAEHEMPGLMAIRKAYGDVQ PLKGARVAGCLHMTIQTAVLIETLVALGAEVTWSSCNIYSTQDHAAAAIAASGVPVFA WKGETEEEYLWCIEQQLFAFKDNKKLNLILDDGGDLTTLVHEKHPEMLEDCFGLSEET TTGVHHLYRMVKEGKLKVPAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMLAGKV AVVAGYGDVGKGCAAALRGMGARVLVTEIDPINALQAAMEGYQVVTMEDASHVGQVFV TTTGCRDIINGEHFINMPEDAIVCNIGHFDIEIDVAWLKANAKECINIKPQVDRYLLS SGRHVILLANGRLVNLGCATGHSSFVMSCSFSNQVLAQIALFKSNDKSFREKHIEFQK TGPFEVGVHVLPKILDEAVAKFHLGNLGVRLTKLSAVQSEYLGIPEEGPFKADHYRY SPAR_E01150 MFSIQDVITTTKTTLATMPKGYLPKWLLFISIVSVFNSIQTYVS GLELTRKVYERKPTETTHLSARTFGTWTFISCVIRFYGAMYLNEPHIFELVFMSYMVA LFHFGSELLIFRTCKLGKGFMGPLVVSTTSLVWMYNQREYYTGVAW SPAR_E01160 MSRGKLEHMDQKETSEVNWIICFALIQFRNPTLWKKTLSRKRGD VENVSTLRNEKNLKINPRENSKQIYKWVAPFQNGFLNNKSLFAHLEPIYNFICQNKCK TFEDAIDLKELQSFSQDISTADINNWFLPRYKILLKILSLKTKGIDLKGISQVFQTFQ VLFVSHYSHRLDSDSSFKRTLVDVHVFNFIAKFLFNRILLKKNQNDPKWLQKFYDQED GNHLSDKVDYKLLCSLHFTTIYSIINIQLTKIKTNQTLEPQISEYISVLRLIDHILAI VESLIHVLIRFLSKHKLICINQKKAYCRIFLEREMGLKKSYLKNFYSVISSIPEKELK GLLKIVKVVILSLLEALESIEWKHLTAFLEKFPAHEISLQKKRTYIQAALLMTAERNL IARFRLSRWFNKTENI SPAR_E01170 MDYKHSFVASPDPPLDGRQNPLLYTDFLSSNKELIYKQPLGPAD AAYNFLHQNSLHDRSVQENLGPMFQPYGIDITRLPITNPPIFQSSLPAFDQPVYKRRI SISNGQISQLGEDLETIENLHSCQPPFLSFKTQQNSGPQQVANLNTATYPSFSSNELQ NIPQQNIPQQHEQATVIPEAAPQTGSEEFCPAMTPFDSNLKLSVPAVAATADIPSSTA SIPLNNSGIDQTYINMQLRLQAQMQNKVWKNAQLSVNPCTPASNSSVSSSSSCQNICD HSNENESIHSSISHGANSNTANTSHQNADMTNATGLPYKLKSPDVNSIHIESKPQYEE TISALSSNTNSGAVVNSGPSSTMHTSAAFQIKHEPRPQRMENNATGFEDGAKAWKRAR LLERNRIAASKCRQRKKMSQLQLQKEFDQISKENTMMKKKIENYEKLVQKMKKISRLH LQECTVNNGNNSHQKSGNKDRDINVFLKMIEEMISGSTLYDE SPAR_E01180 MGKNHFLKDLSALPEDVLIENERGITLLGYPLFSPKILLSPFDP PQFQRLNTENGSLIPLSKNTISNFIELYPIDLSTERTAGSGNSQMTKWFVLMDYKEKY DIDDQGWCYSWNFNNSRWKSKNGLVRRRVWVRLPTASHALD SPAR_E01190 MESQRGHHILTRLTKIRRRPQQPLTDFTELYSRIANETIYYLNL EEKKRYKDALQGWKALTTDVLFKQTLIEHNYPNTQSYTKDEVSLQNGIRELYHKSVMH LERVQKLVQEEPVPRSHVPSSKTYNNHSSSFTRATEPPPAFQMVPGRMMKTLRNRNAC GYKNAYSNSSLPPHNSSTSLKRAENMESIKVNFVPSKPLSNITSRQHKKSAEHNDRPL KKETEMYSDKYVSEPILIDLTNDEDDHDDGILKEHSVFGGEESEDFEFDVSDYYDNFS EVEEEEGEEQRRIKMLEGIQQQMSDMSVTSSTSSNKSTSSLENVPGSSIRSLPNTAPA LPSLPPPPLSSVDRASSTGAVKSHSSETPTTMDPSKMRHPQISKLLKNNHVPYLKGTK STPTLITKSTPTFVTKSKSNTKPIIKSTASSPTSSLTTPNSALQKPKTAAMAAKKVLN GKKVASGPALNTMMKAHPILKSKTAKTSTSSSKKTSSHPSRSVSNSKTYPHGAPLNKK PSKNQTTSMSKTNRKTPAQKRTDPPKIAEVGTEYATENATSLDEEKEEPEIDKKVLRE ILEDEIIDSLQGVDKQAAKQIFAEIVVHGDEVHWDDIAGLESAKYSLKEAVVYPFLRP DLFRGLREPVRGMLLFGPPGTGKTMLARAVATESHSTFFSISASSLTSKYLGESEKLV RALFAIAKKLSPSIIFVDEIDSIMGSRNNENENESSRRIKNEFLVQWSSLSSAAAGSN KNDTDDSNTDGDEDDTRVLVLAATNLPWSIDEAARRRFVRRQYIPLPEDQTRYVQFKK LLSYQKHTLTDSDFDELVKITEGFSGSDITSLAKDAAMGPLRDLGDKLLETEREMIRP IGLVDFKNSLEYIKPSVSQDGLVKYEKWASQFGSSGS SPAR_E01200 MVKETEYYDILGIKPEATSTEIKKAYRRKAMETHPDKHPDDPDA QAKFQAVGEAYQVLSDPGLRSKYDQFGKEDAVPQQGFEDASEYFTAIFGGDGFKDWIG EFSLFKELNEATEMFGKEDEEGTAATGTEKADETTDGGMVKHDANKAESLKKDKLSKE QREKLMEMEKKRREDMMKQVDELAEKLNEKISRYLIAVKSNNLEEFTRKLDQEIEDLK LESFGLELLYLLARVYKTKANNFIMSKKTYGISKIFTGTRDNARSVKSAYNLLSTGLE AQKAMEKMSEVNTDELDQYERAKFESTMAGKALGVMWAMSKFELERKLKDVCNKILND KKVSSKERIAKAKAMLFIAHKFASARRSPEEAEEARVFEELILGEQEKEHKKHTVAR SPAR_E01210 MPGFTAPTRRQVLSLYKEFIKNANQFNNYNFREYFLGKTRTTFR QNMSQQDPKVLMNLFKEAKNELGVLKRQSVISQMYTFDRLVVEPLQGRKH SPAR_E01220 MKRKTAEVKGEKERNSKQISLEEDKIKGLFNPKIWDKTFQDGLK KEIENSQPYNWGTIHELINDDLLRAVRKEIETEIHFTKKETDIYRVNQSGDLANLSGL DWDDLSRLPNLFKLRQILYSKQYRDFFGYITKAGKLSGSKTDMSINTYTKGCHLLTHD DVIGSRRISFILYLPDPDRKWKSHYGGGLRLFPSILPNVPHSDPSAKLVPQFNQIAFF KVLPGFSFHDVEEVKVDKHRLSIQGWYHIPQVGEEGYIPGEEEAWVRNNTSTLAQIES NVLEDFEFPKDERNILSFHEVKHFEKMLKGDADANRDNTPKESMTSVISDSIKLSEAE FAYLSQYISPEHLSSKGIEKLQRQFVENSSLQIESFLNDNKSQMLKKVIKQKELEQEC PYHSKDVKAPWKTAIPPHKARYLYIDGKEYRNFQTEADILEALNNNDLPNFQFTKDAI KIISDASGNSRENNFDAELALIDLATFHKSTIFKKYLALLTSLCPVSEQVLIRRFRPG MDFTLATKCRFNELLKSNSDILDAVLEGTLCLTPSAGWESGELGGYELYMMDDEEDTK QYLKEDVEDASVYRADDSGDSVLINDPPAWNTFNLVLRDESVLEFVKYVSWSAKSSRW DVKMKWDVKSCDEDDQEDEA SPAR_E01230 MNATTGMKIQNGMQLIVKRSVNCTFKRALYNFGVKEKKSVNIEM ARTQQTKKIDQSLSKKLPKGTIYDPFDFSMGRIHLDRKYQANKSANRNDIIKSGANPL EFYARPRILSRYVTSTGRIQHRDITGLSAKNQRRLSKAIRRCQAIGLM SPAR_E01240 MQDPNICTHCQLEENPGALTWVKCDSCPQWVHMKCVPLKCIHYS NFTSSEALSYPSSTKEIKAYRCPNHKEEEYLTASALTSHKGKRRRNNENTEDRHASKR YNFRNNKLLDYIALNEGEAKRDKMNHPHKDSFMKSFEKWKNSSNIMNAADFAAKFDDI NMPYKISDPLNSGVYVPKVGTNNGCLTVNYITEIMGEDYHVDVMDVQSQMNENWTLGS WNEYFTNTEPDKRDRIRNVISLEVSNVEALELDRPAVVRQNDLVDQIWSFNGGLGRSD EEKVEENDPRPKVTKYILMSVKDAYTDFHLDFAGTSVYYNVISGRKKFLLFPPTQSNV AKYIEWSLKEYQNSVFLGDVLEDGIAMELDAGDLFMIPAGYIHAVYTPVDSLIFGGNF LSIRDLETHLKIVEIEKLTKVPKRFTFPKFNQVIGKLCEYLVFNKNKIAGNGSERDMF AKCTNSSIKSLYAYLTKPEVKYKPLSFTSKKLLAKALADLIS SPAR_E01250 MPMDFQPTSSHSNWVVQKFGGTSVGKFPVQIVDDIVKHYSNPEG PNNDVAVVCSARSSYTKAEGTTSRLLKCCDLASQESEFQDIIEVIRQDHIDNADRFIL NPALQAKLVDDTNKELELVKKYLNASKVLGEVSSRTVDLVMSCGEKLSCLFMTALCND RGCKAKYVDLSHIVPSDFSASALDNSFYTFLVQALKEKLAPFVSAKERIVPVFTGFFG LVPTGLLNGVGRGYTDLCAALIAVAVNADELQVWKEVDGIFSADPRKVPEARLLDSVT PEEASELTYYGSEVIHPFTMEQVIRAKIPIRIKNVQNPLGSGTIIYPDNVAKKGESTP PHPPENLSSSFYEKRKRGATAITTKNDIFVINIHSNKKTLSHGFLAQIFTILDKYKLV VDLISTSEVHVSMALPIPDADSLKSLRQAEEKLRILGSVDITKKLSIVSLVGKHMKQY IGIAGTMFTTLAEEGINIEMISQGANEINISCVINESDSIKALQCIHAKLLSERTNTS NQFEHAIDERLEQLKRLGI SPAR_E01260 MESNKQPRKIQLYTKEFYATCTLGGIIACGPTHSSITPLDLVKC RLQVNPKLYTSNLDGFRKIISNEGWKKVYTGFGATFVGYSLQGAGKYGGYEYFKHLYS NWLSPGVTVYLMASATAEFLADIMLCPFEAIKVKQQTTMPPFCNNVIDGWKKMYAESG GMKAFYKGIVPLWCRQIPYTMCKFTSFEKIVQKIYSVLPKKKEEMNALQQISVSFVGG YLAGILCAAVSHPADVMVSKINSERKANESMSVATKRIYQKIGFAGLWNGLMVRIVMI GTLTSFQWLIYDSFKAYVGLPTTG SPAR_E01270 MYIKAEQKPQRFERKNEKLDRNKNHHLPNLETDFKGYNVNSDLC KEERNGNTEETLNSLKFLHKPQRVTQMRANRFPEEEVQRNTDLNKRLFSAGNDENVGN ESGWSRSDFSKNRTGAERLNGSTKPPFKIELPPLSPKSTVPNSFQTEYPEAKSPGNDM NFEYDEEILIPFAPPVYKKSGELLKSSLKRRSKSLPTTPGIRSGNGGQARDGSPMLIR SKSVHFDQAAPVKYFAEDESPINVNKTEQHDNRLSFKHKAVNLMVDPEEETKMLSSGL ETTSIDDDLTTVAPKGFTHPAKISSPGNGKSTNNPKLRKSKRFQNLLKNRTAIPPSKS DKKSMNGGGYEVSNRNLKNYYVVGLYSKNFPILSNKNPKSLKLNIFINLSQNKKVFLQ ELSLYIHRDNNFFLNPSSFNNMPNGHKGSDRDGLAKGYNAGCTRLIAGRILVKNIFYD KRVVVRYTWDSWRTTHEVECVYISDGDGILPGTNMDIFHFIIDDASKVDPRGRLEFCI HYSTRNDSEREEYWDNNNGNNYKVDVVMDGFNDPFAAAA SPAR_E01280 MDLVNHLTDRLLFAIPKKGRLYSKSVSILNGADINFHRSQRLDI ALCTSLPIALIFLPAADIPTFVGEGKCDLGITGVDQVRESDVDVDLAIDLQFGNCKLQ VQVPVNGEYKKPEQLIGKTIVTSFVRLAERYFAGLEGTTVEKMTTKIKYVGGSVEASC ALGIGDAIVDLVESGETMRAAGLVDIATVLGTSAYLIESKNPKSDKSLIATIKSRIEG VMTAQKFVSCIYNAPEDKLPELLKVTPGRRAPTISKIDDEGWVAVSSMIERKTKGVVL DELKRLGASDIMVFEISNCRV SPAR_E01290 MLEEGNNVYEIQDLEKRSPVIGSSLENEKKVAASETFTATSEDD QQYIVESSEATKLSWFHKFFASLNAETKGVEPVTEDEKSDDSILNAASMWFSANMVIA SYALGALGPMVFGLNFGQSVLVIIFFNIMGLIFVAFFSVFGAELGLRQMILSRYLVGN VTARIFSLINVIACVGWGIVNTSVSAQLLNMVNEGSGHVCPLWAGCLIIIGGTVLVTF FGYSVIHAYEKWSWVPNFAVFLVIIAQLSRSGKFKGGEWVGGATTAGGVLSFGSSIFG FAAGWTTYAADYTVYMPKKTNKYKIFFSLVAGLAFPLFFTMILGAASAMAALNDPTWK AYYDKNAMGGVIYAILVPNSLNGFGQFCCVLLALSTIANNIPNMYTVALSAQALWAPL AKIPRVVWTMAGNAATLGISIPATYYFDSFMENFMDSIGYYLAIYIAISCSEHFFYRR SFSAYNVDDWDNWGRLPIGIAGTSALIVGAFGVALGMCQTYWVGEIGRLIGEYGGDIG FELGASWAFIIFNILRPLEIKYFGR SPAR_E01300 MAQRVTFRRRNPYNTRSNKIKVVKTPGGILRAQHVKKLATRPKC GDCGNALQGISTLRPRQYATVSKTHKTVSRAYGGSRCANCVKERIVRAFLIEEQKIVK KVVKEQTEAAKKSEKKAKK SPAR_E01310 MATKLTPVICESAPAAAASYSHAMKVNNLIFLSGQIPVTPDNKL VEGSIADKAEQVIQNIKNVLESSNSSLDRVVKVNIFLADINHFAEFNSVYAKYFSTHK PARSCVAVAALPLGVDMEMEAIAAERD SPAR_E01320 MSRASKITFTVSCLITAVTVVGVHYVQEMERETLHQGPIKDAKR VEEKRLRNTNGPASLDPAKERKRYFNMSEHEEQKELRKKYETMQPLSGEVVTKDGEVV KESKR SPAR_E01330 MSVKGDSPSSTNASNSPKSTYSIQSDDKVNLGSGNVDIRTDNPQ QDNSRRDIVVVTRVASEETLESQSSTSSMGIRPESSFNYEDASNQARVEINSRAHGSN MNTINKYNPVRFPKNNERQFSETNNLNEKVQGAHTVQSSTQEDKILDGDKSNLQVTPS LNIAEFPTDKLLKMLTALLTKIIKSNDRTAATNPSLTQEIENGRCLALTENEKKYLSP VLGFRGKHVPQIGLDQYFQRIQKYCPTTNDVFLSLLVYFDRISKRCNSATTTPKTNTA KRDSTSDGYSLNKANRGADKMSACNSNENNENNDSDDENTDVQKDSRPHPQMFVMDSH NIHRLIIAGITVSTKFLSDFFYSNSRYSRVGGISLQELNHLELQFLVLCDFELLISVN ELQRYADLLYRFWNNAKAQSQALVTSM SPAR_E01340 MPLNGTQNFNYELKDLESRAHDAKTPSTNEFYDDVESHGTEELV ETKLSVLNRIAAGLSAETKGIEPITEEEKTDDSILNAASMWFSANMVLPAYAIGALGP MVFDLNFGQSVLVIIFFNLLGLVSVAFFSVFGAELGLRQMVLSRYLVGNIAARIFSFI NFIACIGWGIVNTVASSQVLNMVNPGHQCPLWAGCIVIIGATVIVTFFGYGVIHAYEK WAWVPNFAVFLVIIARLARSKKFVLGEWTSGPTTAGNVLSFGSTVYGFAAGWTTYAAD YTVYMPRKTNKYKIFFSLVVGLATPLFFTMILGAAVAMAAVGDPAWKTYYDENSIGGL TFAVLVPNSVHGFGQFCCVLLSLSTIANNVPNMYTIALSVQATWEPLAKVPRVIWTLL GNAAALGIAIPACYYFSTFMNYFMDSIGYYLAIYIAIACSEHFIYRRSFSAYNVDDWD NWERLPIGIAGTAALIVGAFGVALGMCQTYWVGEIGRLIGDYGGDIGFELGLSWAFIV YNIARPLELKYFGR SPAR_E01350 MPQKLAMSMADTRDAGRELKDLESGVLDTKSSAANVYYEDVESH VTNEFVDDKLGSNGTHKSVYNKPSFFNRIAAALNAETKGIEPVTEDEKTDNSILNAAT IWFSANMVIVAYSVGALGPLVFSLNFGQSVLIIIFFNIMGLIPVALFSLFGVQLGLRQ MILSRYLAGNITARFFSLVNVIACIGWCVLNISVSAQLLNMVNEGSGHVCPLWAGCLI IAGGTVLVTFFGYSVIHAYEKWSWVPNFAAFLVIIAQLSRSGKFKGGEWVGGATTAGG VLSFGSAVFGSAAGWATYAADYTVYMPKNTSKYKIFFSVAAGLAFPLFFTMILGAACG MAALNDPTWKAYYDKNAMGGVIYAILVPNSLNGFGQFCCVLLALSTVANNVPGMYTVA LSAQALWAPLAKIPRVVWTMAANAATLGISIPATYYFDGFMENFMDSIGYYLAIYIAI ACSEHFIYRRSFSAYNVDDWDNWERLPIGIAGTAALIVGAFGVALGMCQTYWVGEISR LIGEYGGDIGFELGGSWAFIIYNIVRPLELKYFGR SPAR_E01360 MSRRVVITGLGCVTPLGKSLNESWRNLLSSKNGLIPITSLSNYE KDYKPKEKSIPSTITVGKIPEDFHDENSATYKLLFTSQDERRTSNFIKLAVRTTYEAL HNAGLLNPNDITINTSLCDLDYFGCLIGSGIGSIQDIYQTSLQFHNENKKVNPYFVPK ILTNMAAGNVSIKFNLRGLSHSVSTACATGNNSIGDAFNFIRLGMQDICVAGASETSL HPLSLAGFIRAKSITTNGISRPFDTQRSGFVLGEGCGMIVMESLQHAQKRNANIISEL VGYGLSSDACHITSPPADGNGAKRAIEMALKMARLEPTDVDYVNAHATSTLLGDKAEC LAVTSALLPGRSKNKPLYISSNKGAMGHLLGAAGAVESVFTICSLRDDKLPHTLNLNN VLPLENKETDKLHFIRDKPIEGANPKYALCNSFGFGGVNTSLLFKKWEGS SPAR_E01370 MGLTTKPLSLKVNAALFDVDGTIIISQPAIAAFWRDFGKDKPYF DAEHVIQVSHGWRTYDAIAKFAPDFANEEYVNKLEAEIPVKYGEKSIEVPGAVKLCNA LNALPKEKWAVATSGTRDMAQKWFEHLGIRRPKYFITANDVKQGKPHPEPYLKGRNGL GYPINEQDPSKSKVVVFEDAPAGIAAGKAAGCKIIGIATTFDLDFLKEKGCDIIVKNH ESIRVGGYDAETDEVEFIFDDYLYAKDDLLKW SPAR_E01380 MADYSSLTVVQLKDLLTKRNLSVGGLKNELVHRLIKDDEESKGE SEEPPQEQKQAQESESATMEEPTSQDNTEKEVPSEPKETAVPKEEDKDVQKPSDGDST TVSETKQAAAAASALSQEEIKAKALDLLTKKLHRANKFGQDQADIDSLQRQINRVEKF GVDLNSKLAEELGLVSRKKEPESSNAGKLKNRNKSVNSRSRVSKNRRGNNRSGYRR SPAR_E01390 MINDTGSSKVQLEGDHKAGKYAGYGTTHKIRAQLNFSDEKKWKK FSSRRLELIDSFDLSQHKASEQDDNIKQIATILRNEFEYPETFSAEFEKLVTAAVQSV RRNRKRSKKKLLDSKKKIASGKVQKIPLSPPSSSNMGSCSASNASSSDEEASVKEEPS ERLPPSLNTITSPKLLSYSNGRALPPVPTHVRSLLKKNASLLHDPTALCAPGADETIQ KFHMEAQPPESDQEYDFIAKSIIIDIVNNAIPLPEQIQRDKFIRPNLTEKTGCQSKVV ISNNLRKLILSKIHNSRTCLDISKDEKYLDSFANLETLGKHSLMASLSFVVESSFSHL PSSTKQYLTERLSSIDFLTILSQRLFMPATRRLFADLPQEKIQVRVLNLILGSLVKDY GFDASLAPINEIIYHMTLHQYPLVCINKQPISMTPNSTPDALSVHSSTKDASSPDKRE SRVTTSITSTNNTIITLPLREAPNTYDGDGLKMLSAISLQIENSTVSEPFSTISK SPAR_E01400 MPIPVGNTKNDFAVLQAKLDADAADIDKWWSDSRWSKTKRGYSA RDIAARRGTFPPIEYPSSVMARKLFKVLEKHHNEGTVSKTFGALDPVQISQMAKYLDT IYISGWQCSSTASTSNEPGPDLADYPMDTVPNKVEHLFKAQLFHDRKQLEARSKAKSQ EELDEMGAPIDYLTPIVADADAGHGGLTAVFKLTKMFIERGAAGIHMEDQTSTNKKCG HMAGRCVIPVQEHINRLVTIRMCADIMHSDLIVVARTDSEAATLISSTIDTRDHYFIV GATNPNIEPFAEVLNNAIMSGASGQELADIEQKWCRDAGLKLFHEAVIDEIERSALPN KQELIKKFTSKVGPLTETSHRQAKKLAKEILGHEIFFDWELPRVREGLYRYRGGTQCS VMRARAFAPYADLVWMESNYPDFQQAKEFAEGVKEKFPDQWLAYNLSPSFNWPKAMSV DEQHTFIQRLGDLGYIWQFITLAGLHTNALAVHNFSRDFAKDGMKAYAQNVQQREMDD GVDVLKHQKWSGAEYIDGLLKLAQGGVSATAAMGTGVTEDQFKENGVKK SPAR_E01410 MSVSENWFNPDFTPQRATLRGHVTSVITCLQFEDNYVITGADDK MIRVYDSINKKFLLQLSGHDGGVWALKYAHGGILASGSTDRTVRVWDIKKGCCTHVFK GHNSTVRCLDIVEYKNIKYIVTGSRDNTLHVWKLPKESSATDHGDEHDYPLVFHTPEE NPYFVGVLRGHMAPVRTVSGHGNIVISGSYDGTLIVWDIAQMKCLRILRGHKDRVYSA IYDHQRKRCISASMDTTIRIWDLKDIRNNEERSHVANSASPYTEVLDAMYVLHGHTAL VGLLTLSDRFLVSAAADGSIRCWDANTYLLKFSFNNTELNTTTALHASDEVLVSGSEG LLNIYNLSSGLLVHSDTLSGADQVWDVNFRDNTLAAAVQRDGQSFLEILDFC SPAR_E01420 MTKKDKKEVKVQTVTTEDGETVKVFEDLQGFETFIANETEDDDF DHLHCKLNYYPPFVLHESHEDPEKISDSANSHSKKFVRHLHQHIEKHLLKDIKQAVRK PELKFHEKSKEETFDKITWHYGEETEYHNRPFKIDVKVVCTHEDAMVFVDYKTHPVAA N SPAR_E01430 MMNPHVQENLQAIHNALSNFDTSFLSEDEEDYCPLCIEPMDITD KNFFPCPCGYQICQFCYNNIRQNPELNGRCPACRRKYDDENVRYVTLSPEELKMERAK LARKEKERKHREKERKENEYTNRKHLSGTRVIQKNLVYVVGINPPVPYEEVAPTLKSE KYFGQYGKINKIVVNRKTPHSNNAAGEHYHHHSPGYGVYITFGSKDDAARCIAQVDGT YMDGRLIKAAYGTTKYCSSYLRGLPCPNPNCMFLHEPGEEADSFNKRELHNKQQAQQQ GGGTAFPRSGIHNNIPTSATGSNSNLLSEHFTSTPSPAAMRAQLHHDSHTSAGTPVLT PAPVPAGSNPWGVTQSATPIASINLSKNSSSINLPTLNDSLGHHTTTTTETTITTTTT TTNSNATSHSHGSKKKHSLAAEEYKDPYDALENAVDFLDARLHSLSSYQKRPISIKSN IIDEETYKKYPSLFSWDKIEASRKSDNILANKLVEILAIKPIDYTASVVQFLQSVNVG VNDNIAITDNTKASTQPIRLQTVPQQIQPPLNVSTPPPGIFGPQHKVPMQQQQMGETT SRNSSDLLNQLINGRKIIAGN SPAR_E01440 MPSASLLVSTKRLNASRFQKFVSSINKSTIAGFASIPLRAPPSV TFTRKKVGYSKRCVSSTNGFSATRSTVIQLLNNISTKREVEQYLKYFTSVSQQQFAVI KVGGAIISDNLHELASCLAFLYHVGLYPIVLHGTGPQVNGRLEAQGIEPDYIDGIRIT DEHTMAVVRKCFLEQNLKLVTALEQLGVRARPITSGVFTADYLDKNKYKLVGNIKNVT KEPIEASIKAGALPILTSLAETASGQMLNVNADVAAGELARVFEPLKIVYLNEKGGII NGSTGEKISMINLDEEYDDLMKQSWVKYGTKLKIREIKELLDYLPRSSSVAIINVQDL QKELFTDSGAGTMIRRGYKLVKRSSIGEFPSADALRKALQRDTGIISGEESVASYLRD LENSDFVSYADEPLEAVAIVKKDTKIPTLDKFVCSDAAWLNNVTDNVFNVLRRDFPAL QWVVSEDDANIAWHFDKSQGSYLKNGKVFFWYGINDINTISELVENFVKSCDTASPLN PLASSGVFSNGKSARSYSTRSTPRPEGANTKPGRVALIGARGYTGKNLVSLINGHPYL EVTHVSSRELKGQKLQDYTKSEIIYENLQVQDIRKLEEQNAVDFWVMALPNKVCDPFV ETIQSVNGKSKIIDLSADHRFVSESDWAYGLPELNNRAKIADATKIANPGCYATGSQL SISPLTKYISGLPTVFGVSGYSGAGTKPSPKNDPKFLNNNLIPYALSDHIHEREISAR IGHKVAFIPHVGQWFQGISLTVSIPIKKGSLSLDEIRQLYRDFYKDEKLVHVINDIPL VKDIEGTHGVVIGGFKLNNAEDRVVVCATIDNLLKGAATQCLQNINLAMGYGEYAGIP ESKIIGL SPAR_E01450 MYVYKRDGRKEPVQFDKITARISRLCYGLDPKHIDAVKVTQRII SGVYEGVTTIELDNLAAETCAYMTTVHPDYATLAARIAISNLHKQTTKQFSKVVEDLY RYVNAATGKPAPMISDDVYNIVMENKDKLNSAIVYDRDFQYSYFGFKTLERSYLLRIN GQVAERPQHLIMRVALGIHGRDIEAALETYNLMSLKYFTHASPTLFNAGTPKPQMSSC FLVAMKEDSIEGIYDTLKECALISKTAGGIGLHIHNIRSTGSYIAGTNGTSNGLIPMI RVFNNTARYVDQGGNKRPGAFALYLEPWHADIFDFIDIRKNHGKEEIRARDLFPALWI PDLFMKRVEENGTWTLFSPTAAPGLSDCYGDEFEALYTRYEKEGRGKTIKAQKLWYSI LEAQTETGTPFVVYKDACNRKSNQKNLGVIKSSNLCCEIVEYSAPDETAVCNLASVAL PAFIETSEDGQTSTYNFKKLHEIAKVVTRNLNRVIDRNYYPVEEAKRSNMRHRPIALG VQGLADTFMLLRLPFDSEEARLLNIQIFETIYHASMEASCELAQKDGPYETFQGSPAS QGILQFDMWDQKPYGMWDWDTLREDVMKHGIRNSLTMAPMPTASTSQILGYNECFEPV TSNMYSRRVLSGEFQVVNPYLLRDLVDLGIWDEGMKQYLITQNGSIQGLPNVPQELKD LYKTVWEISQKTIINMAADRSVYIDQSHSLNLFLRAPTMGKLTSMHFYGWKKGLKTGM YYLRTQAASAAIQFTIDQKIADQATENVADISNLKRPTYMASSASYAASDFVPAAVAA NVTIPSLDSSSEASREASPAPTGNHSLAKGIAELKVQESKVEVPEVPAPTTNEEKAAP IADDEETEFDIYNSKVIACAIDNPEACEMCSG SPAR_E01460 MQIEIKDGRSDNSPLPEEKLVWLIQESYDSLRDDNETDPSTKSI SNLLIKLVLEKLEKHSSLYKYIVTVTTLDIGELSGGTANFSLKNDTGASWQSKKDGIF NYKLEDENDAKCYLMTILWLHK SPAR_E01470 MSSAPLLQRTPGKKIALPTRVEPKVFFANERTFLSWLNFTVMLG GLGVGLLNFGDKIGRVSAGLFTFVAMGTMIYALVTYHWRAAAIRRRGSGPYDDRLGPT LLCFFLLVAVIINFILRLKYNDANTKL SPAR_E01480 MLSRTRATALNSNLFSRNLLRLYSQAPLRVPITLPNGHTYEQPT GLFINGEFVASKQRKTFDVINPSNEEKITTVYKAMEDDVDTAVAAAKKAFDTKWSIVE PEVRAKALFNLADLVEKNQETLAAIESMDNGKSLFCARGDVALVSKYLRSCGGWADKI YGNVIDTGRDHFTYSIKEPLGVCGQIIPWNFPLLMWSWKIGPALATGNTVVLKPAETT PLSALFASQLCQEAGIPAGVVNILPGSGRVVGERLSVHPDVKKIAFTGSTATGRHIMK VAADTVKKVTLELGGKSPNIVFADADLDKAVQNIAFGIFYNSGEVCCAGSRIYIQDTV YEEVLQKLKEYTESLKVGDPFNEEVFQGAQTSDKQLHKILKYVDVAKSEGARLVTGGV RHGSKGYFVKPTVFADVKEDMRIVKEEVFGPIVTVSKFSTADEVIAMANNSEYGLAAG IHTNDINKAVDVSKRVKAGTVWINTYNNFHQNVPFGGFGQSGIGREMGEAALSNYTQT KSVRIAIDKPIR SPAR_E01490 MSDAVTIRTRKVISNPLLARKQFVVDVLHPNRANVSKDELREKL AEVYKAEKDAVSVFGFRTQFGGGKSVGFGLIYNSVAEAKKFEPTYRLVRYGLAEKVEK ASRQQRKQKKNRDKKIFGTGKRLAKKVARRNAD SPAR_E01500 MVLFGLGRLFYVILLLINAVAVLSEERFLRRIGLGRSNDEAPVF GQDQNTTKSKVVQLIGAVQTLLRSMLI SPAR_E01510 MKDSLHCPSILPTDHTSVLSDTSTLVGTGSNAYSKHHPDNSYHN NMNSNIYHSPKASSPLVSYKTSSPVLLKRATAPVLPSFKPKEQRYNKPQGCSLITAVE LGKIIETLPNEKVLLLDVRPFTEHAKSIVTNSIHVCLPSTLLRRKNFTFSKLLDNLTP SEQALLRRKLAIDNLRIIIYDSTGNQTESSVSLPCFGIASKLMEFDTKAKKTVSILMC GFPQFKILFPDHIKTNTFNSNCLSSAEPKSPKTNLINNLHNIGPHMTATTPLSSPQMN LKLKVPDDSRSEHSNFSNSPSPRNILSDSPMSSSSPISALFKFQLPAPQTNINQIFKF SQNEEIMDLETYLSAVNIKEEHERWYNNDSAKKTLQNFQFPKNQNSFEKDTNKDKLGF QIKYENLSRTYEKEDIDSVIPEWFQHLMTIPKVELVSQFQKLDFLEKRRLNHSVSFKK KENSFILDKQSSYPEQLSSTSSSTIMPPKFPDVNKIQKRSHSQPIFTQYSKYKSMLSL ESDSDSESDDVIISSGVELGAKNRYKDIFPYEHSRVILKKGSQSSKGIKHSHTTSDGG ILDNYINANYLSLPRFSVEQNSSFQTTTTTTRRVRYIATQAPMPSTVHDFYTCILNNG VPLVLSLTNDFENGIEKCYRYWQDGNYNGIHVKLLEKIILKMPDTGSPRKKVTETQNN NLFSVNVHGNNNNNNNNNYNINKDNSNDEGEGEDDDAILIRKIQLIYHDQEKPYELLQ IQVKNWPDLGTLLNPTSILQAINVKNHIIDTLFSRNYYHNDQLPTILVHCSAGCGRTG TLCTIDSILSNFEMFEMLQKEFVKLKYPAKLFDPISWTINIFRKQRISMVQNINQFIF IYDCLLFYFRLRLDDITETKIGDGSNKDNISLSALIKQIEKLEILETFVDDKLKELPQ SPAR_E01520 MTSGYYAVSFFYAILLLCACTHAEIYLTGGESQGLPSGFWKMYD NLAIAPVSMVEFYQTVGLTANGTVPESFNKRDATEYPTIISNITTQAANFTQHILVEQ LQNDVTAISISNAINVAVDGSVETPADLQYKRNQETGESTLCRAKFCGVEVRTWSRLY NGAVSSTTLMANLNSCIAQWVAWAVHGNDGKKYCGSQEFTNIFLDGQEGWSLFVKTWS TNSNCDTTASEENLTCAVRASVSSMHNHGKTAFCVTYSHGGSWRAELRVVANDAWSRY YPWSIDCPSVDTNNMGINDCFDQAQG SPAR_E01530 MQLLYKFSYGYVKTLNNAAKLLGAAKLLSAAKLLGAAKLLESIA SCIRCSRITSVNDLNQFVFQFTAVFFTN SPAR_E01540 MLSRINIALNLTESLLRRSFHSLTNLRKTQVKERLHELERHGFI LNKTSKQLERINSKKRRQLKKLQKTAYPKDQAFHILRKFHKINNETLADTKLGPTSQS DLKFLSLTKDKRLFYTILGVNGEQLRDSKLIANDVQKFLKRGQLEKAVFLARLAKKKG VVGMNLIMKYYFEVVQSQQSAVDIFNWRKKWGVPIDQHSITILFNGLSKQDNLVSKKY GELVLKTIDSLCDKNELTEIEYNTALAALINCTDETLVFKLLNIKCPGLKKDSITYTL MIRSCTRIADEKRFMVVLNDLMNKIPDYCVDSKLLFEYCEVICSQKSPNIEKQGMGLW ALCEYFQFDKTIFKKYLTQSDIPTLVPLSHWNINKPFPLNKHVVGLFMNYCLKNKEYD LAMEIFQTLEAQSNQMLDQSIYHKYMETVITTRPTTCGDECLDIYERVASSAQISITR RTLILVYNAFQRQSLKAVINKDASNAEATLHKIRGFIDSVEATYSSKLNGKVYGFNSW KFLFPILKNLNMNDKVSTVELKSILDEYLKSLLKGEFGKEFKASIEDKRFVTLEGIRL VKILTERIKLPSLDSEEITSLKGTERKKFLARRLLLRLKQILLEDLADIEGNSRRKGD SENTSTSEECIMEDLAELILETSYDKF SPAR_E01550 MLHRINPVRFSLQSCQRHFSKLISPLEQQKSHPFTNRVRIPIEA GQPLHETRPFLIKSGELTPGIPALEYYERRIRLAETLPPKSCVILAGNDIQFASGAVF YPFQQENDLFYLSGWNEPNSVMVLEKPTDNLSDTIFHMLVPPKDAFAEKWEGFRSGVY GAQEIFNADESASISDLSKYLPKIINRNDFIYFDVLSTSNSSSSNFKHIKSFLDGSGN SNRSLNSIANKTIKPISKRIAEFRKIKSPQELRIMRRAGQISGRSFNQAFAKRFRNER TLDSFLHYKFISGGCDKDAYIPVVATGSNSLCIHYTRNDDVMFDDEMVLVDAAGSLGG YCADISRTWPNNGKFTAAQRDLYEAVLNVQRDCIKLCKANNNYSLHDIHEESITLMKQ ELKNLGIDKVSGWNVEKLYPHYIGHNLGLDVHDVSKVSRYEPLKPGQVITIEPGLYIP NDESFPSYFRNVGIRIEDDIAIGEDAYTNLTVEAVKEIDDLENVMQNGVSTKFKEDEM APL SPAR_E01560 MPDSSHSISSKDIASAISLYDQSIYTNNRSANLDLDQRSMSPSN IAYGEDRITRTNSGCSITSGASMIATKDGIQGSNVKRDGIPKYSLNLLNSMVRKQYDH NNGTKSPTSKTNNMVDPKNKKKDKKKKNDKDDEFKVAHNQSKKFYKLNTTSNSNLTSD STTSLSDQFYFQKSNADSAPLDNANYPHSDHSPSLNSMDNTTKHSSNVHT SPAR_E01570 MIRYTVAGSSRRSALGVSRRVGTIKYITTITTTPKRFISSKPNE VFTKLTNDNDPKRDAFFKYTWGSWLKNDKQQKEKRFTKFSIEGLNRILNDVYIQSNEM AKAPDGKILPPVFNKNLTVSLVNNVIPKNIGKINPNEKVQVTTLSSIHEGKHHRIYKV DTNLNKAFILRIPYPLENENALSYRIRSEVATMDFADLKLGIKVPKIFCYGVNSLNPI RQPFVLQQFIEGDLLMKDWDPLMEDGSSNQEKFDNVIKQVSDFQSKLISLKLNAFGSI YFNNDFKDGHDKEFVRENIYDGETNPDLQNRWKIGPSVERCLWRHKSHLDFQKQMEPL LGPWSKKSPMDIIKNTGLLEAENAKTRLAKKEAGSSPELVCSRTLEEQVTTYENLAKI APDLFNVKTKAIPNMQELLSPRLFHPDLDPMNIIVNKEAQEAYLLDFEGACAKPFILQ NSPQFIAYDGPKIYDLKEDITDYDKLSEAEKAQYQFMYKRTRNQHQWEKKLNDNNPQL ITAVAPPVKLLRSPYIAAVERKTEEEYLLIDESLLQLKEVWDIFAQNDLVNQKKFPLT YSKEDIERHVEDLQKLHEKLISTPFAATQGWIPQDMFDQLLKSGSIVKQENGDYTVKQ PEPTK SPAR_E01580 MTSIDINNLQNTFQQAMNMSGSAGAVCTSPTQSFMNTVPQRLNA VKQPKILKPFSTGDMKILLLENVNQTAITIFEEQGYQVEFYKSSLPEEELIEKIKDVH AIGIRSKTRLTSNVLQHAKNLVCIGCFCIGTNQVDLDYATSRGIAVFNSPFSNSRSVA ELVIAEIISLARQLGDRSIELHTGTWNKVAARCWEVRGKTLGIIGYGHIGSQLSVLAE AMGLHVLYYDIVTIMALGTARQVSTLDELLNKSDFVTLHVPATPETNKMISAPQFAAM KDGAYVINASRGTVVDIPSLIQAVKANKIAGAALDVYPHEPAKNGEGSFNDDLNSWTS ELVSLPNIILTPHIGGSTEEAQSSIGIEVATALSKYINEGNSVGSVNFPEVALKSLSY DQENTVRVLYIHQNVPGVLKTVNDILSNHNIEKQFSDSNGEIAYLMADISSVDQSDIK DIYEQLNQTSAKISIRLLY SPAR_E01590 MSHKKNGHRHQIKERENQNKFERSINTNNANNRSQTKDKKLRAG LKKIDEQYKKAVSSAAATDYLLPESNGYLEPENELEKTFKVQQSEIKSSVDVTTANKA LDLSLKEFGPYHINYAKNGTHLLITGRKGHVASMDWRKGQLRAELFLNETCHSAIYLQ NEQYFAVAQKKYTFIYDHEGTELHRLKQHIEARHLEFLPYHYLLATAGETGWLKYHDV STGQLISELRTKAGPTTAMAQNPWNAVMHLGHSNGTVSLWSPSMPEPLVKLLSARGPV NSVAIDRSGYYMATTGADRSMKIWDIRNFKQLHSIESLPTPGTNVSISDTGLLALSRG PHVTLWKDALKLSRDSKPCFGSMGGNPHRNTPYMSHLFAGNKVENLGFVPFEDLLGVG HQTGVTNLIVPGAGEANYDALELNPFETKKQRQEQEVRTLLNKLPADTITLDPNSIGS VDKRSSTIRLNAKDLAQATMEANNKAKTNSDIPDLKPEVKGKNSGLRSFLRKKTQNVI DERKLRVEKQLHKEKNVRKRNHQIRQGLISEDNKDVIEEALSRFT SPAR_E01600 MSELTEAEKRRLLRERRQKKFSNGGASSRLNKITGQASSHLNAE SPLDAPSAVKTTPAASAHSPTPDSREDSNVAPQLDLLKQLAAMQGQGAGTSTPQDPST PDLLSLLSSMNAGMPSAEGTPSLGQAVPVAPINQAALDYHDYLLNRLKAWTIVVKWVF FLLPYLYLITRPNSSVWPAYAFTQSSWFAPFRNPSNFTRVFATFEFLSISIYYQLLKN VEHKSKIKNLQDTNKLVKLVSLVPEGIIPIPNLKGKLITLLQYWDLLSMLITDISFVL IVLGLLTYL SPAR_E01610 MLNPNSRGSFSEFPTGSSANPRIKLKPSTLRAPPLTVSSAFSAS NSPSAPTTVADKAVTPTVSKQY SPAR_E01620 MNFKDPLVNFLKCVLNNINSAFSHRIDQLHMRLLRETNILKALN RGIERLFSEKPKNQSVSHLVVSEDTGCRIGRYFEGSKYELIEFKTKEIQMYYERVVFE ITQELKGDKQIFALIANLSQRSSTEKKEIRIVKVRGGRPCDENEFQVIPIRFKPINLE RRAGLIRGKKIIN SPAR_E01630 MSATLLKQPLCTFVRQSKHSKVSGLNLLRLKAQLHRQHLSPSLI KLHSELKLDELQTDNTPDYVRLVLRSSVYDVINESPISQGVGLSSRLNTNVILKREDL LPVFSFKLRGAYNMIAKLDDSQRNQGVIACSAGNHAQGVAFAAKHLKIPATIVMPVCT PSIKYQNVSRLGSQVVLYGNDFDEAKAECAKLAEERGLTNIPPFDHPYVIAGQGTVAM EILRQVRTANKIGAVFVPVGGGGLIAGIGAYLKRVAPHIKIIGVETYDAATLHNSLQR NQRTPLSTVGTFADGTSVRMIGEETFRVAQQVVDEVVLVNTDEICAAVKDIFEDTRSI VEPSGALSVAGMKKYISTVHPEIDHAKNTYVPILSGANMNFDRLRFVSERAVLGEGKE VFMLVTLPDVPGAFKKMQKIIHPRSVTEFSYRYNEHRHESSSEVPKAYIYTSFSVVDR EKEIKQVMQQLNALGFEAVDISDNELAKSHGRYLVGGASKVPNERIISFEFPERPGAL TRFLGGLSDSWNLTLFHYRNHGADIGKVLAGISVPPRENLTFQKFLEDLGYTYHDETD NTVYQKFLKY SPAR_E01640 MLKYRTLSRSCHIFHPKSLSNNTLKSETTQELLQTVGFVRRSQA GLFQWLPLGLRSLNKLANAIRNRMDNDGDAIEVSLSAISSKALWQATGRWNNSELFKF KDSKGKQYCLTATCEEDITDLMKNYITSYKDMPITVYQMTRKYRDEIRPRGGILRGRE FLMKDAYSFASNKEDAFASFQKLDDTYNKIFKDLKIPSVSAWADSGDIGGEFSKEFHL IHESGEDTLMSCKHCGDISTLDMSQSYPEKDGQYLGDVDCKYALTNDHSTLICFYYPK NRQLNWNLALNAMDKDIDLTLRNKPNEHVLEIYENDNEDIMFSKILRVMDCRLNSKSN FPDFPLKKYLKNNFGQINDVSIVDAQENEICGKCEEGSLQPLKSIEVGHIFLLGNKYS KPLNVKFVDKENKNETFVHMGCYGIGVSRLVGAVAELGRDENGFRWPAIMAPYKVSIC TGSNNEENSQRVKDIKSDLLNSSTMHLQNDILTQFNEKLGIGARIKLSHAIGIPLCVI VGSKNWPNVEIEVRGIRWEEKDLWRKQFEKRCKELEWKCAENEHGIEKHTVPIQHLTE VIDILLKDM SPAR_E01650 MSSPTPPGGQRTLQKRKQGSSQKVAASVQKKNTNSNNSILKIYS DEATGLRVDPLVVLFLAVGFIFSVVALHVISKVAGKLF SPAR_E01660 MSISTSLNSASIHLSTMDSHPQLHSLTRQPHSSSAAMSNDKAQE SSPSLPPSSSSSTSASASVSSKSSSKNPSSWDPQDDLLLRHLKEVRKMGWKDISQYFP NRTPNACQFRWRRLKSGNLKSNKTALIDINTYTGPIKITRSDDTTNAQQKPNKKVEEN VLTEDTAEFATTSSIPIPSRKPSLPSFHASMSFSQSPSNMTPTAVVSSAASPMPFVPP TLPAAHPHHSHQHLHHPHHRALKPRSNSHSFTNSLNQDPIVRPNDEEKYGFIPKVFVR SRRSSFAYPQQAAITTTPSSPNSSHVLLSSKSRRGSLANWSRRSSFNISSNNTSRRSS VILAPNSVSNIFNVNNSSSNTASTSNTNSRRESVIKKEFQQRLNHLGNSGASTPNSGV IFPNSYTFMDLPHSSSASSSSTLHKFKRGSFSGHTLKSSCNPSILWSKDEDALLMENK KRNLSVMELSILLPQRTEVEIQWRLSVLSSDADVLSPTHSPQRTLSKKTRPRMFKTGS ATDDDKGSGKDEDMCDGSNDDDEDNVDPLHRAKQSSNKTAFSSSSSNISSKDVSPDPI FSPDPADDSSNTSDAGSRCTIASETISSTATMNRTPNSKNPQDITLLNNFRSGAITPR PKPSSTTTSITTETTNNMTNHSSSTTTTTNNSPLPSINTIFKDML SPAR_E01670 MGQILSNPVIDKESHSGADSLTAFGLCAMQGWRMSMEDSHILEP NVLTKSDKDHIAFYGIFDGHGGAKVAEYCGNKIVEILQEQKSFREGNLPRALIDTFIN TDVKLLQDPVMKEDHSGCTATSILVSKSQNLLVCGNAGDSRTVLATDGNAKALSYDHK PTLASEKSRIVAADGFVEMDRVNGNLALSRAIGDFEFKSNTKLGPEEQIVTCVPDILE HSLDYNRDEFVILACDGIWDCLTSQDCVDLVHLGLREGMTLNEISSRIIDVCCAPTTE GTGIGCDNMSIVVVALLKEGEEVAQWSDRMKSKAHQTSVRSFADKRRRVFSYYDFSKC NDEQVFAATTKKPQDKFTRDHEAAVASVTAADNDDPMDIDDTDADTDAENLDPSSQAK SKTSGPIDLASLEALLGATGGVKTDSNGNKVTYTLPQSALAQLLQTMAHDPTSSHPEN DGNSGHKAGRSQLQ SPAR_E01680 MTASIKVQPDIDSLKQLQQQNDDSSINMYPVYAYLPSLDLTPHV AYLKLAQLNNPERKESFLLESAKTNNELDRYSFIGISPRKIIKTGPTEGIETDPLEIL EKEISTFKVAENVPGLPKLSGGAIGYISYDCVRYFEPKTRRPLKDVLKLPEAYLMLCD TIIAFDNVFQRFQIIHNINTNETSLEEGYEAAAQIITDIVLKLTDDSSPIPYPEQPAI KLNQTFQSNVGKEGYENHVSTLKKHIKKGDIIQAVPSQRVARPTSLHPFNIYRHLRTV NPSPYLFYIDCLDFQIIGASPELLCKSDSKNRVITHPIAGTVKRGATTEEDDALADQL RGSLKDRAEHVMLVDLARNDINRICDPLTTSVDKLLTIQKFSHVQHLVSQVSGTLRPE KTRFDAFRSIFPAGTVSGAPKVRAMELIAELEGERRGVYAGAVGHWSYDGKTMDNCIA LRTMVYKDGIAYLQAGGGIVYDSDEYDEYIETMNKMMANHSTIVQAEELWADIVGSA SPAR_E01690 MVQSAVLGFPRIGPNRELKKATEGYWNGKITVDELFKVGKDLRT QNWKLQKEAGVDIIPSNDFSFYDQVLDLSLLFNVIPDRYTKYDLSPIDTLFAMGRGLQ RKATETEKAVDVTALEMVKWFDSNYHYVRPTFSKTTQFKLNGQKPVDEFLEAKELGVQ TRPVLLGPVSYLFLGKADKDSLDLEPLFLLEQLLPLYAEVLSKLAAAGATEVQIDEPV LVLDLPANAQAAIKKAYTYFGKQSNLPKITLATYFGTVVPNLDAIKGLPVAALHVDFV RAPEQFDEVIAAIGDKQTLSVGIVDGRNIWKNDFKKSSAIVNKAIEKLGADRVVVATS SSLLHTPVDLNNETKLDAEIKGFFSFATQKLDEVVVITKNVSGQDVAAALEANAKSVE SRGKSKFIHDSAVKARVASIDEKMSTRSAPFEQRLPEQQKVFNLPLFPTTTIGSFPQT KDIRINRNKFNKGTISAEEYEKFINSEIEKVIRFQEEIGLDVLVHGEPERNDMVQYFG EQINGYAFTVNGWVQSYGSRYVRPPIIVGDLSRPKAMSVKESVYAQSITSKPVKGMLT GPITCLRWSFPRDDVDQKTQAMQLALALRDEVNDLEAAGIKVIQVDEPALREGLPLRE GAERSAYYTWAAEAFRVATSGVANKTQIHSHFCYSDLDPNHIKALDADVVSIEFSKKD DANYIAEFKNYPNHIGLGLFDIHSPRIPSKDEFIAKISTILKSYPAEKFWVNPDCGLK TRGWEETRLSLTHMVEAAKYFREQYKN SPAR_E01700 MWAGKGKKLETGLALNSHRSHSSSFALSPGRKTVVVIARSAQLR TVNVNMEETAIDAVI SPAR_E01710 MPSKDPESVIDKEIRKISARNDELIKQDGTLKREYTTLLRKVSS VITVLNSIDADSGSTDTKVPRLISQATVEKVSELKWYNDQISSIAEKLENKEDIEIPE ELTDAFALYKETPLLYNDTHMP SPAR_E01720 MSIPHSTKQSSPLSSRHRSVTNTTPLLTPRHSRDNSNTQTSSAK NITSSSPSTIMNESSKRNKQNLVLSTSFISTKKLENSAPSPTSPLLARRTRSTMTKTL LNLKSEINNQYQELARLRKKKDDIEHLRDSTISDIYSGSYSTNHLQKHSMRIRANTQL REIDNSIKRVERHIFDLKQQFDKKRQRSLTASSSIKADVGSIRNDDRQNNDSEELDDH NSLTDQVTLDDDYLTTPTSGTERNSQQNLNRNSTVNSRNNENTSTLSTPDLDNSNKVQ LTDDTEKDHGVLENENQIFTSTTTEAATWLVSDYMQSFQEKNVNPDFIAQKANGLVTL LKEHSEIRKDLVLTSFMSSIQNLLLNGSKLIAASAYRVCRYLINSSSFIDELLELRLD AFIIISLAKDNSYQIEREQALKIVRRFIEYSNGVTQGVMQAIISCVEKPEDSLRHMAL ETLLELCFVAPKMVKECRGMRVIEGFLQDYTSFPLASVILDTILQLMATNKTRQYFLE DFNVSVLTTVFSDTNTKSTVNVEKMQNASTLISITLNSYNGFMLFSNNNFKPLKQLVS FFQIPICAQYLIDIFLDVLKIKPLPYKPRGRHSHSFKPIPSQYYKECMSVNQRLALIV LILENSEFVPHLLQLLSEEDRDDHLVAKGRYLLTEYFNLRMNLVDNKYTSVSKPISKE NFTYVNETFQFKKIAYRMNRNRNTIGMSGIDYAQNIKSFSKNIKENTLLREVDDFRFR RMVYDSKVLQTKDFTRWNWNIINELLEGPLLNKKQLEELVKSTKFIRRLLVFYRPLRL RFSNVNKGAKLSQKYVQVGCQFFKTLTATPEGMKILMDDTKIIPQLASLMFRAMEGNI SGNIFNKNKLREKIIFGYFKFIGILTQSKNGVHILTRWNFFTVIYKMFQFESKLGLEF LLLTIPELDLKYSSHCRVIIGKALVVANEKVRIEATKHIGDKLKELLSTKESDLKLKA NKTKLQQFKMEMLTRQLYDLSPSVVAVADQALYECIVAGNGSEELGTSLRMFLNQMVF IRSPILFELLSRPYGFQLLNEINFVKEERDSWLSKKNIEYVHIVEEFLKKNESINAKS LTFQQKGRLPLHFYESLTKTEDGILLLSQTGDLVTFMNVIKRYVNGNKMATVENAQEI LDLKAALWCVGFIGSTELGIGLLDNYSLVEDIIEVAYNTSVTSVRFTAFYVLGLISMT REGCEILDEMGWNCCVSVQDEPIGIALPSRLDRFLSYNEHKWSAFGEYTDEMIVFNKS DGDLIEKSIPIEFNLDKLLKEKDTAENPLNERIIVSKYDNDITSQSITISDENSSLFA SEGLSSPYATQYRNDDDSIESKVLNIVSQLGNHILSNHAVKEITDINNKYGPRLFENE KMFFKVFNMMSKYRFKPHVRKFLCGLFINNRALENVIRHDNKRDKRPANFTR SPAR_E01730 MNGTMAEEKKEYKKRRVLQMAKFYGAAAFTLITMRLISRAIKVR KYVPTMFQQNYKLPPFSQRNEAMSALTFASAASLGTFSTLIFGFCWALDISTAREFVF KTRELMDLPQTLDTDTSMDEETAKLTRQLQDLLSSENNK SPAR_E01740 MSDPSSINGGIVVAMTGKDCVAIACDLRLGSQSLGVSNKFEKIF HYGHVFLGITGLATDVTTLNEMFRYKTNLYKLKEERAIEPETFTQLVSSSLYERRFGP YFVGPVVAGINSKSGKPFIAGFDLIGCIDEAKDFIVSGTASDQLFGMCESLYEPNLEP EDLFETISQALLNAADRDALSGWGAVVYIIKKDEVVKRYLKMRQD SPAR_E01750 MSQVQEQHISESQLQYGNGSLMSTVPADLSQSVVDGNGNGGSDD IAATNGSGDGGGLQEQVLAQGEMEDEAYDEAALGSFVPIEKLQVNGITMADVKKLRES GLHTAEAVAYAPRKDLLEIKGISEAKADKLLNEAARLVPMGFVTAADFHMRRSELICL TTGSKNLDTLLGGGVETGSITELFGEFRTGKSQLCHTLAVTCQIPLDIGGGEGKCLYI DTEGTFRPVRLVSIAQRFGLDPDDALNNVAYARAYNADHQLRLLDAAAQMMSESRFSL IVVDSVMALYRTDFSGRGELSARQMHLAKFMRALQRLADQFGVAVVVTNQVVAQVDGG MAFNPDPKKPIGGNIMAHSSTTRLGFKKGKGCQRLCKVVDSPCLPEAECVFAIYEDGV GDPREEDE SPAR_E01760 MSMAICSNTPGAYPEIGLCNEVDKQLKSGGFSSDSSLILNKPEV HQHWSSASSHISRSSDVYTNGKEEISSVVGEDTTDTDPFPSLVEKYNSFPTRKSLSEQ NEFDDDVDDDVSSSPEQKSQGSREIEIPSEISSEISSEISSEILNSTSPDGTSEFHDF AEPPPSQNQSVALSFSQSNDLDFLNNPSGSGSSNDINRSSSSLSLPKHVSLDFNVYNS LCCTNEAIASESHNVAKFHLGKENKKSLLPRWKTIEMYGEAVKKTQDIYSNFQYAQYI LKVGLDTEKLHELVKEREDGNDSFTIDFLKESLVNDAKVILKRLSAIGYPDAQYLLGD AYSSGVFGKIKNRRAFLLFSAAAKRLHIESVYRTAICYECGLGVTRNAPKAVNFLTFA ATKNHPAAMYKLGVYSYHGLMGLPDDILTKMDGYRWLRRATSMASSLVCGAPFELANI YMTGYKDLIISDPDYAMELYKKAAVLGHAESARILEEARRNGEFVPQEHPGSAQKYRK TSDEAVAARKLI SPAR_E01770 MIKRWLSVNKKKSHSEKSTQSNGEISRVAISLKKTRGSRDPSIA KSSSTKSSTSSLPSNPASHERKSKLSSQSDNLTGNKHYHDYYRNTENSADEREYNSST TYEDRALDTESSILFTTMTDLMPYGDGSNKVFGYENFGNTCYCNSVLQCLYNISEFRC NVLRYPERIAAENRTRKSDLKGSKVRLFTNESFETAANGGNSNTGYQSNDNEDAHNHH HLQQSDQDNSSSSTQEKQNNFERKRNSFMGFGKAKSNYKDSTKKDDNNEIEQPQPIHT VVMASDTLTEKLHEGCKKIIVGRSLSKQSDFLSKASTTDCPANSHCQCDLQSGRNASA GDDNLVNPESCNDTVNGSNYSKGNTFPTSEQRKKAALVRGPVLNVDHLLYPTEEATLY NGLKDIFESITENLSLTGIVSPTEFVKILKKENVLFNTMMQQDAHEFLNFLLNDFSEY IQRNNPNVKFGPQKDRNNVSDNFITDLFKGTLTNRIKCLTCDNITSRDEPFLDFPIEV QGDEETDIQKMLKSYHQREMLNGVNKFYCNKCYGLQEAERIVGLKQLPHILSLHLKRF KYSEEQKSNIKLFNKILYPLTLDVSSTFDTSVSKKYELSGVVIHMGSGPQHGHYVCIC KNEKFGWLLYDDETVESIREETVLQFTGHPSDQTTAYVLFYKETQVNEVHNQNEDINT TNQDQTQTDNNIEQLIKCDDWLRNRTLKAAANIERKKALRNIPEIKTAEIKTPVNDKK HNKQKRKSRILSFIK SPAR_E01780 MSTNSIKLLAGNSHPGLAELISQRLGIPLSKVGVYQYSNKETSV TIGESIRDEDVYIIQTGYGEHEINDFLMELLILIHACKTASVRRITAVIPNFPYARQD KKDKSRAPITAKLIANLLETAGCDHVITMDLHASQIQGFFHIPVDNLYGEPSVLNYIR TRTDFNNAILVSPDAGGAKRVASLADKLDMNFALIHKERQKANEVSRMLLVGDVGGKS CLLIDDMADTCGTLVKACDTLMDHGAKEVIAIVTHGIFSGSAREKLIKSRLSRIVCTN TVPVDLDLDIVHQVDISPTIAEAIRRLHNGESVSYLFTHAPV SPAR_E01790 MATKQAHKRLTKEYKLMVENPPPYILARPNEDNILEWHYVITGP ADTPYKGGQYHGTLTFPSDYPYKPPAIRMITPNGRFKPNTRLCLSMSDYHPDTWNPGW SVSTILNGLLSFMTSDEATTGSITTSDHQKKTLARNSLSYNTFQNVRFKLIFPEIVEE NVETLEKRKLVEGDAANTGDETEDAFTKAAKEKVISLEEILDPEDRIRAEQALRQSEI NSKKNGKEPNGSSSMVYIGIAIFLFLVGLFMK SPAR_E01800 MAEKILENKDPKLEALTVDHEVPAPKPIPMDEPTLSRVARPLRH VRHIPVKALVFHSKHGPITFSYENKIKLPISKNKLVVQVNYAGLNPVDMKIKNGYGKP IYGEAGIGREYSGVITHVGDNLTNKWKVGDNVYGIYYHPRLAIGALQSSLLIDPRVEP ILMRPKHTLSPEKAAGSLFCLGTAFNLLAQLKEKGQLDTESNVLINGGTSSVGMFVIQ LLKRYYKVSKKLVVVTSGNGSTVLSEHFPDLKDEMIFINYLSCRGKSSKPLRHMLETG KVVDYDDFDILKEAEDYTQGKFNAVLDFIGGYDILSHSSYLIHAKGAYITTVGDYVGN YKKDVFDSWDNPSANARKMFGSMLWSYDYSHFYFDPNIKIISKNNDWIHECGKLLNEG VVDCIVDKVYSWENLKEAFSYMATQRAQGKLIMKVEGF SPAR_E01810 MGISRDSRHKRSATGAKRAQFRKKRKFELGRQPANTKIGAKRIH SVRTRGGNKKYRALRIETGNFSWASEGISKKTRIAGVVYHPSNNELVRTNTLTKAAIV QIDATPFRQWFEAHYGQTLGKKKNAKEEETIAKSKNAERKWAARAASAKIESSVESQF SAGRLYACISSRPGQSGRCDGYILEGEELAFYLRRLTAKK SPAR_E01820 MSKAVGIDLGTTYSCVAHFANDRVEIIANDQGNRTTPSYVAFTD TERLIGDAAKNQAAMNPHNTVFDAKRLIGRKFDDPEVTNDAKHYPFKVVNKGGKPVVQ VEYKGETKTFTPEEISSMILTKMKETAENFLGTEVKDAVVTVPAYFNDSQRQATKDAG TIAGLNVLRIINEPTAAAIAYGLDKKSQKEHNVLIFDLGGGTFDVSLLSIDEGVFEVK ATAGDTHLGGEDFDSRLVNFLAEEFKRKNKKDLTTNQRSLRRLRTAAERAKRTLSSSA QTSVEIDSLFEGIDFYTSITRARFEELCADLFRSTLEPVEKVLADSKLDKSQIDEIVL VGGSTRIPKVQKLVSDFFNGKEPNRSINPDEAVAYGAAVQAAILTGDQSSTTQDLLLL DVAPLSLGIETAGGIMTKLIPRNSTIPTKKSEVFSTYADNQPGVLIQVFEGERTRTKD NNLLGKFELSGIPPAPRGVPQIEVTFDIDANGILNVSAVEKGTGKSSKITITNDKGRL SKEDIDKMVAEAEKFKAEDEEEAQRVQAKNQLESYAFTLKNSVNENNFKEKVGEEDAK KLEAAAQDAINWLDASQAASTVEYKERQKELEGIANPIMSKLYGAAGSAPGAGPVPGP GAAPTGAPDSGPTVEEVD SPAR_E01830 MYGNHNLNSDDSCFNWNEEKAAEPYRPNVSFDRSLTPQSLRTST HRLSEEKKQQNGVIHIDDSPSVVSDVISNRRDRSQDFFGPHSSSPIAPSERQRADQRS RLESMRSTKRRDKMTKIRGGLEKMEEMIMQGEHLREMRRLRHEAQKNTVPSDMAEYME WQNNEDLEDDELLDFVEKQETYKKELEQLLNNANKNVHENNSFPNSCT SPAR_E01840 MYSTPLKKRVDYDRETFTVPASLGRDRLQNQLRDDQNKGKLNLS SISFPSKKPTRKDVLDKYSEAGNTIEPEFHDVTTHVKVSGLTSSEPLQLASEFVQDLN FRDRNTPILDNSAYYRKGVDYNFSDEVGGLGAFTPFQRQQVTNIPDEVLLEVSNTEIK SDMGMFLELNYCWITSDNKLILWNINNSSEFHCINEIEHTILKVKLVKPRPNTFVSSV ENLLIVATLFDIYILTISFDDHTHELNIFNTGLKVNVTGFNVSNIISYERTGQVFFTG STDSVNVWELQYNCSENLFNSKCNKICLTKSSFANLLPTKLIPSMPGGKLIQKVLEGD SGAEEETISQLDIDQSRGVLHTLSTKSIVRSYLITSNGLEGPVLIDIPHIRRSISALG IKDSPLLSSRAFKIARIVSISKRENNDLFLAVITTTGVRLYFKGFTSRRSIGSLKLDF LKFPPTSISNSLEKRKFSIVNQKHLYTQDICPLSAQKASSTHINTTCASTIISPGIYF TSVKKRNNSGESSNSIARRNQLENKEEHKLYVSVPDYGILKNYGKYVENTTLLDTTDE VKEIVPLTRCFNYTSTPQGYANFFASQYNVEPLKVAVLTSNALEIYCYRTPDEVFESL IENPLPFIRSYGLSEACSTALYLACKFNKSEHIKASALAFFSAGIPGIVEIKPKNSRD SSSVPPIAQKILDKSGEGDNIVLSPRFYGSALLITRLFCQIWEEKVFVFRRASKAEKS NIFGISITRPQVEYYLSSISVLADFFNIHRPSFVSFVSPKDSNTITASDAESIAMNAL ILLINSIKDALSLLNVFYEDIDAFKSLLNTLMGEGGVYDSKTREYFFSLHFHDLFTPN TETKQLIKEILIEVVNANIASGAPADYIMNVLKERFGSFCHGADILCYRAGEHLEAAQ KFEIIDSKISRNHLDTAIDLYERCADNIELNELRRIVDIMVKLNYQPRTVEFLLRVAD KIDKANQAQEYVSEGSKIADPRKDFYDKRINVYTLIFDIVKSVDDNTPAEQSPSIANI SISSPALSLKERVYSVMMNSSNRFFHYCFYDWLVANKRQDDLLQLHSQFVLPYLKEKA EKSLEICNLLWFYLSKEEHFLEAADVLYALASADFDLKLSERIECLARANGFCDSSTS FDRKPALVQLSENIHELFDIAAIQDDLLSLVEGETRIDEHYRKQLILKLNGKVLPLSD LFNDCADPLDYYEIKLRIFKVSQFKDEKVIQGEWDRLIDSIKNTPLPDGKSAGQESFL SSISNTLSRIGKITHDTDVIFPVQFLMNKILESFPNKSPAADGSICSIFLLAGVSHLK LYYTLNHIIKNSEGNVELARKEMVWLIKDWYQNNPELRGSITPEQIEKLEKYDPDTDL VQDYVKDRHHGMK SPAR_E01850 MRDKSCRKRTIPDEDASYFKFPNKLQKYSRFLSRKISSTSPKKQ PKNNNTESVLSVVPSSHREDLTKLKRNVSNAVANKHTQKSQENVIKEDTAKCLTRSNL KKLQEKIFDKELNDIVCDHCLCSTENRKDIKYSRLWFLFELEMSENWNENLRLSCYNK YVYSAIDKTWIMENILFKEQEKNYEYFPIGQLLIPNNIEYSNKPKRQEKIEDLTIEID SIIEASHQKERFLPQSVLIKREKEIAFNDFQLDARKILNDLSATSENPFNSSPSTKKI ESEGKTLEMVPKEKKNKKIIGALERKLHIDQNY SPAR_E01860 MSFFNRSNTTSTLGTSTAMANEKDLANDIVINSPAEDSISDIAF SPQQDLMFSVSSWDGKVRIWDVQNGVPQGRAQHESSSPVLCTRWSNDGTKVASGGCDN ALKLYDVASGQSQQIGMHSAPIKVLRFVQCGPSNTECIVTGSWDKTIKYWDMRQPQPV STVMMPERVYSMDSRQSLLVVATAERHIAIINLANPTTIFKATTSPLKWQTRCVACYN EADGYAIGSVEGRCSIRYIDDSMQKKSGFSFKCHRQTNPNRAPGSNGQSLVYPVNSIA FHPLYGTFVTAGGDGTFNFWDKNQRHRLKGYPTLQASIPVCNFNRNGSVFAYALSYDW HQGHMGNRPDYPNVIRLHATSDEEVKEKKKR SPAR_E01870 MSYKVSNSYPDSIPPADQPYIASQYKQDLQSNIAMATNNEQQRQ QQPQQQQQWINHPTVENSDLKEKMNCKNTLNEYIFDFLTKSSLKNTAAAFAQDAQLDR DIGHEPVDGPNPKENNGKQSTLSKVVDTPQGFLYEWWQIFWDIFNTSSSRGGSEFAQQ YYQLVLQEQRQEQIYRSLAVHAARLQHDAERRGEYINEDIDPMHLAAMMLGNPMPPAV QMRNVNMNAIPIPIVGNPIVNNFSIPPYNNANPTAGATTVAATAPPSGDFTNVAPTQN RSQNVTGWPVYNYPMQPTTENSMVNPCNNNTTNNIANNKSPVNQPKSLKTMHSTDRPN NVPTSKSTRSRSATSKAKSKAKAGPVAKRRRKNNTATVSAGSTNAGSPNITTPGSTTS EPAMVGSRVNKTPRSDIATNFRNQAIIFGEEDIYSNSKSSPSLDAASPSISVTKQPTK VRKNIKKASTSAFPVESSNKLNSNSGVTGRKRSPPNTRVSRRKSTPSVILTADATKDE NDMLRTFSNTTTPIVHSAPPTKTTNPLPFSGINLGSFNKPAVSSPLSSVTESCFDPES AKVATKSGPKRAVNSKVSASSPLSIATPPSGDAQKQRSSKAAGNVVIKPPHGFSTTNL NITLKSSKIIPSQNTTVPQELPTGGNPLEAQVGNDSRNNKGNRDALSTPEEKKLNNTN QAYDFEALKNSSSLLFPNQSCVPTNRTPNGNSNVANETYASTNNGDNQKASVQPSSTV GTTLGPQQTGTNGHQNQQSQNMKFGNIGMVEDQGPDYDLNLLDTNENDFNFINWEG SPAR_E01880 MDQQFLSQLEQTLHAITSGVGLKEATKSLQTQFYTQPTTLPALI HILQNGSDDSLKQLAGVEARKLVSKHWNAIDESTRASIKTSLLQTAFSEPKENVRHSN ARVIASIGTEELDGNKWPDLVPSLIQAASGEDVQTRQTAIFILFSLLEDFTSSLSGYI DDFLTLFSQTINDPASLEIRSLSAQALNHVSALIEEQETINPVQAQKFAASIPSVVNV LDAVIKADDTLNAKLIFNCLNDFLLLDSQLTGNFIIDLIKLSLQIAINTEIDEDVRVF ALQFIISSLSYRKSKVSQSKLGPEITVAALKVACEEIDVDDELNNEDETGENEENTPS SSAIRLLAFASSELPPSQVASVIVEHIPAMLQSANVFERRAILLAISVAVTGSPDYIL SQFDKIIPATINGLKDTEPIVKLAALKCIHQLTTDLQDEVAKFHEEYLPLIIDIIDSA KNIVIYNYATVALDGLLEFIAYDAIAKYLDPLMNKLFYMLESNESSKLRCAVVSAIGS AAFAAGSAFIPYFKTSVHYLEKFIQNCSQIEGMSEDDIELRANTFENISTMARAVRSD AFAEFAEPLVNSAYEAIKTDSARLRESGYAFIANLAKVYGENFAPFLKTILPEIFKTL ELDEYQFNFDGDAEDLAAFADSANEEELQNKFTVNTGISYEKEVASAALSELALGTKE HFLPYVEQSLKVLNEQVDESYGLRETALNTIWNVVKSVLLASKIEPESYPKGIPASSY VNADVLAVIQAARETSMGNLSDEFETSMVITVMEDFANMIKQFGAIIIMDSGDSSMLE ALCLQVLSVLKGTHTCQTIDIEEDVPRDEELDASETEATLQDVALEVLVSLSQALAGD FAKVFDNFRPVVFGLFQSKSKNKRSSAVGAASELALGMKEQNPFVHEMLEALVIRLTS DKSLEVRGNAAYGVGLLCEYASMDISAIYEPVLKALYELLSAADQKALAAEDDEATRE IIDRAYANASGCVARMALKNSALVPLEQTVPALLAHLPLHTGFEEYNPIFELIMKLYQ ENSPVVTNETPRIIEIFSAVFTKENDRIKLEKESTLGREENMERLKQFQTEEMKHKVI ELLKYLNTTYNGIVAQNPVLAAVIA SPAR_E01890 MPFDVLISNQKDNASHQNITPISKSVLLAPHSNHPVIEIATYSE TDVYECYIRGFETKIVMRRTKDDWINITQVFKIAQFSKTKRTKILEKESNDMQHEKVQ GGYGRFQGTWIPLDSAKFLVNKYEIIDPVVNSILTFQFDPNNPPPKRSKNSILRKTSP GTKITSPSSYNKTPRKKNSNSSTSTTTTAANKKGKKNASINQPNPSPLQNLVFQTPQQ FQVNSSINIMNNNDNHTTMNFNNDTRHNLINNISNNNSSQSTIIQQQKSIHENSFSNN YSATQKPLQFFPIPTNLQNKNITQNNTNNNESNSYSHNIDNVINSNSNNNNNLIIVPD GPMQSQQHHEYMTNNFNHSMMDSTTNGNSKKRRKKLNQSNEQQFYNQQEKIQRHFKLM KQPLLWQSFQNTNDHHNEYCDSNGSNNNNNTVASNGSSIEVFSSNENDNSMNMSSRSM TPFSAGNNSSQNKLGNKMTDQEYKQTILTILSSERSSDVDQALLATLYPAPKNFNINF EIDDQGHTPLHWATAMANIPLIKMLITLNANALQCNKLGFNCITKSIFYNNCYKENAF DEIISILKICLITPDVNGRLPFHYLIELSVNKSKNPMIIKSYMDSIILSLGQQDYNLL KICLNYQDNIGNTPLHLSALNLNFEVYNRLVYLGASTDILNLDNESPASIMNKFNTPA DGSNINETKTDQKLLRNIPKKHRYQQQQQQRQSNVKIPKIIKTQHHDKEDSTPDINLA KPDSEVNESQYLHSNQPNSTNMNTIMDDLSTINSFVTSSVIKDIKSTPSKILENSPIL YRRRSQSIPDDKEKEKDHENQGEKKRDPLTSVKPTMPLLESPSTLLPIQTSPLRKYSK PLSQQIDTLNTKVSSLQKLMGEEIKNLDNEIIETESSISNTKKRSITIAHQIENAFDS VSNKTPINSISDLQSRIKETSSKLNSEKQNFIQSLEKSQALKLATIVQDEESKVDIDP SSRLHPGKHEDVEPTATLKSETSSPKSAKADTILSTDAQDSYDINETLRLATELTILQ FKRRMTALKISEARSKINSSVKLDKYRNLIGITIENIDSKLDDIEKDLRANA SPAR_E01900 MLPLYLLTNAKGQQMQIELKNGEIIQGTLTNVDNWMNLTLSNVT EYSEESAINPENNADSSKAVKLNEIYVRGTFIKFIKLQDNIIDKVKQQINSNNNSNSN GPGHKRYYNNRDSNNNRGNYNRRSNNNNSNSNRRPYSQNRQYNNNSSNSNSNNQNMNN GLGGSVQHHFNNSSPQKVEF SPAR_E01910 MRVRPKRSVIALMAVVIVVLIFRNQFYSSRTRGHEQEPVVSSSQ NNLYDGWITPNFYREGDPLELIVNKVESDLTQLPYAYYDLPFTCPPTMHKKPLHLSLN EIIRGDRKWESDYKLTFGEDNPCETLCARKTTKEGMQTLDKLIREGYVVQWLIDDELP AATTFISTTDHKKYYASGFPLGFMDPDTGKTYLHNHVMLVIRFHASNNDKNTVVGFEV YPRSVSDYHCPGASKNYEQYEIVIPEDENELTYLPFTYSVYWREEFEVDWNHRWDYFL NAGELSDEQSIQFHWMSLANSVGIVLSISFITLIIYVRVMYTDKNNSESTKYTINIEG IEAEDGLDDDKYGKYSVYMVTKDWIQNGRPDLFGLKVLIVLVSFGVQFLFTIIGSLTI SCSMNKLHNVRNSVLTMAILCFVLGAFMASFVGTRLSIVTKTKRINANYLDDNRYSKN RKKFSPIFAILCGSSLPGIVMVSTFLLNSVVWAHDSTNALPFKTIVFFLSIYFIVCIP LSLFGGIVANNIPLPQYWLSGITKDESDSNSNRLFVPKSRTKFNPLIYCGIYLCGIFP LLVIYVEMQYVYKSLWLEKTTFYYFYGFLFLSIILLCVLTMEISIIGSYLLIRFCFED KVVRNNWRWKCFEMGFSGGVYMELYSLYYIFAVLNIHGFSSILISICYSLLFNVMCGL GLGALSYLTASWFINKIYHLKVNM SPAR_E01920 MSNGREMPTLSQLNTAVSRDKDVSDTLSPDYDSKGSASGREGGN FPMYIAINEYFKRMEDELDMKPGDKIKVITDDEEYKDGWYFGRNLRTNEEGLYPVVFT QKITVEKAPPLMRAKSTKRIYSPLANEDPLLSSTFINENDSNSELPTPQPIETAASIS RNANVKLGRNLSLKNTMSDIDNALRELEDDSIGPPDRFINSSRDEEHSITHETILSAT DGLDVVESNGNPTTGSSTELLNGGLEKQAALINGIDTTKLNPVEAEFWSPEEITAYFI MEGYDVQSASRFQKHKISGKILLELELVHLKELDINSFGTRFEIFKEIEKIKEAIRTN GRSLNRASRTNNANAYNQLMPPANVDQRASYRGHIRKTSQSLEDLPSQQNFTPTSNNT RNSSASKHRPKSLVFDSQEANANITPDVQIPQVVEEMAGSEHLFVSPRRAPKPPSYPS PAQPPKSPLLSNSRTSPSPTQLYSWQSPTLSYPGSKKPSYIDQYSSSNSNSNSRSALF KNNQGSGKALSPIPSPTRNSVTNVANDSKDNQATSTNRNSVVYCEYAPESPSNRKSSY SSNEEEQFQETMNTFERPTSSIYADGSTVASMNNDKSARDEEGKKKPTRHSSLLSSKS KSDSRRNSSVKRSSSASRTSSFRKSSFMLSPFRQQFTDNAPRTSPSPEENAIASTPSE KNSSPLIDKKSSKKSRSKRRSVSAKEAENFTEVVKDDKNKRSASEAVRSEALKGKSLR QMTARPVAKKKQTSAFIEGLRSISVKEAMKDADFSGWMSKKGSGAMSTWKTRFFTLHG TRLSYFSSTTDTRERGLIDITAHRVVPAKEDDKLVSLYAASTGKGRYCFKLLPPQPGS KKGLTFTQPRTHYFAVDNKEEMRGWMAALIKTTIDIDTSVPIISSYTTPTVSLSKAQE MLAEAREETKLREQQMLENEEDEDQFLWDQQQLQQQQHDNNQGQADRTISASTQRTSD EDNTISTPNLSSATNTTLGSNGFSSPFLLASGLLSPGVARNNSVRGPEKKGKFLTEDD YFGDSLKHKADKP SPAR_E01930 MAVSNIWQSYSSSNIHWIYPLYSNNSLQNVKSSFTAEILLKRKC NDIQDMLDDRMMELLLQGSCDSNRQQSYLQEISPSRKKKTHVKKFLKKQKKSRKPITL EHGCLSGPVTLRFGNFAGIRDLRGTRCLLHGIKHGVHPKPGERCACQQATLFPSPLTR FSCDQSVVLNRAAPSTRLYDSIADEFSSLYF SPAR_E01940 MIRRPDNQSPEDENLRIKRVRIESVERNNEEEENCIPRIQNTVI DNHHNSPEAVVEIVGERTLENASEEEEDLSLFRALEDGSGSGHNTTNNDFRNNDRGTA SNEGLEADSSSNAALTNHDEEPHTVELLSQTANTSSASPTLEAAPPATNPGTNSKEQT VDLTTDAIDLDAEEQQVLQISDDDFQEETKEVPKEYGAAKDYRCPICFEPPETALMTL CGHVFCCPCLFQMVNSSRTCRQFGHCALCRSKVYLKDVRLIILRKKQVKKKVKS SPAR_E01950 MSGNGAQGTKFRISLGLPVGAIMNCADNSGARNLYIIAVKGSGS RLNRLPAASLGDMVMATVKKGKPELRKKVMPAIVVRQAKSWRRRDGVFLYFEDNAGVI ANPKGEMKGSAITGPVGKECADLWPRVASNSGVVV SPAR_E01960 MSLSSKIRPTPRKTSRMATDHSFKVKNFYADPFAISSISLAIVS WVIAIGGSISSASTNESFPRFTWWGIVYQFLIICSLMLFYCFDLVDHYRIFITTSIAV AFVYNTNSATNLVYADGPKKAAASAGVILLSIINLIWILYYGGDNASPTNRWIDSFSI KGIRPSPLENSLHRARRRGNRNTTPYQNNFYNDAMRDSVYATQFDGYPQQQPSHSNYV SSTALAGFENTQPNTSDAVNLHLNTLQQRINSASNAKDTINNNNNQTNTNMGNTFDTD FSNGNTETTMGDTLGLYSDIGDDNFVYKAKALYPYDADDDDAYEISFEQNEILQVSDI EGRWWKARRANGETGIIPSNYVQLIDGPEEIHR SPAR_E01970 MVASIRSGVLTLLHTACGAGILAMPYAFKPFGLVPGVIMIVVCG ACAMQSLFIQARVAKYVPQGRASFSALTRLINPNLSIVFDLAIAIKCFGVGVSYMIVV GDLMPQIMSVWTRNAWLLSRNVQISLIMLFFVAPLSFLKKLNSLRYASMIGISSVAYL CVLVLLHYVAPSEEILHLKGRISYLLPPQTHDLNVLNTLPIFVFAYTCHHNMFSIINE QRSSRFEHVMKIPLIAISLALILYIAIGCAGYLTFGDNIIGNIIMLYPQAVSSTIGRI AIVLLVMLAFPLQCHPARASIHQILQHFAEENVTTSTTSMAGLTVATESSPLIRDDCL DANEVIEEESIYQPKETPLKGKSFIVITCSILVASYLVAISVSSLARVLAIVGATGST SISFILPGLFGYKLIGTEHNTAVPLTTKLFKYTGLALFIWGLVIMVTCLTAALKLN SPAR_E01980 MSAVEISPDVLVYKSPLTEQSTEYASISNNSDQTIAFKVKTTAP KFYCVRPNAAVVAPGETIQVQVIFLGLTEEPAGDFKCRDKFLVITLPSPYDLNGKAVA DVWSDLEAEFKQQAISKKIKVKYLISPDVRPPQNQNIQDNKETVEPVAQDSEPKEEPF VVNEKEVPAEPEAQPPVQIKKEEVPPVVQETVSNENEKQASNSTPAPKNQIKETATVP AENESSSMGIFILVALLILVLGWFYR SPAR_E01990 MESSRLYYKNPKPAEEKSEGIQQNVHYHTFAVSDGLNASVSNEY GNQVMDLFWNTSISSHYADNEADKSTDSKSNLLSSIRQRVYSTKEPDTISSAFPTASY THENFDFRNLKLK SPAR_E02000 MSNDEGETFATEQTTQQVFQKLGSNMENRVCFDCGNKNPTWTSV PFGVMLCIQCSAVHRNMGVHITFVKSSTLDKWTINNLRRFKLGGNHKARDFFLKNNGK QLLNTANVDAKTKYTSPVAKKYKIHLDKKVQKDMELYPSELVLNGQDSADSPLDTDSD ASRSTSKENSVDDFFSNWQKPNSNSNSSSKINVSAGPFAPNNNAISTTPKTTVTKTRS SILTASRKKPVLNSQDKKKHSILSSSRKPTRLTAKKVDKSQAEDLFDQFEKEAEQEKE DEFTNSSSSTKIRQNDYDSQFMNNSKGSNNNSIDDVNTQPDEFNDFLNDTSNSFDTTR KEQQDTLTPKFAKLGFGMTMNDANDLAKQQKESQKVAQGPRYTGRIAERYGTQKAISS DQLFGRGSFDEAANREAHDKLKTFDNATSISSSSYFGEDKEVDEFGNPINSSGSAAGN FDSRNPNSGFIDFNATADDELQMLRDVVEQGAEKLGSYLRDYLRK SPAR_E02010 MSQRSSQHIVGIHYAVGPKIGEGSFGVIFEGENILHSGQAQTGS KRDSSIIMANEPVAIKFEPRHSDAPQLRDEFRAYRILNGCVGIPHAYYFGQEGMHNIL IIDLLGPSLEDLFEWCGRKFSVKTTCMVAKQMIDRVRAIHDHDLIYRDIKPDNFLISQ YQRISPEGKVIKSCASSSNNDPNLIYMVDFGMAKQYRDPRTKQHIPYRERKSLSGTAR YMSINTHFGREQSRRDDLESLGHVFFYFLRGSLPWQGLKAPNNKLKYEKIGMTKQKLN PDDLLLNNAIPYQFATYLKYARSLKFDEDPDYDYLISLMDDALRLNDLKDDGHYDWMD LNGGKGWNIKINRRANLHGYGNPNPRVNGNATRNNANMNSKTRNTTPVPTPKQQAQNS YNNKDNSKSRISSNPQSFTKQQHILKKIEPNSKYIPETHSNLQRPIKSQSQTYDSISH TQNLPFVPYSSSKANPKRSNNEPNLTNYYTNLANKNNNYQSQRDYEQENDAYSDNEND TFCSKIYKCCCCCFCYC SPAR_E02020 MQDTKYYEPTNIFRQPAINIKKRSDRKRILQSMTTLSTYKKTWQ NNTSKMNSPILRKASDNFNDFYTTKKLKSDYWKLYGIDETEFSIPSDISLVDDILLIS TMNEKDNLKLFEISSEKKLKELQTITVPGKPITCICLLPMVDFPPQIFPNSQIKASHN QLILTGHQDGIVNLISTSTYKGCAKIIKRFNHNKFLKSTVSSSTPILEITPKTAPILK VSPWNKTGFVSLLNDSLFIYDLKSNLDSIKTPIFLQSYPGINSFAVNEFHDPFLLALV GSQFGPNGISLLDLRTNLYIPDILDNGISVANEKDHLQRKNTSLDCVWINNHHVAQSL NDKIQIWDIQSCDGKPVCELYAKKGYVESLKFNEKTGALYSSDDQGFVICWDLQNLQN MNYGELVHGFTSISLDGVNERLLTKQVFQCGNIIVSGVDNKKLCLKNNETKADGIGCG FLFLDMANDGSLVTLDNFCELGLHQICQVQFNANTGKIINTIDTSKNDISDSSMLSLS NESDHSTTETSDDMFSNSGNWDCSSANTVSEGRLHEDQEDVVLTKRMYSVNDVHLSGS TIDTAVL SPAR_E02030 MPSSISVKLVAAESLYKRDVFRSPDPFAVLTIDGYQTKSTSAAK KTLNPYWNETFKFDEINENSILTIQVFDQKKFKKKDQGFLGVVNVRVGDVLGHLDEDT ATSSGRPREETITRDLKKSNDGMAVSGRLIVVLSKLPSSSPHSQAPSGHAASSSTGTS STTRTNGHSSSSTRNHSTSHPSRGTAQAVESTLQSGTTAATNTATTSHRSTNSTSSAT RQYSSFEDQYGRLPPGWERRTDNFGRTYYVDHNTRTTTWKRPTLDQTEAERGNQLNAN TELERRQHRGRTLPGGSSDNSSVTVQVGGGSNIPPVNGAAAAAFAATGGTTSGLGELP SGWEQRFTPEGRAYFVDHNTRTTTWVDPRRQQYIRTYGPTNTTIQQQPVSQLGPLPSG WEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKVIYFRSQPALRI LPGQCHIKVRRKNIFEDAYQEIMRQTPEDLKKRLMIKFDGEEGLDYGGVSREFFFLLS HEMFNPFYCLFEYSAYDNYTIQINPNSGINPEHLNYFKFIGRVVGLGVFHRRFLDAFF VGALYKMMLRKKVVLQDMEGVDAEVYNSLNWMLENSIDGVLDLTFSADDERFGEVVTV DLKPDGRNIEVTDGNKKEYVELYTQWRIVDRVQEQFKAFMDGFNELIPEDLVTVFDER ELELLIGGIAEIDIEDWKKHTDYRGYQESDEVIQWFWKCVSEWDNEQRARLLQFTTGT SRIPVNGFKDLQGSDGPRRFTIEKAGEVQQLPKSHTCFNRVDLPQYVDYDSMKQKLTL AVEETIGFGQE SPAR_E02040 MPQNDYIERHIKQHGKRLDHEERKRKREARESHKISERAQKLTG WKGKQFAKKRYAEKVSMRKKIKAHEQSKVKGSSKPLDTDGDALPTYLLDREQNNTAKA ISSSIKQKRLEKADKFSVPLPKVRGISEEEMFKVIKTGKSRSKSWKRMITKHTFVGEG FTRRPVKMERIIRPSALRQKKANVTHPELGVTVFLPILAVKKNPQSPMYTQLGVLTKG TIIEVNVSELGMVTAGGKVVWGKYAQVTNEPDRDGCVNAVLLV SPAR_E02050 MSELNALLKDINGSLTSTSESLERLSGIYSNSEIDENPKSNQLH EHLFSDAKKPTEKVSLLSLKNGSMLGYINSLLMLIGNRLDDKCKDPSARDARERSIQH RVVLERGVKPLEKKLAYQLDKLTRAYVKMEKEYKDAEKRALERTTLLNHDDSEDDESS EDEIAYRPNTSGIVNTNKKSLSYGAEDASKEGNEEENGDNESGVYRPPKITAVLPPQQ THFEDRFDAREHKDRSNKSRMQAMEEYIRESSDQPDWSASIGADIVNHGRGGIKSSRD TEKERRVTSFEEDNFTRLNITNKAEKRKQKQRERNARMNVIGGEDFGIFSSKRKLEDS TSRRGAKKTRSAWDRAQRRL SPAR_E02060 MINEYIARKVALKDMQPCAICSKPSTTVLYNASGPDWLYTCEIH LQDNPQFVIPLYSTEYNEAVAQLKLVKGKMDGLASAQNQLGSWDGWVTKIFSKKEKQK KDDSKSPEPTTTESADISPETKNDAEILLETQKQYSKILDKVTELQKKSRKYELAKIM FESRVLRKRTEQLNRERYLKEQENYSNTDPEDLLRKHVFPSVPK SPAR_E02070 MGTSDQKVNVEEVDVPSNLQLELEKSGASSNASLRSPTKTSATN LAGMPEDARDNASIASSSVDSLNMLLERQRLRQLNHPQHQQHISSSLAKTSTTSSSFC SSGSSKNKVKETNRISLTYDPVSKRKVLNTYEIIKELGHGQHGKVKLARDILSKQLVA IKIVDRHEKKQRKFFTFIKSSKISENDKIKREIAIMKKCHHKHVVQLIEVLDDLKSRK IYLVLEYCSRGEVKWCPPDCLESDAKGPSLLSFQETREILRGVVLGLEYLHYQGIIHR DIKPANLLISGDGTVKISDFGVSLAASSTNSSDSSESIDELELAKTVGTPAFFAPEMC LGEDAFTKYNLTKENLFRGSCISFMIDIWAVGVTLYCLLFGMLPFFSDFELKLFEKIV NDPLKFPTYKEIQSNNVSKVSCEEEYKMAKDLLLKLLEKNPQKRMTIPEIKKHPFVSW DFDHIPENDEKLLSSTLEQKLRFQCNQTDQFEPISISKHELKNAVSGVGKKIKESVLK SIPLKDPSDLSNNNYLQPTETNRSRGDANVIVSEGSVLSNIKELSADDRCLNTDSDTN NYDYDNDQYSGDDNDGHLTKRELERELNKFDDKHEASNMVNLPINSSFASLDSFYIDN FAMARMGMGPPEAGDSVSSAPNLPSAPSSTRLGRSPVFGGVSNQPSPIRPVLPQQKSS FYATGRYDKSHSSFLRNSSSHLTSYNSGRPSSRSSRMNSRNQNLPKIPDSFSKSSTAK LAERRVPKDLEMPVPKKNLNVEHSKKFPVKNNNKAPTTKDPPRKNTNTNDKPVSKNSP IKSLYQRMKQSKDNSQTFEVRRGNFFSHFNGDDDDSSSQSSVTSSGSESDSELSSTSS SCTSGTPSRNSSNNYNNAHSETESLPFEFGVDSEDGSGVLLRDLPNEDQIRPFLDIQP YRRMKVRSSVNPKPPSVSSSSSSSEDEEELILNVGAAGHRRRHNSSRPDELSASPQRG SNNVMYSNGSVHDSETTITPHNVDGLTLHRTPSHSQPVSNPGLLVLPKHFDQKKATTE TSNLTDIVEFNGSNNYQKEKDYGKVLYSRDLLKDALSSTNAGRRRSIPSNKIRGRKGA SIAMSTNIGGDEHPRNTSCNGDKKQESGGRRQRTHERSRSLTVAELNEEKRRSALP SPAR_E02080 MSLYPLQRFESNDTVFSYTLNSKTELPNESRNNEKQHFTLQLIP NANANAKEIDNNNVEIINDLTGNTIVDGSAITTTTSNQLERRLSISDYRTENGNYYEY EFFGKRELNEPLFNNDVVENDDDDDDDIDLNNESDVLMVSDEELELNERFSFPKQQPL DGLNRISSTNTLKNLEIHEFIIDPIDNIDDELEDSFTTVPQSKKRVKDYFKLNIFGSS SSNNNNNNNNNNNLGCEPVPNESSSSQKMFKNRFFRSRKSTLIKSHPLEQENEVLINS GVDVSSNDDSDGSDHAIINPLKLVGNNKDFSLQSIAKTTNPFKSGSDFEMVEPVSKFC DDSKKDLLSIISESSSSPSPSTPSPSVSSSFSSHGLVARKKTGNMQKTRGRKPSLIPD ASKQFGCEFCDRRFKRQEHLKRHVRSLHMCEKPFTCHICNKNFSRSDNLNQHVKTHAS L SPAR_E02090 MPKKRASNGRNKKGRGHVKPVRCVNCSKSIPKDKAIKRMAIRNI VEAAAVRDLSEASVYPEYALPKTYNKLHYCVSCAIHARIVRVRSREDRKNRAPPQRPR FNRDNKVSPAAAAKKAL SPAR_E02100 MNVLQPPSSVCYPLNLPIVPNPNLDEATRKKLTLECRTGAAVEL ARSGVFVHGGLTLPLNLSIINSLQLQKELILYFGKQKHRNADFKTLADWISPEIFFLD LISRTWQRINTTIGAAPENEMNTDLHFKERLLHSMCFTESNIYIFGGLIVSPHNGYEL IATNELWKLDLKTKCWSLVSENPQITRRFNHNMHVLNENNEKQDTKLIIVGGLDNMDI PVKKIDIFNLRTNLWESESKSDEKPDSKRSPKILVNIDGMPISLSHDSNFSVLIENNQ AEIPTLALYYPQREANTSRRGTDDSSFSSYANDLDEKSRLTKHHHHHHGDLKYIESDD ADESAVKTLMSPIVILPLLGNSQGARMTSNPTQNNKENSVLQVPFHLQYPSGNYFNYN IVVIGFYPDPQPSNLHCFIYNIASGKWIRVNIACTECSMNTHRFWKLLIWKSHHQALL LGTRSDDFCSPSVQKFDHILAFSLPMLNGYNKLVNTKHSRACNGIANNHASKVNLSLY DHLPYSDSSAIEHTNSCTGAQGYSLDDSELPGLTSTTTSQFENYSRYITVPLEMESTS SIFPPYAMVLGKDALEIFGKTLSDFEFITAEGDSIGVPVYLLRKRWGRYFDSLLSNGY ANTSFNYEFNGDPSNIISFSPHTASKATKFGNSSQSSSGSLEKYFSKNANSKSNSNTS LKKPHSVDFASSASSPKQRALSHSKISPSEPILYTDDEESRSNTLKQHATVEVGPQEA TTSNRRPISTTCSSTGMVFRVPFQDVKNSKLGLSEQSGRPTRASSVSPPPVYGKSINN DNDSNCTLSNTPPVCRRASTVGTTRNNSVDDSFSSIRRASHPLQSHIIAKSSPNSISK ASPAEQALLRRKSSALRFIASPHQSRQTSFASTASTASVVSSTSGRRRPSNQVSHFGS SASLPSSPILPVLNIPLPPQEKIPLEPLPPVPKAPSRRSSSLAEYVQFSRDSPIASRR SSHSTRKSSSSDARRVSNSSLLRNTLDSQLLSNTCGNDIPYEASVQEYGMNNGRDEEE NGDNEDYSCVSPSNIRPIFSTINAININGNFKEGEFFSSKSYINSEKGRRLSYISNPE SVESTNSNNNAIIELEPLLTPRSLYMPWSTASVRAFAEFFYTAQINGKWLLAPVTLDL LIMAKIYEIPILYELITEVLYKIISKKEEGLSVTCEALLNLYQQKVSRYCNENEGKIR KELDSSESYQDTLEIKRSLANIDNGYVDSYLLRNTSMAQSIHYTDDSNGDTETDTHHT RVSSIGSLANRAVPTVFAGGPRDSHNSVGSIAFPSNSGVQNTRRSVSLLSPAAKKKSS LSRETDPLDTSDRIIDDVPDSGTVKRQPNFSRRNSSLIQKIPIEPTKNNCQENVFSSS NKASDDKEEQNEQTAFQDMSNLEKSKAEMPQRRNSDDAKNLDGNTDPLKNRETNICSN SSNLETDLFICDSFGSDSSSSLHSDSDDLDSQLGILPFTKMNKKLQEQTSQEFDDSID PLYKIGSNTPGSSRLHGSFSKYIRPNSQREDVSEYVNISSLENMVSPNALPPVDYVMK SIYRTSVLVNDSNLMTRTKEAIELSKVLKRLKKKALQDISQMDNEMREAGKPIFARGS SSPTLSRQHSDIISPLKQQDNTRPALKLASSSPISEGFRKSSIKFTQTPSAQISPRTS VTDFTASQQRRQHMNKRFSTQTAHSTSALFMNPAFMPPAVNTGRMESEGHNEDGPAAA NKANKKEEVTPNDNDNIVSFPFFSKRR SPAR_E02110 MTGYPDVAAFDLDYTVWPCYCDTHLHGPFKPVKSRNGEVLTIIC RDGYELTIYKDIPRILSDLKDNEVKLMTASRTWAPEIAQEILKIFKVKYGGVLTPLAN LFDEFQWGERSKIGHLRDGLKDLYNTSDLRSKKICLFDDESRNKEVEEYGVKFVYVRD PENGPSWKLYQDYLSGKI SPAR_E02120 MDQETIDTDYDVIVLGTGITECILSGLLSVDGKKVLHIDKQDHY GGEAASVTLSQLYEKFKQNPISKEERESKFGKDRDWNVDLIPKFLMANGELTNILIHT DVTRYVDFKQVSGSYVFKQGKIYKVPANEIEAISSPLMGIFEKRRMKKFLEWISSYKE DDLSTHQGLDLDKNTMDEVYYKFGLGNSTKEFIGHAMALWTNDDYLQQPARPSFERIL LYCQSVARYGKSPYLYPMYGLGELPQGFARLSAIYGGTYMLDTPIDEVLYKEDTGKFE GVKTKLGTFKAPLVIADPTYFPEKCKSTGQRVIRAICILNHPVPNTSNADSLQIIIPQ SQLGRKSDIYVAIVSDAHNVCSKGHYLAIISTIIETDKPHIELEPAFKLLGPIEEKFM GIAELFEPREDGSKDNIYLSRSYDASSHFESMTDDVKDIYFRVTGHPLVLKQRQEQEK Q SPAR_E02130 MCKSLNKTENDIVRLSQAMDALAKLIISKQKDGSQLQVEYEHKL KELEKFINLLLGLHESTGGSVMNTSVLDKVLRNGIEILEKDDQKYALIPVKARTEGDT THIIQGATSKKSSKKKKNKIKCSFCQQVGHTRARCGVRLTVPPGITREPSKR SPAR_E02140 MATIEDIKETALIPFQKHRQLSMHEAEVITLEIIGLLCDSECKD EKTLKYLGRFLTPDMYQDLVDERNLNKRCGYPLCGKSPERIRDPFSMNDTTKKFLLEN NPYAYLSHYCSKFHFRCSQFYQVQLSDEALFARTGVHLFEDPEQDKHDIDFKVTLFEE LLREKASEEDIKSLISGLKKLGLDPDSGNTDKKDTELEDDLSKWLAQIKIVENNNPSI LGDFTKED SPAR_E02150 MQHKDTAVAKDTTKKRLLRRNSAPSAIHIISQLDKKWSFLWNTI DRHSIIEEQDKRSDAKREEEREDDYELEQLLNMVRIPMFLEKFMLFALLTSLDCFLYY FTVLPIRLIKGYVKQFKSHRQHYRLQQRSGHKHKIPFRYKVTSREYKERCMIFIIVIS SILLSKLDTSKLYHRIKRQSAMKLYMLFSVLEMADKMLASLGQSLLTVMLSRKNSQRI LLHRCLLVSMSLIYVTIHGYVLVYQAISLNIAVNSYSNALLTLLLSMQFAEIKSSVLK KFDKEGFFQITIADVVERFKLTLLLSITGLRNLRSWTSSLSNTSINFWSPRSTLSVVI NILCGPMVSVVGSEVIVDWAKHAYITKFNRIRPQIYDKFYYILYRDYSTRTHKLEDRL GLPLPASVVLFVVMVRPTLFKSPESSYLPSLFRIFFMGASVFLLALLSKFVLDLILIK WSKRIGQRFRDQALSTAVTEEEYVPGLLSGGMGKIDVATRIALHSDYNKENQIETQSA SPTRKRGDPLAAGCIPPSLNEMRKQKDSKNPRSLENVARYKMVSKRIW SPAR_E02160 MLFKNIEVGRQAAKLLTRTSSRLAWQSVTASRNMSFIRQQIKKV PAYNFRTSVSTRLFSLSSPVLRPHVASESNPIISRLKTSKNVAYWLIGTSGLVFGIVV LGGLTRLTESGLSITEWKPVTGTLPPMNQKEWEEEFIKYKESPEFKLLNSHIDLDEFK FIFFMEWIHRLWGRAIGVVFVLPAVYFAMSKKTSGHVNKRLFGLAGLLGLQGFVGWWM VKSGLDQEQLDARKSKPTVSQYRLTTHLGTAFFLYRGMLWTGLEILRECKWIKNPVQA ISLFKKLDNPAIGPMRKISLALLAVSFLTAMSGGMVAGLDAGWVYNTWPKMGEHWFPS SRELMDENFCRREDKKDLWWRNLLENPVTVQLVHRTCAYVAFTSVLAAHMYAIKKKAL IPRNAMTSLHVMMGVVTLQATLGILTILYLVPISLASIHQAGALALLTSSLVFASQLR KPRAPMRNMIITLPHSSKVTSGKILSEASKLASKPL SPAR_E02170 MKLKRGYDELIKADGVKEIVKELGSSSLDVALPEEYIARHEEKF NMACEYILEKDPSLFPLLKNNEFTLYLKETQVPDTLEEYFIRLASTILSQQISGLAAK SIKARVVSLYGGAFPDYKVLFEDFKDPVKSAKIAKCGLSKRKMIYLESLAAYFTERYK DVEKLFSQEDNDEEVINSLVTNVKGIGPWSAKMFLISGLKRMDVFAPEDLGIARGFSK YLSDKPELEKELMRERKVVKKSKIKHKKYNWKIYDDDVMEKCSEIFAPYRSVFMFILW RLSSTDTEAMMKAEKDFVKS SPAR_E02180 MDLTISNELSGEIYGPIEVSEDMALTDLIALLQADCGFDRTKHD LYFNMNILDSNKTQSLKELGLKTDDLLLIRGKISNSIQTDATILSDDAFIEQFRQELL NNQMLRSQLISQIPALNDLVNDPQLFKERLGPLILQRRYGGYNTAMNPFGIPQNEYNK LMANPDDPNNKKRIAELVDQQAIDEQLRNAIEYTPEMFTQVPMLYINIEINNYPVKAF VDTGAQTTIMSTRLAKKTGLSRMIDKRFIGEARGVGTGKIIGRIHQAQVKIETQYIPC SFTVLDTDIDVLIGLDMLKRHLACVDLKENVLKIAEVETSFLSEAEIPKSFQEGLPAP TSVTTSSDKPLEPTKTSSSLTPQPGAVPALAPRTGMGPTSTGRSAAGVTTAATRTFTE QTIKQLMDLGFTRDAVVKALKQTNGNAEFAASLLFQ SPAR_E02190 MGSEQALSEVDDSSRERYGRLKRLVKKFLDDDDVPQEPLPLLQE CAEIWSSYIDACNDITTQAPKEDVNRLSKAFLRLNETAFLYYMIVYTLLEDTLPKLKE FSSNKDNNIRDMYGEKTQLLHNDPNIERIRNVIENYPKLVHLQTIDPGKLSSMLHFHG DTLLLIDVRPRPEFVKAHIKCKNIICIEPASFKDYFTDQQIENVSLITSPKSDIDLFS NRNKFKFIVVYADTQLNNNFQQRQTRILAKILSQHSVIKPLSGTKVLILETGFSNWIR LGGAYQSSVTETVHLTSSSSTPAFGNPHVPVGLFNQKSLSPTKGKSIPMVSMNTQPLL ATVQRPQLPLYYPEVPIIPQSRPDRDSSTFQNISPHRSTALSKINSPSVIQSKFNNME KVSPDIRATQVRAHLTPAFDVFQPRIPPLPQQDLSSSRQTILNNSQVLDLDFIVGLEN MGNCCYMNCILQCLVGTHDLVRMFLDNTYLNFINFDSSRGSKGLLAKSFAILVNSMHR HGAFTPPNIRTTPVQTIQFKKICGHINSIFSDSMQQDCQEFCQFLLDGLHEDLNQNGG KKHLKQLSEEEERMREKMSIRKASALEWERFLLTDFSAIIDLFQGQYASRLQCQVCEH TSTTYQTFSVLSVPVPRVKTCNILDCFREFTKCERLGVDEQWSCPKCLKKQPSTKQLK ITRLPKKLIINLKRFDNQMNKNNVFVQYPYFLDLTPYWARDFNHETIVNEDIPTRGQV PPFRYRLYGVACHSGSLCGGHYTSYVYKGPKKGWYFFDDSLYRPITFNTEFITPNAYV LFYERIF SPAR_E02200 MPNKVFNVAVFFVVFRECLEAVIVISVLLSFLKQAIGEHDQALY RKLRIQVWVGVLLGFIICLAIGAGFIGAYYSLQKDIFGSAEDLWEGIFCMIATIMISM MGIPMLRMNKMQSKWRVKIARSLVEIPHRKRDYFKIGFLSRRYAMFILPFITVLREGL EAVVFVAGAGITTQGSHASAYPLPVVVGLICGGLVGYLLYYGASRSSLQIFLILSTSI LYLISAGLFSRGAWYFENYRFNLASGGDASEGGDGNGSYNIRKAVYHVNCCNPELDNG WDIFNALLGWQNTGYLSSMLCYNIYWLVLIIVLSLMIFEERRGHLPFAKNLQLKHLNP GYWIKNKKKQELTEEQKRQLFAKMENINFNEDGEINVQENYELPEQNTSYSSSQNVVT DKEAPHVKAESL SPAR_E02210 MSLPEILPLEVIDKTINQKVLIVLQSNREFEGTLVGFDDFVNVI LEDAVEWLINPEDESKNEEVMQHHGRMLLSGNNIAILVPGGKKTPTQAL SPAR_E02220 MENFGEKLSISQIYHLAHEYRDHAYSGANKIGSEEELEQYYSLI NMSIRMFQLLKTKCTLSVVEDSKVTFEMVELLIQETYNFDLAELYISSLKERLQTHQN GMDLVEELMRCEFLLLHDLPLMRDSKFHYKIALKNCNEVVQYMASLQGEVYQNWASVF RYVGVMLCIKLKQHRRVKTSFHGLLSQCREKSQWKWFLNLCYVNYLLNERFPIPEEAL HELRSTELDTVGPALYAWKLALEMVIQLYKDGNITEHLNEFKKFFDTCKQSLVEDEGK GCVITIMPRMTLKVDLPMIFHYKELKNVLLLLQSVSYIVNCYDEKGNFSRKFLPKVYS TTQKLIKNIAAGDVSMNELDSRIQTYKSILEFCEFYKVWEEILLKGAVVETNSSKLGP SPGYVKLLQAMKIQFEGGGAVEEYTRLAQSGGTSSEVKMISLLNCYTVQAARVSRCPG DKQGELVEQCNKVWLQVEKLLQETDLQFNPIWECTVTVLWLFSHFEPFSWNPLPCSDK QRAEYVSKLREFYSSNKFAAAGGTANDRFKLKKALLLQVLVNYLGGRMLEHDLGEIHA ISEKCFDMCRQQGGMRKIQYVVGIWHLMNCTVGMRGKDVALTNAKLEALVKQITSVK SPAR_E02230 MADEERLKEFKEANKIVFDPNTRQVWENQNRDGTKPATTFQSEE DIKRAAPESEKDTSATSGIVPTLQNIVATVTLGCRLDLKTVALHARNAEYNPKRFAAV IMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQKIGFAAKFTDFKIQNI VGSCDVKFPIRLEGLAFSHGTFSSYEPELFPGLIYRMVKPKIVLLIFVSGKIVLTGAK QREEIYQAFEAIYPVLSEFRKM SPAR_E02240 MHKFDLELSRRANPLLFSPERYEEYPLKYDELKQYLLSQNPSHP HHNSRPYTSIDYFDYLLYRSKNDESEIDLDKKLVSEFALYYVQKERMNSDDICPTLKE LLKLHPGSSEWYEMMLRVLESINTTGIEQLTKENNNSFPNSKRVRSSTNMGGKDKFNN SANRIDNADNDKNEILQELTSFLMSNSIQKGIDIKPIPLDDPVKFLKNGINSILDTCV SLEKTTSSPPTSPNAAAIQEEDSSKKLEELETAFSDLQLAHNFLTKQFENDRAEYVQD IEKLTRTNRELQDKLLNYHSNLSKTEKKLCDLEQENKELEKTNNKLNSNKHNFSISSP ASSPVTWDPSSPSSIGSPTSGSGSRSLSIMTSEFKKVLTSTQRKYEKELSDEREHRYK LERELALLRNAEADTSLALNTDDPPDML SPAR_E02250 MLSNAKFLLSLAMASTALGLVSNSSSSVIVVPSSDATIAGNDTA TPAPEPSSTASVFYNSTATTTQYEVVSEFTTYCPEPTTFVTNNATYTVTAPTTLTITN CPCTIEKPTSETSVSSTHDVETNSNGANAKVIPGALGLAGAVMMLL SPAR_E02260 MNMQDANKEESYSMYPKTSSPPPPTPTNMQIPIYQAPLPMYGYT QAPYLYPTQIPAYSFNMVNQNQSIYHQGSSPHHLPPQNNLSGGNSTNNSNINKKKWHS NGIANNNGNNSSNQGANSGANGMNYNKSHTYHHNYSNNHIPMMNSPNNGNSVGLKKQA NSSNGNGSSATSPSYSSYNSSSQYELYKFDVTKVKNLKENSSNFIQLPLFINTTEAEF AAASAQRYELNLKALNLNSESLENPCVEKGSVHHHAKSHSIPKHNEETKTETHEEEED VNDKKPHASKDTHEHKRKIEVKKEDSKQDHNEKVMQESQPTALPVVDKKEPEEPVEDD TSKTSSPSPSSPAVKSWSAIASDAIKSRQASNKPASGSIVTKTPTSGTTAGVSPTSTA AATLGKSSPPLLSKQPQRKDKKYVPPSTKGIEPLGSIALRMCFDPDFISYVLRNKDAE NKIPVHSIIPRGIINRANICFMSSVLQVLLYCQPFIDVINVLSTRNTNSRIGTSSCKL LDACLTMYKQFDKETYEKKFIENAEDTEKSTETDTKKPSKSKNFQHNAVADAVKPDEF YKTLSTIPKFKDLQWGHQEDAEEFLTHLLDQLHEELISAIDGLTDNEIQNMLQSINDE QLKVFFIRNLSRYGKAEFIKNASPRLKELIEKYGVINDDSTEENGWHEVSGSSKRGKK TKTAAKRTVEIVPSPISKLFGGQFRSVLDIPNNKESQSITLDPFQTIQLDISDSSVDD LETAFKKFSEYELLPFKSSSGNDVEAKKQTFIDKLPQVLLIQFKRFSFINNVNKDNAM TNYNAYNGRIEKIRKKIKYDHELIIPEESMSSVTLKNHTSGIDDRRYKLTGVIYHHGV SSDGGHYTADVYHSEHNKWYRIDDVNITELEDNDVLKGGEEASDSRTAYILMYQKRS SPAR_E02270 MTYREINFFKGHPSSRLLPREAVIQATAAILGPETREYDKDPYN RHPLTYGSDEGALWVREQICTFLNDQVFKFKNGARSRTQADYLNLNSGASYGMLNILL QTTLPHNGYTRQAFIITPTYFLINDCFTDAGFKGKMTAINEQGHNSIDFESLVSALDQ HEAEPQPHSTTEIIQGPKLTKKVYKYVMYCIPTFANPSGNTYSLETRRRLVEIARKYD MLIITDDVYDILDYTTPSDELPSPPLRMVHIDRSTASLGEDSFGNTISNATFSKLIAP GLRFGYHESINANLARQLSKGGANVSGGTPSQLNSMIVGELLRSGAAQRCIAHLRSVY SERASILTSALKKYMPLGTEMPPLKGGYFAWITLPPAYNAMEISTILAKKFNVILADG SNFEVIGDEKNWGQSSFRLSVSFLEVDEIERGIELFGAVCKSHAITNNIVM SPAR_E02280 MLAITKRMMSTDVRSRILLSSLNGDMPDALALLRQQQQTSVDVE LLHTMLARAAALAHADTIAYMWYQHVMPRRLPVEGRLLCDMAGVALHQDKLFLPAQFL RHYQAMNRDRATSPEDEMVEYELRRVKVEAFARGTIYTTALREKWKVFLQEMDTLPGR PPLRLRDFPQLTRAMGIALAQQEEQVAALALFGRQPLVIKNEWSLPLLLAGVLWHVPG PAQARRVLAEFRQSYRGLPLLDAEMVIKRRGFEINT SPAR_E02290 MFKLTSRLVTSRFAASSRLATARTIVSPRPFPSWISFQAKRFNS TGSNANDISEIQTQLPSIDELTASPPSLSASTSDLIANTTQTVGELSSHIGYLNSIGL AQTWYWPSDIIQHVLEAVHVYSGLPWWGTIAATTILIRCLMFPLYVKSSDTVARNSHI KPELDALNNKLMSTTDLQQGQLVAMQRKKLLSSHGIKNRWLAAPMLQIPIALGFFNAL RHMANYPVDGFANQGAAWFTDLTQADPYLGLQVITAAVFISFTRLGGETGAQQFSSPM KRLFTILPIISIPATMNLSSAVVLYFAFNGAFSVLQTLILRNKWVRSKLKITDVAKPR APIAGASSTENMGIFQSLKHNIQKARDQAERRQLMQDNEKKLQESFKEKRQNSKIKIV HKSNFINNKK SPAR_E02300 MKGLLWSKNRKSSTTSTSSSSTSTSQKTTTASTASSSSPSSSSQ TIRNSTNAVPPYMHSHHHHGQGHSHHRGEDSNRDKRKSSVFAPSKQYASTSSSQVNLG MYHSDTNTRSSRSIASTLKDDSPSVCSEDDISNSSSQKSNAQDETPMAYKKSAHSKDS LLPSRSSSLSPPQSRCSTGTALEKSLNTPGISNKGNNNSNNNNSNNNNSNNSNETEPK QRNVIHLNSENYDTTVFKTGWVNKSHGQTVATNYNSSMTASSSSSSSSSQNLRNDAYS RNRESRFYGSDGSSLKNDDSNTSTAINSGNDVASARSSMALDPQMLVPDYRLYRAQLK GCVLNLYKSGLNSNIKFFDPTLPASNSNIANENHQQKKQQANSQAQAEAQHQKQPFDQ MGEPITLELKYLSEIYPHPDLRLDNDGKILSGTIESLCHAVLFYPGTKHSDVSNEKSL SKAHRTVINLLLMFPLLDHFIKFLKIFNQFGLSFTKNKSRLTSNSTQFYNITPAVDDS MTQRLALTAKTILDVFPGFLLDEPMLKTIISLLDTISLHNDEISNNLKIQIANKHNEL MKLTAFSRSLPMATSSTHELEIILDPSHFLSLDVRTLADEVHHINLKFDKVWAPKFDY SLLYDSKFINRRIVSLNPLVFNNDQNVHFLGRLLVSHLFPTNPAFSKKVTPKVRAELL DKWVQIGCRFEHLGDMVSWLAVATIICSIPVLRSSSWKYVPDQSLKTIFKDWVPTIIQ LERRQRTSKSTSSVFILAPPNLDDDFTRSNVISYFGDLLIHADDLPSDTKFKYLEKKI NRTKNAFHKWQQRLQAIDSMRNKTSSTENVRGNDSPTSVVYQLWKFHLSQPPLNIEGI MKLSVQHEPPVIDQKAYSTIGSQRSALVTGSYLPILFNELFPNYSLFPKNTLVGAASD AKLPPPRSSARLSKSLSISEPISIASNSQMTGSFTNDGAPSSNDNNKVTGVGKIDGPV IKEMSSKQSNKQRLLKSVRDVFNIDMDVFHISDELIFKSVYDNDGKSRPASMVIETPK RFSQHSSMLINNSATPNQKVRDSMDTTGRLSKTLENMDFFNNIGQVSDSLKESIIHVV LKSSSLEKIFDLLVLTTNIFSKLVDTKDLENYYYHQRQRSHSSRGLCDDNIGLLDYAF VKLTMDNDIFTETFFNTYKSFTTTTAVLENMAKRYVGAKSCSVSISKILDRNDDSKMK INEDTNLVSSSLYDQNFPLWDMKVTDDENINLIYMAKIQIGAAEAILHLVKNHYSDFT DDLSSNSTLLDIIKIMEQEVSTEWPTRIANSKLQKGPSENFVIETENLLTTLTDLFHG IKSAYQKQLYRPIGVNRTQRRITDSLNSFNTFSFTDLNSIIDDSSFNDDMIRSFQKLR STNYEDILGWIYQLDNFISKKFNLVPKKDWIVLFQELELLSKESLVSFFNYPLHFKSS KLINPGYLQLHEFEISNLFTWISTLILKDNSGKDSLFFERLPQSIKLLVKLHMSLTTF FLMEISNVNKNSSERLITCKVILQILNYIRWKNGSLDLFDSEEDESPHAICPHIPAFI ETAIAHAIISPESRNYELSWIKASEKLSDTTKGTQILRSISNVLEKIDDVHIKRFIEI DDVFSKNCKNLCPCPGWFISRLLEISQFVPNMSITNSKLINFDKRRFVNNIISNVLDL IPNERELPLDLELSDENSSKRTTFGRILFNNFEDLNKVYRKKTKKVSESEAVSERFQE QGIFNEILVNEIEKIKREARKLEVLLDQEKILKNSAALHQAVPKKNRKSVIIPGNHGD NDHNYSINKNTAQTSSLVSVMENSNSARNRRDSRASFSTSRSSVVSNSSHNGVSKKIG GFFRRPFSIGGFNTSSSNYSLNSILAQEVSSNKSILPTILPEVDSMQLHDLKPSYSLK TFEIKSIMEIINHRNIPAYYYAFKIVMQNGHEYLIQTASSNDLSEWIKMIKASKRFSF HSKKYKGKTHNKIFGVPLEDVCERENTLIPTIVVKLLEEVELRGLDEVGLYRIPGSIG SINALKNAFDEEGATDNSFTLEDDRWFEVNAIAGCFKMYLRELPDSLFSHAMVNDFTD LAIKYKAHAMVNEEYKRMMNELLQKLPTCYYQTLKRIVFHLNKVHQHVVNNKMDASNL AIVFSMSFINQEDLANSMGSRLGAVQTILQDFIKNPNDYFKQ SPAR_E02310 MNNVKRVKLNSKMAKQICTHSGSFHADESLAVYMLRLLPEFKDA KLVRSRNPQDWDASDILVDVGAQYDGVKYFDHHQRGFFETFNEKYKTKLSSAGLIFKH YGRDIIKTILNNKPSNSDLDLLYDKVYKQFVEALDANDNGISKYTIPKDSDLEPNFRD NAISIPGIISGMNPNWNEDTSDGAFDKCFARASEFIGGVFVTLVKGYGESWLPAKALV AQAIDGRLNVDKSGKIIVLPQFCPWKEHLYELEREKNIEKKIEFVLFTDSSGAWRVST VPINSTSFQFRRGLPEPLRGLRDEELSTKSGVPGCIFIHAAGFIGGAKTKEAVYELAK MSLA SPAR_E02320 MARSRKNSLVRNIASHPTISEAQTVVGLLDDSYLFDKLKKLSTA VENSDSLQSTDISEGRSEIHEPEATSSMDAKKTHKYSYYTTYLDQLNIKIDEYKVVLD QTRQVNDQLDSSIEKFRKISRDTGAFIEETRAIYEKQSKLSNLTETIPRTLHYFEVLD PIMRRLNHASSPAIVKKGSFTTMLATIDESLQFLDENSELKDAAAYRIKFKQCLIRAC ELISHFLNNLLKQTNREILDKTKNKNLSTGLPSSTRDAFLYSKFYTIADSFKIQVSEI VKRSNDKAYNKYHDELNSILYECFNHYFQTRLRLLTPVIWSHIDEIIVKDKDQGLVKF IQDGKAYFQQLCADEYKLFVEFFPEKECRFKINQWFLQLCEPLYDSIRVRVLKETDIC TLCDSVTLFAPYYEFEEGSEEYLKQFTDIQYDKLFEPIVQKVQARLILRVQIYVQQNI LSYRPTRDVFMISNRRGKSKTSSDGDNESAASSNDSPDRLLESYLSSFKNRNMLSISS NDIDDKYINSEESAAKISQLQTYYPPLLKTLALLSKIYEMINSVVFDDLAHHIVHDCI VSLRNAYDMVIKSSAGKTDFNNLDISLAYLKNLLMLRDSIQNFNIQYTVNETYLDFSG VEGFFKSLKENGRNVLKKTKSSSMLTLARELVPKVVNNMVDARTELISELRNVIKDFT ENTSLELIDDTLDIKSNEDLLSKNVKLRENIKARLPRIYEQILNYIDDQDIVTNLLDA VQELITQSYSKYYETITELAENGKLAKNQVADVMYLDVFTDFFAKEVTDLLRNGGMDA ETK SPAR_E02330 MIQQGSSSRRSLHGNDFHTLASSRRDSLNIPRAVGARSASTIDL FYIPDATVSRRHSTLVASRSENKAKGAPMRQYNKPNFASSSTSSLPSTKNRSSPYDNM NNHCATDHSVLPQYHCRPNSSRRHSLMTIPEKYSGSRYSLRSSPPTYSNPRVRKELTP FQLQRKQMKTAFQFPNGENFTPRNQIARFPPSSSALPNSPSTSSLPLTQAGGSSSIDN HSIATGTTNRSPKQTNVIGADQNAESESPKAIRSNSKKISRFFRKIWSSKSSKSTDTI EENNKTKQKRKNPERVVPEPITSLEQPVEITKQSFSIVNNQETALPLIRDSDIVQELN ALGDGNKIPVLPPPRSPNRPTLSDKRTTKLYYRNQDSSSEDIAPEEKNTVFLKRLQDE WSTVYLNKLPLTASVPSSLSTTADAANSSFINSSTSSPVPSSSSSSSLVSRGPMQSIS SSSPTPAPSSGSSKSKNTVKSLRFAEEIYVNDTWSAVDYCRCDNTFLNNFSKVKSQDI ANPSTFVGNNLSSTKNISNIEIKLEVNEFKRKEMRVHQDSARYTHYYL SPAR_E02340 MTDQVPVTTQLPPIKPEHEVSLDAGGSPVANMNTKSNNNTELGG VFDRIKTHFPPAKVKKIMQTDDDIGKVSQATPVIAGRSLEFFIALLVKKSGETARGQG TKRITAEILKKTILNDEKFDFLREGLCAEEGQTQAEEESA SPAR_E02350 MSFLSKLSQIRKSTTASKAQVQAPLPKKNDEEYSLLPKNYIRDE DPAVKRLKELRRQELLKNGALAKKSGVKRKRGTSSGSEKKKTERNDDDEGGLGIRFKR SIGASHAPLKPVVRKKPEPIKKMTFEELMKQAENNEKQPPKVKSLEPVTKERPHFNKP GFKSSKRLQKKASPGATLRGTPSRGNSIKSSESPKPVRLNLPTNGFAQPNRRLKEKLE FRKQKSRYQDDYYEEDNDMDDFIEDDEDEGYHSKSKHINGPGYDRDEIWAMFNRGKKR SEYDYDELEDDDMEANEMEILEEEEMARKMARLEDKREEAWLKKHEEEKKRRKKGVR SPAR_E02360 MNEDLPKEYFELIRKALNEKETEKTPLNRRRRVRRKNQPLPDAK KKFKTGLNELPRKSVVSVNLDSSDDGVITVPSSDSVEEIQSSEEDYNSEDFEDVTDGN EVAGVEDISVELKPSSKSSSGARRASRNVCSNEERKRRKYFHMLCLVCLMVHGFIRNE WINSKRLSRKLSSLVPGKVFELLHPQRDEELPLRSTRKLLDGLKKCMELWQKHWKITK KYDNMGLYMRTWKEIETSGKNKKNLKTFKRSDFLRAVSKGHGDSDISVQGFVAMLRAC NVNARLVMSCQPPDFTNMKIDTSLSCNPAYEDMVKYPIYWCEVWDKFSKKWITVDPVN LKTIEQVRLHSKLAPKGVACCERNMLRYVIAYDRKYGCRDVTRRYAQWMNSKVRKRRI TKDDFGEEWFKKVITTLHHRKRTKIDDYEDQYFFQRDESEGIPDSVQDLKNHPYYVLE QDIKQTQIVKPGCKECGYLKMHGKVGKVLKVYAKRDIADLKSARQWYMNGRILKTGSR CKKVIKRTVGRFRGDAEKEDERLYSLEDTELYIPPLASANGEITKNTFGNIEVFAPTM IPGNCCLVESPVAIKAARFLGVEFAPAVTSFKFERGSTVKPVISGIVVAKWLREAIEA AIDGIEFVQEDDNRKEHLLDALESWNTLLLKLRIRSKLNSTYGKIAEEEPNVTKERGD GIGNNRDKSEDFMGGGFLPGTANQEARPYSELSEPEDNLENVPIGGEEESATDEDVGG DYSDFMKELEMSEGTD SPAR_E02370 MTNDKSGIWVLGYGSLIYKPPSHYTHRIPAIIHGFARRFWQSST DHRGTPASPGRVATLIPYEEIISQAAFLKNVNLYSESAPIQGPDDLVTIGVVYYIPPE HAEEVREYLDVREQNGYTLHEVEVHLETNQEHEAELGEALKQLPRHNKTGKRVLLTTV YIGTVDNEAFVGPETVDETARVIALSHGPSGSNYEYLAKLQQALVQMPLIKERGRITD HYLTSLLESVNKYK SPAR_E02380 MAAKDISTEVLQNPELYGLRRSHRAAAHQQNYFNDSDDEDDEEN IKRSRRKRTTTIEDEEDEFENEEDEEDSEEDEVEEDLEEDDDYYGSPIKQNRSKPKSR AKSKSKSKPKSQSEKQSTVKIPIRFSNRQNKTVNYNVDYSDDDLLESEDDYDFEEALS EENMHEASANPQPEDFHGIDIVINHRLKTSLEESKVLEKTVPDLSNCKENYEFLIKWT DESHLHNTWETYESIGQVRGLKRLDNYCKQFIIEDQQIRLDPYVTAEDIEIMDMERER RLDEFEEFHVPERIIDSQRASLEDGTSQLQYLVKWRRLNYDEATWENATDIVKLAPEQ VKHFQSRENSKILPQYSSNYTSQRPRFEKLSVQPPFIKGGELRDFQLTGINWMAFLWS KGDNGILADEMGLGKTVQTVAFISWLIFARRQNGPHIIVVPLSTMPAWLDTFEKWAPD LNCICYMGNQKSRDTIRDYEFYTNPQAKGKKTMKFNVLLTTYEYILKDRAELGGIKWQ FMAVDEAHRLKNAESSLYESLNSFKVANRMLITGTPLQNNIKELAALVNFLMPGRFTI DQEIDFENQDEEQEEYIHDLHRRIQPFILRRLKKDVEKSLPSKTERILRVELSDVQTE YYKNILTKNYSALTAGAKGGHFSLLNIMNELKKASNHPYLFDNAEERVLQKFGDGKMT RENVLRGLIMSSGKMVLLDQLLTRLKKDGHRVLIFSQMVRMLDILGDYLSIKGINFQR LDGTVPSAQRRISIDHFNSPDSNDFVFLLSTRAGGLGINLMTADTVVIFDSDWNPQAD LQAMARAHRIGQKNHVMVYRLVSKDTVEEEVLERARKKMILEYAIISLGVTDGNKYTK KNEPNAGELSAILKFGAGNMFTATDNQKKLEDLNLDDVLNHAEDHVTTPDLGESHLGG EEFLKQFEVTDYKADVDWDDIIPEEELKKLQDEEQKRKDEEYVKEQLEMMNRRDNALK KIKNSVNGDGTAANSDSDDDSSSRSSRRRARANDMDSIGESEVRALYKAILKFGNLKE ILDELIADGTLPVKSFEKYGETYDEMMDAAKECVHEEEKNRKETLEKLEKDATAYRAK LKSGEVKAENQPKDNPLTRLSLKKREKKAVLFNFKGVKSLNAESLLSRVEDLKYLKNL INSNCKDDPLKFNLGNSTPKPVQNWSSDWTKEEDEKLLIGVYKYGYGSWTQIRDDPFL GITDKIFLNEVHNPTAKKLASSSDTTPTPSKKGKGITGSSKKVPGAIHLGRRVDYLLS FLKEGSSARSPSAEPSSKKLPTGPSKKRQRKPASHSKSMTPEITNSEPANGPPSKRMK ALPKGPAALINSTRSSPNSSTPSLKSKASRDNGTRQTSNPSSGSAHEKEYDSMDEEEC RHTMSAIRTSLKRLRRGGKGLDRKEWAKILKTELTTIGKHIESQKGSSRKTSPEKYRK HLWSYSANFWPADVKSTKLMAMYDKITESQKK SPAR_E02390 MADITDKTAEQLENLSIQDDQKQAATGSESQSVENSSASLYVGD LEPSVSEAHLYDIFSPIGSVSSIRVCRDAITKTSLGYAYVNFNDHEAGRKAIEQLNYT PIKGRLCRIMWSQRDPSLRKKGSGNIFIKNLHPDIDNKALYDTFSVFGDILSSKIATD ENGKSKGFGFVHFEEEGAAKEAIDALNGMLLNGQEIYVAPHLSRKERDSQLEETKAHY TNLYVKNINSETTDEQFQELFAKFGPIVSASLEKDADGKLKGFGFVNYEKHEDAVKAV ETLNDSELNGEKLYVGRAQKKNERMHVLKKQYEAYRLEKMAKYQGVNLFVKNLDDSVD DEKLEEEFAPYGTITSAKVMRTENGKSKGFGFVCFSTPEEATKAITEKNQQIVAGKPL YVAIAQRKDVRRSQLAQQIQARNQMRYQQATAAAAAAAAGMPGQFMPPMFYGVMPPRG VPFNGPNPQQMNPMGGMPKNGMPPQFRNGPVYGVPPQGGFPRNANDNNQFYQQKQRQA LGEQLYKKVSAKTSNEEAAGKITGMILDLPPQEVFPLLENDELFEQHYKEASAAYESF KKEQEQQTEQA SPAR_E02400 MSGTFHGEGHTPMSPFEDTFQFEDNSSNEDTHIGPTHIGDGTPS YKYSRPQVSFNDEAPKSKREDAEEFTFNDDTEYDNHSFQPTPKLNSGSGTFDDVELDN DNGEPHTNYDGMKRFRMGTKRNKKGNPIMGRSKTLKWAKKNIPNPFEDFTKDDIDPGA INRAQELRTVYYNMPLPKNMIDEEGNPIMQYPRNKIRTTKYTPLTFLPKNILFQFHNF ANVYFLVLIILGAFQIFGVTNPGLSAVPLVVIVIITAIKDAIEDSRRTVLDLEVNNTK THILEGVDNENVSTDNISLWRKFKKANSRLLFKFIQYCKEHLTEEGKKRRMQRKRHEL RVQKTVGTSGPRSSLDSIDSYRISADYGRPSLDYDNLEQGAGEANIVDRSLPPRIDCK FAKNYWKSVKVGDIVRIHNNDEIPADIILLSTSDTDGACYVETKNLDGETNLKVRQSL KCTNTIRTSKDIARTKFWIESEGPHSNLYTYQGNMKWRSLADGEIRNEPITINNVLLR GCTLRNTKWAMGVVMFTGDDTKIMLNSGITPTKKSRISRELNFSVVINFVLLFILCFV SGIANGVYYDKRGRSRFSYEFGTIAGSAATNGFVSFWVAVILYQSLVPISLYISVEII KTAQAAFIYGDVLLYNAKLDYPCTPKSWNISDDLGQVEYIFSDKTGTLTQNVMEFKKC TINGVSYGRAYTEALAGLRKRQGIDVETEGRREKAEITKDRDTMIDELRALSGNSQFY PEEVTFVSKEFVRDLKGASGEVQRRCCEHFMLALALCHSVLVEANPDDPKKLDLKAQS PDEAALVATARDVGFSFVGKTKKGVIIEMQGIQKEFEILNILEFNSSRKRMSCIVKIP GLNPGDEPKALLICKGADSIIYSRLSRQSGSNSEVVLEKTALHLEQYATEGLRTLCIA QRELSWSEYEKWNEKYDIAAASLANREDELEVVADSIERELILLGGTAIEDRLQDGVP DCIELLAQAGIKLWVLTGDKVETAINIGFSCNLLNNEMELLVIKTTGDDVKEFGSEPS EIVDALLSKYLKQYFGLNGSEEEVFEAKKDHEFPKGNYAVVIDGDALKLALYGEDIRR KFLLLCKNCRAVLCCRVSPSQKAAVVKLVKDSLDVMTLAIGDGSNDVAMIQSADVGIG IAGEEGRQAVMCSDYAIGQFRYLARLVLVHGRWSYKRLAEMIPEFFYKNMIFALALFW YGIYNDFDGSYLYEYTYMMFYNLAFTSLPVIFLGILDQDVNDTISLVVPQLYRVGILR KEWNQRKFLWYMLDGLYQSIICFFFPYLVYHKNMIVTSNGLGLDHRYFVGVYVTTIAV ISCNTYVLLHQYRWDWFSGLFIALSCLVVFAWTGIWSSAIASREFFKAAARIYGAPSF WAVFFVAVLFCLLPRFTYDSFQKFFYPTDVEIVREMWQHGHFDHYPAGYDPTDPNRPK VTKAGQHGEKIIEGIALSDNLGGSNYSRDSVVTEEIPMTFMHGEDGSPSGYQKQEAWM TSPKETQDLLQSPQFQQTQKFGAGPSTNVRSSLDRTREQMMATHQLDNRYSIERARTS LDLPGVTNAASLIETQRNN SPAR_E02410 MPKNSHHHRSNSVNSTKNRSTESTNKWKIPHYYRRSASGSTQAS PDRNSSTGSCTTPVLPTMNVMSSPKKVLLEDPRDNHTKAKKSSRKKSGEMVFVNYTVQ DTANEDDTDLQTQPVSVPAPKAKLKKKSSKRRMLKIFGSSKSEHIEDIVEEQPMVLQM DPNSKPQSGTLISESGVDTSSLTSKRSYNSFLKHNRLNGKTPFSGNLSFPSLNMMGNT SDLPIDNNDFGSEKEVVPKSTHDPSLAKPPSRFAESETNSTSNLSSIPLMNTKNTRLK YNKVASQSLDRQRLQESGLYHSTESFKFKDQSYSNNKSSLSLNSDLSTPHFTKHSPDS PRTSRSFNCADSQSRVKLPEENDASIAFSKMFTRKRANTGGSTCSLASPTIAQSVQQS NIKVNKLPTQRTTSVGSLSSMSNRYSPIRVASPGRARSGTRGSSLYRLSRDLNSLPSV TDLPEMDSTTPVNEIFLDGQPQHKNGSAKGGHKKKQESISDAQRNQNANSYITTPSSS LVTPPYYMTGYTLPSSASASSTPNVLETNNMNFVPSANTVTSYRPSSNFSSFDKEYGN ENDATGELSAFNTPMENIPALKGIPRSTLEEDEEEDVLVQDIPNTAHFQRRDIVGMDT HRKDDSLDFNSLLPHGSTTSSSIVDSVMTNSISTTTSNATGNYLQDQDKYTLVNTGLG LSDANLDHFIRSQWKHASRSESNNNTGNRVSYSGSIPNITDTTKGNLQVFTEFDFENP ESFFHEQSKLLSEMGHSNNNSSNAINMNEPKSADTYIGNISPDTSATVSLGDLMGSNV SNNSERNFYDGHTFVPQYQANSSVENSGNQNAAPIANNDIDNNLQSFYFDNSN SPAR_E02420 MLRSTISLLMNSVAHKTMTNSNLVVNAPKITLTKVEQNICNLLN DYTDLYNEKHHDKPEPLTLRITGGWVRDKLLGQGSHDLDIAINVMSGEQFATGLNEYL QQHYAKYGAKPHNIHKIDKNPEKSKHLETATTKLFDVEVDFVNLRSEKYTELSRIPKV CFGTPEEDALRRDATLNALFYNIHKGEVEDFTKRGLRDLKDGVLRTPLPAKQTFLDDP LRVLRLIRFASRFNFTIDPEVMAEMGDPQINIAFNSKISRERVGVEMEKILVGPTPLL ALQLIQKAHLDNVIFFWHNDDSVVKYNEKNCQDMDRINHIYNDNILNSHLKSFIELYP MFLEKLPILREKIGHSSGFQQNFILSAILSPMANLQIIGNPKKKVNNLVSVTESIVKE GLKLSKNDATVIAKTVDAISSYEEILAKFADRSQLKRSEIGIFLRGFNGEWETAHFAS LSDAFLKIPKFETKKIDLLFQNYNDFYSYIHDNNLNNCHELKPIVDGKQMAKLLQMKP GPWLGKINKEAIIWQFDNPTGTDTELITHLKAILPKYL SPAR_E02430 MTKLITPSEIVGGVPVFKPTYEQFEDFYAYCKAINKYGMKSGVV KVIPPKEWKDKLDLPYSAETLQKIKIKSPIQQHISGNKGLFMVQNVEKNKTYNIIQWK DLSKDYVPPEDPKVRRNSRKGSVSKSTKLKLKNFESSFNIGDFEQFRTEYTMDLSGFQ NPERLKFLEEYYWKTLNFTTPMYGADTPGSIFPERLNVWNVAKLPNILDHMETKVPGV NDSYLYAGLWKASFSWHLEDQDLYSINYIHFGAPKQWYSIPQEDRFKFYKFMQEQFPE EAKNCPEFLRHKMFLASPKLLQENGIRCNEIVHHEGEFMITYPYGYHAGFNYGYNLAE SVNFALEEWLPIGKKAGKCHCISDSVDIDVKKLAKSWRDYNKELKGTPSLNQLPNPAI PLLHRPTLKEMENSSLRSTSPDVGHFSSFKSKSSGVSSPLLSRMKDYSNIVEPTLEDP TLKLKRISSSQEQPLNKLLKRETSQTAMLTDHEDNIVAMSLTSMANSAASSPRLPLSR LNSSNELSNAQPLLDMTNNNLAFPGPNGPSGLNPLLYISNKNINGISHSAPHSPVNPN ISLIKRVKSPNIVTLNISRESSRSPIALNSEARLQHSQQHSFSTPSTVSNLSTSVLGP LTDTNDIKIPHPERPIHKTTNRILKKEPPMERPKSNLILSKVASTYHEDSFTNENNDL DKEQGSSPLTSKFAPEEIVLSGKNKIYICKECQRKFSSGHHLTRHKKSVHSGEKPHSC PKCGKRFKRRDHVLQHLNKKIPCVSNDTVVDAPIMNPTVQPQDRNATINQQSTPLN SPAR_E02440 MPANVKHATHLLKPLRLLLLGAPGSGKGTQTSRLLKQIPQLTSI SSGDILRQEIKSESTLGREAATYIAQGKLLPDDLITRLITFRLSALGWLKPSATWLLD GFPRTTAQASALDELLKQHDASLNLVVELDVPECTILERIENRFVHVSSGRVYNLQYN PPKIPGLDDITGEPLTKRLDDTAEVFKKRLEEYNKTNEPLKEYYKNSGIFSTVSGETS DIIFPKLLDLITSKFGQ SPAR_E02450 MKFYIDDLPVLFPYPKIYPEQYNYMCDIKRTLDVGGNSILEMPS GTGKTVSLLSLTIAYQIHYPEHRKIIYCSRTMSEIEKALVELENLMDYRTKELGYQED FRGLGLTSRKNLCLHPEVSKERKGTVVDEKCRRMTNGQAKRKLEEDPEANVELCEYHE NLYNIEVEDYLPKGVFSFEKLLKYCEEKTLCPYFIVRRMISLCNIIIYSYHYLLDPKI AERVSNEVSKDSIVIFDEAHNIDNVCIESLSLDLTTDALRRATRGANALDERISEVRK VDSQKLQDEYEKLVQGLHSADILTDQEEPYVETPVLPQDLLTEAIPGNIRRAEHFVSF LKRLIEYLKTRMKVLHVISETPKSFLQHLKQLTFIERKPLRFCSERLSLLVRTLEVTE VEDFTALKDIATFATLISTYEEGFLLIIEPYEIENAAVPNPIMRFTCLDASIAIKPVF ERFSSVIITSGTISPLDMYPRMLNFKTVLQKSYAMTLAKKSFLPMIITKGSDQVAISS RFEIRNDPSIVRNYGSMLVEFAKITPDGMVVFFPSYLYMESIVSMWQTMGILDEVWKH KLILVETPDAQETSLALETYRKACSNGRGAILLSVARGKVSEGIDFDHQYGRTVLMIG IPFQYTESRILKARLEFMRENYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGVMVLA DRRFSRKRSQLPKWIAQGLSDADLNLSTDMAISNTKQFLRTMAQPTDPKDQEGVSVWS YEDLIKHQNSRKDKGGFIESKNKEGEQDEDEDIEMQ SPAR_E02460 MTEHETRDKVKKIREIYRYDEMSNKVLKVDKRFMNISQNPQRDA EISQPKSMSGRISAKDMGQSLRSNVNKEIKESDVAVEKTEKSTSLRKIQQHSTILNSS SNFRLHYYPKSPSNVEVYEQVLLWVTEVLGNDIPHDLIIGTADILIKQLKENEENGDG NIEKRKEKIQDELGININTPKFTELVKLMKNLTDYEIHPDKTDKQAVAILADDEKSDE EEVAELSNNTNVLEREINDDDEEEEEEYDHNGVEVNLKTTNNRALPNIENDIIKLSDD KRSNIESIPIYSIDEFFLQRKLRSELDYKDTSAIQDLSEKILHEIKSYERNPVALEQK LVYLLDFENIPLAEFILKNRSAIFWGIRLAKSTENEIPNVIEEMVVNGLDDLVEQYKF RNTAFAKRELDSGDDQPQSSEAKRTKFSNPAIPPVVDLEKIRFDESSKLMTVTKVSLP EGSFKRVKPQYDEIHIPAPKKPVIDYELKEIASLPAWCQEAFPSSETMSFNPIQSKVF NAAFEGDSNMLICAPTGSGKTNIALLTILKALSHYYNPTTKSLNLSAFKIVYIAPLKA LVQEQVREFQRRLAFLGIKVAELTGDSRLSRKQIEETQILVSTPEKWDITTRNSNNLA IVELVHLLIIDEIHLLHDERGPVLESIVARTFWASKYGQECPRIIGLSATLPNYEDVG RFLRVPKEGLFYFDSSFRPCPLSQQFCGIKERNSLKKLKAMNDVCYEKVLESINEGNQ IIVFVHSRKETSRTATWLKKKFMEENIAHKLTKNDSGSKQILKTEAANVIDPSLRKLI ESGIGTHHAGLARNDRSLSEDLFADGLLQVLVCTATLAWGVNLPAHTVIIKGTDVYSP EKGSWEQLSPQDVLQMLGRAGRPRYDTFGEGIIITDQSNIQYYLSVLNQQLPIESQFV SKLVDNLNAEVVAGNIKCRSDAVNWLSYTYLYVRMLASPTLYKIPDISEDRQLKKFRE SLIHSALGILKEQELVLYDAENDVVEATDLGNIASSFYINHASMNVYNRELDEHTTQI DLFRIFAMSEEFKYISVRYEEKRELKQLLEKAPIPIREDIDDPLAKVNVLLQSYFSQL KFEGFALNADMVFIHQNAGRLLRAMFEICLKRGWGHPTRMLLNFCKSATTRMWPTNSP LRQFKKCPVEVIKRLEASTVPWGDYLQLETPAEVGRAIRSEKYGKQVYDLLRRFPKIS VTCNAQPITRSVIRFNVEIIADWMWDMKIHGSLQPFLLMLEDTDGDSILFYDLLFITP DMMGREFTFSFTHELKQHNQNNLPPNFFLTVISENWWHSESEIPVSFSGFKLPNKFPP PTPLLENINISTSELGNDDFSEVFGFKTFNKIQSQVFESLYNSNDSVFVGSAKGSGKT AIAELALLNHWRQNKGRAVYINSSEKKIDAVLSEWNKRLSHLAGGKTINKLGNDPSLN LRLLAKSHVLLATPVQFELLSRRWRQRKNIQSLELVIYDDAHEISQGVYGAVYETLIS RMIFIATQLEKKIRFVCLSNCLANARDFGEWAGMTKSNIYNFSPSERVEPLEINIQSF KDVEHISFNSSMLQMAFEASAAAAANRNSSSVFLSSRKDCVEVASAFMRFSKAIQWDM LNAEEEQVVAYVEKLTDSHLRAPLKHGVGILYKGMAPNDERIVKKLFEYGAVSVLLIS KDCSAFACKTDEVIILGTNFYDGAEHKYMSYTINELLEMVGLANGNDSMAGKVLILTS HNMKTYYKKFLIEPLPTESFLQYIIHDTLNNEIANSIIHSKQDCVDWFTYSYFYRRIH VNPSYYGVRDTSPYGISVFLTNLVETSLNDLVESSFIEIDDTETETKAEVKGGDDEAT EMISALNNGLIASHYGVSFFTIQSFVSSLSNTSTLKDMLYVLSTAVEFESIPLRKGDR ALLVRLSKKLPLKFPGDASSDSVSLKVFLLLQAYFSRVELPVDFQNDLRDILEKVVSL INVVVDILSANGYLNATTAMDLAQMLIQGVWDVDNPLRQIPHFNNKILEKCKEINVET VYDIMALEDDERDEILTLTGSQLAQVAAFVNNYPNVELTYSLNNSDSLTSGAKQKITI QLTRDVEPESLQVTSEKYPFDKLEGWWLVLGDVSEKELYAIKKVTLNKETQHYELEFD TPTSGKHNLTIWCVCDSYLDADKELSFEINVK SPAR_E02470 MDSLNLNKRPSLQYSLSSLGSQITKWNSSRPTSPVRNARSTERE SLSKQDVVDTSPNIDENGGEQWQERFKPTCLEQVAIHKRKLKDVREALDAMFLPDAKH RILLLSGPSGCSKSTVIKELSKILVPKYRQKSNLMSLRGISNDHEVTEFRGDCIVNDL PQMESFSEFLKGARYLVMSNLSLILIEDLPNVFHIDTRRRFQQLILQWLYSSEPLLPP LVICVTECEIPENDSNYRRFGIDYTFSAETIMSKEILMHSRLKRIKFNPINSTLLKKH LKLICVQNMKMLKEKNKWNKRQEVIDFIARETGDIRSAITSLQFWATSSGNLPISTRE STISYFHAIGKVIHGSHSTKNDNDMINNLFKNSNGLLSKQDFKLGILENYNTFNRGKF SISDASSIVDCLSECDTMNGLPEPNEYSLREVRKIFRNISKEGHNHGTVYFPREWKVR KLQNSFKVQSEDWLNVNLYKYGAVHSFRNITMEFGYYAPLIRKFQSYKKKSMLYYLKN LPADSLELKQTMDKFSDLMKIENGIDMMDRIGGPIEALSVEDGLTPLMDNDSSNSDHL EDQKRERDRRLRVLIDQYEKNVMMVNDGLEDGEASFNDDPIVDSDDSNNIGNETFGRS DEDESLYEMLSQRQPRNAPVISESLSDSDLEVL SPAR_E02480 MAVVEITSQDQFTQLTTTSAANKLIVLYFQAQWADPCKSMSQVL EAVSEEVNQEDVRFLSIDADKHPEISDLFEIAAVPYFVFIQNGTIVKEISGADPKEFV RSLEALSSGSASLGSNAKGPTSASDEENSGCSDDEEVETEEEINARLVKLVQAAPVML FMKGSPSEPKCGFSRQLVGILREHQIRFGFFDILRDDNVRQSLKKFSDWPTFPQLYIN GEFQGGLDIIKESIEEDPEYFQHALQ SPAR_E02490 MSTFSASDFNSKRYSSSRPSYPSDFYKIIDAYHDGERKLLVDVG CGPGTATLQMAKELKLFDRIIGSDLSATMIKTAEAIKEGSSDTYKNVSFKISSSDNFE FLGADLVDKQTVDMITAVECAHWFDFERFQQSVYANLRKDGTIAIWGYADPIFPDYPE FDDLMIEVPYGKETLGPYWEQPGRSRLRNMLRDSHLDPARFHDIQVSYFCAEDVRDEV KLRQHTEKPLLIRKQVTLVEFAEYVKTWSAYHQWKQDPKNKDKEDVADWFIKESLRRR PELTANTKIEVVWNTFYKLGKRI SPAR_E02500 MDYQCRTCSQALNAEQMMKHLSTTRHKTVLDASNDEEICCEECQ DKNIHQLQIIRFGGEDMILLCNSCFRKEYSETERPSTSYSLQNGSILKFWEKYVKVRE CCCDDCGEESNLNVYRNGEVLCDKCLQKSNKAKDFVSEKSGRFLYIYLGLNETPNSTR KPRKKGGRRVGRGKKGKEGGKTKKEKKETFEAKISRIAYEVKKENSTIQSSSNLSLRN FKGFKAVESDPEIAAKVSKSETSRNNLSLSKGNKGKGNKINNKKNSGNGVGKEKENKT NTRNNSRNTKHIPEARKKASGRATTNSDVKSKTKSVDKRQLPQPKVSNGSGLGTSSAT TLKKGKKEYADQKTNSNNKTSNKNAREARPNSTSRKSALSKKPNNQVDKKTSKWTIGS DAESSREPSVSPNENATPTAKTRNQKKKANKPALKEKSLPAIISKKSETSLHQGKNVE KTKDGKLIYEEGEPLTKYNAFKPTLSYPDLNAYLNDYSFALFLEQKLENDFIQNFNIL WPRNEKDTAFIINVDKNNNPELEKLLPANLLALGRPAFNERQPFFFCTQDEQQIWYIF IKELSIQRGKYVLLVELFSWNNLSLPTKNGSSQFKLLPTSAQTSRILFAMTRITNPKF IDLLLGQKPIKEIYFDNRLKFSSGKLNRSQKTAVEHVLNNSITILQGPPGTGKTSTIE EIIIQVIERFHAFPILCVAASNIAIDNIAEKIMENRPQIKILRILSKKKEHQYSDDHP LGEICLHNIVYKNLSPDMQVVANRTRRGEMISKSEDTKFYKEKNRITNKVVSQSQIIF TTNIAAGGRELKVIKECPVVIMDEATQSSEASTLVPLSLPGIRNFVFVGDEKQLSSFS NIPQLETSLFERVLSNGTYKNPLMLDTQYRMHPKISEFPIKKIYNGELKDGVTEEQKA WLGVQHPLFFYQCDLGPESRVRSTQRDIVGFTYENKHECAEIVKIIQILMLDKKVPLE EIGVITPYSAQRDLLSDILTKNVIINPKQISMQQEYDEIELFNAAGSQGAASSLQNNV INIINGLHVATVDSFQGHEKSFIIFSCVRNNTENKIGFLRDKRRLNVALTRAKHGLIV VGNKNVLRKGDPLWKDYITYLEEQDAIFTDLTAY SPAR_E02510 MSTSREDSVYLAKLAEQAERYEEMVENMKTVASSGQELSVEERN LLSVAYKNVIGARRASWRIVSSIEQKEESKEKSEHQVELIRSYRSKIETELTKISDDI LSVLDSHLIPSATTGESKVFYYKMKGDYHRYLAEFSSGDAREKATNASLEAYKTASEI ATTELPPTHPIRLGLALNFSVFYYEIQNSPDKACHLAKQAFDDAIAELDTLSEESYKD STLIMQLLRDNLTLWTSDMSESGQAEDQQQQQHQQQQPPAAAEGEAPK SPAR_E02520 MLAASFKRQPSQLVRGLGSVLHTSTRIGHVRTMATLKTTDKKAP EDIEGSDTVQIELPESSFESYMLDPPDLSYETSKATLLQMYKDMVIIRRMEMACDALY KAKKIRGFCHLSVGQEAIAVGIENAITKLDSIITSYRCHGFTFMRGASVKAVLAELMG RRAGVSYGKGGSMHLYAPGFYGGNGIVGAQVPLGAGLAFAHQYKNEDACSFTLYGDGA SNQGQVFESFNMAKLWNLPVVFCCENNKYGMGTAASRSSAMTEYFKRGQYIPGLKVNG MDILAVYQASKFAKDWCLSGKGPLVLEYETYRYGGHSMSDPGTTYRTRDEIQHMRSKN DPIAGLKMHLIDLGIATEAEVKAYDKSARKYVDEQVELADAAPPPEAKLSILFEDVYV KGTETPTLRGRIPEDTWDFKKQGFASRD SPAR_E02530 MSVTGTEVDSDTTKNILSVDELQNYGINASDLQKLKSGGIYTVN TVLSTTRRHLCKIKGLSEVKVEKIKEAAGKIIQVGFIPATVQLDIRQRVYSLSTGSKQ LDSILGGGIMTMSITEVFGEFRCGKTQMSHTLCVTTQLPREMGGGEGKVAYIDTEGTF RPERIKQIAEGYELDPESCLANVSYARALNSEHQMELVEQLGEELSSGDYRLIVVDSI MANFRVDYCGRGELSERQQKLNQHLFKLNRLAEEFNVAVFLTNQVQSDPGASALFASA DGRKPIGGHVLAHASATRILLRKGRGDERVAKLQDSPDMPEKECVYVIGEKGITDSSD SPAR_E02540 MDVDERLQQDENQTHHFLQKKSSGFLIKEKVSTKSKDPDNIRLR DLNFNHRKKLDGKKIAKQVPVQVNFKKSNKIEALTALESNELTDINLDYIPDSPSIEK ISGPENSAAVTPKNIIHLQSDSDLILEECDHNYDCGPFYRLYNYENRIEPDDYEAILN AIITDEIAGTYPIFERELEYQELKSLVRKRDYILYYLLSKDYRGFFQLKEERTLFYRY PSIAYTSPLKYLDKRSENELFIGEDDEELQSFDLENTSSVRTLDSNIWR SPAR_E02550 MSTESMSVDSSMNNKSPAEANISERETKTKNERMMHQTKLLKDT LDLLWNKTLEQQEVCEQLKRENDYLEDYIGNLMKSSNVLEK SPAR_E02560 MLKRTAIAQLRKYTNGIVFKTASKPKRRWIPWTIFGGSFFGGWY LTQHMTFTDLLAYWRYDALPKNADEVVKYHADLNRRLNGLPIVKQLEEAGFVQVIANE EKNLLVSRALNTPGGIAIPPRVYYNPSRRETVGLYHLGMKLTGYPFLIHGGILATVIE DLMKEAFRLEKGTKNINQETKDLSISYKFPTLANQFVVVRTTDLQQYGNKTKLKAELM DQSGNRTLVKANATFASEE SPAR_E02570 MATKQLLRRQIKRIINALDYDTIAAESHTISQVVRSLIASTNSW RVACYMSMDKGEVTTGEIIKNLFYDGQEVFLPRCTHTSESKHFKLREDHHPHLIFHRM SSLRMVRDLKPQGPYQLKEPGSHIEESNILDVVLVPGVAFDIKTGARMGHGAGYYDDF FQRYKILRQGQKPLLIGLCLMEQLTSPIPLEKHDFPMDCIVCGDGSIHWFQ SPAR_E02580 MAAKRGLAKQTSRVSKACERCHRKKIKCDSKKPCFGCVGSQSKC TYKNQLYEPIEVFFNYTGSLSNNLDNVKCSIAKLKTQLPPSAPASLRKDLANICTELE KIQPQLYLNLDSKEISSYDGPKSIETEIIGKQSKFLNRFSNAFESNTAQNVSMYFGVY SPLLYFTSTGISWITKKLISCSDDRETRETIYLFLKFLDASSASHASPKVTSISPLEY YAKLNGLSCGNDVLIQHIMSNISNEIKENTNITRTNKFNKPTDWFMYGVHLMEQHHKT LDRKSSKLLSLKGFLEQDELIFCLCLEYFERSIFSTMYDLIILKGLVSLMKHRYWIDD PFVLGRIICTMSRRSLDAGLNRWEYYIGQEEGTAEAYRKLWWDCYWWDRWYSLVTGKQ PLIPDEMTSCLFPKEVVGLGVDDSMDCFTLINLVKLDPSKLEVCISFGYILLTKIITA VFSGLLYNRHFTDYRLFATPDTKDLNGTAQQLMAEFSKVYKIFQRVQDKLVPFLKQYS ENSSAFELYTHFGFAKVCCFQGIETLILRIQNLLQGREKAELDSCVKDIRLETFKASV DILTDILKLKDMFYIFRCSWFIYAILMNITLYFIETPRRNSISYLSLMCSVIASFDDL FISSGNVSLKGNNAFFKKLQNGTTVSFILTRICCQMYIRSQKTTRESLFSELKKYGQA CSDASQAVLDIECIWYKNIIGEHKESSFRKEILSILDRDMGDLVNNRVIGVQGKNETG TCHEELPLTGMDFGSLENFVTSGSLPDFLNLFWEDIELGISKGNFGE SPAR_E02590 MSTTDSGFVLYHYTPSKAAAIVFVVLFILTTVIYAVQTLYAARK SSKILKNNPFESSDDKVDIFKDDEYKQLKISSTVYAFLPFFAGCIMEVVGYIGRALSS SNPEKTTPYIIQSVLLLVAPALIAATIYMIFGRLLHVMRCQSLMIVSARFGTSFFVVG DVFSFFLQAAGGGLMSKAGSTKTGSGLITAGLFVQVVFFGFFIINEIRFTVSVKKRCL FYEDISRRWIFVNATLLLSSVLILLRSIVRIVEFIQGFNGYIIAHEYFIYVFDAVPML LVIIAFSVGSFFGNVFDVINECQTLNN SPAR_E02600 MLWHPNGYEPRAKATEEETYANKDRKDVPDKFKFDTVTKTGMVK LRVFKDDLIFKSQRL SPAR_E02610 MSAEPFFEGALANFRYWARLFWQVLCVVTNLYKVTLLEHYIVIP NNVCRIEKKIYEKMNRSYTDDMNSSSPNYLTTRTFRIFRLFAIIFALLLIAYSLFLST LKTGSIPDRANTVTLMRRARWSFGTGLGLGGFVIAAAAYATPYWVAAICLATASEVCA PIAGAVLATAAVIVAAVLVGKSSGSATKRGLTKTISVLNHTITFTDHILNGQTLSNGT GSNFVTIGFSGYAVHDTIKRDSTTDINFVGYTTEHGTHISTSSVHNVSSLIDKIVAAV PGVPDTGGNASALSFQKRSQEFATSWISMTYDQSYGDLAQTWQNDEGGAGNFDSYAEE ELQNFFSGNRDWKYCFAAEDTKSGEPIDYDDIPGDGPGTGSAFKLEIYFNTYGGIDNY CNDEHIGAQNTGDGR SPAR_F00010 MLNRFNKFQAALALALYSQSVLGQYYPNSTTVPSNSSSSTFSST SSSSFSISSSITQSTSSAPDVSSSLTQLTSSSELPSSIAPSSSPGSEVSSSITQSAST FTSPSGTGSTGSGSSSTSPSGSGSSGTGSTATGPSGTGSSGTSPSGTGSSGTSPSGTG SSGTGSSGTSPSGTSPSGTGSSGTGSSGTSPSGTGSTATGPSGTGSSGTSPSGTSPSG TGSTATGPSGTGSSGTSPSGTGSSGTSPSGTGSSGTGSSGTSPSGTSPSGTGSSGTGS SGTSPSGTGSSGTGSSGTSPSGTSPSGTGSSGTGSTATGPSGTGSSGTSPSGTGSSGT SPSGTGSSGTGSSGTSPSGTSPSGTGSSGTGSSGTSPSGTSPSGTGSTATGPSGTGSS GTSPSGTGSSGTSPSGTGSSGTSPSGSGSTATGPTGSGSGSSGTGPSGTGSTASGSSA SITQSASSAPSLSSSIPQSTSSVSTVSGSITSGTLSSITPSASSATATASNSLSSSDG TIYLPSTTISGDVTLTGSVIATEAVEVAAGGRLTLLDGDKYVFSADLIVYGGVFVEKS KPTYPGTEFDITGENFDVSGTFDAEEPAATSASIYSFTPGSFINSGGISLSLSASPKG EVTFSPYSNSGTFSFSNAILNGGSVSGLQRRADTAGSVNNGEINLDNGSTYVIVEPVS GSGTINIISGNLYLHYPGTFTGQTVVFKGEGVLAVDPTESNTTPIPVVGYTGKNQIAI TADVTNLSYDSNTGVLTATQGNSQFSFSIGTGFSSSGFNVSEGTFAGAPAYYLNYGGV VASSATPSSTSTTSGVSSTTSATGSTPVTSSGTINSGTSTSITSGVSSATSATGPTSV TSSGTNISGTSTSVTGSTSETSSGASVTGESNSVTTPGTTISSAESAYTTTLTYASAT STVVVSCSETTDANGNVHTITTTIPCSSTTATITSCDETGCHVTTSTGTVATEIASSK SYTTATVTHCDDNGCNTKTVTSECPEETSATTTSPKSYTTVTATHCDDSGCNTKTITS ECPEETTVSPKTYTTATVTQCDHNGCYTKTVTSEVHKETSETAASPKTYTTATVTSCD ENGCKVNTVTAEASKESSATTTIIASSKPYTTSIVTQCDNNGCNVKTITSQILEATSV IAAPSASPKSHGTGTSEASKATSLTTAISEASKATSLTTAISEASSPISIFSKSGSSN SQTTGPKTSTGIITQSEAIAAGLNTHALNALVGIFVLAFFN SPAR_F00020 MDAECIEWKSTANLHNGPAFFQPLTDSIEPLQFKLIGSNTLATA FPVFDTKYIPDSLINYVFSLFNMEIESGKTYPQLDVLTKQEFLKYWFHSFAVIVLQTD KKYIEDNQDWHSVLLGTFYIKPNYAPRCSHNCNAGFLVNSTHRGQKIGYRLAQVYLNW APLLGYKYSIFNLVFVTNKASWKIWDRLNFQRIGLVPCAGILNDFSEPVDAIIYGKDL TKIEPEFLSMEYP SPAR_F00030 MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDMAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEGKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLNAIKKATDYVLADPVKAWKEYIDFKPQLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRKEGTFK RLALPA SPAR_F00040 MADIFGPAPEPPTELGRLRVLSKSAGIRVSPLILGGMSIGDAWS GAMGSMNKERAFELLDAFYEAGGNFIDTANNYQNEQSEAWIGEWMVSRKLRDQIVIAT KFTTDYKGYDVGKGKSANFCGNHKRSLHVSVRDSLRKLQTDWIDILYVHWWDYMSSIE EVMDSLHILVQQGKVLYLGVSDTPAWVVSAANYYAQSHGKTPFSIYQGKWNLLNRDFE REIIPMARHFGMALAPWDVMGGGRFQSRKAMEERKKNGEGLRTFVGSPDQTDAEKKIS EALSKVAEEHGIESVTAIAIAYVRSKAKKVFPLVGGRKIEHLKQNIEALSIKLTPEQI EYLESIVPFDVGFPTNFIGDDPAVTKKLSILTAMSAKISFD SPAR_F00050 MVVSNLKRETVDIEKTAKKDDKPLFALNVEAVDINEDPDVPRYD PHTGVKRALKNRHISLLALGGVIGPGCLVGAGNALNKGGPLALLLGFTIIGIIAFSVM ESIGEIITLYPSGGGFTTLARRFHSDALSAVCGYAYVVVFFAVLANEYNTLSSILQFW GPQVPLYGYILIFWFAFEIFQLVGVGLFGETEYWLAWLKIVGLVAYYIFSIIYISGGI RNRPAFGFQYWNSPGALSHGFKGIAVVFVFCSTFYSGTESVALAATEAKNPGKAVPLA VRQTLWRILVVYIGIAVFYGATVPFDDPNLSASTKVLKSPIAIAISRAGWAGGAHLVN AFILITCISAINGSLYIGSRTLTHLAHEGLAPKILAWTDRRGVPIPAITVFNALGLIS LMNVSVGASNAYSYIVNLSGVGVFIVWGVISYTHLRIRRAWVAQGRSVEELPYKALFY PWTPVLSLAANIFLALIQGWSYFVPFDAGNFVDAYILLPVGILLYVGICVFKSNHFRT VDLRSVNLDEGRRKDMESDLSDQESCLASSEITKDYKSATFFKYLTTIFT SPAR_F00060 MSYESGRSSSSSESTRSPTLKGEPNAKIAWEGSVKGSEKNNGND SNPSMRRLGESRRAAEMGGSSRNKLSPLTPLKKASVERKDSAQPQIPSMGFTYSLPNL KALNSFSDVEQARMMHDYLSGGMNRGNSNNYVDPLYRQLNPTMGGIKNKPVWSLNQPL PHVLDRGLAAKMIQKNMDARSRASSRRGSTDISRAGSTTSVKDWKRLLRGVAPSKKLG DIEAQTQRGNSVGTDVTSTKLEPENPQKPSNTHIENVSRRKKRALQNVNFSLGDESYP SSIADVESKRLRNMQTIDGSTPAYAKLPEELIEEENKSTSALDGNEVGASEDEDADIM TFPNYWAKIRYHMREPFAEFLGTLVLVIFGVGGNLQATVTKGSGGSYESLSFAWGFGC MLGVYVAGGISGGHINPAVTISMAIFRKFPWKKVPVYIVAQIIGAYFGGAMAYGYFWS SITEFEGGPHIRTTATGACLFTDPKSYVTWRNAFFDEFIGASILVGCLMALLDDSNAP PGNGMTALIIGFLVAAIGMALGYQTSFTINPARDLGPRIFASMIGYGPHAFHLTHWWW TWGAWGGPIAGGIAGALIYDIFIFTGCESPVNYPDNGYIENRVDKILHAEFHQHDGAA STSVGSDDNSNSNNGSKKSVPISP SPAR_F00070 MSHKQFKSDGNIVTPYLLGLARSNPGLTVIKHDRVVFRTASAPN SGNPAKVSLVSGGGSGHEPTHAGFVGEGALDAIAAGAIFASPSTKQIYSAIKAVESPK GTLIIVKNYTGDIIHFGLAAERAKAAGMKVELVAVGDDVSVGKKKGSLVGRRGLGATV LVHKIAGAAASHGLELSEVAKVAQSVVDNSVTIAASLDHCTVPGHKPEAILGENEYEI GMGIHNESGTYKSSPLPSIPELVSQMLPLLLDEDEDRSYVKFGPKDDVVLMVNNMGGM SNLELGYAAEVISEQLIDKYKIVPKRTITGAFITALNGPGFGITLMNASKAGTDILKY FDYPTTASGWNQMYHSAKDWEVLAEGQVPTAPSLKTLRNEKGSGVNADYDTFAKILLA GIEKINEVEPKVTWYDTIAGDGDCGTTLVSGGEALKEAIKNHTLRLEDAALGIEDIAY MVEDSMGGTSGGLYSIYLSALAQGVRDSGDKELTADTFKKASSVALDALYKYTRARPG YRTLIDALQPFVEALKTGKGPRAAAQAAYDGAEKTRKMDALVGRASYVAKEELRKLDS EGGLPDPGAVGLAALLDGFVTAAGY SPAR_F00080 MAYNGQACDCCCIRRVKCDRKEPCNCCLQHNLQCTYLRPLKKRG PKPVKTRNLKKIDNVHVVTKCSSAGMMKAPKALIDQCLRLYNDKLYVIWPLLCYDDLH KLLEERYDENCVYWFLVSLSAATLSDLQTEIESEEGVTFTGKQLSSSCMSSRHEFDDF NGSDIFKIMTYYCLNRCYAQMSNSRTSYRLSCEAVGLIKLAGYHREETLERLPFNEQQ LGRKVYYLLLLTERYFSVYTHCATSLDTTIAPPQPEIVTDPRLSLDSFLEMIRVFTVP GKCFFDALATDCANVTCTEESLKKIWKELHTVPLEIEPWSYGYVDISFSRHWIRTLAW KLVLQISGMRISFLSNNKNAHIPVEVAREMLEDTFLIPNNLYSVHGPGISVKALEIAD ALVDVVNQYDPNIESEAWSFLFDISKFVFSLKHCDSTLVDRFKKKCQCALITLPISKP LESTDSTKEDSHMLID SPAR_F00090 MTSVGANSLNECTSVEAIEGSRLAADNSSHLSAPTVEEDKDFPN SNEEEAEEVVIPEKPASAYVTVSIMCLCMAFGGFMSGWDTGTISGFVNQTDFLRRFGS YSHSKNTYYLSNVRTGLIVSIFNVGSAIGCLFLSKLGDIYGRCMGLIIVIIVYMVGIV IQIASVDKWYQYFIGRIIAGIGAGSISVLAPMLISETAPKHIRGTLLACWQLMVTFAI FLGYCTNYGTKTYSNSVQWRVPLGLCFAWAIIMIGGMMFVPESPRFLVQVKKPEQAKA SFAKSNKLSVDDPAVIAEIDLLIAGVEAEEAMGTASWKELFSTKAKVFQRLSMTVMIN SLQQLTGDNYFFYYGTTIFKSVGMTDSFETSIVLGIVNFASCFFSLYSVDKLGRRKCL LLGAATMTACMVIYASVGVTRLYPNGKDQPSSKGAGNCMIVFTCFYIFCFSCTWGPVC YVIISETFPLRVRSKCMSVATAANLLWGFLIGFFTPFITSAINFYYGYVFMGCLAFSY FYVFFFVPETKGLSLEEVDEMWMDGVLPWKSTSWIPASRRNADYDNEKLQHDEKPLYK RMF SPAR_F00100 MSGVVSITDSTSGQLNADEKSRGNARNDAAEKDLVSINGGLQQV SSSTSSCNGEKIIKTRGVTRIEVVREKMSTKVTWILGLSILLTSWVAALDATTTYNYQ PYATSSFNRHSMLSTLTIANSVIGAVCKPFIAKISDLSSRPVTYFVVLLLYVIGFVIT ACSPTIAAYVIGSVFIAIGQSGISLMNMVIIADTTTLKWRSFFTSLLSVPYLVTTWIS GYIVEDIINSNWRWGYGMFAIITPVALTPAILVMSYLEHQANKTGDIPVGSDPLAKKK VEVTESHISGFKGYWELLKASLIEIDAFGLILLGFAFSLILLPCSLYSYAEGGWNNPS MIAMEVVGGIFLVSYVVFEVFFAPFPLLPKRVLMNRTFICCVIIDFIYQMAGYFSLLF FTSYTFVVLNLSYRDWVYLSNTTTMGLCFFGVVWGALFRGFHRYKIFQVVGIAIKLIG MGLYVACSKKDGSPGIGLVVAALVITNFGDAANVMGTQVAAQAAVPHQDMAATISVLS LYSSIGAAVGTAITSAVWTDKLPGALLKYVPDKDKAVAFFESLTSIWEEPWGSANREG AISAYQEVNYTLFCMGLGVSSIMFVVALFQTNYYLGDQQNCVEGEQKEDYHHNANGSK KTLLNRAFDFWK SPAR_F00110 MSQANVDFQGLSIISCKTCRQRKIKCGRQFPKCQNCIKRSCECT YPRTFRKTSTKLTRKRRDVNARFYGFSSVNRSLFEVGMPFSNVDFELEGENAANQVKR FSSSPVFKKYIGNPELILAAIQSVRSSISCSFFDETVDLNSLEQKILSKHGADYQTLL LSYAVIIVSERFYDIPPDVREVVTELDVLLNDCSDCSEKVSSLILLSEYYHYNFKIET AWKCIFLAASIGYALGLHTISSKVWSMLVLQDSLLCSVLGRPTSISCVNSKLVSHQCD GWGEIAILLREGNDMLLNLKSETCVEKAISLDLKIDDVIERTKKNMCSSERSDNSVIL LVGYLKICILAASRIKLLFPFFTKHRSIKAQLDENCSSLAGCLCGLFELLNASNLTSE NRKFPLRPHFFPAYCSVFQGFLLQFLYTSNELFKNFDEATDGANSTFLPKDLGRAALF LPSLDVTSILMDDYDLITGKVKFCSFMTDLFASFRSLLNQRKSAAKKRMPTETSAEDQ LSTPAVCSGIPSEKSDNSSPIIMGDIADWITSCFSDGITHFYPSEPPL SPAR_F00120 MAPNRVTKLLKALEDIRNKCQKSPYQLNVNVGLIFLGCTFDLLN VASMISLIDDLAKTYNISYTTASWSLTSYAVTFAGFIACMGRLGDIVGNSVLFTISCS FFAILSLLCAVMPNFPAFAVFRAIQGICAAGLVPCAYALIPILAPKEKVQTYFSIVSC GFSSTIGLGLIIGGAFAATKIGYRGIFYLTFAVMSLISIIAFFFTYDVERFNKKPQND QVRTSVKSLDFIGSLIFVAGSILIVVGLTEGGESWNRPVTYVTLIIGIILFFGFFVWN CTYAKVVRGIRCVGIDTSKYFEKVQLLIPVDVLFMKNFIPIVLAFALNNACLFSCLYI IDQYSQYAEKDSPLLAGVKLVPLIVCMVIGNALCAFESTKLKPRVGVALGFFLALAGS VILIQLHLVKEDVFWKIFFSSQALVGFGVAIFYPYALQIAVGGAPDQSKGIASGVAQT FGQLGIEITFSVMASVLGNINEMRGKNDAVQKFRTGFQNCSYFTVAVGALGFLVTALC IRDIRPPNDGTSDLESSIHRTKIEIDQEKDETEEKA SPAR_F00130 MSIQRSVFSALLAFLALANTTSASTEACLPTNQREDGMNINFYE YALGDTATYTESTYMGYEYSDTKKLGSISGQTNLSINYSPPCVSIPTCTSWAVIRRDD GDSDDPCGEPDVNYTKRDDTDTEYCDPNTAYWSSDLFGFYTTPTNITVEMTGYFLAPE NGSYTFSFDTVDDSAILSIGGDNAFACCEQQQSALTSTNFTIDAVGGNGGSSSEGSVY LYAGYYYPMKIVFSNAEESATLPISVSLPNGTYVMDDFEGYVYSFENNPAQADCTVTD PASHTIDALSRTRTQAWTGTYTTTQIQTSTYTGLNGLTTEETIFEIQTPTATSSSVIT DTYSSAGTTTAANITTSTSTSSETATSSSTTIPTSSDVTTSSQSEVISSSTTSNLTSS SVTTSSTGSVSMTSTVTSDSITSSSSSSTIPTSSDVTTSSQSEVISSSTTSNLTSSSV TTSSTESVSIASTSISDLTTTSSLLSTIPTSSDSTSSTQSEPLSSSTTSINSTTSSGT TSSTQSVSITSPVSFDTTSTSSSAYSTEEEVTSSLIFSTSSSTAITTSSTQPLITSSY TSFLHSITPIYPSNQTVITSPSSSITSESSEPSESSKTVVTSTSSHSGSSTLDADART ASTSSEGLFSSLPATTGAASIASSIKPASSITSASTTSNDFISSASASSTHLTTSSSS EFFSQTDARSSSSISSKGQETILSSTRSFTGSDSIKFSESFSSSVISSAGSTTEVSSS HISAISSSETYSKNHEVSSAPTSSGSLNHSSSLSISPSTTASTASSTPSYQAETLSFT TKVKSSMNESFTSFSQPQTTEDKITSTPSYVTTAVPNSAPVTTEKRERTTFVTITSCE SNICSKTVSPAMVSTSTTTVKGAATEYTTWCPISSSKSTERTTLVTVTSCESGICSET ASPAIISTATTTVNDAVTVYTTWCSLTTNVEDDTAGLNTIVGGTSTILKGSETKTTTN TNEEYSISGSETHPATVTNAITHRNGVSSASQTSNTEVLGATYLTTVSQQSRTTYASS VLASSTASVEISSYVGIANSLLANSAISIFIASVLLAIV SPAR_F00140 MSSLSSSFQSSSDLPRSKSLANSMVSMNTEEHTGLYEHRQHPDT VPMRQEALGLKKDEIGRSKGKLISSDGENNGIKNRNYVEEANLSTSGHMDFEDEGEAV AHHQLEASAILTSNARPSRLANSMPHQRQLYVESITPYSPRKVGLKRGHNMGLPAAIS SNGCNLEVSRPAKTFTKGRETSLVSANLEMSPESEVDVHFKQTKHKRRAYSTISTNPS VNPITLLTKTVSQKSDVGNDMQEINAVRMSSRASFHSDISQASRVSQETEEDVCFPMS PPLHTRVNGIDFDELEEYAQNSNAEKNQFLANLQKPAEGAFSHVPQGTGFSGSTSTSG SSAALKYTPRILQTFEKNVSANEADESENNEWEQEDDKPGLHPGVSFGKNKVEGDDEG NTSIHNPAYYVYQPTYFQIPNRFSFFRSESDETVHASDISSLISEGQTFFELFRKGEP TWWLDCSCPTDDEMRCIAKAFGIHPLTAEDIRMQETREKVELFKSYYFVCFHTFENDK ESEDYLEPINVYIVVFRSGILTFHFDPISHCGNVRRRVRQLRDYVNVNSDWLCYALID DITDSFAPVIQSIEYEADSIDDSVFMARDMDFAAMLQRIGESRRKTMTLMRLLSGKAD VIKMFAKRCQDEINGIGPALTSQINIANLQAQEKNVQHIKNRNNRSLSNNYTPTTSQP RGGIALYLGDIQDHLLTMFQNLLAYEKIFSRSHTNYLAQLQVESFNSNNKVTEMLGKV TMLGTMLVPLNVITGLFGMNVKVPGRNASIAWWYGILGVLLLLAVISWFLASYWIKRI DPPATLNEAAESGAKSVISNLLPKKNKRFNDRSKNANVRVDSSSKSVASLPSRYSR SPAR_F00150 MLDDNDGETVHEDRNNSSLEQGEIGAVFIVPKILIREHERVILK QILQILDQDELVQPPLDKFPYKKLELPVYTDESKTRDATNTSYKMVQMDAYGEKKVGL NGELFGGRHYLFNTFTFMAHTDVLLVLLQDVIKVLYQSDTKHDGDEFIDQHDQILIME TSEEQTNFLAKNGILPEGSNGSFKYVTARSAFVEFGATVIAGGQRIVDDYWESLAKKQ NLSSHQRVFKLTTGLISKISLLRPSFQNNKITNANEFGTKDNNACTISNSKFESPYPI VTEQPSAEVREAYIENFAKGEHISAIVPGQSISGTLELSAQFRVPRYHSKNSFQQALQ MKAMDIPIGRHEDLLAQYESQALDGSSLTSLPNNIPSVNPSNKPIKRMLSSILDINVS SSKNKKSEENEMIKPMNKGLLKNNTSLNINGWKFESLPLKSPENSGKQQYYRGLPLYE KNALLERLKQLTPNEIKELEHLHDAVFVNTGLQNVRKVRTKKWKKYWQYKAGIPIGLK RSQLDEFKNNYLKDVLAQTSVTTNFNEITNTDETITTKRIPNPNFLGNCNIKDFKPPY IYSRSNKAPQTITGNKTAVKPDADVKNTNPNPMIATDAAATKPNTFANFNNGITMNN SPAR_F00160 MLMAKKSAVLLSFFTVLTIWTGLLEAHPLGDTSDASKLNSDYSL PDLINARKVPSNWQTGEQASLEEGRIVLTSKQNSKGSLWLKQGFDLKDSFTMEWTFRS VGYSGQTDGGISFWFVQDSNVPRDKQLYNGPVNYDGLQLLVDNNGPLGPTLRGQLNDG QKPVDKTKIYEQSFASCLMGYQDSSVPSTVRVTYDLEDSNLLKVQVDNKVCFQTRKIR FPTGSYRIGVTAQNGALNNNAESFEIFKMELFNGVIEDSLIPNVNAMGQPKLITKYID QKTGKEKLIEKTAFDADKDKMSNYELYKKLDRVEGKILANDINALETKLNDIIKVQQE LLSFMTTITKHLSSKQPTNDEKGISTDDAIAEDKENFKDFLSINQKLEKVLVEQEKYR ETAKRHGQDGPQVDEIARKLMIWLLPLIFIMLIMAYYTFRIRQEITKTKLL SPAR_F00170 MLSFCDYFWSEDLVSGLEVLFDRLYHGCEQCDLFIQLFASRMQF EVSHGRQLFGIEAGMDNLKVVQEDEHEGVTVSRALRGILQEMSQEGTHHLTIASNIES LVLQPFGKWCVEHRERIQYSEKTLLTNVNNFKKSKKYVSKLEKEYFNKCRQLEEFKRT HFNEDELANAMKSLKIQSKYEEDIAREKDHRFFNKIAGIDFDYKTMKETLQLLLTKLP KTDYKLPLISYSLSNTNSGGEITKFLLDHMSLKDTDQAETFGQDLLNLGFLKYCNGVG NTFVNSKKFQYQWKNTAYMFANVPMPGSEEPAAGESLISRFNNWDGSSAKEMIQSKIG NDQGAGKFQAPHISDNERTLFKMMDALAASDKKYYQECFKMDALRCSIEELLIDHLSF MEKCESDRLNAIKKATLDFCSTLGNKISSLKLCIDKMLTLENDIDPTADLLQLLAKYK TGSFKPQAIVYNNYYNPGSFQNFGVDLETRCRLDKKIVPLIISSIFSYMDKIYPDLPN DKVRTSIWTDSVKLSLTHQLRNLLNKQQFHNEGEIFDILSTSKLEPSTIASVVKIYLL ELPDPLIPNEVSDILRVLYLDYPPLVETALEDPASSPEDQQGDENEEGTDTKRIRGLY TTLSSLSKPHIATLDAITTHFYRLIKILKMGQDGNEVADEFTISISQEFANCIIQSKI TDDNEIGFKIFYDLLTHKKQIFHELKRQNSKN SPAR_F00180 MLKRIVGLPTRRCFHRTSFLLGGGDFETVHIPNTNHFKDLLIEN GKFQEDQATTIVEIMTDAIRGGVNHVSQDLAKREKLTQLSYQQRVDFAKLRDQLLSAD RSEFHNIQNEYESVKNDLEKLRNKLREEITKTNAGFKLDLSLEKGRIREESSHHDLQI KEIDTKIEQEVTNMKMQIDSVKTQVMQWLIGVCTGTFALVLAYMRLLT SPAR_F00190 MSIAEFAYKEKPETLVLFDVDGTLTPARLTVSEEVRKTLAKLRN KCCIGFVGGSDLSKQLEQLGPNVLDEFDYSFSENGLTAYRLGKELASQSFINWLGEEK YNKLAVFILRYLSEIDLPKRRGTFLEFRNGMINVSPIGRNASTEERNEFERYDKEHQV RAKFVEALKKEFPDYGLTFSIGGQISFDVFPAGWDKTYCLQHVEKDGFKEIHFFGDKT MVGGNDYEIFVDERTIGHSVQSPNDTVKILTELFSL SPAR_F00200 MKLKVTGPGINQIVTLKQDATLNDLIDHIDIDVKTLRFGYPPQR INLQEEDTSLIQTQLDELGINSGEKITIEPSDSDESFSLPPPQPKPKRVLKSTEMSIG GSGEDVLSVHPVPDDNSCLFHAIAYGIFKQDNVRDLREMVSKEVLSNPIKFNDAILDK PNKEYAQWILRMESWGGAIEIGIISDALSVAIYVVDIDAVKIEKFNEDKFDSYILILF NGIHYDSLTMNEFKTVFDKKNQPESDDVLTAALQLASNLKQTGYSFNTHKAQIKCNTC QMTFVGEREVARHAESTGHVDFGQNK SPAR_F00210 MHLDNYNKILNHSAIRKKGEEGNYAERLVVMSDVDNWEPVSDNE DTTDSVKQLGPPFEHASNNDSTGDAEVESLQDVPLNTESNDAHKNLVVITNPNAADER STEIKHDQSRTSSTSSFFSGMISSFKSNVQSPVSRSTTPTSPVSQPNIISHRREPSMG SKRRSSRRISNATIAEIGSPLQQVEKPEEVKTRLTPSQVKEDSYDHRRFVEERYMDTP YHYASEQRNRDFHETFKSVPKDDRLLDDFNCGLNRELLYQGKLYITETHLCFNSNVLG WIAKVLIAFEDITFMEKTSAAGLFPSAISIETKMGKTLFNGFISRDAAFGLMKEVWSR TLLQKDMASENLNTSREKPGNGKEIDDAINSIDEENNDKESNDYDTNDNDDENIYTNE TTPKSKSSSLDKEKEKAYKLCGDSSYQYDGPLYHHSTSFPAEPMANNEFVLKELPFNC APGILFEIMFNSEQNEFLLDFLRGQEGSQITAIPNFTSVDKSSMTLKREYSYEKALHF PAGPKSTTCYVAEVVKRKDPDTYYEVISSIRTPNVPSGGSFSTKTRYLIRWNDEITCL LRVSFWVEWTGSSWIKGMVENGCKNGQLEAAQLMERILSEFVNSNVEECQITVSKEEE KQESEEVEEKSKKIDLEQPREVFATNPAITEPQGLKVTTETWLFLYLIVILLLLLNLF YIRSIAVSLHQLVKLQLVELKL SPAR_F00220 MLLYSFVWSVLTASIAVAKTHKLNYTASWVKANPDGLHEKRMIG FNGEWPLPDIHVEKGDRVELYLTNGFQDDTATSLHFHGLFQNTSLGNQLQMDGPSMVT QCPIVPGQTYLYNFTVPEQVGTFWYHAHMGAQYGDGMRGAFIIHDPEEPFEYDHERVI TLSDHYHENYEVVTKEFLSRYNPTGAEPIPQNILFNNTMNVTLDFTPGETYLFRFLNM GLFVSQYIILEDHEMSIVEVDGVYVRPNVTDSIYLSAGQRMSVLIKAKDKMPTRNYAM MQIMDETMLDVVPPELQLNQTIQMRYGHSLPEARALNIEDCDLDRATNDFYLEPLIER DLLSHYDHQIVMDVRMVNLGDGVKYAFFNNISYVTPRVPTLTTLLTSGRLASDSRIYG DNINAQLLKHNDIIEVVLNNYDSGRHPFHLHGHNFQIVQKSPGFHVGEGYDESEQDQM TVPYNESAPLQPFPERPMVRDTVVLEPSGHVVLRFRADNPGVWYFHCHVDWHLQQGLA SVFIEAPTVLQEREKLNENYLDICKAASIPVAGNAAGHSNDWFDLKGLPRQPEPLPKG FTAEGYLALIVSTIIGIWGLYSIARYGIGEVIPNDEKVYHTLREILAESDIEVSRS SPAR_F00230 MKAMKATVWSFPKMPKIKIAKSYEVAKITAILTLVGFIMGLEVP SLATFLTNKTFNEYFKYPTPLQQGLLMGSTPLGGIIGCFIYCIMNDRFSRIYQFQTGI IIWNIVTLLNFCIWNILGLLICRMIKGMILGNFSILVASYANEVIPRGKRGSTMSYIQ LCLTIGILVMHYLCIALSLWDSHFAFRIAWCIGIVPGLVFWVASCALPESYHWLVLHG QMSEAQEIQHNLAKKFNESQPRDAVPEMSKIELAGDFWIGVNDLDFSKKLPRGSLKPL ILGMTLQLLVQFSGINIILGYITYICEIVGLEGNVKLFTSSIPYFINMVLSLLPITFI DYTSRKLITLLGGFPISGLLITIGALFIKFGQDTKPIDGNKSLVWSIGENPFVGGWIL TLCFLIVGIFAMSLSSIPWVYTNEMLPSRVKVKGFAICVTFGWLGNFILTFLCPVMIE TLKGTTFIIFGSLTFIISLVVLIWFPETKGMSIEDIDKFFEFENTNLHEEKGIKTPDS NSNVGSTRSSEEGQLHQPIKLKSDEEMII SPAR_F00240 MDSEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGIM VGMGQKDSYVGDEAQSKRGILTLRYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPMNPKSNREKMTQIMFETFNVPAFYVSIQAVLSLYSSGRTTGIVLDSGDGVT HVVPIYAGFSLPHAILRIDLAGRDLTDYLMKILSERGYSFSTTAEREIVRDIKEKLCY VALDFEQEMQTAAQSSSIEKSYELPDGQVITIGNERFRAPEALFHPSVLGLESAGIDQ TTYNSIMKCDVDVRKELYGNIVMSGGTTMFPGIAERMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLTTFQQMWISKQEYDESGPSIVHHKCF SPAR_F00250 MNSEYDYLFKLLLIGNSGVGKSCLLLRFSDDTYTNDYISTIGVD FKIKTVELDGKTVKLQIWDTAGQERFRTITSSYYRGSHGIIIVYDVTDQESFNGVKMW LQEIDRYATSTVLKLLVGNKCDLKDKRVVEYDVAKEFADANKMPFLETSALDSTNVED AFLTMARQIKESMSQQNLNETTQKKEDKGNVNLKGQSLTNTGGGCC SPAR_F00260 MREIIHISTGQCGNQIGAAFWETICGEHGLDFNGTYHGHDDIQK ERLNVYFNEASSGKWVPRSINVDLEPGTIDAVRNSAIGNLFRPDNYIFGQSSAGNVWA KGHYTEGAELVDSVMDVIRREAEGCDSLQGFQITHSLGGGTGSGMGTLLISKIREEFP DRMMATFSVLPSPKTSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICQRTLKLN QPSYGDLNNLVSSVMSGVTTSLRYPGQLNSDLRKLAVNLVPFPRLHFFMVGYAPLTAI GSQSFRSLTVPELTQQMFDAKNMMAAADPRNGRYLTVAAFFRGKVSVKEVEDEMHKVQ SKNSDYFVEWIPNNVQTAVCSVAPQGLDMAATFIANSTSIQELFKRVGDQFSAMFKRK AFLHWYTSEGMDELEFSEAESNMNDLVSEYQQYQEATVEDDEEVDENGDFGAPQNQDE PITENFE SPAR_F00270 MLRPAYKSLVKTSLLQRRLISSKGSKLFKPSPDSTSTILISEDP LVTTTSSTPSTPSGIISSNDFPLFNKNRKNANSGMSYQWKGSSELEFDPFNKSHASAV TSMTRTRDVMQLWSLLEACLQSNLMKRAFSILESLYLVPEHKQRFIEDYNMYLNAFSK HDPNFPILKMNEKLTNDLETSFKDINYNDKTLAIMIHHALNFHSTTSSMLLKPTISAY LKMSVNGIREIFSYLDILTISDLNILVNDLKVISSSQLPNSVRPILESLTPSSTPVNN VENEDGSNKMETENDSKLDKAPNASSGSIKTPSLEPLREVSLHGSTEVLPKDAEKLIA VDTIGMRVIRHTLLGLSLTPEQKEQISKFKFDANDNILNMKPTKSDDNNNTINFFEIY NSLPTLEEKKAFESALNIFNQDRQKVLENRATEAARERWKHDFEEAKARGDISIEKNL NVKLWKWYNDMLPLVKEEINHCKSLLSEKPYDKKGLNKVDTNRLGYGPYLTLIDPGKM CVITILELLKLNSTGGVIEGMRTARAVISVGKAIEMEFRSEQVLKSESQTFRDVNKKS PEFKKLVQNAKSVFRSSQIEQSKILWPQSIRARIGSVLISMLIQVAKVSVQGVDPITK AKVHGEAPAFAHGYQYHNGSKLGVLKIHKTLIRQLNGERLIASVQPQLLPMLVEPKPW VNWRSGGYHYTQSTLLRTKDSPEQVAYLKAASDNGDIGRVYDGLNVLGRTPWTVNRKV FDVVSQVWNKGEGFLDIPGAQDEMVLPPAPPRNSDPSILRAWKLQVKTIANKFSSDRS NRCDTNYKLEIARAFLGEKLYFPHNLDFRGRAYPLSPHFNHLGNDMSRGLLIFWHGKK LGPSGLKWLKIHLSNLFGFDKLRLEDRVAFTESHLKDIKDSAENPLTGDRWWTTADKP WQALATCFELNEVMKMDNPEEFISHQPVHQDGTCNGLQHYAALGGDVEGATQVNLVPS DRPQDVYAHVARLVVKRLEIAAEKGDENAKILKDKITRKVVKQTVMTNVYGVTYVGAT FQIAKQLSPIFDDRKESLDFSKYLTKHVFGAIRELFHSAHLIQDWLGESAKRISKSIR LDVDEKSFKNGNKPDFMSSVIWTTPLGLPIVQPYREESKRQIETNLQTVFISDPFAVN PVNARRQKAGLPPNFIHSLDASHMLLSAAECGMQGLDFASVHDSYWTHASDIDTMNVV LREQFIKLHEVDLVLRLKEEFDQRYKNYVKIGKLKRSTDLAQKIIRIRKDLSKKLGRS TTLADEIYFEKKRQELLNSPLIEDRNVGEKMATTVSLFEDVTDLDALELENGGDENSG MSVLLPLRLPEIPPKGDFDVTVLRGSQYFFS SPAR_F00280 MSFFNFKAFGRNSKKNKNQPLNVAQPPAMNTIYSSPHSSNSRLS LRNKHHSPKRHSQTSFPAQKSTPQSQQLSSSTPQSQQLEASEKSESQQIMFLSEPFVR TALVKGSFKTIVQLPKYVDLGEWIALNVFEFFTNLNQFYGVVAEYVTPDAYPTMNAGP HTDYLWLDANNRQVSLPASQYIDLALTWINNKVNDKNLFPTKNGLPFPQQFSRDVQRI MVQMFRIFAHIYHHHFDKIVHLSLEAHWNSFFSHFISFAKEFKIIDRKEMTPLLPLIE SFEKQGKIIYN SPAR_F00290 MAPNTSRKQKVIKTLTVDVSSPTENGVFDPASYSKYLIDHIKVD GSVGNLGNAIEVTEDGSLVTVVSTAKFSGKYLKYLTKKYLKKNQLRDWIRFISIRQNQ YKLVFYQVTPEDADEEEENED SPAR_F00300 MSDSEEDLGIQLKGLKIARHLKDSGEHTTEDSESSPGYDCGSSN QDDLTVMHSHVEEEFSKQRGEEEKQIEDASREEEVEKEGTSFPSSQSEGNADEADSGI NRTEKPGLQNPFKTMHDSNSFSGTKSSSGSDSDSDSDDGGWQEMPAISSFNIYNHRGE LELTSKVRNLEPPSETSPTAPSGKNRKSVNDSRFDYTKMAAEQQAQRSYRTNKKTDFL FDHKVLKKKINNSQTSINLTSSPSTTSLNNENNNDEEEDSYDEYEDDVEPVNDLNRDS QLNITKNLLSDMEKFAYVGAINILANQMCTNLATLCLCIDIKSHKKLAHRLQFTQKDM AAWKTVVLSRLYDHLGISQEEIVMIEKLSLHKIQLEDLCKCLKTTQSIDNPWENDTSN EKGDIEETTKGKRPNEQNDAEQPIAPDPEQQSGTAGTPKAKESSLSSEVSAKVLDPEN VKSQDKLNVDVAWTIICDLFLICLQSSTYDSRSRTLLINFAKVLNMTNLEICEFERRV TDSLDMEQSTEDQVWDEQDHMKNRRRSKRRKKMAYVALAMVGGSLVLGLSGGLLAPVI GGGIAAGLSTIGITGATSFLTGVGGTTVVAVSSTAIGANIGARGMSKRMGSVRTFEFR PLHNNRRVNLILTVSGWMVGNEDDIRLPFSTVDPVEGDLYSLYWEPEMLKSIGQTVSI VATEIFTTSLQQILGATVLTALISSIQWPMALSKLGYILDNPWNVSLDRAWSAGKILA DTLIARNLGARPITLVGFSIGARVIFSCLIELCKKKALGLIENVYLFGTPAVMKKEQL VMARSVVSGRFVNGYSDKDWFLAYLFRAAAGGFSAVMGISTIEEVEGIENINCTEFVD GHLNYRKSMPKLLKRIGIAVLSEEFVEIEEMMNPEEVKRKRKLINDVDAAQKKLNERK KHNSWVPKWLKPKKSKWKVMVEEAVEEGRDMQDSPENGVNNNENENPNEHEGTAKPKH RDAALVDHGALMHELQLIKQAMHEDELRNKACLAEEEKEVEKSNDSLEGPSFKPPSTP KMKPPQSPNNFQLLSAGRTILPEDDDMDSRGRRKMEFSFPDDI SPAR_F00310 MFNTNNTAGGSQAMEEGLGINKLSPISSNSNQSSLTSSNYEKYL QLATEKNPCMILELELDGKVRYGSPQWNTITGVANDGDSSPTYIADLILGSDQDKGVF QKATDMLLMNDDTSCTITFKIKAADLENGTDCDDDSTITTLEARGILIRDAHTQLPSH TMWIVKPLTNDWSDFYANEDAQDDMVIQLSDNCDDIDVQLPEEFSKTLGFGAKIFVQY LKRIRLEMILDEFNLPLPKMELCRVCENFVPVWWLETHSQSCVCEHRTESLIQLLHDN LLEQLAILTNFTKDSEYKGSQIQVRSNNFLNQVLDSLRELCQDAIDINPSEMVPDLYH GLSTFSQDNNNNNNNALLDQFPVQKDTISLNSYFQFSPRTNHNIQNVTSWQSRFFLND DQDPGLALLIHDTLDLARKKVDAVLRLDNAMTYSLKIKNEVNNYVVQLIREQIEINKH TILAHSMNIRSSSIFHSPLPQIHSQQREGGNLIYSSSTPLQVHHGQCASFEATSKSHL EPIPFPPSSTEETPTGNDIRHPSPLPRSCNNTVMKLPTPRRKIDSNGLFSDAYLNADI IPNPSVESAVSMDRDNNTNSRGSSMKQYGIDDAADSRTSNLERPSSSSSRLGVRSRSI TPRQKIEYSHVHNDDCTNEMLSKDKDSLQPQPSVDTTITSSTQTDITGTKANSNNSTN SVLPKLMTSISLTPRRGSPSFSNLASHSMQQTNSFKLIQDKSPISSPFTFSKDFLTPE QHPSNIARTDSINNAMLTSPNMPLSPLLLATNQTIKSPTPSIKDYDILKPISKGAYGS VYLARKKLTGDYFAIKVLRKSDMIAKNQVTNVKSERAIMMVQSDKPYVARLFASFQNK DNLFLVMEYLPGGDLATLIKMMGYLPDQWAKQYLTEIVVGVDDMHQNGIIHHDLKPEN LLIDNAGHVKLTDFGLSRAGLIRRHKFVPHKSSLSISSTLPIDNPANNFTMNTNNSNH SQLSTPDSFMSDHKPYNRSKKSSLGQQYEHSEHSSASNSHSMTPTPSTNTVVYPSYYR SKDRSHGSSNIDLPASLRRSESQLSFSLLDISRSSTPPLANPQNLNTNNVMRRKSLTE NKSFSNDLLSSDAIAVTNANTNSNNNTSLSPAPSDLALFYPDDSKQNKKFFGTPDYLA PETIEGKGEDNKQCDWWSVGCIFFELLLGYPPFHAETPDAVFKKILSGVIQWPEFKNE EEEREFLTPEAKDLIEKLLVVDPAKRLGVNGIQEIKDHPYFKNVDWDHVYDEEASFVP TIDNPEDTDYFDLRGAELQDFGDDIENDNANILFGKHGINTDVSDLSSAANLSPPSNH KNILSRKLSMSNTTNRSSNNSNSSVHDFGAHTPVNKLSIASVLESVPQEPGYITPNGT GATTTSAKNSPNLKNLSLAIPPHMRDRRSSKLNDSQTEFGSFNFRNLSALDKANKDAI NRLKSEHFSEQPGVHRRTSSASLMGSSSDASVSTPGSNASNTTSGGKLKIHKPTISGS PSTFGTFPKTFLRSDSFSTRSYSPERSISIDSSTLSRKGSIIGDNQQTTTNSSDSPTM TKFKSPLSPANTTTASSYFSRQRVLSKSFSQRTNSSDLSAEENDRLQAISRVNSLRNR RRSGRKSSSTSEIGYHMDVLVCEPIPIHRYRVTKDLENLGCTVVSVGAGDELVSRATS GVSFDLIMTALKLPKLGAIDIVQLLKQTNGANSTTPIVAITNYFQEATTSRVFDDVLE KPVNLDELKKLVAKYALKKSQEDEEHTILSDSDETH SPAR_F00320 MTDFELTSNSQSNLAIPTNFKSTLPPRKRAKTKEEKEQRRIERI LRNRRAAHQSREKKRLHLQYLERKCSLLENLLNSVNLEKLADHEDVLTCGHDAFVASL DEYRDFQSTRDASLDARASSHSSSDTFTPSPLNCTMEPATLSPKSMRDSSSDQETSWE LQMFKTENIPESTTLPAVDNNNLFDAVASPLADPLCDDIAGNSLPFDNSIDLDNWRNP AVITMTRKLQ SPAR_F00330 MTKSVDTLLIPGPIILSGAVQKALDVPSLGHTSPEFVSIFQRVL KNTRTVFKSAAASRSQPFVLAGSGTLGWDIFASNFILSKAPNKNVLVVSTGTFSDRFA DCLRSYGAQVDVVRPLKIGESVPLEVITEKLSQNNYGAVTVTHVDTSTAVLSDLKAVS QVIKQASPETFFVVDAVCSIGCEEFEFDEWGVDFALTASQKAIGAPAGLSISLCSSRF MDYALNDSENGQVHGYFSSLRRWTPIMQNYEAGKGAYFATPPVQLINSLDVALKEILD EGLNKRWDLHREMSDWFKDSLVNGLQLTSVSRYPSNMSAHGLTAVYVADPPSVIAFLK SHGVVIAGGIHKDIGPKYIRIGHMGVTACNKNLPYMKNCFDLIKLALQRKK SPAR_F00340 MKLDSIDITRCQLVNSTRTARIYRSNTYAIKCLALDYDIPPHNT EFEVSILSKVGSKCQHILPLLESKATDNDLLLLFPFEEMNLHEFMQLQYKRDIRKKNP YYDLLDSSTQTAAGSSVQKYTNQLDVNQYPLSFFSQIIEGVAFLHENKIIHRDIKPQN IMLTNNTTTQPPKLYIIDFGVSYDMGNKSQTSAEPMDSKVTDISTGIYKAPEVLFGVK CYDGGVDVWSLLIIVSQWFQRETDRMGHVPAMIDDGSDDMNSDGSDFRLICSIFEKLG VPSILKWEAVAQHGSVDAFVGMFGADGDGKYILDQQKDVQVSIIERNMPRLNEITDVK VKQKFVNCILGMVSFSPNERWSCQRILQELKKP SPAR_F00350 MVSQFAIEVRNLTYKFKESSDPSVIDIDLEIPWNTRSLVVGANG AGKSTLLKLLSGKHLCLDGKIMVNGLDPFSPLSMNQVDDDESVEDSTNYQTTTYLGTE WCHMSIINRDIGVLELLESIGFDHFRERGERLVRILDIDVRWRMHRLSDGQKRRVQLA MGLLKPWRVLLLDEVTVDLDVIARARLLEFLKWETETRRCSVVYATHIFDGLAKWPNQ VYHMKSGKIVNKLDYLKDVEFSEVVNAKVNGQVAFEDDNNKVVISKVNSLHPLALEWL KRDNQIPDKEIGI SPAR_F00360 MSLRSLFHTSHSSHEKDALVREGYDACLESLIRSNSEKAEEHKG KAISRLLEKKDVRALRYMGLGPLGFVNNSLRKDCWYELLASQLLIDDSAEYVTPVEKH KDEGQVILDAERSFGGIVDKKLKLQLKKLLVELITRVLRKYPTLNYYQGYHDIVSVFI MCFSWDIVEEKELESENLSLREEIDMEKLFYCIEAFTLLYLRDFMMNSLDFSFEQLRV ISSLIKESNMKFYNIFKFDENEPLFAIGSILTIFAHNLKPIDSGDTNLHKIIFQIFDM TISLQSMHIPLIIYKNLLLKNESEILKQIEANSDVFENDFDLRHGVIQTVLQKKLYDE PLWEEVLQITRNDSATASKKALKRVRLNKYSTLLNTACGKPGCFEMSTIIFYLNEQTK MNERYKKEKYRGVAARSKTRALVQRLGHFLPSKYNKWGKISLLIGIVAILYQLRTTRS LSLVLNLRYMISTKLKDLSHININLHQVNHIWVDPIRDILKLGHPTR SPAR_F00370 MSDAAPSLSDLFYDPTYNPGQSSINYTSIYGNGTTITFDELQGL VNSTVTQAIMFGVRCGAAALTLIVMWMISRSRKTPIFIINQVSLFLIILHSALYFKYL LSNYSSVTYALTGFPQFISRGDVHVYGATNIIQVLLVASIETSLVFQIKVIFTGDNFK RIGLMLTSISFTLGIATVAMYFVSAVKGMIVTYNDVSATQDKYFNASTILLASSINFM SFVLVVKLILAVRSRRFLGLKQFDSFHILLIMSFQSLLVPSVIFILAYSLKPNQGTDV LTTVATLLAVLSLPLSSMWATAANNSSKTNTITSDFTTSTDRFYPGTLSSFQTDSINN DAKSSLRSRLYDLYPRRKELTSDKHSERTFVAEAANDIEKNQFYQLPTPTSSKNTRIG PFADTSYKEGDIEPVNMYTPDTAADEEARKFWTEDNDN SPAR_F00380 MGIRRLVSGITRPIINKVNPSGHYSRVLASREDQDKASSKYKNN DKTAKKPYTYRLFSILGILCICSLLLISLLKPFNGADAPQCKSIYMYPSYARIDGFDE RYTPLAHKYHLYLYREQGVDRGPLNGDELQLDGIPVLFIPGNAGSFRQSRSIASACSN LYFDFDTRATLSNENVQNLDFFTADFNEDFTAFHGKTMLDQAEYLNDAIRYILSLYER TPDYPHPIPQSVIIVGHSMGGIVSRVMLTLKNHIPGSICTILTLSSPHAASPVTFDGD ILKLYKNTNEYWRDQLSQNDSFFSKNISLVSITGGILDTTLPADYASVEDLVSLDNGF TSFTTTIPDVWTPIDHLAIVWCKQLREVLARLLLESVDASKPEKVKPLDQRLQTARKL LLSGFEDYSLVNSKLNYPQENLQEFSDNFFSDYSTLETNDVLDIAMFSLEKWHNNYTK INIPSNISSTEHLHFTLLTSLDMPMIYFCKDSMNLSCTTAADSILTVPRSSKDTQFAA NSSFGEGKNPFKAVSIGKNILQRYDYLIISKPTYGEFSEQEDMGENQGFLLALLCNTS NVQVTKTTPSQILLFGEKLHLNGKDIEQVISFDNLWDSLLSYKLETKIDTGNGSVAAD EALFQPFIRQWVHEPFETKWHLNILNNSLDINMHNVAPFIPLNESEPRSLQLSFFVPP GMSLEAKMTINWSLTLKMLFIRYRLALASFPVAFIALILAYQFYWYNKTSEFPSFDST LGYILKKHGVFMFFTLFLASPTVNNKLVQRILYFLDPVGLNYPFLLSKRHMHANFYYL GIRDWFMSTIGILFGIMTVGLLALVSRVFGSLETLVTFFQRKLSNGSTESKESFDTIE PKVYGKERLMASMLLLLLIFLYIPYQMAFVITLIIQIATCIRIALLQVSNCEQKTNLR NYNMTLLMLLLFVSAINIPIIIVFLHNVAIKWETSFRSHHNILAVAPIIFLVGNNSVF KMPKSTPIGSLDGTITVILFVYLTVFSFIYGIRNLYWIHHLVNIICAWLLFFESIH SPAR_F00390 MPTPSNAIEINDGSHKSGRSTRRSGSRSAHDEDLDSLSKGDSGA GASAGSSNSRFRHRKISVKQHLKIYLPNDLKHLDKDELQQREVVEIETGVEKNEEKEV HLHRILQMGSGHTKHKDYIPTPDASMTWNEYNKFYTGNFQETTSYIKFSATVEDCCGT NYNMDERDETFLNEQVNKGSSDILTEDEFEILCASFEHAIHDRQPFLSMDPESILSFE ELKPTLVKSDMADSNLRNQLNHEINSHKTHFITQFDPVSQMKTRPLIQLIEKFGSKIY DYWRERKIEVNGYEIFPQLKFERPGEKEEIDPYVCFRRREVRHPRKTRRIDILNSQRL RALHQELKNAKELALLVAKRENVSLNWINDELKIFDQRVQIKNLKRSLNISGEDDDLI NHKRKRPAIVTVEQREAELRKAELKRAAAAAAAAKAKNNKRNNQLEDKSSRLSKQQQQ QLLQQQQQQQNALKSESDKQLLNASSSSTSQPITSHVYVKLPSSKIPDIVLEDVDALL NSKEKNARKFVQEKMEKRKIEDADVFFNLTDDPFNPVFDMSLPKDFSTSNIPFASIAS SNFQIDRSFYSAHLAEYLKGISDDIRIYDSNGRSRNNDNNNLNTRRIKKTELYDPFQE NLEIHSREYPIKFRKRIGRSNVQYIDRMPNYTTSSAKSACSLMDFVDFDSIEEEQYSR KGNNDTNSINVYDSKYDEFVRLYDKWKYDSPRNEYGIKFSDEPARLNQISNDTQVIRF GTMLGTKSYEQLREATIKYRRDYITRLKQKHIQHLQQQQQQQQQQQQQQQQQQQQQQQ KQKSQNNNSNSSNSLKKLNDSLINSEAKQNSSITQKNSS SPAR_F00400 MDVLTDSVESTLKSLQDKRNFLAEQREHYIDIRGRLVSFNNDND GGEEEAEGQGMVFGDIIISTRKIYLNLGYEYYVEKTKEEAITSVDDKLKLMEDAIEQF DLKIEEAKKTLDNLNFMETGAETEKQEADDDQDFLPSMEIREELDEEGNVISSSVTPT TKQSSQSKSKKEEEYLVGQKEERLPEEKKSNSFEENLKGRLSKRNNEIKRKVEPSKVD TENVYTFADLVQQMDQQDELEDGSIGADEISYDYDAFENSNFKVNGYNYKEDDEDEDE EEYLNHSIIPGFEAQSSFLKQIQQLRAQKLSQEHKQEEGEVSNPLKPILKKASITEES VKHQKKKQVGFASSLEIHEVENLKEENKRQMQSFGVPTYDDQETIGIANEMTSGEFDG DLFAKMLGVQEADEVHEKYREELINQERHEGEANRPNGRNRVSRFRKDRAFKKQDTSQ AFRYENRKPAVGEIVEKEPVAEDVIEKEPAVRDIVERESSVGDIIEKEPAVNDIIERR PVVEDIVEKELALSNIVEREPETDSNSEFETPFKKKNLKSLQKPRSSKSMKKKFDPKI FENISDDDDDDSNGDKKLVLDQSKSSADEQERFPSKIQEVSRSMAQTGATVGDKPVRI TNVDYHALGGNLDDMVKAYSLGLYDDDLEEDPGTIVEKLEDFKEYNKQVELLRDEIQD FQLENQQVATEEEEEENDGNVVVDVVEHEFPESYINDEDEVALHPDRLQEAVAVEYRR LKETAASKWQSSSPTAHAEGELEPIDKFGNPVKTSRFRSQRLHMDGKT SPAR_F00410 MSDFQLEILKKLDELDEIKSTLAIFPQHGSQDVLSALNSLKAHN KLEFSKIDTVTYDLTKEGAQILNEGSYEIKLVKLIQELGQLQIKDVMSKLGPQVGKVG QARAFKNGWIAKNASNELELSAKLQNTDLNDLADETQSILAQIKDNSHLDSIDPKILN DLKKRKLIVQGKITDFHVTKGSEFSTDLTKLETDLTSDMVSTNAYKNLKFKPYNFNSQ GVQISSGALHPLNKVREEFRQIFFSMGFTEMPSNQYVETGFWNFDALYVPQQHPARDL QDTFYIKDPLTADLPDDKTYLGNIKAVHEQGRFGSIGYRYNWKPEECQKLVLRTHSTA ISARMLHDLAKDPKPTRLFSIDRVFRNEAVDATHLAEFHQVEGVLADYNITLGDLIKF MEEFFERMGVTGLRFKPTYNPYTEPSMEIFSWHEGLQKWVEIGNSGMFRPEMLESMGL PKDLRVLGWGLSLERPTMIKYKVQNIRELLGHKVSLDFIETNPAARLDEDLYE SPAR_F00420 MSTNRAPNPDPDVNLNKDIWDLYSSAQKILPNSNRILNLSWRLH NRTSFHQINRIMQHSNSIMDFSASPFASGANAAGPGNNDLDDTDTDNQQFFLSDMNLN GSSVFENVFDDDDDDDDVETHSIVHSDLLNDMDSASQRASHNASGFPNFLDTSCSSSF DDHFIFTNNLPFLSNNSINNNHSHNSSHNNNSNSINNNTNTNANTNSPLLRRNPSPSI VKPASRRNSSVRKKKPALKKIKSSTSVQSSVTPPSSNASANPDIKCSNCTTSTTPLWR KDPKGLPLCNACGLFLKLHGVTRPLSLKTDIIKKRQRSSTKINNNITPPPSSSSNAGA AGKKKNYTASVAASKRKNSLNIVAPLKSQDIPIPKIASPSIPQYLRSNNRHHLSSSAP VEVETFSSFQPDMNMTMNMNLHNSSSSAFNNEAFWKPLDSAADHHSADTNPNSNVNTT PNGNLSLDWLNLNL SPAR_F00430 MVKLTSIAAGVAAIAAGASATTTLAQSDEKVNLVELGVYVSDIR AHLAQYYSFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN SPAR_F00440 MLRIRSLLNNKRAFSSTVRTLTINKSHDVVIIGGGPAGYVAAIK AAQLGFNTACVEKRGKLGGTCLNVGCIPSKALLNNSHLYHQMHTEAQKRGIDVNGDIK INVANFQKAKDDAVKQLTGGIELLFKKNKVTYYKGNGSFEDETKIKVTPVEGLEGTVK EDHILDVKNIIVATGSEVTPFPGIEIDEEKIVSSTGALSLKEIPKRLTIIGGGIIGLE MGSVYSRLGSKVTVVEFQPQIGASMDGEVAKATQKFLKKQGLDFKLSTKVISAKRNDD KNVVEITVEDTKTNKQENLEAEVLLVAVGRRPYIAGLGAEKIGLEVDKRGRLVIDDQF NSKFPHIKVVGDVTFGPMLAHKAEEEGIAAVEMFKTGQGHVNYNNIPSVMYSHPEVAW VGKTEEQLKEAGIDYKIGKFPFAANSRAKTNQDTDGFVKILIDAKTERILGAHIIGPN AGEMIAEAGLALEYGASAEDVARVCHAHPTLSEAFKEANMAAYDKAIHC SPAR_F00450 MVSTPELKKYMDKKILLNINGSRKVAGVLRGYDIFLNVVLDDAM EINGEDPANNHPLGLQTVIRGNSIISLEALDTI SPAR_F00460 MGLPNGFYIRRVEERDLEQITETLNVLTTVGTITPESFSKLIKY WNEATIWDDNDNKKIMQYNPMVIVDKRTETIAATGNIIVERKIIHELGLCGHIEDIAV NSKYQGQGLGKLLIDQLVTIGFSYGCYKIILDCDEKNVKFYEKCGFSNAGVEMQIRK SPAR_F00470 MSFQQGVLSRCTSVLRHRVGHSRHINNILYRHAITFASIAPRIP KSSFHTSAIRNNEAFKDPYDILGLKKSATGAEIKKAYYKLAKKYHPDINKEPDAEKKF HDLQNAYEILSDETKRQQYDQFGPAAFGGGGAAGGPGGGGSPFGSQFHDFSGFTNAGG SPFGGINFEDLFGAAFGGGGRSGGGATRSSSMFRQYRGEPIEIIHKVSFKDAVFGSKN VQLRFSALDPCSTCSGTGMKPNTHKVNCSTCHGTGTTVHIRGGFQMMSTCPTCNGEGT MKRPQDNCTKCHGEGVQVNRAKTITVDLPHGLQDGDVVRIPGQGSYPDIAVEADLKDS VKLSRGDILVRIRVDKDPNFSIKNKYDIWYDKEIPITTAALGGTVTIPTVEGQKIRIK VAPGTQYNQVISIPNMGVPKTSTIRGDMKVQYKIVVKKPQSLAEKCLWEALADVTNDD MAKKTMQPGTAAGTAINEEMLKKQKQEEEKHAKKDDDNTLKRLENFITNTFRKIKGDK KN SPAR_F00480 MSDTGRKGFGDKASEALKPDSQKSYAEQGKEYITDKADKVAGKV QPEDNKGVFQGVHDSAEQGKDNAEGQGESLADQARDYMGAAKSKLNDAVEYVSGRVHG EEDPTKK SPAR_F00490 MGKRVYDPIHDTFQLREDKPDETKAGSPMHSADSGDNDEASPGS IQSGTETVTTKSVPVIHEIEIDDKNDDDSTQSEEENTNILLNFEPSMVPEATGAGATT GPVTTNTVRRKPKESNSSKYNRHLKKPDGEPFNRKDIQFSFMQELLMDKRQIFTNVLK PLYKNSIVPINIDGDKVSINVTDKEYDARTFVFNDKLTFAQLYVLTIATSIKCSKILR DKLLLDQQVAFSTCVLALLVNIGRLNTTINFYLEMTSQLRTFHSVPVLQLHANDPKLL QDTPRLKSILKNLPWGNEQLSLMENYEKVDKNDGEVDIVNKFNIINMLFSICDSFSLI DKRFLSKYVEIEPKDRATRKAQEQDTVDEQNELKETEGEDEKEEAKAAGAATLFDILD FSKYEPKDRSGILIWLLYIHLETNLSQEEVEESVRSFNGLEDGASCGRFTLRRTERDY DTDPEDELKFGASQRIKRREFMSKMEEGRKRERINATEVKKVNTDDKSEEDDEGEDIK SEETVEETRSLLTPTPILESSSPMILNRKKVTPQLPKVTAAGPTETEEEIVPATIIDK NDLNLTPLKKYNSSATVNKVDKLISLDLNKHVSENGKTQEEFLADLKKSQVPNRLKRR DIGLIKIFNEFEDIPVASVLGIRGKKRKKFKDNLLGFETDFMKNLGASKKVLLNRIEH ADIDDEEGTAMFKLE SPAR_F00500 MSKIRPKRTIAHSSLDFCESSQFQNGGYIKVMELISHVVIERNY LPTATTDETRKQQNLELMVSESVCTSKKCRKNTNYISKDLTKKKPRLAKRDKIREWFK KHLLNEEIEILSHKKQLSSIDEDQFPSNILVGCSRELSKPESFQNFEKYKFFR SPAR_F00510 MASPNVSVLETSAKASTSLSRKDEVKLTPEAREASLDIPYKPII AYWTVMGLCLMIAFGGFIFGWDTGTISGFINQTDFKRRFGEVQRDGSFQLSDVRTGLI VGIFNIGCALGGLTLGRLGDIYGRKIGLMCVILVYVVGIVIQIASTDKWYQYFIGRIV SGMGVGGVAVLSPTLISEISPKHLRGTCVSFYQLMITLGIFLGYCTNYGTKKYSNSIQ WRVPLGLCFAWAIFMVIGMVMVPESPRYLVKKGRYEEARRSLAKSNKVAVTDPGVIFE FDTIVANMELERAVGNASWHELFSNKGAILPRVIMGVIIQSLQQLTGCNYFFYYGTTI FNAVGMQDSFETSIVLGVVNFASTFVALYIVDKFGRRKCLLWGSASMAICFVIFASVG VTRLWPNGKDQPSSQSAGNVMIVFTCFFIFSFAITWAPIAYVIVAETYPIRVKNRAMA IAVGANWMWGFLIGFFTPFITRAIGFSYGYVFMGCLIFSYFYVFFFVCETKGLTLEEV NEMYEERIKPWKSGDWVPSSRRLAQSTSSTPLVVVDSK SPAR_F00520 MAQSKSNPPQVPSGWKAVFDDEYQTWFYVDLSTNSSQWEPPRGT TWPRPKGPPPGVNNEKGSRQQADQAPPPYSSQSTPQPQSQPRTQAQQSRYYQPQQPQY PQYPQQQRYYPQQVPMPAAAPQQAYYGAAPSTSKSSGHGGAMMGGLLGVGAGLLGGAM LEHAFDDHDYDAPDNVVVENNYYGDDAGGFDGGFDGGFDGGDF SPAR_F00530 MGSFPLAEFPLRDIPVPYSYRVSGDIASSGCVSALVTAAGTHRS SATAKTVDVQNGEEDMDELQRKRATGSGESTPEHSGFKRVKHSNHKSFHPVNLQNTGA PSMDNDGMRNLSDISNDAEKLLMPTDDISAAPPTLGVSMGVASHNIAAPTAANAATIA GSDPNSNVSTAMNNPMEEGALPLSPTASSPGTTTPLAKTTKIINNSNNIVDLIESKDS IISPEYLSDEIFTAINNNLPHAYFKNLLFRLVANMDRSELSDLGTLIKDNLKRDLITS LPFEISLKIFNYLQFEDIINSLGVSQNWNKIIRKSTSLWKKLLISENFVSPKGLNSLN LKLSQKYPKLSQQDRLRLSFLENIFILKNWYNPKFVPQRTTLRGHMTSVITCLQFEDN YVITGADDKMIRVYDSINKKFLLQLSGHDGGVWALKYAHGGILVSGSTDRTVRVWDIK KGCCTHVFKGHNSTVRCLDIVEYKNIKYIVTGSRDNTLHVWKLPKESSATDHGDEHDY PLVFHTPEENPYFVGVLRGHMASVRTVSGHGNIVVSGSYDNTLIVWDVAQMKCLYILS GHTDRIYSTIYDHERKRCISASMDTTIRIWDLENIWNNGECSYATNSASPCAKILGAM YTLQGHTALVGLLRLSDKFLVSAAADGSIRGWDANDYSRKFSYHHTNLSAITTFYVSD NILVSGSENQFNIYNLRSGKLVHANILKDADQIWSVNFKGKTLVAAVEKDGQSFLEIL DFSKASKINYVSNPVNSSSSSLESISTLGLTRTTIIP SPAR_F00540 MGRLVGLELSNFKSYRGVTKIGFGESNFTSIIGPNGSGKSNMMD AISFVLGVRSNHLRSNILKDLIYRGVLNDDNNDDFDSTFNDDASSSNPQSAYVKAFYQ KGNILVELMRIISRNGDTSYKIDGKTVSYKDYSIFLENENILIKAKNFLVFQGDVEQI AAQSPIELSRMFEEVSGSIQYKKEYEELKDKIEKLSKSATESIKNRRRIHGELKTYKE GINKNEEYRKQVDKRNELQKFQALWQLYHLEQQKEELTDKLSASNSEISSLKEKINNE MKSLQRSKSSFVKESAVISKQKSKLDYIVKDKEKLASDLRLIKVPQQAAGKRISHIEK RIESLQKDLQRQKTYVERFETQLKVVTKSKEAFEEEIKESARNYDKFKLNENDLKTYN SLHEKYLTEGGSILEEKIALLNNDKREIQDELERFNKRADISKRRITEELSIAGEKLD TQLNDLRVSLNEKNALHTERLRELKKLQSDIESANNQEYDLNFKLRETLVKIDDLSAN QRETMKERKLRENIAMLKRFFPGVKGLVHDLCHPKKEKYGLAVSTILGKNFDSVIVEN LTVAQECIAFLKKQRAGTASFIPLDTIETELPTLSLPDSQDYILSINAIDYEPEYEKA MQYVCGDSIICNTLNIAKDLKWKKGVRSKLVTIEGALIHKAGLMTGGISGDANNRWDK EEYQSLMSLKDKLLIQIDELSNNQRSNSIRAREVENSVSLLNSDIANLRTQVTQQKRS LDENSLEIKYHNDLIEKEIQPKITELKKKLDDLENNKDSLEKEKEALQNNIFKEFTGK IGFTIKEYENHSGELMRQQSKELQQLQKQILTVENKLQFETDRLSTTQRRYEKAQADL ENAQVEMKSLEEQEYAIDMKIKSIESKLEENKNHLDELQKKYITKQSDLNSNEDILED MNSNLQVLKRERDGIKEDIEKFDLERVTALKNCKISNINLPILSETTIEDLPISSGDA EAITISNNIDVDYKGLPKKYKENNTDSAKKELDQKIHEVEEILNELQPNARAVERYDE AEERFELINNETEQLKTEEKKILNQFLKIKKKRKELFEKTFDYVSDHLDAIYRELTKN PNSNVELAGGNASLTIEDEDEPFNAGIKYHATPPLKRFKDMEYLSGGEKTVAALALLF AINSYQPSPFFVLDEVDAALDITNVQRIAAYIRRHRNPDLQFIVISLKNTMFEKSDAL VGVYRQQQENSSKIITLDLSNYAE SPAR_F00550 MTANNDDDIKSPIPITNKTLSQLKRIERSPGRPSSSQGEIKRKR SRLFAPDGRPHSPLRARSATPTLQDQKLFNGMDSTSLLNERLQHYTLDYVSDRAQHMK NIYDPTSRWFSRSARPEFPIEEFLPYQTESHGEQAKYLCHVLVNLYIAISSLDIQGLI SISSKDLADLKKEVDDLALKTDLFRLSNNTAENDLLGNDIADYDDAEGLEDEMDEYFD LAGPDFNATGRITAKSATIVNVNHWTNELKNCLHFDFPVALRKSLATVYYYLSLVQGQ KVYRQMHVDMFERLVSLDDDRTNFTELLQKHGLLLDHQIMLNFLCEFLPYPDPDYARY ELSSKEDLQLFRLLLKHAHNAKPFFDKSKENLLIDTMNFLLSSLAPSTMMAVMPIVTS IVPYHYHIHSKIIDYFPFCYSIWSSVSANVAIDTHMYDFVGSISKDVHNKILGSDHEN DIIGVEFGKFGIFTDDQMTFMFNRLQGHLRTDGQIHSYSRTVKPFIYAINGSNKGKFF EKLLSLAKAIETFIHPSNNGFWTKPNAKFVHAFIKSYHGRVKYEGDVCANDVTNGICL TSSCHEEIVEIFLNIISLGSQNKNPDIANYYISCFAYLLELNPSNAYLIFDKILIDLY DTLADQFINSRHRIISSLKQFTRVIRFIVMDKLYRVHITNILSMLVSKLDMNDTNLTS NLINGIVSIAAFIPIQTLAGEEDYISFESDTLPLVQQHFYHIKSGESSKTFQVDDKLL NSAFKASTTIFESMLKVYVEKIFQLVDVDLEDSLVTKINQTTMILQESMDDKIFNYFA ALLQRNFWSNDSFKEKDPNYELVTIPLAALIRRNNALSKDLVRNLLFHVKEQIKRGAG SVRSTSEIQQRDVKLVLYLTALNDVLRQCHESLLEYSDELITFMKYLYDNVTNPPLDV ITSIVIHSALATLCTTEITDCRLFPEDSKIPEKDRWGGLQFDPRRFDKQHLNFQWHVP SSDEITLSIGILESLTEYCINNVEELMRAPRNDSEYGDMIQKYVLVMTHTLSGSSLLF DPDFNKYRTQSNLSYREKLVLLKNIRENNCDPQELDIDIEQIRSGKDDEDYIESKDIE AGLNAGVSDVVQLRDEFPDELIVDDPMVSEMPSGVNTPIASAHGADNSSMSSDLAFRD LDIYSCNYYFGNTTEEKLQNPQYIQVHRVRARIGHFFHKLYVFLSTNFENNTNMFQIL LHGLKVWFTDLGQETVFNEDPNAFIDVDFLENVQSLSHVNEPFTRTNFAIRANSLHQS RVLLHSTNRKGSKLENLLLVDIIHLATSLYPDIYKPAQGTLVHCMKQLVGSYGVVINK VIPLLEKAIRDHDYMKIQVILNVLLIKKIHRKLMTDYKDIDKLVFLLIECCRVNELEI GMYADKILTDIVIGIKIPSSVCVISDEAFLPLAPPDGTINLQVEAVKLAKKKKREYYL SLLVDLQNKLLDKLDNEEEMGWKIKMFILRFVTQVQSNLESKPDKRAVFSITSQISTK HPEIIHLVVKSLLSTCNKIISLSDYEYDITRAYKNEFNPSFVEILDTSDTSFPKTFTK EMNNFDNPKYFIDSRAYVGWLCWGRPMYVMSSKALSLNLRENELEVLKTTGRLLTKGF LKDVTMNLVQDNETRGVFSSGNVSFFSLVILLISSGFCELSVSDLFELCESYYNKDDK ASMIMSVEIVAGLVCGSKFLTVSDLEKRDVFVENFLAKCLDYELNHDAFEIWSTLAWW LPAVVDLRRSKTFFSHFINADGMFDRESDAATHQTSKIYMLRSILMSMEFRAPDVRKL FNELVFDHPYDQVRQAVAKLLTTLVQNQSNPSFSDPTTLLEAELNDPDGLGLPLKRVP EKVDAYIKKQFEIIKNLEHSVIGLSPQDFMKTDYFYRTSTMFYWIKEMARGPNKVLLV PYLVDYVLPFLISLVKHKDVCALASLDPVRLYAGLGYMPIRKNHVAAIVDYVCSSNVA LSSNQTKLQLAFIQHFLSAELLQLTEEEKNKILEFVVSNLYNEQFVEVRVRAASILSD IVHNWKEEQALLSLIERFAKGLDVNKYTSKERQKLSKTDIKIHGSVLGLGAIISAFPY VFPLPPWIPKQLSNLSSWARTSGMTGQAAKNTISEFKKVRADTWKFDRASFNTEELED LEGVLWRSYYA SPAR_F00560 MSGLRTVSASSGNGKSYDSIMKILLIGDSGVGKSCLLVRFVEDK FNPSFITTIGIDFKIKTVDINGKKVKLQLWDTAGQERFRTITTAYYRGAMGIILVYDV TDERTFTNIKQWFKTVNEHANDEAQLLLVGNKSDMDTRVVTADQGEALAKELGIPFIE SSAKNDDNVNEIFFTLAKLIQEKIDSNKLVGVGNGKEGNISINSGSGNSSKSNCC SPAR_F00570 MLFGVKLANDIYPPWKGSYINYEGLKKFLKEDSVKNRSDDKKSH WDDSDESRFVEELDKELEKVYGFQLKKYNNLMERLSHLEKQTDSETAIKALNADAFQR VLEELLSESTELDNFKRLNFTGFAKIVKKHDKLYPKYPSVKSLLEVRLKELPSHSEEY SPLLYRISFLYNILRSNFNTASQPLASASKFSSIASNDMDMNFRSFKFWVHNDNLMEV KTRILRHLPVLVYANVPSENDDLVNRFESDISNNDEIVGSSSSSSSVEHGLGGRSYDP LINTLYFDNEHFELYNDKLLKLNSAPTLRLRWTGQLSDKPDIFLEKKTLIEDEATGKS EFDLTKLQLKQKFINGFIFEGDKKFKEQTLKKLKESGTAERDLERLKEDFSDIQNFII KNELQPVFRTVYTRTAFQIPGDDKIRVTIDSNIVFIKEDSFDRERPIRGPNTWHRTDI DANVANPLKFLREGEYAKFPYSVMEIKVKSSLDSSMSASSMISNVKLPKKHGQWLNDL TNSHLVKEIPKFSIFVQGVASLYGDDEKLDILPFWLPDLETDIRKDPKQAYEEEKKKL LKQKEIQKKIDGMRRLSNFKEPQHQAPEPISHEETERIISQGDLEADGSSDEEIEPEP HSKRSKKVRRRKPKATFLRILAGRDPKLMGVDSEEEEIELPPGVKKPLNLLKNAGPVN VEAKVWLANERTFNRWLSVTTLLSVLTFSIYNSVKKAEYPTLANYMAYVYFGLTIFCA LWSYSIYMKRVDIIQQRSGQHLDAPLGPVLVAIVLFVTLVVNFVMAFRNAAKSRQELQ IQNLEIPERIPEVLRPLQNYLFKLMGPSSD SPAR_F00580 MSESNLSSFISTNYFNLRSAANSSNYISKPSTKKSTRNQKSPTN ISNWIPKKRTLQVAEATWENNEKGSSHSHYLMNGSMASRTTTSLSRYSTNASLLGPSV DCVLCCIYEVPRDISTRIGLCIINCNTGQMYLSDFMDSQIYIRVVHKLQIYQPTEILI PSSSLTPTVSKLATMIKFNVAETVKIEEGSRKCFNSQDGLAAITKYLIDDTKKNLKIE EVIDKTFALCAASAAISYMEEIISKSTRNLNAFRKLRIQFEGTENTMLIDSKTVRGLE LVENKLDKNGISLWKFLDTTSTKMGQRSLRNSILQPLTDRNSIEMRLEALEELKANDD LLQKLRSEMKSLADLDKLFSRLLCINHSAIKSDQRINYVLLLKETIQSVKSLKNTLND QSIQSRLISETKKIFNNDAIIEIEKSIDSCINEDCVWASSAIQLLNQRSYAVKSDSNG LLDVSRQIYKEVKEEFFREVEDLTARNKINLDHSYDSARGFYLRIKRRDFTDDIARLP DIFISKTIKKNYIECTTLNIIKRNARLKEVMEEILLLSEETVDELLDKIATHISELFM IAEAVAILDLVCSFTYNLKENNYSVPIFTNNLLIQDSRHPLLEKVIKNFVPNTISSTK NSSSLQIITGCNMSGKSVYLKQVALICIMAQMGSGISAHYGSFPIFKHLHARVCNDSM ELTSSNFGFEMKEMAYFLDDINTETLLILDELGRGSSIADGFCVSLAVTEHLLRTGAT VFLSTHFQDIPKIMSKKPAVSHLHMDAVLLNDNSIEMKYQLTQKSVAIENSGIKVVKK IFNPNIITEAYNIHSLLKIAKARTENKDSNNVVDQKTINQMKRIHNLVAILSECAGNE KGLLTLEKLREINSEFIENFEG SPAR_F00590 MSKSLEWENLEFSLLPWIRTGLDVMGFETMTPVQASTIPMLAGN KDVVVDSVTGSGKTAAFVIPILERVAKEEANTSKFKKAHFHSLIIAPTRELSRQIESV FLSFLEHYPSDLFPIKCQLLIGTNEATVRDDVSNFLRNRPQILIGTPGRVLDFLQMPA VKTSACSMVILDEADRLLDVSFIKDIEKILRLLPKQRRTGLFSATMRSAGSDIFKTGL RNPVRITVNSKNQAPSSLKLNYCVVNPVEKLQLLVSILNNYKFKKCIVYFPTCVSVSY FYSFIQHLAKKNILVNEVEVFSLHGKLQTSARTKTLAAFTDSLGNSVLFTTDVAARGI DIPDVDLVIQLDPPTNSDMFMHRCGRTGRANRVGKAITFLNKGREEDFVPFMLVKNVE LEEFDLKVKGITTNFYENFRDWIFEDRDRFDKGVKAYVAFIKYYSNHSATSIFRLQSL DYVGIAKMYGLFRLPRMPEITKYLITEKQEGVFPGNWLVDPPVNMDEYRYKDKKREKE RQETLKNINLINDKKKIKSELKKKNLAWSDKTSTKERKLERKEKMSLKRRAIEEELKA EELNENAEEEHIQEDWKEIVLQNKRKKVSSKTIQGSFDGL SPAR_F00600 MTDFIKKLVGKMKAVSTGTSAIGSKKESIYVNWSKEQLIRRITE LETANKAHSEKFHHIEDNKKRKLSQEEITKSKAKKAPKKFDFSKHNTRFIALRFAYLG WNYNGLAIQKEYTPLPTVEGTILEAMNKCKLVPSMVLQDYKFSRCGRTDKGVSAMNQV ISLKVRSNLTDEEQLDAANDCREIPYVHVLNQLLPDDIRISAVCLRPPPNFDARFSCV HRHYKYVFNGKNLDVDKMSKAALYFLGEKDFRNFCKLDGSKQITNFKRTIISSKILPL SETFYCFDLIGSAFLWHQVRCMMAILFLVGQSLEEPEIVLHLLDIEKTPQRPVYEMAN DIPLLLYDCKFPKMEWQEPAVDDYKAIKFTTATEALTLHYELKAAVCNIFKDVLPTAD TNNFSKTIINLGDGKGKVVGSYVKLKDRNVMEPVEVVNAKYSNRKNKKIK SPAR_F00610 MAPKKPSTRQTLRREVAPEVLQDSQARNQLANVPHLTEKSAQRK PSKTKVKKEQSLARLYGAKKDKKGKYSEKDLDIPTLNRAIVPGVKIRRGKKGKKFIAD DDTLTLNRLIKTIGDKYDDIAESKLEKARRLEEIRELKRKEIERKEALKQDKLEEKKD EIKKKSSVARTIRRKNKRDMLKSEAKASESKLEGKKVKKVSFA SPAR_F00620 MLETLRGNKLHSGTSKGANKKLNELLESCDNLPSASSELGSIQV SINELRRRVFQLKSKNKVSNDYTKAHYLLANSGLTFEDVDSFIKDLQTNQFLEPSPPK VIESEELDFYLKTKKEENILMSIEQLLNGATKDFDNFINHNLNLDWTQHKGEVMKNFG ILIQDKKTIDHKKSVSSLDPKLPSWGNKGNNILNSNESRLNVNENNILREKFENYARI VFQFNNSRQANGNFDIANEFISILSSANGSRNAQLLESWKILESMKGKDINIVEVGKQ YLEQQFLQYADNLYKKNMNEGLATNVNKIKSFIDTKLKKADKSWKISNLTVINGVPIW ALIFYLLRAGLIKEALQVLVENKANIKKVEQSFLTYFKAYASSKDHGLPVEYSTKLHT EYNQHIKSSLDGDPYRLAVYKLIGRCDLSRKNIPAVTLSIEDWLWMHLMLIKENDAEN DPVYERYCLEDFQNIIISYGPSRFSNYYLQTLLLSGIYGLAIDYTYTFSEMDAVHLAI GLASLKLFKVDSSTRLATKTKRDIRFANVLANYTKSFRYSDPRVAVEYLVLITLNEGP SDIELCHEALRELVLETKEFTVLLGKIGRDGARIPGVIEERQPLLHVRDEKEFLHTIT EQAARRADEDGRIYDSILLYQLAEEYDIVITLVNGLLSDTLSASDLDQPLVGPDDNSE TNPILLARRMASIYFDNAGISRQIHVKNKEICMLLLNISAIKELYFNKQWQETLSQME LLDLLPFTDELSARKKAQDFSSLDDNVVKNIPNLLIITLSCISNMVHTLNDSKYQTST KGQQIDSLKNVARQCMIYAGMIQYRMPRETYSTLINIDVSL SPAR_F00630 MSRNQMTMGSEQQQTAGSRTVSVEEVPAVLQLRATQDPPRTQEA RPTRHNVRWEENVIDNENMNKKKTKICCIFHPQNEAEEECNHPSDHDESSSSESSSSE SENEKDLDFNERRQRRLARRHRKLEKKRTYSPNAYEIQPDYSEYRRKQQEKTD SPAR_F00640 MERLQRLMMNSKVGSADTGRDDTKETVYISSIALLKMLKHGRAG VPMEVMGLMLGEFVDDYTVNVVDVFAMPQSGTGVSVEAVDDVFQAKMMDMLKQTGRDQ MVVGWYHSHPGFGCWLSSVDVNTQKSFEQLNSRAVAVVVDPIQSVKGKVVIDAFRLID TGALINNLEPRQTTSNTGLLNKANIQALIHGLNRHYYSLNIDYHKTVQETKMLMNLHK EQWQSGLKMYDYEEKEESNLAATKSMVKIAEQYSKRIEEEKELTEEELKTRYVGRQDP KKHLSETADETLENNIVSVLTAGVNSVAIK SPAR_F00650 MEVDKKRRHSENELKQEVVKKIKSPEPNYAYLETVVREKLDFDS EKICCITLSPLNVYCCLVCGHYYQGRHERSPAFIHSIDENHHVFLNLTSLKFYILPQN VHISHDSKVQLLNSIKFAVYPTYCPRDLESFPRQCFDLNNRAYMNGFIGFTNAATYDY AHSVLLLMSHMAPLRDHFLLNHFDNQGEFIKRLSICIKKIWSPKLFKHYLSIDDFVAY LKVKEGFNLDPIDPRFFLLWLFNKICSTSNQLKSILNQSCKGKVRIAKFGNEPDTSGS VTNKVIAKPFWVLTLDLPEFSPFEDGNSVDDLPQINITKLLTKFANSRSSSTSPVFEL TRLPQFLIFHFNRFDRNSEHPVKNRNQTLVEFSSELEILDAKYRLKANVVHVIIKPSS TDGNTFNGDEKSHWITQLYDDKSEKWIEIDGINTTDKEAELLFLNETFIQVWEKKK SPAR_F00660 MCLEPISLVVFGSLVFFFGLVKYIKRGEGLKTRAVLQSKYKDKY YYSKEEEEEMGELANVNEIPVKIRNQKYPAKEHNLRVKDLLFKRNSKLSKVSTAFFIA GEELEGNKYCDTTREYRQNRYFYHLSGVDIPASALLFNCSTDKLTLFLPNIDEEDVMW SGMPLSLDEAVRVFDVDEVLYISDLGSKFKELEDFAIFTTDLDNVHDENIAKLLIPSD SDFFYAMDETRAIKDWYEIEIIRKACQISDKSHLAVMSALPIELNELQMQAEFEYHAT RQGGRSLGYDPICCSGPACGTLHYVKNSEDIKGKHSILIDAGAEWRQYTSDITRCFPT SGKFTAEHREVYETVLDMQNQAMEQIKPGAKWDDLHALTHKVLIKHFLSMGIFKKEFS EDEIFKRRASCAFYPHGLGHMLGLDVHDVGGNPNYDDPDPMFRYLRIRRPLKENMIIT NEPGCYFNQFLIKEFLEKHPERLELVDMNVLKKYMYVGGVRIEDDILVTKDGYENLTG ITSDPDEIEKIVQKGLKKTRSGFHVVV SPAR_F00670 MVDTHKLADDVLQLLDNRIEDNYRACVVLVGSPGSGKSTVAEEL CQIINERYHTFLSEHPNIIEVNDGQKPIVDLVGSLKSLQPNEVAEMIENQGLFKNHVE DVNFQPVKYSDLTSNNRECTTVVSRGGIANAIRIAAANNPANADQLGQNSINIAQIVP MDGFHLSRRCLDLFKDPKTAHKRRGSPSTFDSNNFLQLCKILAKTSLCKVSSHGKSYL TSSVFEKLSKTFSQAVPDIFIPGFDHALKDPTQDQYCISKFTRIVILEGLYLLYDQEN WKEIYQTLADTGALLVYKIDIDYEVTEERVAKRHLQSGLVTTISEGREKFRSNDLLNG KDIDKHLIKVDNIVHIRND SPAR_F00680 MSDQINALSVQQQQQQQQQVYMSPQAENLNHMYLLVNKLVIQLR ENQAEKAKILRNIDVLSGKLSKYETTEEPHDTTDNIALFNKFLEQREKAAITGEEQLS DDLDENAKDDVMLGVLKRQNTMLRKSLEESKQKTLESMDLLSYSEDSLNYIVAQLRGN ILMHHKETTKLIRQKFQVETIPLEDKEFKMYLENVNDLQKLTDISHAYRLLLRLHAQE SPAR_F00690 MASIGSQVRKAASSIDPIVTDYAVGYFNHLSGITFDAVQSKQVD LTTEVKFVSDLLVDAGASKDKVNELAENILKQLTTQLRENEAKLELTGDTSKRLLDIN VLKSHNSKSDINVSLSMLGVNGDIEHAGRNMETRVDLKKLAKAEQKIAKKVAKRNNKF VQYEASKLINDQKEEDYDSFFLQINPLEFGSSAGKSKDIHIDTFDLYVGDGQRILSNA QLTLSFGHRYGLVGQNGIGKSTLLRALSRRELNVPKHVSILHVEQELRGDDTKALQSV LDADVWRKQLLSEEAKINERLKEMDALRKEFEEESLEVKKLDNEREDLDNHLIQISEK LVDMESDKAEARAASILYGLGFSTEAQQQPTNSFSGGWRMRLSLARALFCQPDLLLLD EPSNMLDVPSIAYLAEYLKTYPNTVLTVSHDRAFLNEVATDIIYQHNERLDYYRGQDF DTFYTTKEERRKNAQREYDNQMVYRKHLQDFIDKYRYNAAKSQEAQSRIKKLEKLPVL EPPEQDKTIDFKFPECDKLSPPIIQLQDVFFGYDEKNLLLKDVNLDVQMDSRIALVGA NGCGKTTLLKIMMEQLRPLKGFVSRNPRLRIGYFTQHHVDSMDLTTSAVDWMSKSFPG RTDEEYRRHLGSFGITGTLGLQKMQLLSGGQKSRVAFAALCLNNPHILVLDEPSNHLD TTGLDALVEALKNFNGGVLMVSHDISVIDSVCKEIWVSEQGTVKRFEGTIYDYRDYIL RSADAAGVVKKH SPAR_F00700 MSGETFEFNIRHSGKVYPITLSIDATAADLKSKVEELTQVPSTR QKYMVKGSLSGEESIKIYSLIKPGSTVMLLGTPDANLISKPAKKNNFIEDLAPEQQVQ QFAQLPVGFKNMGNTCYLNATLQALFGVNDLRDMILNYNPSQGVSNSGAQDEEIHKQI VIEMKRCFENLQNKSFKSVLPIVLLNTLRKCYPQFAERDSQGGFYKQQDAEELFTQLF HSMSVVFGDKFSEDFRIQFKTTIKDTANENDVTVKETESDSKLQCHISGTTNFMRNGL LEGLNEKIEKRSDLTGANSIYSVEKKISRLPKFLTVQYVRFFWKRSTNKKSKILRKVV FPFQLDVADMLTPEYAAEKIKVRDELRIVEKEKNEKEREIKRRKFDPSSSENVMTPRE QYETQVAINESEKDQWLEEYKKHFPPNLEKGENPSCVYNLIGVITHQGANSESGHYQA FIRDELDENKWYKFNDDKVSVVEKEKIESLAGGGESDSALILMYKGFGL SPAR_F00710 MGSTTSKVDAGAEKQQVYTPLTQIDFSQSLVSQLDSSKESDYVT KQNAEKFIEKKVAQRLSNLEVETLKKFEDTLNNSLLSDDDKDVVDGVSSNSLNSQIES LNKKLTLFDQLELQKLEKYGGAKGKSDNKKDNGNVSIKTKLTECLLANKGKPLNCYEE MEEFKKLVMG SPAR_F00720 MLNFKLILLLASFLQLISFSGFLICCLTSPIIRNLGLAQVGGVS YGAFGYCQALDSFSCSRVRLIYNASKVRLSGSSFERWWLGPKARHTIGELLISIPIAT CMTFICFVVPLVFIFLFQSEGTNVSLIASNAILHILSLLSTIFACIVILLQFHPYTTW CGWLTLPCSFCSLLACILSVLSWINRRSQAEIIVKEASMENVDSLLKLYGTSQTSSAQ SDKYSEATKSTDTSPSRQSVYDIASIFNDLPRTLDPNQDKLAITNQDERLPEINSSLK QAPSFIFFTPKLNGAQMFSGRHTGNQEFLSLDKIDITNLPVKQEDVKMPTEKKMENVL YYEGISSYSQNNYDPPWLFGVEAPQSANCFKIYSKKNYDLIEPPQYQLHQLKQSSNYQ DQSEVPHNYFIPRHSRGRPVGHNHTNDRYNSRATPGNSEHFRQTLPQTYNIQNMLPRK YKPAYKQQAHCVKSNPQPAYTFH SPAR_F00730 MDSPSNSIPNSQQEAQGSSSTQFAKHDNDRVPMAVPSQTDQNVT VSQSSDNLRRSRRVPKPRTSIYDEYEEELKERANKPKRKRPAPPKKKPSSTQNSKSND KVEKKKVTTIVKDGKPTLKANDKKVAPKPKPAHEQVEPALVPSNWTSVIPLLTSDFKN QYSVISRLKNPNMKPVPYAGDIIKLMAFINKFSSFFHSDLQNLSFQDFEVGLDLYPGD PKGNAAGIMKGTDDTPLLLYPDFMAIKDIVYCQDKMNLLFLTLLDLTFAEGFDGKSAK KKGPLTTWEDLKSSSKKVFSNPLYRLRLVAREWGYPREWRQQLPSDQDISKPRTALFE QDEQTPVVDPSHPEILTPNIYTWNTNEPLLLESDPLQNREIDKNGILALKPMDRIVLL RALTDWCASHSPAIHNEIYKLTHGKKDPVFGIQTQQVPRYAVEGVDNTMNQFKKLCTL IQSRYEIRSKKKHFVKQLKEGKKPDLSRKLEILKGIKADLKNVVKSEKDELLLSLYDK WVPLFEGELPDQPLANPFSERLYKLRLQEFFLGRVPHIGDFYMPRLHSYDESLEMSTF TDLRSLQALLSKFKNNEYSAFTLFENDGQSMSAQFKLFYHDTPSLAHDVAQGRNTSGK VYWYELCHDSATLLEFLDFLDYKISKPQDEKKEGNEKEKETSDSEAQTLEQKPTATTD SNPSMNTNPLPKDAKYNTARKKLQILKEFLSDYYFILRQFEQMKVQFADMKPGKRQLR RIQRQTVNYNTEYDSEEYVDDEEENEEVDIYDDDNENDSSFDDGRAKRQRT SPAR_F00740 MDNKVSEKKDPSQQTEEDSEGKMAHVQPASYVNKKNYIFGKTLG AGTFGVVRQAKNTETGEDVAVKILIKKALKGNKIQLEALYDELDILQGLHHPNIVAFK DWFESKDKFYIITQLAKGGELFDRILKKGKFTEVDAVRILIEILSAVKYMHSQNIVHR DLKPENLLYIDRSDESPLVVADFGIAKRLKSDEELIYKPAGSLGYVAPEVLTQDGHGK PCDIWSIGVITYTLLCGYSAFRAERVQDFLDECTTGEYPVKFHRPYWDSVSDKAKQFI LKALNLDPSKRPTAAQLLDDPWIICTELKTHDLLPGLKEGLDARQKFRNSVERVRLNM KIQKLRDLYLEQTESDSDFDEGSQSNGSAPSLKSMDTSQLSKKLSEEEQSKLKSELTS KAFAQLVNTVLAEKEKFLNINRVCSSDSDIAGSDRKPLDEAEATPSGKDTKTG SPAR_F00750 MARDLQNHLLFEVATEVANRVGGIYSVLKSKAPVTVAQYGDNYT LLGPLNKATYESEVEKLDWEDESIFPEDLLPVQKTLMSMREKGVNFVYGNWLIEGAPR VILFELDSVRHFLNEWKADLWSLVGIPSPEHDRETNDAILLGYVVVWFLGELSKLDSS HAIIGHFHEWLAGVALPLCRKKRIDIVTIFTTHATLLGRYLCAAGDVDFYNNLQYFDV DQEAGKRGIYHRYCIERAAAHTADVFTTVSQITALEAEHLLKRKPDGILPNGLNVVKF QAVHEFQNLHALKKDKINDFVRGHFHGCFDFDLDNTVYFFIAGRYEYKNKGADMFIES LARLNYRLKVSGSKKTVVAFLIMPAKTNSFTVEALKSQAIVKSLENTVDEVTASIGKR IFEHTMRYPHNGLESELPTNLDELLKSSEKVLLKKRVLALRRPYGELPPVVTHNMCDD ANDPILNQIRHVRLFNDSSDRVKVIFHPEFLNANNPILGLDYDEFVRGCHLGVFPSYY EPWGYTPAECTVMGVPSITTNVSGFGAYMEDLIETDQAKDYGIYIVDRRFKSPDESVE QLADYMEEFVNKTRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLGLRRAYPEQFKE LVGETISDANMNTLAGGKKFKIARPLSVPGSPKVRSNSTVYMTPGDLGTLQDANNADD YFNLSTNGGIDNDDDDNDTSAYYEDN SPAR_F00760 MVKSLAVEGEEHNVQPRSVDGGGDSYSILPTDLPLPTMNELIEN RDQLTESDLDEAISATENFAMELSSQRKSSKLKGLKKKNQGQRKADNNIDTITKPSNP GGETEDISPQDTSSHDLERQDDVIGVTTDTVNDATETPTHIPIDVSVVVKEPSINDMV EDTGNASLVKKSVGIEIDNSPITKKKKTKKKKNVNRRSRNSSNSTDATDLSKRSTLDS ILVGIEEYLQDDNPKNEDIKVNIIQDGPTNLQKSDIRTGNESTQEIFNINVPNKDRVD ETLEAKNHINVEKAEGTLSQEGNKNLNFDKETEGSPEHQQEAHGLETGDENTRTSAAD YPSQSKAKAVKSKSLTKGESDFNVSASDSPLESREERQIENNIDGIRPPLLVKDEEGR VTGKEEHILEQKDKEDKENKKTVIANHENDKSHNDSTIDGNRIVTPEYKRKANDNETV GPTRRISDDEKNLQHDTDDMSLDVEEEKKENSISPEVKKESAIEKPEAVRDNEVFSIE EESAPKGEKTIENDKEQSKTEDEFSAVKSEGNANPAMISKDSSALKDEAKTSTQENNP KEIVREIIVLDPPGEGTKKGEDVKIVEVVEKNTISEDFEAAKEDEEGRQVELGEAIGA IKDDEITTGAKTINEAIKMKQGETAELSGKKTISEGSETARESGEVAEGGAKVGESQN IEPPKVANGPISERPEDLQVNNEDHGIPKEYVGIPEEDMKAEDVETVEVPEEGLKAEF ETAIGSSKEDCKSQGVEIPTEEVETTQKDMEDKRPTKSSKEDEKSKGIEIMQKGDQMT KKDIKPENGEATEPLNEYSEANKVETAEKDVEPRRKTAKKDIEGDLEVEDSNAIDGIN VKSGDVETTKEAEIVAEQDKENEKVEAVGPPEKGNKTKCSESAETLRKDGTYTQTETS NEDAEAVAKEDENLEDAKFADTLKEVTKEQDVDGIKISDGDEYEKTDQLFEVGKQEKE VLRDEDRELEKEEKKKENFPLGPMMEDNITEDMCERKVEMEQKEEEDACPLNFNETEL IDKRGPNDEENESEDQKTKENPKKPSADDIFKDILDETDEFLEQLKIVDDSELNALLQ SLDAKDTVSEQSTQNQDMVPNTIKTSEIRKLNEKEPVYIYTSLAGGGFHMIPRTNRLS TILTANRIPFTYRDLGTDDEARKVWKTFSKGRSLPGVVRGHNDLIGNWEDIEEANEDY KLRELIYDTI SPAR_F00770 MTDHHLNAPQVSTSPTFERSQDFLNIDEPPCAQETPSVSTFNLP GPSAPAQSVDKPVPMMRRRSTNYMDALNSREQARERESSIEEHAPGAERRGSGPMDFQ NTIHNMQYRATNDSDLSHAGVDMGDSISHTPTRSRAGNKPIFKNSYLDNNSSGDSTKV PHGSAPQLGTRRKSSFKYEDFKKDIYNQLHMFEDK SPAR_F00780 MKYGLPLRIIGLAYLLLLFRVRRVIGWELSYEEYHAAHLNEAIN LDDDWDKTTKNLLLPFNRTRVPGSKGSREIQRFIIDHFNKTLAGEWVVETQPFEENGY HFNNLVMTLQNNASEYIVLAAHYDTKIAPTGMVGAIDSAASCAALLYTAQFLTHIACH ERSRGYSELESNAVVTSSTMGVKIVFFDGEEAIEEWGPEDSIYGARRLAAQWLADGTM TRIRLLLLLDLLGSGEEEPIVPSYYAETHQEYQLLNRIEDDLLFRRGDETDTESPLAA QVACQRKRLDPTDYRFLGLGHSVIGDDHTPFLAAGVPVLHAIPLPFPSTWHTVEDDFR HLDAAEARHWTLLVCEFVVRSLASRKP SPAR_F00790 MPSEEPHAPILFPDGSHVRSPSSTGTSSVNTVDAALTRPNYIKK PSLHIMSTSTTSTTTDLVTNPILSNISIPKISPPTSSSVATATSASHVTGTTAHTNTN ANANASANTSVSKKNVPPPTSGRVPSNTIKRYPSRYKPSHSLQLPIKNDSNFKRSSIY ASKSTVTAIPIRNNRPVSMQNSYARTPDSDHDDVGDEASSIKSASSSLTASLSKSFLF AFYNNRKKDKISNNGVLSKEYWMKDESSKECFSCGKTFNTFRRKHHCRICGQIFCSSC TLLIDGDRFGCHAKMRVCYNCYEHADTYEDSSDEENDSTMQLNEPRSRSRSRSSNTNA YSHSHSHLHLISQDNHSGADLHDPVAATANPQQQNEVYLLNDDDVQSIMTSGEDSKLF ISTPPPPPKMAIPATKQGGSLEISFDSENDRALHYQDDNPGRHHHLDSAPTRYTIRDM DNISHYDTNSNSTLRPHYNTNNSTITINNLNNATSNNSNFNNTNNSSSNTNINNPAHS LRRSIFHYVSSNSINKDSSNSSATPVSSTQSSSILDPANRIIGNYAHRNYKFKFNYNS KGPSQQNDTNDGNNNNTNNNNNNNSGSVSSGGPTDNNNTSTNDNGIAFNLERKKRNPL TKSKSTSAYLEYPLNEEDSSEDEGSMSIYSVLNDGHKTDNPIRSMRNSTKSFQRAQAS LQRMRFRRKSKSKHFPNNSKSSIYRDLNFLTNSTPNLLSVVSDDNLYDDSSPLQDKAS SSAASRLTDRKFSSGSGSNNNSNSSSNINTDPWKRIASISGFKLKKEKKRELNEVSLL HMHALLKQLLNDQEISNPQEWITLLDGALRKVLRTILNARDLNTLDFRQTYVKIKRIS GGSPQDSGYINGVVFSKALPSKTMPRHLKNPRILLIMFPLEYQKNNNHFLSIDSVFRQ EREYLDKLVSRLKSLHPDIIFVGANVSGYALELLNDSGIVVQFNMKPQVIERIAKLTE ADIAISVDKLATNIKMGECETFEVKSYIYGNISKTYTFLHGCNPELGGTILLRGDNLE NLRKIKQVSEFMVYAIFSLKLESSFFNDNFIQLSTDVYLKRAESKKLQVFKGYFADFL IKFNNRILTVSPTVDFPIPFLLEKARGLEKKLMERINQYESESDLDRQTQLDMLQGLE STITKKHLGNLIKFLHEMEIENLELEFQKKSRQWEVSYSSSQNLLGTGSHQSITVLYS MVSTKTATPCVGPQIVTIDYFWDSDISIGQFIENVVGTARYPCQQGCNGLYLDHYRSY VHGSGKVDVLIEKFQTRLPKLKDIILTWSYCKKCGTSTPILQISEKTWNYSFGKYLEV MFWSYKDSVTGIGKCPHDFTKDHVKYFGYNDLVVRLEYSDLEVHELITPPRKIKWKPH IDIKLKVELYYKILEKINNFYGSVSSRLERIKLDSMTKDKVLSGQAKIIELKSNATEE QKLMLQDLDNFYADSPCDQHLPLNLVIKSLYDKAVNWNSTFTIFAKNYLPSETDISRI TAKQLKKLFYDSSRKDSEDKKSLQDENIKTKKPEKNELPSEGLKDIGKPKIDSKSTTE NCDTKNEPQNGVAITSSKDDTPTLPISGTSHLMVTPSASSVSSSLTPQAEERPLMSRS GTGVSMTYDKSTRPNIRKMSSDSSLCGLASIANEYSKNNKVGKLATFFDQMHFDALSK EFELERERERLQLNKDKYQAIRLQTSTPIVEIYKNVKDAVDEPLHSRSSGNNLSSTNV KALEAPMGEHYRSSNCNPPNLDQNLENELENSISQWGENILNPSAKTTAITHVNSRPV VKETSENPKSIVRESSDNPKSEPLPPVITTTTVNKVESTPQPEKSLLMKTLSNFWADR SAYLWKPLVYPTCPSEHIFTDSDVIIREDEPSSLIAFCLSTSDYRNKMINLNAQQQQQ QQQQQQQQTVEAIPTKAGGNSGGTSQTLDPSVTISPSVSTTSHNKGRDSEISSVVTTK EGLMNTSSVEGARDRTPQESQTHSQANLDNLQELEKIMTKKTATHLRYQFEEGLTVMS CKIFFTEHFDVFRKICDCQENFIQSLSRCVKWDSNGGKSGSGFLKTLDDRFIIKELSH AELEAFIKFAPSYFEYMAQAMFHDLPTTLAKVFGFYQIQVKSSISSSKSYKMDVIIME NLFYEKKTTRIFDLKGSMRNRHVEQTGKANEVLLDENMVEYIYESPIHVREYDKKLLR ASVWNDTLFLAKMNVMDYSLVIGIDNEGYTLTVGIIDFIRTFTWDKKLESWVKEKGLV GGASVIKQPTVVTPRQYKKRFREAMERYILMVPDPWYREGN SPAR_F00800 MWSYFRFLLFFVGLMTCYTHELSATVNVSTNGIAVQVKGATLNS RAYYENSTDLIAREDQSDWLTDFTALSLTNTTGMDTDPDSTFIKGEDLQECIDMSECS WIAQRNNSRPCWHWEIPASFLKAVYDIFMMTNMGNCAVVAGNVGSFYYKYYPIEPNCN STIHKKTITDAIQQVLKQSKDGYLRNAYFFRVNRDGLKQGDILFGTTVSIWFTVPKGD QYRGFIDIGCSRLQSPCSSSSQEEST SPAR_F00810 MSDSSPTINFINFNQTGTCISLGTSKGFKIFNCEPFGKFYSEDS GGYAIVEMLFSTSLLALVGIGDQPALSPRRLRIINTKKHSIICEVTFPTSILSVKMNK SRLVVLLQEQIYIYDINTMRLLHTIETNPNPRGLMAMSPSVANSYLVYPSPPKVINSE IKAHATTNNITLSVGGNTETSFKRDQQDAGHNDTNDVDQYSSFTKRDDTDPTSSNGGN SSIIKNGDVIVFNLETLQPTMVIEAHKGEIAAMAISFDGTLMATASDKGTIIRVFDIE TGDKIYQFRRGTYATRIYSISFSKDSQYLAVTGSSKTVHIFKLGHSMSNNRLDSDDSN MEEAAADDSSLDTNSIDALSDEENSIRLAREPYVDASRKTMGRMIRYSSQKLSRRAAR TLGQIFPIKVTSLLESSRHFASLKLPIETNSHVMTISSIGSPIDIDTSEYPELFETGS SAGTESYHEPIMKMVPIRVVSSDGYLYNFVMDPERGGDCLILSQYSILMD SPAR_F00820 MGFSNSKSTKKPLLFDIRLKNVDNDVILLKGPPHEAPSVLLSGC MVLSINEPMQVKSISLRLYGKIQIDVPLERPQNASSSSLSSSPPKIRKYNKVFYSHAW DNVNLKEYLSGLRGQSGLAGSSSSSNIIGTRQRAQSTSSLKSLKGSSTPHSCTLDKGN YEFPFSAILPGSLPESVESLPNCFVTYSMESVIERSKSYSDLICRKNIRVLRTISPAA VELSETVCVDNSWPNKVDYSISVPNKAVAIGSATPINISIVPLSKGLKLGSIKVVLFE NYQYCDPFPPVISENRQVTELTLENPLNESSEEFGGSDCYVNNPFFQPDHSFQDKWEI DTILQIPNSLSNCVQDCDVRSNIKVRHKLKFFIILINTDGHKSELRASLPIQLFISPF VALSIKPLSSSNLYSLFSTTNQKDENSSQEEEEEYLFSRSASVTGLELLADMRNGAVP TISDLMTPPNYEMHVYDRLYSGSFNPTPAETSGICTPLGSECSATEDQQQDLEDLRIR LTKIRNQHDNLGLPASASSAAVSRSLSPLLNVPGQEDGIERSSSQSALGPNNSSLPGV HNNVSPVLLSRSPAPSVSVHEILPVPSGLNYPETQNLNKVPSYGKAMKYDIIGEDLPP SYPCAIQNVQPRKPSRVHSRNSSATLSSSIPNSFHSSSFMSNTASPISIINGSRSSSS GVSLNTLSELTSKTSNNPSSNSLKRSPTRRRASSLAGFMGGFLSKGSKR SPAR_F00830 MYSISNKKPSILSMVPLNILKNQDLKVKKEQEKKISFNPVVTPI RPDDYHEKSSRSSSSSHSDSPEFLRINNNKSCHKNGKLKVFESKKLVPLFIGDLHESV TEETLKGIFKKYPSFVSAKVCLDSVTKKSLGHGYLNFEDKEEAEKAMEELNYTEVNGK EIRIMPSLRNTTFRKNFGTNVFFSNLPLNNPLLTTRVFYDTFSRYGKILSCKLDSRKD IGFVYFEDDKTARNVIKMYNNTSFFGKKILCGIHFDKEVRSVPNFETQKSRLDAETII EKEQSLNEKHSKGNDKESKNIHSSSQNSVFIKNLPTITTRDDILNFFSEVGPIKSIYL SNATKVKYLWAFVTYKNNNDSEKAIKRYNNFYFRGKKLLVTRAQDKEERAKFIESQKI STLFLENLSAVCNKEFLKYLCHQENIRPFKIQIDGYNENSSAYSGFIKFRNFEDATRI FNFLNNRLVGGSIVMTSWERQNNAPKYHDGYGMRNTHTSSHPQITPYYHYSHTNSLNS PHIRAPSSMNSSTRSLIKNKNFNKKVLETFEKQVRRGIDFMRFPSATRDENVHGIAEY IFDTYWNRDVLVLDKFLSLLNSSPYHEGVLQKQIEEAASSLGFKR SPAR_F00840 MGINNPIPRNLKSETKKAAKILASFVKPNQVFGADQVIPPDVLK RAKGLAIITILKAGFLFSGRAGSGVIVARLKDGTWSAPSAIAMAGAGAGGMVGIELTD FVFILNTQDAVKSFSEFGTITLGGNVSVSAGPLGRSAEAAASASAGGVAAVFAYSKSK GLFAGVSVEGSAIIERREANRKFYGDNCTAKMILSGRIRPPPAVDPLFRVLESRAFNY RPSNSGRGSFDDDEDDYYDNDDYYNDIPSSFSSTDASSTRPNTRSTRRRAQSGSRYTF DDDDDDDDYGNGYSRSSRLGPTTSGGSGGKLDDPSGASSYYASHRRSGTAQSRTHPSR NSRWADDEYDDYDDDYESGYRRGNGRDRTKDREVDDLSNRFSKSRISSASTPQTSQGR FTAPTSPSTSSPKAVALYSFAGEESGDLPFRKGDVITILKKSDSQNDWWTGRVNGREG IFPANYVELV SPAR_F00850 MHSHHSHSGDYSAHGTDPLNSVIDQVINLNFHTYCLTEHIPRIE SKFIYPEEQALGKNSEEVIAKLETSFKNFMSHAQEIKARYADKPDVRTKFIIGMEIES CDMAHIEYAKRIMKENSGILKFCVGSVHHVNGIPIDFDQKQWYGSLHSFNDNLKDFLL SYFQSQYEMLANIKPLVVGHFDLYKLFLPDDMLVNQKSGQCDEETGVPVASLDIISEW PEIYDAVVRNLQFVDSYGGAIEINTSALRKGLKEPYPSKTLCNLVKKHCGSRFVLSDD AHGVAQVGVCYAKVKKYIVDVLQLEYICYLEERQPPQNGLSIRRLPISQFVNDPFWAN I SPAR_F00860 MRARKSQRKTGSKTNLIQSKLQVNGGSKSNKLVKCDKCEMSYSS TSIEDRAIHEKYHTLQLHGRKWSPNWGSIIYTERNHSRTVHQSRSTGTITPLNSSPLK KGSPSITHQEEKIVCVRPDKSNGEVRAMMEIMTLVNNELNAPHDENDIWNSTTEEKGK AFVYIRNDRAIGIIIIESLYGGNGNVSDRGRWMVYDSRRLVQNVYPDFKIGISRIWVC KTARNLGIATKLIDIARENIVYGEIIPRCQIAWSQPTDSGGKLASKYNGIMHKSGKLL LPVYI SPAR_F00870 MRRSVYLDNTIEFLRGRVYLGAYDYTPEDTDELVFFTVEDAIFY NSFHLDFGPMNIGHLYRFAVIFHEILNDPENANKAVVFYSSASTRQRANAACMLCCYM ILVQAWTPHQVLQPLAQVDPPFMPFRDAGYSNADFEITIQDVVYGVWRAKEKGLIDLH SFNLESYEKYEHVEFGDFNVLTPDFIAFASPQEDHPKGHLATKSSHLNQPFRSVLNFF ANNNVQLVVRLNSHLYNKKHFEDIGIQHLDLIFEDGTCPDLSIVKNFVGAAETIIKRG GKIAVHCKAGLGRTGCLIGAHLIYTYGFTANECIGFLRFIRPGMVVGPQQHWLYLHQN DFREWKYTTRISLKPSEAIGGLYPLISLEEYRLQKKKLKDDKRVTQNNIEGDLRDLTM TPPSNGRGAPSVRNSSQPSTANNGSNSFKSSAVPQTSPGQPRKGQNGSNTIEDINNNH NPTSHANRKVVIESNNSDDESMQDTNSISNHYPKALRKRNDISSASSSRMEDNETSAM SNINNAADDTILRQLLPKNRRVTSGRRTTSAAGGIRKISGSIKK SPAR_F00880 MHTPRQMWGRETDIARVLDDIEHDLFLPQRLSRDGAAGVDDSHV QYGIVKDCSVLTCGCCVSESLFHELCRETPNKQTACPICQRENVQLLSAIKPLRDLAR QIKFFRSITGQTESESDEIAAIVKTSPSSSSSLSLTPSRSSSIAGLVTDNKTLPDSTV KEKSSLLELFHIVASKIHNSNTEGKLDHPLTTGTSRDQEEQTTKENYSSSLLEPNYDD HTNWKILDNASNTRTVPIDNNSSLMSTDVTIPSPANYQTSSAHDLDEEKEYFFANCFP MYRKKFQFNTHPKFLGTKSKLFINQSISPDCTKFALITEHKWEIYSINPKDNSPQLIS CGKSSGEYGPNFNQLTEPSSSSPSTTSQASKKKKKNWSQRFCKLSNDFLIISGSQNIL NIHDIHQNGKPIYTYVSRFPIRCIDIDPRSQIIAYGITGKDRHTGAEQALVVIQQITT NKVTLEPEFPPPITITLPYRDPINTIQLSHDAKYLTCSTALESRFLIISLQKINEPRL IMKSVRSIDTSLESEGITDTKLFPGNPNLMCITSTAFNSSPLVINTKITQINGVRTVA QPSMLIRVDEIGCKIHKCEISPRNDAIAFLDRNGSVYIMCAPTMMDNNEKRRTILVET VANAYRAYESATMRFNLEGNKLYILDRKGTFFVEDFAYGLPQSREITKCKQIFHK SPAR_F00890 MSVEFATNPFGEAKIATSLPKYGTPVTAISSVLFNNVDSIFAYK SFSQPDLLHQDLKKWSEKSGNESCGKTYFQELDIRSGAGLAPLGFSHGLKNTTAIVAP GFSLPYFINSLKTVPHNSKFLLNVGALNYDNATGSVTNDYVTALDAASKLKYGVVTPI STNEVQSVALLALAIATFSNSSGAINLFDGLNYSKTVIPLVESVPEASILAKLSKVIA PDAAFDDVLDKFNELTGLRLHNFQYFGAQDAETVFITYGSLESELFNSIISGNNSKIG LINVRVPLPFNVAKFVTHVPSTTKQIVVIGQTLDGSSPSFLRSQVSAALFYHGRTSIN VSEYIYQPDFIWSPNAVKSIVLSFIPGFTYDTDSSFGEGFIYWASDKSINIDVASKLV KALSLEDGKYVSLRTKFDNLANAGTFQAQFVTSKKQIPMSNIDSTKLSIVENASLLKH LDVAATVVEQGSIALVSQKAVKDLDLDSVESYIKNLGIPESFLISIAKKNIKLFIIDA ETINDESKLSLFTQAVFWKLVFNLDVAECTNRIWKSIDSDANISAASISEFLTAAFKN SIREVPSAVYTKFSEITIEKKDDEKEPAALPIFVNETSFVPNQSTVEEIPLPETSEIS DIAKKLSFKEAYEVENELRPDLPVKNFVVKVKENRRVTPADYDRYIFHIEFDISGTGM TYDIGEALGIHARNNETLVKEFLQFYGLNEFDIVLVPNKDNHHLLETRTVLQAFVENL DIFGKPPKRFYESLIPYATNEDEKKKLEDLVTPAGAVDLKRFQDVEYYTYADIFELFP SVRPALEELVTIIEPLKRREYSIASSQKVHPNEVHLLIVVVDWVDNKGRKRYGQASKY ISDLAVGSELVVSVKPSVMKLPPSPKQPVIMSGLGTGLAPFKAIVEEKLWQKQQGYEI GEVFLYLGSRHKREEYLYGELWEAYKDAGIITHIGAAFSRDQPQKIYIQDRIKENLDE LKTAMIDNKGSFYLCGPTWPVPDITQALQEILAKDAKERGVKVDLDAAIEELKETSRY ILEVY SPAR_F00900 MKVEELIIDGFKSYATRTVITDWDPQFNAITGLNGSGKSNILDA ICFVLGIASMSTVRASSLQDLIYKRGQAGVTKASVTIVFDNTDKSNSPIGFTNSPQIS VTRQVVLGGTSKYLINGHRAPQQSVLQLFQSVQLNINNPNFLIMQGKITKVLNMKPSE ILSLIEEAAGTKMFEDRREKAERTMSKKETKLQENRTLLTEEIEPKLEKLRNEKRMFL EFQSTQTDLEKTERIVVSHDYYNIKHKHTSIRETLENGETRMKMLNEFIEKTTQEIDS LNEDVEEIKLQKEKELDKEGTISKLENKENDLLNEISRLKTSLSIKVENLNDTSEKLK VLESEIASSSTKLTEKKSAYANTEKDYKMVQEQLSRQRDLYKRKEELVSTLTTGISST GAADGGYNAQLAKAKTELNDISLAIKKSNMKMELLKKELLTIEPKLKEATNDNKLNMK HVKECQGICDKLQAQLVEYGFDPSRIKDLKQRENKLKSQYYQICKDSEYLKRRVTNLE FNYTKPYPDFKASFVHGVVGQLFQLDDDNIRYSTALQTCAGGRLFNVVVQDSQTATQL LERGRLRKRVTIIPLDKIYTRPISSQVLELAKTIAPGKVELAINLIRFDKSVTKAMEF IFGNSLICEDPETAKKITFHPKIRARSITLQGDVYDPEGTLSGGSRNTSESLLVDIQK YNQIQKQIETIQADLNHVTEELQTQYATSQKTKTIQSDLNLSLHKLDLAKRSLEVNPS SQIMARNEEILRDIGECENEIKIKQMSLQRCQEEVWTIEKDMKEYDSDKGSKLDELKK ELKLLAKELEEQESESERKYDLFQNFELETEQLSSELDSNKALLQNYVKSIESLKLEN SELEDKIRGIEDDLVTIQTELNEEKKRLMDIDDELNELETLIKKKQEGKKSSELELQK LVYDLNKYKSNTNNMEKRIEELRQEHDFLEDFDLVTNIVKQNEGIDLATYRERSKQLN EKFQELRKKVNPNIMNMIENVEKKEAALKTMIKTIEKDKMKIQETISKLNEYKRETLV KTWEKVTLDFGNIFADLLPNSFAKLVPCKDKDVTQGLEVKVKLGNIWKESLIELSGGQ RSLIALSLIMALLQFRPAPMYILDEVDAALDLSHTQNIGHLIKTRFRGSQFIVVSLKE GMFTNANRVFRTRFQDGTSVVSIM SPAR_F00910 MGRVIRNQRKGAGSIFTSHTRLRQGAAKLRTLDYAERHGYIRGI VKQIVHDSGRGAPLAKVVFRDPYKYRLREEIFIANEGVHTGQFIYAGKKASLNVGNVL PLGSVPEGTIVSNVEEKPGDRGALARASGNYVIIIGHNPDENKTRVRLPSGAKKVISS DARGVIGVIAGGGRVDKPLLKAGRAFHKYRLKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRGAVSGQKAGLIAARRTGLLRGSQKTQD SPAR_F00920 MTEQVSTDTTTTITTVYISNLPFTASERDLHTFLNNYGASSVLI PTQTVRRFSKRHSNNPRKPLGIAFAQFANNTLALKAIKDLNGTVFQNQKLFLKLHVPY EATSTPDADAKNPKEKKKDKKTPETAADTVYCHDLPDDITDSEIRELFQLYSPQEIWI YRSKVYRRKCIPFAPHQITAALVTLQSETPIGDICDNVVKTATLRGKPIIVKPAYVSK IQEIKQLVKDNLTNARDPPPAALAAPVEPAEPAQEVQDNAETNNVPPPPASSSDRPTV AAA SPAR_F00930 MAKSKNHTAHNQTRKAHRNGIKKPKTYKYPSLKGVDPKFRRNHK HALHGTAKALAAAKK SPAR_F00940 MGVLELVGDYWEQLKVTVVPVVAAAEDDDKEQHEQENEEGGEEN EEGKEEGDGDEDEDEDEDEDDDEDEEEEEVTDQLEDLREHFKNTEEGKALVHHYEECA ERVKIQQQQPGYADLEHKEDCVEEFFHLQHYLDTATAPRLFDKLK SPAR_F00950 MGRTTSEGIHGFVDDLEPKSSILDKVGDFITVNTKRHDEREDLN GQNDELNNQENRNGSENGNENENEQDSLALDDLDRAFELVEGIDMDWMMPSHAHHSPA TTATIKPRLLYSPLIHTQNAVPVTISPNLVATTTSTTSTSSTSANKVTKNKMNSSPYL NKRRGKAGPDSATSLFELPDSVIPTPKPKPKQYPKVILPSNNTRRVSPATTKTNSSEG VVVASESPVIAPHGLSNSRSLTKRRSSGALVDDDKRESHKHAEQARRNRLAVALHELS SLIPAEWKQQNVSAAPSKATTVEAACRYIRHLQQNGST SPAR_F00960 MTSFLIIYIFLWPRLICQLFPCERPGQPFALYIVGEAKKKKRKR GKPHLQNRKHTDKHIHTIRREGKAARKNCGNVEMNLPTAPTPNKHLSIPDLRFEKVFK KALYRELAPSSSRSRKLGVITKVVIRDVLLMPLLQSFVLSLALMGVKEWLHYIRLKGR TLGDRIRQRLFPI SPAR_F00970 MIRRAPTTLQLSHDDVSSLIDDLNEQKLKQQLNIEKTKYFQGKN GGSLHSHTDIQDVSQNIEDNDNDDDDDMSSYNDKPASVAHNRVLNSLHLSTDSNTAHE TSNATDNHNPFYIREE SPAR_F00980 MSDTEKDKDVSMVDSHETTEEPPSTTTNTPSFPHLAQEQAKEES ATLGAEVAHKKINYEQEAQKLEEKALRFLAKQTHPVIIPSFASWFDISKIHEIEKRSN PDFFNDSSRFKTPKAYKDTRNFIINTYRLSPYEYLTITAVRRNVAMDVASIVKIHAFL EKWGLINYQIDPRTKPSLIGPSFTGHFQIVLDTPQGLKPFLPENVIKQEAEGEDGAEP KVKKEFPVNLSIKKNVYDSAQDFNALQDESRNSRQIHKVYICHTCGNESINVRYHNLR ARDTNLCSRCFQEGHFGANFQSSDFIRLENNGNVIKKNWSDQEMLLLLEGIEMYEDQW EKIADHVGGHKRVEDCIERFLSLPIEDNYIREVVGSKPNGKGGDSRDGGVSGSKLMEC VNDAVQTLLQGDDKLSKVSDKSREISEKYIEESQAIIQELVKLTMEKLETKFTKLCDL ETQLEMEKLKYVKESEKMLNDRLSLSKQILDLNRSLEELNVSKKLVLISEQVDSGIQL VEKDQEGGNEDGNTATGHGVKRVGKEGEEVAEGDSIAQLQPQVYKPWSL SPAR_F00990 MGRCSNGKLMTVVEDGVGARVAARTRNMSNGVNYREKEVNDLTA DILDSDSDSDDNRNGKDNSGTAPVWLQDDVHSDEDIELDSEDDSDTEAVQAQVVDKLA KDTKSEENFLDDELSEMDTKTVSLKLKKLNEFVRQSQVYSSIIADTLLQRSNEVANFN TKDNGDANDEEQSPKRRKTKKKSITDFFKKQKKHEDTNSQNGAAGDVAIKQPHLLKNC TLKPYQLEGLNWLITLYENGLNGILADEMGLGKTVQSIALLAFIYEMDTKGPFLVTAP LSTLDNWMNEFAKFAPDLPVLKYYGANGYKERSAKLRNFFKQHGGTGIIITSYEIILR DTELIMSQNWKFLIVDEGHRLKNINCRLIKELKKINTSNRLLLTGTPLQNNLAELWSL LNFIMPDIFADFEIFNKWFDFDSLNLGSGSNSEALNKLINDELQKNLISNLHTILKPF LLRRLKKVVLANILPPKREYIINCPMTLAQKKFYKAGLNGKLKKTMFKELIKDFFTLN DDYIGHVSNRSIRDFINYKLSSNEASNTDNKINPTLLQMDKLYKENLQVEISNKKLQN MMMQLRQIIDSTFLFYFPYLHPEDLTLESLLKTSGKLQILQKLIPPLISEGHKVLIYS QFVNMLDLIEDWCDLNSFATFRIDGSVNNETRKDQLEKFNSPKDKHNIFLLSTRAAGL GINLVGADTVVLFDSDWNPQVDLQAMDRCHRIGQESPVIVYRLCCDNTIEHVILTRAA NKRNLERMVIQMGKFNNLKKLALNEGSFLKSNKMSVNVTNKDLVQELSMLLMSDESSI GFENRGQEENKATEGQLTDKEVEELTDRSLEAYKANRVVNLPHIRLFETTSGL SPAR_F01000 MKAVFKVMTALLACLFIARYLVCQQNGLGNFATDLQPICQHTEF SVDSLFHSKLLEGSAVADYLVEKYSQSIRPLVEKYPNSPLRRIVAHLYRFWYNVFSFL RLKELCCSVHSKLGPLLNHLRIAWYYLKPYTDNVKNVLENPFNSSTNWMRYGSFSVDG TQTKAIFETDSETEDFEDEDEDEDEDDADAEIEDGSNEYEFEEEQDDHENSQIVTAAI LQDLSKIIIGSNSHIELETYEPQSLKMEYEAWIKAIDSKIHKAATLLDSEIQCVFETE MRNKSMEITSKLDDLNKTVCEQLRFLDLKIKDINCTSKFDPTQNKIKYFDETGQVELE TYVTKSSITSILKNYKLHLLDFEKSLFRSLDSFLTEMAKLAESIRLENVEVYEEWGDI MVSQWSQRMAYMDIRSLHLKDQYDPEYIEENHFNWLRFMKLKKKVISERNHLVKHDLD MTSILEWITKLKTDFQGTKNNIQDTFLQRMNAADTLFKNRELKEQLEEEFVRQEH SPAR_F01010 MSFWPFGQNLNHSNINKILDEYFHVLHELERINPSVGKAIPAIF NNVQQRGTNDSLDSIPEEYSHGDEVKAGGGDQKSRFEKDDQQERYEKEEEERSMNSSE SSTTSFSSGSTSKTDLDEEDISNATAPMMVTTKNLDNSFIERMLVETELLNELSRQNK TLLDFICFGFFFDKKTNKKVNNMEYLVDQLMECISKIKTATTVDLNNLIDYQEQQQLD DSSQEDIYVESDTEQEEEREDDNISNKKRRKRGSPSFSNNDNDDNNNDDANDDDESAY LTKATIISEIFSLDIWLVSESLVKNQSYLNKIWSIINQSNFNSENSPLVPIFLKINQN LLLTRQDQYLNFIRTERSFVDDMLKHVDISLLMDFFLKIISTDKIESPTGIIELVYDQ NLISKCLSFLNNRESPADIQACVGDFLKALIAISANAPLDDISIGPNSLTRQLASPES IEKLVDIMINQRGAALNTTVSIVIELIRKNNSDYDQVNLLTTTIKTHPPSNRDPIYLG YLLRKFSNHLSDFFQIILDIENDPNIPLHENQLHEKFKPLGFERFKVVELIAELLHCS NMGLMNSKRAERIARRRDKVRSQLSHHLQDALNDLSIEEKEQLKTKHSPTRNINDDLT NKSSKNNNDNNDNDDESDYGDEIDESFEIPYINMKQNTKLRTDPTVGDLFKIKLYDTR IVSKIMELFLTHPWNNFWHNVIFDIIQQIFNGRMDFSYNSFLVLSLFNLKSSYQFMTD IIIPDETGTDVSRFTPVPIDPNFDFKITADFILKGYQDSYKFYELRKMNLGYMGHIVL IAEEVVKFSKLYKVELISPDIQVILQTEEWQYYSEEVLNETRMMYSKILGGGSYIDDG NGNIIPQLPDNSSVLTPNGDANNSNEIFDSDTGISNGTNGGGQLINVESLEEQLSLST ESDLHNKLREMLINRAQEDVDNKNTENGVFILGPPEDKNNNSNINNNNHNTTNNNNND NNDNDNDNARNYNEDADNDNDYDHE SPAR_F01020 MNNYWKLMLVVLGLLSLSYAFTTIETEIFQLQNEISTKYGPDMN FYKFLKLPKLQKSSTKEITKNLRKLSKKYHPDKNPKYRKLYERLNLATQILSNGSNRK IYDYYLQNGFPNYDFHKGGFYFTRVKPKTWFILAFIWIIINIGQYIISVIQYRSQRSR IESFISQCKQQDDTNGLGVKELTFKQHEEDEGKNLVVRFSDVYVVEPDGNETLISPDT LNKPSIKNCLFWKIPASIWNGTLGRFVGSTPEEEIIRDSKKYDGEQTRKRNKVKRNPA KKGQKKMELPNGKVIYSRK SPAR_F01030 MAGIRFTHKLYQYYQLATSFLYAALLIRWLILMPLVGSRFLPGG IHEFLIYLMLCSSLVEVIWLLRFHGLKNGLLSRTFLKDLDFIYLVRVIHFYDDYEHAL ILKNASYSSFIICLSLSQAYCHWCKLFKRKGVKERTLVWKVNTFITLPILYLSEFALL LLNIQIKNYHSTPTLDVINRVVLLAYFPILLTAYKKLLTK SPAR_F01040 MNTLQYPQNKILVLSDHPHNLLKTQLLQDLFHCTSISVSIVKDQ TWENKYYKTHFDLYIDSCKEIPVWIEEFITPECEPLRNVMAGIILITDIRLTKPQELL QQFLAAVHRNTFVVLVNVNEEVEQEEIDDLNEIWSNAFTNIIEFINWTRSKPTINHNE YGEKLGLDRIQEIIDTHDWLNCELLPVTTIKEEMPKEMPLEQIIGNLQNARLKYKSMK NSSEADAFANEMADELSKFL SPAR_F01050 MSHSLTSVFQKIDTLKPQFFSRLTKAIQIPAVSSDESLRPKVFD KAKFISEQLSQSGFHDIKMVDLGIQPPPISTPNLSLPPVILSRFGDDPSKKTVLVYGH YDVQPAQLEDGWDTEPFKLVIDEAKGIMKGRGVTDDTGPLLSWINVVDAFKASGQEFP VNLVTCFEGMEESGSLKLDELIKKEANGYFKGVDAVCISDNYWLGTKKPVLTYGLRGC NYYQTIIEGPSADLHSGIFGGVVAEPMIDLMQVLGSLVDSRGKILIDGIDEMVAPLTE KEKALYKDIEFSVEELNAATGSKTSLYDKKEDILMHRWRYPSLSIHGVEGAFSAQGAK TVIPAKVFGKFSIRTVPDMDSEKLTSLVQKHCDAKFKSLNSPNKCRTELIHDGAYWVS DPFNAQFTAAKKATKLVYGVDPDFTREGGSIPITLTFQDALNTSVLLLPMGRGDDGAH SINEKLDISNFVGGMKTMAAYLQYYSESPEN SPAR_F01060 MANQNSDLYKQITAGSVAAVFQTTMTYPFEYLKTGLQLQPKGTA FEIILPQIKSYFVGCSALNVAAFGKTILRFITFDKLCHSLNNNIDNNDNFQRLTGPNL LIAGTLTGIVESLFIIPFENIKTTMIQSAMIDNKKLQKAQPEASAKATFHKAATKATP VARIERLLPAVKHMYQTRGLAAFVQGTTPTIFRQIANTSIQFTAYTAFKRLLQGRNDK ASSAITGLATSFTLVAMTQPIDVVKTRMMSQNAKTEYKNTLNCMYRIFVQEGMATFWK GSIFRFMKVGISGGLTFTVYEQVSLLLGFSSRS SPAR_F01070 MSTPRKTAGENEDTEVSEIRTPFRERALEEQRLKDEILIRNTPG YRKLLSASTKSHDILNKDPDEVRSFLQDLSQVLARKSQGNDTKTDKTQARNLIGELTY EENRVEEDEFLQSRNENTTDNSINDETQAGYTSLSQTVFAQLQERDKGLKSRKIDPII IQDAPMTGHEDESIVYSSDNANNISMDVLDTLPGIGMDQKDEPPVRDPEPTSTTQQEE ALSEVLPSDDKKEVEEEVENEEYLLTNASDDDLDDIGNDPERLNIPAVRRSSVKPLQI MDLKHLTRQFLNENEIILPKQTWSTMQEESLNIMDFLKQRIGTLQKQDLVDSFIDMGV INNVDDMFELAHELLPLELQSRIESYLF SPAR_F01080 MPVYEHLLPANGAWRQDVTNWLSEDVPSFDFGGYVVGSDLKEAN LYCKQDGMLCGVPFAQEVFNQCELQVEWLFKEGSLLEPSKNDSGKLVIAKVTGPAKNI LLAERTALNILSRSSGIATASHKIINLARSTGYKGIIAGTRKTTPGLRRLEKYSMLVG GCDTHRYDLSSMVMLKDNHIWATGSITNAVKNARAVCGFAVKIEVECLSEDEATEAIE AGADVIMLDNFKGDGLKMCAQSLKNKWDGKKHFLLECSGGLKLDNLEEYLCDDIDIYS TSSIHQGTPVIDFSLKLAH SPAR_F01090 MSYKANQPSPGEMPKRSPSILVTDARTSKNRMSAPFAGHAAGSR KNMENKGLTRSQGVRSSAIGPSPLQNPTHPRRRSSGRFSDISIDNILSDNSDIPSARR EERLSSSSSDRPHHYERLSSRRKMINPLPPRTSKTSQKLVLIPEDDNLNHFQTLPTNA LDRRRPKVGSIKSNSSDRLTRYSREKSMARITAYNVADGFNLNQLYKFLQETHEVSPR LYDECLYVAYTLPLLPGKGGFRIKSNISKKTVGGKTLIDNLIDTSEQRDHHYEYYSGV ETVEDANNNYELETNGNNNSTNQDTTIVPDHLPNPIGQQDSFNPMEPQFFAEETPSEI EKRERTERINMLKKKENDSDASGSDNNNSDGESKSYAVEGKDRYAQSSRSPPPPSSAS TPSPPPSSQDDFDRVYEMHRDNDHEGNDRHAEIFIFHYGVIVFWNFTEIQEKNILGDI TFADYKNLMIRPLDEQDIETEQFHFEYDRDTERPRIFNDIVTLRSGDHIIELTLSHAI AQSSKLSRFESRISPILISVTKLPKRLALYGTLGLKREQLLKKSGKLFKLRVDVNLSS TILDTPEFFWSFEPSLHPLYVAMREYLEIDQRVQVLNDRCKVFLEFFDICVDSVAERN MARVTWWFILVILFGVIFSLTEIFVRYVIIHRHTAT SPAR_F01100 MIATPIRLAKNAYEPMIKFVGARHPLVKHAAEIVVHPCATNGML PGSKECIPVGKFMENYKPFRVVPIKHSARTSLNSSKASAFIDRPLEKDELASIFELPA RFRYKPINENELESINSGGAW SPAR_F01110 MNHDPFSWGRPADSTYGAYSTQIANAGASPMANTQQPIVTGTSV ISMKYDNGVIIAADNLGSYGSLLRFNGVERLIPVGNNTVVGISGDISDMQHIERLLED LVTENAYDNPLADAEEALEPSYIFEYLATVMYQRRSKMNPLWNAIIVAGVQSNGDQFL RYVNLLGVTYSSPTLATGFGAHMANPLLRKVVDRESDIPKTTLQVAEEAIVNAMRVLY YRDARSSRSFSLAIIDKNTGLTFKKNLQVENMKWDFAKDIKGYGTQKI SPAR_F01120 MVVLAASITTRQGKPLLSRQFKDLSKDRVLELLSNFQNLVSEIS SDHTFVEDKHVRYVYRPFDNYYIILITNRQSNIIKDLATLNLFSQTINSYLSSFQDQE IFHNAFEILSSFDEIVSMGGYKENLSFTQVQTYLSMESHEERIQEIIERNKEIEATEE RKRRAKEIARKEHERKHGFMSSNGDYDGANRFMASKDPNVTNAINSYYSHASPAAQQS YLQSAHAAVAEVAPMASPIATSQRTGSSSTGGMKLGGSAGRRTGAAPRPSAISSASSS APPPPEEDVPENNGILISIKEVINAEFSRDGTIHSSELKGVLELRINDHDLSHSNLKL ADSIDVRDKSFQFKTHPNIDKQSFLSTKLISLRDKSKAFPANDQSLGVLRWRKIAPAE DDSLVPLTLTTWVSPSESQQGFDVIIEYENVLETELSDVVFTIPVFPQEPVDINTESS TCSDAEVVNMDQETGTSIKISKIGANDAGALAFTIEAPYEDALYPMTVSFQESTRDKS AKSFTGMAIQSVVMADDHDQELPYDVITSLKSDEYLVQ SPAR_F01130 MPSLAELTKSLSIAFENGDYAACEKLLPPIKIELIKNNLLIPDL SIQNDIYLNDLTITKRILEVGALASIQTFDFDSFENYFNQLKPYYFSNNHKLSESDKK SKLISLYLLNLLSQNSTTKFHSELQYLDKHIKNLEDDSLLSYPIKLDRWLMEGSYQKA WDLLQSGSQNITEFDSFTDILKSAIRDEIAKNTELSYDFLPLSNIKALLFFNNEKETE KFALERNWPIVNSKVYFNNQSKETADYEDEMMHEEDQKTNIIEKTMDYAISIENIV SPAR_F01140 MVHLGPKKPQARKGSMADVPRELMDEIHQLEDMFTADSETLRKV VKHFIDELNKGLTKKGGNIPMIPGWVMEFPTGKESGNYLAIDLGGTNLRVVLVKLSGK HTFDTTQSKYKLPHDMRTTKHQEELWSFIADSLKDFMVEQELLNTKDTLPLGFTFSYP ASQNKINEGILQRWTKGFDIPNVEGHDVVPLLQNEISKRELPIEIVALINDTVGTLVA SYYTDPETKMGVIFGTGVNGAFYDVVSDIEKLEGKLADDIPNDSPMAINCEYGSFDNE HLVLPRTKYDVIVDEQSPRPGQQAFEKMTSGYYLGELLRLVLVELNEKGLMLKGQDLT KLKQPYIMDTSYPARIEDDPFENLEDTDDIFQKDFGVKTTLPERKLIRRLCELIGTRA ARLAVCGIAAICQKRGYKTGHIAADGSVYNKYPGFKEAAAKGLRDIYGWTGDASNDPI IIVPAEDGSGAGAAVIAALSEKRIAEGKSLGIIGA SPAR_F01150 MRSLIFITLVLASIALALDVIEKSKTITVSTNYHEKLTIEKGVY LGLVGGSFHNFYSDVKVHGGLYVSTDDSSIRKNNRLVGSFENYFNTVIDLKRDTVSNS YIWEGESFENMGNMSMRGTPFASSNSQSLTFSYFSNSGFLQFVDTGYLQFGKEDGTII NTGTIAAQSDQFNHIYLIPQSSMMGNGCLWVGSYCIFYIEDLDNISVEDQTIVLSSEP NAIVLGGFTDPPSRHPPVTSLKIRNFNSKTSIWFHDNNPKIKSFENGILTVTTIGRKY ILDVGPGYTGDQFSMTNTPVTTQLWQEGRPINAGVLRTTKVKPTLSAYPGCLPPQSIP DFNSFKTLPFRDAESLPNGRPTVTSSRISSSVVGSLSKTIITTSISSSTADNQHVDVS SNFPSRTASETATVFFSFSSSDYSSQPSDYIASASSGIDGQTVTKPTGYTFLDSSSQP SSYVTSGLDTTSYQSSLDGSQSVNVLSNFTTKVSSYSTLISSDTTFAQYTSGVLSTSN SLSSNDAISRFTETTTQPISSSSQYFSNYVDQLSNEVTVIPLTTTTSQSTGEVVAQSS GYVFSLLSGNNTQFTSKEALSTSFDSSVATKFATLSTYKQSSDYLNSETATAPPFLSS STISFPGSGEFKSHSLNQSLSVTNLLSSSQTAFGGNSLGQFKSQTPSGSSTTVAPQPG SPTLTLLSSSTAAENSAPKEALSPTSFPDMTTRVSGGATPNVSTVTSLLTDSADDEHT STITQISSFSDNSDINIATPSLSCSSNQFSKHASPSSISNIVTQWESTPDSPSLCTIT SACSSAVITVHYSNLTQYAASTLAAEYFTRSSPTSFESGNSRSTLDSVKTGLMSFSNQ PSSNPSITTSSQVSTGPTTSVSASSQSSSGSLIASSSEISTSTTPLTSSTSQPLSDSS ITSSSQVSTGITTSVSASSQSSSGSLIASSSEISTSTTPLTSSTSQPLSDSSITSSSQ VSTGITTSVSASSQSSSGSLIASSSQVSTGITTSVSASSQSSSGSLIASSSEISTSTT PLTSSTSQPLSDSSITSSSQVSTGITTSVGASSQSLSGSLIASSSQVSTGITTSVSAS SQSSSGSLIASSSEISTSTTPLTSTSSQSSSDSSIIFPSQVSTGTTTSVSASSKFSHT LTTGSPTDIVSKSSDISSPSSSNTVTTVSHSNNTQHASSTLAAEYFIRGSSSFLESDS SMFALQSTYTSLLSVSNQPSGDSRIPSSSQISTDITTLTSSISEISHTVATESLTNSA SQPSSRTAIEVFIRSGRESSSAVAPRTSNIISGPSSSTYSLSSRDNILYSSTILVPFA SSDLLESLKKNSSGSVASSGQSSALSVHSTLTDPTLVNPPMQSSRILTTKSASHIVSY SLTLSTFDSLNKGNTVIPTHPTVEKLASSTVSTSRHGSTSVSHISDSQYLNKANYTTA QQVVQSSSLSSFEPNISNSFSTLTTFTTIYKEWTGTFISTYSTQVSTLNVNKARMVER AVGDSGSRVTIEIIYYVETPKANEALLTINANNGAASSQTIGNIATVTSCSSYVYTGV VSPAVISTVTTKIGDAITELTTWCPFSMPDSADKTIETFVTSSLLNVHTDLASTTTKH TSVPTANSNTVTKDMTLRPSFGTELVFGTTRGTDIAVTLESIKTAIPQNTTPGTKTHE SSPSPASKATTPTTYGSAAVIIPEFSVSSTNVPSSESSLVTVQSGLTTSFMNSPRSWS YSSSVIASNSTSFQLSRYAGTANNLVSNGLWSVFVSTVLLAFYR SPAR_F01160 MVDLTELSKFGITTQLSVIGRNPDEQSGFVNPPLYRGSTIIHKK LCDLEQRKGRFYGTAGSPTIANLEDAWTHLTGGAGTVLSPSGLGSISLALLALSKAGD HILMTDSVYVPTRMLCDGLLAKFGVETDYYDPSIGKDIEKLVKPNTAVIFLESPGSGT MEIQDIPALVSVAKKHGIKTILDNTWATPLFFDAHSHGIDISLEAGTKYLGGHSDLLL GLTSANEECWPLLRSTYDAMAMLPGAEDCLLALRGMRTLHLRLKEVERKALDLAAWLG NRDEVEKVLHPAFEDCPGHEYWVRDYKGSSGLFSIVFKNGFTRAGLEKMVEEMKVFQL GFSWGGYDSLITPVDPSKIRKASAWPYKGFAVRIQVGLEELADLKRDLELGFERLTED ISMDPLQI SPAR_F01170 MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYS TRLRPAISSALSKDGIYTAIPK SPAR_F01180 MAAIKDYKTALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPKDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_G00010 MKNNEIEDEKIVALSSFRHLESQKIVLPQKVFSSEFNWGCYEML KWPAFRVWLLSWLPLSILWIMSSKWLFLFISFYVVVLLGLYVLPFIHWLYRKRSLSKQ LTQFSKEIAKNTPGTHTHDWEVIAINLNSYFYEIKAWNTKYFFFNAADCQEAFRRTLL EPFSLKKDEAAKVKSFKDSVPYIEEALQVYFTEVEKQWKLFNTEKAWSAVSLEDIQLP REAHRFKLTWVFKRIFSLGWLPLFLSVLYNVYVSRNPPPLFRILYIGCFLLTAVKSFQ NIRVSLMKMEHKMQFLSTIINEQESGADGWDAIAKKMNRYLFEKKVWKDEEFFFDGID CEWFFSHCFYRLLSSKKSDSSVSLNVELWPYIREAQVSRSDEF SPAR_G00020 MANLNKFGQEVGADVNGWTTRVFPEKVVLKGNYCRLEPLDREKH GSELFSAYNKAGQKLWTYLPVGPFNTLEEFLEFIKKLNETRDTVPFAIINEETKRAVG TLCLIRIDEANGSLEVGYVIFSPELQKTIIATEAQFLLMKYVFDDLQYRRYEWKCDSL NGPSRRAAMRLGFKYEGTFRQVVVYKGRTRDTQWFSIIDKEWIFIRKTFEEWLDGTNF ENGRQKRGLAAIRENLLN SPAR_G00030 MSFLSIFTFFSVLISVATAVRFDLTNVTCAKLHGPHCGTYVMEV VGQNGTFLGQSTFVGADVLTESAGDAWARYLGQETRFLPKLTTIASNDTKNFSPLIFT TNINTCNPQSIGDAMVPFANTVTGEIEYNSWADTADNASLITGLANQLFNATDYGVQV ASCYPNFASVILSTPTVNIFSINDSLPDYCTAIQLKAVCPPEAGFV SPAR_G00040 MRRKNRLFISVALLGVFLVAYYRQIGSLKSLGPIQNSSSDRGRC WSYYEDLSPGWLNDFYDVNQVSSTPANDVVELVTRISTFSKCLERTAGRDIQRVRNIE KKLFPYINFEKLENDEFNFWHTITRWNGEVYHASVLEFDLKDHHFINSKPINFDTELS FWENWLYTVTQSGSKGIVISASDGQLNEAIRLLKILRFLKNEYPIQIVYNADLSRDSI KYITKYARNRDTPEYPAQEIWFLDVHNLLSPTYSDKFATYSNKWLALTFSSFEIPILL DSDTVPFVSVDKFYKLEEFQKTGVLFFKDREITNDLFEQSELEILKEIIYGCIKLDLT DGSKIREHIEDPTVAQALENMFVKRYRHHLESGLVVLHKGKHLFSVLTSIALQFSPIA EYFHGDKDFFWLGELLSKNHFTFHPVDASNIGQLGNVVSKESTGEFYQICSVQLSHTD KDGSLLWLNGGLNVCKKASWEYDYEHSRRLADIFQSADALREYYESPVKLEGIIIPDA NISGWIKSGECFLFNYCTLFKEGEFGKVIKFEENEKRRLSQIVDIWNETIL SPAR_G00050 MSSVTGFYIPPISFFGEGALEETADYIKNKDYKKALIVTDPGIA AIGLSGRVQKMLEERGLNVAIYDKTQPNPNVANVTAGLKVLKEQDSEIVVSIGGGSAH DNAKAIALLATNGGEIGDYEGVNQSKKAALPLFAINTTAGTASEMTRFTIISNEEKKI KMAIIDNNVTPAVAVNDPSTMFGLPPPLTAATGLDALTHCIEAYVSTASNPITDACAL KGIDLINESLVAAYNNGKDKKARTDMCYAEYLAGMAFNNASLGYVHALAHQLGGFYHL PHGVCNAVLLPHVQEANMQCPKAKKRLGEIALHFGASQEDPEETIKALHVLNRTMNIP RNLKDLGVKTEDFDILAEHAMHDACHLTNPVQFTKEQVVAILKKAYEY SPAR_G00060 MSNVTTPWWKQWDPDTVTLTDTTPDDVWKICVLEGVYYGENEYN GNLGARISSVFVILFVSTFFTMFPLISTKVKKLKIPLYAYLFAKFFGSGVIVATAFIH LMDPAYGAIGGNTCVGQTGNWGIYSWCPAIMLTSLTFTFLTDLFSSVWVERKFGLSHD HTHDDIKDTVVNDAAVVSTENATANGSHDTKNGVEYFEESDASSMDAVQSFQAQFSAF LILEFGVIFHSVMIGLNLGSTGEEFSTLYPVLVFHQSFEGLGIGARLSAIEFPRSKRW WPWALCIAYGLTTPVCVAIGLGVRTRYVSGSYTALVISGVLDAISAGILLYTGLVELL ARDFIFNPHRTKDLKELSFNVICTLFGAGIMALIGKWA SPAR_G00070 MVAARVDFGIGGMTNTGKPKSRCYKCPFNGCEKEYNRPSLLQRH LNSHTNQRPYPCDEPGCGKKFIRPCHLRVHKWTHSQIKPKPCTLCKKRFVTNQQLKRH LNSHKRKNRVASKNNYKHEGPCSNIKAELSGVDGGLDPALTSGSVMYDEESLQGHLPG SDDMRVLQCPYKSCQKVTSFNDDLINHMLQHHIASKLVVPSEESRLKKSTPTSVESSS TDITSIPQLSLSTTGTSSSDSSNETMARSPNDPENYWSDNRCKQTECQELSPFASVFD LIEHYDRTHAFIPETLVKYSYIFLYKPSVRGLFEY SPAR_G00080 MVHLGPKKPQARKGSMADVPKELMQQIENFEKIFTVPTETLQAV TKHFISELEKGLSKKGGNIPMIPGWVMDFPTGKESGDFLAIDLGGTNLRVVLVKLGGD RTFDTTQSKYRLPDAMRTTQNPDELWEFIADSLKAFIDEQFPQGISEPIPLGFTFSFP ASQNKINEGILQRWTKGFDIPNIENHDVVPMLQKQITKRNIPIEVVALINDTTGTLVA SYYTDPETKMGVIFGTGVNGAYYDVCSDIEKLQGKLSDDIPPSAPMAINCEYGSFDNE HVVLPRTKYDITIDEESPRPGQQTFEKMSSGYYLGEILRLALMDMQKQGFIFKNQDLS KFDKPFVMDTSYPARIEEDPFENLEDTDDLFQNEFGINTTVQERKLIRRLSELIGARA ARLSVCGIAAICQKRGYKTGHIAADGSVYNRYPGFKEKAANALKDIYGWTQTSLDDYP IKIVPAEDGSGAGAAVIAALAQKRIAEGKSVGIIGA SPAR_G00090 MSTLSDSDTETEVVSRNLCGIVDIGSNGIRFSISSKAAHHARIM PCVFKDRVGLSLYEVQYNTHTNAKCPIPRDIIKEVCSAMKRFKLICDDFGVPETSVRV IATEATRDAINADEFVNAVHGSTGWKVEILGQEDETKVGIYGVVSSFNTVRGLYLDVA GGSTQLSWVISCNGEVKQSSKPVSLPYGAGTLLRRMRTDDNRALFYEIKEAYKDAIEK IGIPQEMIDDARKEGGFDLWTRGGGLRGMGHLLLYQSEGYPIQTIINGYACTYEEFSS MSDYLFLKQKIPGSSKDHKIFKVSDRRALQLPAVGLFMSAVFEAIPQIKVVHFSEGGV REGSLYSLLPKEIRAQDPLLIASRPYAPLLTEKYLYLLRTSIPQEDIPKIVNERIAPA LCNLAFVHASYPKELQPTAALHVATRGIIAGCHGLSHRARALIGIALCGRWGGNIPES EEKYSQELEQVVLREGDKDEALRIVWWTKYIGTIMYVICGVHPGGNIRDNVFDFHVSR RSEVETSLKELFIDDATASKVKEESTRKNRGYEVVVRISKDDLKTSASVRSRIITLQK KVRKLSRGSIERVKIGVQFYEE SPAR_G00100 MKTKFDCISTGKRNRPSPNNIDLNDQSATFKRNKKNSRQPSFKV GLSYNSLLDDCDNENDTEDIFEGRGPRFFDNDDNFSLTADDTQVTSKLFDHDLEKLPS EEAKKPKRVTVRKLPNKCLGTNILPDSFRGVFKFTEFNKMQSEAFPSIYESNENCIIS SPTGSGKTVLFELAILRLIKEMNSDSNNTKIIYIAPTKSLCYEMYKNWIPSFVNLSVG MLTSDTSFLETEKAKKCNIIITTPEKWDLLTRRWSDYSRLFELVKLVLVDEIHTIKEK RGATLEVILTRMNTMCQNIRFVALSATVPNIEDLALWLKTNNEVPANILSFDESYRQV QLTKYVYGYSFNCKNDFQKDAIYNSKLIEIIEKHADNRPVLIFCPTRASTISTAKFLL HNHFSSKSKKRCNYHASDRILSECMQQGIAFHHAGISLEDRTAVEKEFLAGSINILCS TSTLAVGVNLPAYLVIIKGTKSWNSSEIQEYSDLDVLQMIGRAGRPQFETHGCAVIMT DTKMKQTYENLIHGTDVLESSLHLNLIEHLAAETSLETVFSVKTAVNWLRNTFFYVRF GKNPAAYQEVNKYVSFHSVEDSQINQFCQYLLDALVKAKLIDMSDGEYRSTAYGNAMT RHYISFESMKQFINANKTLSLQGVLNLLATSEEFSVIRVRHNEKKLFKEINLSPLLKY PFLTGKKQSQIIDKISQKVSLLIQYELGGLEFPSYEGASKLHQTLVQDKFLVFRHCFR LLKCMVDTFIEKSDGTSLKNTLFLLRSLNGHCWENTPMVLRQLKTIGLVSVRKLIRHG ITNLEEMANLSDTQIEYYLNLKIGSGIKIKNDISLLPCLNIRTKLEHCKMENEDLWLT FKVEISALFKSSIWHGQHLSLDIETEKSSGELVDFRRLQLNKLQSPRGFKISANISSN LEKIEFSIHCQEIAGLGKTIVYSTDHLASQFFAKSSHMRKDLSSLEKCLFHVSSSDEE VEKTSRFSHNVGLEESLSSDDSILDYLNEAKRPPKAVEPAAAIHSNVHSSSHGSNGRQ VRSNGNYECFHSCKDKTQCRHLCCKEGIPGKYIKEKGSSSTMSVSKAGQVRQPLLAKN TNTDPHLEKGPKGKLRQWQEESTDTGAVHLPSSKVHDVPHMSFKEANVQVLQPAPEIF PEITSIDLKSSDGYSSNTAISSASDPTGGLDFLGSDIEFE SPAR_G00110 MSEEEAHKIVELDDVGVQLDEGDEEDLLEYDDELAEEQSSDAHI RNVAENLMKSELPKVTVKYKDSTFLLFTSEEENESNQPIICENAALYQRPMGEFIESV RKFMGNRFGRLAFATKELVLQLKSLDLTLFEDNVYNNHISFSDVYTIFKILKERSESN FEADIPTHLTIELSTRPRFVSRYNALVELTESSATLKNIKPFSNDETHPLILDDNDQS VHHHTSEVIVMDIDDDGGDDSED SPAR_G00120 MIIGRWEVKLSQYSQDVGGYSALSGSLKENIKLGRRAQKYLKEL RTLQLKPLEIGRSEKCGMTNGDKYFLDVIHITSQREKMGINVDKTWNVTNLENYNKEE LKYELFREKLKVEKQDMSFFKWMKSLSVELYFPLQQTANHRLADGNIRSGWFNIPLLR KSRPRKKIPYPSLRHMPSALEVRYNRLTEEKLNFCVLFSDKPLSDWKPRFFEQTYDRF LLRLIPPENTPKYKSRSSKYDIKISPQSWVVKVPEHDRELDIFEGSYDKLFDAHFNKL EFFKIRTKKLKRNRLVKKNIHGIWRLEKEDLKDLVWDPLKKISNHSRGTIFEHETINE EVYSIKPKRLTFQELDSGSLDLIDNQKKTFGTIKLAMRISNEKKIEDFSFEGSERNDV TANETQEFHQNDRLSSEGDINTSLAPQKRSFIDNELMSMLVTKKKKKKAQGNP SPAR_G00130 MNSGTYANSQIEKPVSKSVYSGEKDSSFNSSSVRHSILEEDIEN KCIAVNENKVIENQKVIQSLCKNSQLDLIEQPYFGECDFIINHSTCLYKIQANRFLQL RNNGPLYYDKAVNDLLTEFQRVIIIVEFSEIIQDVDPDLFWKIRLYLLHSRVDVFFVH ENIDLFIDWIKYFIAKWALPYSNEKEENIANAGVLLDLGFNILLVRKIFQTYSLQEFF MAIIREESQAVELLTVSQMTRVKRLLTLEW SPAR_G00140 MVVFEITILGANGGPTEYGTQCFILKPARTEDPELIAVDGGAGM CQLREMLVQGRNDNEGDDEFVPSFYEHDREPIEFFVDSKLDIQKGLSKSLLQSLKRQG DHFESANTTKKTYKVFQGITDYYITHPHLDHINGLVVNSPSIYEQENSKKKTIWGLPH TIDVLQKHVFNDLLWPDLTAERSEKLKLRCLNPKEVQKCTIFPWDVIPFKVHHGIGVK TGTPVYSTFYIFRDRKSKDCIIVCGDVEQDRRESEESLLEEFWSYVAENIPLVHLKGI LVECSCPLSSKPEQLYGHLSPIYLINELSNLNTLYNSSKGLSGLNVIVTHVKTTPVKR DPRLTILEELRFLAEERNLGDLRISIALEGHTLFL SPAR_G00150 MELRNVSRRTDGVLANQRLESGGVLEGELQQDARLTRQSIWLSP SLIAEYIQLLFNFIIGIIGLSLAIKFILMIRKDVNLKLEHEVREALDKIATCKLKYFK NQCEPHMRVPALEVRCNEWSKCMNDEVMPGSDYQWAKAWARTLAEVINAFFEAFSIRS FLFILISVVGIIFVTNTSFGSYRVYLNHKDTKPVRNA SPAR_G00160 MGVSANLFVKQRGSTTALKQPKEVGFYSRTKDEEYLVSDDTNLN YYYLPDAELDKKLDLSAGFQKFKDYYKDFEDRCSLRGLLETIESSERHKGKKINADII TFRGIARKLISCAFDSPSFNAVDLRIVSFNGQLFIKEVPETINAAKVSSTTGAGHNIN QDLNVFTGYKFETLATLSNPLQYTPREVIEKRAKRIVAHGDEYISVVRTGVGNCKLIL GAEVDCIFDFKENGRDNLKHYAELKCTQQVTNVSDTHKFERKLFRTWLQCFLVGIPRI IYGFKDDHYVLKTVEEFSTEEVPVLLKNNNPQMGSTCLEAIKWYGLLTEWLLKMIPRD EDPHAQIRAFKLVFQNNHLSLSEIEENDEEYSSLVNGEHILTNGFKEWRKSLK SPAR_G00170 MPSTLTINGKAPIVAYAELIAARIVNALAPNSIAIELVDDKKAP AAKLDDATEDVFNKITGKFAAIFDNGDKEQVAKWVNLAQKELVVKNFAKLSQSLETLD SQLNLRTFILGGLKYSAADVACWGALRSNGMCGSIIKNKVDVNVSRWYTLLEMDPIFG EAHDFLSKSLLELKKSANVGKKKETHKANFEIDLPDAKIGEVVTRFPPEPSGYLHIGH AKAALLNQYFAQAYKGKLIIRFDDTNPSKEKEEFQDSILEDLDLLGIKGDRITYSSDY FQEMYDYCVQMIKDGKAYCDDTPTEKMREERMDGIASARRDRSVEENLRIFTEEMKNG TEEGLKNCVRAKIDYEALNKTLRDPVIYRCNLTPHHRTGSTWKIYPTYDFCVPIVDAI EGVTHALRTIEYRDRNAQYDWMLQALRLRKVHIWDFARINFVRTLLSKRKLQWMVDKE LVGNWDDPRFPTVRGVRRRGMTVEGLRNFVLSQGPSRNVINLEWNLIWAFNKKVIDPI APRHTAIVNPVKIHLEGSEAPQEPKVEMKPKHKKNPAVGEKKVIYYKDIVVDKDDADI INVDEEVTLMDWGNVIITKKNDDGSMVAKLNLEGDFKKTKHKLTWLADTKDVVPVDLV DFDHLITKDRLEEDESFEDFLTPQTEFHTDAIADLNVKDMKVGDIIQFERKGYYRLDA LPKDGKPYVFFTIPDGKSVNKYGAKK SPAR_G00180 MSDLDEDLLALAGADESEEEDQVLTTTSAKRAKNNDQSLSKKRR IEVGSAEDDEEEDDYNPFSVGNAGYGSEEEEENPFPLEGKYKDESDREHLESLPEMER ETLLFERSQIMQKYQERKLFRARGRDMKEQQQRAKNDEDSRKTRASTRSTHATGHSDI KASKLSQLKKQRARKNRHYSDNEDEDDEEEYREDDYKDDEGSEYGDDEEYNPFDRGDI YDKREEVEWAEEEDELDREPDISDFNKLRIGRSFVAKFCFYPGFEDVVKGCYGRVNVG TDKRTGKTSYRMVRIERVFLQKPYNMGKFYTNQYFGVTQGKDRKVFQMNYFSDGLFAD DEYQRYLRALDNSQMIKPSLHSLSNKTKEVVDFVNTPLTDKTTDEVVRHRMQFNKKLS GTNAVLEKTVLRGKLQYAKETNNEKDIAKYSAQLRNFEKRMSVYEKHHENDQSDIKKL GELTSKNRKLNMSNIRNAEHVKKEDSNNFDSKSDPFSRLKTRTKVYYQEIQKEENAKA KEIAQQEKLQEDKDAKDKRERELLVAQFRRLGGLERMISELDIKFDFKF SPAR_G00190 MYNRPFNMQSEDELGEKISTRVINEYSKLKSICRPATRPSGIKE WTILAGVAAINKDGGANNIEVLSIATGVKALPDSELQRSGGRILHDCHAEILALRGAN TVLLNRIKNYNPSNGDDFIQNNDELPARFKLKKNWELALYISRLPCGDASMNFLNDNC KNDDFVKIEDNDAFQYVDPRVKTILRGRLNFNRKNVVRTKPGRYDSNITLSKSCSDKL LIKRLSSVLNSLNYELFEKPVFLKYIVIPGLEDETKSYLKQSFHYRLPSPDHEIEFLD CLTPFYDDKMDEEDVPSLMCSVKLFMNDFSIEEAILNGVRNGFYTKSSKPLRKRCQSQ ISRFAQWELFKQIRPEYKGMSYLQFKSCQKKRTQLIIAIKNILSPDGWIPTRTDDVK SPAR_G00200 MDTEGASLSEQLLDAARRNNLDLLETVFDSLDNDAEKIAKLINE SREPLGNTALHLCCKYGSWEVLDKILDQDGEIEIDPQNDVDGDTPLHVTVRYSQEEPE HGTFIARNLIEVGADPRVRNYNNQKPIDLVHGDELDELIDLLQGAELAIDSTNGLADN NEDGEMIDDGPSDDEGDDKK SPAR_G00210 MDINELIIGAQSADKRTREVAETQLLQWCDSDASQVFRALANVA LQHESPLESRQFALLSLRKLITMYWSPGFESYRSTSNIEVNVKDFIREVLLKLCLNDN ENTKIKSGASYCIVQISAVDFPDQWPQLLTIIYGAISHQHSLNAMSLLNEIYDDVVSE EMFFEGGIGLETVEIIFKVLVTENSTLVAKIAALKLFKACLLQMSSHDGYDEESRKIF VSQCLATSLQRLGQLLTLNFDNADVISQLKFKSIIYENLVLIKNDFSKKYFSNELQKQ FKIVAIQDLKSIAHVDTNGDSIESVDVSETVHDCSIYIVEFLTSVCILPFSVEEVNII IASLTILCQINSETRELWISDFNTFVSKETGLAASYNIRDQVYEFFTSLPNPHLSLIF KVVSNDIEHSTFNYPTLESLLYLLQCILLNDDAITGENINQSLQILIEMLENILVTQE TQELTLARAILVIPRVLDKFIDVLPNIKSLTSAFLAKSLDLAVKCDKELIKSATLIAF TYYCYFAELDSVLGSEVCTEAQGKVVRIINEISSDAEEDTNGAIMEVLSQIISYNHKE SHSKEEILQAEFHLVFTISSRDPANVQVVVQSQECLEKLLDDINMDNYKNYIELCLPS FINVLDANNANNYRYSPLLSLVLEFITVFLKKKPNDGFLPDEINKYLFEPLVKVLAYS TEDETLQLATEAFSYLILNTDTQAMEPRLMDIMKVLERLLSLEVSDSAAMNVGPLVVT IFTRFSKEIQPLIGRILEAVVVRLIKAQNISTQQNLLSVLCFLTCNDPKQTVDFLSSF QIDHNDALSLVMPKWIEAFEIIRGEKRIKENIVALSNLFFLDDARLHKVMVNGDLIPY EGDLIITRSMAKKMPDRYVQVPLYTKIIKLFVSELGFQSKQPNPEQLITSDMQQEAVS TNKDDQNDDWEDVDDVLDYEKLKEYIDDDVDEGEEDDSDDITGLMDVKESVVQLLIRF FKEAATKNVSGFHRIYETLSDSERKVLSEALL SPAR_G00220 MGSTGTNEMLDHLAPSELIKPVKSCHKKPPVLVLDDRVVDAATR ELYVNGFQEEVQYQNLTPENLQHMFHQGIELLDSARMINVTHLALWKPSSFKLGNPVD LALDDNYETFWQSDGGQPHQLDIMFSKRMDICVMALFFSMVADESYAPSLVKVYAGHS PSDARFYRMLEVRNVNGWVALRFLDNREDDQLLKCQFIRLLFPVNHENGKDTHLRGIR LYVPSNEPHQDTHEWAQTLPETNNVFQDAILR SPAR_G00230 MSDLETVAKFLAESVVASTAKASERNLKQLETQDGFGLTLLHVI ASTNLPLSTRLAGALFFKNFIRRKWVDENGNHLLPANNVELIKREIVPLMISLPNNLQ VQIGEAISSIADSDFPDRWPTLLSDLASRLSNDDMVTNKGVLTVAHSIFRRWRPLFRS DELFLEIKLVLDVFTTPFLNLLKAVDEQIAANENNKAVLNILFDVLLVLIKLYYDFNC QDIPEFFEDNIQVGMGIFHKYLSYSNPLLEDPDETEHASVLIKVKSSIQELVQLYTTR YEDVFGPMINEFIQITWNLLTSVSNQPKYDILVSKSLSFLTAVTRIPKYFEIFNNESA MNNITEQIILPNVTLREEDVELFEDDPIEYIRRDLEGSDTDTRRRACTDFLKELKEKN EALVTNIFLAHMKGFVDQYMSDPSKNWKFKDLYIYLFTALAINGNITNAGVSSTNTLL DVVDFFTREIAPDLTSNNIPHIILRVDAIKYIYTFRNQLTKAQLIELMPILATFLQTD EYVVYTYAAITIEKILTIRESNTSPAFIFHKEDISNSTEILLKNLIALILKHGNSPEK LAENEFLMRSIFRVLQTSEDSIQPLFPQLLAQFVEIVTIMAKNPSNPRFTHYTFESIG VILNYTQRQNLPLLVDSMMPTFLTVFSEDIQEFIPYVFQIIAFVVEQSATIPESIKQL AQPLLAPNVWELKGNIPAVTRLLKSFIKTDSSIFPDLVPVLGIFQRLIASKAYEVHGF DLLEHIMLLIDMNRLRPYVKQIAVLLLQRLQNSKTERYVKKLTVFFGLISNKLGSDFL IQFIDEVQDGLFQQIWSNFIITTLPTIGNLLDRKIALIGVLNMVINGQIFQSKYPTLI SSTMNSIIETASSQSIANLKNDFVDLDNLEEISTFGSHFSKLVSISEKPFDPLPEIDV NNGVKLYVAEALNKYNAMSGNTFLNTILPQLTQENQVKLNQLLIGN SPAR_G00240 MSADETDAKFHPLETDLQSEAAAEDSRSPSLREKPIEMSLDMGK ASSPRGEDQQVTNEEDLFLFNRLRASQNRVMDSLEPQQQSQYTSSGASTIEQSTDFTS FSAATTLPPPPHQQQQQQQLVVQAQYTQSQPSLQNDVLGTTIAEQPFYVNAKQYYRIL KRRYARAKLEEKLRISRERKPYLHESRHKHAMRRPRGEGGRFLTAAEIKAMKLKKSGA NDDPDDNREDKKITTKIIQEQPHATSTVAAADKKT SPAR_G00250 MLRVTTLALPRASPPSQILRRQLTISSLTSFQPTTKTQVVVIGA GHAGCEAAAASSRTGAHTTLITPSLTDIGKCSCNPSIGGVGKGILVKEIDALDGLMGK VTDLAGIQFKMLNRSKGPAVWGPRAQIDRELYKTYMQRELLDKKTHPNLSLLQNKVAD LILYDPGNGSKAVKGVVLDDGTQVGADQVIITTGTFLSAEIHIGDKRIPAGRIGEQPT YGISNTLQNEAGFQLGRLKTGTPARLAKESIDFSALEVQKGDDLPVPMSFLNETVSVE PTKQLDCFGTHTTPQMHDFLRNNLHQSIHIQDTTVKGPRYCPSIEAKILRFPDRPTHK IWLEPEGFTSSVIYPNGISNSMPEDVQLQMMRLIPGMENVKILQPAYGVEYDYVDPRQ LKPSLETKLVDGLFLAGQINGTTGYEEAAAQGIIAGINAGLLSRPQREQLVLQRSQAY IGVLIDDLINNGVIEPYRMFTSRSEFRISVRADNADFRLTPIGAQLGIISPARLSQYS RDQRLYDETIRALQNFKLSSQKWSTLLRANIAPQAENRSAWEIFRFKGMDLYKLYDCI PALPIDVPDIPVHVITKINIQGKYEPYIVKQNQFVKAFQADENMLLPQDYDYSQLPTL STECKLLLNRVQPLTIGQARRIQGITAAALFELYRVARNPVPPVM SPAR_G00260 MLNILVLGNGAREHVLVTKLAQSPTVGKIYVAPGNGGTATMDRS RVVNWDITPDVANFARLQSMAVEHKINLVVPGPELPLVNGITSVFHNVGIPVFGPSVK AAQLEASKAFSKRFMSKHNIPTASYDVFTDPEEAISFLQAHPDKAFVIKADGIAAGKG VIIPSSIDESVQAIKDIMVTKQFGEEAGKQVVIEQFLEGDEISLLTIVDGYSHFNLPV AQDHKRIFDGDKGLNTGGMGAYAPAPVATPSLLKTIDSQIVKPTIDGMRRDGMPFVGV LFTGMILVKDPKANQLVPEVLEYNVRFGDPETQAVLSLLDDQTDLAQVFLAAAEHRLD SVNIGIDDTRSAVTVVVAAGGYPESYAKGDKITLNTDKLPPHTQIFQAGTKYDPATDS LLTNGGRVLSVTSTAQDLRTAVDTVYEAVKCVHFQNAYYRKDIAYRAFQNSESSKVAI TYADSGVSVDNGNNLVQTIKEMVRSTRRPGADSDIGGFGGLFDLAQAGFRQNENTLLV GATDGVGTKLIIAQETGIHNTVGIDLVAMNVNDLVVQGAEPLFFLDYFATGALDIQIA SDFVSGVANGCIQSGCALVGGETSEMPGMYPPGHYDTNGTAVGAVLRQDILPKINEMA AGDVLLGLASSGVHSNGFSLVRKIIQHVALPWDAPCPWDESKTLGEGILEPTKIYVKQ LLPSIRQRLLLGLAHITGGGLVENIPRAIPDHLQARVDMSTWEVPRVFKWFGQAGNVP HDDILRTFNMGVGMVLIVKRENVKAVCDSLTEEGETIWELGSLQERPKNAPGCVIENG TNLY SPAR_G00270 MDQEGQPLLSKDFQQVLLATASGNNSSWTERTVPNNESTDTVKH DPTLGQNDVFDLDPLSFDKWVPFLRSALDKNQLDPVIDELENSIEDNFQGLELQLLQD SQMNDKLETSIDEIANIQGMVQDTLSSEISKFQTKLSESANELIVKKQMYVNNKKISL KISEATILITKVVRILELSSKCQELITERKFFKVLQNLDSLEKLYLQEFKNYNFQFLI EIYNSIPFLQKVTKDECINLIRNSLNLNLGKNLIKVGQEFVAIYENELLPQWLETRSK MKLINFKFNSPVEISMRDESSLVKLNFGEFFQLDDFHDSIMIFQNLNELSTLSGEFNK EYELRKTKLMYPLIWKKNKTAAYQMDSLLRGTGTTAGAAAHDISTDDSFTQSLSLSFL QDYFLKILGFLLYDINLNKATEFILVDNNYNSTNEFWDGLMNRLSPYLNYFIDERLKT EEDMIKLKDFLCIYVAILENFKLNIEPLYKILVSIFEKFCSVSLSAFKNEFQILLNDD DFMPLSINDKALYEKVLKICWMKEDEHLHPPDSTNGEPFSVTLPFSPLYPMTCTLAKK TYSKITAFLSIFYRHELHTLNNILVKTMDDIFNNIVNKKIRSKLESTSREEIAQILIN LDYFIIAAKEFSNFMTRENILQNPDMEIRLSSIKYLAESRKLAETKLIELIDSKISDI LETIEIDWKIREVRQDPDISIIDLAQFLEMMFASTLQNLPYSVQTLLIFREFDSLTRQ FMDLLLHDTPSIITHESIINFEVDINYLESIIPRIFPSTPGTIDSNGYQSPMTPSTPT FPNANGVDAPTLFENNIKSLEATFMELKQCIELLKAQGKDYNEPEIRLRKYSRIRQED AALLLSKIQHFVSSVDGANGDDNSVMDSSSIFNSESASVIDSNTSRIAKFFNRR SPAR_G00280 MGEKRNRNNGNADSQKRKKFKVSSGFLDPGTSGIYATCSRRHER QAAQELQLLFEEKFQELYGDIKDGEEESENDEKDEDLSIEDQIKKELQEIKGEDSSKD SPSGETKKKDPLAFIDLNCECVTFCKTRKPIVPEEFVLSIMKDLADPKNMVKRTRYVQ KLTPITYSCNAKMEQLIKLANLVIGPHFHEPSKVKKDYKFAVEVTRRNFNTIERMDII NQVVKLVNKEGSEFNHTVDLKNYDKLILVECFKSNIGMCVVDGDYKTKYRKYNVQQLY ESKFQKDEDKSVKQ SPAR_G00290 MSQQEPYEWAKHLLDTKYIEKYNIQNSNTLSSPPGFEGNASKGN ITKKQQDATSQTSSLAQKNQITILQAQKAWQIALQPAKSIPMNIFMSYMSGTSLQIIP IMTALMLLSGPIKAILSTRSAFKPVLGNKETQSQVQTAMFMYIAFQGVLMYIGYRKLN SMGLIPNAKGDWLSWERDVCYNKGLKWFSD SPAR_G00300 MGMITQASNLLHVLQAYLEKYLERVSQPKPVTAINSSTESKKKS NDDLQIIVEKSNTDENYNITKMKRWFLLIARKYYALMENKLLMFCVVACSFICVIQFL FFIIYWTDIVPRKTQRAIDNRNYDYLTTHLGEQCVPYEKILDQCVL SPAR_G00310 MSLWPFGETLSHSGIDSILEEYYLIFRSLKKNETSSTDDNKNTP STESQSEFGTESRDISDLNQSFIDRILLETALLDELNGGTNDRLVDFICLGYFYDDRA QQVRHMDYLVDMLMVYLKDIDRTGYRAPFLLENSFHQTGEYEDQDDEDPMLYVNIISS IFCSKSAPIVKSLVQNIPFLSSLLEIFQFENIEAENCPILAVFLKINETLLFEQTSSY LEFFKSQPDIVNKFLNHIEVSPLVEFLIKIMLTDQVESPTGIIDFLYHQDLIPKCLNL LDNSKYSPGIQNSSGELLKALISISSNFKLDALWIGPNRLIRQLASPQYVDQLIDIIL LQRGHAMGVAVSVIIELIRKNNSDYDEVDLLSTTIVNNPPSQRDPIYLGHLLYKLTMH MEKFYALLIKLENDDDDDDDDADAKGLAYVKHHLLENQLHESFRPLGFERVKITELIS EMLHCSNMGLMNSKRADKIARTRDKCREALGQNLLEEAMKNLNINDNTISSDTLDDKC NNNDSNDSNDNQTKKQNTKKKLDDNALYSTFDIPDDDIDDDDMSFEIPYVSETQNMKL RKNPTIGDLFKIKLHDLGFLPKFLQLFLRYPWNNFWHNIVFDIIQQIFNGRMDFSYNS FLVYSLFDFKKSRRFVPKNLHGSNQKLSVRGFHIISDFILQGHKDSFEFYEREKTNLG YMGQLVLIAEEVSKYSKTYKTDLIAPDIYAFLQDEVWMSYSSDILNETRTMCSIILGG GQFCADGNGNANQEFSEDEGMSRTTHSSTIDGNEIAHKEDVRLQDKVAELIDELGQLT ELDIHDKIKDVIVDHDSDIID SPAR_G00320 MGKLIKLITALTVLVSLLQYCCQSNSGNISCERTQTLCHYTNPR IWNAYLSGNSELYKNKVSPGFDLVARKYDTAVRPVIDDVAIKVNRVAIQPAFKVVHSQ CKKWNCGKYYQLARSPVLKARRFFLVKYNTFVRPSTDKFFNSEFRSQLRERVSKYKNI AHYYCTTISRCIKSKYDSIVGNTEEKLMGKFKNKDTHGIHGSITHEPSSEDRVLTVGT IGSDEELLTTTSTQTVVETITLDEEQASAVASHAHDDEASTDVEDSTDINVNEQALLQ EDFDMWSETILQKTQDVIRLFEKDVSKYINGKLGEEARHFKAKFNSLDEVSKKFFSKI SLAINDIDCMEGIDSETGKKIFFDKSGSTEISQYITRELVREYFNETRSTLDELTHAM EKDLSQITEEIEKKVNAIREENVEVFEEWGDIIVNEWSKRMAYVDVINAHMGSDDDAT LDEERTKSSVNWKKFLKGKKQIIESRDKLAHHPADLSHVNEFRQKVQRKILSFTQESG EFLYILRSKANLQFQERERKEREKVAAEEFQRQQELLQQQEEGEEDESYTSTSTITTT TTMTL SPAR_G00330 MSEYMDDVDREFINCLFPSYLLQQPVAYDLWILYLQHRKLFHKL KNTNLINTDENSTGVGVGRTKLTASTRKEIWSKLMNLGVLGTISFEAVNDDYLIQVYK YFYPDVNDFTLRFGVKDSNKNSVRFMKASSDMGKNAQELLEPVLSEREMALNSNISLD NDGNDGEEEEEEEEDDDDDDDDDDDDDEDESDLESLEGEVNTDTDDNNEGDGSDHDEE GEDEEGRGADADVSNAQQRTEGIADPWIYQRSRSAINIEAGPMNLWDTTDKNSSLQYY PPDQSPTSSFSSSRVSSGNDKNVNEATNGLSSGGGKKKNSMIPDIYKILGYFLPSRWQ AQPNNSLQISQDGITHLQPNPDYHSYMTYERSSASSASARNRLRTSFENSGKVDFAVT WANKSLPDNKLTIFYYEIKVLSVTSTESAENSNIVIGYKLVENELMEASTKKSVSRSS VTGSSSSLGGGNNISSNRVPSTSFTMEGAQRRDYIYEGGVSAMSLNVDGSINKCQKYG FDLNVFGYCGFDGLITNSTEQSKEYAKPFGRDDVIGCGINFIDGSIFFTKNGIHLGNA FTDLNDLEFVPYVALRPGNSVRTNFGLNEDFVFDIIGYQDQWKSLAYEHICRGRQMDV SIDEFDSDESEENEGTENGGEETKSAELMDIDQEDDAAGNQDTKKLDDENDNNLKFLL GEDDRFIDGKLVRPDVNNINTLNVDDGSLPNTLNVMINDYLIHEGLVDVAKGFLRDLQ KDAVNVNGQHSESKDVIRHNERQIMKEERMVKIRQELRYLINKGQISKCISYINNEIP DLLKNNLELVFELKLANYLVMIKKSSSKDDDEIESLILKGQELSNEFIYDTEIPQSLR DRFSGQLSNVSALLAYSNPLVEAPKEISGYLSDEYLQERLFQVSNNTILTFLHKDSEC ALENVISNTRAMLSTLLEYNAFGSTNSSDPRYYKAVNFDEDVLNL SPAR_G00340 MTYEQLYKEFHSTDSFQPFIRLDTQPKFAICGVLVTLAVLSSAL FTVGSKSSFIKKLFLYTILSVTGSLFAGLTTVFASNSFGVYV SPAR_G00350 MMGRNVIRLALRRSLSTYQPPVVEITNITKLWPTLRPEVRDEVK EYLRWRMEEDWKYIPLEEIKAAYFLSYGPCGGRSKSNKWNVGYTGMRMVFNLVLFGGA ATAFYNRKQDKKLEEQLRELV SPAR_G00360 MSELKTGHAGHNPWASVANSGPISILSYCGSSILMTVTNKFVVN LKDFNMNFVMLFVQSLVCTMTLIVLRILGYAKFRSLNKTDAKNWFPISFLLVLMIYTS SKALQYLAVPIYTIFKNLTIILIAYGEVLFFGGSVTSMELSSFLLMVLSSVVATWGDQ QAVAANAASLAEGAAGAVATFNPGYFWMFTNCISSALFVLIMRKRIKLTNFKDFDTMF YNNVLALPILLLFSFCVEDWSSTNLTNNLSNDSLTAMIISGVASVGISYCSGWCVRVT SSTTYSMVGALNKLPIALSGLIFFDAPRNFLSILSIFIGFLSGIIYAVAKQKKQQAQP LRK SPAR_G00370 MTVEYTTSDLATYQNEVNEQIARNKAHLDSLTHPGSKVTFPIDQ DVSATPQNPNLKVFFFDIDNCLYKSSTRIHDLMQQSILRFFQTHLKLSPEDAHVLNNT YYKEYGLAIRGLVMFHKVNALEYNRLVDDSLPLQDILKPDIPLRNMLLRLKQSGKIDK LWLFTNAYKNHAIRCLRLLGIADLFDGLTYCDYSRTDTLVCKPHIKAFEKAMKQSGLA NYENAYFIDDSGKNIGTGIKLGMKTCIHLVENEVNEILGQTPEGAIVVRDILELPQVV PDLF SPAR_G00380 MDEVLPLFHDFHIAQIKDYQLELQNDLIKTNEAFQKNLLKNYNK ILSLTDSVNDLSLNLKSVDQDFKTLCFDDEKFQLNKLPPLLHQSTTHISSLGSEEKIS IPSQNILVISNWTISINNFCNRIVTSTTPSRIFDELLLNFHELSLISVPSNFETLIKD KCCQLQKFLVDSMKTLNLTLLQWVRLYNLLNTEFASKWDDDSLSLFNESLFETLFNEN VQALLISGTKNKEHQYHPNQQYSDTVVADFVNSSTFRDHLIRKTVKEINTHLDTLSIL RAKLREPETLHQLDIFHDDDKSVDDGTVSPLDEEALKQYIDTAVFYSKGLTNDATLQI YQTVQPTIEILQNLEMYKCPQEILADLKNKLITQLQDFKTQIESCLPSSAENSTSIVD DFMASYINHNLLQLVIDQITQLRQQ SPAR_G00390 MSTDTMYFNSSRLLPSAGRNKTNNLIKQKNRNSRARGNTAKNVN NNSHITDIPPPQTLPNGEKPNFGHSSSKKPSFHQKKHSPPSSPSSTAALGKKNRQNNK EVPRQNNKDDTRLLSQNLKNLLLNQKQSPHNPQGIIPMSCNGGAKKFSHSYAGSTFAT NGPREAKNLPKPSFL SPAR_G00400 MSRAITRAQLDKVVRSITKFYPQKYADKSWDNTGLLIDCSTAQA TTANPTVKTKVLLTVDLTKSVAQEAVDANCNVIIAYHPFIFPSWSRLSPHTNPQHETA IKLIQYGISVYCPHTAVDAARGGVNDWLVKGLNNGENVTKSYALETVSGETDDLIGYG RFVELSKDISLQQIVENVKRALRIPHIQVASGASPSTWNRLMIKKVAVCAGSGSGVFK QLNEDVDLYYTGEMSHHEVLKWKEMGKTVIVCNHSNTERGFLRDVMHGLFQDEGYEVV VSRMDRDPLTVV SPAR_G00410 MTSERIEKMKIDDEFVESHSTIAQGRRTKRQRHYKMPVSEQGLR ERIESTIPQVYHIIVTDLSYGCGQSFDIVVVSDFFQGKNKLMRSRAVNKAVKEELQEI HAFSCKCYTEEEWSKIVV SPAR_G00420 MSFRFNEAVFGDNSFNERIREKLSTALNSPSKKKLDILKSGITV QKVDFPTIPQLEILDLDIITQPKSLAKGICKISCKDAMLRIQTVIESNLLLINEQDTP SFTMPRLINNGSFTIPITMTFSSIELEAITNIFVKNPGIGISFNDVDLDFKFDCSVKI LQSTIERRLKESMHVVFKDVLPSLIFNTSQNWFTNRGETTSTIPGKRDHHHQQTTLSR NMILDGSDFQELSPINMLRLSSIVSSRSTLSLHSTVMNSLSAIPGCLERQNLYRFISR MPSLNNYYSSQSFPQPKSSTISPKQLVKPFYCSHNLLPKAVLDSSQYELATITKIQSR LFDRSNSNDDNAKPRRRKIKCKKSRTPSIPQPLAEQVVDDSTAIETVTSTPVQTPIPE LEEQSPPYLKTTVSIRDKYVIPEKISLNFDSNKDKSKKKPLYFIGLNSQDPSNNWKWG MEDSPPPYH SPAR_G00430 MNVPETRQSSIVVAIRVRPFTSMEKTRLVNEASGAEANFPGLGD SSLILPMSTNSDSDIDIDVEEGSTRSKRNSSLRRRVIRPEGIRKIVDCVDDRMLIFDP AERNPLNKVSDQILNTMRSRATKATASSSNNSNATNKFSSQRRRHGGEIKFVFDKLFD ENSSQACVYKETTSPLLDSVLDGFNSTVFAYGATGCGKTYTVSGTPSQPGIIFLAMEE LFNRISDLKDEKNFEISLSYLEIYNERIRDLLKPETPSKRLVIREDTQNHIKVANLSY HHPTTVEDVMDLVVQGNINRTTSPTEANEVSSRSHAVLQIHIMQTNKLVDLTSQHTFA TLSIIDLAGSERAAATRNRGIRLHEGANINRSLLALGNCINALCLNDGSRSCHIPYRD SKLTRLLKFSLGGNCKTVMIVCISPSSSHYDETLNTLKYANRAKEIKTKIIRNQQSLS RHVGSYLKMITEQKRQIEELREREEKMISLKLTKYKLNKEKIQLAINECVNRVQQTYA AVETYQIAKTLKSLILCKRRFLQMVKLEVDNLILLFEQEERTATEMQTVISNCRMISE QLYNKIHELEVKFDETDTLNSVIQQVHSIDLNKLREMEDWDETYDLVYLESCLNQISE LQRNEILVNSSIMTEKLMSDPELNSRFKFLSKWLMNRTPDIESVIQDLVHIDEEFESF AKTFIANSGSNFTNTNINIINTTAAELAVPVETVHRQKFPNKKVKWTSPSLSPSPVTE SQPELEPKLDQDQDQDVIAGEVDVSMQDTTFNEQGPSTPSASNTAVPRRKMRSSLLTH QSLLASARK SPAR_G00440 MANTFKYYPETMGNSSGYPINLPFPKGSAASTVNVARQPPKYLG HVPSQSVHTQLPSMASLGYFNQPSSTYYAPPAPLQLHQQPPILPPPGLMYTSNNNNVI PPPVRMIRDGQQQLQQQQQQQSNQVNGGVSENLDYDISIMSKFIMENAFIAFNANYST DDQTTDLFFKGISSVLNATRLPSATIFLAIDYLFKYINKLANGIHSIGGNSINIIYQN TMIAFILANKFNDDKTFTNSSWSQATGILITIINDFERQWLRIFNWELYDSGFLYYEF VKNFETFKQNQLNPATVAPTLLSPIVNVGDTRNVNFNLKPTSTNNPLSPVSNYETPML MPHNMFSSPSYQSNSRSDFSGMNSYYNYYNYNQPRLNYYQQFPHIYSSPISETQFDYD FYNFSNQQQHQQQQKQKQNSFLPPPHVHQPYGHHFGWKSMDDTMNHPRFERNYFPYSA VY SPAR_G00450 MSKVFIATANAGKAHEADIFSVSACNSFTVSCSGDGYLKLWDNK LLDNENPKDKSYSHFVHKAGLHHVDVLQTIEKDAFELCLVATISFSGDLFFYRITRED ETKKVIFEKLDLLDADMKKHSFWALKWGASNDRLLSHRLIATDVKGTTYIWKFHPFAD EAGSPAPNWSPKLELQGTIESPMTPSQFATSVDISERGLIATGFNNGTVQISELSTLR PLYNFESQHSMINNSNSIRSVKFSPQGSLLAIAHDSNSFGCITLYETEFGERIGSLSV PTHSSQASLGEFAHSSWVTSLSFNDSGETLCSAGWDGKLRFWDIKTKERITTLNMHCD DIEIEEDILTVDEHGDSLAEPGVFDVKFLKKGWRSGMGADLNESLCCVCLDRSIRWFR EAGGK SPAR_G00460 MSDKLRINVNDVEIKPKYVLYGISTSNKRLYKRYSDFWKLKTQL ERQVGSTIPYDFPEKPGVLDRVWQRRYDDPEMIDERRIGLERFLNELYNDRFDSRWRD TKIAQDFLQLSKPNVSQEKSQERQEIADEVGWDEMIRDIKLDLDEGSDGSPSVRGALR ARTKLHKLRERLERDVQKRSLPSTEVTRRAALLRSLLKDCDDVGAANIAQDRGRLLGV ATSETSPTTNVQGRTNNDLQQGQMQMVRDQEQELVALHRIIQAQRGLALEMNEELQTQ NELLTALEDDVDNTGRRLQIANRKARNFNNSA SPAR_G00470 MSFTAPSDPVNRPTKVKVSQLCELCHSRKALIRRPKNLSKLCKQ CFCLVFETEIHNTIVANNLFQRGERIAVGASGGKDSTVLAHMLKLLNDRYDYGIEIVL LSIDEGIVGYRDDSLATVRRNQQQYGLPLEIFSFKDLYDWTMDEIVSVAGIRNSCTYC GVFRRQSLDRGAAKLGISHVVTGHNADDMAETVLMNILRGDVARLEKSTAIITQSSGS PIKRSKPFKYSYQKEIVLYAHYMKLDYFSTECTYAPEAFRGTAREYMKNLEAVRPSCI IDIIQSGENLALKAKKSNAKKRVVKFVDGNRCTKCGYLSSNNICKACMLLEGLEKSRA QVAIENDTSVDGAALKLRALEKLSF SPAR_G00480 MSNEDYGYDYDYLFKIVLIGDSGVGKSNLLSRFTTDEFNIESKS TIGVEFATRTIEVENKKIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDISKSSSYE NCNHWLTELRENADDNVAVGLIGNKSDLAHLRAVPTDEAKNFAMENQMLFTETSALNS DNVDKAFRELIVAIFQMVSKHQVDLNGSGTNNTGSNSGPKGPTISLTPAPKEDKKKKS SNCC SPAR_G00490 MPKKQTSFPVDNENRPFRCDTCHRGFHRLEHKKRHLRTHTGEKP HHCAFPGCGKSFSRSDELKRHMRTHTGQSQRRLKKASVQKQEFLAVSGIPTIASGVMI HQPIPQVLPANMAINVQTVGGGNIIHAPNAVHPMVIPIMAQPAPIHASAASFQPAASP MPISTYTPVPSQSFTSFQSSIGSIQSNSDVSSIFSNMNIRVNTPRSVPNSPNDGYLHQ QHIPQQYQHQTVSPSVAKQQKTFASSLASALSTLQKRTPVSAPSTTIESPSSPSDSSH TSASSSAISLPFSNAPSQLAVAKELESVYLDSNRYTTKTRRERAKFEIPQEQEEDTNN SSSSSSNEEEHESLDHESSKSRKKLSGVKLPPVRNLLKQIDVFNGPKGI SPAR_G00500 MGTTTSHPAQKKQATRKCRTPIMNGASEKPSKAQGLEPQEMDAV SKKVTEMSLNDCSGSNNALQSSREGSITKKKSTLLLRDEDEPTMPKLSVMETAVDTES GSSSTSDDEEGDIIAQTTEPKQDASLNDDRSPPSSPTQQIRSKENSEDPSEIKSTLMV PVEIKWQQGGSKVYVTGSFTKWRKMIGLIPDSNKNGSFHVKLRLLPGTHRFRFIVDNE LRVSDFLPTATDQMGNFVNYIEVRQPEKKPTDGKKKSKKAYSMQPPTSDRSSIALQIG KDPDDFGDGYTRFHEDLSPKPPLEYTTDIPAVFTDPSVMERYYYTLDREQSHNDTSWL TPPQLPPQLENVILNKYYATQDQFNENNSGALPIPNHVVLNHLVTSSIKHNTLCVASI VRYKQKYVTQILYTPIESS SPAR_G00510 MEELNINFDVFKKRIELLYSKYNEFEGSPNSLLFVLGSSNAENP YQKTTILHNWLLGYEFPATLIALVPGKVIIITSSAKAKHLQKAIDLFKEPESKITLEL WQRNNKEAEHNKKLFDDVIALINSAGKTVGIPEKDSYQGKFMTEWNPVWEAAVKENEF NVIDISLGLSKVWEVKDIDEQAFLYVSSKGSDKFMDLLSNEMVRAVDEELKITNAKLS DKIENKIDDVKFLKQLSPDLSALCPPSHKFNFDLLDWTYSPIIQSGKKFDLRVSARST NDQLYGNGCILASCGIRYNNYCSNITRTFLIDPSEEMANNYDFLLTLQKEIVTNILKP GRTPQEVYELVIEYIEKSKPELVPNFTKNIGSLIGLEFRDSNFILNVKNDYRKIQRGD CFNISFGFNNLKDSQSDNNYALQLADTVQIPLDETEPPRFLTNYTKAKSQISFYFNNE EEDNNKKKPSPATKVPSKPDRNSKILRTKLRGEARGGAEDAQKEQIRKENQKKLHEKL EKNGLLRFSAADANGPDSEPRQYFKKYESYVRDSQLPTNIRDLRIHVDWKSQTIILPI YGRPVPFHINSYKNGSKNEEGEYTYLRLNFNSPGSSGGISKKVEELPYEESADNQFVR SITLRSKDGDRMSETFKQIADLKKEATKREQERKALADVVQQDKLIENKTGRTKRLDQ IFVRPNPDTKRVPSTVFIHENGIRFQSPLRTDSRIDILFSNIKNLIFQSCKGELIVVI HIHLKNPILMGKKKIQDVQFYREASDMSVDETGGGRRGQSRFRRYGDEDELEQEQEER RKRAALDKEFKYFADAIAEASNGLLTVENTFRDLGFQGVPNRSAVFCMPTTDCLVQLI EPPFLVINLEEVEICILERVQFGLKNFDMVFVYKDFNKPVTHINTVPIESLDFLKQWL TDMDIPYTVSTINLNWATIMKSLQDDPYQFFLDGGWNFLATGSDDEASDESEEEVSEY EASEDDVSDESAFSEDEEGSEVDDDISGDESEDYTGDESEEGEDWDELEKKAARADRG ANFRD SPAR_G00520 MSDLPIEFTELVDLTSLGISPQFLDFRSTTFESDHFVTVRETKD GTNSVAIVDLTKGNEVTRKNMGGDSAIMHPSQMVISVRANGTIVQIFNLETKSKLKSF TLDEPVIFWRWLSETTLGFVTARSILTSNVFDGNVNAKPQLLTLRHANLNNTQIINFV ANKNLDWFAVVGILQENGRIAGRIQLFSKQRNISQAIDGHVAIFTNILLEGNGSTPVQ VFVTGNRNATTGAGELRIIEIDHDASLPSQYQKKTTDIFFPPDATNDFPIAVQVSEKY GIIYLLTKYGFIHLYELETGTNLFVNRITAESVFTAAPYNHENGIACINKKGQVLAVE ISTSQIVPYILNKLSNVALALTVATRGGLPGADDLFQKQFESLLLQNDYQNAAKVAAS STSLRNQNTINRLKNIQAPPGAISPILLYFSTLLDKGKLNKEETIELARPVLQQDRKQ LFEKWLKEDKLECSEELGDIVKPFDTTLALACYLRAGAHAKVISCLAELQQFEKIIPY CQKVGYQPNFLVLISSLIRSSPDRASEFAVSLLQNPETASQIDIEKIADLFFSQNHIQ QGTSLLLDALKGDTPDQGHLQTRVLEVNLLHAPQVADAILGNNIFSHYDKPTIASLSE KAGLYQRALENYTDIKDIKRCVVHTNALPIDWLVAYFGKLNVEQSLACLKALMDNNIQ ANIQTVVQVATKFSDLIGPSTLIKLFEDYNATEGLYYYLASLVNLTEDKDVVYKYIEA AAKMKQYREIERIVKDNNVYDPERVKNFLKDANLEDQLPLVIVCDRFDFVHEMILYLY KSQNLKFIETYVQQVNPTKTAQVVGALLDMDCDEAFIQNLLQSVLGQVPIDELTTEVE KRNRLKILLPFLEQSLSQGIQDQAVYNALAKIYIDSNNSPEKFLKENDQYDTLDVGHY CEKRDPYLAYIAYEKGQNDDDLIRITNENSMYKYQARYLLKRSDLELWNKVLNSENIH RRQLIDSVISVGIPELTDPEPVSLTVQAFMTNGLKLELIELLEKIILEPSPFNENVAL QGLLLLSAIKYEPTKVSSYIEKLDNYDADEIAPLCIEHDLKEEAFEIYDKHEMYGKAL KVLIEDIMSLDRAAAYADKINTPELWSQIGTAQLDGLRIPDAIESYIKAEDPSNYENV IDIAEQADKYEELVPYLLMARKTLKEPKIDGALILAYAELNKIHEIENLLAGSNVANL DHVGDKLFENKEYKAARLCYSAVSNYSKLASTLVYLDDYQAAVDTARKASNIKVWKLV NDACIEKKEFKLAQICGLNLIVHAEELDELVERYESNGYFEELISLFEAGLGLERAHM GMFTELAILYSKYEPDKTFEHLKLFWSRINIPKVIRAVEQAHLWSELVFLYAHYDEWD NAALTLIEKSTKDLDHAYFKEVVVKVSNLEIYYKAINFYVKFHPSLLVDLLTALTPRL DIPRTVKIFSKSDNLPLIKPFLINVLPKNNSVVNQAYHDLMIEEEDYKALQDAVDSYD KFDQLGLASRLESHKLIFFKKIAALLYRRNKKWAKSLSILKEEKLWKDAIETAAISQD PKVVEGLITYFVETGNKEAFVALLYAAYNLVRFEFVLEISWMNSLEDYIKPFEISIKK EQNDSIRKITEELVEKSGSNEERKDGQPLMLMNSAMNIQTTGF SPAR_G00530 MTRRTTIDPDSVVLNPQKFIQKERADSKIKVDQVNTFLESSPER RTLTHALIDQIVNDPVLKTDTDYYDATKLQEREVTAKKIARLASYMEHDIKTVRKHFR NTDLMEDLQANDPEKASPLTNRDLSIFDKRLSLVANIDPQLGTRVGVHLGLFGNCIKG NGTDEQIRYWLQERGATLMKGIYGCFAMTELGHGSNVAQLQTRAVYDKQNDTFIIDTP DLTATKWWIGGAAHSATHATVYARLIVEGKDYGVKTFVVPLRDPSTFQLLAGVSIGDI GAKMGRDGIDNGWIQFRNVVIPREFMLSRFTKVVRSPDGSVTVKTEPQLDQISGYSAL LSGRVNMVMDSFRFGSKFAIIAVRYAVGRQQFAPKKGLSETQLIDYPLHQYRVLPQLC VPYLVSPVAFKLMDNYYSTLDELYKASSSVDKAALVTVSKKLKNLFIDSASLKATNTW LIATLIDELRQTCGGHGYSQYNGFGKGYDDWVVQCTWEGDNNVLSLTSAKSILKKFVD SATKGRFDSTLDVDSFSYLKPRYIGSVISGETKSSLKELGDYTEIWSITLVKLLAHIG TLVEKSRSIDSVSKLLVLVSKFHALRCMLKTYYEKLNSPGDSHISDEATKESLWNVYK LFSLYFIDKHSGELQQFKIFTPDQISKVVQPQLLALLPIVRKDCISLTDSFELPDAML NAPIGYFDGDIYHNYFNEVCRNNPVEADGAGKPSYHALLSGMLGRGFEFDQKLGGAAN SETLSKINK SPAR_G00540 MSSPFPADTPSSNRPSNSSPPPSSIGAGFGSSSGLDSQIGSRLH FPSSSQPHTTNSQTGPFVNDSTQFSSQRLHTDGSATNDIEGNEPARSFKSRALNHVKK VDDVTGEKVREAFEQFLEDFSVQSTDTGEVEKVYRAQIEFMKIYDLNTIYIDYQHLSM RENGALAMAISEQYYRFLPFLQKGLRRVVRKYSPELLNTSDTLNRSGGDEGQADDDEQ QDDDMNGSSLPRDSGSSAAPGNGTSAMATRSITTSTSPEQTERVFQISFFNLPTVHRI RDIRSEKIGSLMSISGTVTRTSEVRPELYKASFTCDMCRAIVDNVEQSFKYTEPTFCP NPSCENRAFWTLNVARSRFLDWQKVRIQENANEIPTGSMPRTLDVILRGDSVERAKPG DRCKFTGVEIVVPDVTQLGLPGVKPSSTLDTRGISKTTEGLNSGVTGLRSLGVRDLTY KISFLACHVISIGSNIGANSPDTSSNNRETELQMAANLQANNVYQDNERDQEVFLNSL SSDEINELKEMVKDEHIYDKLVKSIAPAVFGHEAVKKGILLQMLGGVHKSTVEGIKLR GDINICVVGDPSTSKSQFLKYVVGFAPRSVYTSGKASSAAGLTAAVVRDEEGGDYTIE AGALMLADNGICCIDEFDKMDISDQVAIHEAMEQQTISIAKAGIHATLNARTSILAAA NPVGGRYNRKLSLRGNLNMTAPIMSRFDLFFVILDDCNEKIDTELASHIVDLHMKRDE AIEPPFSAEQLRRYIKYARTFKPILTKEARSYLVEKYKELRKDDAQGFSRSSYRITVR QLESMIRLSEAIARANCVDEITPSFIAEAYDLLRQSIIRVDVDDVEMDEEFDNIESQD HPASGNNDNNDGNGNNDHETEASGTTSELPADSGEGQSETTARPGPSEKKKTTVTYDK YVSMMNMIVRKIAEVDKEGAEELTAVDIVDWYLLQKENDLGSLAEYWEERKLAFKVIK RLVKDRILMEIRGTRHNLRDEENVESETNKTVYVIHPNCEVLDQLEPQDST SPAR_G00550 MTLPESKDFSYLFSDETNARKPSPLKTCIHLFQDPNIIFLGGGL PLKDYFPWDNLSVDSPKPPFPQGIGAPINEQNCTRYTVNKDYADASANPSNDIPLSRA LQYGFSTGQPELLNFIRDHTKIIHDLKYKDWDVLATTGNTNAWESTLRVFCNRGDVIL VEAHSFSSSLASAEAQGVITFPVPIDADGIIPEKLAKVMDNWTPGAPKPKLLYTIPTG QNPTGTSIADHRKEAIYKIAQKHDFLIVEDEPYYFLQMNPYIRDLKEREKAQSSPKQD HDEFLKSLANTFLSLDTEGRVIRMDSFSKVLAPGTRLGWITGSSKILKSYLSLHEMTI QAPAGFTQVLVNATLSRWGQKGYLDWLLGLRHEYTLKRDCAIDALHKYLPQSDAIVIN PPIAGMFFTVNIDASIHPEFKSKYNSDPYQLEQSIYHKVIERGVLVVPGSWFKSEGET EPPQPAESKEVSNPNIIFFRGTYAAVSPEKLTEGLKRLGDTIYEEFGIAR SPAR_G00560 MFYNGWFATWLAIFALIRISVSLPSSEEYKVAYELLPGLSEVED PSNIPQMHAGHIPLRSEDADEQDGSDLEYFFWKFANNNSNGNVDRPLIIWLNGGPGCS SMDGALVESGPFRVNSDGKLYLNEGSWISKGDLLFIDQPTGTGFSVEQNKDKIDKSKF DEDLGDVTKHFMDFLENYFKIFPEDLTREIIISGESYAGQYIPFFANAILNHNKFSKI DGDTYDLKALLIGNGWIDPNTQSLSFFPFAMENKLIDKSNPDFKRLTNAHENCQNLVN SASTDETTHFSYGECENILNLLLSYTRESSQKGNADCLNMYNFNLRDSYPSCGMNWPE DISFVRKFFSTPGVIDSLHLDSDKINHWDECTISVGTKLSNPVSKPSVHLLPQLLESG IEVILFNGDKDLICNNKGVLDTIENLQWGGVKGFSDDAMSFDWIHKSDSSDDNDEFSG YVKYDRNLTFISVYNASHMVPFDKGLVSRGIVDIYLNKVMISDIDGKNVMVTTDDDSD ENTTTESGDKPEENLQEEEQEVQNEEGKEKEANNGDNDNEDEDDDDDDDDDDDDDDDD DEEDEDDDDEKESNQGLEDSRHKSLEYEQEEEEAEEFAEEISMYKHKAVVVTIVTFLI VVIAVYVYDRRVRRKARHTILVDPNNRQHESPNKTVSWADDLESGLGIEDDLAQDEQL EGGAPTNSTSNKAGSKLKTKKKKKYTSLPSTEIDDSFEMTDF SPAR_G00570 MASLATRFVIACFLFFSASAHNVLLPAYGRRCFFEDLSKGDELS ISFQFGDRNPQSSSQLTGDFIIYGPERHEVLKTVRDTSHGEITLSAPYKGHFQYCFLN ENTGIETKDVTFNIHGVVYVDLDDPNTNTLDSAVRKLSKLTREVKDEQSYIVIRERTH RNTAESTNDRVKWWSIFQLGVVIANSLFQIYYLRRFFEVTSLV SPAR_G00580 MSYGREDTTIEPDFIEPDAPLSAPRGAADSIGGVLQNSGSRGTL DETVLQTLKRDVVEINSRLKQVVYPHFPSFFSCSDDGVGVEDNDISANCDLWAPLAFI ILYSLFVSHARSLFSSLFVSSWFILLVMALHLRLTKPQQKVSVISYISISGYCLFPQV LNALVSQLLLPLAYHIGKQNRWIVRILSLVKLVVMALCLTWSVAAVSWVTKSKTIIEI YPIALCLFGMAWLSTIL SPAR_G00590 MPLLQPSTCFCYPLKLPPLPLASDSNEFDECARKRLTLDYRTGS AVTLTRSNIFVHGGLTIPLNLPIVNSMQLQKELILFFAKEKNNGSSFKNLNEWISKET FFLDLMSRTWYRVKTSFDQRTEELLKAENSSFKTDTDTKDIRMDIKKGKYLESPLKER LFHSLCYLDGCLYIFGGLTVSPQSGYELIATNELWKLDLNTKKWSLLSDDPQIARRFN HTMHVKNENNDSRDTKLIIVGGLNNMDQPVKKIDIYNISQNCWQSETIPKEPMEITTN VNGIPLALSKDQNFSILVENNEANVPALAFYMRSDQIDEFLGKDPSKIKENSPIVALP LLSDSRGIRMPSNPALPKKLLNVPYELLAPTGDYFGFNIVIGGFHPNYQSSNFHCFIY DINSGKWSRVATACPDCDINKHRFWRVFVWKSHHQTILLGTKTDDYYSPSVQRFDHLS TFGLPLVNIFNKTIQLPHRKISASSSPTPIENFAKHKDTPLKKVSFTSSATSQFENYI RYIAPPLEMSSIQSVFPPYAMVLGKDALEIYGKPLSDFEFITSEGDSIGVPCYLLRKR WGRYFDMLLSQSYTKVCADYETTGTQSTLIKFSPHSSRNSSKAVRQEGRLSSSGSLDN YFEKNFPIFARTSVSEAQNARPQAANTDAKVQNTLSTSGEPSSSSSSELYSTPHYQRN NDEEDDEDPVSPKPVSKSNSIYRPIRKTESSSTTSSSNGMIFRVPFKEKAAITSNTEA LLETNLSLQELSRRRSSLMSIPSGELLKSSISEAEHQRRASHPLTSSSLFEDGGTSCG KQPQQHTLQNPHNHLSPRRFSRSARSSISYVSSSSDRRGNSISSRSTSDSFGTPPVLG VLNVPLPPQTKEPNEPPPPCPAMSTGSNTRRSNTLTDYMHSNKASPFSSRRSSHIGRR SSTPETENAFSTTPRASLDGQMLGKSLKEGSTSQYTQPRMNSFPKANETIQTPTSSNN EWSRQSVTSNTDSFDSLQSNFALELEPLLTPRSLYMPWPTSTVRAFAEFFYTGQVNSK WLLAPVALDLLVMAKIYEIPLLYKLVLEVLYSILAKKEESLSLICTSSMETFRTKILN YYKGDEERTNDYLASNENYQEFSKLKVSLENIDNGYYDPDLLRKQSRAQSSSTQESSG SGNGEKTATGGGSPDTSSTNVPTVFAGGPRDSHNSVGSIGFPNSMNIQSSRRSTSGFS PRVKMKSSLSKEIDPKTFYDEFEPKERKSFEDSDDQQTNIGSFNLHLFDMNYGSISSS STNSISSSDLEEKEEQEQLQDLLEIEREDSAEILDARFRNKEDDKMTKDVLKDKKRHY LPHEKNNTFKAKEGKDREVRKEEEEFDFGLGMLSLNKIKREAKHVDKIDDSVDPLFKS SAFPQSPIRAYGSTTRTSSASGKPYRDNRSFNAFSVLTLENMASANALPPVDYVIKSI YRTTVLVNDIRLMVRCMDCIELSKNLRVLKKKTLEDVSKLKGISKPSQ SPAR_G00600 MSDVLSQYKGCSIEDLPTPNFIINEEKFDKNCTTMLNNVEELSG ECGVPIKFRAHIKTHKTAKGTLKQLGHGLPLAKRTTRAIIVSTLKEAEELLNYQDRQC LDYIDDITYSLPCCVPEVIPLLSSLSRRVDNFQIFVDNIEHLENLKDFGRPASGKKWS IFIKVDMGTKRAGLAFDSPEFLSLLKRLTSSEIKEIIEPYGFYAHAGHSYSSTSMSDT QNLLIEEVKAVNSAAKVLCSVDPNFDASKLTLSVGATPTSNSLKLDNKSTLVKFITAE LVGALEIHCGNYCMYDLQQVATGCVQEHELSGFVLGTVLSSYASRGELLSNTGVMCLT REASSIEGFGICSNLQQVLKSEDFNREWYVARVSQEHGILRSTRNWNETTPLKLGTKF AVLPQHACITMGQFPYYFVVNSQGIVTDVWVPFQKW SPAR_G00610 MTAILNWEDISPVLEKGTRESLVSKRVPFLQDISQLVRQETLEK SQLSEIASVLLNTFVIYEDNGSKTLVTSILLDVLNLEPCLLENFVQFVSGVVISNPAT KAVADYLNLLDWIDSFLIYVSRNPTLFEEYVPKLLVAHSYATFGVETILDNQEECKKT QDKQNQHRKRIRYCIFQSAVKAFLKCLKDNDDSIFFLQIVIKTVLEGHSKLKITSVGM VMMMGALTQTTLQLLSRQPALHSALKENSVEKYCEYLGKEVFLGKNPPSSFCLEVGLK PFLKEFVSQELFIKFFIPNIEKAILRSPEVGFSILSELYSGVSPEKVNLLNVFTSSKL INQSFSSFKSSKEAVRSVSLQSVIILLKKVSRSDATLEGLTKLIDEIFKNIKSNLNAD YKSLISKILIEIPLTHCEVSEKICKGLSPYISKEGNEAALALMLNAFFVHYFSLGKSI EELNKVISVGFADKKPALKKCWFAAFLNNSNAAFEEVILDFIDGCLEFVKDSIIHYHT HGHACILASIEFINKILTLNNTELNDRVIQLIETLPENSSIGDAILTAALSTELPIEN RIHAVSLLQELFYEKPEFIGFSVIDAIERRMRVRELIPQQNTSFKYITSVLLAITSEL PDKEASIKVLINALVIAQWNIFNIKNGWAGLVLRARLDPAEVVREHVNIIMEKILGIT GSCEWIDTIYGICGLQAAAYAAFIQPTEFTPILCKTIEADLTANDFSRLTEEDFEIFA GEEGVLVVDILEESMNKRLSNKNSKEYETLMWEQKIRKEQAKKNVKKLSKEEQELVNK QLTKESIIRTHVSEVSARLKRGIRLVSELSKAACLVQNGITTWFPLAVTKLLHLCSEK NISKLTGDVNNVFLQLSQNVSERLGNIRLFLGLATLRVHNANSISQDYLQEPLVELLT RVLFRIKFVSNQAALDPISLTYILPLLINVLEKGKTIALKNADKPVVKAEFVEEDEEE EHLLLAMEIISVHAEAFEDPSIPRISIIEVLLSLLSLPSKAKIAKDCFNALCQSISVA PNQEDLDMILSNLLSPNQFVRSTILETLDNEFELEPFMKYSPEVFICRFDSDPSNREI ADFIWEFNKFVINDELLKSLFPLFNQDDSGLRLFAANAYAFGAVSLFTSEGSSSNNYL NDLLNFYKEKAKPLEPILDQFGLVLVSANEQKDPWQGRSTVAITLKTMAKAFSADDDT VVNIIKFLVDDGGLVDREPIVRQEMKEAGVELITLHGSQNSKDLIPIFEEALSSSTDS ALKENVIILYGTLARHLQESDARIHTIIERLLSTLDTPSADIQQAVSACIAPLVFQFK PKVGEYLSVLMEKLLNPAVASSMRKGAAWGIAGLVKGYGISALSEFDIIRNLIEAAED KKEPKRRESVGFCFQYLSESLGKFFEPYVIEILPNILKNLGDAVPEVRDATAHATKAI MAHTTGYGVKKLIPVAVSNLDEIAWRTKRGSVQLLGNMAYLDPTQLSASLSTIVPEIV GVLNDSHKEVRKAADESLKRFGEVIRNPEIQKLVPVLLQAIGDPTKYTEEALDSLIQT QFVHYIDGPSLALIIHIIHRGMHDRSANIKRKACKIVGNMAILVDTKDLIPYLQQLID EVEIAMVDPVPNTRATAARALGALVERLGEEQFPDLIPRLLNTLSDESKSGDRLGSAQ ALAEVISGLGLTKLDEILPTILAGVTNFRAYIREGFMPLLLFLPVCFGSQFAPYINQI IQPILSGLADNDENIRDTALKAGKLIVKNYATKAVDLLLPELERGMFDENDRIRLSSV QLTGELLFQVTGISSRNEFSEEDGDHNGEFSGKLVDVLGQDRRDRILAALFVCRNDTS GIVRATTVDIWKALVPNTPRAVKEILPTLTGMIVTHLASSSNVLRNIAAQTLGDLVRR VGGNALSQLLPSLEESLIETSNSDSRQGVCIALYELIESASGETISQFQSTIVNIIRT ALIDESATVREAAALSFDVFQDVVGKTAVDEVLPYLLHMLESSDNSDFALLGLQEIMS KKSDVIFPILIPTLLASPIDAFRASALGSLAEVAGSALYKRLSIIINALVDSIMGTSN DESTKAALELALDRVFLSVNDDEGLHPLLQQIMSLLKNDNMEKRIAVLERLPNFFDKT TLDFGVYIPDFVSHAILSLDDEDSRVVNGNFNALSVLLKKVDKPTLEKLVKPAKQSLA LTGRQGEDVAAFKLPRGPNCVLPIFLHGLMYGSNDEREESALAIADVVSKTPAANLKP FVSVITGPLIRVVGERFSSDIKAAILFALNVLFIKIPMFLRPFIPQLQRTFVKSLSDA TNETLRLRAAKALGALIEHQPRVDPLVIELVTGAKQATDEGVKTAMLKALLEVIVKAG SKLNENSKTNIVNLVEEEMLGSNDKLAVAYAKLIGSLSEILSNDEAHKILQDKVLNAD LDGETGKFAILTLNSFLKDAPTHIFNTGLIDEFVSYILNAIHSPDVYFGENGTIAAGK LLLLEGEKKSPFVKTAAAEPFRIGDENINLLIKELSKAVLQPASNSTDVRRLALVVMR TLARFKFDECVKQYFDLVGPSVFACLRDPVIPIKLAAEKAYLALFKLVDEDDMHTFNE WFSEISDRSSSIETITGAAIQLRSIGDYTKRVGKRLANVERERIAAGGDAETMFSDRF EDEREIWAVGGVELTTDI SPAR_G00620 MSNKEKTLIKPFKITALALLIVLIINLSYKSFLKRYLKSTVIWC LGIANADRNDIMWWQASPLLERWIWQLVDNYESGYE SPAR_G00630 MSGTFSYDAKTKENESLFEFNSAYSPRVSYHFNSKVSHYHYGVK HPMKPFRLMLTDHLVSSYGLHKIMDLYETRSATRDELLQFHSEDYVNFLSKVSPENAN KLPRGTLENFNIGDDCPIFQNLYDYTTLYTGASLDATRKLINNQSDIAINWSGGLHHA KKNSPSGFCYVNDIVLSILNLLRYHPRILYIDIDLHHGDGVQEAFYTTDRVFTLSFHK YNGEFFPGTGDLTEIGCDKGKHFALNVPLEDGIDDDSYINLFKSIVDPLIMTFKPTLI VQQCGADSLGHDRLGCFNLNIKAHGECVKFVKSFGLPMLVVGGGGYTPRNVSRLWTYE TGILNDVLLPEDIPEDIPFRDSFGPDYSLYPVLDDLYENKNSKKLLEDIRIRCLENIR YLQGAPSVRMDAECIPTQDISALTEEEDKIIQEMNEETEADSSARLEKIEKENSGLIT FS SPAR_G00640 MINDKLVHFLIQNYDDILRAPLSGQLKDVYSLYVTGGYDDGMQD LSNSKGDPLRFEQFWNDLEDIIFATPKSIQFDQNLLAAGRPEKIVYLDVFSLKILYNK FHPFYYTLILSSTSSEENVSSLKTKPGTDSEESQLLGRLLGILNWDVNVSNQGLPREQ LSNRLQNLLREKPSSFQLSKERAKYTTEVIEYIPICSDYSHASLLSTSVYIVNNKIVS LQWSKISACQENHPGFIECIQSKIHFIPNIKPQTDISLGDCSYLDTCHKLNTCRYVHY LQYIPSCLQERADYETASENEKIRSNVSIPFYTLGNCSAHSIKKPLPAQWIRCDVRKF DFKVLGKFSVVIADPAWNIHMNLPYGTCNDIELLGLPLHELQDEGIIFLWVTGRAIEL GKESLNNWGYNVINEVSWIKTNQLGRTIVTGRTGHWLNHSKEHLLVGLKGNPKWINKH IDIDLIVSMTRETSRKPDELYGIAERLAGTHARKLEIFGRDHNTRPGWFTIGNQLTGN CIYEMDVERKYQEFMRNKTGTSHTSSKKIDKKPCSKLQQQQQQQQQQQYWNNMNMNGG KYYMEAKQNSINKKQTAFGSKQHQQFQTLNNLYFAQ SPAR_G00650 MFRQCAKRYASSLPPNALKPAFGPPDKVAAQKFKESLMATEKHA NDTSNMWVKISMWVALPAIALTAVNTYFVEKEHAEHREHLKHVPDSEWPRDYEFMNIR SKPFFWGDGDKTLFWNPVVNRHIEHDD SPAR_G00660 MAQNNFDFKFSQCFGDKADIVVTEADLITAVEFDYTGNYLATGD KGGRVVLFERSNSRHCEYKFLTEFQSHDAEFDYLKSLEIEEKINEIKWLRPTQRSHFL LSTNDKTIKLWKVYEKNIKLVSQNNLTEGVTFAKKGKPDNHNNRGGSVRAVLSLQSLK LPQLSQHDKIIAATPKRIYSNAHTYHINSISLNSDQETFLSADDLRINLWNLDIPDQS FNIVDIKPTNMEELTEVITSAEFHPQECNLFMYSSSKGTIKLCDMRQNSLCDNKTKTF EEYLDPINHNFFTEITSSISDIKFSPNGRYIASRDYLTVKIWDVNMDNKPLKTINIHE QLKERLSDTYENDAIFDKFEVNFSGDSSSVMTGSYNNNFMIYPNVVTSGDNDNGIVKT FDEHNTPNSNSNKNIHNSIQNKDSSSSGNSHKRRSNGRNTSMVGSSNSSRSSIAGGEG ANAEDSGTEMNEIVLQADKTAFRNKRYGSLAQRSARNKDWGDDIDFKKNILHFSWHPR ENSIAVAATNNLFIFSAL SPAR_G00670 MPKKRASNGRNKKGRGHVKPVRCVNCSKSIPKDKAIKRMAIRNI VEAAAVRDLSEASVYPEYALPKTYNKLHYCVSCAIHARIVRVRSREDRKNRAPPQRPR FNRENKVSPADAAKKAL SPAR_G00680 MHPPRPLMLLYKQITSTMLSLRQSIRLFKPAARTLCSSRFLLQQ KPVVKIAQNLAEVNGPETLIGPGAKEGTVPTDLDQETGLARLELLGKLEGIDVFDTKP LDSSRKGTMKDPIIIESYDDYRYVGCTGSPAGSHTIMWLKPTVNEVARCWECGSVYKL NPVGVPSDDHHH SPAR_G00690 MDTVKRKEDPTKHNTDVIEFYDEGSPASSLNIPTEKANPSPSIL KRFINRATWLSKKVDAMGVESTGIQRISPYERGTSKKQFLHVAGLWLSATGGLSSMSS FLLGPLLFGLSFRESLASSLISVTIGCLIAAYCSIMGPQSGCRQMVTARYLFGWWFVK LVALASIIGVMGWSVVNSVVGGEMLAAISDDKVPLWVGIVIVTVCSFLVAIFGIKQVI KVETYLSVPVLTAFLLLYISSSDKYSFVNTYVSKGNLDSSTRKGNWMSFFSLCYSITA TWGSITADYYILFPEDTPYIQIFCLTFFGTFLPTCFVGILGLLLASVSMSYKPWAVEY DTHGMGGLLWAGFKRWNGFGKFCVVVLVFSLVSNNIINTYSAAFSIQLSSVFCAKIPR WFWSIVCTIICLVCALIGRNHFSTILGNFLPMIGYWISMYFILLFEENLIFRKFFLHL YTKEFPTATDEIKGPEPIGSSKEIEKNTVTNIHLLKRKHKVTKHRYNWDKWEDYEVLT HGYAATFAFVVGVAGVVVGMAQAYWIGPIAAKFGEYGGDVAMWLSMAFSGLVYPPCRY LELRKFGR SPAR_G00700 MCDPSAATGKLAILFIADPCETSATLNSKEFKEEFSILRYQLDT KETFLDFLERHEQDKICAIYAGFPAFKRIGGMTRSIIEHKSFPRNTLKCIVLCSRGFD GWDLDALREHNIRLYNYQDDKNENLIENLKLHQVGNDVADCALWHILEGFRKFSYYQK LSRDAGNTLIARAKAAEKNGFAFGHELGNMFAESPRGKKCLILGLGSIGKQVAYKLRY GLGMEIHYCKRSEDCTASQNENWKFHILDETIYAKLYQFDAIVITLPGTPQTEHLINE KFLKHCSPDLILVNLGRGAILDLQAVSDALVEGQIKHLGVDVFYNEPQIDEKIISSDS LTSITPHLGSATKDVFEQSCELALTRILRVVSGEAASDERFSRIV SPAR_G00710 MPIKRLDTVVVNTGSQNDQHSASVPPVYLSTTFKVDLNNEAAQN YDYSRSGNPTRSVLQHQIGKLYRVPQENVLAVSSGMTALDVILRGLVLLNGTNNHTPT IIAGDDLYGGTQRLLNFFKQQSHAVSVHVDTSNFENFKTVFQSLDKVDCVLLESPTNP LCKVVDIPRILRFVKCISPDTIVVVDNTMMSGLNCNPLQLNPGCDVVYESATKYLNGH HDLMGGVIISNTPEIASKLYFVINSIGAGLSPMDSWLLVRGLKTLGVRLYQQQRNAMI LAHWLEDSCGFKPTRTNKVTKTRFVGLRSNPDFKLHQSFNNGPGAVLSFETGSFEHSK RLVSSKKLSIWAVTVSFGCVNSLLSMPCKMSHASIDPELRKERDFPEDLVRLCCGIEN IVDLKKDLLAAMVDADIIELRENGKYLFNKLNKNLAVNTTIDDLHKPLSIYEEFYNQD LIRKDSELNIKSSKL SPAR_G00720 MIVKDLVQQMIDEDGVISVEKCGNINIYWCFKNQTLQKLYDSSE MLKKKIHEAECDITIYKRELDKTLATGRRKKFSIGQKSYNRETLLEKRKKIQEEIKKK SISLQKIESIRWTTAKIQENKQNIRLKKVQLEKTTDNIEILVDYLYKKFFLKPEQIKK EFGIPEEFKEFTEV SPAR_G00730 MRFRSSSHSLKNVDRELKDLINSSENANKCGECGNFYPTWCSVN LGVFLCGRCASVHRKVFGSRDDDAFSNVKSLSMDRWTREDVDELVSLGGNKGNARFWN PKNVPFPFDGDDDKAIVEHYIRDKYILGKFRYDEIKPEDFGSKMDDSDRESDRFAERH RSGSRSRSHSFYKGGHNRSDYGDSRDSFQSSGSRYSRQLAELRDMGFDDTNKNLDALS SAHGNINRAIDYLEKSSSSRNSVSAAAVTSTPPLPRRRATTGGPQPAIFDGTNVITPD FTSNSASFVQAKPAVFDGTLQQYYDPATGMIYVDQQQYAMAMQQQQQQLAQAQAQAQA QAQAQAQAQAQAQAQAQAQAQAQAQAQQIQMQQLRMQQQQQQQQQPQLTYQQMQQGGN LPQGYFYTQ SPAR_G00740 MVDIKNKDHTTSVNRNLMASAGNYTAEKEIGKGSFATVYRGHLT SDKSQHVAIKEVSRAKLKNKKLLENLEIEIAILKKIKHPHIVGLIDCERTSTDFYLIM EYCALGDLTFLLKRRKELMENHPLLRTVFEKYPPPSESHNGLHRAFVLSYLQQLASAL KFLRSKNLVHRDIKPQNLLLSTPLIGYHDSKSFHELGFVGIYNLPILKIADFGFARFL PNTSLAETLCGSPLYMAPEILNYQKYNAKADLWSVGTVVFEMCCGTPPFRASNHLELF KKIKRANDVITFPSYCNIEPELKELICSLLTFDPAKRIGFEEFFANKVVNEDLSPYEL EDDLPDLESKSKGIVESNMFVSEYLSKQPKIPNSNNADRQSTTGNPEELNDALKNSDI LTTPAVKTDRTQAIDKKGSSNKYHNNLVSDRSFEREYVVVEKKSVEVNSLADEVAQAG FTPNPIKHPTPTQNQNILLNEQFSPSNQQYFQNQGENPRFLRAASSSSGGSDGSRRPS LVDRRLSISSLNPSNALSRALGIASTRLFGGTNQQQQQQQTTSSPPYNQTLLNSQLFH ELTENIILRIDHLQHPEMLKLDNTNIVNILESLAAKAFVVYSYAEVKFSQIVPLSTTL KGIANFENRRSMDSNAIAEEQDSDDAEEEDETLKKYKEDCLSTKTFGKGRTLSATSQL SATFNKLPHSEMILLCSEAIVLYMKALSILSKSMQVTSNWWYESQEKSCSLRVNVLVQ WLREKFNECLEKADFLRLKINDLRFKHASEVGENQALEEKGSTEEPVYLEKLLYDRAL EISKMAAHMELKGENLYNCELAYATSLWMLETSLDDDDFTNAYGDYPFKTNIRPKSND GEDKEKYHSVLDENDKMIIRKYIDSIANRLKILRQKMNHQN SPAR_G00750 MVLLKEPVQPLPQSSLLYNNASNSSSRIKETKKVKLSYNPLTKR QILNNFEILATLGNGRYGKVKLARDLDTGGLVAIKILNKFEKRSGYSLQLKVESPRVN QEIEVMKRCHHENVVELYEILNDPESSKVYLVLEYCSRGPVKWCPENKMEIKAVGPSI LTFQQSRKIVLDVISGLEYLHFRGVTHRDIKPSNLLISSNGTVKISDFGVATSTAAGS TNIQSSHEQLLQYKALGTPAFLAPELCSAERACFCPFAIDIWSLGVTLYCLLFGRLPF NANSGLELFDNIINKPLEFPSYDEMLNRGTSDITVEEYSDAKDLLNELLQKDPDKRIK LAEIKAHPFICHYGQNVATSLLTNLETFREFKVSPPSSCKRVELLSLPVNSSFASLDS VYMENFDYNNLRNSVDRNSTYFPPAYDANTLSPSAYHSVGSRESSYSSFSSFTSSTPF ASQVSIQDAPSISDQQCLTDENGSSLRVNFCGLPQHTTMSPLGEYSFEGTRADLSPAL TPIGNLPQRMKAHLVEGKSNSKDDLKIEADASLVFEASDAQRTRRRMSLYKL SPAR_G00760 MSYNHQPQLSINSVQSLLEPVTPPPLAQMNNKRNHQKAHSLDLS GFNQFISSTQSPLALMNSTSTSNSANAFSPNPNAASNSTGLSASMANPPAILPLINEF DLDMDDPKRKLSNDFTVVAPANSGVNTSSLTMETPSSSVTPAASLRNFSNNNNTASKC GVDNSSFGLSSSTSSSMVEINALPLRDLDYIKLATDQFGCRFLQKKLETPSESNMVRD LMYEQIKPFFLDLILDPFGNYLVQKLCDYLTAEQKTLLIQTIYPNVFQISINQYGTRS LQKIIDTVDNEVQIDLIIKGFSQEFTSIEQVVTLINDLNGNHVIQKCIFKFSPSKFGF IIDAIVEQNNIITISTHKHGCCVLQKLLSVCTLQQIFKISVKIVQFLPGLINDQFGNY IIQFLLDIKELDFYLLAELFNRLSNELCQLSCLKFSSNVVEKFIKKLFRIITGFIINN SRGASQRTAVASDDVVNASMNILLTTIDIFTVNLNVLIRDNFGNYALQTLLDVKNYSP LLSYNKNGNAIGQNTSSTLNYGNFCNDFSLKIGNLIVLTKELLPSIKTTSYAKKIKLK VKAYAEATGIPFTDISPQASAVSHNNFQTINNENKNPNNKNSHNHNHNHNHNHNHNNN NNNNQKSHTRHFSLPANAYHRRSNSSVTNNFSNQYAQDQKIHSPQQIMNFNQNAYPSM GAPSFNSQTNPPLVSHNSLQNFDNRQFVNLMAHPNPTAPIHSFSSSNIANVNPSVSRG FKQPGFTMNETDKISANHFSPYSSANSQNFNESFVPRMPYQTEGANWDSSLSMKSQHI GQGPYSQVNMSRNASVSNMPAMNTARTSDELQFTLP SPAR_G00770 MVPNLPGFYYDRERRRYFRISENRSIPTPGTTSQYSKDNIKRQS VQARYDKELFIIKRKRQQTLQKYKISLLNPLERAFRPLPYEKYIIGLGMQYAFHSHSE GHNSHRSITTKSLNVPNIMQIGVLANYILLVTQEGSYQNKLAFATSKGYIVGFSSLDN CSEENFFIGFSMAEFNPVLKYKSEPTDLFKTMKLERTIAAKEGPSHYFYHNINSRSNV HTFAIFMQDPSSLKLLKVRQVKLKENCQVHDSLVVGDTLIVTVNDCCHFYDFVPETFP NPYVFFPGRSSRKSKKRSDITSLSFRLQGDALLPPKKSNSAVFYIGYRNGDSMAMTFS NITNMTLLQCLRTNDMTLKRQNQPIRSFLKSIVSIKALNNKGLIIMSGMAEKEDVQQL IIADTFLEDTLAEKPVISFKTKFLNVTKDTEIFEVSDDGRYFIYGSTSARNGKGDFEV FCTVLSGNLGYEKSEGGNITLYPIRVMKDYCRLENPQSEFIHLHSAFMPSMHVKMRGT VGTLCRQNDTSPHDISEEVLSQKVCCLIRREDSPYNGANVLVTSALV SPAR_G00780 MVTDEENVHLKSSLPILKKLSLDELLNVQHDVTTLIAKRVQALQ NGSKCVFEGPSSILVESVCHQKNVLQQSSQSSVGAGEQDSEDFILTQFDEDIKKESPK FHHRNENKTAVQLPLVTMSPSKNKRKISEFSSPLNGPGNLSDLEDCSDTVIHEIDHNK ENKTRKILGIELQKPEFTLPNFYKQDKDSLLFDFNTNPLTKRAWILEDFRPNEDIAPV KRGRRKLERFYAQVGKPEDSKHRSLSVVMESQNSDYEFAFDNLRNRSKSPPGFGRLDF PSTQEGNEDKKKSQEIIRKKTKYRFLMASNNKIPPYEREYVFKREQLNRIVDDGCFFW SDKLLQIYARC SPAR_G00790 MALHQYLSETYGPTKPKNKTRKKKKESKSDANSDKNSLIVKERL SILQQEQKKSGVTSISKFGKQNSKNIWKNLETNELSHAITHSSTSLITGYNNKSDVQE TSTQEPLTTVAGKQKTRETIYRDAQGHKIQENCKIDNSISSRSKYEDDKAAEREQYLR TLNMGDVQKLGINVDSHEKKSQTSSNLTVEDPATRFTHDEKEVIKTSLLGRKLYDKVA PENRFAITPGSRWDGVHRSNGFEEKWFAKQNEINEKRVQSYTLQEDY SPAR_G00800 MGIPKFFRYISERWPMILQLIEGTQIPEFDNLYLDMNSILHNCT HGNDDDVTKRLTEEEVFAKICTYIDHLFQTIKPKKIFYMAIDGVAPRAKMNQQRARRF RTAMDAEKALKKAIENGDEIPKGEPFDSNSITPGTEFMAKLTKNLQYFIHDKISNDSK WREVQIIFSGHEVPGEGEHKIMNFIRHLKSQKDFNQNTRHCIYGLDADLIMLGLSTHG PHFALLREEVTFGRRNSEKKSLEHQNFYLLHLSLLREYMELEFKEIADEMQFEYDFER ILDDFILVMFVIGNDFLPNLPDLHLNKGAFPVLLQTFKEALLHTDGYINEHGKINLKR LGVWLDYLSQFELLNFERDDIDVEWFNKQLENISLEGERKRQRVGKKLLVKQQKKLIG SIKPWLMEQLQEKLSPDLPDEKIPTLELPKDLDMKDHLEFLKEFAFDLGLFITRSKSK NSYSLKMDLDSINPDETEEEFQNRVNSIRKTIKKYQNAIIVEDKEELESEKTIYNERF EHWKHGYYHDKLKFTTNSEEKVIDLAKDYVEGLQWVLYYYYRGCPSWSWYYPHHYAPR ISDLVKGLDQDIEFDLSKPFTPFQQLMAVLPERSKNLIPPAFRPLMYDEQSPIHDFYP AEVQLDKNGKTADWEAVVLISFVDEKRLIEAMQPYLSKLSPEEKTRNQFGKDLIYSFN PQVDNIYKSPLGGIFSDIEHNHCVEKEYITIPLDSSEIRYGLLPDAKLGAEMLAGFPT LLSLPFTSSLEYNETMVFQQPSKQQSMVLQVTDIYKTNNITLEDFSKRHLNKVIYTRW PYLRESKLVSLTDGKTIYEYQESNDKKNIRFVTKPADTQDKKLFNSLKNSMLRMFAKQ KAVKLGPVEAIATVFPVTGLVRNSDGGYIKTFSSTPDHYPLQLVVESVVNEDERYKER GPIPIEEEFPLNSKVIFLGDYAYGGETTIDGYSSDRRLKITVEKKFLDSEPTIGKERL QIDHQAVKYYPSYIVSKNMHLHPLFLSKITSKFMITDATGKHINVGIPVKFEARHQKV LGYARRNPRGWEYSNLTINVLKEYRQTFPDFFFRLSKVGNDIPVLEDLFPNTSTKDAM NSLDGIKQWLKYVSSKFIAVSLESDSLTKTSIAAVEDHIMKYAANIQGHERKQLAKVP REAVLNPRSSFALLRSQKFDLGDRVVYIQDSGKVPIFSKGTVVGYTTLSSSLSIQVLF DHEIVAGNNFGGRLRTNRGLGLDASFLLNITNRQFIYHSKASKKALEKKKQSHNRNNN TKAAHKTPSKQQSEEKLRKERAHDLLNFIKKDTNEKNSEDVNNKNEVPKKDVKPVKKT LLKRPAQKSSENLQVDVTNSEKAPLNNPTVAGSIFNAVANQYSDGVGNNLNIPPPPHP MNVVGGPIPGVSDVADVGLPYNIPPGFIAHPNGLHPLHPHQMPYPNMNGISIPPPPHG FGQPIPFPPPPPMTNLPDQGSHIVVNEKESQDLKKFINGANVGENAKSSRKTESKTRS GTNSTECQSPRSGSNAAERDNKKNESS SPAR_G00810 MFGLNKASSTPAGGLFGQASGASTGNANTGFSFGGTQAGQNTGP SAGGLFGAKPAGSAGGLGASFGQQQQQQPQTNAFGGGATTGGGLFGNKSNNTASTGGG LFGANSNNNSGGLFGSTTAPTSGSLFANNNNSSSMNSANTGLFDSKPVGGSSLFGNTS TSSAPAQSQGMFGAKPAGASLFGNNAGNTTTGGGLFGSKPTGTTSLFGSSNSNNNNNN TSAPGGLFGNQQQQLQQQPQVQCALQNLSQLPITPMTRISELPPQIRQEIEQLDQYIQ KQVQISHHLKADTTDHDELIDSIPRDVAYLLKSESATSQYLKQDLKKISSFKSLIDED LLDTQTFSVLLQQLLTPGSKISSNDLDRFFQKKIHLYEKKLEDYCRILSDIETAVNGI DTDLFGAPNNANSTAITADLVSSEAENLLQLKTGIAAIVSTVIEEFTLFMDIAERIAV LHQKTKTLASLSI SPAR_G00820 MDIFRVLTRGASVKKESGPKAKAADYSVINGKDGNQKEDNNESQ IVKELDFFRNKRIISKVEDDREKKTVNDSSDKGNESANDDEDLIKPVITNTAEASALR KSYKGNVSGTDVPLPIGSFEDLISRFSLDRRLLNNLIENGFTEPTPIQSECIPFALNN RDVLACGPTGSGKTLAFLIPLVQQIIDDKQTSGLKGLIISPTKELANQIFIECFKLSH KIFLEKKRPLQVALLSKSLGAKLKNKGVSDKKYDIIISTPLRLIDVVKNEALDLSKVK HLIFDEADKLFDKTFVEQSDDILSACKEPALRKAMFSATIPSSVEEIAQSIMMDPIRV IIGHKEAANTNIEQKLIFCGNEEGKLIAIRQLVQEGEFKPPIIIFLESITRAKALYHE LMYDRINVDVIHAERTALQRDRIIERFKSGELWCLICTDVLARGIDFKGVNLVINYDV PGSSQAYVHRIGRTGRGGRSGKAITFYTKQDSVAIKPIINVMKQSGCEVSEWMDKMAK MTKKEKDSIKNGKAHTERKQITTVPKIDRAKRRRQQEMIAASKRRKNEELSKKDLSK SPAR_G00830 MGTGAFLDGLEKENFSSRMHLDLNTHHNMDSREDGNTSEESKRS SPVEQMSPKDKERSAKMETTGNIFNEKIDLHERFENTGEFNNDLKLIPDSSLNSKEYQ FKNWESFWCNTEGYKTKHMQPFHFTNGLEEIKEPIMELNISTSPYKGQRPNSAPTEYS AATTAFTKTQLEVSFLKTNLLTYIKKEIDICLSSIPFFDDAVQMQKKFLEYRDIDLDE EYELKILGELLNDLNFFHMQENSLLNRELAVRKFSNQPENQNLPPVRDFRNPLMPINN RYSPPLVLKKNGKSFEENYEFTPNTSNFWGEKAELQNSISGGTPYCFHTDNIHRTKPF MSFENQNEPLFQRKNPDYKQHFNSGRSVHNAVEPKSYRGIGLNESYQKGYAVMTKSFG NIDLNRMPRRGNEEMYSWSRN SPAR_G00840 MYLGRHFLAMTSKALFDTKILKVNPLSIIFSPTAHIDGSLPIIT DPETEAALVEAASIIRDTDETVAFPTETVYGLGGSALNDNSVLSIYKAKNRPSDNPLI THVSSIDQLNRKIFNQSHLSGASLFDNIPSIYRSLISSLWPGPLTILLPVPPLEHSTL SKLTTADQPTFAVRIPANPVARALIALSDTPIAAPSANASTRPSPTLASHVYHDLKDK IPMILDGGACKVGVESTVVDGLCNPPMLLRPGGFTYEEITKLGGEAWSLCKVENKRTV EKGEKVRTPGMKYRHYSPSAKVVLLVPHCAANAIHKEEKQMERLNELIKTELKADRNI KKIAILTSLKLRDSDLQCKIFNDPDLFSKTFIIEKLGQTGEEIQTNLFAALRKVDEND NVDLIFVEGINEEGEGLAVMNRLRKAAANNCILF SPAR_G00850 MSDNPFNASLLDEDSNREREILDATAEALSKPSPSLEYCTLSVD ETLEKLGTDKNGGLRSSNEANNRRSLYGPNEITVEDDESLFKKFLSNFIEDRMILLLI GSAVVSLFMGNIDDAVSITLAIFIVVTVGFVQEYRSEKSLEALNKLVPAECHLMRCGQ ESHVLASTLVPGDLVHFRIGDRIPADLRIIEAIDLSIDESNLTGENEPVHKTSQTIEK SSFNDQPNSIVPISERSCIAYMGTLVKEGHGKGIVVGTGTNTSFGAVFEMMNNIEKPK TPLQLTMDKLGKDLSLVSFIVIGMICLVGIIQGRSWLEMFQISVSLAVAAIPEGLPII VTVTLALGVLRMAKRKAIVRRLPSVETLGSVNVICSDKTGTLTSNHMTVSKLWCLDSM SNKLNVLSLDKNKKTKNSNGNLKNYLTEDVRETLTIGNLCNNASFSQEHAIFLGNPTD VALLEQLANFEMPDIRNTVQKVQELPFNSKRKLMATKILNPADNKCTVYVKGAFERIL ECSTSYLKSKGKKTEKLTEAQKDTINECANSMASEGLRVLGFAKLSLSDSSTPLTEDL INDLTFTGLIGMNDPPRPNVKFAIEQLLQGGVHIIMITGDSENTAVNIARQIGIPVID PKLSVLSGDKLDEMSDDQLANVIDHVNIFARATPEHKLNIVRALRKRGDVVAMTGDGV NDAPALKLSDIGVSMGRIGTDVAKEASDMVLTDDDFSTILTAIEEGKGIFNNIQNFLT FQLSTSVAALSLVALSTAFKLPNPLNAMQILWINILMDGPPAQSLGVEPVDHEVMKKP PRKRTDKILTHDVMKRLLTTAACIIVGTVYIFVKEMAEDGKVTARDTTMTFTCFVFFD MFNALACRHNTKSIFEIGFFTNKMFNYAVGLSLLGQMCAIYIPFFQSIFKTEKLGISD ILLLLLISSTVFIVDELRKLWTRKMNERDSTYYSNV SPAR_G00860 MVVINGVKYACETCIRGHRAAQCTHTDGPLQMIRRKGRPSTTCG HCKELRRTKNFNPSGGCMCASARRPAVGSKEDETRCRCDEGEPCKCHTKRKSSRKQKT GSCHSRTNHETANANGLGAADLEAFLGLSGNSSYVDMTTTLPSLKSPPQSGDIKTDSI NDLDLPSLDTLEQSPDLPLDPFNIDETEGANSTTGTPLNDIDIPFSINELNELYKQVS PHNSHPK SPAR_G00870 MDEILAKAGSQAVTFAIKSGISIASTYALKTITNFVVQIPKDDA RRIDQLKFKLESRMAIVSSAIDLIKLVAARGNTNLQITLRLTKDLKEEIDRFDEKISE MTQKVEGSRSAKTQNEAIKAVENYIKDLLLRIEEITPFINLSLTTSGANLNSALPYQV SPGLLLKASYFVSENNRKYENTIRSSEKEKGNKENIKVQVGPTFEITLFSIFYNLTSE SNGQSGIVWKEDMKRAKAIIYRQNSTEREYDYFMKIEQDFNDGRYHEDDDKEDTPQEL TIDLNHIKKLFFSVSGRLLRLEEQDSPVLVLKIDRHDDKENGTSDGEKVLLDDITWYA VSGYEEMEENEEEDEEEDAEEEKDGEEEQEEEEENNTLDDKTSSITLLEYIIRLTSLQ SNDQKSILEVSDERLSIYLNDENTNSRKDRISNSTIKETEQKLKNLKL SPAR_G00880 MAKRRLPDRPPNGIGAGERPRLVPRPINVQDAVNRLTKPFRVPY KNTHLPPAPGRIATGSDNIVGGRSLRKRSATVCYSGLDINADEAEYNSQDMSFSQLTK RRKDALSAQRLAKDPTRLSHIQYTLKRSFTVPIKGYVQRHSLPLTLGMKKKITPEPRP LHDPTDEFAIVLYDPSVDGEMIFHDTSIDNKEEELKKVAKSTQEKGDINKEENSQEQK PTQKIGHHPALMTNGVRNKTLRELLGDSENSAENKKKFASVPVVIDPKLAKILRPHQV EGVRFLYRCVTGLVMKDYLEAEAFNTSSEDPLKSDEKALTESQKTEQNNRGAYGCIMA DEMGLGKTLQCIALMWTLLRQGPQGKRLIDKCIIVCPSSLVNNWANELVKWLGPNTLT PLAVDGKKSSMGGGNTTVSQAIHAWAQAQGRNIVKPVLIISYETLRRNVDQLKSCNVG LMLADEGHRLKNGDSLTFTALDSINCPRRVILSGTPIQNDLSEYFALLSFSNPGLLGS RAEFRKNFENPILRGRDADATDKEITKGEAQLQKLSTIVSKFIIRRTNDILAKYLPCK YEHVIFVNLKPLQNDLYNKLIKSREVKKVVKGVGGSQPLRAIGILKKLCNHPNLLNFE DEFDDEDDLELPDDYNLPSSKARDVQTKYSAKFSILERFLHKIKTESDDKIVLISNYT QTLDLIEKMCRYKHYSAVRLDGTMSINKRQKLVDRFNDPEGQEFIFLLSSKAGGCGIN LIGANRLILMDPDWNPAADQQALARVWRDGQKKDCFIYRFISTGTIEEKIFQRQSMKM SLSSCVVDAKEDVERLFSSDNLRQLFQKNENTICETHETYHCKRCSAQGKQLKRAPAM LYGDATTWNHLNHDALERTNDHLLKNEHHYNDISFAFQYISH SPAR_G00890 MSTSITVRNRDQSLPPLLLPSVPLLEKDMCRKGAQNVGITDPEL LSTTWTRKRAFPADELTEGYKRLKPATTDSNKFAIGIATVTPPPTLPVSAIAPPPQNY TPPLFEYHHHALASVGSEDANASCAQMSMISRSTSNSTTSSTTSTSSISKRQRSGPSC DKCRLKKIKCNAKIEILLQDDTIIPLISNKLRYVLTPNDILLYRGTLLQNIAIPDDVI EGTSSRKLIKHIDKLVLLTPCLPCIKKKHSSSSTNFQKSNNCTFSKGFTRADINISSK ISLKFKDKTIYDITYDDYKSTNF SPAR_G00900 MPSNNSSFLDIDDDLEGVDDFGNEPNPFDDATVPDSPSMNNSTA IKGSEFYNTMGSKGESAPLQGQMDPPTYDQVLGENGNDGNGRNGLRPGLINYYSRYFQ LDLAQFKKRLSAVLTFRNDQDSEGAEDNTDLYGAVWITATVVMINFTMSKGLKFIISD IVEGIRTGEDIDRATQFKKLLHSIWLFYGYTFGVPFITLQVLNRDEHSERNRSFKSVP ELISVYGYSNLIWIPVCVILNILDMSKRLRTVQAVQWAIVALGWAQSSYFLNSQISNN NNETQSNGKFSLSIIVVIALHTLFCILFRFIIF SPAR_G00910 MNIKESPLITFVKRHSETHFANIKYGYYVLIISLAYLVALALLR AFGTRTPSRSASAIKNKMVYRLYYMDPAIHLGILFFALLVPFYYHYSLTTQSTVYLKR LGRLSYALIPLNLFLTLRPNWILRKNCTYTDFIPFHKWFSRVITVIGLLHGIFFIIKW AIDDSVSLKQKLILKTFNFVGFIVSILVVCLLICSIGPMRRYNYRLFYIVHNLVNLAF ILLIPIHSRPGVKFPFLLLNCILLFVHMINRVIFAKPLTVLSKNANYSKTNLVHVRLP RAVLPDFFEPGSHIRISPYGKINPLYWLLPSHPYTIASLAEDDTIDLIIKETSTAEPG GQIESLRSNPKSFHLDQERTYTLISSYPPSVPEECFSQGTNIAIVCGGSGISFALPLF RYFFNKENIKYLKMIWLIKDYSEYEIVLDYLRTNGLTFEKKLSNNKKFSVFISGEYSA ETRLDETTNNIDDENSEYEMGSFNNEDEDLSISNFNSENAYSNDNTPETSHSPTDDNG SLIEVKSKHSFTLFNELKSFHDESTQVNENETWLFSCGPSSLLQLTKKYCNDEKINFV CETYGL SPAR_G00920 MNNSIVTDDEVREFYLNCSSHTIIETLIGLHDSLRLYSQNHGIL PNRIFKKLDEGNEDANISHIFMPVVSKDFSGIKVLVNNNNKNFQGVINLIEPETGKLV GCFEAKQITAIRTALVSCIGLYRQLSDSHDKLFRFEDGTCHLTCFGTGLQAFWHIYIA VKLIFSGIVDESLKLVEINILYHNNMMDLDCVESIKKSFGGDLKIKLNQYQMKDMSLK DNGVVSSSDIIFGCLPTLEPNLFLKQLVNNEASTEQKHTYISLIGSYKPVMHECDKEL IDKFKQNDENTCILVDSREHTLLESGELIDSNIAPHDIIEIGELDSMKNIVLDLNEKN CKRTITLCKIVGLAVMDVALAKEFLSLRAKHTVNREQMAS SPAR_G00930 MSINQKFTADGIDFYSQTPDAAYFNAADGKNKVNRISNNSGNLH RSFASDCRRSSLSVDFHVTSSDSEKSEQTYFESKPQDDQYFSDVFSTGLELNEIPGPS NHYSSLSYRYPEQLELHNYKLLSQIGEGAFSRVFKAVEIDRDDQAPVAIKVITKKSIL SDEILNENDGIQGSSRKKVMNEIAIHKLVSKNNPHCTKFIAFQESTNYYYLVSELVTG GEIFDKIVQLTFFSEDLARHVISQVAIAVKHMHYMGIVHRDVKPENLLFEPIPFYGCG GDVQKEDKFTLGVGGGGIGLVKLMDFGLAKKIRNTTAKTPCGTTEYAAPEIFNSKEYS TKVDMWSIGCVLFTLLCGYPPFYEKNQEILIKKISKGDYEFLEPWWDNISPGAKNAVT HLLEVDPSKRYDIDDFLNDPWLNSYDCLKSAHSHSYASVESTLNVSFDERTEALHSAL SCQSQKQDDAKSSSSGSSEYIFTAEEDGHLRGSWTGELRGRFTLDLTRSSVYRRRKNK IFFW SPAR_G00940 MATDNTTVFVSGATGFIALHIVNDLLKAGYTVIGSGRSQEKNDG LLKKFNNNPKLSMEIVKDIAVPNAFDEVFKKRGKEIKIVLHTASPFHFQTTNFEKDLL TPAVNGTKSILEAIKKYAADTVEKVVITSSTAALVAPTEMSKGDLVITEESWNKDTWE SCQANAVAAYCGSKKFAEQTAWDFLKENQSSVKFTLSTVNPGYVFGPQMFADSLKHGI NTSSGIISELIRSKVGEEFFNYCGPFIDVRDVSKAHLVAIEKPECAGKRLVLSEGLFC SQEVVDILNGEFPQLKGKIATGEPASGPAFLEKNSCKFDNSKTKKILGFKFYNLKDCI VDTAAQMLEVQSEA SPAR_G00950 MSSEGITYDPQFKPVQGIYENRLRQFIDTGGDYHDLNLPKFYDK KRISLDHDHVKVWWYQVSFERGSSPVSPDKRPSWRSIIERDKKGELEFREANINQPFG PSWSTTWFKVKISLPEDWAKSDEQLLFQWDCSNEGIVIDPKTLIPVTAFSGGERTEYV LPKTSDGKHFFYIEAGNNGMFGCGAGSTINPPDDNRFFHLRKADIVWPDLDARGLYID FWMLGDAARELPGDSWQKHQARQLGNAVMNLFDPNDRSSVRKCRELLQREYFDSFSES SKVYEQGESQVLTNVYGIGNCHIDTAWLWPFAETRRKIVRSWSSQCTLMDRFPEYKFV ASQAQQFKWLLEDHPEFFNKVLIPKIQQSQFFAVGGTWVENDTNIPSGESLARQFFFG QRFFLKHFGLKSKIFWLPDTFGYSSQMPQLCRLSGIDRFLTQKLSWNNINSFPHSTFN WAGIDGSQLLTHMPPGNTYTADSHFGDVLRTAKQNKTSEYYGSGLMLYGKGDGGGGPT EEMLQKMRRIRSMNNRNGNVIPKLQVGVTVDEFYDDILKRTNQGHDLPTWSGELYFEF HRGTYTSQAQTKKLMRLSEIKLHDLEWIAAKTSVLYPDSYKYPSEQINELWENVLLCQ FHDVLPGSCIEMVYKYEAVPMLHNVVKECTSLIDKTLQFLQSQSKADLVEMGTLTWSK PEKVSGEYSLCGSYTSSVTGYDGYIVLANGKLKVIICKKTGVITSITDETLGVEYLDT RNGRNKLGANQFVIFDDKPLGWQAWDTELYSVNQYEYITKPKKVQVSCNTKEKCAVEV VFQISEKCKVKSVISLNATTANDAKLSKVDISTTVENWDARNKFLKVEFPVNVRNDFA SYETQFGITKRPTHYNTSWDVAKFEVCHHKFADYSEYSKGVSILNNCKYGFSTHGNLM RLSLLRSPKAPDAHADMGTHEIKYAIYPHRGALSSDTVKLAHEFNYDFKYRLPKDIGL NFDDIISISGDENVILSNIKRGEDDSAIKSNYSLSPKDEQSIVVRVYESLGGESFASL NTTLNLKRIEKIDNLEMKVFKSLTATRDESNRAINRIPIKLRPFEIASFKLYF SPAR_G00960 MNQVTNDSRSVVTKKHRKFFERHLQLLPSSHQGHDVNRMAIIFY SIVGLSIFDVNVPAKYGHHLGWMRKHYIKKVLDDTNNTVISGFVGSLVMDIPHATTIN LPNTLFALLSMIMLKDYEYFETILDKESLLRFVSRCQLSARGSFVSCLDYKTNYRSSV DSDDLRFCYIAVAILYICGCRSKEDFDRYIDTEKLLGYIMSQQCSNGAFGAHHEPHSG YTSCALSTLSLLSSLGRLSDKFKEDTITWLLHRQVSSHGCMKLESDLNASYDQSDDGG FQGRENKFADTCYAFWCLNSLQLLTKDWKVLCQTELTTNYLLDRTQKSLTGGFSKNDE EDADLYHSCLGSAALALIEGKFNGELCIPQQIFNDFDKRCHSSANSLKENLI SPAR_G00970 MVQTIEVLNDVSKVADGSLWAGVFVVEIREDVLADEFTFEALMR ALPLASQARILNKKSFHDRCASLCSQLLQLFGCSIVAGLNFRELKFDKGSFGKPFLDN DRSIPFSMTTGEQCVAMSLVKCVSADEYQDIGIDIASPRNYGGKEELELFKEVFSERE FKALVKASDPCIAFTYLWSLKESYTKYTGTGLNTDLSLIDFGAISVFPAEGASMCITL NEVPLVFYSRWFNKEIVTICMPRSISDNVNTSKPKLYNIAFSTLIDYFIENDGL SPAR_G00980 MSDVVSEDRKALFDSAVSFLKDESIKDAPLLKKIEFLKSKGLTE KEIEIAMKEPKKDGIIGDGVSNKSGSAENRANSQDTYLFEAMPPALPHRDWKDYFVMA TATAGLLYGAYQVTRGYVIPNILPEAKSKLEKDKKEIDDQFSNIDTVLNAIEAEQAEF RKKESETLKELGGTISELQQVLAQTTRSREKIEDEFRIVKLEVANLQNTIDKFVSDND NTQELNNIQKEMESLKSLVNNRMESGTAQDNRLFSISPNGIPGIDAIPSASEILAKMG MQEESDKEKENGNDTNKETNAVPAWKKAREQTIDSNASIPEWQRNTATNEISVPDWQN AELADSTP SPAR_G00990 MEKESVYNLALKCAERQLTSMEFSNLYKEFFNEKFPSLIQEEEE DTTAATAAINEAKKASNLADTPGSNAAATADTTHLHEALDVVCSDFVKILNLEKPLIL ADYIVEVLLVNYNSDMIKCFLPKLNSVTISLLLAHFFSKSCSFFAKLSDTLVIDQVRK DLGTVIVPNILNLDMSGMNKELNAIIAKLLQTILKLSSSPILLTSASCKNGSFTLLNQ LSQTNKLLFRRVSQTFEAKLHFKDAKPYLNKDSTNEFVGSPSLTSPQYIPSPLSSTKP PGSVNSAAKYKDMKLLRYYKNIWLNNKIINWEISNSDFLSKYSAISSSIFQENFNSVQ NLDQLLTDLIETSFTCFAQFVSNKQYHQANSNLTLLERRWVIFITKHLPLLILENSSR NPRVVTSALDNIDEKVIKAIRIYFTEKDDNKSNNEDLFDDYPSTSLDIRHDFIKGLIM LNLQPASVINNYLREDQMIDTSILPTSDDLVVRNLQGIQEVVHNTNSFIISSLETLEL ESITESITHDSSNGLFQVLHNFESVAPTKQREIVKAILSIFDDAIKELNYNRITKICA LLFFNFSHSLTTILTFSSPTALMETLIRFVDSSKNGRNGSNSNDESSEYETVNISLSF SWAILLIINLTQTYGISVVDVALKYPELSIKNSFIINFISNLPNVSDKYYLEESNVND SDMLTKSHNTVQSWLCDLFVNGSITDQLIQNIETKQLANLIPFIVKQVLLSVEIGALT DISSLIGGFEYFLQPLLLIGLIKTFYWLEQFLSCVKNDTISEDILQGIFNLLNTLFNP VTLNEDSKAFHTAVLRLNAIPLLKVLRRFRVQSQSNYGIYSSDAQGDPNLEPLIAKLV SVLNVSPVYDVDPRIINSENDYSRKQLGYGKFLILNENPINKIMTNQINSFWSLHSST YYNLDYLFELIELVTPKSFLFDVLKTLEYKLTTYGVPGSKNKRGSLDSEHVFDYFFYF LVLYDVSTAEEASQLIEYMENDAKKSKEDIDIKSEDLQEKNDSAELKQETQPKTEATQ DDDFDMLFGENDTSTQAYEEDEENENNDGTDKGNSISMIKAEEAPNKNNNNISVLKRH SFAVLLHERKLLNDLALKSGEITKKEHEKFIRYHDKYLCMLKTCVF SPAR_G01000 MSLAVLLNKEDKDISDFSKTTTGKSARKNSSERVADVRPIKVVD KKQAYLSQLNSEFNRIKRRDSIEQLYQDWKFINLQEFELISEWNQQSKDWQFDNTNDS HDLHFKKLYRDMSVINKEWAEYQSFKNANLSDIINEKDVDEDEDEDEDELEDGEEDME EDEGGTGRHANGKTMRGNGVQRSRKKDSAAAVGKAIRVDQNHADTVVTVDEDENEDEN NDEDNENGNNNDNDNDNDNDDEEENEEEDEDEDEMEDLDEEDFAAFEEQDDNDDEDFN PDVEKRKKRSSSSSSSTKLSMNSLSLITSKKINKNITINSDRPKIVRELIKMCNKNKH QKIKKRRFTNCIVTDYNPIDSKLNIKITLKQYHVKRLKKLINDAKREREREEALKNNV GLDGNDLDNDEDGSESHKRRKLSNNNVNGTDDGNKRKFNTRHGLPTYGMKMNAKEARA IQRHYDNTYTTIWKDMARKDSTKMSRLVQQIQSIRSTNFRKTSSLCAREAKKWQSKNF KQIKDFQTRARRGIREMSNFWKKNEREERDLKKKIEKEAMEQAKKEEEEKESKRQAKK LNFLLTQTELYSHFIGRKIKTNELEGNNVSNNDSENQKNIDISALAPNKNDFHAIDFD NENDEQLRLRAAENASNALAETRAKAKQFDDHSNAHGGEEEEDELNFQNPTSLGEITI EQPKILACTLKEYQLKGLNWLANLYDQGINGILADEMGLGKTVQSISVLAHLAENHNI WGPFLVVTPASTLHNWVNEISKFLPEFKILPYWGNANDRKVLRKFWDRKNLRYSKNAP FHVMVTSYQMVVTDANYLQKMKWQYMILDEAQAIKSSQSSRWKNLLSFHCRNRLLLTG TPIQNSMQELWALLHFIMPSLFDSHDEFNEWFSKDIESHAEANTKLNQQQLRRLHMIL KPFMLRRVKKNVQSELGDKIEIDVLCDLTQRQAKLYQVLKSQISTNYDAIENAATNDS TSNSASNSGSDQNLINAVMQFRKVCNHPDLFERADVDSPFSFTTFGKTTSMLTASVAN NNNSVISNSNMNLSNMSSNNISNGKFTDLIYSSRNPIKYSLPRLIYEDLILPNYNNDV DIAHKLKNVEFNIFNPSINYELCLFLSKITGETSLNEFFRVSNTPLLKQIIERTDNSK NTNSLIFSINKELREVTKNAPSNGVMASLLNVKKHAYEDEYLNNIQRGYHPNVSAPPV TIEVLGSSHITNSINNELFDPLVSQALSDIPALTQYNMHVKKRIPVEDFPKTGLLPEP LNKNFSSNISMPSMDRFITESAKLRKLDELLVKLKSEGHRVLIYFQMTKMMDLMEEYL TYRQYNHIRLDGSSKLEDRRDLVHDWQTNPEIFVFLLSTRAGGLGINLTAADTVIFYD SDWNPTIDSQAMDRAHRLGQTRQVTVYRLLVRGTIEERMRDRAKQKEQVQQVVMEGKT QEKNIKTIEVGENDSEVTREGSKSISQDGVKETASALA SPAR_G01010 MSTFGKLFRVTTYGESHCKSVGCIVDGVPPGMSLTEADIQPQLT RRRPGQSKLSTPRDEKDRVEIQSGTEFGKTLGTPIAMMIKNEDQRPHDYSDMDKFPRP SHADFTYSEKYGIKASSGGGRASARETIGRVASGAIAEKFLAQNSNVEIVAFVTQIGE IKMNRDSFDPEFQHLLNTITREKVDSMGPIRCPDASVAGLMVKEIEKYRGNKDSIGGV VTCVVRNLPTGLGEPCFDKLEAMLAHAMLSIPASKGFEIGSGFQGVSVPGSKHNDPFY FEKETNRLRTKTNNSGGVQGGISNGENIYFSVPFKSVATISQEQKTATYNGEEGILAA KGRHDPAVTPRAIPIVEAMTALVLADALLIQKARDFSRSVVH SPAR_G01020 MKYIQTEQQIEVPEGVTVSIKSRIVKVVGPRGTLTKNLKHIDVT FTKVNNQLIKVAVHNGDRKHVAALRTVKSLVDNMVTGVTKGYKYKMRYVYAHFPINVN IVEKDGAKFIEVRNFLGDKKIRNVPVRDGVSIEFSTNVKDEIVLSGNSVEDVSQNAAD LQQICRVRNKDIRKFLDGIYVSHKGFITEDL SPAR_G01030 MGKASLAIARTKETPQKRRRMELRERKVCNKLHLLDRILIFVSL LFIASAELSSDVSSREVYMPIFNNGLSFKVPPIKRSSLLGTALYEDFEYSSNNSASDG AFCTVFNAGMDDISKEVVFEIHVMDVLQEETDSGRFGGTSHERGRQSLDFSVFNNRNG DLLRSKKNLASGMSVIEVNPEDSSEFLICFINLVYDASWSSIDTEKSVTIKMTYNDKL NPDMLLHLVKQMTPQVVKALNNVSDGLFEIVSDTTLLQMESDRRNINEATYSYLIVGF VSLMIAQLISNIIVTGYLIIKIKSKFPSHIKKKGL SPAR_G01040 MMNDIDDLLKINDRIQQVRSDRNQLASKLQNLRQTMASNDTEVA LSEVIAQEIIETGASVRGLEQLRAKYGSLQVLDKLEKVTVQQTQLRASIDKLSSFEHQ LDELVQQPPDLFKLDDIMALHSSLTTAFATVPQSENIDSQYAAYNRLKSTVTTKYNND IIQKLATKWSDSFDQELLEAQWDTPKFASTSVSLVKCLRENSTKLYKLSQLYLPLEEE TQERASERSLSRSSNGQEPMLWNFKSLANNFNVRFTYHFHATSSTSKIETYFQFLNDY LTENLYKCINIFHDSSHGLTKQVIHEQFINYVLQPIRDKVKSTLFQNDLKTLIVLISQ ILATDKNLLNSFHYHGLGLVSLISDEIWEKWINYEVEMANRQFINITKNPEDFPKSSQ NFVKLINKIYDYLEPFYNLDFDLLVRYKLMTCSLIFMNLTSSYLDYILTVDSLSETRT KEQELYQTMAKLQHVNLVYRKIKSLSSNFIFIQLTDIVNNTESKKYNSLFQNVENDYE KAMSADMQNSIVHRIQKMLKETLRNYFKISTWSTLEMSVDENDGSSSVPSAELVNSMN VLRRLINKLDSLDIPLAISFKVKNELLNVIVNYFTESILKLNKFNENGLNQFLHDFRS LSSILSLPSHATNYKCMTLHELIRILKLKYDPNNQQFLDPEYIKTGNFTSLKKIYSIK YLKDTKIQDALYRIIYGNIL SPAR_G01050 MLKMSLAPTNEILFHYKSSVKVGELERYVITYHLYEGEEIPPDL NLNSLWLKVRNMNPLSYRAAYLMGPFMLYCDVKTAEYHHSQKIVASVDYPKFEPNVQT QQDFVAELSVHNIRQKYVWIADVMSQILFTTNTNITYEVTIGTNRESVENPHDLPSHL GSYSPKLTVNRLTTLDLWNLPVQITTPQKKKHLVVLTHGLHSNVSTDLVYIMEQIYKA QKNYPHEQIVVKGYRGNVCQTEKGVKYLGTRLAEYIIQELYNESIHKISFVGHSLGGL IQAFTIAYIYEVYPWFFQKVKPINFITLASPLLGIVTDNPAYIKVLLSFGVIGKTGQD LGLENEAEVGKPLLYLLSGQPLTEILRRFKRRTVYANAINDGIVPLYTASLLFLDYND ILEQLQKLKENSRKLPLISNATAPGNQDFFNKTFISPLTKMLSILAPQKFPTEDGSEI PKVSFFESASSILLPPLPERAYIMDPDSRDPVIIHDKIYTEDDIPQSEFNIEDGFFDK KNILLQAFFAGKKERAKYRNLEETIARRWHEGMSWRKVVVALKPDAHNNIIVRRKFAN AYGWPVIDHLIDVHFNGDDDDDGDDDDDIHSIKSVEPIQSVTEGTKKYKKVENIPQEY GWLNKVETNGVFDEGPTGMISTVGEIVEAFAKRGFSAVIDRRNTSEDPNDEVLRFEEM NSDLVQ SPAR_G01060 MWLSKFQFPSRIIAKGIFLSHKSPLLVRLTSTTTNSLSNGSIPT QYSELSPLLIKQAEKYEAELKDLDKDLSRGIHFDVNKQKHHAKLSALTDTFTEYKEKL NELKGLQEMIVSDPSLRAEAEQEYTELVPEYETTSSRLVNKLLPPHPFADKPSLLELR PGVGGIEAMIFAQDLLDMYIGYANYRKWKYRIISKNENESGSGIIDAILSIEEAGSYD RLRFEAGVHRVQRIPSTETKGRTHTSTAAVVVLPQMGDESAKSIDAYEGTFKPGEIRI DIMRASGKGGQHVNTTDSAVRLTHIPSGIVVSMQDERSQHKNKAKAFTILRARLAEKE RLEKEEKERKARKSQVSSTNRSDKIRTYNFPQNRITDHRCGFTLLDLPGVLSGERLDE VIEAMSKYDSTERAKELLESN SPAR_G01070 MAEEVNGAKPKVGRTQIFCIFLTFRVLNAVLTKTFFQADEFWQA LEPAHWKAFKYGELTWEWRSGVRSYLFPMIFELTYRLVSLSSILLHYTLLLLSTIGSD LLILLLPKYELTWQIAEDLKRLPFDITRSFEYSGVIYAPKIVMALLASIGEYYTIRFV QKLYLLTLDKKSDKEERERRADLSEITKFALLLSLTNFFNCFFITRTFINSFEMVLTS IALYYWDWTGGQMVRESSFTKALIFAFLACLQRPSSGLIWIIPGISLILNLVSKKQYR LLSVTFSKVIRSFLLVFTANTIIDTYFYKKITFPLFRFLKFNLMTPLSKFYGVAPWHF HLFQSLPIILGASIPVFVFGLFFRLSKKSFPKRYLNPFFQVKLTIVFNLLVYSSLPHK EFRFIFPLQPLFILISSFGLLKLNKEYGKKMTSLKSLLWLVPFVSVFVALLLDTFHEC GSIEVIKFLHEEPEIDSLGFIMPCHSTPGQSYLHRSDISDLWSITCNPPLHLLEDPEA YSKLETYMDESDHLYDDISTFIYRNFPPLFRKNLRSPGKTYNHEWPTYLVVFEHMENA FLKDFLKDSSYVEYNRFFNSLAHWDSRRSGDIIIYYKLPFDYNDVSVANN SPAR_G01080 MLNFTGQTRRRNVNLGNRARNTKKDLLEKAKRERERRAQDKLKE DASKTIQKSIRRHFANVRLFKSTFTNSQLVHMIPAYGGKLIYYISQYDLQQLLKLSHD FLSFYPNSLGNKQLLSLLKLYQDDTLVAETISDLNMKYATVDEFLNSLSIYLRRASCL NYSSASKLADVIEAWGVMGSSASTSIFSIPLGSYEERPFALEFYCILAERNLLPKFIN TDPILWDNMAKTYSHCSRDGQKNIAKLLIPNFNNHIASSVLPSDNDYVLKFYEKTFID EVISTTTNHVSDEDHVKNLMCYIASSPNQSCKNSVLITLLSNKEFVRKLSWEFFHTKF NASKTEAHPLFSVLAQLIDMHLLISTDRELLDYNSVIPIEELKRFTSTLKDFTFRQYW ELPKTERNPMLKEAVPLLSKVYERDSRLHFLSSGNNPTYWENSEKQFLNLRFYEELQE YEDLYREHLEQENDEDMEKEIDLDKERPSLKSLLLNKMKKRLKSSLRFRKLEILLELP FFIPFEERVDLFYMFIALDKKRLSLDDDHNLMNMFAPWASTGMRKQSAIISRENVLED AFNAFNSIGERFKASLDVTFINEFGEEAGIDGGGITKEFLTTVSDEGFKDPKHELFRT NDRYELYPSIVYDTSKLKYIWFLGKVVGKCLYEHVLIDVSFADFFLKKLLNYSNGFLS SFSDLGSYDSVLYSNLIKLLNMTTDEIKSLDLTFEIDEPESPAKVVDLIPNGSKTYVT KDNVLLYVTKVTDYKLNKRCFKPVSAFHGGLSVIIAPHWMEMFNSIELQMLISGERDN IDLDDLKSNTEYGGYTEEDQTIVDFWEVLNEFKFEEKLNFLKFVTSVPQAPLQGFKAL DPKFGIRNAGTEKYRLPTASTCVNLLKLPDYKNKKILREKLLYAINSGARFDLS SPAR_G01090 MSLKSKLTKIQKLWLYYFPCDRILAKRICKSTVNTTVAFIFCLI PKITAHLGAAPAMLPMISVIVHPGRRVGGTIHGAIYCITGLIFGLAYAIFGRFLAQRC LGSSWHELTEAQQHVLHYKRYEAGLAILAVFEVIMLFFHGWMRSVSHYYFGIVFPLFV VVHFAFMDPLNETAGTIAKAYSTPFYLGIAMSIFWNLVLFPEWGTTYLGNTTIDAMNE LHKSIDYSINFFIAVDPHNSSQLYSRDPVSLGKLLKMKSLISSKVNNCRVVLHECIYE FTYAYVSPTKLKPIISTLENLTVYINGLVNTCQLEFILLARHDNKLRPDDVAALTLPK NKEISFANAEKLLKVIDKLHPAIYSLHRTMSECMYMAKLVLAHAFDVNVSRVHSCSMF KDGNFPTFSNNTNNLPNDIDIQNKINDLKHALEECKAKFKSEMLEFDIDIMSPSDEMF LLSSFLLNFRQTADSTLTIMESVKDILVKRQIQEKKGWLRGKRLWFLVLNNYETFSIW LKGDRNSVTENDTLKGTFNGTTNGFAHDTVIRRPDYEENELLSQKVSSNKNLAKDDTS LDLPITSEPKGNLSSTSDTSSSPLTLTKTTTFGTNRTSKRQGRFSFMSMLISIDKFCE VSHPHFRFGFQVAIALMLASFPMFIPKTRQWYIDYRGTWIGFVCILCLEPSVGGTFWV FFLRAVGVIFGAAWGYLSYVAAVNQTNPYLETVITVFGAIPGFYYLLGTPYVKAAIIE IISIYIVMLAAILPSQDDILTSFAKRCLAVGYGGGVALIVQVFFFPLKAREQLNEEIS FVCGCISEMELLYATGLEGEQVADSMSDEKYRKIEKISKSAKEALARATAYKGLTRQE PRLKGEYTELENVFTQVIFIQKQIIERIDTISLLRKQNGSAVIEEFNSAVYPYRRQMV GSVSCLMRALQEAFINKTPLPQFLPSARIAHRRLINKVRQTLRIRYPGQISNLSDKVQ KLNKGNYADGDEEDDDENEGLVMTMNRRGQTNTTVNPHEYVLKEKFLSWNASSAASEE IIEYIEELLNLTKILVGVNEFKYGFLSRPLYEDWAAEAVTGFDNFINGKSNPRKTRRN RTPFDGTSIISEGNESLQSSNSNESQISPDSTRSYEPECPMAYEGNDNPAALNLSRIA SHKAGQNSDGLPKTFRNRAFSIASTSGQLSSLSRHSTLGNADPNYLNDDESSDDDLPL ALKMVLSHMKEKKD SPAR_G01100 MKFLQTYKSCSLIGLIISLASKINLAEAKRKLVATSLVTCMENS QLSANSFDVVFDPDDRSLHYNLDMSTQIDSYIYADIDVYAYGFKIITKNVDLCSINWK QFCPVHPGNIQIDSIEYISSKYVDDIPGIAYQVPDIDAYARVKITNNVSDYLACIQIY FSNGKTVSQIGVKWATAVVAGIGLLLSAILSTFGNSTAASHISANTMSLFLYFQSVVV VAMQHVHRVPPIAAAWAENLVWSMGLIRISFMQRIFRWYVQSTGGTPSLYLTSTSMSV LAQRSWEYLMELPLMKRATNVLYGNANTLIFRGIKRLGYKMGIENTSIVCTGFTFFVL CGYVLAGFIMVVKCCVELAVRLGWIQKARFWGFRKQWKMILKGAILRYIYIGFVQLTI LSFWEFTERDSAAVIVIACLFILLSCGLMLWAAWRTVFFARRSVALYNNPAALLYGDE YVLHKYGFFYTMFNANRYWWNIVLLSYIFVKSLLVGFAQASGQTQVLFMFILDLFYFV AIIYYKPYLDRPTNIMNILIATVTVVNSFLFMFFSDLFNQSYKVAAIMGWIFFIMNAA FSFILLLMILAFAGMMLFSKNPDLRFKPAKDDRTSFQRNTMKPEGIVNRSAANELLAL GNVAKDHNDNSDYESNDTGVNDELKQGQDETTPTTVTSSDDYKPTFSEKILSKFTRPK NNNTSTDALRVETPNQQMFPHNLTNLSRENLSTLGSKPYPGHTRSQSDAHNGLINSFE EEDTSSNTDPFHDSTEGDLLDTSSSDGGFRSQNYVRDDSINSLGNNKQPLRKPPGFFD EGFM SPAR_G01110 MCFFKQLFLFFITYTLLTNTFVEGKSSFPGHDVCKFGDQNFQTE FFLNVIKGNKLENLKEEYEQYKKQSTLYTGFVIEKQYEYQIAPLRIKNFLQVTFCKGG KAVWNHILPFQKDLDWAEPLCIPPLEDNATSQNSSICFKFARVQKYTQRNITLYFPNK FVGFVFVCNSSNTLSPTNKDFETIPLTPIISDNIRDYKIFWSIKGTITKLVPYLHTLS IPLSRYEMLVRPDNYESGELEYKSLTSEFWKSYKNLGKFKNKEISWLNQIDPMEKYDN SKGENVPNFHEKLDYTINRIAHNIERPHDALIKAMNAHNRNSNGENLTRRKYLRRKIS KMLKNRIPFKN SPAR_G01120 MKLDIKKTFSNRSDRVKGIDFHPTEPWVLTTLYSGRVEIWNYET QIEVRSIQVTETPVRAGKFIARKNWIIVGSDDFRIRVFNYNTGEKVVDFEAHPDYIRS IAVHPTKPYVLSGSDDLTVKLWNWENNWALEQTFEGHEHFVMCVAFNPKDPSTFASGC LDRTVKVWSLGQSTPNFTLTTGQERGVNYVDYYPLPDKPYMITASDDLTIKIWDYQTK SCVATLEGHMSNVSFAVFHPTLPIIISGSEDGTLKIWNSSTYKVEKTLNVGLERSWCI ATHPTGRKNYIASGFDNGFTVLSLGNDVPTLSLDPVGKLVWSGGKNAAASDIFTAVIR GNEEVEQDEPLSLQTKELGSVDVFPQSLAHSPNGRFVTVVGDGEYVIYTALAWRNKAF GKCQDFVWGPDSNSYALIDETGQIKYYKNFKEVTSWSVPLHSVIDRLFPGALLGVKSD GFVYFFDWDNGTLVRRIDVNAKDVIWSDNGELVMIVNTNSSGDEASGYTLLFNKDAYL EAASNGNIDDSEGVDEAFDVLYELSESVTSGKWVGDVFIFTTATNRLNYFVGGKTYNL AHYTKEMYLLGYLARDNKVYLADREVHVYGYEISLEVLEFQTLTLRGEIEEAIENVLP NVEGKDSLTKIARFLEGQEYYEEALNISPDQDQKFELALKVGQLTLARDLLTDESAEM RWRSLGDASLQRFNFKLAIEAFTKAHDLESLFLLHSSFNNKEGLVALAKDAETAGKFN LAFNAYWIAGDILGAKDLLIKSERFSEAAFLGSTYGLGDDEVNDIVTKWKENLILNGK KTVSERVCEAEGLASSSSPADTQPLIDLDSTPTPKEAQEDEEAEDEEAEDEDSEFKES NSGEAEVEKEEEAPQQHQQPQQQSEQGVAVSEPAEEES SPAR_G01130 MSASNTDRKFQPSGKAYRSESNDYTYGRESYIMLLAYNRIRSIV SSSLGRIYVRYNSNSQNKWLNRQLRDPYTKEAKVQNLRSRAAFKLMQIDDKYRLFNKN KSDQRILDLGYAPGAWSQVARQRSSPDSMILGVDILPCEPPRGVNSIQANILAKRTHD LIRLFFSKHFQLNRHDELHKDHGYFQDMLEEELTHVKDTELYREIFTSDDNYDTPGTN STLKEREKFPVDVIISDMYEPWPQTTGFWNNITNQAYFRMANTTGVSIRDHYQSIDLC DAALITAIDLLRPLGSFVCKLYTGEEENLFKKRMEAVFNNVHKFKPDASRSESKETYY IGLKKKKNIDKLDVFSN SPAR_G01140 MSKISSSQVREHVKELLKYSNETKKRNFLETVELQVGLKNYDPQ RDKRFSGSLKLPNCPRPNMSICIFGDAFDVDRAKSCGVDAMSVDDLKKLNKNKKLIKK LSKKYNAFIASEVLIKQVPRLLGPQLSKAGKFPTPVSHNDDLYGKVTDVRSTIKFQLK KVLCLAVAVGNVEMEEDVLVNQILMSVNFFVSLLKKNWQNVGSLVVKSSMGPAFRLY SPAR_G01150 MEMSKNNTTDTEGFDDGDIRPISLGIVDDYNTAFELPLKPKSSQ SENFSDLTSEWEQSRSNTPGLPNSKTEKVPPCGTADTLRNRMRVEQLLESANEMNNYL AQNIENINNFQVGLLNGGKGLYNSMGDDSSARINGTSFSSTSNFELSDDELEDTTGYT SSIFDKDLFHQQNGLNIPRRRSPLFKSPTASFEIGDATDVEEQDVEGSIFSECSSITS FDIGGLHISPPHDEKEDQERTKLESQNPLLRGISIDVEVPHISVDEALANFKQTIEIL LKLSGSKKCTGFNTGVEKKEYSNFYMKSKPTLSSADFLKRIQNKCEYQPTVYLVATFL IDTLFLTRNESGNHVLQLKSKLQEKEVHRVIIAAVRLSTKLLEDFVHSHEYFSKVCGV SKRLLTKLEVSLLICLCNTELMVSNRKLAAAQLLLNELRSCCK SPAR_G01160 MVLYKRKPILLPDPKPLPLDLNVQVWHIEETGEWFPSYEEFLGR FDFYTRHHFTCEITGTSCLTFFQALDSEETQFKYVEDRFPLKLREPVARFLHFNGIRR LDALVEKVYARFKNDFFPGEVVYLRKQKDASTTSSNSQQSTPQPDDMAEINSVGNPGL PQYQYQRRYIIKEKVQFNATINPETKEIVMPAHTKYMLIEEAASSNKSFIVDQGQIYR DRSTFTKHLIKCFFKITLQRASSKMGAPWCVKPEYLAMYGLTMEWPKDMLKYKEDEPV VARRSNSASISSPENEKNKRQSKLSSKSITTNDASSKKESKKKRKQTEVNAAENNSSE EDKKKSQNATSENHSKKRKKEANEEPKTENVEAVPILANAEPPTVTITSIMDDLALPY QHPPNIFPSLTYYNEKLECISLGSTKISRPFDSFGKLLQAYQFLNTFGSKICLSHFSL DQFITSLKCTDPYELKGEVVLVNVRTQTSKEQRVEDGDLALKSKIENITDGDIENSSD WQRNLLIRDMIMKRNSSKVEYKIVHDDPASDDVLDNINHNGSALLIEVFTALLRLFIN EDGDWSCVVVEEWIIDNNGVLTEKKDEKAEQMKQEQNVGGFFLQDKEKVGNLKDTLKE DVIEIERESDSRDEVKSGSDSDSEALDPKLEKCLNYRNVNWIERLTKRQFNNSYWLII LLGVLQDGRHLPMYTEFIDSFIEKIIPKDISATQLPKQLWRNFCRKLSFSDKVNALWV LIDLVSHFSPDIKTAVDDSMELCGQIRSERFKVARELKTEAVVLSNLQGDLQAIQEKL TKTDENTSSADGIDKKYDAESDNKPIDPALIEKKQKLIEEQDKKVQALQSDKNFLDNC LFENDLQRLKPLGLDRYGNRYFWLDHNGVPCHQCPADTNETPKNNNGLNYQSGRLLIQ GPRASSAKFFLKVTDEQLSDWQKIRKSKGISEATKEVFGIFKTKSGSYNYVENGIETE LLDSSDRVNPLIELTPIQKKIMDETPSRLLLSPDQWYCIDKLEDLSRIMDWLDNWGRK EHDLLRQIRPIMERIKSSLSLRDHALSLTAFTKGEGKLLKELENNEFTENELNVDNMD IDDNINSKNSGVKSEADAQADAEERKEAVIDEKLEVIADELMKLDDSSKTRKVLNRIQ ELENQRDKLLEQKRSIINSQRPGARILARSERKRTKISRDNKVNKQVEILTDLVNYRH FKAMEDVIAWKNILANSIWGSSLRKNASGNKKSGAIETVDDKLKDIVGQTSRTVTPAP N SPAR_G01170 MPREENLKLSRRREAARNVNYNEMEVDTELVKKVQISEKSSARN KDSSNQTSRCSRSASNSVSRNEKFKYQKFLHDKNTCWNFIPTLPPSFRKNSRFSNVLD LDDAMIDLRKMSLFNTESVLLSANDTIYMISEPAGEPYYIGRVVNFVSKPEFSKTIHD AIKVTSVFPAKFFQVRMNWFYRPRDIQEHVNTFNPRLVYASLHQDICPISSYRGKCSI FHKDEIFDILPNEKESIIRPNIFYFDELFDRYTSKYYKVYSTDKILNRWNSKSPFLYV LNRRFRYIYAEPKYPLEKVFKKYVFHELEVNALRPSDYEWDKRCQFCKEWCIQKESLS CDECGVCAHLYCMDPPLDRKPNKDVAWTCFSCLQKQQGTEDSYLRFQEEQAAELDFIR SVRQKIEDVSNKAIKENVGYNTENCWFQYLGIYSISHIDDTLNESMFFPYPFKPSRVG MKYQWNGCTNNGPWRQNSYLHTDSEEERGSVKTSELAWVLDPSKITAQELNEYIGHCK REICPILKVRGETCNFIDVVLKNLMFTNYDTAEAFEKCKRELSRKSLNEPTFTAVEVR KFEEAVEKFGSELRPVCGHVGTQPMSMIVRFYYNWKKTERGFSVRGKLNKLSRNKRKM STDDDENDIETKYIDDSSFDTEKLSLTESSFQCMFCKTDYSPMWYKVTGGSDEEKIKI RMQTGVNEKTEITEKYPAHSQKNEKLGALCIRCARMWRRYAIRWVSPLDTLRKMTGTS QNSFYSAIEGIIEENNINKFTLSPFQAHNKLLEWELVQDSELIIRQRMKVYENPNSFV KMKRYSMTFHTQLYKMAVRSYRKNEFHPERMQRDLELFLEDKKEVKKVLLEEKPGRVE DTKNEFPVNIIRQSPDTIQKNDTNRNRRCNNVFIKNVSNDGITNTTHPPNDSITISMK TGSSSSGSLSVDKGFEFVKFDNKTFQRLRNSLKLVNNKLPKNMGPSTKKIKMINDIAL NSPLSDKNGATYCYPVISHSKDTYVALEKYHDHNLPSRVLEKDMISKHTKNKSKNPDL PRPKNTTRNFCCVCKDKFNDDDNYEIVCGNCGLTVHYFCYAVRLPKDMKKNTNLKKFK WLCDPCSNDLNPILSTTYQCSICPTKEYDYDRCKSQSVKICPDALKCTSLGTWAHLVC SLFNEDVKYGNGQAMQPAINTTFTLIKNNRFTCGVCRIHGGGLVKCEKCQYRYHTTCA QNSSNFKLMFEKRNISVDTTLPCIKDAKLNETYILRPVLICDRHDVNLEGNEFYPLSY KPQHTLSYMEQYCRYYKCKANCSLVELRYFEQLGALHGEVVRNPHYSAANPKIHVLPF ERICPYCGTNKSLYWYESNVCHSCNLRSGIQEPDFDNADAKITTGNGISVESTQKLME GIEPAMFDIDISEAISNKKLHQPSQ SPAR_G01180 MVLAMEGRLAPEIPGLIQPGNVTQDLKMMVCKLLNSPKPTKTFP GSQPVSFQHSDVEEKLLAHDYYVCEKTDGLRVLMFIVINPVTGEQGCFMIDRENNYYL VNGFRFPRLPQKKKEELLETLQDGTLLDGELVIQTNPMTKLQELRYLMFDCLAINGRC LTQSPTSSRLAHLGKEFFKPYFDLRAAYPNRCTTFPFKISMKHMDFSYQLVKVARSLD KLPHLSDGLIFTPVKAPYTAGGKDSLLLKWKPEQENTVDFKLILDIPMVEDPSLPKDD RNRWYYNYDVKPVFSLYVWQGGADVNSRLKHFDQPFDRKEFEILERTYRKFAELSVSD EEWQDLKNLEQPLNGRIVECAKNQETGAWEMLRFRDDKLNGNHTSVVQKVLESINDSV SLEDLEEIVGEIKRRWDERRANMTGNSGRPLPSQSQNATLSASKPVHSQPPNNNNEPK YVDEDDWSD SPAR_G01190 MLRTSSSKFVGQRLFTTARSLQAAKPAPKGKTQGFSKKSSSVSS YSSAKRITPGSLYKNWTDTTHTAQLQQTAVPLALPIFNFENISKTLNKVVSYSNKQYK SLHHLGSFKKSQFNELFQKPVCLVREDATNRFLKKLVSHPVKKFIITGEPGVGKSVLL SQVHAYAVDSKQIIINVSYPELFLNGRNDFSYDDDLKLYIQPMFLKKLIRKILKANDP VLLKSIELSQDYKFSNANPKNASIKQFVTLNKKKNTVLDLLSVMTHSHNRGKLMKAII EELSIQSKVPIMFTVDNFSKIITTAYSAYRDTQNKQIYSLDLQMGKLMMDIVSGETKF ANSDSSTILAISGVDRTNKTLPVALGKIPVDPYVTRYHYEPKFVELLQKGNITEFEVP KLNKQEVNEMIEYYKKSNILLDKDITEKKWDNLIDEKYFLSGNGNPRELLKSLVLSHR SPAR_G01200 MPGHELEDVINQRLNLYDVLELPTPLDAHTIYDDLPQIKRKYRS LALKYHPDKHPNDPSIIHKFHLLSTATSILTNVDVRPHYDRWLIDFLRKTNDVERNRL IQKLEKSESGTATTSSPHVDVSQIQRHGELLRKLKHFNLPYGDWKHLDRQDQEDVSHH SYYDCSTLRIVLDNFPQSNNKSSCFSHLRNYVFITLSPNEIYDIYFSERNNYSKNDTI ITYTVFDTPITAQHIFRSWSGGNLTPTVHDISPLIPLHYYSDFNLETELNDDIARLVS NEPILLD SPAR_G01210 MSNTSGNAPATPPSDQNPLPTRFEVELEFVQSLANIQYVTYLLT QQQIWKSPNFKNYLKYLEYWCNPPYSQCIVYPNCLFILKLLNGFMESAIVNEDGLLEG LDELPKIIQLQGPQWMNEMVERWAN SPAR_G01220 MPSKLFNAIHLLVCPLTVLVGYLINAYGYGAALQATLNKDGLVN AMFVKKGWFWTSLVGWWCIIRYRAVPGATGRDRRHIVQSFKRYAILTVWWYIFTQGIW FGVGPIMDLVFVYTGGHCHYDVFDDAGHVNENFQGSGTRTQRALALIHNVLTLHGTDQ EHHQQQLWDRSMESIQGALQATGPKNAENVTASAAAGINAFIHDQMHRWQGPLTTSAQ CRRFGGHWAGGHDPSGHVFLATLMCMFLLGELRVFGRRALAHLYAQKWQLVRLVTRLF DTGPLWTWRRCGGNSMSCGARLWRALVEPPAACAAALLRLTRCIACDHPVVILLILLV TWLWQLLLTAVASRFHTVREHMSGLLAAYIVTGVVYARDAAALRSL SPAR_G01230 MKITEKLEQHRQTSGKPTYSFEYFVPKTTQGVQNLYDRMDRMYE ASLPQFIDITWNAGGGRLSHLSTDLVATAQSVLGLETCMHLTCTNMPVSMIDEALENA YHSGCQNILALRGDPPRDAENWTPVEGGFQYAKDLIKYIKSKYGDHFAIGVAGYPECH PELPTKDVKLDLEYLKQKIDAGGDFIITQMFYDVDNFINWCSQVRAAGMDVPIIPGIM PITTYAAFLRRAQWGQISIPQQFLSQLDPIKDDDELVRDIGTNLIVQMCQKLLNSGYI SHLHIYTMNLEKAPLMILERLNILPTESEFNAHPLAVLPWRKSLNPKRKNEEVRPIFW KRRPYSYVARTSQWAVDEFPNGRFGDSSSPAFGDLDLCGSDLIRQSANKCLELWSTPT SINDIAFLVINYLNGNLKCLPWSDIPINDEINAIKTHLIELNKHSIITINSQPQVNGI RSNDKIHGWGPKDGYVYQKQYLEFMLPKTKLPKLIDTLKNNEFLTYFAIDSQGDLLSN HPDNSKSNAVTWGIFPGREILQPTIVEKISFLAWKEEFYHILNEWKLNMNKYDKPHSA HFIQSLIDDYCLVNIVDNDYISPDDQIHSILLSL SPAR_G01240 MNLNESYLDAEVPNSKLKHSKSGNFECIPVVATTSEPTTSVNLD ETFFKKAPLATPISNDHSVSKSTSINSLNTAYVASRRSPLQAKKLQVKNNLLSADLAK SNDDIMPTLNSSKKDPGPYLDCENDIRSRLAESIYSMETSLRGSELQRRPYVSNEIPN VFKFSMFNSNSESSENQAPCDKNFLIFTSAGKPIYCMHGKDEQIMSYTGLINTVISYF QVNGPSELKTISTLTSGKRLTFLDKSPILLMAQSERGESSNELLNQLDFLYSYILSSL SERQLLRLFSKRENFDLRNYLETTDFENLNEICSLICNRMFPDLLLNSLQCLPFHHSS RLKLQNVILQQLEKRQDIPRGTLLYGLIIAPQNKLCCVLRPRGHTLHTTDLHLLFCLI SHQFQNLDETQELWVPICFPKFNSSGFLYCYIKFLPNDTYSNEKSALVLISAQKDAFF SLKSFSDELIIKLEKERLLKKINASKRFKLSDIPAPMVHHFIYKSKQNVQYVMPHFEV NSNIALDSSQGLEYELKLKTYYQQLHGTVVRDNGNLLSRSMLNFVRWSSKDNEDLKMN ETRMDFSELDEYIIGNSSFEQESVNMLGMAWVTPTFELYLIGNNGVVDKRVLFKSARK VANWCQKHESRLFISDGAVF SPAR_G01250 MSAPEAQQQKRGGFGGRNRGRPNRRGPRNTEEKGWVPVTKLGRL VKAGKITTIEEIFLHSLPVKEFQIIDTLLPGLQDEVMNIKPVQKQTRAGQRTRFKAVV VVGDSNGHVGLGIKTAKEVAGAIRAGIIIAKLSVIPIRRGYWGTNLGQPHSLATKTTG KCGSVTVRLIPAPRGSGIVASPAVKKLLQLAGVEDVYTQSNGKTRTLENTLKAAFVAI GNTYGFLTPNLWAEQPLPVSPLDIYSDEASAQKKRF SPAR_G01260 MSQEQYTESLKVIVAEKLAGIPNFNEDIKYVAEYIVLLIVNGGT VESVVDELATLFDSVSRDTLANVVQTAFFALEALQQGESAENIVSKIRMMNAQSLGQS DIAQQQQQQQLQQQQQQQQQPQLQPEQQPQQPPPQQATQNSMQIDTHAAPSPISAFSG IVNAAAPPQFAPVDNSQRFTQRGGGAVGKNRRGGRGGNRGGRNGNSTRFNPLAKALGM AGESNMNFVPTKKEGRCRLFPHCPLGRSCPHAHPTKVCNEYPNCPKPPGTCEFLHPNE DEELMKEMERTREEFQKRKADLLAARRKPVQTGIVLCKFGALCSNPSCPFGHPTPANE DAKVIDLMWCDKNLTCDNPECRKAHSSLSKIKEVKPISQKKAAPPPVEKSLEQCKFGT HCTNKRCKYRHARSHIMCREGANCTRIDCLFGHPINEDCRFGVDCKNIYCLFRHPPGR VLPEKKTAAPNANGPTNERPFALPENANIENAPSQASFPPQEQDTEMN SPAR_G01270 MFYLSDIEEEASAGAEPTYNFWEVLLFSNTQENLVTVVGELHTL TERVVRYKIEPESREVTATTLPSLLALLLEKRNQARRLYRDVLSMKMSELDWDINDLF TQLQEELTRTDDTLSMYPRRRYFH SPAR_G01280 MGSKRRFSSEHPDPVETSIPEQAAEIAEELSKQHPLPSEEPLVH HDAGEFKGLERHHTTAEQAQKLEDGKVNPFTGREFTPKYVDILKIRRELPVHAQRDEF LKLYQNNQIMVFVGETGSGKTTQIPQFVLFDEMPHLENTQVACTQPRRVAAMSVAQRV AEEMDVKLGEEVGYSIRFENKTSNKTILKYMTDGMLLREAMEDHDLSRYSCIILDEAH ERTLATDILMGLLKQVVRRRPDLKIIIMSATLDAEKFQRYFNDAPLLAVPGRTYPVEL YYTPEFQRDYLDSAIRTVLQIHATEEAGDILLFLTGEDEIEDAVRKISLEGDQLVREE GCGPLSVYPLYGSLPPHQQQRIFEPAPESHNGRPGRKVVISTNIAETSLTIDGIVYVV DPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEEAFQKELI EQSYPEILRSNLSSTVLELKKLGIDDLVHFDFMDPPAPETMMRALEELNYLACLDDEG NLTPLGRLASQFPLDPMLAVMLIGSFEFQCSQEILTIVAMLSVPNVFIRPTKDKKRAD DAKNIFAHPDGDHITLLNVYHGFKSDEAYEYGIHKWCRDHYLNYRSLSAADNIRSQLE RLMNRYNLELNTTDYESPKYFDNIRKALASGFFMQVAKKRSGAKGYITVKDNQDVLIH PSTVLGHDAEWVIYNEFVLTSKNYIRTVTSVRPEWLIEIAPAYYDLNNFQKGDVKLSL ERIKEKVDRLNELKQDKTKKKSKHSKK SPAR_G01290 MATKIVKLSNFSRLCCSSRIGGIIHEERISSVSSISMSKKYTTK SARESEDDVERKRGDEKKESLKSSSVPTSRISRLFHYGSLAAGVGMNAAAKGISEVAK GNSPTWKSLILSDSNIDRITNKFSKMRGVALKIGQLLSFQDEKVLPKELYEILSRVQN SANHMPQRQLEKVMTKELGADWKTKFSNFDKVPMAAASIGQVHAAELPNGQRVVVKIQ YPGVKESIDSDLNSLLMLLTASSLLPKGLFLDKTIANARTELKWECDYNREARALQKF EKLLKDDPAFEVPHVFPEYTTDNIITMTRMEGTEIMKLPRASQETKNFISENIMRLCL EEIATFKYMQTDPNWANFLYNGKTKKIELLDFGASRPFAEDFILKYRKLLTYATLKDR KGAYEMSVQLGYLTGLESQSMKDAHVDSVLTLGEPFRGDFDKPFDFKDQTVSDRIRGN IGLMLNERLCPPPEETYSLHRKFSGIFLLCARMGASVHCARLFKEIFAYEV SPAR_G01300 MQPISIKDLESDQGKVHVVYTLKNLVCKSLLEFVDIQIESFMYP DDPKRFTRIFKGNKILSEATDKDSKVKSYPPSLGIGHSALFPLIYIRQKTNSLRSLSE SQGVPTQLICDMNEKFKNINDLYESLIELYNSYQAVDFNNINQQKLLGDLVARSDFML EILHRYIAIASSIAGESEDANNLVDTVNRFIDDTILFHKRIINNSNAYTEYRLMKHSL KRNQSEETLVELEFRSLDVSDVHLDDEFDDFLQHRKAALKISHRRVI SPAR_G01310 MPESSRDKGNAAISGNRSVLSIASPTKLNILSSDWSRNQGKVSK NSLKRSSSLNIRNSKRPSLQASANSIYSRPKITIGAPPLIRRDSSFFKDEFDAKKDKP TFSTYSSRSYSTIGSDSVASQTSLSQPATSREADEQFTVAADRYIPILQGASQNKVDP ETLHEALPPPNASPISHLRAQTKIVFKQNVAEACGLDMNKRILQYMPEPPKCSSSRQK SYIMKKRTHYSYQQEQKIPDLIKLRKINTNPERILDAPGFQDDFYLNLLSWSKKNVLA IALDTALYLWNATTGDVSLLTDFENTTICSVTWSDDDCHISIGKEDGNTEIWDVETMS LIRTMRSGLGVRIGSLSWLDTLIATGSRSGEIQINDVRIKQHIVSTWAEHTGEVCGLS YKSDGLQLASGGNDNTVMIWDTRTSLPQFSKKTHSAAVKALSWCPYSPNILASGGGQT DKHIHFWNSITGARVGSINTGSQVSSLHWGQSHTSTSGGMMNKEIVATGGNPENAISV YNYETKFKVAEVVHAHEARICCSQLSPDGTTLATVGGDENLKFYKIFDPKCTGRPRED AGLMDGMLGIIGKEGCGTNDKENRSKNSNEIHTRRPSSTSQYLIR SPAR_G01320 MKPAQDSQEKVSIEQQLAVESIRKFLNSKTSYDVLPVSYRLIVL DTSLLVKKSLNVLLQNSIVSAPLWDSKTSRFAGLLTTRDFINVIQYYFSNPDKFELVD KLQLDGLKDIERALGVDQLDTASIHPSRPLFEACLKMLESRSGRIPLIDQDEETHREI VVSVLTQYRILKFVALNCRETHFLKIPIGDLKIITQESMKSCQMTTPVIDVIQMLTQG RVSSVPIIDENGYLINVYEAYDVLGLIKGGIYNDLSLSVGEALMRRSDDFEGVYTCTK NDKLSTIMDNIRKARVHRFFVVDDVGRLVGVLTLSDILKYILLGSN SPAR_G01330 MPQSTPSQEVQRVPWDNKPALKQITLRATIAGIAIGSLVLTSNF QFGLQTGWVSMMSLPSALLACAFFKNIWPLIFPNDRPFSDVENVYVQSMAVAVGTGPL AFGFVGVIPAIEKFLTSQESGGLREQGQYFTFRELLIWSTALAFFGIFFAVPLRKQVI VREKLPFPSGSATATLISVLNGTEILQEVSKSELLEMRQRRLNECPEVLQPNRDPEEA DYLMNSSHSDLGDYTATSQDGSSVLSTGSENYRANIIILFKTFIVSSLYTMVSYFIPV IRSIPVFGKYLSNNYLWNFQPSPAYIGQGIIMGLPTVSYMLIGCFLGWAVLAPLAKYK GWVPADADVHDWEKGVQGWILWSSLSIMVADSVVAFIVVTVKSIVKFILIDDKAALLN NIIDDTFQSMLLEEERAINNSRRNTYVDGRQDTVRLVSRDNEIEVDSKHLVRYTTVIS GCLVSSIICIVSIIYLFGIEVIPLYAIITALILALFLSILGIRALGETDLNPVSGIGK ISQLIFAFIIPRDRPGSVLMNVVSGGIAEASAQQAGDLMQDLKTGHLLGASPRAQFCA QLIGACWSIILSSFMYLCYNKVYTIPSEQFRIPTAVVWIDCARLVTGKGLPDKALECS MILGVIFAVLSLIRNTYRDYGYGWILYIPSGVAVGVGIFNSPSFTIARFIGGWASHFW LKNHRGDLNAKTKMIVFSSGLVLGEGIFSVINMVFTCLNVPHY SPAR_G01340 METWEVIASVKEATKGLDLSLDRPLIIKSEDVPSHILQLLQQKS RSQLKHICMKSEKEYFLLEEYGPGFWVKWPYNYFNEYSLPERHTEVVTSLQREKAKRE TSKTWDELKFKELLYLWSEEPKGSYKLEKNNDLKLDMKPPDMKGESRVNDDYSDPKEY IESKYYDALFSIHTPLAYFVKSNLVRLKNTCRTKYGSHSYKIAYQAILQKYLLSIAQF KDRHDSRLLLESFSSPIADEKRKNCFTKFVIEDENKTGSTISDLCVVLKSREIKLQIL LLLEMIGLNDLDWNFRDFEKKYKSKLKKRSLNLTKKGLVRRRSKKKTSEKGKEIGRIT TSLDYCEQLDLYLDRACILDILLSSETPNPDAIEASNGTILEHKKNILDKSKEASLVG FINYVLIPYFNKKVPHAVEFIIQKLKGPSMRPKRALKKVNNSPNVSSPKTVDIYNRLP TTQRSSRSSIINSVPSSPALRRMEANLFSRKSIASPTPELLNSRTNSNLNEFLESETR GLRHPSQLGRTKSDLTMNHLQKRQFSVSDLSTTRVPNSSTIAPKTPFSYTAVNAHRTM NNSFRRVGKRKDINETVHFNEHINPEENVQVQATPAAKKRTATPNKKAQLQSIIESPL NVKDDDTHEGRGNAQKDTSHFTSTSTNTPSESTSKRRVRRRLFAPEAT SPAR_G01350 MSTQQQSYTIWSPQDTVKDVAESLGLENINDDVLKALAMDVEYR ILEIIEQAVKFKRHSKRDVLTTDDVSKALRVLNVEPLYGYYDGSEVNKAVSFSKVNTT GGQSVYYLDEEEVDFDRLINEPLPQVPRLPTFTTHWLAVEGVQPAIIQNPNLNDIRVS QPPFIRGAIVTALNDNSLQTPVTSTAASASVTDTGASQHLSNVKPGQNTEVKPLVKHV LSKELQIYFNKVISTLTAKSQGDEGAQHMKQAALTSLRTDSGLHQLVPYFIQFIAEQI TQNLSDLQLLTTILEMIYSLLSNSSIFLDPYIHSLMPSILTLLLAKKLGGSPKDDSPQ EIHEFLERTNALRDFAASLLDYVLKKFPQAYKSLKPRVTRTLLKTFLDINRVFGTYYG CLKGVSVLEGESIRFFLGNLNNWARLVFNESGITLENIEEHLNDEANPTRTKFTKEET QILVDTVISALLVLKKDLPDLYEGKGEKVTGEDKEKLVERCGVTIGFHILKRDDAKEL ISAIFFGE SPAR_G01360 MRLLVSCVDSGSIKEVLCNIGTDTSVQTAPQPFHVAPHLAEGLK AHVDRMWMVSKDEIILARNSGVVELVKISKHAKENETLQVDPKEESKNENDLSDALPI FDISEFEIASSVSNLLDDTKLESLSGKSVKRTKLVDGFVTLCPIKKDPSNNIFVAGTR SGLVHVIEKGKDEKLTKVASLEVKAPVEFFQLYDTEDTENDKIIFAYGGEENLVKLVE IEPDFKSLKQIWEAKNVKNDRLDMRVPVWPMALKFLEPSPNETDKDKLNYQFAAITRW SHLIKYSTQHGKKPFAQIDLLPNREPLSQMEVFDTNGKNVTSSFGNFQSGSFDELKLI TADYKKNVFKFDGNGKMLGKVGRDDITGSSTYIHVHDGKYLLQGGLDRYVRIFDIKTK KMLVKTYVGSRINFIIMLDDAEIEMPLSPSAKAAKEKQKRKATELEDDAEELWNKLEG KATASKASKKSKI SPAR_G01370 MLSRYNRVIEIDGGNAEISLPIVKFPPFKLRAQLIEKDPVVWLH LLETYVTYFEYLMQGVNVELLDDSTLDHLRLFLRTYLHEIADEEGKLLSLGINHDVSE QLYLLKGWVFSLIKKCGLLHLQIFGDSLWNLVKIYVKRNPDSIRGLIDGSLKPRINTQ RVQLDKTYQVQQHLKQLIESGKFKRIDLRCVEDLLAAKSMLPNKFADNFFTANWIEIL EAIWAKGQGRAHKDARELIIISLFSVSADHILKITKELGISNFETLALYPLLGTMLVN EGIHEKLPDLKSKLLFLNLGASSMGDEDYMSYPTSASTEVDEEQLSSLMELFPQFSKY QLSQTLLAYDNNIEIVTNKIFEDPTIIEAFPKEPEEEEVEPVSGGNNTSFADELSLLN RGPTSKNKNLDKKIISEGVPDEVRNKTLTRALKLLYEADEDERDDTYDEADVSRSDPS KRIGLQDEEENDDANDDTKEVRQGYNYNAIEAYLWNLLKEDPTLFERSRRGTKVRKTM KERTSWSDEKIEGWSRMLERSPTRARLLEKKFVFKGNSKTGKTSYVHNRDSKNDENVV KEEAKQNAPGNIKKHEPQSAEQKKRQHAKNEKKKGAKADHNRKKGHDKKLARAGNNAV SPAR_G01380 MGLCGSKTQSMPSQTTTVAAKSRTKPVNHDTIKSKQELRQKEKK DKKKKKERLKSTTAQVVQKKEGSKLTDTSDPSKDEVSPKEAARLAAEKRFQETNEKYN KGELGKKLAQERAKSHKTRLMEEAEKKHAERERENMLYD SPAR_G01390 MMLRRNAMRPLKSIQTSVSNVVKSGSIAMLRRRLANVVLSERTY HSGSMLHKNLPSVGLDKKNGRGKHKAEHSVSNVDPASPWRHELLSFDECVSSALKYST TPLKNTYKRIGNNQFNKHPSFSMFWDSMGRAMELYYSLRESPDFNAFRVSRLIHLLHN GLRSTRDQLVKLSRKPDYDSQSFHKEMMNFLCNSLKDISDDVLTGIVSVNGYGATHLL TSFKELSFDDDCIRIWEAAKNLSDETTSQAFQEPKVVGFMLPLLYAKTHSLIEPNELY NQVIQSKEFIHPNLYSGLIKVFIKAEDYEKALSLFGQLCEKAEVRNYGYLIETHLSFI SDSKNLTLAESFFDKIINDEMPYKIILQVSTVNSFLRNIWKAESDFDYVYRIWEKAVK FYGNTVNPGILSSLNNTFFTIFFENFINDNINGFHKLQEIITFYSSVKKIDEPFFNVM LTRASIWRERSIIDFIDKNYTLYHIPRTIISYRILLKSLGSIDNTNNQEILNRWLELV KKLNELGQQYIANADLSALRDATVVWSQLKKDEKNFSAKAKETPTTATTTKDDIKAPK PLEGLKNDDFTSKSEDRIELYLKILKRYTPYFRASRQVYRYTTGCAESYPILNEYLSG YSDLSAEDIPVPELHSFVPKEQQN SPAR_G01400 MSATRANKDIFTLFDKKGQGAIAKDSLGDYLRAIGYNPTNQLVQ DILNADSSLRDSSTLTLDQITGLIEVNEKELDATTRAKTEDFVKAFQVFDKESTGKVS VGDLRYMLTGLGEKLTDAEVDELLKGVEVDGNGEIDYKKFIEDVLRQ SPAR_G01410 MSDLVTKFESLIISKYPVSFTKEQSAQAAQWESVLKSGQIQPHL DQLNLVLRDNTFIVSTLYPTSTDVHVFEVALPLIKDLVASSKDVKSTYTKYRHILRWI DYMQNLLEVSSTDKLEINHDLDLPHEVVEKKKKAPAGGAADAAAKADDDVSKKAKKQD HPRGKPDEETLKKLREEAKAKKAAKKAANAKQQQEQQNKAPEKPKPSAIDFRVGFIQK AIKHPDADSLYVSTIDVGDEEGPRTVCSGLVKHFPLDAMQERYVVVVCNLKPVNMRGI KSTAMVLCGSNDDKIEFVEPPKGSKAGDKVFFEGFGDEAPMKQLNPKKKIWEQLQPHF TTDDGLEVIFKDEEEKDHPVRKLTNAKGESFKVASISNAQVR SPAR_G01420 MNRILSSASLLSNVSIPRQNKHKITKALFYAIIVASIGSIQFGY HLSELNAPQQVLSCSEFDIPMEGYPYDRTWLGKRGYKQCIPLNDEQIGIVTSVFCIGG ILGSYFATSLANIYGRKLSSLINCTLNIIGSLIIFNSNSYRGLIVGRILVGISCGSLI VIIPLFIKEVAPSGWEGLLGSMTQICIRLGVLLTQGVALPLTDSYRWRWILFGSFLIA VLNLFMWFIVDESPKWLLAHGRVIDAKLSLYKLRGGTFDEAAQEIQEWQLQIESGDPL IEPTTTNSINGSNSLWKYLRDGTNIKPRHVITVLLFGQQFCGINSIVLYGTKIISQLY PQHAIKINFFISMVNVLVTVLVSLLIHGLPRKPLLMASTVLVSITAFVMGMAMNHNKM NLLIVFSFIYMGVFTMGLNPLPFIIMREISKPEDMVLAQRYGTICNWIGTFIIAYTFP IIHDVLSGYVFIIFAIIACSISVFIWKRVPETKRRVAGYNEIWANY SPAR_G01430 MPSRFTKTRKHRGHVSAGKGRVGKHRKHPGGRGMAGGQHHHRIN MDKYHPGYFGKVGMRYFHKQQAHFWKPVLNLDKLWTLIPEDKRDQYLKSASKETAPVI DTLAAGYGKILGKGRIPNVPVIVKARFVSKLAEEKIRAAGGVVELIA SPAR_G01440 MCAVNVWKPEDNIPAEILAILSKPHPNYQLAFLNIIQLLKTQRR TGWVDHGIDPCESISDHMYRMGLTTMLITDKNIDRNKCIRIALVHDFAESLVGDITPN DPMTKEEKHRREFETVKYLCETIIKPCSESTSREILDDWLAYEKQTCLEGRYVKDIDK YEMLVQCFEYEQKYNGKKDLEQFWSAINDIKTDEVKKWTQRLLEDRKAFFDNLKE SPAR_G01450 MQPFDSGHDDLVHDVVYDFYGRHVATCSSDQHIKVFKLDKDTSN WELSDSWRAHDSSIVAIDWASPEYGRIIASASYDKTVKLWEEDPDQEECSGRRWSKLC TLNDSKGSLYSVKFAPAHLGLKLACLGNDGILRLYDALEPSDLRSWTLTSEMKVLSIP PANHLQSDFCLSWCPSRFSPEKLAVSALEQAIIYQRGKDGKLHIAARLSGHKSLIRSI SWAPSIGRWYQLIATGCKDGKIRIFKITEKLSPLVSEESLTNSNIFDNSADVDMDAQD KSDPNTEEKSELQSNLKVELLSEHDDHNGEVWSVSWNLTGTILSSAGDDGKVRLWKAT YSNEFKCMSVITAQQ SPAR_G01460 MPPKEAPKKWKAPKGPKPNHRKNKNKLELGRAIKYARQKENAIE YLPDGEMRFTTDKHEAGWVKLRSVTQESALDEFLSTAALADKDFTADRHSNVKIIRMD SGNDSATSQGFSMTNEQRGNLNAKQRALAKDLIVPRRPAWDEGMSKFQLDRQEKEAFL EWRRKLAHLQESNEDLLLTPFERNIEVWKQLWRVVERSDLVVQIVDARNPLLFRSVDL ERYVKESDNRKANLLLVNKADLLTKKQRIAWAKYFISKNISFTFYSALRANQLLEKQK EMGDDYREQEVEDPDEDAGEEESDADEEVLEKVKILSIDQLEELFLSKAPNEPLLPPL PGQSPLINIGLVGYPNVGKSSTINSLVGAKKVSVSSTPGKTKHFQTIKLSDSVMLCDC PGLVFPNFAYNKGELVCNGVLPIDQLRDYIGPAGLVAERIPKYYIEAIYGIHIQTKSR EEGGNGDIPTAQELLVAYARARGYMTQGYGSADEPRASRYILKDYVNGKLLYVNPPPH LEDDTPYTREECEAFNKDLYVFNRLPETRREQVQNAAKAKGIDIVDLARDLNQLTFSA HTGGDTQKEAKSVTHGGKQAALYNAAEDLDRDFFKMNNVEGRLSTPFHKVQNSSSGKR HNKKNKSKNAKSKVFSIENN SPAR_G01470 MTEVSNDPFLAYVLNSKQLTNLDRLRKKAVTKQLEFSADNKNPE EFLRYQHMYQREAFEYLQTKHDSHKIMESQYELYQRSSKTRRYSIDLDSVGTADTEPQ TGNSNEDFLDRNEDNEAVMELRKRLLGKPQNKGLGHEPTKSVDRQIEDQDTLQQDLIQ DMSKLVGSLKQGAVAFQSALDEDKQVLGAAEIGIQVASQGLMDVSGKLRKYDKSKLSY LFYITVFIFMILGLVFTFIIIQLFPAL SPAR_G01480 MVKRTSATNGDASDAHRAKKMSKTHASRIINTQEDYKHMYLSVQ PLDIFCWGTGSMCELGLGPLAKNKEVKRPRLNPFLPRDEAKIISFAVGGMHTLALDEE SNVWSWGCNDVGALGRDTSNAKEQLKDMDADDSSDDEDGDLNELESTPAKIPRESFPP LAEGHKVVQLAATDNMSCALFSNGEVYAWGTFRCNEGILGFYQDKIKIQNTPWKVPAF SKYNIVQLAPGKDHILFLDEEGMVFAWGNGQQNQLGRKVMERFRLKTLDPRPFGLRHV KYIASGENHCFALTKDNKLVSWGLNQFGQCGVSEDVEDGALVTKPKRLALPENVVVRS IAAGEHHSLILSQDGDLYSCGRLDMFEVGISKGDLPEYTYKDVHGKARAVPLPTKLDN VPKFKSVAAGSHHSIAVAQNGIAYSWGFGETYAVGLGPSEDDTEVPTRIKNTATQDHN IILVGCGGQFSVSGGVRLSDEEVEKRADEMDD SPAR_G01490 MGTSIVNLNQKIELPPIQVLFESLNRENEPKPHFEECRLYQPNP SFVPRTNIAVGSPVNPVPVSSPVFFIGPSPARGVQNTNAMMSQNIRQYPVVYNNNREV ISTGERNYVITVGGPPVSSSQPEYEHLSTSNYYQDQRVPQPYAVNATTMVGRYANPQP ISISRGKMLSGNININTVHGSSKEYSAREKKHKAHGKRSNLPKATVSILNKWLHEHVN NPYPTVQEKRELLAKTGLTKLQISNWFINARRRKIFSGQNDANNYRRKFSSSANLTKL SPAR_G01500 MNLFDVADYYINKIVTSRSKLSVANVNEHQRIKVLLLDKNTTPT ISLCATQSDLLKHEIYLVEKIENEQREVSRHLRCLVYVKPTEETLQYLLRELRNPRYG EYQIFFSNIVSKSQLERLAESDDLEAVTKVEEIFQDFFILNQDLFSLDLQPREFLSNK LVWSETGLTKCTNSLVSVLLSLKIKPEIRFEGASKICEKLAKEVSYEIGKNERTFFDF PVMDSMPVLLILDRKTDPITPLLQPWTYQSMINEYIGIKRNIVDLSNVPKIDKDLEKV TLSSKQDAFFRDTMYLNFGELGDKVKQYVTTYKDKTQTNSQINSIEDIKNFIEKYPEF RKLSGNVAKHMAIVGELDRQLKIKNIWEVSEIEQNLSAHDANEEDFSDLIKLIQNETV DNYYKLKLACIYSLNNQTSSDKIRQLIDVLTQQLSPEDVNFLHKFKSLFNRQDKRTQS KNDKDDILTELARRFNSRMNSKSNTAENVYMQHIPEISSLLTELSKNALSKDRFKEID TQSHGVTRTQQSKDIPQDVILFVIGGVTYEEARLVHEFNGTMNNRMRVVLGGTSILST KEYMDSIRAAK SPAR_G01510 MNNWQHFFNNPVDLSEHLKKPYFRFDNRDKEVTAISFDEKANLI WSGDSYGCISSYDPTFQLYTRYRGHIGGNSVKDILSHRDGILSISEDSLHFANRRGVT KLNLTSIDIAAFSELNTMCYSPHSLKNNIYCGGDNTNWGIASIDLNKGCLDSLLNYSS KVKLLCSNNKVLSIGRQTGTVDLLDPTSNRTIKSFNAHSASISAMDLRDNTLVTVGKS KRFYNLYADPFVNVYDLRTMRQLPPVSFSKGTTMGSGGADFVQLHPLLPTVMIVASSS GSFDFIDLSNPTLRTQYVHPCQSIKKLSLSPNGDVLGILEADNHLDTWRRSSNNMGMF TNTPEMLAYPDYFNDITSDAPISVDDETYPLSSVGMPYYLDKLLSAWPHVVFKSEGTI PQLTGKAPLPSSGKLKSNTAVISSQNEKLSTQEFPLLRYDRTKYGMRNAVPDYVCLTD LRKQITTGLETSDIQTYTAINKYEVPPAYSRLPLTSGRFGTDNFDFKPFNNTEYSGLD PDVDNHYTNAIIQLYRFIPEMFNFVVGCLKDENFETTLLTDLGYLFDMMERSHGKICS SSNFQASLKSLTDQRQLHNDAPQEHLEEYLESLCIGESIEDFNSSESIKRNMPQKFNR FLLSQLIKEEAQTVNHNITLNQCFGLETEIRTECSCDHYDTTVKLLPSLSISGINKTV IKQLNKKSNGQNILPYIEYAMKSVTQRNSICPTCGKTEIITQECTVKNLPSVLSLELS LLDAELSNIRSSKNWLTSEFYGSIIKNKAVLRSTASELKGTSHIFKYELNGYVAKITD NNNETRLVTYIRKYNPKENGFKWLMFNDYLVVEISEEEALKMSYPWKTPEIIIYCDAE ELRKPFFSVDTYSINYDILFRDYFANGIRNTARREYKLLTHDEAPKSGTLVAIDAEFV SLQSELCEIDHQGIRSIIRPKRTALARISIIRGEEGELYGVPFVDDYVVNTNHIEDYL TRYSGILPGDLDPEKSTKRLVKRNVVYRKVWLLMQLGCVFVGHGLNNDFKHININVPR NQIRDTAIYFLQGKRYLSLRYLAYVLLGMNIQEGNHDSIEDAHTALILYRKYLDLKQK TIFDKVLNSVYEEGRAHNFKVPETVKG SPAR_G01520 MNLDERGRNGGKEKDIGPGKGILKQNQNPQTTSSFLENSGVRIP TRIITKKDILDGSNTTSRINTSNLQNKVKRRVSFAPDVTLHSFTFVPEQNNEIKEPRR RKTLTNSPTKRSSQEEPLVTSTQIDDVRTKEKTVAEDDSDASGMELTEPIVAMPDSNK APQHDPVSMEMTEVFPRSVRQEGPDVGGENTESSQQISDAEAVREETMELTAIHNVHH YDSITENMVEGEPIDLTEYESRPYVPNSVIHSSIRSSDHNVEKRNDKGDVLNPVNKMT SSQPMEITEVFHADLHNPVGVQGEINISDDGNEMELTQIQTNFDRDNYRRDEPSNEKD VLSPNKRRKLDTDLDYATPVTTPVKGVRDTSVENDDGDLEMMEKMSPITFSDVDNKVG TRSNHASTIETGIGDTSVGIATDNEEHTGDGDDDGNKMVETIAFPEVGKKGQSTIALP TRDYTLREFINEVGVGFLDTKLIDHLDKKVDFPLNSFNLVENQRIDNIFSSYYIDIPI LEVEAFRCKELWRSINESKNKFKDFEAQIDQSHPPLLLQEYFSSNEKMKQLMRDQLQL VKGYSKLEAAMEWYEWRKKQLNGLELILAENLTILKGEYEKLNEEVEKVNSIRGKIRK LNTAIKEEIKSLKDSPSDSYKPTLMNTIKIEAFKQELMKHSISLSSSNDFTQEMHSIK LAIAEKSNDLLTLRNEIASIDKKIEKRKLFTRFDLPKLRDTLKILESLTGVRFLKFSK ATLSIEFLQLDDLRVDIDLATFKNNPLKSMKVTNDGNKDHVSYHLFIMLLRNVDAEHQ ENLLSNLFLAMKKWRPLLKYIKLLKLLFPVNIIQTEGREAILQFKDYDRRNKTAVFYG ISFVSFAQGVFSESGQIPMKVHITTHQDYSPSREVLSDRIIHKISGILPSFTKSRIHL EFI SPAR_G01530 MFNKSVNSGFTFGNQNTSTPTSTPAQPSSSLQFPQKSTGLFGNV NANANTSTPSPSGGLFNVNSNANTINQQPANNLLLGNKPAQPPGGLFGGANNSTSKST GSLFGNNSSTTNSTGSTGLFGNNSTGPAVTNGGLFGNGNNNNITSATQNGGLFGKPTT TPAGTGSLFGNSSSTNSTTGLFGSNNTQGSTSLFGQKPGASMTGGLPGNNGVPFARSG ETAGSMSTNPYGINISNVPMSVVDMPKSITSSLTDGNGKSHVASKPIENKRTYSFSST IPGNSPLPRVSQSSLVTRLSTRLKATQKSTPSNEIFSPSYTKPWLNGASSAPLMKDFS ASKITPLGLNENGNFPTNGFTFLSSQKADLSELRKLKIDSNRSAAKKLKLLSGGPAIT KTHMQDEKASLKNESVANTDNITHANSKENKDINMDDTYLNGKDQSNNLNTKQDGENT VQHENLSNSGYWCSPSPEQLEHLSLKQLAAVSNFVIGRRGYGCITFQHDVDLTAFIKS FREELFGKTVIFRSSKTVEVYPDEATKPIIGHGLNVPAIITLENVYPVDKKTKKPMKD TTKFAEFQILDKKLRNMREMNFISYNPFGGTWTFKVNHFSIWGLVNEEDAEIDDGDLS KQEDISEQPSKRVRTLAQSKPPSEEVVLKTNGTFGTLTGRDDSIIEEKAYEPDLSDAD FEGIEVSPKLDVSKDWVEQLILAGSSLRSVFTPSQEFVGSCQNEIDLLFSEYNDEMDK VKNIMKERRFTAPYTFAKFSTGSMLLTKDIVGKSGVSIRRLPTELQREFLFNDMYLGK EIEKVAIESRKSNSYPQITESSLLFKDVLDYMEKSSSDYNLWKLSSILFDPVSYPYKT DNDQAKVALLKNERHRQLTSWIVGQISPEIKEKIKNSSNKIEQIFLYLLLNDVVRASK LAIESKNGHLSVLISYLGSNDPRIRDLAELQLQKWSTGGCSIDKYISKIYKLLSGSPF EGIFSLKNLESEFSWLCLLNLTLCYGQIDEYSLESLVQSHLDKFSLPYDDPIGVIFQL YAANENTEKLYKDVRQKTKALDVQFCWYLIQTLRFNKIRIFSKETSDEATFAFAAQLE FAQLHGHSLFVSCFLNNDKAAEDIIKRLVMREITLLRTPPNDHILNRLKIPSQLIFNS RALKDRYEGDYLSEVQNLLQGSSYDLAEKTIVTALGPRLLLSNDPIQNNELKTLRRIL NEFPDSERDKWSVSINVFEDYLKLALDNVETPKTIDSLISGMKIFYDKCKHSREVPAC CNVMSQEIVSKILKINSSSIGDLKGKLLDLPLGQPEKAYLKGELAQDLMKCTYKT SPAR_G01540 MTEILPHVNDEVLPTEYELNQPEPEHCPGPESDMAGKSDACGGC ANKEICESLPKGPDPDIPLITDNLSGIEHKILVLSGKGGVGKSTFAAMLSWALSADED LQVGAMDLDICGPSLPHMLGCINETVHESNSGWTPVYVADNLATMSIQYMLPEDDSAI IWRGSKKNLLIKKFLKDVDWDRLDYLVIDTPPGTSDEHISINKYMKESGIDGALVVTT PQEVALLDVRKEIDFCKKAGINILGLVENMSGFVCPNCKGESQIFKATTGGGEALCKE LGIKFLGSVPLDPRIGKSCDMGESFLDNYPDSPASTAVLNVVEALRDAVGDV SPAR_G01550 MSQLMEFVSCIAMVNEGEIGEDEHGLCKIQIEDGASLETLDENN LSELKILNMLVSEGTEIFSKGRFGINDVRIFAGENIDKESKKYVWYELLKMLTGHRVY IASLDKKVVFTKWTCRMQNDEVWKVVMELESSAITRKIAELTLHPVTNGETDLFEMAD KLYQDICYVNDSYRNMKESNSSNRNRVEELTRERELLDQLLEERDERTRTMVVALLNE KKKKIRELHEILRRNNIKVSDDDVSDSTLINMEVAKPISELNSPGKRLKQRRTVEPQN LNAKLKDTNRRRANRRISNHSAIKLEDDDFDDFQFFGLSKRPIIAAKDKLSENDDDTR SVSSMSDSSNDNRKHLAFLEENRIQLSAGKLSKNHGAIPGSESETDASAEEKKSPNNS EQGTNDKESCLQTESETDIEA SPAR_G01560 MKLISILLTSVLAAVSVFASLEEDVTHVPAEAIIGYLDFGGDHD IAFLPFSNATASGLLFINTTIAEAAGKEQNTTMVKREAVADAWHWLNLRPGQPMYKRD ANADAWHWLQLKPGQPMY SPAR_G01570 MSKVPRNFRLLEELEKGEKGFGPESCSYGLADSDDITMTKWNGT ILGPPHSNHENRIYSLSIECGPDYPDSPPKVTFISKINLPCVNPSTGEVQTDFHTLRD WKRAYTMETLLLDLRKEMATPANKKLRQPQEGETF SPAR_G01580 MSNSGGSSPFLESPGGSPGAGSASGQSNRQIQALQFKLNTLQNE YEIEKLQLQKQTGILEKKYKATIGELERALNDTKYLYESNDKMEQELKSLKESSTNST NDKDRCIEELRITLQNKDLEMETLRQQYDSKLSKVTNQCDHFKLEAESSHSLLIKYEK EIKRQSVDIKDLQHQVMEKDDELSSLKASKMINSHPNYSTEEFNELTEMNKMIQDQVQ YTKELELANMQQANELKKLKQSQDSSTFWKLENEKLQNKLNELHVLESQYENLQLENI DLKSKLTKWEIYNDSNDDDNNDDDDKNDNNNNNNSNNNTNNDTNNNNRAKNNLQSNPE EVIRDWKLTKKECLILTDMNDKLRLDNNNLKLLNDEMALERNQILDLNKNYENNIVNL KRLNHELEQQKSLSFEECRLLREQLDGLYSAQNNNALLEAENSETHVPNNIKNEDMNS LLDTYKNKTEDLTNELKKLNDQLLSNSNDVETQRKKRKLTSDQIGLNYSQRLNELQLE NVNVSRELSKAQTTIQLLQEKLEKLTRLKEKKIRILQLRDGPLIKDQFIKKNKLRLLE KENADLLNELKQNNPTSETVPISVYDTLNFELKQLEQEVFRSNKRFSRLKQVFNKKSL EFIDVVNSLLGFKLEFQQDGRVKIFPCFKPEKYLIADLNENTLKSNLDADIDGWDNLM DLWVEDRGQLPCFLATITLRLWEQRQAK SPAR_G01590 MRQGDSDSIKSADVAVLSIILTGSTLTLIYTYKRYLTQFKRTND IPRRIFRKQWLYGKVTSVGDGDNFHFFHMPGGMRGGWGWLRAVPQMIKNDSTAEKLAG DNGNIKFFNLNWIIHGRSSKSKIQKTKSQFLKLNVPYKNRKNLPTIPIRLCGIDAPER AHFGNPAQPFGNEALIWLQNRILGKKVWVKPLSIDQYNRCVARVSYWDWFGGWKDLSL EMLKDGLAVVYEGKVNTEFDGREDKYRYYEFLARSKKRGLWVQNKFETPGEYKKRV SPAR_G01600 MSLISILAPLVTSEGLDSRIKPSSKKDASSTTKPSLWKTTEFKF YYVAFLVVVPLMFYAGLQASSSENPNYARYERLLSQGWLFGRKVDNSDSQYRFFRDNF VLLSVLMLAHTSIKRIVLYSTNIPKLRFDLIFGLIFLVAAHGVNSIRILAHMLILYTI SHALKNYRKIATISLWIYGISTLFINDNFRAYPFANLCSLLSPLDHWYRGIIPRWDVF FNFTLLRVLSYNLDYLERWENLQTKKSPSYESKEAKSAIMLNERARLTAAHPIQDYSL MNYIAYVTYTPLFIAGPIITFNDYIYQSKHTLPSINFKFIFYYAVRFIIAILSMEFIL HFLYVVAISKTKAWENDTPFQISMIGLFNLNIIWLKLLIPWRLFRLWALIDGIDTPEN MIRCVDNNYSSLAFWRAWHRSYNKWVVRYIYIPLGGSKNRILTSLAVFSFVAIWHDIQ LKLLLWGWLIVLFLLPEIFATQIFSHYTDAVWYRHVCAVGAVFNIWVMMIANLFGFCL GSDGTKKLLGDMFCTVSGFKFVILASASLFIAVQIMFEIREEEKRHGIYLKC SPAR_G01610 MMFWSSKTGITSKYSFSSSPTFTAEPWSIYTGRPKSSSSSSPSK VSIFMFDKKQFENYLLHYGIIKSKSGSRDKVLIQEAYEILRNQANNLAKLKHPNILTL IEPLEEHSKNFMFVTEFVTGSLEAVFRETDDEEQNFLQGHVKDNIVIQRGILQLVNAL DFIHNRASFVHLNIQPRAIFINENSDWKISGLGYLVKIPPGTNTSEYFLPQYDPRVPP FMHLQLNYTAPEIVFENTLTFKNDYFSLGLLIYFLYSGKDLFHSENSTTEYKLEYNKF ENKISTMSWDNIFSKVPQKLRYCMPKLINRDIYSRYDNITLILDSEFFQDPLVKTLNF LDDLPTKNSEEKYVFLEGLVNLLPEFPPALLQKKFLPILLELLSQFCAEKVISDKCVS KNLDLIIKIGSTLSQLSFQEKVYPVLLSEVNFPILLRKATVCLIDNLDTLKQKVKRPD FLKNILKPLFSYVLHDSESDTTVVCQEKLLSQIPLALEVLDFPTVKQFLLPLLSDLFT KTTSLTVKNTCVTCFQIMIEHKSIDSYTCSEIILPLFKSMKTRDPRILSKLLKLFETV PLIITDEIILVDQVLPLMWNYSMASTLTKSQYSGYTQAINKMSSDIQRHHIAKLSDRV NDDGEDAFHKVIEPTIMKKEDPETIAAKNIEVAAMQPVKKTTESSYKNISPQSKNIPS SRPLNPRSILATRGFPMRKPNPLPETPSNRTDPKVMVKPSSNGILNTRKDDEFNEFQS FSSTGSARQSSASDAWMNNTPSPTPTSTSSTNLPPGFSISLQPNKKKEGSTEIPRNNI YGSLI SPAR_G01620 MDVSFLTKTVQINGTQFKILLQNGQGECALIALANVLLISPAHA RYAQEISRLVKGKETVTLIELVQTLANMGAQNRNGADVDKEQLLQVLPQLYSGLNINP EFNGSFEDGIEMSIFRLYNVGIVHGWIIDGDNDPNAYEHVSKYSYMGAQKVLVQSYEI QKNNAQFENSEQIQSDAPYLKSFLARSATQLTEYGLSHLREILVERSYAVLFRNDHFC TLYKNNGELFTLVTNPTYRNRKDINWQSLKSVNGSQDSYYTGNFIPTSLERTETTATG QNESYISNPFSDQNTGHITSNQDNSGASGVQQIEDDEELARRLQEQEDMRAANNMQNG YANNSRNHQRERFERPEKNSKKNKLLSFNGSNKDRKRDKLKKNCVIM SPAR_G01630 MGDIRTFVFAIEDTETTQGLCKIIGRSSLYNLESLSRPYNLYFD DPGLSRKHAVLCIKTPIPKIESVPSIEQLRICIRDLSSKSGTVNLVSDGPNDEIDLRS GDTFGLIAIANHSLRDNHYLAAKLIFRIELEYFDKERELIKCIITNVTFGNNNAMLHS PVYPIKFADDSDSSWYGLSEASTQTEAADECHETKTMITRGGRFSILSLRKSDRKQPQ KAGGSFDGKINQANSFEEEIETCTDTESTEEEEEEVEEEEEEEDQGGEIELEIIRVKR IKGRIKTEKTATRFSKTKRIMTPQQSNSMWILLIVILIFDRLLSN SPAR_G01640 MSQPVQRAAARSFLQKYINKETLKYIFTTHFWGPVSNFGIPIAA IYDLKKDPTLISGPMTFALVTYSGVFMKYALAVSPKNYLLFGCHLINETAQLAQGYRF LRYTYFTTDEEKKALDKEWKEKEKADKQ SPAR_G01650 MVIGLSEENDDDETFSAVHSSTPSINSQSYAIPITEEMSSSFHD SISTTSNSSGSFDSDERSVSNVVEANEVDNESNGDEDLFLDNDIPQSSNLLLTDAQDP GPIFDVSRYIFDSLKQSIDSADFSEALSLQTKTSAVINSKSLELKQYIDEMKIRLTQL QEKFENGEATSRKIKHDLETSRKNIDYLNAALRVGFPIEFNQAREKILERKLNEEND SPAR_G01660 MTKEEIEDKKRKVVDEEVIEKKSKKHKKDKKEKKEKKEKKHKKH KKEKKSDKEDEVPKKESKKKPETTSAVASEFYVQSEALTTVPQSEIDEYFKENEIAVE DPLNLALRPLLSFDYLSLDPSIQAEISKFPKPTPIQAVAWPYLLSGKDVVGVAETGSG KTFAFGVPAISHLMNDQKKRGIQVLVISPTRELASQIYDNLIVLTDKVGMQCCCVYGG VPKDEQRIQLKKSQVVVATPGRLLDLLQEGSVDLSQVNYLVLDEADRMLEKGFEEDIK NIIRETDASKRQTLMFTATWPKEVRELASTFMKNPTKVSIGNTDQLTANKKITQIVEV VDPRGKERKLLELLKKYHSGPKKNEKVLIFALYKKEAARVERNLKYNGYNVAAIHGDL SQQQRTQALNEFKSGKSNLLLATDVAARGLDIPNVKTVINLTFPLTVEDYVHRIGRTG RAGQTGTAHTLFTEQEKHLAGGLVNVLNGANQPVPEDLIKFGTHTKKKEHSAYGSFFK DVDLTKKPKKITFD SPAR_G01670 MSIRNDNASGGYMQPDQSSNTSMHKRDLRVEEEIKPLDDMDGKA AVAADGEVHLRKSFSLWSILGVGFGLTNSWFGISTSMVAGISSGGPMMIVYGIIIVAL ISICIGTSLGELSSAYPHAGGQFWWSLKLAPPKYKRFAAYMCGSFAYAGSVFTSASTT LSVATEVVGMYALAHPEFIPKRWHIFVCFELLHLFLMFFNCYGKSLPIISSSSLYISL LSFFTITITVLACSHGKFNDAKFVFATFYNETGWKNGGIAFIVGLINPAWSFSCLDCA THMAFEVEKPERVIPIAIMGTVAIGFVTSFCYVISMFFSIKDLDAVVSSTTGAPILDI YNQALGNKSGAIFLGCLILFTSFGCVIACHTWQARLCWSFARDDGLPLSRLWSQVNPY TGVPLNAHLMSCAWISLIGLLYLASSTAFQSLITGCIAFLLLSYIIPVICLLGKKRNI AHGPFWLGKFGFFSNIVLLCWTVFSVVFFSFPPVLPVTKDNMNYVCVVIVGYTAYSIL YWKFKGKNEFHALEESENDQAEYANNFDSIEDSREFSLAASDVELENEHVPWGKK SPAR_G01680 MFSQIKKVLLDGYMNLIYYMFGYANRKIYYLYYRKILTPESQLK KSKAQQKTAEQVAAERAARKAANKEKRAIILERNAAYQKEYETAERNIIQAKRDAKAA GSYYVEAQHKLVFVVRIKGINKIPPKPRKVLQLLRLTRINSGTFVKVTKATLELLKLI EPYVAYGYPSYSTIRQLVYKRGFGKINKQRIPLSDNAIIEANLGKYGILSIDDLIHEI ITVGPHFKQANNFLWPFKLSNPSGGWGVPRKFKHFIQGGSFGNREEFINKLVKSMN SPAR_G01690 MSEGAFDAIFEYAWGQIDKPISGDFIYGKDLPKLMEIIENIFQK AQKNGSYDLRLPLFSEINKDLFRTFSNTKTFFKIHKEEFDDIFFNLVNHSLKEVLENA FIGSDSIPSDFIVSLDLKSPSKFLLENKDKNTEGPGISTPREKLTESPIKLLSQNNVG KALRVQVEDLKRELNTKQSLLQENERQVSELKRRLETYQQKYASIQQQFNDLQKTRHV EDNQHISRTSDPASPLITGIDQKVILEEFKRRLQRQTDTISSLKDQIRRERGLNYSND KASLSKRKSSTSDSDSTSKNLIDRFPSHLWVRASIRIIVCFALLAGVLPYIRKYLYIR DTPSQNSRLQLSWWENSGILSKIVWFFEDQADLETEYRSNANMDDAYSKVFGI SPAR_G01700 MNNVANTGTTNESNMSDAPRIEPLPSLNDDDIEKILQPNDIFTN DRTDASTTSSAAIEDIINPSLDPQSVASPVPSSSFFNDSRKPSTSTHLVRRGTPLGIY QNNLYSHNNRENANSSNALLSSKLLAHPPVPYGQSPNLLQQHAVYRTQPSSGATNTQP RQTTRRYQSHKSRPAFVNKLWSMLNDDSNTKLIQWAEDGKSFIVTNREEFVHQILPKY FKHSNFASFVRQLNMYGWHKVQDVKSGSIQSSSDDKWQFENENFIRGREDLLEKIIRQ KGSSNNHSSPSGNGNSMNGNNISLDNAAGINNSNNNISSSNSFFTNSHLLQGKTLRLM NEANLGDKNDVTAILGELEQIKYNQIAISKDLLRINKDNELLWKENMMARERHRTQQQ ALEKMFRFLTSIVPHLDPKMIMDGLGDPKANNEKLSSTNNIGLNRDNTGTIDELKSND SFTNDDRNSFINDTANTRSNVSPSNDGNNIDTPSTNTTNRKKNIDESIKNNNDIINDI IFNTNLANNLNNYNSSNNAGSPIRPYKQRYLLKNRANSSTSSENPGLTPFNVESNNDG KISEIPFDDDEEEDADFRHFTSRDPNNQTSENSFDPSRFTMLSDDDLKKDSHTNDNKH NESDLFLDNVHRNIDEQDARLQNLENMVHILSPGYPNKSFNNKASSTNTNSNMASAAN VNSPGFNLQDYLTGESNSPNSVHSIPSNGSGSTPLPMPNDNDIDHASTGVNQGENGSG LTPFLTVDAHTLNDNNNIEGSTKVPPDTKIIASENAKVSGNLPSFNNHSYSTQADTTP ENAKKRYVEETPEPAIVEIQDPTEYNDHRLPKRTKK SPAR_G01710 MEGFNPGHIERASPIDSSDSHSSSFVYPLPKSTNEYIGNHNESR VNANSAAVPSSIMSLNLKSTHSLNNDQHSDQHIHTSTSPTETIGHIHQVEKLNQNNLI HLDPVPNFKDKSDIKPWLQKIFYPQGIELVIERSDTFKVVFKCKAAKRGRNARRKRKD RLNGQDQEEEKSKTNDDELEYTSPSNFTVAPNGPQSSPDQSSSIKPKKKRCVSRFNNC PFRVRATYSLKRKRWSIVVMNNNHSHLLKFNPDSEEYKKFKEKLRRDNDVDAIKKFDE LEYRTLANLPIPTATIPCDCGLTNEIQSFNVVLPTNSNVNSSASSSTVSSISLDSSNA SKRPCLPPVNSTGSINTNNVRKPKSQCKNKDTLLKRTTMQNFLTTKSRLRKTGTPTSS QHSSSAFSGYIDDPFNLNEILPLPASDFKLNAVTNLNEIDFTNIFTKSPHPHSGSTHP RQVFDQLDDCSSILFSPLTTNTNNEFEGESDDFVHSPYLNSEADFSQILSNAPPAHND SVEIHQENQDSTDRFANSSQEHNEYILQYLTHFDATNHNNIGIPNNTLHPLNTQHNAT DLGNPLLKQEALVGSPSTKIFDELKFLQNGPHGSQHPVDFQHVDHRHLGSNEPQVRSN QYGPQPQQPQYPHNQSHHGHSHGQHQEIQREVQAHESLEIMGNTLLEEFKDIKMVNGE LKYVKRED SPAR_G01720 MTTFRFCRDCNNMLYPREDKENNRLLFECRTCSYVEEAGSPLVY RHELITNIGETAGVVQDIGSDPTLPRSDRECPKCHSRENVFFQSQQRRKDTSMVLFFV CLSCSHIFTSDQKNKRTQFS SPAR_G01730 MSLRILARRSSSIWMKTRITPALISPITITTRFNSTTTTASSHK DDVKPVDPKISKIVQDISQLTLLETSSLINELKTVLNIPEISMPLGGFMAGAAGAGAS NVPGSTGEAGTGAEEEAKPEAKTVFTVKLDSFDTKTKAKVIKEVKGLLGLSLVEAKKF VEAAPKVLKENVAKDDADKIKKTLEDLGAKVSLE SPAR_G01740 MSTAATFFGQQVLNRVSFLRCSKEFIKKSLNHDSTIFIPFIEGE ALISPENGNLVQLSKSKKSFKSILSTIIPLYTTLLNTTRSRSDESGINLTFLGLLENT DSTFDFEWSDISYKGTPYFGLDIRVTESTLFKKADFEPIFSYPKMTRDHIFKQTNEDA SLYSQGRMYLDWLAKYKFCPGCGSTLFPVDAGTKLQCSNENKNVYCNVRDASVNNVCF PRTDPTVIVAVTNSDYSKCCLARSKKRHGDFVLYSTIAGFMEPSETIEEACIREIWEE TGISCKNIDIVRSQPWPYPCSLMIGCLGVVEFNSENEVINLNHDDELLDAQWFDTTEI IQALDNYTGGFRVPFKNDINLPGSTTIAFQLISHVCKNYRSLRKASSSHL SPAR_G01750 MRPGDAEIKGIKPKIVEEYSLSQENGSSHDSWKGLISSAKDTPL QYNHMNRESLKKYFNPNAKLIENPLDAPIQFRVCEKCGKPLALTAIVDHLENHCTGAS GKSNTDLKDESTSETIRNGVESTGTNNDDDDNSNDNNNDDDDDHDDDDDDDDDDDDDD DDDDDDVNGANYKKSDSSFNPLKRSTSMESANTPNTDTKRSKTGTPQTSSSSIKKQKK VKQRNPTEKHLIDFNKQCGVELPEGGYCARSLTCKSHSMGAKRAVSGRSKPYDVLLAD YHREHQTKIGAAAEKRAKQQELQKLQKQIQKEQKKHTQQQKQGQRSKQRNVNGGKSAK NGNKNTGHNGNSISEVGHVNLTPEEETTQVLNGVSRSFPLPLESTVLSSVRYRTKYFR MREMFASSFSVKPGYTSPGYGAIHSRVGCLDLDRTTDYKFRVRTPQPINHLTNQNLNP KQIQRLQQQRALQAQLLSQQQQQQQKQQHHLTQAQGQANTQQQTQGMVPNHFPTGATN SSFNANIMNKQVQQQQQHKSQDTGLTPLEIQSQQQKLRQQQLQQQKFEAAASYLANAT KLMQESNQDNHLSGTHNNNNSGKNGHNDIMTMKASMNSPNASISGMQSPPSINTLNGS GQGVSTGINVPGNNGRIEVGIGNSVNPYKGRIK SPAR_G01760 MIDKDRRTIAFIHPDLGIGGAERLVVDAALGLQQQGHSVIIYTS HCDKSHCFEEVKDGQLKVEVYGDFLPTNFLGRFFIVFATIRQLYLVIQLILQKKVNAY QLIIIDQLSTCIPLLHIFSSATLMFYCHFPDQLLTQRTGLLKKIYRLPFDLIEQFSVS AADTVVVNSNFTKTTFHKTFKYLSNDPDVIYPCVDLSTIEVEDIDKKFFKTVFNEGDR FYLSINRFERKKDIALAIKAFALSEDQSNDNVKLVICGGYDERVAENVQYLKELKSLA DEYELSHATIYYQEIKCASDLESFKASHSKIIFLTSISSSLKELLLEKTEMLLYTPAY EHFGIVPLEAMKLGKPVLAVNNGGPLETIKSYIAGKDETSATGWLKPAVPIQWATAID ESRQVLRNDYVNFERNGPLRIKKYFSREAMTQSFEENVDKVIWKEKKYYPWQMFSVSF FNFLLHMTFVKALPNNPWPLLFMATFMVLYFKNYLMGAYWAFFFALSYPYEEA SPAR_G01770 MSLFFNSKVLPRWSFPMLLEIGVRSYAGGPRTKHKRYSPLASVP SGSNSKDRKQKSKGKKGDRKKDSDQAFNFGQYGGLKNDVDMNMDSTSKLIQKISNFDQ LLILPSVRDAVKEIISKESLKLQDNKKKTDENVIPSPIQTVAIKKISKNLMDPKLQIH AIAAETGSGKTMAYLIPLIDYLKRQELETPELWETLRHSILIRSIILVPTHELVDQVY ETVSKTKSLLGLNSFKWDKATSYRDLLENIKNRIDILVTTPGKLLNLFSIRMITRPDK ILSKVGFVVLDEADTLLDRSWLEETHSVIKRIPNINHLIFCSATIPQEFNKTMQRLFP TVIPIMTPKLHKLPFTLDFRVINSALSPFKGSKIKALAQTLYAISNDDTEPGFEKRCI IFVNEKKDVPEIVNLLNNKFGHNAIGLTGEDTFEERSEKIMPFLSPPRRLSEVVPQNI SSSTSLKKLEIPDSNIVIGELKRTNSDVTVSRNKSLHVLVTTDLMARGLNFKGVRNVV LYDVPKTSIDLIHRVGRTARMKQGGRVFMLTDNKTKSWAKALPKIIKKHQRLS SPAR_G01780 MLLGYCGSGYYGMQYNPPYKTIEGEILTKLFEVGAISEENSSTP KKNSFMAAARTDKGVHAMLNLLSLKISLQEDTVAKLNAVLPPEIRVWGIQPVNKKFNA RSACDSRWYEYLIPEFVLIGPPRSSVLHKNVGVCYREDGSQEVWDAFLEQTRERFSGD ELCRLQDTAQKLSESDPLVQDYVGLLSGTLSGYCLPRSKLYAFEAAMQEYVGTHNFHN FTTGKLSGDPSAQRHIKEIVVSQASPRWISVRIHGQSFMLHQIRRMVALAVLAARCQL PPNLVRNHFNAGARKYIPRAPAQGLLLEGPVFDRYNTKLRKLLYCEIRPDNITLERMC RFRERRIYTAIAHEETERHVFCHFVRQMNRLATL SPAR_G01790 MSQRKFAGLRDNFNLLGEKNKILVANRGEIPIRIFRTAHELSMQ TVAIYSHEDRLSTHKQKADEAYVIGEVGQYTPVGAYLAIDEIISIAQRHQVDFIHPGY GFLSENSEFADKVAKAGITWIGPPAEVIDSVGDKVSARNLAAKANVPTVPGTPGPIET VQEALDFVNEYGYPVIIKAAFGGGGRGMRVVREGDDVADAFQRATSEARTAFGNGTCF VERFLDKPKHIEVQLLADNHGNVVHLFERDCSVQRRHQKVVEVAPAKTLPREVRDAIL TDAVKLAKECGYRNAGTAEFLVDNQNRHYFIEINPRIQVEHTITEEITGIDIVAAQIQ IAAGASLPQLGLFQDKITTRGFAIQCRITTEDPAKNFQPDTGRIEVYRSAGGNGVRLD GGNAYAGTIISPHYDSMLVKCSCSGSTYEIVRRKMIRALIEFRIRGVKTNIPFLLTLL THPVFIDGTYWTTFIDDTPQLFQMVSSQNRAQKLLHYLADVAVNGSSIKGQIGLPKLK SNPSVPHLHDTQGNVINVTKTAPPSGWRQVLLERGPAEFAKQVRQFNGTLLMDTTWRD AHQSLLATRVRTHDLAIIAPTTAHALAGAFALECWGGATFDVAMRFLHEDPWERLRKL RALVPNIPFQMLLRGANGVAYSSLPDNAIDHFVKQAKDNGVDIFRVFDALNDLEQLKV GVDAVKKAGGVVEATVCFSGDMLQPGKKYNLDYYLEIAEKIVQMGTHILGIKDMAGTM KPAAAKLLIGSLRAKYPDLPIHVHTHDSAGTAVASMTACALAGADVVDVAINSMSGLT SQPSVNALLASLEGNIDTGINVEHVRELDAYWAEMRLLYSCFEADLKGPDPEVYQHEI PGGQLTNLLFQAQQLGLGEQWAETKRAYREANYLLGDIVKVTPTSKVVGDLAQFMVSN KLTSDDVRRLANSLDFPDSVMDFFEGLIGQPYGGFPEPFRSDVLRNKRRKLTCRPGLE LEPFDLEKIREDLQNRFGDVNECDVASYNMYPRVYEDFQKMRETYGDLSVLPTRSFLS PLETDEEIEVVIEQGKTLIIKLQAVGDLNKKTGEREVYFDLNGEMRKIRVADRSQKVE TVTKSKADMHDPLHIGAPMAGVIVEVKVHKGSLIKKGQPVAVLSAMKMEMIISSPSDG QVKEVFVSDGENVDSSDLLVLLEDQVPVETKA SPAR_G01800 MSEQSQLDDSTIDKLIPQIFNEMRSNLNNTTKKFPKSTGNEASD NTSASSNSIRPSNSITTQSLLKESESLDKITAMIKNVTAALRNNLPVYVNQVHEVCKS TNSILDSWINIHSQAGYIHKLMSDQNYLKLINDRLHNENANTNDEDGSTLHNVIELKK REVLDLRQKLENRKGERDDVPAKAPNQGLNPRYGVQSGRRPVSSAGNGNNGRVRKIHV PASKRPSGIPRVTNRWTKPTASSSRKMFR SPAR_G01810 MGESIETICESLVRAFQEEKDDFVSLVTIIDMYNEQVNSEKSIK EKERYLDVLLKLFKENPATLKKIGWDLPKGLLQFFSCKNIYVKKHLASSPIVSSVINC FGALALNGNPKECLLTTCELVSTLRIVSTGTDESDEEYEDLIDSDRNDATNNTDEPSI IHPELEKYTAENTVEFIPNLKIYVLSEFMSSLLKQVDTLYPSKFLAMAISAIVNYVTT NVQAMDDVHFILRIMYNFCTTYSPAQPSAILTDGIDASDLEKIYGDESVLQKKLLANL GVLMISSCLKNKPGNIDKIYFKTLMHKKLDEHEVDASILQTCYQYYEYVTSLGVDMKE LLEKHLEESRHIYNSLLLNSAASTPEFEEEINQVVYEVSYAYQIKKLADEKNLEPDQY GVLILSAIHYSKNGTHLLPQIDIQSAIYLYLRCTTASLFSEIYENKFLESSVRYWLWV SITETSTKKIKCALQKLPGHITTAFLQMLLMKTCNEANNDTKLIDFTLLRKILYLMPE STSFTFMFETLLHCPYITAKIAILDILRDMMIKAPEAPNGEESVNLPEQQKSENTANS VPITPKLPPRPYVTINEDRMASIHSIALICFSVAKQKKRTQGDLLLVLTYMKFFVSLR NKWDLGLLTLINKEISESFQGEGEPELAFINIANNTLGAYIEETNIRP SPAR_G01820 MSKYQFNCIRYRHFLRTSNISQIPDFTKYCIGPVNEELAPYIME TMKAYPSNSKYINPQHYYHNRTVLVENYLKRSPNPVSLTQLAQYYDDSTKLTRTKIIN SGKFVKEELVIRIAHKLNQLQQLPFNVVNNFHFVQVYESYYNIFESFRKYPTIRTLDD ASQFADFIKNMLEGFNTLNLPHLIMGALECTILDLYPREKMDQLLSDLLRARISRRLI VEEHVSITANYTSGKEENTLVLGDIFQECSAMKYLLEASEESKKFIQDMYFKDIPMPE FIIEGDTQLSFYFLPTHLKYLLGEILRNTYEATMKHYIRKGLEKPEPIIVTVVSNDES YLFRISDKAGGVLHDDENLWSFGKSKERAQESLNNFHKLPGLQTVSIYDEVHSHNKYN PNLKSLQSITLKPYMHTSLEPMSYPSIINGHIKYETPLIELLRRSFRYKLGIGLAMCK VYAEYWNGDLSLHSMPGYGTDVVLKLGNLMKHTKKVQLDKV SPAR_G01830 MSTPARRRLMRDFKRMKEDAPPGVSASPLPDNVMVWNAMIIGPA DTPYEDGTFRLLLEFDEEYPNKPPHVKFLSEMFHPNVYANGEICLDILQNRWTPTYDV ASILTSIQSLFNDPNPASPANVEAATLFKDHKSQYVKRVKETVEKSWEDDMDDMDDDD DDDDDDDEAD SPAR_G01840 MINPVARNLLIKRFYQPNLKRAPPTSLLLKQKIRLAQNVNNTSK ENPISFSQTVSEIFSVLQPSAPDLDEDKTIGLKRDHLLTERLNNGELGLIINKFFNPS LSHNNHLIDTDILLQKFPKLNDNDLDLLNFAINERMQGDWKNLKHNFIQLWYYNAFGF LGPRSLFIRANSSSPLKSQFLQLPFIEYNWLLLQNNKNVNLLPADVQKVVKVFQLDGK RFTWKSIDPFSKAIISFVVFVSIFVWLDESTKQKTKEPPTQNSTAISA SPAR_G01850 MPQNTRHTSIVEMLSTPPQLPNSTDSNSLSEQTEKNSGGNKSDT ESLHKSISKSSSSSSLSALDNTEYSNNNGNSLSTLNSQNLLSVHKQEWQHTLLSNLVE QNKLIFIKGSISVEEAFNTLVKHQLTSLPVENFPGDMNCLTFDYNDLNAYLLLVLNRI KVSNDKITSDCQNGKSVPVGEIVKLTPKNPFYKLPETENLSTVIGILGAGVHRVAITN VEMTQIKGILSQRRLIKYLWDNARSFPNLKPLLDSSLEELNIGVLNAARDKPTFKQSR VISIQGDEHLIMALHKMYVERISSIAVVDPQGNLIGNISVTDVKHVTRTSQYPLLHNT CRHFVSVILNLRGLETGKDSFPIFHVYPTSSLARTFAKLVATKSHRLWIVQPNDNQPS ASSEKSSSPSPSTPPVTTLPSLASSYHSNTHSSRMANSPVLKSSDTSNNKINVNINLS GSPPSQPQSPSTPMPPPQSSNNCPASPTPAHFEKEYRTGKLIGVVSLTDILSVLARKQ THHKEIDPQMARKQRGHVG SPAR_G01860 MPTSGTTIELIDDQFPKDDSAAGGVVDEVDLTEANILATGLNKK APRIVNGFGSLMGSKEMVSVEFDKKGNEKKSNLDRLLEKDNQEKEAAKTRTHISEQPW TLNNWHQHLNWLNMVLVCGMPIVGWYFALSGKVPLHLNVFLFSVFYYAVGGVSITAGY HRLWSHRSYSAHWPLRLFYAVFGCASVEGSAKWWGHSHRIHHRYTDTLRDPYDARRGL WYSHMGWMLLKPNPKYKARADITDMTDDWTIRFQHRHYILLMLLTAFVIPTVICGYFF NDYMGGLIYAGFIRVFVIQQATFCINSMAHYIGTQPFDDRRTPRDNWITAIVTFGEGY HNFHHEFPTDYRNAIKWYQYDPTKVIIYLTSLVGLAYDLKRFSQNAIEEALIQQEQKK INQKKAKINWGPVLTDLPMWDKQTFLAKSKENKGLVIISGIVHDVSGYISEHPGGETL IKTALGKDATKAFSGGVYRHSNAAQNVLADMRVAVIKESKNSAIRMASKRGEVYETGK FF SPAR_G01870 MGAWLFILAVVVNCINLFGQVHFTILYADLEADYINPIELCSKV NKLITPEAALHGALSLLFLLNGYWFVFLLNLPVLAYNLNKIYNKVQLLDATEIFRTLG KHKRESFLKLGFHLLMFFFYLYRMIMALIAESGDDF SPAR_G01880 MAAQDAFEQKKKAILSEIDSTQPDLSPKGTIDELCLPIIDLINA SANMVTTSSCSGRVSVFLEGTKLYNGEVKIGGKGQGGKWLYVTHDRGKVIGWLDELRS KSEFAFELSDNKIPVEQVTGSTRYILYKYEPFILHVKCRDFQAASKLYNAAMSCGFRE SGIGSNNLVAIRINIKLDVPIGYLDEDSDTLKLFVSPEYVSVLDSLSLSKFDENTRKM QALYDRIERELLTPAPDSNSKVDIIPIETKEERRERKKREGMERQRQLKGTQNVL SPAR_G01890 MTDQRGPPPPHPQQANGYKKFPPHDNQYSGPNNNQPNNHYNENI YGAREAHNNKQYQSKGGKYGSNKYNNRNNSQGNAQYYNNRFNNTYRLNNNDYNPAMLP GMQWPANYYAPQMYYIPQQMVPVASPPYTHQPLNTNPEPPSTPKTTKIEITTKTGERL NLKKFHEEKKASKNEEKNDEVEQKSKSGTPFEKEATPALPIKDVVKDTSTETTNEKST SEAENTKRLFLEQVRLRKAAMERKKNGLISETEQKQEKLNHPNTDTNKSNSIIESEPI KETPKPTGETSEVVIDEKSGTSDVPPPQHVTGSVTKSVTFNEPENESSSIDADELVEN DSNEISDATDGKTVSKGDGYTPNSEITTEENAVKETEPSTSDIDIPTVSQLLETLEKA QPISDIYDFAYPANVERPDIKYKKPSVKYTYGPTFLLQFKDKLKFRPDPAWVEAVSSK IVIPPHVARNRPKDSGRFGGDFRSPSMRGMDHTSSSRVSSKRRSKRMGDDRRSNRGYT SRRDREKAAERAEEQTPKEEVAPLVPSANRWIPKSRVKKTEKKLAPDGKTELLDKEEV ERKMKSLLNKLTLEMFDSISSEILDIANQSKWEDGGETLKIVIEQIFHKACDEPHWSS MYAQLCGKVVKDLDSSIKDKENEGKNGPKLVLHYLVARCHEEFEKGWADKLPAGEDGN PLEPEMMSDEYYIAATAKRRGLGLVRFIGYLYCLNLLTGKMMFECFRRLMKDLNNDPS EETLESVIELLNTVGEQFEHDKFVTPQATLEGSVLLDNLFMLLQHIIDGGTISNRIKF KLIDVKELREIKHWNSAKKDAGPKTIQQIHQEEEQLRQKKNSQRSNSRFNNHNQSNSN RYSSNRRNTQSTPRDSFASTKTSSFRNNQRNVRKAEEVSQVPRANMFDALMNNDGDSD SPAR_G01900 MTAAVTSSNIVLETHESGIKPYFEQKIQETELKIRSKTENVRRL EAQRNALNDKVRFIKDELRLLQEPGSYVGEVIKIVSDKKVLVKVQPEGKYIVDVAKDI NVKDLKASQRVCLRSDSYMLHKVLENKADPLVSLMMVEKVPDSTYDMVGGLTKQIKEI KEVIELPVKHPELFESLGIAQPKGVILYGPPGTGKTLLARAVAHHTDCKFIRVSGAEL VQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSTRVEGSGGGDSEVQRTMLELL NQLDGFETSKNIKIIMATNRLDILDPALLRPGRIDRKIEFPPPSVAARAEILRIHSRK MNLTRGINLRKVAEKMNGCSGADVKGVCTEAGMYALRERRIHVTQEDFELAVSKVMNK NQETAISVAKLFK SPAR_G01910 MRIIEEKTIFVTCGATIPFPRLVSCVLSDEFCQELIQYGFVRLI IQFGKNYVSEFERLVQERGGQRENQKFPTEQFGCGDTVRHYALMNGKLEVTGFDFSTK MQSIIRDYSDLVISHAGTGSILDSLRLNKPLLVCVNDALMDNHQQQIADKFVELGYVW SCAPTETGLIAGLRASQTEKLKSFPVSHNSSFERLLSETIYS SPAR_G01920 MSLLKLWNKESKASSKIKTHGIVGSYGNSMLAHNNVKQFRIDID EPHRVWKPNESITGEAVIDIKRDITNVAIKLSLVCEVRVKTGNSPTSKNKRIEKTLEK STFLYGQDYVKTAFSAKEKKPHIDKATILNGLSKGEHRFPFRIRIPRGRGMLSSIKFE RGSITYFLFCTLESLNNVNGVKKPEAKCEHEFAVIVPLDVSRLPKPKTKTVVLQSASM VQNKKSKFTEDESSSYTQLTQKSNNSNSSSSSVNSKTPPLPNKTVTISVDIPQAGFMI GEIIPIDVKIDHYKPFYAPAGLTTTLVRICRVGGAGKDDPMETFRKDICQSISPIYIN PETLQFQSRVYLKVPLDAFSTLTTVAKFFSFQYYIEVMVNLSKKNVVYTESNRIIGTP IEEQNGLGVENNINRIQRKMLRMVNPETLENDSEGYESSIFFKDMVNVEKLKRLRNVT GMSIETVIGTTRTEQLQDDTNLPRQPSTTVPHSSESDLRDWLAPLNAYECDGAPVPKY SPNDKVNVPSEDKQELEQKRLQQLESDPPPCDDY SPAR_G01930 MNRQSGMNAGVQNNPPSRVVYLGSIPYDQTEEQILDLCSNVGPV INLKMMFDPQTGRSKGYAFIEFRDLESSASAVRNLNGYQLGSRFLKCGYSSNSDISGV SQQQQQQYNSINGNNNNNSNGNNNNNSNNNGLDFQNSGNASFLSQKFPELPSGIDVNI NMTTPAMMISSELAKKPKEVQLKFLQKFQEWTRTHPEDAVSLLELCPQLSFVTAELLL TNGICKVDDLIPLASRPQEEASATNNNSVNEVMDPAVRNKQKELLKQVLQLNDSQISI LPDDERMAIWDLKQKALRGEFGAF SPAR_G01940 MDSKEVLVHVKNLEKNKSNDAAVLEILHALDKEFVPTEKLLRET KVGVEVNKFKKSNNAEISKLVKKMIGSWKDAINKNKRSRQAQQHHQDHAPGNAEDKTT AGGSVNGVDQPASSQSDATKQDKYVSTKPRNSKNDGVDTAIYHHKLRDQVLKALYDVL AKESEHPPQSILHTAKAIESEMNKVNNCDTSEAAYKARYRIIYSNVISKNNPDLKHKI ANGDITPEFLATCDAKDLAPAPLKQKIEEIAKQNLYNAQGATIERSVTDRFTCGKCKE KKVSYYQLQTRSADEPLTTFCTCEACGNRWKFS SPAR_G01950 MLRVLWKHNSKVTRSIELSNVFITYHTKSLRRPFWIYPKRYYAQ SWDNRQPNDKIDAHIKVQKLMDEINSRPNVLEKLERVSNIMIEKKLVNLDATSANEET TMKPWQMIKILMDRDLRHAMKEFKSELEESGIQLGPDQLGPLMTVLGLEKKK SPAR_G01960 MHTAEFLETEPTEISSVLAGGYNHPLLRQWQSERQLTKNMLIFP LFISDNPDDFTEIDSLPNINRIGVHRLKDYLKPLVAKGLRSVILFGVPLIPGTKDPVG TAADDPAGPVIQGIKFIRESFPELYIICDVCLCEYTSHGHCGVLYDDGTINRELSVSR LAAVAVNYAKAGAHCVAPSDMIDGRIRDIKRGLINANLAHKTFVLSYAAKFSGNLYGP FRDAACSAPSDGDRKCYQLPPAGRGLARRALERDMSEGADGIIVKPSTFYLDIMRDAS EICKDLPICAYHVSGEYAMLHAAAEKGVVDLKTIAFESHQGFLRAGARLIITYLAPEF LDWLDEEN SPAR_G01970 MTTDKTTVFVSGATGFIALHVVDDLLRAGYKVIGSGRSQEKNDG LLKKFNNPNLSMEIVEDIAAPNAFDKAFEKRGKEIRVVLHIASPVHFNTTDLEKDLLI PAVNGTKSILEAIKKYAADTVEKVVITSSVAALASPVDMKDTSFVVTEESWNKDTWES CQANAISAYCGSKKFAEKTAWDFLKENQSSIKFTLSTINPGFVFGPQLFADTLRNGIN SSSAVIAELVRSKLGDKFYNYSGPFIDVRDVSKAHLLAFENPECAGQRLFLCEDVFCS QEALDILNEEFPQLKGKIATGNPGSGPSFLKKNCCKCDNCKTKKLLGFEFYKFRDCIV DTASQLLEVENEN SPAR_G01980 MSRKLSHLIATRKSKTIVVTVLLVYSLLSFHLSNKRLLSQFYPS KNDYKQTRLPTTSHSQDINLKKQSAVNKKENQLHNLRDQLSFAFPYDAQAPIPQRVWQ TWKVSTDNKDFPSTFRAYQKTWADSSSPHYQYSLIPDDSIIPFLENLYAPVPVVVQAF KLMPKNILKADFLRYLLLFARGGVYSDMDTMLLKPIDSWPSQNESWLHNIIDVNKPIP YKNLKASPLSSDKISQQPGLVIGIEADPDRDDWSVWYARRIQFCQWTIQAKPGHPILR ELILNITATTLMSVQDPGVPVSEMIDPRYEEDYNVNYRHKRRDDETYKHSELKNNKNV DGSDIMNWTGPGIFSDIIFEYMNNVLRHNSDIMLVNPNLNKSDEEGSDGASALAEEFD GGALSKSTRRFYKKISESLQSSNLMPWEFFSFLKDPVIVDDVMVLPITSFSPDVGQMG SQSSDDKMAFVKHMFGGTWKDDADKNAGHK SPAR_G01990 MKTLIVVDMQNDFISPLGSLVVPKGEELINPISDLMQDADRDWH RIVVTRDWHPSRHVSFAKNHKDKEPYSTYTYRSPRPGDDSTQEGILWPVHCVKNTWGS QLVDQIMDQVLTKHIKIVDKGFLTDREYYSAFHDIWNFHKTDMNKYLEKHHTDEVYIV GVALEYCVKATAISAAELGYKTTVLLDYTKPISDDPEVINKVKEELKAHNVNVVDK SPAR_G02000 MQKCAGHAPLVMAVSRISQDTADALLQAILKAFHKLASIDSSHN NDPVEIAFKLINSFKYLPISVHPVEDFDSELREVDIFSPLLQSAVAAANNSNIIWDLI AVLFAYISIHKQFHPLILHNLKIWKDFMADHNEENATSTKQDGESIKFGVLSLLSIVQ NFEEITPNLFEFLRLGLRSTLLKIWVPQWQRYDPSATNLIIGDEKISNWITTDHQVDF FIITSLASTSSLEVLPSPYFVYKISKRISHFPNLVDPKLYRSAISTIMENGISDNGGE SSGDSINSNDLSFYFQVLMEVIDHPELNYLQENRLILLLDIALNYLILVPTHCLHSSF GELGSTQSLASTLNIIQFLLSKFLINMGSISQLISQSNKKCITNNNNINNNNGNNNGI STTTSTTTTTNNNNNNRKIDWTQSYQTRYQIPYWFEDLILPPIPPISKSLFTFDQNLN HESDSIMIVNDLLRCLNLTILLTSKLLRDYNDLNINPLIQSSDDNSSENNHVVIEQYM QLYLVPLFTSLLLTQQLKDRDQEQGQEERVKDEEKKENVSLIGSSSVKKLFSQLIFFS SLKLCENLIIKEKNLALYHLIKFTTKISLDDLILQKISINLLNHLFFHQIRDGDDNDN LIQKLCLKNQLSFQALKDYIALWNDGSEVYNTFYKDLFCEEQPKIKSIKLTTSDLLEL FPEDVQFVIPTPPTTITSVAISDTSIPSQLGPQKNIENFTPLSKYDAYSSTSFIPSTS KNGNANTNKQQQPQNSTPNSSNRYLFNKSSLLSQEGNGSNNNSSTQGPGSMNESYSLD NSFNTTNTNMTRQPTTLTRATDAMTTAPTTPTPYKNTSSSNNNNLWIESPMSNFKGSI INKNTNKSKMVNTGKNYILGGHNKVKNNSRAQSIHIDDFENDNS SPAR_G02010 MQSPYPMTQVSNVDDGSLLRESKSKSKAAAKSEAPRPHACPICH RAFHRLEHQTRHMRIHTGEKPHACDFPGCVKRFSRSDELTRHRRIHTNSHPRGKRGRK KKVVGSPMNSANSSATSIPDLNTANFSPPLPQQHLSPLIPIAIAPKENPSRSSTRKGR RTKFEIGETGGNDAYMVSSPNPMVKIPVSVKPPPSLALNNMNYQTTSTPTTLSSLGNS HSSSRLKLNALSSLQMMTPIASSAPRSVFIDSPEQKQQQQQQNSLSPRYSNTVILPRP RSLTDFQGLNNANPNSNGSFKTQTQSSIQLKRPNSVLSLNDLLIGQRNTNESDSDFTT GGEDEEDGLKDPSNSSIDNLEQDYLQEQSRKKSKTSTPTTMLSRSTSGTNLHTLEYVM NQNHLHSSSSSPDFQKELNNRLLNVQQQQQEQHTLLQSQNKSNQNQNQNQNQNQNQMM ASNSSLSTTPLLLSPRVNMINTTISVQQTPIPQSDSQAQESETLPPIRSLPLPFPHMD SPAR_G02020 MAPKKKSNDKALQAKGSEAEQLIEDYLVSQYKPFSVNDIIQNLH NKVTKTTATKALENLVNEKRIVSKTFGKIVIYSCNEQETALPSNVDSSQFDFETVLQL RNDLIELERDKSMAKDALDSVAKEPENKELLTIIENEENELKEIESKLQSLQGDWNPA NDEIVKRIMSEDTLLQKEITKRSKICKSLIATIKDSVCPKNMDEFLEEIGFEVI SPAR_G02030 MQVLRCFSLFSIFVTVLAQELMSSCEQIPSATLESMPYSVSTTT ILANGKAMQGVFEYYRSITYVSNCDFSSTTTRKDSPINTQYVF SPAR_G02040 MKVEIDSFSGAKIYPGRGTLFVRGDSKIFRFQNSKSASLFKQRK NPRRIAWTVLFRKHHKKGITEEVAKKRSRKTVKAQRPITGASLDLIKERRSLKPEVRK ANREEKLKANKEKKKAEKAARKAEKAKSAGTQSSKFSKQQAKGAFQKVAATSR SPAR_G02050 MTAPVKSQESINQKLALVIKSGKYTLGYKSTVKSLRQGKSKLII IAANTPVLRKSELEYYAMLSKTKVYYFQGGNNELGTAVGKLFRVGVVSILEAGDSDIL TTLA SPAR_G02060 MVNEAGENKKAAKGTSVSGKVWKVEKTPLRAKSRVVKNKKLTSW ELKKQKRLEDKQFKERLKALKDEKEEARQAKITMLKERREKKEENERYERLAAKMHAK KVERMRRREKRNKALKER SPAR_G02070 MISTTTFLSSLLCLTYLTSALPILPKREVVTRVHTASTTNVVTD FYSTTTEVVIAPTVEFLISDSVTFTTTLIPQGVNPTAEPTTTITKVLLKKAELSTSSS PQTSTLQPDTMSQTTPSSQAASTLQSASAQQTGTYPSTASTNENVQQLATTSTSTSTS TSSSSPSTPSTTTTSTSTQNIVSGVGEANVEDSTQTQHHSHQAAATTTLNQQTTTSTT SQESTESVTTTTSSTPLATTSSTTSSTTSSTTSSTTSSTTSSTTSSTTSSTTSSTQEA ATSTSRDSSSSSAAITSSPKAIAYSPYNDDGSCKSADAVSSDLTLIKSKGIAKIRVYG TDCNSFETVQPAAVKLGIKINQGLYITSSGVDSIDDSVTTLIEYGQANGWDVFDFITV GNEAIHNGWCSVSDLISKISSVKSQLSEAGYSGQITTSEPPVSFENNPDLCKKSEIDF VGINPHAYFDASASAGTAGTFVKGQVELIQGVCGTSNVFVTETGYPSSGIQNGGNIPS TANQITAVQNILNEMDLDVTILSTYNDYWKSPGDYGIEQSFGVIDYFP SPAR_G02080 MLISKSKMLRAFWIVTSIVLLASATVDVGKLEEFEDYQKFTNES LLWAPYRSNCYFGMRPRYVRESPLIMGIMWFNSLSQDGLHSLRHFATPQDKLQKYGWE VYDPRIGGKEVFIDENNNLNLTVYFVKSKNGENWSVRVDGKPLDPKRPSTASVVLYFS QNGGEKDGKSSLAMIGRDGSNDMKFFGYSKELGEYHLTVKDNFGHYFNNPAYNTMEVA PGSDCSKTSHVSLQIPDKEVWKARDVFQSLVSDSIRDILEKEETKQRPADLIPSVLTI RNLYNFNPGNFHYVQKTFDLTKKDGFQFDITYNKLGTTQSISTKEQVTELITWSLNEI NARFDKHFIFGEGPDSIENAEVKRRFALETLSNLLGGIGYFYGNQLVDRETEFDESQF AEIKLLNAKEEGPFELFTSVPSRGFFPRGFYWDEGFHLLQIMEYDFDLAFEILASWFE MIEDDSGWIAREIILGNEARSKVPEEFQVQNPNIANPPTLLLAFSEMLSRAIENIGDF NSDSYNQVIFNGRTTKFMTNNLEANSDLLTEYAKKIYPKLLKHYNWFRESQAGLIDEY AEILEDEGIWDKIHKDEVYKWVGRTFTHCLPSGMDDYPRAQPPDVAELNVDALAWVGV MTRSMKQIAHVLKLSEDEERYAQIEQNVVENLDLLHWSEKDNCYCDISIDPEDDEIRE FVCHEGYVSLLPFALKLIPKNSPKLEKVVALMSDPEKIFSNYGLLSLSRQDQYFGKDE NYWRGPIWMNINYLCLDAMRYYYPEVIFEVAGEASNAKKLYQSLKTNLSNNVYKVWKE QGYCYENYSPIDGHGTGAEHFTGWTALVVNILGRF SPAR_G02090 MSEQLRQTFANAKNENRNALVTFMTAGYPTVEDTVPILKGFQDG GVDIIELGMPFSDPIADGPTIQLSNTVALQNGVTLPQTLEMVSQARNEGVTVPIILMG YYNPILNYGEERFIQDAAKAGANGFIIVDLPPEEALKVRNYVNDNGLSLIPLVAPSTT DERLELLSHIADSFVYVVSRMGTTGVQSSVASDLDELISRVRKYTKDTPLAVGFGVST RDHFQSVGSVADGVVIGSKIVTLCGDAAEGKRYDVAKEYVEGILNGAKHKVLSKDEFF AFQKESLKSANVKKEILDEFDENHKHPIRFGDFGGQYVPEALHACLRELEKGFDEAVA DPTFWEDFKSLYSYIGRPSSLHKAERLTEHCQGAQIWLKREDLNHTGSHKINNALAQV LLAKRLGKKNVIAETGAGQHGVATATACAKFGLTCTVFMGAEDVRRQALNVFRMRILG AKVIAVTNGTKTLRDATSEAFRFWVTNLKTTYYVVGSAIGPHPYPTLVRTFQSVIGKE TKEQFAAMNDGKLPDAVVACVGGGSNSTGMFSPFEHDTSVKLLGVEAGGDGVDTKFHS ATLTAGRPGVFHGVKTYVLQDNDGQVHDTHSVSAGLDYPGVGPELAYWKSTGRAQFIA ATDAQALLGFKLLSQLEGIIPALESSHAVYGACELAKKMKPDQHLVINISGRGDKDVQ SVAEVLPKLGPKIGWDLRFEEDPSA SPAR_G02100 MDSIIPAGVKLEDLEATLAKNENGTRDSVCKQINEARDEILPLR LQFNEFIQIMASIDQDGPKQGDRMAKYLHIRDKILQLNERFQTLSSHLETLQPLFSTV PEYLKTADNKDRSFQLLEPLSTYNKNGTAACSAAVVVNTNHSTAASTPSTTTTPHPHP NSHAHSLSNPNTTATMQHNPLAGKRGPKSSSGIGTPNVPNSTAAAAIAAPKKPRKPRQ TKKAKAQAQAQAQAQAQAQVYAQQSTVQTPITASMTAALPNPTPNMINSVSPTNVMGT PLTNMMSPMGNAYSMGAQNQGGQAAMSQFNGNGNGSNPNPSTNSNNTPLQSQLNLNNL TPANILNMSMNNDFQQQQQHQQQQQPQQQYNMNMGMNNVNTGGKELDSLDLNNLELGG LNMDFL SPAR_G02110 MAALHSVSKTPAIKEEEEDGDERDGGGVSLGPRNHDYRDRNGDE ESGADTVTSPITFEKQKIAPRTSTHSEQSILSSISLKSMVNQHRQQQLQQESSTGTGT GFVDRKQQIQSPAMVSILRKNSAEENIRSSHSSKLGEEMADGRKASSSKEIGKTLPFT DDQRSNPELDPSSSMPDVSRGKNTKSKAVFNELENDSDEDDEVRQKNLTTQALRKLSS FKMNASSNLRLSKENKAKESSSSSTSSASSSSVSKAENIVDKLTTTNSSSMSQLRFGK TNVIVDSMNHAAKPPNQQMLRKPSLDFLPQPASSTNLNFNSNKHKSNVRQINNPKKPL YIPAVLRKVSETNITNDDLLNATLSSYYKKASNLEHNFIPNRSQSASVQNANNLRIIS SQSSVQSNTSSILESYKNKISSYLFPNSIPNSDRINLIPTISNRNSTRVNPPTKDHWI PDSKRNSCRYCHKPFTLWERKHHCRHCGDIFCQDHLRHWLYLDSQANFIMINELNNGG INGGGTLCKICDDCLVEYENLSTTNHNPNTNEDDRNIEEGEEDDNDNKKNLRNYYKNR QMNTLFRTRKGGSSQEHATVDRDSSTPIQVKSNDDETENDNTGGEQEEGNDVLGSVIG SVPANWNWSSF SPAR_G02120 MEERQQKDSPTQHSIASAIAVTAAKSTLPSAIITAAMGSDRSCV LSVFQTILKLVIFVAIFGAAISSRLFAVIKFESIIHEFDPWFNYRATKYLVNNSFYKF LNWFDDRTWYPLGRVTGGTLYPGLMTTSAFIWHALRNWLGLPIDIRNVCVLFAPLFSG VTAWATYEFTKEIKDASAGLLAAGFIAIVPGYISRSVAGSYDNEAIAITLLMVTFMFW IKAQKTGSIMHATCAALFYFYMVSAWGGYVFITNLIPLHVFLLILMGRYSSKLYSAYT TWYAIGTVASMQIPFVGFLPIRSNDHMAALGVFGLIQIVAFGDFIKGQVSTAKFKVIM MVSLFLILVLGVVGLSALTYMGLIAPWTGRFYSLWDTNYAKIHIPIIASVSEHQPVSW PAFFFDTHFLIWLFPAGVFLLFLDLKDEHVFVIAYSVLCSYFAGVMVRLMLTLTPVIC VSAAVALSKIFDVYLDFKTSDRKYAIKPTALLAKLIVSGSFIFYLYLFVFHSTWVTRT AYSSPSVVLPSQTPDGKLALIDDFREAYYWLRMNSDEDSKVAAWWDYGYQIGGMADRT TLVDNNTWNNTHIAIVGKAMASPEEKSYEILKEHDVDYVLVIFGGLIGFGGDDINKFL WMIRISEGIWPEEIKERDFYTPEGEYRVDARASETMRNSLLYKMSYKDFPQLFNGGQA TDRVRQQVITPLDVPPLDYFDEVFTSENWMVRIYQLKKDDAQGRTLKDVGNLARSSTK TRRSIKKPELGLRV SPAR_G02130 MDFETSFEEFVEDKRFIALEVSDNDDDYDTDLTADTADELENSA ILKLRDSKASLNVTTGNNTSRKTTATSKKRWSLLSNHSAVSSSKSKKRWSVLSSSFTS ESHKDRESRNALQQKRKSLQSYSSLDTVASNSSISASSSLKRSSTGLSLRQLFTKIGI NDEISQPGIGIPQGKENLPPALGKKTSSIAPTSSENRLRTPLKPLVNHSKRSTLQLQQ QQPLYNASLSSRRSSISSTVSSASSSKWRFWKRDKGQTPAMLQADHHSLKSFPAVNRR DSMTPVEPRNKAKHKTSFSDFHKAIFSSNTYSESSDTVSSMETTLKNKASSSSLSLNV LKKRSSQSSLKHKSSHASLQKFKRNKGKSSMMPPSTATNSSNDDSCSYSSKNSALSHR ISLPVPDQVSRDKIQNKLRNSTSLLSLTSKSSLPMNKNDHDETLLRQILQNCDIKRIL NPAKGDILPLINDVNHLSSIQLTSHVWQIGEVICKKVSLGPIDDITWDRKSLSLQELE KLKIMKQKFNGTPQLLKSFVVKEANGALYLYLLFKDHGTPISLISLKNWKQILKIFWS CVGIIHGLETNLKFEHRNLTLDNILIDGNGNITIIDFKCSRLQTPQDDVIFLRLDHPL FFLNGKDKSKINEYQYQFEFEIYQSMRVSLNMDASAFEPMTNLYWLYYLSRVLLKLGD RKLGKNDINRDKMARVISHLETNLAVHKRGGQLFKRLETADIKNTGDLLKLYK SPAR_G02140 MHWAAAVAVFFIVVTKFLQYTNKYHEKWISKFAPGNELSKKYLA KIKERHELKEFNNSISAQDNYAKWTKNNRKLDSLDKEINNLKDGIQSENKAFQAHLHK LKLLTLTVPFFVFKIVYGKTPIYQLSSSTSTLFPTFVSGVWSQGWLYVILHPLRTISQ KWHIMEGKFGVSKFDGVALQSVSLGIWVWALMNVLNGIEFIVNQLFLTPNMEPPASTE TQEEKSVDSVDDTVILD SPAR_G02150 MSQEFVEDYSRTGSSDDEDSGAYDEWIPSFCSRFGHEYFCQVPT EFIEDDFNMTSLSQEVPHYRKALDLILDLEAMSDEEEDEDDVVEEDEVDQEMQSNDGH DEGKRRNKSPVVNKSIIEHAAEQLYGLIHARFILTKPGLQAMAEKFDHKEFGTCPRYY CNGMQLLPCGLSDTVGKHTVRLYCPSCQDLYLPQSSRFLCLEGAFWGTSFPGVFLKHF KELEEYVERKSKESYELKVFGFRINDEAVSGPRMKWLRQYPSTEEDWEEFAKCEFETP AV SPAR_G02160 MLKYLVQRRFTSTFYELFPKTFPKKLPVWTIDQSKLRKEYRQLQ AQHHPDMAQQGSEQSSTLNQAYHTLKDPLRRSQYMLKLLRNIDLTQEQTSHEVTTSDP QLLLKVLDIHDELSQMDDEAGVKQLEKENKQRIQDIEAQLEQCYNDKDYAAALKSTVE LKYWYNLAKAFKDWAPGKPLELNH SPAR_G02170 MPGRFVIWAPSMHNEPASKCGYCHGNKGGNRDQLFALDSWAHRY MNKMDVIEIENCTIGSFVEHMDVETYDRLCNMGFRRSGKFLYKVDPLRNCCRLYTIRT APQELNMTKELKKCVNRFATRITGDDYRPAAIPSSDFVGKIVNAEMNSKKFHTRFEPA LYSDEKYQLFVKYQENVHQDYNNSAKSFKRFLCDTPFGPEAVLGTQESWEQLNNWQRM RPGEKLKHVGPVHECYYYEGKLIAITVSDILPSGISSVYFIWDPDYSKWSLGKLSALR DLAIIQKTNLQYYYLGYYIQDCPKMNYKANYGAEVLDVCHSKYIPLKTIQGMISRGKL FVLGEEEAKVSKELYMVDTDTGRGGIFSTNAVVKYKNIAEEIYGVEGRAFKSANESAL ELKELYGIPYKEEDLDTIYHLKEQKVHSPSGIPNVVPGLLPLWELLDIMQSGKITDLE GRLFLFEIEMDGIRPLVNFYNEPPNVKKRICDVIRLYGFETCMKAVILYSEQM SPAR_G02180 MSSIHEVVALIEELYSPHPKHDVNQIQQSLQSIQKSEQGFHLAN ELLSDDKYSANVKYFGALTLTVQLNTRGENDYETLWNVFKSNLLYLTKFSTLYVSNPN MYGQSLIIIKKLMSNLSLIFTKINDPQLNSVGSENLIKQWNNPINTFIQLMSVQNQNI HADQLLLDSINCSLTYEQLSQFVSLSQKHNELALTFTEIIVEDLTKFQTKRHSMSQIH EVVHEHLYISTMALINLNLTAQAVFNPTVFDCITAWINYISLTRSVSPSGRMDLSEIF QNLIDLMYQSTEGSDGYENAEKILTIFGNVFANDPLLMSYDLRQQIECIFLGVVRPDS GITDISNKNSWMLQYMNYLVTNDFFSELKELAICIVDFLQINTLSVCNKLFTNIQATD NGQVQDEFIQEYIKVLLQMTNFPLTPVLQEFFSVRMVDFWLDLSDAYTNLASETLRPN SIELSTRIFQQLINIYLPKISLSVKQRIIEEDGESTSMNEFDDFRNAVSDLAQSLWSI LGNDNLTNVLINGMGQMPAASDETLIIKDSDVLFRIETMCFVLNTILVDMTLSESPWI KNIVHSNKFFNQNVISVFQTGFQTSAGTKVGQILKLDFVRTSTTLIGTLAGYFKQESF QLNPYVEALFQGLHTCTNFTSKNEQEKISNDKLEVMVIKAVSTLCETCREELTPYLMH FISFLNTVIMPDSNVSHFTRTKLVRSIGYVVQCQVSNGPEEQAKYISQLTDLLSGSIE HCLASSAQLQEQQDYINCLLCCISELATSLIQPTEIIENDALLQRLPQFQNFWSTDPL LIRSKIMCTIDKVLDNPIYGKNSAFVEISCLIVGKGLNLPDGEPYFLKYSMSDIINFV LRHVPNCELATCLPYFVYLLEKLISEFRAELTPQEFDFMFEKILLVYYDAYIINDPDL LQMTIGFVNNVLDVKPGLAIGSKHWTSFILPQFLKLLPSREKFTIVAVAKFWTKLINN KKYKQEDLTTVRQQVSSIGGELVYQIMYGLFHTQRSDLNSYTDLLRALVAKFPIEARE WLVAVLPQICNNPAGHEKFINKLLITRGSRAAGNVILQWWLDCTTLPNYQA SPAR_G02190 MEDTIRPLNYIDMETSRPINLFETTNNLKSSLKKFSQKAKASHI PRERIHHFRKWKNKTESLSDDSSKPPPDINSLRFNNYPQSGALPVNVFLPPRSLDMYW NEEQFQIEMEILEFLSLKTSNEYCTSED SPAR_G02200 MSTKDLKEEIDDVPSVDPVVSETVNSALEQLQLDDPEENATLNA FPNEVSQDPQFANAPPSQMFPHPQIMGGMGFMPYSQMMQVPHNPGPFFPPPDFNDPTA QSSSSPLNASGPPMLFKNDSLPFQMLSSGAAAATQGGQNLNPLINDSSMKILPIASAD PLWIPSEVPGSASVAIEDTTATQQEGLPPKGAESNNKASSFRRQTFHALSPTDLINAA NNVTLSKDFQSDIQNFSKAKKPSVGANNTAKTRTQSISFDNTPSSTSFIPPTNSVSEK LSDFKIEETSKEDLISKTAPVRKESSPTVYAAAYPYGGPLLQPNPIMPGHPHNISSPI YGIRSPFPNSYEMGAQFQPFSPILNPASHSLNANSPIPLTQSPIHLAPVLNPNSHSVA FSDIKNGGGKSTTDNDKMGPNMRMDSINPNLGPSMQPFHMLPPQQNTPPPPWLYGTPP PFNAMVPPHLLAQNHMPLMNSASNKHHSRNNNNMSNNNSDNIGNSNYNRKDTGRSNVG KMKNMKSNYHGYYNNTNNSNNNNNSNGNSNGTDSNNMEKQRKIEESSRFADAVLDQYI GNIHSLCKDQHGCRFLQKQLDILGSKAADAIFEETKDYTVELMTDSFGNYLIQKLLEE VTTEQRIVLTKISSPHFVEISLNPHGTRALQKLIECIKTDEEAQIVVDSLRPYTVQLS KDLNGNHVIQKCLQRLKPENFQFIFDAISDSCIDIATHRHGCCVLQRCLDHGTTQQCD NLCDKLLALVDKLTLDPFGNYVVQYIITKESEKNKYDYTHKIVHLLKPRVIELSIHKF GSNVIEKILKTPIVSEPMILEILNKGGETGIQSLLNDSYGNYVLQTALDISHKQNDYL YKRLSEIVAPLLVGPIRNTPHGKRIIGMLHLDS SPAR_G02210 MRGLASKNGVHIETGPDTESSADSGHFSIGLSGKVRKPRSKVSK ACDNCRKRKIKCNGKFPCASCEIYSCECTFSSRQGGSRIKNLHKTSLEGTTVQVKEET DSSSASLSNNQRCTDGPSAVEQPTKFFENFKLGGRSSGDNSGSDGKDDDVNKNGFYED DSESQATLTSLQNTLKNLKEMAHLGTHITSAIESIEFQINDLIKHWEPKVRVKELATT KFYPNKSIETQLMKNKYCDVVHLTRYAAWSNNKKDQDTSSQPLIDEIFGLYSPFQFLS LQGIGKCFQNYRSKSKCEIFPRTAKETIYIMLRFFDVCFHHLNQGCVSIANPLENYLQ KMNLLPSTPSSISSAGSPNTAHTKSHVALVINHLPQPFVRNITGISNSELLSEMNNDI SMFGILLKMLDMHKNSYQNFLMEITSNPSVAKNTLSTDVLQEFIHYCQAGEALIALCY SYYNSTLYNYVDFTCDITHLEQLLFFLDLLFWLSEIYGFEKVLNVAVHFVSRVGLSRW EFYVGLDETFAERRRNLWWKAFYFEKTLASKLGYPSNIDDSKINCLLPKDFRDVGFLD NRDFIENVHLIHRSEAFDNMCISNLKDYGELAVLQIVSHFSSSVLFNEKFTSIRNTSK PSIVREKLLFEVLEIFNETEMKYDAIKEQTGKLFDIAFSKDTTKLKVSREDKIMASRF VLFYEHHFCRMVNESDNIVARLCVHRRPSILIENLKIYLHKIYKSWTDMNTILLDFDN DYFVYRSFAHYSISCIILVSQAFSVAEFIKVTDVINMIRVFKRFLDIKIFSENETNEH VFNSQSFKDYTRAFSFLTIVTRIMLLAYRESSSTNLDVISKYIEEHAPDLKGIIELVL DTNSCAYRFLLEPVQKSGFHLTVSQMLKNRQFQVPLMSNEDKKQMNLKTGRKLSPDLP NFKAGSSCLLNGIESPQLPFSGRSAPSPVRNNSLPEFAQLPSFRSLSVSDMINPDYAQ PTDGPNNPQIQSNKSSRSTNAQPQIPTSMQVPFMDTNENNNNNNNNNNNNSFSATSFN LGTLDEFVNNGDLEDLYSILWSDVYPDN SPAR_G02220 MGNDNSKKLQMQEEEKNPKQPVNFLPQGKWLKPNEIEYEFGGTA GVIGMLIGFPLLMYYMWICAEFYHGKVALPKAGESWMHFIKHLYQLVLENGIPEKYDW TVFLTFWVFQIIFYYTLPGIWTKGQPLSHLKGKQLPYYCNAMWTLYVTTTLVLVLHFT NLFRLYVIIERFGKIMTCAIISGFAFSTILYLWTLFISHDYHRMTGNHLYDFFMGAPL NPRWGILDLKMFFEVRLPWFTLYFITLGACLKQWETYGYVTPQLGVVMLAHWLYANAC AKGEELIVPTWDMAYEKFGFMLIFWNIAGVPYTYCHCTLYLYYHDPSEYQWSTLYNVS LYVILLCAYYFFDTANAQKNAFRKQMSGDKTVRKTFPFLPYQILKNPKYMVTSNGSYL LIDGWYTWARKIHYTADWTQSLIWALSCGFNSVFPWFFPAFFLVVLVHRAFRDQAKCK RKYGKDWDEYCKHCPYVFIPYVF SPAR_G02230 MSGAAAASAAGYDRHITIFSPEGRLYQVEYAFKATNQTNINSLA VRGKDCTVVISQKKVPDKLLDPSTVSYIFCISRTIGMVVNGPIPDARNAALRAKAEAA EFRYKYGYDMPCDVLAKRMANLSQIYTQRAYMRPLGVILTFVSVDEELGPSIYKTDPA GYYVGYKATATGPKQQEVTTNLENHFKKSKIDHINEESWEKVVEFAITHMIDALGTEF SKNDLEVGVAIKDKFFTLSAENIEERLVAIAEQD SPAR_G02240 MGEGLLDLRSQLGFYKFYHHNPRNVLIHSIFVPTILFSGCCMLH RVKIYQGISLTAVLSVSFSIFYCLLYFPTGLLAGVLLLLLNLALIDRRVDLTFKQELS LFVIGWIFQFVGHGVFEKKRPALVDNLVQSLVLAPYFIMFEFLFKLGFMPRLKATLEH DLEIKQRNSRKQKQ SPAR_G02250 MVYTPSKGPRTLYDKVFDAHVVHQDENGSFLLYIDRHLVHEVTS PQAFEGLENAGRKVRRVDCTLATVDHNIPTESRKNFKSLDTFIKQSDSRLQVKTLENN VKQFGVPYFGMSEARQGIVHTIGPEEGFTLPGTTVVCGDSHTSTHGAFGSLAFGIGTS EVEHVLATQTIIQAKSKNMRISVNGKLSPGITSKDLILYIIGLIGTAGGTGCVIEFAG EAIEALSMEARMSMCNMAIEAGARAGMIKPDEITFQYTKGRPLAPKGAEWEKAVAYWK TLKTDEGAKFDHEINIEAVDVIPTITWGTSPQDALPITGSVPDPKNVTDPIKKSGMER ALAYMGLEPNTPLKSIKVDKVFIGSCTNGRIEDLRSAAAVVKGQKLADNIKLAMVVPG SGLVKKQAEAEGLDKIFQEAGFEWREAGCSMCLGMNPDILDAYERCASTSNRNFEGRQ GALSRTHLMSPAMAAAAGITGHFVDIREFEYKNQDQSSPKVEVTSEDEKELESAAYDH AEPVQPEDAPQDVANDELKDIPVKSDDTPAKPSSSGMKPFLTLEGISAPLDKANVDTD AIIPKQFLKTIKRTGLKKGLFYEWRFRKDDQGKDQETDFVLNVEPWREAEILIVTGDN FGCGSSREHAPWALKDFGIKSIIAPSYGDIFYNNSFKNGLLPIRLDQQIIIDKLIPIA NKGGKLCVDLPNQKILDSDGNVLVDHFEIESFRKHCLVNGLDDIGITLQKEEYISRYE ALRRDKYSFLEGGSKLLKFDNVPRRKAVTTTFDKVHQDW SPAR_G02260 MADTSSSSSSSSSASSVSAHQPTQEKPAKTYDDAASESSDDDDI DALIEELQSNHGVDDEGSDDDGPVAAGEARPVPEEYLQTDPSYGLTSDEVLKRRKKYG LNQMADEKESLVVKFVMFFVGPIQFVMEAAAILAAGLSDWVDFGVICGLLMLNAGVGF VQEFQAGSIVDELKKTLANTAVVIRDGQLVEIPANEVVPGDILQLEDGTIIPTDGRIV TEDCFLQIDQSAITGESLAVDKHYGDQTFSSSTVKRGEGFMVVTATGDNTFVGRAAAL VNKAAGGQGHFTEVLNGIGIILLVLVVATLLLVWTACFYRTNGIVRILRYTLGITIIG VPVGLPAVVTTTMAVGAAYLAKKQAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSLH EPYTVEGVSPDDLMLTACLAASRKKKGLDAIDKAFLKSLKQYPKAKDALTKYKVLEFH PFDPVSKKVTAVVESPEGERIVCVKGAPLFVLKTVEEDHPIPEDVHENYENKVAELAS RGFRALGVARKRGEGHWEILGVMPCMDPPRDDTAQTVSEARHLGLRVKMLTGDAVGIA KETCRQLGLGTNIYNAERLGLGGGGDMPGSELADFVENADGFAEVFPQHKYRVVEILQ NRGYLVAMTGDGVNDAPSLKKADTGIAVEGATDAARSAADIVFLAPGLSAIIDALKTS RQIFHRMYSYVVYRIALSLHLEIFLGLWIAILDNSLNIDLIVFIAIFADVATLAIAYD NAPYSPKPVKWNLPRLWGMSIILGIILAVGSWITLTTMFLPKGGIIQNFGALNGIMFL QISLTENWLIFITRAAGPFWSSIPSWQLAGAVFAVDIIATMFTLFGWWSENWTDIVTV VRVWIWSIGIFCVLGGFYYEMSTSEAFDRVMNGKPMKEKKSTRSVEDFMAAMQRVSTQ HEKET SPAR_G02270 MSRQGENSALLVDSENNHPSYMGNEDGAYDYFRLSKSQLSDLHN PKSIGSFIKLFGYESSSFFKYLKTDKNAGISLSEKTDYRKTNRYKNYGDNSLPERIPK SFLQLVWAAFNDKTMQLLTVAAVVSFVLGLYELWMQPPQYDPEGNKIKQVDWIEGVAI MIAVFVVVLVSAANDYQKELQFAKLNKKKENRKIIVIRNDQEILISIHHVLVGDVISL QTGDVVPADCVMISGKCEADESSITGESNTIQKFPVDNSLRDFKKFNSSDGHACNKPL DIGDGNEDGDKIADCMLISGSRILSGLGRGVITSVGVNSVYGQTMTSLNAEPESTPLQ LHLSQLADNISVYGCVAAIILFLVLFTRYLFYIIPEDGRFHDLDPAQKGSKFMNIFIT SITVIVVAVPEGLPLAVTLALAFATTRMTKDGNLVRVLRSCETMGSATAVCSDKTGTL TENVMSVVRGLLGNSKFNDNKSLPVSEQKKLNSKKVFEENCSSSLRNDLLANIVLNST AFENREFKKKDKGMNDNTKTSSKNSSFASKWKSRLSIFKKSKGNDDEDQLFENVNKGK QEPFIGSKTETALLSLARLSLGLQVGELQDLRDQPTKKFNIEKIVQTIPFESSRKWAG LVVKYKDSKGKKSFYRFFIKGAAEIVSKNCSYKRNSDDTLEEIDEDNKKKINDEIKNL ASDALRAISVAHKDFCECESWPPEQLRDKDSPNMAALNLLFNSQKGLILDGLLGIQDP LRAGVKESVQQCQRAGVTVRMVTGDNILTAKAIARNCGILSTDITSEAYSAMEGTEFR KLTKNERIRILPNLRVLARSSPEDKRLLVETLKGMGDVVAVTGDGTNDAPALKLADVG FSMGISGTEVAREASDIILMTDDFSAIVNAIKWGRCVSVSIKKFIQFQLIVNITAVIL TFVSSVASSDETSVLTAVQLLWINLIMDTLAALALATDKPDPNIMDRKPKGRSTSLIS VSTWKMILSQAILQLIVTFILHFYGPELFFNKHEDQITSHQQQQLNAMTFNTFVWLQF FTMLVSRKLDEGDGISNWRDRVCAANLNFFQDLGRNYYFLTIMAIIGGCQVLIMFFGG APFSIARQTKSMWITAVLCGMLSLIMGVLVRMCPDEVASKVFPAAFVQKFKYVFGLEF LRKKHIGKHDDEEALLDESDTPESTAFY SPAR_G02280 MVELTTTDDDDILSMFFDEEFVPHAFVDILLSNAPNEDQIQTQS VSSQLLTRLDFYTKNLTKELENTIWNLDKLSQTLPRTWASSGYHEEEDRDGSPLYSTE SLRSSKLEYYLDTLASAVRALETGMHNVTEKLSEIDQENNDNTNVRQQLQSLMLIKGR IEKVVYYLEQIKIVTNISKTRENENTTNVGTDLSINDFRKSLKALEDTIDESLSLAID NETKDETNMDLIRRIDSLSELKSLFKGLDKFFAEYATFSDGIKSKAQSYLSTKDIDEG LIS SPAR_G02290 MRKIITVAHIQYDFEAVLEEEHEYNDEFYVNVDKNLNEIKEHKI VVLANSKGVDAGEGNAFEKIDFHLYKARLDGHDFLFNTIKRDCSKVLKSADYTAVDTT EIQMRRFTLGTTEGDIKVLDSNFNLEREISQAHVGEITKLKFFPSGEALISSSQDMQL KIWSVRDGVNPRTLIGHKATVTDIAIIDRGRNVLSASLDGTIRLWECGTATTIHTFNR KENPYDGVNSIALFAETEKQFSDISTPKKDNLEFGTHGKHVIAGHVSGVITVYNVFSK EQTIQLPSKFTCSCNTLAVDMNNANYVYAGYENGMLAQWDLRSPECPVDEFLINEGTP ITNVYFAAGALFVSSGLDTSIKLDIISDPKSERPVVGFETPTFLVSNDDEVSQFCHLP HNESRGEVIEVGKYNFCALYNLSNP SPAR_G02300 MPTNLNPFMNNTPSSSPLKGSESKRVSKRPISSSSSASLVSSPS RRSRPSTVYGDRYIPARTDIDFNSIVSISSMASVPALNPSSTEDQVEYQKERQAHETY NTLLKNELFGEMLSKDTVGSESSIDRIKNTRPCTRGNVHTENATSYGYELERVSTPPP EEPSLEEFSPHSTPVTPRRLFTSQQDEVTRPSSNSVRGASLLTYQQRQGRRLSAASLL QSQFFDSMSPVRPDSKQLLLSPGKQFRQIAKVPYRVLDAPSLADDFYYSLIDWSSTDV LAVALGKSIFLTDNNSGDVVHLCDTENEYTSLSWIGAGSHLAVGQANGLVEIYDVIKR KCIRTLSGHVDRVACLSWNNHVLTSGSRDHRILHRDVRMPDPFFETIESHTQEVCGLK WNVADNKLASGGNDNMVHVYEGTSKSPILTFDEHKAAVKAMAWSPHKRGILATGGGTA DRRLKIWNVNTSTKISDIDSGSQICNMVWSKNTNELVTSHGYSKYNLTLWDCHSMDPI AILKGHSFRVLHLTLSNDGITVVSGAGDETLRYWKLFDKPKAKVQPNSLIFDAFNQIR SPAR_G02310 MLSHYIFLAFVLLPFRASAFYFYGYGGDRKCFLKELSKDTLLKG SYNLGVYDDKLADYALPNYNDYGIVIDVEEVFDNNHRVVHQQSSPSGDFSFLALESGE YKICFQSQVKNWVGKTKTKLEIEFEIGFEAMLNLQRKESLESLHGKVSILNSKIMDIR REQQLMREREESFRDISESVNSRAMWWTVIQVTLLIIVCVWQIKSLRSFFVKQKVL SPAR_G02320 MSNIDSVLIIGGSGFLGLHLIQQFFDINPKPDIHIFDVRDLPEK LSKQFTFNVDNIKFHKGDLTSPNDMENAINESKANVVVHCASPMHGQNPDIYDIVNVK GTRNVIDMCKKCGVNILVYTSSAGVIFNGQDVHNADETWPIPEVPMDAYNETKAIAEE MVLKANDPDSGFYTVALRPAGIFGPGDRQLVPGLRQVAKLGQSKFQIGDNNNLFDWTY AGNVADAHVLAAQKLLNPETRTAVSGETFFITNDTPTYFWALARTVWKADGHIDKRVI VLKRPVAICAGYLSEWVSKMLGKEPGLTPFRVKIVCAYRYHNIAKAKKLLGYTPRVGI EEGINKTLAWMDEGL SPAR_G02330 MSNCDSDSDYELTLSANALAALEEFKKEEQQHQEVFQKLYDQTD EDFQKKKKEEGMKLFKEDWQLSQFWYSDETAAILADAILEGADENTVIAIVSAPSVYA AIQKKPVSEIPTEHIYLFEFDRRFELLAGKDHFFFYDYNKPLEFSDQIKGKVDRLLID PPFLNEDCQTKSSITAKSLLAPNDNSKTKNGVSKHRLISCTGERMSEVISNVYSDTRI TTFLPEHSNGLSNEFRCYANFECDSWKFAS SPAR_G02340 MSSSDIFDVLNIKQRSRSPTNGQISVPSSSAANRAKPQVTGMQR ELFNLLGENQPPVVIQSGNNFKEKMLSTSKPSPWSFVEFKANSSVTLRHWVKGSKELI GDTPKESTYSKFNQHLSIPSFKKEEYEAFMNETGNQKSVENEKNSNDNFTNEKKNEDK NSWTFEEIEYLFNLCRKYDLRWFLIFDRYNYNDSRTLEDLKEKFYHICRNYFKASNPS NPLLSSLNFSAEKEIERKKYLQRLLSRSAAEIAEEEALVVESKKFEMAAKRTLAERES LLRLLDSPHSDQTITQYLTSQGMSQLYNALLADKTRKRKHDSNIPENPWMKQQQQFAQ HRQLQQMNVKKLEVKENLSPKKTKRQRQEMQTALKRKSESAYAEQLLKDFNSDERKAL GVITHGEKLSPGVYLRSTKLSTFKPALQNKILAILQELSLPSRPVMPSYDVMERQEEL LKKINTLIDLKKHVDKYEAGMSITK SPAR_G02350 MITNKKIKINVPEKLGLSEQSFEESWEIVKYAIDRIYGDDIADL SFEQVYRTIYTVVLNRKGSILYNKLQTYLIQKLSFLRETTIKDNAHDYEFLETMARLW ETQCHCFKITGDLMMYMDKVYCKPNRCLEVYDMCLDLFRIEILQKCSSSLISALISDI ESIRDLGSVDSKHTSLWKVLIGMMETLHDNRDSFFLTDFEPVLISTTEEYYNKAIDIK LLTPIDSLEKIRKLRQFENMLDSSFLNVDSHNKLKTVLGNVLIWGKLSDVIEDLTHEA MELSDGKLLQEIYDLSSEEKYRKTIIESIKSYIGKNAIKIPFKEGSRKKGQNAITWSS ETVRLYHSQHLFLENIKFGSIRLNNLTADISVAILNDVFSMYFSKEGALPSEYFSTYV DYCMKRAKENDAEVVKIKQELLDSTKLIGLLTEKDIFEKTYKKQLSRRLLQQRSLVEI EKWMVQMIKKVLGTFFTSKMEIMLRDISLSSEIFQAFKNSTANSIEYLSFVPQVLTRT SWPFQSTNPIDETISLPPRMSQILAAFEGYYSSKYKERVLKWAHHLSVIEIGCQFNSG YYEISFSVYAGVIFLLFEDYEELTLGEIYELTHIPEGDVNSLVLSMSTIPRCKILKKS SNSGIIKFSVNYFFSSPNRKVKVPVIAGPILSHKSDNLATPSSVDTYDNEIIMELNAT IVRIMKTEGKLNHQQLLERATKQSRPRFSLTPSLFKRSIQLLVEKEYIQRDADDASYY HYLS SPAR_G02360 MSEISNENLEPNQIIVAESAESKNKGIRSALRKRRGKLSAQTYE EDQEAILSSPLLTSTPKTVSRSLVRLYPYLIVVDNFLSIITWSNDNIAANLLGIFVFT VCVLYFGFITKYFGHVMIVGIIWVYLLIDKHVQETMASCPSLDDIIHVMDRVSMKSSA VLSPITILSGQDVRRLLFTIAFLSPVYIFLTMFVLSPNYLMLIGGLYVLTYHSKLIRR MRRYLWKFRVVRLLVFFITGLDLGGPDNNKRLFASVNKKIRSFVWNEVGNTSNTKKTV LFKVALFENQRRWLGIGWTSTMLSYERASWTDEFLNTSPSPEVFTLPEEQSGMAWEWH DKDWVLDLTNDGIIQLPASAIKTKAKPGADEGFIYYDNTWNNPSATDTYKKYTRRRRW IRTATVTTTYDDEPIVEKATTTSPDLKSEGNDRVRKRKVSFSAANEVHIIPSSDSNKL IEMSDISMTSPQ SPAR_G02370 MSSGSAGAPALSNNSATSVGKEKSGNISGDEYLSQEEEVFDGND IENNETKVYEESLDLDLERSNRQVWLVRLPMFLAEKWRDRNNLHGQELGKIRINKDGS KITLLLNENDNDSIPHEYDLELTKKVVENEYVFTEQNLKKYQQRKKELEADPEKQRQA YLKKQEREEELKKKQQQQKRRNNRKKFNHRVMTDRDGRDRYIPYVKTIPKKTAIVGTV CHECQVMPSMNDPNYHKIVEQRRNIVKLNNKERITTLDETVGVTMSHTGMSMRSDNSN FLKVGREKAKSNIKSIRMPKKEILDYLFKLFDEYDYWSLKGLKERTRQPEAHLKECLD KVATLVKKGPYAFKYTLRPEYKKLKEEERKATLGELADEQTGSAGDSAQGEAEADLED EIEMEDVV SPAR_G02380 MNLDLTSILKGEISKKQKELAYSKGAQQPCNEKSQAQESANIDE IPREIEQESTNEKNLPDNQPDEDIGATISKLENRPDRIQQAIAQDNTTSVIIDPSQIG STEGKSLLSMKCNLYIHEILSRWKASLDEYHPELFLDTKKALFPLLLQLRRGQLASDL LISLATVLYHLQQPKEINLAVQSYMKLSIGNVAWPIGVTSVGIHARSAHSKIQGGRNA ANIMIDERTRLWITSIKRLITFEEWYASNRHSLE SPAR_G02390 MTVNLDPDRVWIDGCFDFTHHGHAGAILQARRTVSRENGKLYCG VHNDEDIQHNKGSPVMNSLERYEHTRSNRWCSEVVEAAPYVTDPNWMDRYQCQYVVHG DDITLDANGEDCYKLVKEMGRFKVVKRTYGVSTTEIIHRILTKKSLPPTHPDYYPSIQ ELSFYSVARDAVSKHCYVFQRDLGNVLVNGGYDFNVEDCVYVDGDFDLFHMGDIDQLR RLKMDLHPGKRLIVGVTTSDYSSTIMTMKERVLSVLSCRYVDAVIIDADNTSLSQYNC EKYRIGTAVLKAAGKFSEYLTKELIIKRVESQREVYIARNQKKGMSI SPAR_G02400 MTRTNKWTEREAKPDPKYFSHTGNYGESPNHIKKQGSGKGNWGK PGDEIDDLIDSGEIPPVFKKDRRGSNLQSHEQKFENSQKE SPAR_G02410 MGLKKFFKIKPPEEATPEQNKDTLMELGISVKNPNKKRKDKFAA YGKFANDKAEDKVYAPPGYEQYARPQDELEDLNSSPLDANANEATAGPTKGSSGMQNG RNGAESNSVQDPYAIENDDYRYDDDPYAKFQANRSNGGSSANASAYSDFGGGGYNGTS LNSYNNGGSYSNQSSSKSWMNANSSNSLNQSSSTLNARSSKQLRQPPVPTSSDSPLVD QKSPSSNPIQEKRNPYANMNSYGSAYDSNTNKPGSIRHGSSKNANPYASMTNDSYSNG NVNRSANPYSSRSVRQPQYQQAPITYTPSFIASNEAAPNSEVDLNEEPRAGEFDFEEV YADKSAENRAALDEPDLNAVVTNDDSIDLNASEVDHHSRQQQQQQWFMDEQQQQQQDF NATNDQYADQRGYKTFEEIQKEEEARQQQEEDEAVDEIKQEIKFTKQSSVASTRNTLK MAQDAERAGMNTLGMLGHQSEQLNNVEGNLDLMKVQNKVADEKVAELKKLNRSILAVH VSNPFNSKRRRREREEQLKNRKIEEKLMREQTNQQLSQSTQRIEGAMNANNNISEVRE RYQRQNVLEKAKRYQFENDEEDDEMELEIDRNLDQIQQVSNRLKKMALTTGKELDSQQ KRLNNIEESTDDLDINLHMNTNRLAGIR SPAR_G02420 MDPTKAPDFKPPQPNEELQPPPDPTHTIPKSGPIVPYVLADYNS SIDAPFNLDIYKTLSSRKKYSNSSNRMDHIPLNTSDFQPLSRDVSSEEESEGQSNGID STLQDVTMTGNLGVLKSQIADLEEVPHTIVRQARTIEDYEFPVHRLTKKLQDPEKLPL IIVACGSFSPITYLHLRMFEMALDDINEQTRFEVVGGYFSPVSDNYQKRGLAPAYHRV RMCELACERTSSWLMVDAWESLQSSYTRTAKVLDHFNHEINIKRGGIMTVDGEKMGVK IMLLAGGDLIESMGEPHVWADSDLHHILGNYGCLIVERTGSDVRSFLLSHDIMYEHRR NILIIKQLIYNDISSTKVRLFIRRGMSVQYLLPNSVIRYIQEYNLYINQSEPVKQVLD SKE SPAR_G02430 MSCSQNKTSFNLPWNECISIASVVIGAYASYKYYKLCKTQDIPR PKGGVEELIGNTPLVKIRSLSKATGVNVYAKLELCNPAGSAKDRVALNIIKTAEELGE LVRGEPGWVFEGTSGSTGISIAVVCNALGYRAHISLPDDTSLEKLALLESLGATVNKV KPASIVDPNQYVNAAKKACDELKKKGNGVRAVFADQFENEANWKVHYQTTGPEIAHQT KGNIDAFIAGCGTGGTITGVAKFLKEMAKIPCHVVLADPQGSGFYNRVNYGVMYDYVE KEGTRRRHQVDTIIEGIGLNRITQNFHMGEEFIDESIRVNDSQAIRMAKYLSVNDGLF VGSSTAINAVAAIQVAKRLSHGSNIVIIACDSGSRHLSKFWKEAKEIGHDISLEEIIN I SPAR_G02440 MKDIIFVSPRLYLSSQEDWKSVHAKGGYIPILKNDLQRFQDSLK HIVDARNSISGTLLNSNDDATINDSDQKTGSSKDKDSSIADNNATNEGTLSSSRYEEL KLFLPISLDQQIHTVSLQGVSSSFSREQIESLLDHCLSLALTETQSNPKLKVEAWSSF SSFLDTQDIFIRLSKVDEDEAFVKILKYCKALFAFTRKLHEDFKIELHLDLNTQEYIR DRTGTVPSVKPEKASKFYSIFKNIEGQTDERNLKKEQLDDSSTQYKVDTNTLSDLPPD ALDQLCKDIIEFRTKVVSIEKEKKMKSTYEESRRQRHQMQKVFDQIKKNHSGTKGSAN ADEEDANIEDEEEEDDAEDDLALEKRREERVLEESNRKYEDMLHRLHSETEPKIKSIK ADIISAENYEKHLEKNRSLYLKELLHLANDVHYEHHRSFKEQEERRDEADRAKNGNAK ESVPIQLSNGMATSAEKADALTLPEGTVKGENHDADKNVSESSEHVKIKFEFKKAIDH SVESSSEDEGYRESEEPPTKPSEKSAAEDRLPFTADELNTRLAELKESRYVDELVREF LGVYEDELVDYILENIRVNQSKQALLNELRETFDEDGETIADRLWSREEFRLGT SPAR_G02450 MQFPFTILLSTLLLSGSLAEASPFDFIFGNGTQQAQSEGQSQGQ VSLTSEVSQDSSTTTLATAYSQSVHSHHSATLVSATISSLPSTWYSADFTSQTSASYA YQESDYTSNQNSWSPSFNQQPSTSTTSYYAAVYSTSTDFAASDINVASDVSTASVPTV TSANSVFFTTMSKPKATTSTSLTSGTPSISTSTMSTTGNNIFLSSGNLISASITTANG AESFDQTSAAELTTTIASAASAIPVQSSADFGSSSAPTTADASLSAAPLQTSESGSFI TGNPSASTAPPLSSIEVDGSSASSTMSMAAAGQITGSSSFGTDNPTLSETLPLTSTEV DSSDASSTVSALQSAPLLQTSTSSGFSIVSPSVSFIPSQSSSDVISPSDSSTVSSPFS DIPLQTSTSGSVVSVGPSASAFPFQSSAEVHSTSASSTMSSLLSVTSLQSTTLDSPSL AGSSASAFSALSSDLTDSGVSTTASTPLLSSSLPTGTSSSFSVVSPSVSFVPSQSSSG VASSGASNAVSSSFSDTLLQAASSSMINPSLSTTLYSSGTRSSEGPANPTTSEPLSGS SSTSMAEVLQSQPSSTPSLLSESHTTGTSAILASSSIATMSSPYTTAGATSTAISSAI SSPSAETSLGSYSQSATALQMSSSALSSTTEGSTTSTQGPSISSISVQTPSSIFSDFP PSQTTTQPDSTISSSQSTSSINMLSQVSDIPVRSTSSASSVSQVSDIPVRSTSSASSV SQVSDIPVRSTSSASSVSQTSSLLQPATTSAQHFSVSTNDTLSGSTSVVSQQTSEITS SVKLTTSEDNSAQTTTATQSTSLSLTDANSSSPSTPLEVVTSTPISSSLASSLLPTPS TSSVNEAATNTNVQTSLTTESTTVLQPSTTNSTSPYSLVTSSDNNWWIPTELITQAPE AASTASSTVGGTQTMTLPHAIAAATQIAEPEGYTLITIGFKKALNYEFVVSEPKSSAQ IFGYLPEALNTPFKNVFTNITVLQIVPLQDDSLNYLVSVAEVYFPTAEIEELSNLITN TSSAFYTDGMGTAKSMASMVDSSIPLTGLLRDSNSNSSGSSDGSSSSSSNSGSSNSGS DSNSDVSSSSSGNSYQDAGTLEYSSKSNSNVSSSSKSKKKIIGLVIGVVVGGCLYILF MIFAFKYIIRRRIQSQEIIKNPEISSISSSEFGGEKNYNNEKRMSVQESITQSMRIQN WMDDSYYGHGLTNNDSTPTRHNTSTSIPKISRPIASQNSLGWNEV SPAR_G02460 MSGLAHNKALPYKRIVELSFHRTRLPSDASLLRRFEQRPAIINI HGLLGSHVMFHSFNKLLSRKIDADIFSVDVRNHGISPKAIPYDYVTLTNDLIHFIETH IGLERPIYLLGFSMGGKIALLTTLYKNINIRKCISIDLPPYETPKLDPMILQNYDLIM RIIRRDVKILRGSINWQKRVLELFKSLQCNKRKCGGAVALYFANGFLSVKSNNVHQAQ SYYGQQQHDRASDPYINFSMPLSSMPHLLDEVKKWPDLSNQDDFFQKGVASRKVLFMK GLQSNFISNDYSLLRDIFPCADVQEFNTGHNLLLENPEDSFKCILDFFVEETLDFE SPAR_G02470 MSRLRRFNRKILSLSSEYIHEGESGQDDVSVLPLDTEEQEELIQ KFETNAHVTNKLYINILSMLYLLYGGLLMILVRTAQGHFKLALLAGANSLICSCITLR YDIINDYLLFKKFKLRVSNFSINILNIILLVLMAWISFNHVMEDKKTVLCLQVPMFLF WVALLVKRWARNIEDEIADLRRLKYKYKNA SPAR_G02480 MSHQMAPWVPMFIQSCKNNTEPFVSFQFATVDKLTNKPRCRTVV FRDFLFHDKRTNVLTFNTDMRSSKITESFITPNSNKSGSSKRCETPFFEACVYFPETW EQYRFSGQCFTISRQFKEIPADIVTKYDIFSPRFSETNDDSTDEEMDTSINDDNDKNN DADNNDINEDNKLIEIIDSDEHHEVEDDYYPQPQEWEAELLRQWSSLSRHTKSLYRKP APGQKLTSETSKQLDKLHRGVDGAKEDAGLENFGIVCLCVESVDFLNLKEGRGGERWI FQKTDGKDEDLWEEQEVCP SPAR_G02490 MSICEQYYPEEPAKPIIKTKSIPGPESQKQLKELGGVFDTRPAY FLADYEKSLGNYITDVDGNTYLDLYAQIASIALGYNNPALIKAAQSPEMIRALVDRPA LGNFPSKDLDKMLKQILKSAPKGQDHVWSGLSGADANELAFKAAFIYYRAQQRGYDAD FSEKENISVMDNDAPGAPHLAVLSFKRAFHGRLFASGSTTCSKPIHKLDFPAFHWPHA EYPSYKYPLDENSDENRKEDDRCLAIVEELIKTWSIPVAALIIEPIQSEGGDNHASKY FLQKLRDITLKHNVVYIIDEVQTGVGATGKLWCHEYADIQPPVDLVTFSKKFQSAGYF FHDPKFIPNKPYRQFNTWCGEPARMIIAGAIGQEISDKKLTEQCSRVGDYLYKKLEDL QKKYPENFQNLRGKGRGTFIAWDLPTGEKRDLLLKKLKLNGCNVGGCAVHAVRLRPSL TFEEKHADIFVEALAKAVNEL SPAR_G02500 MAEKRTLIAVIADEDTTTGLLLAGIGQISPETQEKNFFVYQEGK STKEEITDKFNHFTEERDDIAILLINQHIAENIRARVDSFTNAFPAILEIPSKDHPYD PEKDSVLKRVRKLFGE SPAR_G02510 MLVRNGYLGKFLKNSRSFSVLNSSVRSGHNKWSTIKHGKAKNDA ERNKINNKFANQIAMSVKLGNGITDPTMNIRLATSIELANKNNVSKKVIENAIRKASG SSGSGKDSNTSELCVYEGMAPGGVAIVVEALTDNKNRTIGLIRSAFNKANGSMTPTLF FFDKKGYVTVIPPKELDSEDKVLESVLEIQGIEDITTLQEDAEDLECDTETETTGPTY EAVTEPANTNKVAALLKERGFHIRDLGIGYNAKPDMAVSVQGDEAFEKLQKLTTALED IDEVTSLYTNASDA SPAR_G02520 MASRTWIRKESFGSSLYMWRLILMALLTTLPLGVLSQELAPANS TISSTAPSITSLSAVDSFTSTVDTTSSASLSTPSIASVSFTSFPQSSSLLTLSSTLSS ELSSSSVQVSASFTSSVSLFSSFSSEVASSSSSSSSSSSSSTSSPSSLSSSSLSTSTT ASTSSTVASSTFSTSSPLISSKSSSIFSSTFSFNSFSSSSSFSSSISISSISTSSISF PSSSTSSPSSSSSQPTPSYSSSSDSLFTSSSSSPSSSSLSSSTFSSSSSFSFSSSSSS SSSMYSSSMYSSSIYSSSIYSSSSYPSSSFSSSSNPSSSITSTSAPSSVTPASQYSNL AKTITSIIEGQTILSNYYTTITYSPTASASLGKNSHHSGLSKKNRNIIIGCVVGIGVP LILILLILIYMFCVQPKKTDFIDSDGKIVTAYRSNIFTKIWYFLLGKQIGEAEKFSSD SPIGSNNIHSFGDIDPEDILNNDNPYTPKHANVEGYDDDDANDENLSSNFHNRGIDDQ YSPTKSTSYSMSNSNSQDYGDHNGADEIMPDENIHRVYEDSEASIDENYYTKPSNGLN ITNY SPAR_G02530 MANSKFGYVRQFETHDVILPQCYIVVRIDGKKFHEFSTFYEFAK PNDENALKLMNACAKNLLLKYKNDTILAFGESDEYSFILKSDTALFNRRKDKLATLFG SFFTSNYVALWTKFFPDKPLDIKHLPYFDSRCVAYPNLQTIKDYLSWRYVDTHINNLY NTTFWQLIIKCGLTPQESEKKLCGTFSSDKQEILFSECGINYNNEPEMFKKGSLVTRK GEILHINVIAQIDELFEGY SPAR_G02540 MAKTIEVIRKKDPKKKNQSDPLAKQKLIWKIGHALTLVFGLLFS ITYFYHVLIFFKYRSWKWLFLRVNKNYSFIQSKRWYMKLLSWGPQIMYRLSLIGVFMS ESVTMQQNWVGLNPTWNDLLSSENFHTLLIACLWFFGGGKSFYKILPYMILSYLHLTK MNYELNADKEERIPLTPRDKKMLHLLAYSELFVILALTLDTVLFKTGTSGFMLVIYVG IYWLRLNFSPYAQVAVLELLVKSEKYVPKKYRGKWQVIKNFVYMKMKEHGKRTEEVAR YA SPAR_G02550 MPPKQQLSKAAKAAAALAGGKKSKKKWSKKSMKDRAQHAVILDQ EKYDRILKEVPTYRYVSVSVLVDRLKIGGSLARIALRHLEKEGIIKPISKHSKQAIYT RATASE SPAR_G02560 MSRRFDLKTITDLSVLVGTGISLYYLVSRLLNDVESGPLSGKSK ESKAKQSLQWEKLVKRSPALAEVTLDAYERTILSSIVTPEEINITFQDIGGLDPLISD LHESVIYPLMMPEVYSNSPLLQAPSGVLLYGPPGCGKTMLAKALAKESGANFISIRMS SIMDKWYGESNKIVDAMFSLANKLQPCIIFIDEIDSFLRERSSTDHEVTATLKAEFMT LWDGLLNNGRVMIIGATNRINDIDDAFLRRLPKRFLVSLPGSDQRYKILSVLLKETKL DEDDFDLQLIADNTKGFSGSDLKELCREAALDAAKEYIKQKRQLIDSGTIDVNDNSSL KIRPLKTKDFTKKLRMDATSTLSSQPLD SPAR_G02570 MKNSNNAQPLINTKPPVRAIDRMTDNLPQEGLSGRQIIYDEDGK PCRSCNTLLDFQYVTGKISNGLKNLSSNETLANTAASTNTPSDLVPGSRTYRKIDPPD VGQLGRSSWTLLHSVAANYPAKPTDQQKGEMKQFLNIFSHIYPCNWCAKDFEKYIREN APRVGSREELGRWMCEAHNKVNVKLRKPKFDCDFWEKRWKDGWDE SPAR_G02580 MDNPCTLLKKKLHETSIVRQRLEEKKKKIISKLKMVNGVYYNAI NRDLDISSSSQCLRFLQETVIPSLASNTDNSTSILYHGISKNDNIKQSINKLNKQINA TDGSSSQQHALCVFSYGPHIQKMLSILEIFKKGYIKNNKKLYQWNKLTSFDITREGRN ELHENRLKVPILVTLVSDSEITDLNLQLFTKQ SPAR_G02590 MLKVQEQKQVPLDLSYEVVKTDTVTTGDKGKPRPPIIILHGLFG NKLNNRSIGRNLNNKLGRDVYLLDLRNHGTSPHSSIHNYAAMSEDVKHFIAKHKLNTD GGPIIVGHSMGGKVAMMLVLKNPQLCSMLVCIENAPVSLRPNAEFVEYIRALMEIVND KGKTIQTLKQADERLAERISGNELVRRFLLTTLKRVKIDNPSSASSYTFEERIPLETL KDAIVKGEIAAWPLDPVRERWTRPALFIRATQSHYVVDEYLPIIGAFFPRFETRDIDA GHWVNAEKPGECAESIVNFVERHED SPAR_G02600 MSYNDPNLNGQYYNNGDGNGDGNYPTYQVTQDQSAYDEYGQPIY TQNQLDDGYYDPNEQYVDGAQFPQGQDPSQDQDPYNNDASYYNQPPNMMNPSSQDGEN FSDFSSYGPPSGTYPNDQYTPSQMSYPEQDGSSGASTPYGNGVVNGNGHYYDPNAIEM ALPNDPYPAWTADPQSPLPIEQIEDIFIDLTNKFGFQRDSMRNIFDHFMTLLDSRSSR MSPEQALLSLHSDYIGGDTANYKKWYFAAQLDMDDEIGFRNMKLGKLSRKARKAKKKN KKAMQEANPEDTEETLNQIEGDNSLEAADFRWKSKMNQLSPFEMVRQIALFLLCWGEA NQVRFTPECLCFIYKCASDYLDSPQCQQRPDPLPEGDFLNRVITPLYRFIRSQVYQIV DGRYVKSEKDHNKVIGYDDVNQLFWYPEGIAKIVMEDGTRLIDLSAEERYLKLGEITW DNVFFKTYKETRSWLHLVTNFNRIWIMHISVYWMYCAYNAPTFYTHNYQQLVNNQPLA AYRWATAALGGTVACLIQVAATLCEWSFVPRKWAGAQHLSRRFWFLCVILGINLGPVI FVFAYDKDTVYSTAAHVVGAVMFFVAVATLVFFSVMPLGGLFTSYMQKSTRSYVASQT FTASFAPLHGLDRWMSYLVWVTVFAAKYAESYFFLILSLRDPIRILSTTSMRCTGEYW WGDKICKVQPKIVLGLMIATDFILFFLDTYLWYIVVNTVFSVGKSFYLGISILTPWRN IFTRLPKRIYSKILATTDMEIKYKPKVLISQIWNAIIISMYREHLLAIDHVQKLLYHQ VPSEIEGKRTLRAPTFFVSQDDNNFETEFFPRDSEAERRISFFAQSLSTPIPEPLPVD NMPTFTVLTPHYAERILLSLREIIREDDQFSRVTLLEYLKQLHPVEWDCFVKDTKILA EETAAYENNEEEPEKEDALKSQIDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRT ISGFMNYSRAIKLLYRVENPEIVQMFGGNADGLERELEKMARRKFKFLVSMQRLAKFK PHELENAEFLLRAYPDLQIAYLDEEPPLSEGEEPRIYSALIDGHCEILENGRRRPKFR VQLSGNPILGDGKSDNQNHALIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEELGI EQVHPYTPGLKYEDQSTNHPVAIVGAREYIFSENSGVLGDVAAGKEQTFGTLFARTLA QIGGKLHYGHPDFINATFMTTRGGVSKAQKGLHLNEDIYAGMNAVLRGGRIKHCEYYQ CGKGRDLGFGTILNFTTKIGAGMGEQMLSREYYYLGTQLPIDRFLTFYYAHPGFHLNN LFIQLSLQMFMLTLVNLHALAHESILCIYDRNKPTTDILYPIGCYNFHPAIDWVRRYT LSIFIVFWIAFVPIVVQELIERGLWKATQRFFRHILSLSPMFEVFAGQIYSSALLSDI AVGGARYISTGRGFATSRIPFSILYSRFAGSAIYMGSRSMLMLLFGTVAHWQAPLLWF WASLSALIFAPFIFNPHQFAWEDFFLDYRDYIRWLSRGNNKYHRNSWIGYVRMSRSRI TGFKRKLVGDESEKSAGDASRAHRTNLIMAEMIPCAIYAAGCFIAFTFINAQTGVKTT DEDKVNSTLRIIICTLAPIAIDIGVLFFCMGLSCCSGPLLGMCCKKTGSVMAGIAHGI AVVVHIVFFIVMWVLEGFSFVRMLIGVVTCIQCQRLIFHCMTVLLLTREFKNDHANTA FWTGKWYSTGLGYMAWTQPTRELTAKVIELSEFAADFVLGHVILIFQLPVICIPKIDK FHSIMLFWLKPSRQIRPPIYSLKQARLRKRMVRRYCSLYFLVLIIFVGCIVGPAVASA HVPLDLGSGLKNTFHNLVQPRNLSNNDTGLQMSTYKSHYYTHTPSLKTWSTIK SPAR_G02610 MNSSLSKFLLRLGHRKASFPRSNIFVASPVISHTSLLRRTLPGR TRLYGNDAGATSGKKGEKAGSRLKPLWPQVKSASTFTFSGILVIGAVGISAIVIYLIL SELFSPSGDTQLFNRAVSMVEKNKDIRNLLQCDDGITGKERLKAYGELITNDKWTRNR PIVSTKKIDREGSTHHYMRFHIESKKKIALVHLEAKESKQNYQPDFINMYVDVPGEKR YYLIKPKLHPVSNSKGFLGIRWGPRKD SPAR_G02620 MAKQSLDVSSDRRKARKAYFTAPSSERRVLLSAPLSKELRAQYG IKALPIRRDDEVLVVRGSKKGQEGKISSVYRLKFAVQVDKVTKEKVNGASVPINLHPS KLVITKLHLDKDRKALIQRKGGKLE SPAR_G02630 MLLTPAKTTRTRDSANSSDNSSSSKSNSSIMRAIVSSLMVKPIT SLTNTVTSSKSSRRNSSPSKITRYDLMKAAAENDLKRSKSQGQDKLRKSSNGRNNEEI LVSNTTSEIQRTGSSI SPAR_G02640 MNSTAAAINPNPNVIPFDDTYILYDPHDFLSFLSAYFSLMPILV LSFYLSWFIITRELEACIVAFGQLMNEIFNNVIKNIIKQPRPVSFGASFQNDTMRSGY GMPSAHSQFMGFCFTYNSLKIYTSWRNLNLWEKYIFSGALALLSFCVCFSRVYLHYHN LDQVIVGFSVGALTGSLYFVMVGIIRELGLVNWFLKLRIIRLFYMTDSYNLAPLTLKE NYEAYWKRINQQPLNDKAKKE SPAR_G02650 MVSQLFEEKAKAVNELPTKPSTDELLELYALYKQATVGDNDKEK PGIFNMKDRYKWEAWENLKGKSQEDAEKEYIALVDQLIAKYSS SPAR_G02660 MTELDYQGTDEGVSTSYSRDQTDLKPFPSAGSASSSIKTTEPVK DHRRRRSSSIISHVEPETFEDENDQQLLPNMNATWVDQRGAWIIHVVIIILLKLFYNL FPGVTTEWSWTLTNMTYVIGSYVMFHLIKGTPFDFNGGAYDNLTMWEQIDDETLYTPS RKFLISVPIALFLVSTHYAHYDLKLFSWNCFLTTFGAVVPKLPVTHRLRISIPGITGR AQIS SPAR_G02670 MARTITFDIPSQYKLVDLIGEGAYGTVCSAIHKPSGIKVAIKKI QPFSKKLFVTRTIREIKLLRYFHEHENIISILDKVRPVSIDKLNAVYLVEELMETDLQ KVINNQNSGSSTLSDDHVQYFTYQILRALKSIHSAQVIHRDIKPSNLLLNSNCDLKVC DFGLARCLASSSDSRETLVGFMTEYVATRWYRAPEIMLTFQEYTTAMDIWSCGCILAE MVSGKPLFPGRDYHHQLWLILEVLGTPSFEDFNQIKSKRAKEYIANLPMRPPLPWETV WSKTDLNPDMIDLLDKMLQFNPDKRISAAEALRHPYLAMYHDPSDEPEYPPLNLDDEF WKLDNKIMRPEEEEEVPIEMLKDMLYDELMKTME SPAR_G02680 MTKITGNASITTDSSTSTYGSATASSASLPENDPQSFHQPRART RSGSLFIEGSDPSPSSEAKSYNVYIDDSKYSEILKRDTNSSSTDSKQVFEDARDNNFY EESHKVLENSILDLIRRDPEVATFPLPPPGSSERNRNSSNGSSAETNPNGHSSSGTIS TSVLLNMGSAEKHTGTARGDHMESSSMRSSDKKGTRSSLFCPRVEGTLPYQGPGDTVS SNKATSQVQDTYSFSSVRYDDDLVPSIEEAVEVAKNRVPNSRLNDDFSDKAFIPHEFQ IPKKAWNRQSVNESPKIRTPRNHSLLIDILKPFEAADLANDQRSYPAVLKNTIHSSAL YDSTIEYPRTRMQDQRQMDINEISSEKIPDPQVPLGIAMDTIGNPNLSYEKEYQSNVE AGLASGVDKGNDAIKEYQYQKIPQQIDQDWQSNCQMHTMPIQRIDSSSIRSYDSQIYG FSEIYSIPRVLITLCVCLFVPPLFFFFSVNGESGISNYRLMRMIMNYEHRIGLLKGFE WDIDVRWFRTLCFVLGCMEMLVIFAAIGIGFGVGITRE SPAR_G02690 MLSHIVEYECQYTDQLYKKRKVWHDGRLKYFQINNRFMLYTEKD NVLLASEFKINSKELKAILNPEGFDIEEHRIFSQFLVIISNIIEEYDRDIQVAASHVR TDPMNLSVQKQRPLISDSAPSMNHISTAREIHSNTKVTTSKHRQKEDSNTEGGFNISK LTLKVNKPFKKPKRILSTDVVNELNRPSIRNQRIQETTPQLHAANTGKIAHTVPKVVQ DNSIAQYEHITITEEFKVKDDPDQEREMAEVSKSGIKRVGRIRKIVHKPLSI SPAR_G02700 MSEPSEKKQKVATSSLDQLKKAGTHVVADSGDFEAISKYEAQDS TTNPSLILAASKLEKYARFIDTAVEYGRKHGKTDHEKIENAMDKILVEFGTQILKIVP GRVSTEVDARLSFDKKATVKKALHIIKLYKDAGVPKERVLIKIASTWEGIQAARELEA KHGIHCNMTLLFSFTQAVACAEANVTLISPFVGRIMDFYKALSGKDYTAETDPGVLSV KKIYSYYKKHGYATEVMAASFRNLDELKALAGIDNMTLPLNLLEQLYESTDPIDNKLN AESAKKEGVEKVSFINDEPHFRYVLNEDQMATEKLSDGIRKFSADIEALYKLVEEKM SPAR_G02710 MEEYSVTPLNEDLNLHSSTEDCLLLSLENTVAVVAGIQGQTDDF DSPTERDTALQLQLDEITDNTHCTIPLIDQEFRDLMNYGRQREANPAFIKINTTEQTP SRCLLTYPQNSHVEQLYQDPKLSSSISEGQTKRGSYRCAHCSEKFATLLEFAAHLDEF NLERPRKCPIEQCPWKILGFQQATGLRRHCASQHIGELDVEMEKLLNLKVEKYPGLNC PFPICQKTFKRKDAYKRHVAMVHNNADSRFNKRLKKMLNNISK SPAR_G02720 MLRVSENNLRSLLKCHSTHTSLFNRLLSTHIKEGRSSIDDAGII PDGTVYERQNHHIEGITKDSDLELLEKGIRKSDEMTSNFTNYMYKFHRLPPNYGSNQL ITIDKELKKELDGVMSSFKAPCRFAFGYGSGVFEQAGYSKSHSKPQIDIILGVTYPSH FHSINMRQNPQHYSSLKYFGSEFVSKFQQIGAGVYFNPFANINGHDVKYGVVSMETLL KDIATWNTFYLAGRLQKPVKILKNDLRVQYWNQLNLKAAATLAKHYTLEKNNNKFDEF QFYKEITALSYAGDIRYKLGGENPDKVNNIVTKNFERFQEYYKPIFKEVVLNDSFYLP KGFTLKNTQRLLLSRISKSSALQTIKGVFTAGITKSIKYAWAKKLKSMKKG SPAR_G02730 MAARKLKKEQSAERESPDTGKVNEEDEERLYGNIDDYKHLIQDE EYDDEDVAHDLQLSEDEYNSERDSSLLAEFSDYGEISEDDEEDFMNAIREASNFKVKK KKKNDKSKSYGRQRKERVLDPEVAQLLSQANEAFVRNDLQVAERLFNEVIKKDARNFA AYETLGDIYQLQGRLNDCCNSWFLAAHLNASDWEFWKIVAILSADLDHVRQAIYCFSR VISLNPMEWESIYRRSMLYKKTGQLARALDGFQRLYIYNPYDANILRELAILYVDYDR IDDSIELYMKVFNANVERRKAILAALENALDSSDEESGAEEEDGDEKEPPEQDEDMQM FPDINWKKINAKYKCIPFDWSSLNILAELFLKLAVSEVDGIKTIKKCARWIQRRESQE FWDGVPDDSEFDNRRFKNSTFDSLPAAEKEKSYNIPIDIRVRLGLLRLNTDNLVEALN HFQCLYDETFSDVADLYFEAATALTRAEKYKEAIDFFTPLLSLDEWRTTDVFKPLARC YKETESYETAKEFYELAIKSEPGDLDIRVSLAEVYYHLNDPETFKHMLVDVVEMRKHQ VDETLHRISTEKSSNDTSNDISSKPLLEDSKFRTFRKKKRTPYDAERERIERERRITA KVVDKYEKIKKFELNAGLNEAKQASVWINTVSELVDIFSSVKNFFMKSRSRKFIGILR RTKKFNTELDFQIERLSKLAEGDSVFEGPLMEERVTLTSATELRGLSYEEWFELFMEL SLVIAKYQSVEDGLSVVETAQEVNVFFQDPERVKMMKFVKLAIVLQMDNEEELAENLR GLLNQFQFNRKVLQVFMYSLCQGPSSLNILSSTIQQKFFLRQLKAFDSCRYNTEVNGQ ASITNKEVYNPNKKSSPYLYYIYAVLLYSSRGFLSALQYLTRLEEDIPNDPMVNLLMG LSHIHRAMQRLTAQRHFQILHGLRYLYRYYKIRKSLYTDLEKQEADYNLGRAFHLIGL VSIAIEYYNKVLENYDDEKLKKHAAYNSIIIYQQSGNVELADNLMEKYLSV SPAR_G02740 MFSGFSSFGSGNGFVNMPQTFEEFFRCYPIAMMNDRIRKDDANF GGKIFLPPSALSKLSMLNIRYPMLFKLTANETGRVTHGGVLEFIAEEGRVYLPQWMME TLGIQPGSLLQISSTDVPLGQFVKLEPQSVDFLDISDPKAVLENVLRNFSTLTEDDVI EISYNGKTFKIKILEVKPESSSKSICVIETDLVTDFAPPVGYVEPDYKALKAQQDKGK KSTFGKGQVLDPSVLGQGSMSTRIDYAGIANSIRNKQSNFVGQGQNISGKAPKVEPKQ DIKDMKITFDGEPAKLDLPEGQLFFGFPMVLPKEDEGSGNGSKSSEQNFHGQGISLRK SNKRKTKNDHDSSKSKAPKSPEVIEID SPAR_G02750 MQVSPAIVKGIAVSSLGLYAGILTSSTVISITTPINVLTQHLKN VLCTLGCWSTVLGGIATGAFGLSYYLAAPGERPNYLLCGLGVAPLSAAYLYLVSLFNH KLAPKCTRDQKDLEKQKDEKLPQNHPDVKDGEAACPFSKMNNAKTLKPESERSVKCHS YMSLHMSIVTGITIFTFGKCILDGFKA SPAR_G02760 MQLCLTPALKRLRTRYCPNITLGFSFRKPCLPNTSMCNFPQCSP YHAIGEETSSRNRAGKGYKNRNGPKGRIETAVHEATCKMVSGTLRSITEPLSRGCAHA LRAGLRGRGMKSDLFTQPHYRTERPSRQKRSAFNDVHPLRARTA SPAR_G02770 MYTKLRSIQSGTFSTVYKAWSTKHNRYVALKITPKYKTSEANMK NEYDVMKVLSSCNPHPNICSMLDFYTDDLYYIMVLEYCECGDLYDFLDIAKSQGSPSS PSLIQIDMQKIIKQLCSAISFAHSLGIAHRDIKPENVLLTINGDIKLADWGHAIQSPK SNDFQIGTDNYRAPETFSGRVSNSCLQKKSDRSSAPLYNTYQADYWSLGATIFYLMFG DCLFRVSKSKKVQHLKNFDEFEEDPFAFIYRKYVVPRLACGCNDEEDLHVSLQHTRQY VWQDLPDIYDVFHLCKIMVDTLLRVSNAKERSMENFINKVDSAWNKDTSMDSCFSYQS KIDLFWEQWSVNTETIPANFQLKNFEKPCLVQDSK SPAR_G02780 MFSKCVSRFGIISLKGIFPRWYSNSQNVANLGQITDYLVDKGVP NLLQKMFEESVLADNIIFRLFPTSHPYIPVLHGKSKYKASLNAMRMIVRKFILGEECR LHISSVKTLTSTSLDRETKSTSQNYNTITCNDKLVIKWQSCVPEDHCKISKLEINDRL KEKKRGNGENSALSMRSVPVIDYILHPTANHLNQGVISEYIENAAEKSVGKSFSTSED SKVDENKKTDGDSKGNKKKSLSRLIRGTFIFEFNEENSKILVHTIEDVELIHYEKKIA TGGAFAC SPAR_G02790 MSSQFFLKTSQDIELFQSYPTFEQSNTNAKDFPVISSVLSPCGR FLALSTKENVKVFTGPCLDNVLLTMKLADVYDLHFSPAGNYLSTWERASIQDPNHKNV KVWYLNKPFKKDCVSEEIVPAYEYQAKSQSGWFLQFSKLDNYALRLFKHDLKIVKLSS ANAENFDFQSPFAVLSDSETSQHFTTYLISPAEHPTICTFTPEKGGKPAQLTIWALSE GEITKKIASKTFFKADSCQLKWNPLGNAILCLAITDFDSSNKSYYGENTLYLLSFQGV NGTLGGNSVRVSLTTGPVHDFTWSPTSRQFGVIAGYMPATISFFDLRGNVVHSLPQQA KNTMLFSPSGHYILIAGFGNLQGSVEILDRLDKFKCVSKFDATNTSVCKWSPGGEFIM TATTSPRLRVDNGVKIWHVSGSLVFVKEFKELLKVDWRSPCNYKTLENKDEDFFEDHV INNWEPLSDSNTSSLDPKISSKSELQIHSSVQEYISQHPSREASSNGNGSKAKTGGAY KPPHARRTGGGRIVPGVPPGAGKKTVPGLVPGMTANKDANTKNRRRRANKKSSETSPD STPAPSAPVTTNAPTPNKETSPEEKKIRSLLKKLRAIETLKERQAVGDKLEDTQILKI QTEEKVLKDLEKLGWKDE SPAR_G02800 MSEGRTFLSQLNVFNKENYQFPSSTTKKEVSNSTVDADNDASDF EAGQQFATELDQGEKQLGILSCIGLICNRMLGTGVFAVSSTIYTLCGSVGLALIMWAV GAIIAISGLYVYMEFGTAIPKNGGEKNYLEAIFRKPKFFITCMYAAYIFFLGWAAGNS INTAIMFLTAADTEVTKWNQRGIGVAVVFFAFLINSLNVKIGLYLQNILGIFKVGIVI FISITGWVALGGGLKDGYQSHNFRNAFEGTETATAYGIVNALYSVIWSFVGYSNVNYA LGEVKNPVRTLKIAGPTSMVFLAIVYIFVNIAYFAVVPKDKLISSKLILAADFFDIVF GGQAKRAAAALVGLSALGNVLSVIFSQGRIIQQLGREGVLPFSNFFASSKPFNSPMVG LFQHFIVCTVTILAPPPGDAYLLVQNLISYPMNIINFAISAGLLWIYWQRRQGKIEWN PPIKAGVFVTGFFTLSNLYLIIAPYVPPSDGESVYSSMPYWIHCVIAWGIFSIGGIYY LVWAQLLPKWGHYKLVSKDVLGEDGFWRVKIAKVYDNTIGDVDTQEDGVVETNIIEHY KSEQEKSL SPAR_G02810 MVEQDNGPLQKLLKTQYDAVFHLKDENGIEIYPIFNVLPPKKEY PDYYIIIRNPVSLNTLKKRLPHYTSPQDFVNDFAQIPWNAMTYNAKDSIIYKYATILE SFIKGKIMLNIRKYYPDVTYPSLGRIPEILGEAIQPSNLSPDPTNTQENDERAGLNSE MKMAFAKLDSSITEKKQTSQDYRIQQKNSPAFPTHSASITPQPLASPTPAVNYTNINS THPKTHVRRGRPPVIDLPYVLRIKNILKMMRREVDQNNKTLTLCFEKLPDRNEEPTYY SVITDPICLMDIRKKVKSRKYRNFHNFEEDFQLMLTNFKLYYSQDQSNIIRAQLLEKN FNRLVRIELSKPDEDYLPEGELRYPLDDVEINDVKFQIGDWVLLHNPNDINKPIVGQI FRLWSTTDGNKWLNACWYFRPEQTVHRVDRLFYKNEVMKTGQYRDHPIQDIKGKCYVI HFTRFQRGDPSTKVNGPQFVCEFRYNESDKVFNKIRTWKACLPEELRDQDEATVPVNG RKFFKYPSPIADLLPPNATLNDKIPEPTEGAPTAPPLVGAVYLGPKLERDDLGEYSTS DDCPRYIIRPNDPPEEGKIDYETGTIITDTLATSSMPKVNSSSTIRLATLKQTKSISN SNFRSSSNTPLLHQNFNQTSNLLKMENMNDNSHNLLSHPSIPKFQSPTLIEQTSRSKY HNAKKNSQLSSTAPKKPASKSFTLSSMINSLTAHTSKYNFNHIVIEAPGAFVVPIPVQ KNIKTIQSTERFNKSSLKNAQNLGTNVTDDTNATNEQIIWFKGPGIKITERVIDSGND LVRVPLNRWFCKNKRRKLDYEEIEEDIIEPPNDFSEDMIASIFNPPASLNLDMDLNLS PSSNNSSNFMDLSAIASGDNDGKECDTAEESEDENEETDDEHEIEDIPTTSAFGLNSS AEYLAFRLRELNK SPAR_G02820 MSSIVISLAHFCDKHGPRIISVTQSAEKGTLGEELLVPDYPTES YCESCLLQFPEESTRSMRCFIEDVPFITTQYSSIRYQLLNSIIKRAFSEETMIYDNMP FIFFDDLRGLNLVIGFKLYDENARGNERRYCFILTVDSRSHDDSMKMLSEHWNFIIGG FDKIIAYIKNIHKSEFLGKNQTVENNLETLNNNAFIGSYLRANKSKIGRNLVSLTEDK FLFVRIHKWNSFLLHTVMKEDK SPAR_G02830 MCAKKLKYAAGDDFVRYATPKEAMEETRREFEKEKQRQQQTKVT QTQTPNTRVHSAPIPLQNQYTKNRVENGHHPYGSPQSYSPRHTKTPVDPRYNAIAQKP TGRPIPPTPSHYNNLNSSSQRIVSSPPPLMHNQSVPAQLLKKVAPAPFDNREEVRDMQ VAIQLFHNHDVKGKNRLTAEELQNLLQNDDNSHFCISSVDALINLFGASRFGTVNQTE FIALYKRVKSWRKVYVDNDINGSLTISVSEFHNSLQELGYLIPFEVSEKTFDQYAEFI NRNGTGKELKFDKFVEALVWLMRLTKLFRKFDTNQEGIATIQYKDFIDATLYLGRFLP H SPAR_G02840 MKSKGSRLSTDCPVEFPKIISEFAEEVKIRRQSSQGQNVDSYHA TSPELKHRRQRSSSFVNGKYRSRDIPLLDNKNAEEISSNSHGQDIGIRNLPRQRELLN AKNGIHFTLMVAGQSGLGKTTFINSLFSTSLIDDNIKENKPIVRYKSVVEGDGTHLNF NVIDTPGFGNNMDNAFTWRTMVNYIDEEIRSYIFQEEQPDRAKMVDDRVHCCLYFLKP TNKGIDALDVVTMKKLAKRVNLIPVIAKADSLTKEELKNFKMEIREIIRVQDIPVCFF FGNDVLNATQDIFQKYPFSIIASNEYIFNEKGERVKGRQYKWGAVDIENEKYCDFKIL QKTLFDWHLIDLVESTEEYYEKCRSEMLRTRLLKARDCLTTKSVDLTEEQKKFLEEEM NFDELEENKLKNYKCYEIINKTIMDKVATEWDPEFITRQLEAKKKFNELSNREISKFR DWKKSLFMEQENFNQEIEQLNHKLENLQLECQDLEYKLLIGKSSNNHSTDSATLVNVH IRR SPAR_G02850 MSAVFNNATLSGLVQASTYSQTLQNVAHYQPQLNFMEKYWAAWY SYMNNDVLATGLMFFLLHEFMYFFRCLPWFIIDQIPYFRRWKLQPTKIPSAKEQLYCL KSVLLSHFLVEAIPIWTFHPMCEKLGITVEVPFPSIKTMALEIGLFFVLEDTWHYWAH RLFHYGVFYKYIHKQHHRYAAPFGLSAEYAHPAETLSLGFGTVGMPILYVMYTGKLHL FTLCLWITLRLFQAVDSHSGYDFPWSLNKIMPFWAGAEHHDLHHHYFIGNYASSFRWW DYCLDTESGPEAKASREERMKKRAENNAQKKTN SPAR_G02860 MTDYILPGPKALSQFRVDNLIKDINSYTNSTSVINELRSCYIHY VNGIAQNLSEQDTKLLEVLLTYDSPLNIANDPLARQLNDAVANNLPSSALGQDTYLIR VVPRSGTISPWSSKATNIAHVCGLQGKVQRIERGLALLIKTVPGFPLLENLNDISLKC VYDRMTQQLYLTEPPNTMSIFTHEEPKPLVHVPLTPKDTKQSPKDILSKANTELGLAL DSGEMEYLIHAFVETMKRDPTDVELFMFAQVNSEHCRHKIFNADWTIDGIKQQFTLFQ MIRNTHKLNPEYTISAYSDNAAVLDSENDAFFFAPNSTTKEWTSTKERIPLLIKVETH NHPTAVSPFPGAATGSGGEIRDEGATGRGSKTKCGLSGFSVSDLLIPGNEQPWELNIG KPYHIASALDIMIEAPLGSAAFNNEFGRPCINGYFRTLTTKVLNHQGKEEIRGFHKPI MIAGGFGTVRPQFALKNTPITPGSCLIVLGGQSMLIGLGGGAASSIASGEGSADLDFA SVQRGNPEMERRCQQVIDACVALGNNNPIQSIHDVGAGGLSNALPELVHDNDLGAKFD IRKVLSLEPGMSPMEIWCNESQERYVLGVSPQDLSIFEDICKRERAPFAVVGHATAEQ KLIVEDPLLKTTPIDLEMPILFGKPPKMSRETITEALNLPEVNLSEIPSLKDAIQRVL NLPSVGSKSFLITIGDRSVTGLIDRDQFVGPWQVPVADVGVTGSSLGETIISTGEAMA MGEKPVNALISASASAKLSVAESLLNIFAADVKSLHHIKLSANWMSPASHQGEGSKLY EAVQALGLDLCPALGVAIPVGKDSMSMKMKWDDKEVTAPLSLNITAFAPVSNTSKTWT PLLNKNTEDSVLVLVDLSAKEEAKSLGASALLQVYNQVGNKSPTVYDNAILKGFLEGL IQLHQQKDDIVLAYHDRSDGGLLVTLLEMAFASRCGLEISIDGGDLERQLTDLFNEEL GAVFQISTKNLSKFEEIMNQNGVAKEYISIVGKPSFQSQEIKIVNSKTNDLVYANTRS ELEQTWSKTSYEMQKLRDNPKTAEEEFASITDDRDPGLQYALTYNPADDMKIGLELSS QRPKVAILREQGVNGQMEMAWCFQQAGFNSVDVTMTDLLEGRFHLDEFIGLAACGGFS YGDVLGAGAGWAKSVLYHEGVRSQFSKFFNERQDTFAFGACNGCQFLSRLKDIIPGCE NWPSFERNVSEQYEARVCMVQISQEKDNSSEESVFLNGMAGSKLPIAVAHGEGKATFS KSGEQLENFEKDGLCCIRYVDNYGNVTEKFPFNPNGSSNGIAGIKSPNGRVLAMMPHP ERVCRLEANSWYPEGKYEEWGGYGPWIRLFRSARRWVG SPAR_G02870 MLKRVATRQNGFALLSCSSVGLQYGRVNLSTKRNFSLFQSVADT FLTLHEASHIPWILLVPLGTITLRTLVTLPFSIWQRKRILKQQELRKLVQPITPIIKL RLAAVTNKKSRNAAKISSNDSFMPLPLQSPDILTPEQITLLAVKETRKRQKKLFKKYN VPLWKNALLPMVQIPLWVTVSMGIRTLTEAQLIEIFYPSWISVLDFGSFDLSSPLVAM PLLAPILVGTLAVLNVELNGRLMFSSSMSSQGIKTISRNSTRAQEAMTSILNVSRLGC VVMLAMSSQAPFLLSLYWISSQLYSLIQNIILNWIYPYQR SPAR_G02880 MSSERACMLCGIVQTTNEFNRDGCPNCQGIFEEAGVSTMECTSP SFEGLVGMCKPTKSWVAKWLSVDHSIAGMYAIKVDGRLPAEVVELLPHYKPRDGSQVE SPAR_G02890 MTASNKSWRSYFPHLRKLPEDDQCLYSDDTNSSIIAEEELHHSV DKSSKTDVTAETTAVESHPHNLRHDLPYEVRDEAGRKWWKYFDEFEYRVNKEYKKSRK WYEFLYPNHTTQTKAERRLLYKLDVIIALYFFMLCWSKSVDLNNYTNAYVSNMKEDLN MKGNDYVYTSTIANVGAIVFQLPFMYLLPRFPSHIILPVMDLGWTWFTFACYRANSLA ELRAYRFILSAFGAAYYPVSQYILGCWYAPDEINSRVCLFFCGQQLGSVTSGLLQSRI FKSLNGVHGLAGWRWMFLIDAIAISLPTAIIGFFVIPGVPSKCYSLFLTEEEIRIARA RNKRNQIKDGVDKSKLVPLWSSKLWKKVFCTPAFWVLVVFDTCSWNNMTAYSGSYTLW LKSNTKYSIAQVNNLSVIPACLGFAYVIFCAFGADLFRCKWIFMVFAAIMNTVSCALL IKWDIPSKAKWYAFFTTYFSVAASPCLWSFINDFLRFDPQVKAVTWIAIYSFSQSTYA WIPTLAWPTVESPRFKTGYTVSLIFGAVYGLWTFVVLFFYKRNEKKHALGNGIILYDS NKGEELPEFVRKDMEEKDGYYYLKRS SPAR_G02900 MTSLNIMGRKFILEKVKRYDDVEDIFASAYASPSSSTDIPLPYS KVKEEDCDIYEEGVNLVSKNAKYTYKSLGRHLDFLRPGLRFGGSQSSKYTYYTVEVKI DTVNLPLYKTSHSLDPHVTGTFTIRNLTPVLDKVVTLFEGYVINYNQFPLCSLHWPAE ETLDPYMAQRESDCSHWKRFGHFGSDNWSLAERNFGQYNHESAEFMNQRFIYLKWKER FLLDNEDQENLMLNDIYHLEGASFEGFYYVCLDQLTGSVEGYYYHPACELFQKLELVP TNCDDLNTYSSGFEIA SPAR_G02910 MAVGQKKYICSFCLKPFSRSEHKIRHERSHAGVKPFQCQVCEHS FVRRDLLQRHIRTVHRTFLLSSCASMTDDQTDVPVSLGVGDVDSTSSRDIKMETLVNS MIKVNSGLINIHYHSNNIESMDKQQRTVVGKGSTISKKRKSRFKEIKSQLESSISVKI LQQYPLDFISSRDILTFFRMGVSHLVENKIFQNFFPDLFSSLQNDELVEDFWINRPFG LIIACLGMSISLNQDSQKLWFICCANLYTSSSKYNDSDNDAHSDDVESEKEQHGIFAL ILFYSLLVMLENNIPVSNSIKKFDVFSMLQDILKPFTAASSIYHYLNSKENAWFVFDL WVNILRDSNNFNDDSLLIFNWFVNQEFFLSSSLKDFICKGLPMSTTDLTLKQINILAD SAYVYFIIKKTYPHELPSDFRVHDLLIYLNECFVMQQPIKPGINTNSSLFATVLNARI TDCKSKSHWLLWETIWFEFINNLTLRSGTMRNIWFIDNFPQVSTSCLLHHSSSFVDET LITTNLSIISMLFNLKSFNLASLNSRNIQLITDIVSFQLKLFSSELIASSDVSPSQVS QLLVNPNIDLMLYFWFDTIYIQRQNYLSSTEKDEFERVEVFVNDYIITRQKNLVTDLH SILFDFWSDSFIAYHILLHAIVSSLRDNILYPYLIYSPHLNDQTKALLTDISNWSCFA LQQPFRKTSRGSLSAATDMKSLSVASCLPLSPNFPKRDSNYNKILLPPLDIKAIEPIS TNNYTYVNSAPKQQEKEQPTIRGSGSNINLVPTQVNMEPQEFSASTENKQSKSIEILG QIK SPAR_G02920 MFSLSSLSSSGGHSEQKEREKISYFDIRINSPYKDIILIQGSPL ELSSIPLSGNLVISVKNEIVVKKISLRLVGRFKLEFLQVGRYKKNSSSLASLVKEKRK IFECYWDNLLVSSKGDVLVGGDNTENQHNSSSGGSTGSQDMDTNGNAMFLSKRSLSSP VFNKIIRRKTHSSHRKILELPENGVTGTPFEGLRENGRSRSSSNNAPNNNSNNYSNKD GSGSSYLFLMKRGNYELPFNTMLPPEVSETIEGLQSGSILYSFEAMIDGRQLWDNDLS IHTSSHGTNGSPNTGGNGVRTKNKIIVKKFKYLRILRTLSMDNLAMQEEISVGNTWRD KLQYETSVPSRAVPIGSTTPVKIKIFPFEKNIRLDRVEMALIQYYAMKDSSGQIYDDE IAVTKITHLADFGPLTDKLDVNCPFKIPDNLKQITQDCCVHDNLIRVMHKLQVRILLQ RQVDGEYKNLEIKAQLPMLLFISPHLPMKGRLVLFDKHDGKIHFRPGELVPLFSTTYP AQGLTPGLELNSTATAQLALPQPPPNYHESTNDHLMPALQPLGADSAALTVPSYEQAQ ASASSCATGSVPAYCDDD SPAR_G02930 MNSNELVLRNKYFYEIFGKKRKSDTSTPTQLSPTVKAQTTINDF SIDDDEDEGRSEDENKVLMDDSRLGHDASAQYRVIPNCSSHQLKASPVLRVSTNLNSS VQSFAGDLISPTNKKTSISDPKRQDKINISTTTPSPSQKRPNVLLPYIRKHSSPSLLS FSKNSGNHTGDPNQSSTPPTPKSAGHTTESHNSFHGKHSSSSTSSLFALESLKNKNRR SSNSSNHSNQYRRHTNQHHRHHSRSKSSPVSLTEISMIKGTPLVYPALLSLIAIKFKQ TIQLGTHKKMGLLYRDSFTGKQAIDTLCLIIGTLDRNLGLLIGKSLEAQKLFHDVLYD HCVRDSVLEVYELSSESIFMAHQSQSSTSIANTFSSSSSSLNSVGTKTEINGVFVPLS HCYSSTCSLEKLCYSISCPNRLQQQANLHLKLGGGLKRNISLALDRDDDERISWTNSV PKSVWESLPKQQIKRQEAIYELFTTEKKFVKSLEIIRDTFIKKLLETNIIPSDVRMNF VKHVFAHVNEIYSVNREFLKALAQRQSLSPICPGVADIFLQYLPFFDPFLSYIASRPY AKYLIETQRSVNPNFARFDDEVSNSSLRHGIDSFLSQGVSRPGRYSLLVREIRHFSDP VADKDDLQMLMKVQDLLKDLMKRIDRASGAAQDRYDVKVLKQKILFKNEYVNLSLNNE KRKIKHEGLLSRKDVNKIDASFSGDIQFYLLDNMLLFLKSKAVNKWHQHTVFQRPIPL PLLFICPAEDMPPIKRYVTENPNCSAGVLLPQYQTSNLKNSIVFSYYGTKQQYQVTLY APQVAGLQTLIEKVKQEQKRFLDETKHITFRQMTGQFFHSYVNTNRINDVLVCFASQI LLVATNMGLFVVNYAISISQKPVHLLHKISISQISVLEEYKIMILLIDKKLYGCPLDI INDAENADFLFRKKSKVLLKYVAMFRDGFCNGKKIIMIAHHFLHTVKLLIVSPLIFDF NSGNFKKNLKAGLVDFSVDSEPLSFSFLESKICIGCKKNIKILNIPELCDKDGFKMRE LLNLHDDKVLASMYKETFKVVSIFPVKNLHFICFPELGFFLNKQGKREETKGIFHWEG EAEQFACSYPYIVAINSNFIEIRDIENGELVRCVLGNKIRMLKSYTKKILYSYEDAQG FEIIELLNF SPAR_G02940 MNTALDDLHGDLVTLEDNEIIDSSDNSIHSSSHSTSHEEEEEEE EDDTEDVELIEKDANKNLSSDVHPEDEIVNDGLNIWIPVQMLKKNIAKFWSHFLAIDK ELAKVKCKHCGEVLTRSEASLTKTFRSHLKSKHNISANKNFYSMNFTMGDSNLKNKTF HTDNAHKHRYDSLIFNSDPSFKCYDIGMLQSSNCLSFLQLVAIVIASENLPLKFFENV SFKSLVSKFHKIPPLTTNIIKESIIGLSKSIDELIRRSISKSGTYLPFNIHLSDTKES GQPVYLKYTKEIRAQLFSLDLSPLVSVNFTELDKARSLFSLQLFDNTNKVSKILPLSI FVRKTKDIDIGAWQEQLNNLYSKYPGLQKSVISVTLPQSYYAKVLENRNSLISTSHTG NVRKVTYHACIVSELLHCFLQPFFNVSTELMLTSFSSVRDNHPRGSLLDSLTDFSDVD ISSTVLGKISCLIEEVNLNDSLKSDFLLYCQNYTQPNCNELTSILSSSCDSFSALKSV LEKFANLIPFFRSINSHLENESLTESDFRLITTVEETLKTFEQTIEYFASSAPLKFTH TLVFIVNFELYLTEIIRSSIFTKSKKPFEKILSRISKVKELYSLDDANLIGAFLYPSI FQSKNLLNEIFGTTSISKIVHKMTKIVLRYLENFINITNFRSSDSVRDSSSNSGKDLL SDYEAIFMKGSQDIELLCNITLTTRLTEDSLLAQIIRDDLLRYIDRITNELPNAYHDY LNDNEISFDGFHFTKHELSEENDYNSGEWCLNPMEETFDIHIPISDSIWNNYIGSKSK IEVIDILLHLLAATSASSIRSEFSSLQANQDFSNELCEETVKIKLLNSQFNLEKIDFH SGSIFDAC SPAR_G02950 MSNVAGEVKNSEGKKKNRGSRYHNSRNRGKNKNEASDTKKNEAK VNNNAAHNNSKGRRSNKKRNREHYNYKRRAKSGKPTENEGFKLVIRLLPPNLTADEFF AILREKSSDGDEKKDIQDNLKYSDWCFFEGHYSSKVFKNSTYSRCNFLFENLSDLERC ATFINTCKFIDNKDNVTIPDVKLSPYVKKFNQISKKDSALEGTIEEDEIFKTFMNSMK QLNENDDYSFQDFSVLKSLEKEFSKSIELENKIAERTERVLTELVGTGDKVKNKNKKK KNKSNKKKFKDEEGTTKVSKKKRNRGKKKRDDREKSSIPKTKNSNVVIIEEAGKEVLK QRKKKMLLQEKLKISNSSQSQSPSAQAQPSFQPKENLSAGRVKILHRDGTKK SPAR_G02960 MAINEFQVESHISPKQLNNQSVSLIIPRLTRDKIHNSMYYKVNL SNESMRGNTMVELLKVIICALGTVKGLDGHLHMTVLGGIEFKCILMKLIEIRPNLQQL NFLLNVKNANNFDSKYIIALLLVYVRLQYYYLNDNNNDNDEDGLIKLFKVQLYKYSQQ YFKLKSFPLHVDCFAHSYNEELCIIHIDELIDWLVTQDHIWGIPLGKCQSWNKIYSSD EESSSSESESNSDSESDSDSEDDSGTGSES SPAR_G02970 MSYKQYFDSLPLKLKSFFQRYPPSIKYSPVSTSTKAINANPFLP NKHPVTQRFHDPKYSLRRMSDVYKLALRYGVEDFLPPIENTKKLFFEEKYNKKTLMKG VLLPKGHKHELKLSEKLKKREEALKKVDDLIASKKGSKYAKRVEKMKKTQNIGWF SPAR_G02980 MFDHDVEYLITALSSETRIQYDQRLLDEISANVVYHVPRVKSPD TLYRLVGALFRSQFIVQLPPLRLLHVVKDVFLWKLEVSEPTLPISKFYSVWNAVLKSY RATWNLSQLIVLDGILVTYPRFKQLNNEYFIDESSNKTALYYKNWELQLFLPMWAQFW NGATIKTNLSIQNFLLIALALLFNQSNKSDLLRGVSISWDLVTEKLLDLLAEYINVVG QPTEKFSINSVLSTNLNHLANCLTASFTRSNEATLINSVCKIERICRQLSDNVLSSKE QHLDLKFQNVFILIILALKELSAMNMKILPSHKGTLYSMICLSLFHVHVLTQKIGTVG FPSYDYVYDNMVTYFIVLDDLSKIIPILDLMKRENVKQDPSKLIFYIGFLNKITNYYA WRIRMPFVTKFIEPLLHFNAFLNGSMSNPFEIEIKESIHALAITALSIDPSHSSQIAQ WQVSRMLVYLKMSMDQYMAGRLSADQILIIFGHLSTQFPSLHSYNKHLLKDSLHETYI RIINVKPPEKKNVLIECLIVQIPFVNDPHHSIGWLNICLQLINTHNERLLQRLWEMVS SLESSLAIDWWYATVLPSQSSKL SPAR_G02990 MDTLFNSTEKNARGIPQAPFIENVNDIIKDPSDFELCFNKFQER LSKYKFMQESKLATTKQLKTRIPDLENTLKICQSLRNHDDENDESAEPILLHYQLNDT LYTKAQVDIPEDRGDLKVGLWLGADVMLEYPIDEAIELLQKKLADSEQSLTVSTEDVE FLRENITTMEVNCARLYNWDVQRRQDLKQAQEGTKNLKI SPAR_G03000 MNKSSRAFQAPNKVITKEDITPLSRSHTKKADARGTADGNNTTA SAVEATPIIITTARSIDTAGSLSENATDDDGAQKGSPHHDDDDDDLESTLGYSSEPDP LFSPCHQPSFSNSTFSSGADSELFTEENYNKKDFHDSSENSIFLPQIQQSFFFGDNSK SDANNTDFWKEVNGTAEEAICMQETRQRKCSLVALHPGDATTSSNDTLGIEDFIKDDI NGAEAMEPCTSSSSETSSSPSLLDNLDYNIKLLCYRDNEGKFTLKKRKFLKNSLRSSS ALSKKWKPMSKRDKLLKRAIRRKSGVCQTLSAGFGIGEFML SPAR_G03010 MSTQSGIVAEQALLHSLNENLSAEGIVIVIAKISPDSTSVHQTQ VTHNFEELVELASQEREPLYIFYKPEGVAKYFFVSFIPDGSPVRSRMLYASTKNTLAR QVGSNSLSTEQPLITDAQDLVDLKNFDSDRPAGQNKPLTQDEEMQIEINKQQALLRKN DSIKLVSQDSASPLSLTFRVNSEKPISEILETEGKNLVIFQIDPSDETIQIVQSETCP SVDELQIDLPGPSYTIFRKGDSSFFIYSCPSGSKVKDRMIYASNKNGFINYLKNDQKI TFNKVVEIGDFVELDKSLLMTASKEDDLDHGSNPDLPNKSNLRFNKPRGPMRKRRT SPAR_G03020 MVAKKRNTLRGKASARNSQKIGADAANDGIVDEKYELESDPRAF LHQPKETKKEKLLNRQNTFLSNLKVKSTLGDGMSANFDGISKSSIRRRKRKLREELKP RMQDLLTSLEQEKDLQGIIDNTSKNINDDDVIDMDSKIRFVDTNEIRSKKAEPGSIRI KKNQPNIRNQKGAKALAANETARFNQVLTNQEFQKNPFSALREVIKLQKQ SPAR_G03030 MSQSNPILRGLAITTAIAALSATGYAIYFDYQRRNSPQFRKVLR QRAKEQAKMEEEAKSHAKEMKLQKVTEFLSMELAKDPIPSDPSEREATFTTNVENGER LSMQQGKELEAASKFYKALTVYPQPADLLGIYQRSIPEAIYEYIILMIAILPPANVAS FVKGVVGSKAESDAVAEANDIDD SPAR_G03040 MSEPEAKSRSATPPSKAKQATPTTAAAGNGDKKLTNKELKELKK QEKAAKRAAMKQANGISIEQQQQQAQMKKEKKQLQREQQQKREQKQKNANKKKQNERN VKKSTLFGHLETTEERRATILALTSAVSSPKTSRITAAGLMVPVVASALSGSNVLTAS SLTPMGSNAASTASATAPTSAAATIPASSNTTTSAGTSSVSTNTPTAIQQEIASSSAS DIAKTLASISLEGGEFNVIPGISSVIPTVLEQSFDSSNLVSSVKELLLNKDLIHPSIL LLTSHLAHYKIVGSIPRCIAMLEVFQIVIKDYQTPKGTTLSRNLTSYLSHQIDLLKKA RPLSVTMGNAIRWLKQEISLIDPSTSDKAAKKDLCEKIGQFAKEKIELADQLIIDNAS TQIEESTTIVTYGSSKVLTELLLHNAIGLKKNIKVIVVDSRPLFEGRKMAETLRNAGV NVMYALITSLDTIFNMDVDYVFLGAHSILSNGFLYSRAGTAMLAMSAKRRNIPVLVCC ESLKFSQRVQLDSVTFNELADPNDLVNIDYENPVERRGNKGALLNQFIKERKSEKKKL EMENKPKGNKIGSKKGSEGENKDANNEGDNNSKNILDGWQELPSLNIVNILYDLTPPE YIKKVITEFGALPPSSVPVILREYKGSA SPAR_G03050 MFKSAVWRRFASTGETAKAKLDEFLIYHKTDAKLKPFIYRPKNA QILLTKDIRDPKTREPLQPRSPVKPLSKQTLSDFIYSVKPNSTELLDWFKEWTGTSIR KRAIWSYISPIHVQKMLTASFFKIGKYAHMVGLLYGIEHKFLKAQNPSAFDIEHFFNT NIMCALHRNKLRNYTDAGIAQRKLQVAWKKVLNRKNNTGLANILVATLGRQVGFTPEV AGLQPVQISLPDIPNSLSGAELKDLLYKYEGTYLIVRTLLDIDQHNAEYLELQEFIRQ YQSALGETNDPYDTHLKSLEQLETQPPQETTEKEAE SPAR_G03060 MSTKAQNPMRDLKIEKLVLNISVGESGDRLTRASKVLEQLSGQT PVQSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYQLRDRNFSATGNF GFGIDEHIDLGIKYDPSIGIFGMDFYVVMNRPGARVTRRKRCKGTVGNSHKTTKEDTV SWFKQKYDADVLDK SPAR_G03070 MHRTYSLRNSRAPTASQLQNPPPPPSTTKGRFFGKGGLAYSFRR SAAGAFGPELSRKLSQLVKIEKNVLRSMELTANERRDAAKQLSIWGLENDDDVSDITD KLGVLIYEVSELDDQFIDRYDQYRLTLKSIRDIEGSVQPSRDRKDKITDKIAYLKYKD PQSPKIEVLEQELVRAEAESLVAEAQLSNITRSKLRAAFNYQFDSIIEHSEKIALIAG YGKALLELLDDSPVTPGETRPAYDGYEASKQIIIDAESALNEWTLDSAQVKPTLSFKQ DYEDFEPEEGEEEEEEEGQGRWSEDEQEDGQIEEPEQQEEGAIEEHEQVGHQQNESLP QQTTA SPAR_G03080 MSEITLGRYLFERLKQVNVNTIFGLPGDFNLSLLDKIYEVDGLR WAGNANELNAAYAADGYARIKGLSVLVTTFGVGELSALNGIAGSYAEHVGVLHVVGVP SISAQAKQLLLHHTLGNGDFTVFHRMSANISETTSIITDVATAPSEIDRLIRTTFITQ RPSYLGLPANLVDLKVPGSLLEKPIDLSLKPNDPEAEKEVIDTVLELLQNSKNPVILS DACASRHNVKKETQKLIDLTQFPAFVTPLGKGSIDEQHPRYGGVYVGTLSKPDVKQAV ESADLILSVGALLSDFNTGSFSYSYKTKNVVEFHSDYIKVKNATFPGVQMKFALQKLL SVIPDVVKGYKSVPVPTKPPANKDVAANTPLKQEWLWNELSKFLREGDVIISETGTSA FGINQTIFPKDAYGISQVLWGSIGFTTGATLGAAFAAEEIDPNKRVILFIGDGSLQLT VQEISTMIRWGLKPYLFVLNNDGYTIEKLIHGPHAEYNEVQTWDHLALLPAFGAKKYG NHKVATTGEWDALTTDPEFQKNSVIRLIELKLPVFDAPESLIKQAQLTAATNAKQ SPAR_G03090 MNVFGKKEEKQEKVYSLQNGFPYSHHPYASQYSRPDGPILLQDF HLLENIASFDRERVPERVVHAKGGGCKLEFELTDSLSDITYAAPYQKVGYKCPGLVRF STVGGESGTPDTARDPRGVSFKFYTEWGNHDWVFNNTPVFFLRDAIKFPVFIHSQKRD PQSHLNQFQDTTVYWDYLTLNPESIHQITYMFGDRGTPASWASMNAYSGHSFIMVNKE GKDTYVQFHVLSDTGFETLTGDKAAELSGSHPDYNQAKLFTQLQNGEKPKFNCYVQTM TPEQATKFRYSVNDLTKIWPHKEFPLRKFGTITLTENVDNYFQEIEQVAFSPTNTCIP GIKPSNDSVLQARLFSYPDTQRHRLGANYQQLPVNRPRNLGCPYSKGDSQYTAEQCPF KAVNFQRDGPMSYYNFGPEPNYISSSPNQTLKFKNEDNNEVSDKFKGIVLDEVTEVSV RKQEQEQIRNEHIVDAKINQYYYVYGMSPLDFEQPRALFEKVYNDEQKKLFVHNVVCH ACKIKDPKVKKRVTQYFGLLNEDLGKVIAEGLGVPWEPVDLEGYAKTWSIASAN SPAR_G03100 MEEQSNNHKKASQLQPLMNTKRSEQPTEFAKKHRFKDTLALFLV FLSFNHFTSLCLLVSFIVATKCKDFLANCFIILFLSKKPSRHISEVAHINITTSKAGN SSSNRKSSSRFFGDTKNSFVIPIPVLICEILFAMLLKIYGGNYFVKPIKNLAISIIAS FLINDPSDCLSYATSCSVLYAVSTNTFQRVSHFFNTIQLFEMSLRGNGQSIRLFEVFR KYTQFLRKLFSLLLPVPFKVLGKHAESMIYYLSFHILFFSFASSLLHPHKQITENKPS KKGHNSTRADVSRIQGLQKIRISSSSSVPTDSNTLEDQSPMIPNDPGGLTGSNQPIHS SQPSNSPLPLSSHSNLSTNPATSNPADATSSFPYFTSMVKEYKSYQPSVISAEGSNSQ AVTTTTTTTSPTTFNFSSDSNGLPTEIPLPDPSNTNPKKESDLFPPSNDKYTNQLFEL NVDFGDIFSSSNLSSDISVTSNLENFIRLLFRRKNQHLIAPLWSMVVTLKTTNFEKKY LQETSENPLTPTNSNTSYTSNQEKHNKDLDTIKPHSVPSRISFTHAGKFKKSVFNNFE PSNTMALIAKTTSDDYNLLNLVSTNENIFNRNDNDYKVCIIDISTNSITFHIENLHDG ELIVLVNGVIWSEVSCALILEHVGEEYVVVNGLVPSCSYDIQFINRLNHRDDYLVSDL IVRTCGNNNAIAGKFENLDFSFPSYYHRKFLSPLLTLKHSVLTTNANLSDERTKLKKT KKEISKKLSLLRQEIDYFKGRISQNATHDEKSTSKVENLKVALQQSETAVNKLEMQLK TLTEKELELEEEYLKRKDLHLKNQLEFSKLEESLSKDLKKSEGKFHKANQELGQLGSK MDKLNARNEKLQKEVDQNEEEIEKFSSQFLSKREKDRFRRKEYRIREANKFELTIKGL EQDINRLENENENIHSLIGNSY SPAR_G03110 MATSVKRKASETSDQNIVKVHKKHSTQDSTTDNNPEENDHSSQA ANEHAVSEQGNDDESDTSPEDNEVATESTASLRNGRATATESHDIHIARETAELFKSN IFKLQIDELLEQVKLKQKHVLKVEKFLHKLYDILQEIPDWEEKTLAEVDSFFRNKIVS VPFVDPKPIPQSTNYKFNYKKPDISLIGSFALKAGIYQPNGSSIDTLLTMPKELFEKK DFLNFRCLHKRSVYLAYLTHHLLILLKKDKLDSFLQLEYSYFDNDPLLPILRISCSKP TGDSPSEYNFYKTRFSINLLIGFPYKAFEPKKLLPNRNCIRIAQENKELSLPATPLYN FSVLSSSTHENYLKYLYKTKKQTESFIEATVLGRLWLQQRGFSSNMSHSGSLGGFGTF EFTVLMAALLNGGGVNSNKILLHGFSSYQLFKGVIKYLATMDLCHDGHLQFHSDPENS SSSSASKYIDEGFQTPTLFDKSTKVNVLTKMTVSSYQILKEYAGETLRMLNNVVQDQF SNIFLTNISRFDNLKYDLCYDVQLALGKYNNLESSLAATFGSMERVKFITLENFLAHK ITNVARYALGDRVKFIQIELVGQKSDFSITKRKVYSNTGGNHFNFDFVRLKLIVNPSE CDKLVTKGPAHSETMSTEAAVFKNFWGIKSSLRRFKDGSITHCCVWSTSSSEPVISSI VEFALQKHISKKAQISNETTKKFHNFLPLPNLPSSAKTSVLNLSSFFNLKKSFDDLYK IIFQMKLPLSVKSILPVGSAFRYTSLCQPVPFAYSDPDFFQDVILEFETSPKWPDEIT SLEKAKTAFLLKIQEDLSTDCPTYKSFFSRDESIPYNLEIVTLNILTPEGYGFKFRVL TERDEILYLRAIANARNELKPELERTFLKFTAKYLASVRHTRTLENISHSYQFYSPVV RLFKRWLDTHLLLGHITDELAELIAIKPFVDPAPYFTPGSVENGFLKVLKFISQWNWK DDPLILDLVKPEDDIRDTFETSIGAGSELDSKTMKRLSERLTLSQYKGIQMNFTNLRN SDPNGTHLQFFVASKNDPSGILYSSGIPLPIATRLTALAKVAVNLLQTHGLNQQTIDL LFTPGLKDYDFVVDLRTPVGLKSSCGILSATEFKNITNDQAPSEFPEDSNDLSEKMDP TYQLVKYLNLKYKNSLILSSRKYIGVNGGEKGDRNVITGLIKPLFKGNHKFRVNLDCN IKPVDDDNVVLNKEAIFHEIAAFGNDMVIKFETD SPAR_G03120 MSSEEDYFDELECDLADEIKEEQEYVQLKRVTTVNSQTEQLNPS ETLLEIIGLFKTLTHLSPDGLSLSEISQVLPKIADIKRILQQERIDFIKLLPSLNEIV PLIKNNIKLLHNYLILLYKGRFPELSSLIPSPLQYSKVITILENEDWSKNESDELLSH LEKEAKLTKEQILVLTMSMKTSFKNKEPLNIKTGTQILETSSILENLWRLQEDIGQYI ASKISLIAPNMCLLVGSEIVAQLIAHAGGVLEFSRIPSCNIASIGKNKHLSHELHTLE SGVRQEGYLFTSDLIQKFPVAIHKQMLRMLCAKVSLAARVDAGQKNGDRNTDLAQKWK TELSKKARKLSEAPTIAETKALPIPEDQPKKKRAGRKFRKYKEKFRLSHVRQLQNRME FGKQEQTVLDSYGEEVGLGMSNTSLQQAVGAIPGSRRPAGNQAKLTKVMKHRISEANQ QADEYLISLGHNAEHPNLSLGMAQKHKKQHTNPEEETIWFSHHL SPAR_G03130 MLSKSEKNVDLLAGNMSNLSFDGHGTPGGTGLFNPNQNITKRRT RPVGINDSPSPVKSSFFPYEDTSNMDIDEVSQPDVDVANSPKKLPPKFYERATSTKTQ RVVSVCKMYFLEYYCDMFDYVISRRQRTKQVLEYLQQQSQLPNSVQTKLNEEWSSYLQ REHQVLRKRRLKPKNRDFEMITQVGQGGYGQVYLARKKDTKEVCALKILNKKLLFKLN ETKHVLTERDILTTTRSEWLVKLLYAFQDLQSLYLAMEFVPGGDFRTLLINTRCLKSG HARFYISEMFCAVNALHDLGYTHRDLKPENFLIDAKGHIKLTDFGLAAGTISNERIES MKIRLEKIKDLEFPAFTEKSIEDRRKMYNQLREEEINYANSMVGSPDYMALEVLEGKK YDFTVDYWSLGCMLFESLVGYTPFSGSSTNETYDNLRRWKQTLRRPRQSDGRAAFSDR TWDLITRLIADPINRLRSFEHVKRMPYFADINFSTLRSMIPPFTPQLDSETDAGYFDD FTSEADMAKYADVFKRQDKLTAMVDDSAVSSKLVGFTFRHRNGKQGSSGILFNGLERS DPFSTFY SPAR_G03140 MTNAKILVAHISEGDADEAIRKVRKVNEKSGPFDLIVVFSKSCD ETFEPNTDGLPQLLLISSDKDNNSKSKKINENVTLLHSLGIYKLANGITLSYLTYPSD ALQEQKKNILDEFSKSDSQIDILVTKEWGLPISERCGRLSGSEIIDELAKKLQARYHF AFSDEKNFYELEPFKWESERLSRFLNIPKYGSGKKWAYAFNMSVGDKELKDEPEPPNV IANPYKNVITDSNKRPLETGTEALIDGDQRLPANRAKNENKKIRTILPSNCHFCFSNP NLEDHMIISIGKLVYLTTAKGPLSVPKGDMDISGHCLIIPIEHIPKLDSSKNAELAQS ILAYESSLVKMNYVKFDMSTIVFEIQSERSIHFHKQVIPIPKYLVLKFCSALDRQVHF NNEKFTRNAKLGFQLYDSHSSKEYSDVINNQSNNYLQFTVYETSEANPKIYLATFNAS ETIDLQFGRRVLAFLLNLPRRVKWNSSTCLQTKQQETVEAEKFQKAYKAYDISLTEN SPAR_G03150 MNKWLNVLSKPFAFRLLNCHHRRSLPYCQNFLLKKSLTQNQVRF FKMSDLDNLPPVDPKTGEVIINPLKEDGSPKTPKEIEKEKKKAEKLLKFAAKQAKKNA AATTGASQKKPKKKKEVEPIPEFVDKTVPGEKKILVSLDDPALKAYNPANVESSWYDW WIKTGVFEPEFTADGEVKPEGVFCIPAPPPNVTGALHIGHALTIAIQDSLIRYNRMKG KTVLFLPGFDHAGIATQSVVEKQIWAKNKKTRHDYGREAFVGKVWEWKEEYHNRIKNQ IQKLGASYDWSREAFTLSPELTKSVEEAFVRLHDEGVIYRASRLVNWSVKLNTAISNL EVENKDVKNRTLLSVPGYDEKVEFGVLTSFAYPVIGSDEKLIIATTRPETIFGDTAVA VHPDDDRYKHLHGKFIQHPFLPRKIPIITDKEAVDMEFGTGAVKITPAHDQNDYNTGK RHNLEFINILTDDGLLNEECGPEWQGMKRFDARKKVIEQLKEKNLYVGQEDNEMTIPT CSRSGDIIEPLLKPQWWVSQSEMAEEAIKVVKDGQITITPKSSEAEYFHWLGNIQDWC ISRQLWWGHRCPVYFIDIEGEEHDRIDGDYWVAGRNLEEAEKKAAAKFPNAKFTLEQD EDVLDTWFSSGLWPFSTLGWPEKTKDMETFYPFSMLETGWDILFFWVTRMILLGLKLT GSVPFKEVFCHSLVRDAQGRKMSKSLGNVIDPLDVISGIKLDDLYAKLLQGNLDPREV EKAKIGQKESYPNGIPQCGTDAMRFALCAYTTGGRDINLDILRVEGYRKFCNKIYQAT KFALMRLGDDYEPPATEGLSGNESLVEKWILHKLTETSKIVNEALDKRDFLTSTSSIY EFWYLICDVYIENSKYLIQEGSVVEKKSAKDTLYILLDNALKLIHPFMPFISEEMWQR LPKRSTEKAASIVKASYPVYISQYDDVKSANAYDLVLNITKEARSLLSEYNILKNGKV FVESNHEEYFKTAKDQKDSIVSLIKAIDEVTVVRDASEIPEGCVLQSVNPEVNVHLLV KGHVDIDAEIAKVQKKLEKANKSKNGIEQTINSKDYETKANEQAKEANKTKLDNTVAE IEGLEATIENLKRLKL SPAR_G03160 MSIQAEIGILDHVDGSSKFVSQDTKIICSVTGPIEPKARQELPT QLALEIIVRPAKGVATTREKVLEDKLRAVLTPLITRHCYPRQLCQITCQVLESGEDES EFSLRELSCCINAAFLGLVDAGIALNSMCSSIPIAIMKDTNDIIVNPTAEQLKVSLSV HTLALEFVDGGKVVKNVLLLDSNGDFNEDQLFNLLEVGEQKCQELVISIRKIIQDNIS PSLVV SPAR_G03170 MFKEEDSLRKGQNVTAWKTLLAGAVSGLLARSVTAPMDTIKIRL QLTPANGLKPFGSQVMEVARGMIKNEGIRAFWKGNIPGSLLYVTYGSAQFSSYSLFNR YLGPFGLEARLHSLVVGALAGMASSILSYPFDVLRTRLVANNQMQSMTITREVHDIWR LEGLPGFFKGSIASMATITLTASIMFGTYETIRIYCDENEKTTAAHKKWELATLNHCA GTIGGVIAKITTFPLETIRRRMQFMNSNHLEKFSSHSSVYGSYKGYGFARIGLQILKQ EGVSSLYRGILVALSKTIPTTFVSFWGYETAIHYLRLC SPAR_G03180 MSDYFSSRPLQTLTPMANKPSGGGGDDASSIHSKSSQYLMDILP DSMTLNESVSSTVANNQAKEFILPETDERSPYFINVPVPKAQPTSNSETKKPLSGDEA IDGQFVKEYPTDVLVDRFYKWKKILKGLVIYLREVAYAQEQFARINYQLKGSVKFPFL TDIDETTNTITDPFTTAPRGPKKPQPTQKKVGLTDSEQFQMQMQQEQQDNAMHAPTDE SKMSLAPHEYKPVQTAESDNTSAASGFVKFGSGSIQDIQVILKKYHLSLANQQFKISK EITSTVIPKLEELRKDLRYKITEIKDLHGDFKTNIGAHIQLTSQLLKKYIAAVKFMNA HGIGNDKPSPTNKKPHKLDPKHDPYLLKLQLDLQLKRQVAEETYLQEAFINLQTSGLQ LEKIIYTKIQHALLRYSALIDSEARLMIKNMCQELQHGIISKPPAFEWDNFVSQHPLC LLNWKSNDPIPPPRKVSDVVYPHMKSPLAKCIKAGYFLKKSELLPTYHQGYFVLTSNY IHEFQSSDFYNLSSSTPNSTKSSAYSSSASIADTYASVNNGKANNHHRQASDAHNNNA TTGGTAGVNGMRGIRKKSYLAPIMSIPLNDCTLKDASSTKFVLVGKPTLNENADVRKS SSSTYLSGSSQTSLPKYGHETAKIFSKAPFHKFLKGSKPKNKNAKPSELDQFYAAAQK ESNNYVTWTFKIVSPEPSEEELKHFKRWVQDLKNLTSFNDTKDRIKFIEDRVVKSHRF KAGHISRNSMNIGSHTPCLTDSTFTLQDGTTTSLNLKGRADKPQYIHIQNNSLADFDG NGFRSKVNTPAIDDYGNLITVERRPAQSPHQYSDYIVTSGNTTPSYSSGSRPQSMYNG YNPAVSITSNGMMLQQSTVNSNNNPITNLRHQRNISQTSSLPGFSYTSPSLPVNSPGS SNSESSSGGYFAIPLHGNSSNNNYTQRNSEGSSPCYNDDQIRQQQQPQQMQSLSRTSS SSINVTGMRSTSAGNPVTANAPVVPKVMVNNQNVKTVAADQSVTASSSPTMNSPVTTN NRESPYQTLKKTNSTGNVPCLTTEKTHAHPAFYKRGNNSAQNLTTSSPTASRVHPIRK HKKNVSFSSLNSLMFSKKGANHGGNLMTNQFMSGGIQEDDDDSANNDTIKLNQSIYS SPAR_G03190 MMVKQEEPLNEISPNTPMAKKSYSLNETLMKVHHGNQARPLTSV SSGSSSTNSIGMLTMHNNIIRDFLKTASSNIELATEDITTVDHSLSSIYSLLKSRHMW GHINSTVKQHLMVIVKLINNNALGLASSEIIFLFNETNLFQAHSLKNILLADFSTWND YYLSNLKILTMQLILKRKLVDEYLPHILELFSHDERYLLKDPTLKAHALTKIVLSFFS VTTSCKILFGLKFLQYIKQYKLPFKNFISNISIECFSKNLLHKNYLNMGPNKIYLNSF YLSYSKLYDALDKIMLLDVLSYEETTGVQSAIKAKKEFSEFCNASGNRLLWSCISVDD LKVILESATNSLQNKKKHISATLRCLVCLWSTIRLEGLLKNKDILRQFDCTVIYINSN IKSINDEPTTGSLSELLTVLSEVCIDYKEPKRLSNIISVFFNASVLFKSHSFLLKTAI LEIKNVLICNDSKTAHRTILKFEKFISSAQSAQKKLEIFSCFFNVYCMLRNETLSFVF DFCQTAFIHCFTRLKTAKFIEFSNCSEIMLSILYGSSSIEYIPSENWSQLSRMIFCSL KGIFGLDPSEMNNKFDKLHLLNKYELLIRIVYLLNLDMSKHLTTNLSKITKLYISKWL QKSDEKAERISSFEINFVKMLLCYLNFNSFDKLSIELSLCIKSKEKYFSSIVPYADNY LLEAYLSLHMINDALVMKNQLQRTMNLPTARIEQALLYVSSLINVHLWEADLTAFQIY FGETLPALRPELFDINNDHNLPMSLYIKVILLNIKIFNESAKLNIKAGDVISAVIDCR KAQNLSLSLLKKKNKLSQGSRLALLKSLSFSFFQLIKIHIRIGSARDCEFYCKELSRI ISDLEEPIIVYRCLHFLHRYYMITEQSSLQNITLKKANKAFDYLDAEADITSLTMFLD DNKEFVKLEQSLVLYFGDQLGKTFLPDLWRLNMGKDIDDSICLPEYMHKNVINRAHNM WRKVMSQLEEDPFFKGMFESTLGIPSSLPVIPNVMPNNILKTPSKHSTGLKLCDSPRS SSMTPRGKNMRQKFDRMAAIDKLKKMKKLLESLKLDTLDNHELSKIASLSSLTLTILS NITSVNNAERSLITNFSLSDLPRHMPLLFDKKLNSIDNEDYRKFRVSSLITSNNISTT TENDKVSAAQQDLMESNLDINVITIDFCSVTGNLLLSKLEPRRKRRTHLRLPLIRSNS RDLDEVHLSFPEATKELLSIIKESNETTSVEVTSKIKTREERKSWWTTRYDLDKRMQT LLNSIENSWFNGVQGFFSPEVVDSSLFEKFKDKFYEILHQNLPSRKLYGNPTMFIKVQ DWVIELFLKLNPQEIDFLSKMEDLIYFVLDILLFHGEENAYDEIDFSMLHVQLEEQIK KFRATMKTNSIFHTFLVVSSSCHLFPWECLSFLKDLSITRVPSYVCLNKLLSRFHYEL PLHVTIKDNISMILNPNGDLSRTESKFKGMFQKIIDSKPSSQLVMNEKPEEETLLKML QNSNLFVYIGHGGGEQYVRGKEIKKCATIAPSFLLGCSSAAMKYYGKLEPTGTIYTYL LGGCPMVLGNLWDVTDKDIDKFSEELFEKMGFCCNADDSNDSGLSVSHAVSKSRGVCH LRYLNGAAPVIYGLPIQFLS SPAR_G03200 MVLETLKQGAHSGQIHEALTQLDTCPQEPVDLDTSMILIKFVIP VYPSLPERSKVLLRRIASKSFTFLSQLVTFAKTISGRDGLQEICIYQEILEDIISIEP GCLNFYLEASTTSKVDRDSIKTFFFGSKIFNLLANRIDMAKYLEYLRLQWKFLLENNK TDLPGFLGEWLVSVFLLNPTLATDTLLGELFLLDELYFSSFQKIVSASSPLDQKRLVT KFLLPYIQISLTSENLNDARKILRRFDLDKIISLSVLFEIQSLPLKEVIVRLMSNHSS IKLASALVGKFADFADDDVDIKTCELLVLFTVHNLSQSQKEQISHDDRFLNGVTKHLG SNEREARERAMFIAKLLSNGDLKYESDFEINIPNVKFESNSDNDTINFQSLRNSSICN TQLDADKNKITEVSDHVQSLTLDCSDSDDEDDNDEQELVERIVFLKDLMREYEQTGES RKAQLIPLLKQTVKLVRQKADFPLEVGYYAQGILSSIACLNNELDESLFEQWRINALV SILVVLPEKVSSAINVLFNSELSLQQRMSLLSALGLSARELRGFDDPIIVKPKFDFPT NRLPWDNQSHYIGKLVEVQEPTSMIKETKTVWKSKKLGKDQEKGTQNRFRKHSSLFFY PLAHGWLNGIDVGTYNQLFKSHYLTTLRIIYSCANPVHDFEYMTELMDHIISSAIEEG IPLRQSQ SPAR_G03210 MSFFDSLRQKAPFLDKLADSFTPTLTRDEKFRLKYKLPASENIL EDTNAEVSFATSIKDGKGHFNRVNSKGRKTAYVYSGRLFLTPHFLVFRDAFDHSSCIL IMNISTIKRVERSPSESYEFALLVTLYTGAKVLIQFIGIRYRSEQFCDKLKLNLRENI PNAKNLPAFLETSYSEFLIAKNILGKKDITVPRAGLGQHFKYPGNPTMAKEKAKLRLW FDYFRENGRNLAVVQTPMFRKLVRIGVPNRMRGEIWELCSGAMYIRYAYSGEYERILN DNAAKTSQAIDEIEKDLKRSLPEYSAYQTEEGIQRLRNVLTAYSWKNPDVGYCQAMNI VVAGFLIFMSEEQAFWCLCNLCDIYVPGYYSKTMYGTLLDQRVFESFVEDRMPVLWEY ILQHDIQLSVVSLPWFLSLFFTSMPLEYAVRIMDIFFMNGSITLFQVALAVLKINADD ILQADDDGMFIAIIKHYFQTLGQSAHPDSSDIKYRQITKFQELLVTAFKEFSVITEEM VMHARHKYEKGIFQNIETFMKRTQLRHMPKTFNLSSDNLSNIYDMFYQSIETYKISMG TGSSNMGFEIFIQFLSKFCDSCRPCEKDRDPAFRKQKRDFLQRLFDNWDSAHIGELTL NDVVTGLDKLLTVDLLQAINYFFSLYDTDDDGELHREEVLQLSEGLLLLTEPWKSGRY VDLLTKKRIEDDIAESIIKESGEEIVTMNQIELPTGVTIDEEKYKAEQAERYLKAASN FLQRSFEYAKAVDLAEEVNLIDLSDDESEEKRTLKQKQLESIKANAALDPTHPKVIDL PTFRMIILADETYELFFSNTLRSSVHVDEHINIDNKNKVLRSMFDGILADGKRVAEQV RRRVDSVATRNSITSAESTPTAAASSITTKEEKYDDLDDFTSEHQPENEELLQSSWFE IDDANETSTKAIQERSFEPLSANSSEEKSNLIEFEA SPAR_G03220 MPGASSVMLGLRPTTRIVFRSNISLLPSRTFVSYSGRSQSVSIL KNAPNLVNNVIALQQIIPKRFFSQTSIVKSRWRPIFSEETTNRYARLNRFQQYQQQRS GGNSLGSMTILGLSLMAGIYFGSPYLFEHVPPFTYFKTHPKNLVYALLGINVAVFGLW QLPKCWRFLQKYMLLQKDYVTSKISIIGSAFSHQEFWHLGMNMLALWSFGTSLSTMLG ASNFFSLYMNSAIAGSLFSLWYPKLARLAIVGPSLGASGALFGVLGCFSYLFPHAKIL LFVFPVPGGAWVAFLASVAWNAAGCALRWGSFDYAAHLGGSMMGVLYGWYISKAVEKQ RQRRLQTAGRWF SPAR_G03230 MYRKWCLCRIHAVGRFSRLGSHRLASTGGAKIGKKFENMDQIKN YISKPVWSVHEYLGTNVREGKLELPSVDVVKKLLRLSGLPLEGAKIEEIQLRLAKQLS FINKLHNIPVEGEKHTKEYDARLMQRETRQLSYAKLLEGIKYQKQDAELGEVSGSWKP TGLAVESKNGYFVVKEGLLKNRK SPAR_G03240 MRIKKKNTRGNARNFITRSQAVRKLQVSLADFRRLCIFKGIYPR EPRNKKKANKGSTAPTTFYYAKDIQYLMHEPVLAKFREHKTFARKLTRALGRGEVSSA KRLEENRDTYTLDHIIKERYPSFPDALRDIDDALNMLFLFSNLPSTNQVSSKIINDAQ KICNQWLAYVAKERLVRKVFVSIKGVYYQANIKGEEVRWLVPFKFPENIPSDVDFRIM LTFLEFYSTLLHFVLYKLYTDSGLIYPPKLDLKKDKIISGLSSYILESRQEDSLLKHD PTEMEEDVQVESLDASTLKSALNADEANTDETKKDEEEEKEQGLDQEKELNEETELDT FEDNNKNKGDILIQPSKYDSPVASLFSDFAFYVSREVPIDILEFLILSCGGNVISEAT LDQIENKKDIDISKVTHQIVDRPVLKNKVAGRTYIQPQWVFDCINKGELVPANKYLPG EALPPHLSPWGDAIGYDPTAPVEDGEEEESESESEGQVEEEDQEVVAGEDDEDDDDEE LKAQKELELEAQGIKYSETSEADKDVTKSKNKKRKVDEEEEEKKLKMIMMSNKQKKLY KKMKYSNAKKEEQAENLKKKKKQIAKQKAKLSKLNSKK SPAR_G03250 MVQQLSLFGSIGDDGYDLLISTLTTISGNPPLLYNNLCTIWKPN PSYDVENVNARNQLVEPNRIKLSKEVPFSYLIDEAMIDKPLNFKLLKSFANDKIPLNY AMTQNILHNRVPQATNVNSTNGAVNNNERAGNAVDESGSNNDIINVDMNASSTPSNES CSAWSLQISDIPAAGNNRSVSMQTIAETIVLSSAGKNSSISSLMNGLGYVFEFQYLTI GVKFFMKHGLILELQKIWQIEEAENLQITSGGFLIKAYINVSRGTDIDRINYTETVLM NLKKELQGYIELSVPDRQSMDSRVAHRNILI SPAR_G03260 MAVDVPRAVINKLMLFTAAMVVLPVLTFFIIQQFTSNTLVSGGL AAAMANVVLIVYIVVAFREDTEDHKVDGNKKED SPAR_G03270 MVFGQLYAFFVFTLSCFLSKTVQADSSKESFSFISLDKESNLDI ISAISSTADVISSVDSAIAIFEFDNFSLSENMIVDEEYPFFDRFFSNDVTLAVNDESP LNISQSLSPIMEQFTVDELPQSASDLLYEYSLDDKSIVLFKFTSDSYDLKKLDEFIES CLLFLEDKSGDDLTVVINSIGTFDADDNEYATEGTLSHHDNDKGKDSDDDILSSIWTE GLLMCLIVSALLLFILIIALSWISNLDITYGALEKSTNPIKKNN SPAR_G03280 MSRSLLVENSRTINSNEENGINESQYILQKRNVPRTILGNVTNN ANILQEISMNKKIGMKGFSKLSSFFPLKDEVSRADDFTSSFNDSRQGGKQEVLNNKEN IPEYGYSKQKKQQQCSNDDSLHTNSTTLNRNRLVYSENKSFSTQIEWQKKSVREDSKK KRPISTLVEQDDQKKFKLHEFTTEEEILEEYEWDDLDEEDFDDPLMVSEDVNDIFDYL HHLEIITLPNKANLYKHKNIKQNRDILVNWIIKIHNKFGLLPETLYLAINIMDRFLCE EVVQLNRLQLVGTSCLFIASKYEEIYSPSIKHFAYETDGACSVEEIKEGERFILEKLD FQISFANPMNFLRRISKADDYDIQSRTLAKFLMEISIVDFKFIGILPSLCASAAMFLS RKMLGKGSWDGNLIHYSGGYTKAKLYPVCQLLMDYLVGSAIHDEFLKKYQSRRFLKAS IISIEWALKVRKNGYDIMTLHE SPAR_G03290 MNCIPSPISERKIQVNNENRIGKENILHTIPRENSINLTPHSTN EKKVLSEVNSNKIDALQLPQGKLQRDSTHLEKTKKRRLSNDSTDTVGPKTSKKVKHHQ WKNLDSLEINDPFMVAEYTDSIFSHLYEKEIQTLPTHNYLMDTQSPYHLKSSMRALLI DWLIEVHEKFHCLPETLFLAINLLDRFLSQNVVKLNKLQLLCITCLFIACKFEEVKLP KIANFAYVTDGAATVEGIRKAELFILSSLGYNISLPNPLNFIRRISKADNYCIETRNI AKFIMEYSICCNKFIHVKPSYLAAMSMYIARKIKNDSSKWDDTFIHYSGGIGIESDPA FEDFINELIKDIAVPETNLDSLRLKYKKPKHGMVYFKVFDWCKQKTLNHRSEL SPAR_G03300 MRASLLMFKSALSSIIRRPLKGFQLLRGVNPSNTRPQSPRASAR DVREKQILRTPSAPTAIPLREIIYRVPGLFPRPLEDSVKDFRDFIKNEDAFQTQLLKT LPFYPSPSESKASRLIRTVVDDDGNYINEFCIRPQKPSVPDADLKHLVFIHGYGAGLG FFIKNFEDIPLLNNEWCIHAIDLPGYGFSSRPKFPFEYPRDNLHSVQDWFHERIHTWF SKRNLLKRPDKNIVMAHSLGSYLMALYLQKYKESPSFKKLILCSPAGVSYRDFNNTAS EIEKWKPPPWWYVKLWDRNISPFTLVRNSRQFGSKITSGWSYRRFKHILNGDPEQSKR FEALHRYAYSIFNKRGSGEYLLSFALKCGGEPRLSLEEQLFDGTNLNVLKSSNCEWVW LYGDDDWMDVNGGLRVSKFLNEKLRQKSNVIIVPHSGHHLYLDNYKFFNNILAKEMQK V SPAR_G03310 MTASSNEDGLVFECYSDPELKRWTHLANAKTWKGILTVQQYADR EQLLGSSEISQKNKSNEMMETYPKSYQWLGQKYFVLKDRSLPENGEFSQVVSSCETLN RIGYCIHPGSNGKIEPALIVCIGGVFTFENHRRKGYAKNMIIKLNEFYDKIRDEANNV LELKNLVINLYSEVGEYYSTLGYKSMHVPLHHLSKLDELTKRYCGEGDVNDGKYLEFD DYRGLVELHEAQFKESLLSLHEENPEKFIFTVAPDFDIFKWFQYRDLFIMKKSGRKTQ QNLSFGYALSDDSHIIWHHNWNGDSLIIVKIHIPDETIQGKELRLKKLLKKAIEETKM HGLQELEFWDEEIPIKRYPQLFQLLTELENESKVFSENGSISAVRPPKGYAAEQVIWD NNTKFCWF SPAR_G03320 MPLLGARCTYRLFSNIASVSIRNAIGKSSYPLTLRNQQYRGIIT STVDWKPIKTGRSPNDDSRRKGSLGKKIVLGLMFAMPIISFYLGTWQVRRLKWKTKLI AACETKLTYEPIPLPKSFTPDMCEDWEYRKVILTGHFLHSQEMFVGPRKKNGEKGYFL FTPFIRDDTGEKVLIERGWISEEKVAPDSRNLHHLSLPQGDHLKVVCLVRPPKKRGSL QWAKKDPNSRLWQVPDIYDMARSSGCTPIQFQALYDMKDHPIIKEHTKSEAPQNNPAS SLWMFWKREHTTTEDRTQAVANNISKLNARQENPTDQTIEFDERQFIKAGVPIGRKPT IDLKNNHLQYLVTWYGLSFLSTIFLIVALRKAKRGGVISQDQLINEKLKHSKKYM SPAR_G03330 MSEDKAKLGTARSATEYRLSIGSAPTSRRSSMGESSSLAKFAGQ EGLTSSVGEYNENAIQQLLLPKIRELSDSIITLDSNFTHLNFIHESLADLNESLGSLL YGIMSNSWCVEFSQAPHDIQDDLIAIKQLKSLEDEKNNLVREISNMERGIKRKKDEGG GNDSSKASQNKQFNQPLFPSSQVRKYRPYDNRDKRKSSKIANNSQVENGEDYEDDTSS EASFVLNPTNMGASKSSQGHVSKTTRPSNNNNSKLRRKSILHTIRNSIASGADLPIEN DNVVNLGDLHSSNRISLGSGAARVVNGPITKKRNSMFSGRAERKSTENRHSVAKKTEK KMSTRPPFR SPAR_G03340 MEETGDSKLVPRDEEEIVNDNDETKAPSDEEEGEDVFDSSEEDE DIDEDEDEARKVQEGFIVNDDDENEDLGTSISKKRRKHKRREREEDDRLSEDDLDLLM ENAGVERTKASSSSGKFKRLKRVGDEGNVAESESDNVAVSRQDSTSKLEDFFSEDEEE DESGLRKGRNNEYGRDEEDHGDRNRTADKGGILDELDDFIEDDEFSDEDDETRQRRIQ EKKLLREQSTKQPTQITGLSSDKIDEMYDIFGDGHDYDWALEIENEELENGNENNEAE EEEIDEETGAVKSAKKKISLQDIYDLEDLKKNLMTEGDMKIRKTDIPERYQELRAGIT DYGNMSSEDQELERNWIAEKISVDKNFDANYDLTEFKEAIGNAIKFITKENLEVPFIY AYRRNYISSREKDGFLLTEDDLWEIVSLDIEFHSLVNKKDYVQRFYEELHIDDSIVSE YFKNQNTASIAELNSLQDIYDYLEFKYANEINEMFINHTGKTGKKHLKNSSYEKFKAS PLYQAVSDIGISAEDVGENIGSQHQIHPPVDHPSSKPIEVIESILNANSGDLQVFTSN TKLAIDTVQKYYSLELSKNTKIREKVRSDFSKYYLADVVLTAKGKKEIQKGSLYEDIK YAINRTPMHFRRDPDVFLRMVEAESLNLLSVKLHMSSQAQYIEHLFQIALETTNTSDI AIEWNNFRKLAFNQAMDKIFQDISQEVKDNLTKNCQKLVAKTVRHKFMTKLDQAPFIP NVREPKIPKILTLTCGQGRFGADAIIAVYVNRKGDFIRDYKIVDNPFDKTNPEKFEDT LDNIIQSCQPNAIGINGPNPKTQKFYKKLQEVLHKKQIVDSRGHTIPIIYVEDEVAIR YQNSERAAQEFPNKPPLVKYCIALARYMHSPLLEYANLSGEEVKSLSIHPHQNLLSSE QLSWALETSFVDIVNLVSVEVNKATDNNYYASALKYISGFGKRKAIDFLQSLQRLNEP LLARQQLITHNILHKTIFMNSAGFLYISWNEKRQKYEDLEHDQLDSTRIHPEDYHLAT KVAADALEYDPDTIAEKEEQGTMSEFIELLREDPDRRAKLESLNLESYAEELEKNTGL RKLNNLNTIVLELLDGFEELRNDFHPLQGDEIFQSLTGESEKTFFKGSIIPVRVERFW HNDIVCTTNSEVECVVNAQRHAGAQLRRPANEIYEIGKTYPAKVIYIDYANITAEVSL LDHDVKQQYVPISYSKDPSIWDLKQELEDAEEERKLMMAEARAKRTHRVINHPYYFPF NGRQAEDYLRSKERGEFVIRQSSRGDDHLVITWKLDKDLFQHIDIQELEKENPLALGK VLVVDNQKYNDLDQIIVEYLQNKVRLLNEMTSSEKFKSGTKKDVVKFIEDYSRVNPNK SVYYFSLNYDNPGWFYLMFKINANSKLYTWNVKLTNTGYFLVNYNYPSVIQLCNGFKT LLKSNSSKSRMNNYR SPAR_G03350 MPKKGPDFEQTGDSEKQKSGKSTMGENSTSRLYTNLLIANYLKR NGLEDSLTAFIRETALPLSALEKTKSSYSNVGEIPLEDLQSVIEDRIYYKKLSFEDRL KNLSINDDLAPIDNAKYGIQSWNYSLKFSIDVKLNEALPKDTLFISTTFTEDGKYLLL SSATGDLVAYDIRKATSKSFKINEKVKSIVKLCGSIGSSGYHYVCPMNGSFYLLNKNF EVIPNGVWKIHARMITHIKICNVTESSWFIITSGMDNFLRLSLLEIKDGSPFFTQLSE IKLASNCTSLNVIANGGNSGRRNSFSVFLTRAEFTHIACYSIIDAKNLVHSYNIALNN AEFSTYAFNIRDVIVVDFVHSNNEDTVKLTPSTMLVVATSHKPYMRLVLVEIPMNIGY SMSMELGEVQTYYDKIIRNFATEICQDDFSLPILRKLDSSNGILVGNDEGIYSVDLMT GDSRLLNIPNKANFLHNRIKCMDVSRDQMRVVAGTSTKSIYIIKVIKNVY SPAR_G03360 MGKGKPRGLNSARKLRVHRRNNRWAENNYKKRLLGTAFKSSPFG GSSHAKGIVLEKLGIESKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS SPAR_G03370 MFGFGGSNNGFGNKPAGSTGFSFGQNNNTNTQPSGSGFSFGGSQ PNSGATATSGFGANQTTNTFGSNQQNSTGGGLFGNKPALGSLGSSSTNASGTTATGTG LFGQQTAQPQQSTTGGGLFGSKPTTTTGGLFGSTAQNNSTTGGGLFGNKIGSTGSLMG STSTQNTSNMNGGGLFGAKPQNTTPATGGLFGSKPQGPTASGGMFGSGTQNNNTLGGG GLFGQSQQPQTSTMPGFGNTVSTQPSFAWSKPSTGSNLQQQQQQQQFQVPLQQTQAIA QQQQQLSNYPQQIQEQVLKCKESWDPNTTKTKLRAFVYNKVNETEAILYTKPGQVLQE EWDQAMEKKPSPQTIPIQIYGFEGLNQRNQVQTENVAQARIILNHILEKSTQLQQKHE LDTASRILKAQSRNVEIEKRILKLGTQLAILKNRGLPLGIAEEKMWSQFQTLLQRSED PAGLGKTNELWARLAILKERAKNISSQLDSKLMVFNDDAKNQDSMSQGTGEESNDRIN KIVEILTNQQRGITYLNEVLEKDAVIVKEYKNKT SPAR_G03380 MDFMNDDELDLDLPVTAEISKELFATEIEKYRESENNGADVANF DVDKFLVQKNFHYLPLDSLIRDLSGLSQKMVQTLLEQIRSNYDDYLIFSSTYTDDQNE TLMNLEKTQSDLQKFMTQLDHLIQDDISNIQEIIKDVLEYLKKLDEIYGSLRNHSQIT EALLLGKKLSKSLHEMCGIEPLEEEICSGLIEQLYSLITASRRILESFVDSNSPYIRH LRNDYQDLLQEFQISLKILTEKCLENPSSLQILSMTLVSIIKPA SPAR_G03390 MESRSTGPLTTETYDGPTVAFMILGAALVFFMVPGLGFLYSGLA RRKSALALIWVVLMATLVGILQWYFWGYSLAFSKSAPNNKFIGNLDSFGFRNVYGKKF DEDVYPELAYATFQMMFSCVNLSIIAGATAERGRLLPHMVFLFILATIGYCPVTYWIW SPGGWAYQWGVLDWAGGGNIEILSAVSGFVYSWFLGKRNEKLLINFRPHNVSLVTLGT SILWFGWLLFNSASSLSPNLRSVYAFMNTCLSAITGGMTWCLLDYRSEKKWSTVGLCS GIISGLVAATPSSGCITLYGSLIQGIVAGVVCNFATKLKYYAKVDDAMDILAEHGVAG VIGLIFNALFGADWVIGMDGTTEHEGGWVTHNYKQMYKQIAYIAASIGYTATVTAIIC FVLGYIPGMKLRISEEAEEVGMDEDQIGEFAYDYVEVRRDYYLWGVEEDSQRSDVNHR VNNAHLAAQRSSSATNSSSDGNEEMIQSEKVAPIHQEKPAGR SPAR_G03400 MLENPTSTKILPYKLSNSTKIITAIPDDLIILRNNCINSLNCSS SKLDRVVCIDTWLKYTNGLLTYRYEVDNAAALIEEEIAIALINVAVFYQDIGIETLHR AYESSQASNNLWTTSGTYLKRGLGLICFLRKSFQINTINDGRKIQVLNLINQLSLEFQ LLQQLGIIILALSKLRSKVSKDAVADLEPQELEELGKSSVFYAKLCIGSYNTASQCQG GRIVDVLFIKYLQSLTYLFLSINQYNIDECGIAIGMLQESIKKLLNIVPNSQLKELDI LSSTDTTKKRDLIKMSFKRKLHGSTLKNQRMFEKKVPFSSKACMMPLLKSSLDDFIIP LTVLLRYRYQRTNENYSFKPVETDVAKLNELFPRGRSSDIEGTVWSFQGGHLTFENSN DATHNCGDYF SPAR_G03410 MSTSTAADRAKALERKNEGNVFVKEKHFLKAIEKYTEAIDLDPT QSIYFSNRAFAHFKVDNFQSALNDCDEAIKLDPKNIKAYHRRALSCMALLEFKKAKKD LNVLLKAKPNDPAATKALLTCDRFIREERFRKAIGGGENETRVSLCQTLNLSSFDANA DLANYEGPMLEFEQLYDDKNTFKGAKIKNMSQGFISKMVNDLFLKAKFLPKKYVAAII SHADTLFRQEPSMVELENNSTPDVKITVCGDTHGQFYDVLNLFRKFGKVSPKHTYLFN GDFVDRGSWSCEVALLFYCLKILYPKNFFLNRGNHESDNMNKIYGFEDECKYKYSQRI FNMFSQSFESLPLATLINNDYLVMHGGLPSDASATLSDFKNINRFAQPPRDGAFMELL WADPQEVNGMGPSQRGLGHAFGPDITEKFLKNNKLRKIFRSHELRMGGVQFEQKGNLM TVFSAPNYCDSQGNLGGVIHVVPGNGVLQAGRNDDENLIIETFEAVEHPDIKPMAYSN GGFGL SPAR_G03420 MCGIFAAFKHEDIHDFKPKALQLSKKIRHRGPDWSGNAVMNSTI FVHERLAIVGLDSGAQPITSADGEYMLGVNGEIYNHIQLREMCPGYKFQTFSDCEPII PLYLEHDIDAPKYLDGMFAFCLYDSKKDRIVAARDPIGVVTLYMGRSSQSPETVYFAS ELKCLTDVCDSIISFPPGHVYDSETDKITRYFTPDWLDEKRIPSTPVDYHAIRHSLEK AVRKRLMAEVPYGVLLSGGLDSSLIAAIAARETEKANTDANEDTNVDEKQLAGIDDQG HLHTSGWSRLHSFAIGLPNAPDLQAARKVAKFIGSIHHEHTFTLQEGLDALDDVIYHL ETYDVTTIRASTPMFLLSRKIKAQGVKMVLSGEGSDEIFGGYLYFAQAPSAAEFHTES VQRVKNLHLADCLRANKSTMAWGLEARVPFLDKDFLQLCMNIDPNEKMIKPKEGRIEK YILRKAFDTTDEPDVKPYLPEEILWRQKEQFSDGVGYSWIDGLRDTAERVISDTMFAN PKADWGDDIPTTKEAYWYRLKFDAWFPQKTAADTVMRWVPKADWGCAEDPSGRYAKIH EKHVSA SPAR_G03430 MGRTIRRRRSNSSLSEAISVSLGINQDSSVNKMHRASVSAMSPP LCRSYMSGFFTGGNSPMINNMSDSKLPVSNKQHPKVIHGSENLHRQTAQLSNEFCSSS VEENSPTIKDYMDIIDSGDRKDDQSMRTIEENIDEEYSDEYSRLLLSPASSNLDDGRN RGLQDGSVSELEDGYVSGYQSLRPPHNLRFRPRNLWHLCTSFPSKFAHYLPAAVLGLL LNILDALSYGMIIFPITEPVFSHLGPTGISMFYISTIISQAVYSGGWSSFPSGIGSEM IEITPFYHTMALAVKEALAGNDDEIITTTIFCYVISSMLTGVVFYVLGKLRLGKIVGF FPRHILIGCIGGVGYFLIITGIEVTTRVAKFEYSWPFFSGLFTNYDTLAKWLLPVLLT VVLIGTQRYFKNSLVLPSFYILTLVLFHFVVAITPTLSLDTLRQAGWIFPIANSDSKW YDHYRLFNVHKVHWSLVLQQIPTMMALTFFGILHVPINVPALAMSLQMDKYDVDKELI AHGYSNFFSGLLGSVQNYLVYTNSVLFIRAGADSSFAGFLLIALTICIMIIGPVIISF IPICIVGSLIFLLGYELLVEALVDTWNKLNKFEYLTVVIIVFTMGIFDFVLGIIVGIL IACFSFLVDSTKLQTVNGEYNGNVAKSTVYRDYVQTKFLDGIGEQIYVLKLQNLLFFG TILSIEEKIERLLQISNKDATKRRIKYLILDFKNINADNIDYSAAEGFNRIKRFTETK RIKLIISSIKERDRIYNAFNNVGLLNDVELFADLNSALEWCENEFLFQYKQLRKKAKE RLEERKQNNVVSAVIAATQNKKIDSVGNSLNGGGSRDAVRNLMSLPTNTPRNYQMLSV AQNVFANDEQAVKNFKKEYKDDEPVLPILLFALKQYRPDIMSEVQKVREKEIKFWAQL CPYFTRRRLASQSLLLHADNIFFLVETGMLKATYELPQGTLYEIFSNGTCFGKIIAPG NAMPREQKLTIETETDSVLWVIDSSSLKKMKEENLSLYVEVALMVMCIKDTRFKELLG YTLVSA SPAR_G03440 MPVPSVTVTTDNEYEDISSFSSIDSYKPEPFTGFKDSEAPEQPL LKNDTIVGKGQLEDDGNVDDQHRHSDVHSHHSSSTLKRPTSNSIEKMVTHNALEGNSE TLDSLKEDGLNLNKKALPDITAPVTNSAHDAAFPEEYRLETETGLVKLKTLETLKRED SRVSSTKREHINDHTDTHSTQSKVTTNSQGSSLEPTKLNMAVEKNKKKIEKYQKHKSE KGIKGFFHRIFD SPAR_G03450 MCILMATTAHPDYELILISNRDEFLARKTHATCWHNDDFILSPY DLAKTSAEKQIFGTWSGINKEGKLATILNLKIGSERDTTKSRSRGLLPFIFLSNHEAN FEDWDSYKKFEGQYDGLKTTGDFNFFYGDVIKKQYKVIDSLGRTFDVLSSTCRKDLDS YMVVSNGKFYNSSGIPEQAWKKVKVARDSLEKLVLENIGSDEEVIISSCFQLASKSSL PSTISNSDFSQMLVDPNVTMNTIYVPPLRRPPGDDLGASIPDGDYYGTRSQIVLLVSK DSTKVTFVERILYSSDEDVRQYSVNAPKEEKRFKFKL SPAR_G03460 MPSLSQPFRLATLPKIASLSNFSLQADYVQVADSTFNESTNNIT LGISGSSISQYIINPTPKLTFDYPIPSTNIITACNAGKGQANIDEDLKVSNDDKDNNE KAINTQTKRNIEIWSFGLMVNKGNYTLNVITKAPEDTTDTPNEHLVENGTDNKAYTGA DDFLSQYKIKAKAKVMSIKIDTKNSLIIAILQNGLIEFFDFKLKLLHSFDISYDNLKY AKWFTENGTEYVFVLCPLQDDKVCYKLLELTGCGSVESSPIKELSSTIIEGFSFENSK LCYQFGKLYKLNQGKIYVYSLPHCQLQLIIELPLVDKPSSGNDLISFQPVSVNRVLLT VNNVIYLLDLLHCSTLSQRELTHVRTFQLLKSAVINSEKSHNSKTIAIGISTKNGPNP TSSLEIINIDVGTNTLKDSLGKSFQVGKSDSSVILKPLFDDEDITDKRVKRNDIDEDL SVPVFQYNEIIEKLSALKNNDITSFDDIFFKDLKIKEEHYTEKDRFISDPIFLNKVLD LIFEKFNGNDYPKTLTFLLTHPLFPLNRTRNLLSLLKDQPRLFKQAIVTCPNLPLNEL LEELFSIRNRELLLDISFRILQDFTRDSIKQEMKKLSKLDIQNFIEFITTGDEDSSLE CFNHSQSTQLFQLLSLVLDSIGLFSLEGTLLENLTLYIDKQVEIAERNTELWNLIDTK GFQYGFASSTFENGTSQKQALPTYTMEYLDI SPAR_G03470 MDFNKLDEKLKELKRKRVNVSIKSRKLADREIQEVGANRKPRVY SMEDVNDADESAENMESSDKEKAFHYTVQEYNAWERRHHQGETGQSQGSGISYDQLAK LSYEKTLRNLAAQKQNSSNQDSFVDKEENKNKPKKGRIDRVQKDTRTGKIRIADDDKL VNKLAVSLESESKKRYESRKRQMENAKSLYGVESFINDKNKQFNEKLSRESKRSE SPAR_G03480 MLFNINRQEDDPFTQLINQSSANAQNQQAQQQESPYQFLQKVVS NEPKGKEEWVSPFRQDALANRQNNRLSAEDAKNRKFPTISATSAYSKQQPKDLGYKNI PKNAKRAKDIRFPTYLTQNEERQYQLLTELELKEKHLKYLKKCQKITDLTKDGKGDTD TTTSSTSTSTSTSTSSSSSTSSDDEDSTSTTTSEANEETTTTTNSASTTTGVENSADE ATSMEEEQEGKASESTSIEKRTAENGENIQEAVCPIDEGNIAEQSLTKTTADSTQINA PETTVTSEKDASEQRIAGQGGDSKSVVPTEEQKEETSVVKKVNRSGEGQQGEAEEETE QSSEEEPAEEMSTPETSEPESEENESPIDPSKAPKVPFQERPRKERTGIFALWKSPTS SSTQKGNPTAPSNPVATPENPELIVKTKEHGYLSKAVYEKINYDEKVHQAWLTDLRAK EKAKYDAKNKEYEEKLQTLQSQIDEIENSMKAMRKETSEKIEVSKNRLVKQIIDVNAE HNNKKLTILKDTENMKNQKLQEKNEVLDKQTNVKSEIDDLNNEKTNVQKEFNDWTTNL NNLSQQLDAQIFKINQINLKQSKVQNEIDNLEKKKNDLVTQTEENKKLHEKNVQVLES VENKEYLPQINDIDNQISGLLNEMTIIKQENANEKTQLSAITKRLEEERRAHEEKLKL EAEERKRKEENLLEKQRQELEEQAHQAQLDHEQQITQVKQTYNDQLTELQDKLAAEEK ELEAVKRERTRLQGEKAIEEETRQKNADEALKQEILNRQHKQAEGIHAAENHKIPNVQ SQKTKNVVPKDDSLYEYHTEEDVMYA SPAR_G03490 MLSAADNLVRIINAVFLIISIGLISGLIGTQTKHSSRVNFCMFA AAYGLVTDSFYGFLANFWTSLTYPAILLALDFLNFLFTFVAATALAVGIRCHSCKNKT YLEQNKIIQGSSSRCHQSQAAVAFFYFSCFLFLIKVIVTVAGMMQNGGFGFNTGFGRR RARRQMGIPTISQV SPAR_G03500 MSNSARLIDVITKVALPIGIIASGIQYSMYDVKGGSRGVIFDRV NGVKQQVVGEGTHFLVPWLQKAIIYDVRTKPKSIATNTGTRDLQMVSLTLRVLHRPEV LQLPAIYQNLGLDYDERVLPSIGNEVLKSIVAQFDAAELITQREIISQKIRKELSTRA NEFGIKLEDVSITHMTFGPEFTKAVEQKQIAQQDAERAKFLVEKAEQERQASVIRAEG EAESAEFISKALAKVGDGLLLIRRLEASKDIAQTLANSSNVVYLPSQHSGSGNSESSG SPNSLLLNIGR SPAR_G03510 MSRITKEYKVILKTLASDDPIANPYRGIIESLNPIDETDLGKWE AMISGPSSTPYENHQFRLLIEVPASYPMTPPKISFKQNNILHCNVKSATGEICLDILK PEDWTPVWDLLHCVHAVWRLLREPVCDSPLDVDMGNIIRCGDLSAYQGIVRYFLAERE RTRHH SPAR_G03520 MTKKKVATNHIERQRLASEDSSVDSVRFQQFIPLQELLKEKNYV PSVENLERVLYDETMFNDQKVRFNLLLEALIITLFTTISGKSILQLIQSSTLKERKLW AQSFDNNNTNYASIVQSWKNNDMLLLKFLRFLLANKTTFLQIDRYNLAEYKLPLSFLI VSKINIPSVLLNEGYNLLKDYLYSITGRIECLIRCSSAFDRPAGVVRRILKDYDRMVE CRNFNFWYSFNAENSVNLDFSDSISLLMDNDEDNVGSALDDSRFRNNNHQKQPKEAII SRTINDQEQIYSFELNQDGTLEIPNVMEHSLLRHELLFKVLNLTPVLTPLLELQFSTL CGLVDPLMQPTPNDKHIISIDFLFHLFLGLMSPSIKTSQKHNDHYDWKFYMCFNMQKI IDATMLRLNCFDFNILNSVNNSDNAVHWSTQLHKWLPHGLNTQDLELLYMIDILAVYT IYKLYEKIPIQLNPFLFSLISLWKNLSCVILLALEIDRIEEENGTYETPLMVRATIRG AAALRSVIATILNGLVKNNEHDFKHESLNTFMSPYGRKLCHGALYADLRSHTASLLAL GASIEDVTDLFADLQSGDRFDEDIRYMFDYECEDYDESFSESEDGGLEGSVVNSREKI KSGNNNVFSRRRCNCIFNDDKLVAEDGANEAFESTSSETVQGRVHTNRDVMPNTATAT SDRVGSLPNPLSVRSRSTFEFDYSGEDWRDVPRKFNMYYSPSYSFIHQPKLDVIFSLT LRGATEKLNREESMLLVRSVASCVRNEQDQMILADLGSNFTTISEIGEGKGSTETFKK DNEELRRTTPDDIYEIWSEESAFERMLNVNHDVAWRLMDEMLMCTGYRRILIWFLTHL ELKHSLIYYVFELIMGLRGKPFSGEANDQDKKDDMIYEILKKKQKNEDASGLPFSRQG PIVLSDIETKMLLQEFFMNAAIFLSSKNNEEDDEDGEKVSLYSLGLVRLICYMVQTLI ANDKFFFTKSECTFELQTLLMTWIGILPEAKDLFFKIKTRLAMEEDNSPGTIQHDDRK NLNTEKKLNTKPASELNVKLLNLFPSKPAERDDSSPINTLRSFITDYSFDTQVNPPGR KVVFYDGKILPLPKADKPIPLHEYITLAELDVGDIE SPAR_G03530 MGSRRYDSRTTIFSPEGRLYQVEYALESISHAGTAIGIMASDGI VLAAERKVTSTLLEQDTSTEKLYKLNDKIAVAVAGLTADAEILINTARIHAQNYLKTY NEDIPVEILVRRLSDIKQGYTQHGGLRPFGVSFIYAGYDDRYGYQLYTSNPSGNYTGW KAISVGANTSAAQTLLQMDYKDDMKVDDAIELALKTLSKTTDSSALTYDRLEFATIRK GINSGEVYQKIFKPQEIKDLLVKTGITKKDEDEEADEDMK SPAR_G03540 MSTSLVNRSLKNIRNELEFLRESNVISGDTFDLINSKLPEKWDG NLGSPSNANTEEYVEALYDFEAQQDGDLSLKTGDKIQVLEKISPDWYRGKANNKVGIF PANYVKPAFTRSASLKSGEAALSSTVSRPTVPPPSYEPAPSQYPSQQVSAPYAPPAGY MQAPPPQQQQAPLPFPPPFTNYYQQPQQQYAPPSQQVPVEAQPQHSSGASSAFKSFGS KLGNAAIFGAGSAIGSDIVNSIF SPAR_G03550 MSDQESVVSFNSQNTSMVDVEGQQPQQYVPAKTNSRTNQLKLTK TETVKSLQDLGVTSAAPVPDINAPQTAKNNIFPEEYTMETPSGLVPVATLQSMGRTAS ALSRTRTKQLNRTATNSSSTGKEEMEEEETEEREDQSGENELDPEIEFVTFVTGDPEN PHNWPAWVRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAILSCSLMVIG FSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGCLLACRFLCGVWSSSG LCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRMDLIFWVNMA FAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMSEMMRACLLR PLYFAVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGLMFIPIVIGA LWALATTFYCENKYLKIVKERKPTPEDRLLGAKIGAPFAAIALWILGATAYKHIIWVG PASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAFPLFTIQMYH KLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSVEM SPAR_G03560 MDVQQNQEAMSPEDKFQELVDSLKPRTAHQYKTYYTKYIQWCQL NQIIPTPEENSVNSVPYKDLPISAGLIHWFLLDTFITDDKSKKKREEGEDLDDEEENS FKIATLKKIIGSLNFLSKLCKVHENPNANIDTKYLELVTKLHTHWIDSQKAITTNETN NTNTQVLCPPLLKVSLNLWNPQTNHLSEKFFKTCSEKLRFLVDFQLRSYLNLSFEERS KIRFGSLKVGKTDKDAIIHHKTTYSTEKKDTPSHHQLIALLPQDCPFICPQTTLAAYL YLRFYGIPSVSKGDGFPNLNADENGSLLQDIPILRGKSLTTYPREETFSNYYTTVFRY CHLPYKRREYFNKCNLVYPTWDEDVYRTFFNQENHGSWLEQPGTFAFPDRIPFDFKKI MNFKSPYTSYPTNAKKDPLSPPKDLLVQIFPEIDEYKRHGYDGLSQDSKDFLELMDVL RERLLNNLPWIFKFFPNHDIFQDPIFGNSDFQSYFNDKTIHSKGSPILSFDILPGFNK IYKNKTNFYNLLIERPSQLAFSLSNNPDVHLPQKAESDGPSQITQVDTAHLNELLKQQ SFEYVQFQTLSNFQILLSVFTKIFEKLEMKKSSRGYILHQLNLFKETLDERIKKSKID DADKFMRDNQSIKKEENVVNGDGPNALRRTKRPKRIRLLSIADSSDESSTEDSNLFKK DTESIDSDGANEENEDENDSEMQEQLKSMINELINSKISTFLQDQMDQFELKINALLD KILEEKITRIIEQRFGSYARSFSALKRPQPHIAEEENVESDMDVSKRPRFSGRYAEPV KNNDDHQTMSSTASPPPEQDQETKNHTDEQAFMLDDSIDTIEGIILEWFTPNAKYANQ CVHSMNKSGNKSWRANCETLYKERKSIVEFYIYLVNHESLDRYKAVDICEKLRDQNEG SFSRLAKFLRKWRHEHHNSFDGLLVYLSN SPAR_G03570 MRISQNNQRRQGERLFFLLTFIIYSMIPCRAVLVPWLDNDPFEE ALVQMGDGPWSKDILSSTPPLHPSEVTEDNKSLKQKGNVPQYVIDNSPLLHLYSEEKY WPADVKDFVKRFQLRDHSGDKIINEHLRDLSDLQEYYSIELENGTWNRVSSEGTYMTS LNDFDKDPDWLLGEQPEYGTGHIKKAPAVLFVVDKGNGWVDAFWFYFYPFNWGPYIMG SGPWGNHVGDWEHSLVRFYKGEPQYLWMSAHGGGTAYKFEAIEKIKRLRRIDGKLTNE IIKKPLIFSARGTHAHYASVGQHAHDVPFFFMPLSDFTDRGPLWDPSLNYYAYTVTVG ETITPCGAEETKMGLDWLSFKGAWGDKQLRPRDPRQKWCPFQWKYIDGPRGPLFKNME RVSLCQRFKWWNFWKGCPARRYIKRGEGLDAEKNDLVGDNCGILLYNIRPKWLRSILR LLTWRGSVCFIMDYFTG SPAR_G03580 MFSIFNSPCVFEQLPSSSQPVNSRYFDCSSPVSYYPECKRRKAV KANPRAPKKSDRNCFETLRYALAETPNGYTLSLYKQIPYELFSKYVNEKLSELKESHY RPTYHVVQDFFGNQYYVEDEADENALLRSAFEDLDFKAIGKKIAKDLFQDYEIELNHR GDELSILSKKDNIFKDFPLDQVFEDVFVIGCGVENIDDDSREKCALLKIGLVKHGRED VACDINKPKMTIKESPRDQSEGNIDMPESSSEEEAEEVKESLTKEEQIKKWIEEERLM QEESRKSEEEKLARENEERQKKGKQAKLKAKKESLMKKQKAKRVEQKKLQKFNSLTTS KIETSDNTGSTESDNESINSESDTILDSSVLSNTLKKHVSPLLEDIEDEEVDRYNWSL SRIPRGNSIIEDI SPAR_G03590 MSVRNLTNNRNSNSENSIGESENSFYSSNEQSRQSSSLEAADNK NIRVGGNPFLGSEEFEEDYNLPSEDDERRGANEYSSSSSINYNNDPNSDTSLLANEKK TPERNGRSMSDYKGYYAKNNLTSANNFNNHNNNYNNNIISSSNDNSFASHLQPPDRNL PSHPSSNNMSTFSNNSLIKSPPPFDRYPLVGTRHISMAQSQSQNLINEKKRANMTGSS SSAHDSSLSSTNLYMGEQDFSPFGGYPASFFPLTLDEKEDDDYIHNPNVEEEAKLDRR RFVDDFKHMDRRSFLGLVGILLLFMAGIFIFIVLPAITFSGVVYHHEHVHAANSAGSV SSNTTSKSLTEYQYPQLAAIRTALVDPDTPDTAKTREAKDGSKWELVFSDEFNAEGRT FYDGDDQFWTAPDIHYDATKDLEWYSPDAVTTTNGTLTLRMDAFKNHDLYYRSGMVQS WNKLCFTEGALEVSANLPNYGRVTGLWPGMWTMGNLGRPGYLASTQGVWPYSYEACDA GITPNQSSPDGISYLPGQKLSVCTCDNEDHPNQGVGRGAPEIDILEGEADTILGVGVA SQSLQIAPFDIWYMPDYDFIEVYNFTTTTMNTYAGGPFQQAVSAISTLNITWYEFGEE AGYFQKYAIEYLNDDDDGYIRWFVGDNPTFTLYATSLHPSGNVDWRRISKEPMSAILN LGISNNWAYIDWQYIFFPVTMSIDYVRLYQPKGSTSITCDPDDYPTYDYIQSHLNAYH NANLTNWEQAGYTFPKNVLTGDCSSSKFSLS SPAR_G03600 MSATSTATSTTASQLHLNSTPVTHCLSDIVKKEDWSDFKFAPIR ESTVSRAMTSRYFKDLDKFAVSDVIIVGAGSSGLSAAYVIAKNRPDLKVCIIESSVAP GGGSWLGGQLFSAMVMRKPAHLFLQELEIPYEDEGDYVVVKHAALFISTVLSKVLQLP NVKLFNATCVEDLVTRPPTQKGEVTVAGVVTNWTLVTQAHGTQCCMDPNVIELAGYKN DGTRDLSQKHGVILSTTGHDGPFGAFCAKRIVDIDQNQKLGGMKGLDMNHAEHDVVIH SGAYSGVDNMYFAGMEVAELDGLNRMGPTFGAMALSGVHAAEQILKHFAA SPAR_G03610 MVLKSTSANDVSVYQVSGTNVSRSLPDWIAKKRKRQLKNDLEYQ NRVELIQDFEFSEASNKIKVSRDGQYCMATGTYKPQIHVYDFANLSLKFDRHTDAENV DFTIISDDWTKSVHLQNDRSIQFQNKGGLHYTTRIPKFGRSLVYNKVNCDLYVGASGN ELYRLNLEKGRFLNPFKLDTEGVNHVSMNEVNGLLAAGTETNVVEFWDPRSRSRVSKL YLENNIDNRSFQVTTTSFRNDGLTFACGTSNGYSYLYDLRTSEPSMIKDQGYGFGIKK IIWLDDVGTENKIVTCDKRIAKIWDRLDGKAYASMEPSVDINDIEHVPGTGMFFTANE SIPMHTYYIPSLGPSPRWCSFLDSITEELEEKPSDTVYSNYRFITREDVKKLNLTHLV GSSVLRAYMHGFFINTELYDKVSLIANPDAYKDEREREIRRRIEKERESRIRSSGAVQ KPKVKVNKTLVDKLSQKRGDKVAGKVLTDDRFKEMFEDEEFQVDEDDYDFKQLNPVKS IKETEEGAAKRIRALTAAEESDEERIAMKDGRGHYDFEDEESAEEEADDETNQKSNRE ELSEKDLRRIEKQKALIERRKKEKEQSEQFMNEMKAGSSTGTERDESARVTFGDKVGE LLEVENGKKSNDSILRRNQRGEAELTFIPQRKSKKDGNSKPRRHDSSSDEEDVDGNAN RKDNGRSKPRFDNRRRASKNAFRGM SPAR_G03620 MSTAFNDYCTVCDRLIPTSPQKTNNNTRKIQRGNETRNSSQSNK LYCSEDCKLKDSNPLNEKLLSHLHKKTKSSHSHDLTPPLSYSKNLTASNLFEPATSLS SSPTSSTIPFDELEKLDSLLISPLLLPQDGNVNAKQELNPTRVDEYDDNEHYLNLTDS LRLDSGYQLHSKAHLGYENDLPRSSDIIDDHLISDQIIENNYNLWFRLSSS SPAR_G03630 MIVPRSSALPVFRRTFLRWGWVSLPIQKTVPHTLRRNLSVPCRP MSKCPFLRPVVNMQLAQTKRFHSTEGENNQSDGGKSKNNDGKKSEPHGIKGLMAKYGY SALIVYILLTCVDLPLCFLGVHSLGEEKIKIYLNRGKQLVGMGEPDESKVIEDVRRKQ AHREAVQAKNADKVEDASRKTFNERWQEMKDSTLLAELLIAYGIHKSLIIVRVPLTAV LTPSFVKLLQRFGIDLMKKQKKVFQTMASGAKIRYKGNNPSDFIKNEGTVLDITKRKP KTKGQKWFDGLM SPAR_G03640 MKVEIDSFSGAKIYPGRGTLFVRGDSKIFRFQNSKSASLFKQRK NPRRIAWTVLFRKHHKKGITEEVAKKRSRKTVKAQRPITGASLDLIKERRSLKPEVRK ANREEKLKANKEKKRTEKAARKAEKAKSAGVQGSKVSKQQAKGAFQKVAATSR SPAR_G03650 MYKLDNNQIDDETNNSVSLTSLLEFLDPIASKVVSKYYHGSNLS KAEQKLRNFEGFRRRKPHHEHDSHHLHHLNRSRSFLQLEDFKVRALQRIKNLDKPLDS IFFKNSSRLEKAFYPFTLFNIFFIGFLMGRFPEWFHVYYTILFFVLMPIRFYTYYKTK NHYFLADFCYFVNMLCLLFIWVFPHSYSLFQSCFAFTFGTLCFAVITWRNSLVIHSID KTTSCFIHIIPPCVMYVIYHGLPLEYKIERFPGAIIQSELDIKKNILWTSLYYLVWQS LYHYFITLKKSSKIKSGERMTSFEYLTTHQFKNFWAVKLRSPWPMIIYTLSQYLYQLF TMLLCGIWIRYKLAAALFLTVVFLWASHNGATYYIDHYGKNFEKEVDRLRLEVENLQQ KLQPDSDVLISDASINDKDYLTVNPDEDFDDSSSVSSKSS SPAR_G03660 MYMARCGPKTNVLYFPFQSSFLLSKRLINKRFKHTLQIENEKNM IGNPGKNEIISPEDVEFKLAQLREFTNTLKERIHNIESVKPGSHQSNGIASISEDSKN INTTETLSSSHEGKSNNLSDLIHSSFLEKMNHVVPTVIRERVADDDILAKNLLDKSHS NWAPVIDRLYTSEKRLKNIDSRELSVWLKGTVKYLPFHSILHLDKMLLEQIEGDVVKF NTHMYECIFNNLGSLKPTSSNHDGINDRVILKMKELLERYDETLKIVEERRNKKQGPP SRTPKMTQAILNNCLKYSTKCSSFQDMEYFITKFRDNYGISPNKQNLTTVIQFYSKKE MIKQAWNTFDTMKFLSTKHFPDIRTYNTMLQICEKERNFPKALDLFQEIQDHNIKPTT NTYIMMARVLATSSSNVVVSEGKSNSLRLLGWKYLHELEEKNLYRHKNDEMNLFLAMM ALASYDGDIELSRALYYLFIGKKYKALCANWKGSILVDQDKIWKSVLMPEMLNYLMLA YARFDPRNLPVLSGYEKGINLRRKFLREFDTSLRLDDADKSVEFKLPFLPINDLNSEA QVLAESNAIWSFNLENGGTRDTLTSANETALETIKKYGQLLDSFAQEAKDLNEFKFKV MYEVTKRQRESINVNVFNKILLHTYLSIPINFGQQKEFLRRLTIFTFQQHEFEAVIKR LYDGYCDLSLSNQVGQNSISGEAISVSKVEKWEDPTLRMDDIWYITSLRCKIMMDTTL YELVMKAAIEFQNEDLAKKVWNDRGKFRTTIPFLNMDQRIRISKDQKFAHLMVEFFTK EGKYSDAIAIILSSKNRFNWTYSMVRNLHQALEEIEDKNSVEIVLDVVNKKSHAKALK WEEKELKM SPAR_G03670 MRDYKLVVLGAGGVGKSCLTVQFVQGVYLDTYDPTIEDSYRKTI EIDNKVFDLEILDTAGIAQFTAMRELYIKSGMGFLLVYSVTDRQSLEELMELREQVLR IKDSDRVPMVLIGNKADLINERVISVEEGIEVSSKWGRVPFYETSALLRSNVDEVFVD LVRQIIRNEMESVAVKDARNQSQQFNKVESSSTKLPSSAKQDTKQLNNKQSSKGLYNK PSQGQTKVKQSTPVNEKRKPSHAVPKSGSSNRPGTNATSQQRKKKKNSSTCTIL SPAR_G03680 MRGAYLDKELSTYECKKETETYSFLTSLSDIQDSTSNEEDNRVG SLFSEDSLTFESSDVSIRLFSLGLNATNENENGNDNAMKIIVPPKAEQRKGERRSKKI PRSVALLPENSTKCLVESSFDSSRDYGQQLFDWKHEMVERGEDNVKPCGCHKSRKAKC FKELEMESIEKGDIKKSLFYRDIIEWCKEYKVNKTREICVPSLHEFYLDENGSDNLF SPAR_G03690 MSISYKERISKTHPVFKPEKGRYYIYGALGCPFTHRVILARSLK KLESVLGLVVSHWQLDSKGARFLPAPEHPDEYREKFFTSAGGIPSARLDESEELGDVN NDSVRLFVDGAFDPVENISRLSELYYLNDPEYRGTKFTVPVLWDSKSKKIVNNESGDI IRILNSGVFDEFIGREEANVIDLVPHDLIHEIDDNIKWVHPNINLGVYKAGLAESTKI YETEVKKLFENLQKMECILKGNYRHLKEQFNDNKKDILAKYFVLGQRLTEADIRLYAS IIRFDVVYVQHLKCNLKTVRDGFPYLHLWLINLYWNYAEFRFTTDFNHIKLFYIRMET SKNKINPFGIVPLGPKPDIPKL SPAR_G03700 MTKSEQQTDSRHNVIDLVGNTPLIALKKLPKALGIRPQIYAKLE LYNPGGSIKDRIAKSMVEEAEASGRIHPSRSTLIEPTSGNTGIGLALIGAIKGYRTII TLPEKMSNEKVSVLKALGAEIIRTPTAAAWDSPESHIGVAKKLEKEIPGAVILDQYNN MMNPEAHYFGTGREIQKQLEELDLFDNLHAVVAGAGTGGTISGISKYLKEQNDKIQIV GADPFGSILAQPENLNKTDVTDYKVEGIGYDFVPQVLDRKLIDVWYKTDDKPSFKYAR QLISNEGVLVGGSSGSAFTAVVKYCEDHPELTEDDVIVAIFPDSIRSYLTKFVDDEWL KKNNLWDDDVLARFDTSKLEASTTKYADVFGNATVKDLHLKPVVSVKETAKVTDVIKI LKDNGFDQLPVLTEDGKLSGLVTLSELLRKLSTNNSDSDNTIKGKYLDFKKLNNFNDV SSYNENKSGKKKFIEFDENSKLSDLNRFFEKNSSAVITDGLKPIHIVTKMDLLSYLA SPAR_G03710 MTDPRRRTGRHFLTPDNLSSTLQITNLPPEWNQDIITSVVAGSG PVIDIKPKNDPRTGKLTGVLFDYLTSKDCKRAWEILNRIENFPVKIEQIIPPNYKDHL RETANKNSQKQVLQLNRDSYPFEAGLELPFEMVTEVPIPRRPPPPQAASNTNSVSNNT NIQFPDILSKASKHLPSFQDGSIIAPDKISQNLSKIPPLQLIEIISNLKILANQENIQ KSQLESFLNTNSDITISVTQALLEMGFIDYSVVTKVLKSQIGETPSMLSSNNTSNSNT PISVIRNNTPLHVPPNEASNNSNNIPLNVGMPMPMSTPPFIPLPLQQQPFGFVPPGPF MPSTQGPSMGQSVLINQLGQVQQQNVSSTEAPPNANKANDSGTINMAKLQLLPENQQD MIKQVLTLTPAQIQSLPSDQQLMVENFRKEYII SPAR_G03720 MSSCKTTLSDMVGPVAKDRGTINVKARTRSSNVTFKPPVTHDMV RSLFDPTLKKSLLEKCIALAIISNFFICYWVYQKFGLQFTKYFFLVQYLFWRIAYNLG IGLVLHYQSHYETLTNCAKNHAIFSKISQNKDVSSNFSTNSNSFSEKFWNFIRKFCQY EIRSKMPKEYDLFAYPEEINVWLIFRQFVDLILMQDFVTYIIYVYLSIPYSWVQIFNW RSLLGVILILFNIWVKLDAHRVVKDYAWYWGDFFFLEESELIFDGVFNISPHPMYSIG YLGYYGLSLICNDYRVLLVSVFGHYSQFLFLKYVENPHIERTYGDGTDSDSQVNGRID DLISKENYDYSRPLINMGLSFNNFNKLRFTDYFTIGTVVALTLGTVLNAKSVNLNYLF VVVFITKLVSWLFISTILYKQSKTKWFTRLFLENGYTQVYSYEQWQFIYNYYLVLTYS LMIIHTGLQIWSNFSNINNSQLIFGLILIALQTWCDKETRLAISDFGWFYGDFFLSNY ISTRKLTSQGIYRYLNNPEAVLGVVGVWGTVLMTNFAVTNIILAVLWTLTNFILVKFI EAPHVNKIYGKTKRISGVGKTLLGLKPLRQVSDIVNRIENIIVKSLVDESKNSIGETE LLPKNYQENKEWSILIQEAMDSVATRLSPYCELEIENEQIENNFVLPAPVSLNWKMPI ELYNDDDWIGLYKVIDTRADREKTRVSSGGHWSATSKGTYMNNGLRHKESVTEISAAE KYVQGKVTFDTSLLYFENGIYEFRYHSGNTHKVLLISTPFEISLPVLNTETPELFEKD LAEFLTKVNVLKDGKFRPLGNKFFGMECLKQLIKISIGVELSSEYIRRVNGDAHVISH RAWDIKQTLDSLA SPAR_G03730 MNVQDRRRLLGPAAARPMAFSNTTTPVLEKKSTNAPPEDSESEQ ELSLHTGFIENCNGSALVEARSLRHQTSLITAVYGPRSIRGSFTSQGTISIQLKNGLL EKYNTNELKEVGSFLMGIFNSVINLSRYPKSGIDIFVYLTYDKHLPNNLLDDESQSKE LIPQIASLIPHCITSITLALADAGIEIVDMVGAGEANGTVVSFIKNGEEIVGFWKDDG DHEDLLDCLDRCKEQYNCYRDLMISCLMKQKNLGTRSS SPAR_G03740 MAKTTKVKGNKKEAKASKQAKEEKAKAVSSSSSESSSSSSSESE SESESESESSSSSSDSESSSSSSSDSESEAEKKKEESKDSSSSDSSSDEEEKEEETKK EESKESSSSDSSSSSSSDSESEKEDSNDKKRKSEDAEEEEEEESSNKKQKNEETEEPA TIFVGRLSWSIDDEWLKKEFEHIGGVISARVIYERGTDRSRGYGYVDFENKSYAEKAI QEMQGKEIDGRPINCDMSTSKPAGNNNNDRAKKFGDTPSEPSDTLFLGNLSFNADRDA IFELFAKQGEVVSVRIPTHPETEQPKGFGYVQFSNIEDAKKALEALQGEYIDNRPVRL DFSSPRPNNDGGRGGSRGFGGRGGGRGGNRGFGGRGGARGGRGGFRPSGSGANTAPLG RSRNTASFAGSKKTFD SPAR_G03750 MIATSRTANMNKESKHKKAVAKPSRERQTSVTRAMRPAVARDPR RLSTSSSPSSSPISAQRRLSREEIINEMEKEQDAIVVRLLREIETLKEENSRLKNQLH HPVPARKSSPFFESESAILDDDDCNYGYTLDTPTLKLTDGASRRAVLPLTPKDSMSHI SHSARRSSRNASISNGTSISDTIFPIETKIHSAPTANRNLSSADLPHHTLLPRSLSGI SSSDLTESGTLLHDRRRRSSNYSLDGSNSLKADLMAKRFQTGSLK SPAR_G03760 MSGYFNHLSSSAHFTNIQADQGLISDASGTSGDHGSSSMIDFAL QLEELSLEEKIVKEFTLFQSKNMDLLQETATASPNTNHSLRQSQIQGW SPAR_G03770 MSLEATDSKAMVLLMGVRRCGKSSICKVVFHNMQPLDTLYLEST SNPSLEHFSTLIDLAVMELPGQLNYFEPSYDSERLFKSVGALVYVIDSQDEYINAITN LAMIIEYAYKVNPSINIEVLIHKVDGLSEDFKVDAQRDIMQRTGEELLELGLDGVQVS FYLTSIFDHSIYEAFSRIVQKLIPELSFLENMLDNLIQHSKIEKAFLFDVNSKIYVST DSNPVDIQMYEVCSEFIDVTIDLFDLYKAPVLRNSQKSSDKDNAINPRNELQNVSQLA NGVIIYLRQMIRGLALVAIIRPNGTDMESCLTVADYNIDIFKKGLEDIWANARANQAK NSIEDDM SPAR_G03780 MTDETVQPTQSAPRQESAALKQTGDDLQESQQQQQRGYNNYNNG SNYTQKKPYNSNRPHQQRGGKFGPNRYNSRGNYNGGGSFRGGHMGANGSNVPWTGYYN NYPVYYQPQQMAAASGAPANAIPVEEKSPVPSKIEITTKSGEHLDLKEQHKAKLQSQE RSAMSPQLDSKSEETSDSTPTPTPTPSTNDSKASSEENISEAEKTRRNFIEQVKLRKA ALEKKRKEQLEGASGNNNIPTKTAPEKVEEKGSDKPEVTEETKSAEEKSAESENKQDT PLKEGEQEEKGQVKEESTPKVLTFAERLKLKKQQKEREEKTEEKEDKEVPVQEETKGT TEFAPVPPTEQDKEETEVTETKQPKADESATAPAIPAESDDAEVEAEAGDVGVKAELE AEDETATDETDDGTNTVSHILNVLKDATPIEDVFSFNYPEGIEGPDIKYKKEHVKYTY GPTFLLQFKDKLNVKADAEWVQSTSSKIVIPPGMGRGNRSRDSGRFGNNSSRGHDFRN SSVRNMDDRANSRTSSKRRSKRMNDDRRSNRSYTSRRDRERGSYRNEDKREDDKPKEE VAPLVPSANRWIPKSKAKKTEKKLAPDGKTELLDKDEVERKMKSLLNKLTLEMFDAIS SEILAIANISVWETNGETLKAVIEQIFLKACDEPHWSSMYAQLCGKVVKELNADISDE TNEGKTGPKLVLHYLVARCHAEFDKGWTDKLPTNEDGTPLEPEMMSEEYYAAASAKRR GLGLVRFIGFLYRLNLLTGKMMFECFRRLMKDLTDSPSEETLESVVELLSTVGEQFET DSFRTGQATLEGSQLLDSLFGILDNIIQTANISSRIKFKLIDIKELRHDKNWNSDKKD NGPKTIQQIHEEEERQRQLKNNSRSNSRRTNNSSNRHSFRRDAPPASKDSFITTRTYS QRNSQRAPPPKEEPAAPTSTATNMFSALMGESDDEE SPAR_G03790 MSYGLTGTSSKLRGTNAILSWTQVRHISRRRIAYPFYPFKKLGR QHPKKHNTNLKTAMRQFLGPKNYKGEYVMNKYFAVPTNHVPNYIKPDLERGQSLEHPV TKKPLQLRYDGTLGPPPVENKRLQNVFKDRLLQPFPSNPHCKTNYVLSPQLRQRIFEE ITVEGLSTQQVSQKYGLKIPRVEAIVKLVGVENSWSKRNRVSSNLKTMDETLYRMFPV FDSDASFKRENLSEIPVPQKTLASRFLTIAESEPFGPVDAAHVLELEPAVETLKNLST VGEHSSGHHQLTNKNTKVVYGELVQGERSQFKFTDAKVGKVGYRYGSGNRDNKKDRRV GFNKLGQMVYM SPAR_G03800 MECFVPLRSDLDGSNIEQLRQSHLCREFIIFDEQLNLWLRFHDN LQENKRFELQDMTISINEAQVTRTSTIDDFFTKIEDDENLWRLKDDCCSKVLFKSNVV MNNGYNNQIKFVFEYKSVDANLNNQDSLQVPRTCYTLDRYCSEEILPSFEPVYSWSST PNNSFKETGNHVEKNARTTHPATSKSETQETETSRNLNTFTLKLQYPIFSLLNMRLRN ISLKSEHCILSSLDFQTSKASEQLTKKFIYPKEHNSFLKLNFHEISYKLIDGTSQIKL DPICPLKVPITAFSYDSISATFKLVLLPKSTQPHRVRITLAYELELRHDLKLPVRTSW ETEVTLKRSMPISSTSSQYSSTNNNINHSVSFNGASSNVNSGGLSNARLGGISSSRFS LGAASTTSLVNSKLSNVKFKFINNNIKVIKGEKFTMRLQIINSSSSPLDLVVYYNNTI NPIPSANSLRNSSGINNYGMNSGIIPNPPLTLENEYQLHKKYRKIAEGIILLSNDYKI PVVPPRETYFVDLRFIGIMSGYYGTLSGLKVLDLNTNELIEVGNGASVLIQ SPAR_G03810 MSEKFPPLEDQNIDFTSNDKKEDDTDFLKREAEIVGDEFKTEQD EDILERDASPAKGDDEIKDFEEQFPDINSTNSGVSNDQYGSVPSSNDNGEEDDEFSKF EGAPVNQSTESVNEDRSEVVDQWKQRRAVEIHEKDLKDEELKRELQDEAVKHIDNFYD SYNKKKEQQLEDAAKEAEAFSKKRDEFFGQDNTTWDRALQLINQDDADIIGGRDRSKF KEILLRLKGNAKAPGA SPAR_G03820 MKHSRSNGTGTAVSGFKKILRQLLLFLNKKRREQLVIILKRITQ VYGMNLIFYVNKWKMKKLQGENLHINDIMSWLRESAILVLLNILYPTLVKLPFLKNDY IHWSSIVGVLLMLTMGEVPSWVIAHFLVEALHSKFKDSKLTQWLKKKRIPQGTLIKFQ QIFLCSAVVVLFAKLDRSSLPFCVLFDHRSFSKDFFTINAIFTVLAIYRRISKFFFTS GTKSNKSGYSQEIRDFSQLLGVKNHNDWPISSSNLKHVMDRLNEIHEVTIEDNYANFN EKLINSCFIKGFFPSLKWTILRQCIEYLFVTKRRRLMNNKLRCIVMLLTFTLIDPTSK MKISPFFVKLLAKSLVNVYLKKYWHSNFQKYVLFFLFQFNIT SPAR_G03830 MSTLKMIEAYTQNGLRKVRPYYNRRSAFVKGRWLGKSLIDVLVS EFKLRSRAYYLDQIKKGTYRLIRDGVPLVPGHLMTTTIRNHDVLETTIHKHEPPVKQW CSQEAEADDLPGRIAGFNIVFEDESILVIDKPSGIPVHPTGQFYQNTITELLKSHGVD ALPCYRLDKITSGLLILAKNSQSAGEVQKSIRSRDMSKVYLARVKGRFPHSELILNNE DAEETTFEDTSKVTVETTPIYSIDPKRQFPVGLSASRDAITKFHPIRYFSRTNETIIA CKPITGRTHQIRIHLARLGHPIVNDSVYCSHITKYPERLKFMIQFPRWEDQQDLDTEE LRVRFQKFIEETKNNCQTMESFCPECHTVDLKDPVLSDLELWLHAWKYEEINGKFKFE TDLPKWAQLDKS SPAR_G03840 MGKRFSESAAKKAAGLARKRDQAHAKQRAQMEQLEAEEASKWEQ GSKKENSRKLEEEQKRQEKARAKKERDALLAAEEEQLGKGGKGKRK SPAR_G03850 MRIIKSRKRGKNKKPTVVLKIHVIQAENIEALKTFNCNPVCFVT TNTFYSQKTNKLKNSNAHWNQTLKIKLPRNPTSEWLRIIVYDALPTGAPPTTPNRSRT STANASTLTLSNSGQNSNSHSSRNLNVTSKGNQTSTSINSVSSSATATASHSASSLST TGPGPTHKNRINSYLYLGEAKISLLELFKRKDTTTSYKFSIEAQRYHLYDMKRGKDQI SSNSNCIVGDILLGFKLECNVKRTPTFQAFNTWRNDLNTYLGRIDRNKARMRSSSSLP PPLEDMLSNSSSISGNEMRLEKTYSDTDLAQEEEVDGEDEINDEESIEDMNSSDSMSM ERTYDIDNDTVYDSMSEVVSLNDEGLDVLNDFEDADHPSVPDINVHDIDEDTRISLSS MITALDEYDIVEPEDVARLPAVSENDATSIDDEESEDQQESDEDFDIYNEDENEDSDS QSNEYIGSRLLHLQRGKHNRSYASYLYRRAKSNFFISKKEHAMGVIFMHIEAIKNLPA LRNRLSKTNYEMDPFIVISFGRRVFKTSWRKHTLNPEFNEYATFEVFPHETNFAFNIK VVDKDSFSFNDDVAKCELAWFDMLQQQQHENEWIPYEIPLDLTVQPAYAPKQPTLYSS FKYVSYSFLKNSFWKEAVDTSVNLERLDIIQVMLYLERLGSFTMADSFELFQHFNKSA WAGQSITRSQLVEGLQSWRKSTNFKRIWTCPRCMRSCKPTRNARRSKLVLENDLITHF AICTFSKEHKTLKPSYVSSAFASKRWFSKVLIKLTYGKYALGSNNANILVQDRDTGII IEEKISAHVKLGMRIIYNGKSPESKKFRSLLKSLSVRQGKKFDSTASAKQIEPFIKFH SLDLSQCRDKDFKTFNEFFYRKLKPGSRLPESTNKEILFSPADSRCTVFSTIQESKEI WVKGRKFSIKKLASNYSPETFNDNNCSIGIFRLAPQDYHRFHSPCNGKIGKPIYVDGE YYTVNPMAVRSELDVFGENIRVIIPIDSPQFGKLLYIPIGAMMVGSILLTCKEDDVVE SGEELGYFKFGGSTIVIIIPHNNFMFDSDLVKNSSDGIETLVKVGMSIGHTSNVNELK RVRIKVDDPKKIERIKRTISVSDENAKGAGNVTWEYHTLREMMNNDFAEL SPAR_G03860 MQCRLIAHHLYPRVSHVTTPIFYPNAKPHLGHLYSSLLSDVHHR WQLLKGNVSFFTTGTDEHGLKIQCASETNGFDQPKKFVDKLYLEFVQLDKIYDINYTR FIRTTDPDHIENVRKLWELCLKNGFIYMGEHKGWYSISDETFYPESKVIKDPKNDNKY LNTESNNEVVYQSETNYFFKLSLFHKKIVDHIRKNPDFIFPASKRDQILKELETGGTL TDLSISRPSARLKWGIPTPNDSSQKVYVWFDALCNYLSSIGGISSILSDATEVVSKHY SSKSNHKTQLVIPYPKEVLQNTTHVIGKDIAKFHTVYWPSFLLAAGLPLPKQIVVHGH WLCNGMKMSKSLGNVVDPIVMARYYGADIVRWFLLENSKLEEDGDFQEAKLYETRELL VSKWGNLINRCCGSKFNIERAVMKFSNKVNLQFQEIFQNEPIVSQQIENLVELLNRSQ EIFDEKIAIFQYPQLLKHVWGIINDANTLVQNSKPWERDMDQQDAIIFLAMETSRILS ILCQSIIPSLSQSFLDRLDVLKEKRTINYAKLGSDKTYGKLSNKKGREVPLKKIPFRL LEE SPAR_G03870 MSFYNTSNNANNSGGFYQPSAQFAVPQGSMSFQNTVGSTNTGND NNLGVAPDPLPVGILHALSTKGYAHEPPLLEEIGINFDHIITKTKMVLIPIRFGSGVP QEILNDSDLAGPLIFFLLFGLFLLMAGKVHFGYIYGVALFGTISLHNLSKLMSNNDTS MQTNLQFFNTASILGYCFLPLCFLSLLGIFHGLNNTTGYVVSVLFVIWSTWTSSGFLN SLLQLQNARLLIAYPLLIFYSVFALMVIFV SPAR_G03880 MGIIDKIKAIEEEMARTQKNKATEHHLGLLKGKLARYRQQLLAD EAGSGGGGGSGFEVAKSGDARVVLIGYPSVGKSSLLGKITTTKSEIAHYAFTTLTSVP GVLKYQGAEIQIVDLPGIIYGASQGKGRGRQVVATARTADLVLMVLDATKSEHQRASL EKELENVGIRLNKEKPNIYYKKKETGGVKVTFTSPPKTNLTEQAIKMILRDYRIHNAE VLVRDDECTIDDFIDVINEQHRNYVKCLYVYNKIDAVSLEEVDKLAREPNTVVMSCEM DLGIQDVVEEIWYQLNLSRVYTKKRGVRPVFDDPLVVRNNSTIGDLCHGIHRDFKDKF KYALVWGSSAKHSPQKCGLNHRIDDEDVVSLFAK SPAR_G03890 MERPLWVRWLKVYAIGGAIIGSGFLLFKYTTPTDQQLISQLSPE LRLQYERERKLRQSEQQALMKIVKETSQSDDPIWKTGPLQSPWERNGDNVQSRDHFAK VRAEEVQKEELARIRNELSQLRSETEKKTKEIVQDKQGKSWWRFW SPAR_G03900 MSAVNVAPELINADNTITYDAIVIGAGVIGPCVATGLARKGKKV LIVERDWAMPDRIVGELMQPGGVRALRSLGMVQSINNIEAYPVTGYSVFFNGEQVDIP YPYKADIPKVEKLKDLVKDGNDKVLEDSTIHIKDYEDDERERGVAFVHGRFLNNLRNI TAQEPNVTRVQGNCIEILKDEKNEVVGAKVDIDGRGKVEFKAHLTFVCDGIFSRFRKE LHPDHVPTVGSSFVGMSLFNAKNPAPMHGHVILGSGHMPILVYQISPEETRILCAYNS PKVPADIKSWMIKDVQPFIPKSLRPSFDEAVSQGKFRAMPNSYLPARQNDVTGMCVIG DALNMRHPLTGGGMTVGLHDVVLLIKKIGDLDFSDREKVLDELLDYHFERKSYDSVIN VLSVALYSLFAADSDNLKALQKGCFKYFQRGGDCVNKPVEFLSGVLPKPLQLTRVFFA VAFYTIYLNMEERGFLGLPMALLEGIMILITAIRVFTPFLFGELIG SPAR_G03910 MEDIEGYEPHITQELIDRGHARRMGHLENYFAVLNRQNMYSNFT VYAELNKGVTKGRLKLALRLLLQKYPILAHTIIPKRYPHHEAYYSSEEYLGKPFPQHD FIKVISRLEVDDLIMNNQPEYREVMEKISEQFKKDDFKVTGKLIELITPVVIPLGNPK RPNWRLICLPGGDTNGYETWTNFVYVTNHCGSDGVSGTFFFKDLALLFCKIEERGFDY DEQLIENNVVIDYDEDYTEISKFPKPITDRIDYKPALTSLPKFFLTTFMYEHCNFKTS SESTVTARYSPSTNANASYNYLLHFPTKEVEQIRAEIKKKVHSGCTLTPFIQACFFVA LYRLDRLFTKSLLEYGFDVAIPSSARRFLPNDEELRDAYKYGSNVGGSHYAYLISSFN IPEGDNDKFWSLVEYYNDCFLKSYDNGDHLIGLGILQLDFIVQNKNIDNLLATSYLHQ QRGGAIISNTGLVLQDTTKPYYIRDLIFSQSAGALRFAFGLNVCSTNVNGMNIDMSVV QGTLRDRGEWESFCKLFYQTIGEFASL SPAR_G03920 MKGNFRKRDSSTNSRKGGNSDNNYTNGGVPNQNNSSMFYENPEI TRNFDDRQDYLLANSLGSDVTVTVTSGVRYTGLLVSCNLESTNGIDVVLRFPKVADSG VSDSADGLAKTLGETLLVHGEDVAELELKNIDLSLDEKWENSKVQEAASARANIEKER VNEESNEGTKFRTDVDISGSGREIKERKLEKWTPEEGTEHFDINKGKALEDDSTSWDQ FAVNEKKFGVKSTFDEHLYTTKINKDDPNYSKRLQEAERIAKEIESQGTSGNIHIAED RGIIIDDSGLDEEDLYSGVDRRGDELLAALKSNSKPNANKGNRYVPPTLRQQPHHMDP AIISSSNSNNNENAVTTDISTSAAAEVTEEKVSQKTSKNKKSLSSKEAQIEELKKFSE KFKVPYDMPKDMPEVLKRSNSALKSNSSLPPKPISKTPSAKTVSPTTQVSAGKSESRR SGSNMSQGQSSTGHATRSSTSSRRRNHGSFFGAKNPHTNDAKRVLFGKSFNMFIKSKE AHDEKKKGVDAPENMEPFFIEKPYFTAPTWLNTIEESYKTFFPDEDTAIQEAQTKFQQ RQLNSMGNGAPGMNPNMGMNMGGMMGFPMGGPSASPNPMMNGFAAGSMGMYMPFQPQP MFYHPSMPQMMPVMGSNGAEEGGGNISPHVPTGFMAAGPGAPMGAFGYPGGMPFQGMM ASGPSGMPANGSAMHSHGHNRNYHQTSHHGHHNNSTSGHK SPAR_G03930 MGADRDNFLQDIENDSISNDQAMDSSPNGNASESDSSILMNVND IKTLRLDVAPESKSAQSKKSLFYENSDDAEEGEIEDHRNGEEGQRHHEGSKQLRFEVG KESISQVQPHLSDGSATSEEGSARPWEFRKVIQAEYRERLPRNYELKHWKKPSKIMIE SILRLLETNTVSALDSVFKKYEEELSQMTHGDNNEVERIYSKKERLLEIILTKINKKL RQAKFPSRISERDLDIEYIYSKRQFIQNRYSQELQNCERLEANLSRERKLLEETRKLC RNLKTNNKKRLTEKLIQKDLHPVLNKAMEYSYGLESANGFAGPEGQVTFKNDSNELNL MLNDPIKSATDVRLDKKEVLSLLPSLQEYTKKSKELKVTMDQMISNSHEQEIKEVLVP PHQAHHDETEEDIHER SPAR_G03940 MEAHNQFLKTFQKERHDMKEAEKDEILLMENSRRFVMFPIKYHE IWAAYKKVEASFWTAEEIELAKDAEDFQKLTEDQKTYIGNLLALSISSDNLVNKYLIE NFSAQLQNPEGKSFYGFQIMMENIYSEVYSMMVDAFFKEPKNIPLFKEIANLPEVKHK AAFIERWISNDDSLYAERLVAFAAKEGIFQAGNYASMFWLTDKKIMPGLAMANRNICR DRGAYTDFSCLLFAHLRTKPNVKIIEKIITEAVEIEKEYYSNSLPVEKFGMDLKSIHT YIEFVADGLLQGFGNEKYYNAVNPFEFMEDVATAGKTTFFEKKVSDYQKASDMSKSAT PSKEINFDDDF SPAR_G03950 MGLSSIFGGGAPSQQKEAATTAKTTPNPITNELKNQIAQELAVA NATELVNKISENCFEKCLTSPYTTRNDACIDQCLAKYMRSWNVISKAYISRIQNASAS GEI SPAR_G03960 MSFSSLYKTFFKRNAVFVGTIFAGAFVFQTVFDTAITSWYENHN KGKLWKDVKARIAAGDGDDDDDDE SPAR_G03970 MSVADDDLGSLQAHIRRTLRSIHNLPYFRFTRGPTERADMSRAL KEFIYRYLYFIISNNGKNLPTLFNAHPKQKLSNPELAVFPESLEDAVDIDKITSQKTI SFYKIDESKIGDVHKHTGRNCGRKFKIGEPLYRCHECGCDDTCVLCIHCFNPKDHVNH HVCTDICTEFTSGICDCGDEEAWNSPLHCKAEEQEKDISEDPAADTGIKEEDVWKDSV NAALVELVLAEVFDYFIDVFNQNIEPLPTIQKDITIKLREMTQQGKMYERAQFLNDLK YENDYMFDGTTTAKTSPSNSPEASPSLAKIDPENYTVIIYNDEYHNYSQATTALRQGV PDNVHIDLLTSRIDGEGRAMLKCSQDLSSVLGGFFAVQTNGLSATLTSWSEYLHQETC KYIILWITHCLNIPNSSFQTTFRNMMGKTLCSEYLNATECRDMTPVIEKYFSNKFDKN DPYRYIDLSILADGNQIPLGHHKILPESSTHSLSPLINDIETPTSRTYSNTRLQHILY FDNRYWKRLRKDIQNVIIPTLASSNLYKPIFCQQVVEIFNHITRSVAYMDREPQLTAI RECVVQLFTCPTNAKNIFENQSFLDIVWSIIDIFKEFCKVEGGVLIWQRVQKSNLTKS YSISFKQGLYTVETLLSKVHDPNIPIRPKEIISLLTLCKLFNGAWKIKRKEGEHVLHE DQNFISYLEYTTSIYSIIQTAEKVSEKSKDSIDPKLVLNTIRIISSFLGSRSLTYKLI YDSHEIIKFSVSHERVAFMNPLQTMLSFLIEKVPLKDAYEALEDCPDFLKISDFSLRS VVLCSQIDVGFWVRNGMSVLHQASYYKNNPELGSYSRDIHLNQLAILWERDDIPRLIY NLLDRWELLDWFTGEVEYQRTVYEDKISFIIQQFIAFIYQILTERQYFKTFSSLKDRR MDQIKNSIIYNLYMKPLSYSKLLRSVPDYLTEDTTEFDEALEEVSVFVEPKGLADNGV FKLKASLYAKVDPLKLLNLENEFESSATIIKTHLAKDKDEISKVVLVPQVSIKQLDKD ALNLGVFTRNTVFAKVVYKLLQVCLDMEDSTFLNELLHLIHGIFRDDELINGKDSIPE AYLSKPICNLLLSIANAKSDVFSESIVRKADYLLEKMIMKKPDELFESLIASFGSQYV DNYKDKKLRQGVNLQETEKERKRRLAKKHQARLLAKFNNQQTKFMKEHESEFDEQDND VDMIGEKVYESEDFTCALCQDSSSTDFFVIPAYHDHTPIFRPGNIFNPNEFMPMWDGF YNDDEKQAYIDDDVLEALKENGSCGSRKVFVSCNHHIHHNCFKRYVQKKRFSSNAFIC PLCQTFSNCTLPLCQTSKANTGLSLSMFLEAEISLDILSRLFKPFTEEDYRTINSIFS LMISQCQGFDKAVKKQADFSHKDVSLILSVHWANTISMLEVASRLEKPHSISFFRSRE QKYKTLKNILVCIMLFTFVIGKPSMEFEPYPQKPDTVWNQNQLFQYIVRSVLFSPVSF RQTVTEALTTFSKQFLRDFLQGISDAEQVTKLYVEASKIGDVVKVSENILFALRSISD LRMEGLDSESIIYDLAYTFLLKSLLPTIRRCLVFVKVLHELVKDSENETLIINGLEVE EELEFEDMPEFVNKALKMITEKESLVDLLTTQDAVVPLHPYLEKIPYEYCGIIKLIDL SKYLNTYVTQSKEIKLREERSQHMKNADNRLDFKICLTCGVKVHLRADRHEMTKHLNK NCFKPFGAFLMPNSSEVCLHLTQPPSNIFISAPYLNSHGEVGRNAMRRGDLTTLNLKR YEHLNRLWINNEIPGYISRVMGDEFRVTILSNGFLFAFNREPRPRRVPPTDEDDEDME EGEDGFFTEGNDDMDVDDETGQAANLFGVGAEGIAGGGVRDFFQFFENFRNTLQPQGN GDDDAPQNPPPILQFLGPQFDGATIIRNTNPRNLDEDDSDDNDDSDEREIW SPAR_G03980 MSSAITVDPREAFGLITKNLQEVLNPQIIKDVLEVQKRHLKLYW GTAPTGRPHCGYFVPMTKLADFLKAGCEVTVLLADLHAFLDNMKAPLEVVNYRAKYYE LTIKAILRSINVPIDKLRFVVGSSYQLTPDYTMDIFRLSNIVSQNDAKRAGADVVKQV ANPLLSGLIYPLMQALDEQFLDVDCQFGGVDQRKIFVLAEENLPSLGYKKRAHLMNPM VPGLAQGGKMSASDPNSKIDLLEEPKQVKKKINSAFCSPGNVEDNGLLSFVQYVIAPI QELKFGTNHFEFFIDRPEKFGGPITYKSFEDMKLAFKEEKLSPPDLKIGVADAINELL EPIRQEFANNKEFQEASEKGYPVAAPQKSKKVKKPKNKGTKYPGATKADEVAVKLEET KL SPAR_G03990 MSRRNPPGGRNGGGPTNASPFIKRDRMRRNFLRMRMGQNGSNSS SPGLPNGDGSRGSPVKKDDPEYAEEREKMLLQIGVEADAGRSNVKVKDEDPNEYNEFP LRAIPKEDLENMRTHLLKFQSKKKINPVTDFHLPVRLHRKDTRNLQFQLTRAEIVQRQ KEISEYKKKAEQERSTPNSGGINKSGTASLNNTAKDGSQTPTIDSATKDNTANGVNSS AATAAGSSVLPTSPISVSTVESNGVANGSSSAANGLDDNAPAPNPVNGKLLVTKLEDA GPAEDPTKVGMVKYDGKEVANEPEFEEGTMDPLADVAPDGGGRAKRGNLRRKTRQLKV LDENAKKLRFEEFYPWVMEDFDGYNTWVGSYEAGNSDSYVLLSVEDDGSFTMIPADKV YKFTARNKYATLTIDEAEKRMDKKSGEVPRWLMKHLDNIGTTTTRYDRTRRKLKAVAD QQAMDEDDRDDNSEVELDYDEEFADDEEAPIIDGNEQENKESEQRIKKEMLQANAMGL RDEEAPSENEEDELFGEKKIDEDGERIKKALQKTELAALYSSDEDEINPYLSESDIEN KENESPVKKEEESDSLSKSKRSSPKKQQKKATNAHVHKEPTLRVKSIKNCVIILKGDK KVLKSFPGGEWNPQTAKAIDINNNASNTASSPIKQEEGLDSIAAEREETPAPTITEKD IMEAIGDGKVNIKEFGKFIRRKYPGAENKKLMFAIVKKLCRKVGNDHMELKKEQVSL SPAR_G04000 MTSQLNELVEFLHSPQPTVRQIAIDNLVGFSAGPTSKFFKNDNY RPVKDIIKMIMDPEHGTRVIIQQGVTILVNLSEDKLVRNIILNNDKKFLKFLIWKIVD LTNPNADIMCILLSNLAKDDAILATLDIKRNSGGEEIDDGLRLAALNKDVFKSLRAMD CLMDCFVKGYDKKLTKYASFNYLAFFFADISRFRLGRMYFIEEQKYDGVVPISKLLVF TEKYDAKVRREGVASTIKNSLFDSETHERLLKDEKINLLPYILLPIASAKDSEIDEED MFNLPDELQLLPEDKERDPVPAIICCHLESILLLCTTYAGREYLRDKSVYPLVRELHK NVENEDIGELCYRIVNTLMRGEPGAGTVEEMPSKSAEEEKDEDNDEEEEDDDEDDEIV EVV SPAR_G04010 MNLDLGSTVRGYESDKDTFPQSKGVSSSQKEQRSQLNQTKIAYE ERLLNDLEDMDDPLDLFLDYMIWISTNYIEVDSDSGQEVLRNTMERCLIYIQDLETYR NDPRFLKIWIWYINLFLSNNFHECENTFTYMFKKGIGTKLSLFYEEFSKLLENAQFFL EAKMLLELGAENNCRPYNRLLKSLSNYEDRLREMNILENQHSVSDPSERLRERINYGG APFFIRKFLTSSLMTDDKENRKELSSNIEVRKTAPNAHQDSILGSDFKAGTERLDLNG YKHPANQRLENGDKKTSVYADQKQSNDPVYKLINTPGRKPERIVFNFNLMYPENDEEF NTEEILAMIKGLYKMQPRSEKHAENYSNNYTSDKNSKKRKLDVLVERRQHLPSSQPPA VPKATRIEIFRDEDNPSQSTHHNSTQVQVQTTTSILPLKPVVDGNPAHVTPVKPSLTT NASRSPTVTAFSKDAMNEVFSMFNQHYSAPGALLDGDDTTTSKFNVFENFTQEFTAKN IEDLTEVKDLKEEAAPQQFTTINETNDLYERLPISSTRPERGDYMTPIKETTETNVLP IIQTPEERMKAGNKKSGDNTETQTELTSTNVQSSPFLTQPEPQVEKPLRTAKHLENNK EHYPTSIPPLIKIENQPPVIIENPLSNILRAKFLSEISPPLSQYNTFYNYNQELKMSS LLKKIHRVSRNENKNPIVDFKKTGDLYCIRGELGEGGYATVYLAESSQGHLRALKVEK PASVWEYYIMSQVEFRLRKSTILKSIINASALHLFLDESYLVLNYASQGTVLDLINLQ REKTIDGNGIMDEYLCMFITVELMKVLEKIHEVGIIHGDLKPDNCMVRLEKRGEPLGA HYMRNGKDGWENKGIYLIDFGRSFDMTLLPPGTKFKSNWQADQQDCWEMRAGKPWSYE ADYYGLAGVIHSMLFGKFIETIQLQDGRCKLKKPFKRYWKKEIWTVIFDLLLNSGQVS NQALPMTEKIVEIRDLVESHLEQHAENHLRNVILSVEEELSHLQYKGKPSKRF SPAR_G04020 MKVLDLITVLSASSLFSILAAAESTATTDSATATSSAASCNPLK TTGCTPDTALATSFSEDFSSSSKWFTDLKHAGEINYGSDGLSMTLAKRYDNPSLKSNF YIMYGKLEVILKAANGTGIVSSFYLQSDDLDEIDIEWVGGDNTQFQSNFFSKGDTTTY DRGEFHGVDTPTDKFHNYTLDWAMDKTTWYLDGESVRVLSNTSSEGYPQSPMYLMMGI WAGGDPDNAAGTIEWAGGETDYNDAPFTMYIEKVIVTDYSTGKKYTYGDESGSWESIE ADDGSIYGRYDQAQEDFAVLANGGSISTSSASSSPSSSAASSTSSSVASSSSLSAASS TSSFAASSSSSSSTATPSKTSASSSVTASSSISSSAKQSTSSSKKTVSSSSSGESTIS STKTSAIASSTTRSTVAPTSQQSSVSSDSPVQDKGGVATSSNDKTSSTAQTSSEHTST VQSSSSEVSSTNTVQIANGAKFAQSLPRQGKLFSVLVTALLTFL SPAR_G04030 MPRNPLKKEYWADMVDGFKPATSPSSENEKESTTFITEQTSKTD SAFPLSSKGSPGINQTTNDITSSNRSRHNGDIEHEDINNTNLSKDLSVRHLLTLAVGG AIGTGLYVNTGAALSTGGPASLVIDWVIISTCLFTVINSLGELSAAFPVVGGFNVYSM RFIEPSFAFAVNLNYLAQWLVLLPLELVAASITIKYWNDKINSDAWVAIFYATIALAN MLDVKSFGETEFVLSMIKILSIIGFTILGIVLSCGGGPHGGYIGGKYWHDPGAFVGHG SGTQFKGLCSVFVTAAFSYSGIEMTAVSAAESKNPRETIPKAAKRTFWLITASYVTIL TLIGCLVPSNDPRLLNGSSSVDAASSPLVIAIENGGIKGLPSLMNAIILIAVVSVANS AVYACSRCMVAMAHIGNLPKFLNRVDKKGRPMNAILLTLFFGLLSFVAASDKQAEVFT WLSALSGLSTIFCWMAINLSHIRFRQAMKAQERSLDELPFISQTGVRGSWYGFIVLFL VLVASFWTSLFPLGGSGASAESFFEGYLSFPILIVCYVGHKLYTRNWTLMVKLEDIDL DTGRKQVDLAVRREEMKIERETLAKRSFVTRFLHFWC SPAR_G04040 MVRVAINGFGRIGRLVLRVALSRPNVEVVALNDPFITNDYAAYM FKYDSTHGRYAGEISHDGNHIIVDGKKIATYQERDPANLPWGSSNVDIVIDSTGVFKE LDTAQKHIDAGAKKVVITAPSSTAPMFVMGVNEDKYTSDLKIVSNASCTTNCLALLAK VINDAFGIEEGLMTTIHSLTASQNTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKV LPELQGKLTGMAFRVPTVDGSVVDLTVKLNKETTYDEIKKAVKAAAEGPMRGVLGYTE DAVVSSDFVGDSHSSIFDASAGIQLSPKFVKLISWYDNEYGYSTRVVDLVEHVAKA SPAR_G04050 MVRVAINGFGRIGRLVMRIALSRPNVEVVALNDPFITNDYAAYM FKYDSTHGRYAGEVSHDDKHIIVDGKKIATYQERDPANLPWGSSNVDIAIDSTGVFKE LDTAQKHIDAGAKKVVITAPSSTAPMFVMGVNEDKYTSDLKIVSNASCTTNCLAPLAK VINDAFGIEEGLMTTVHSLTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKV LPELQGKLTGMAFRVPTVDVSVVDLTVKLNKETTYDEIKKVVKAAAEGKLKGVLGYTE DAVVSSDFLGDSHSSIFDASAGIQLSPKFVKLVSWYDNEYGYSTRVVDLVEHVAKA SPAR_G04060 MLSAISRVSTLKTCARYLAKCNYHASARLLAAKTFSMPAMSPTM EKGGIVSWKYKVGEPFNAGDVILEVETDKSQIDVEALDDGKLAKILKEEGSKDVNVGE PIAYIADVDDDLATIKLPQETNTENPKPTGNKELSPESKKSFSENGEATQQQYKKSTV TSMKKVDGSQANLEQTLLPSVSLLLAENGISKQKALKEITPSGSNGRLLKGDVLAYLG KIPQDSVNKITEFIRKNEHLDLSNIEPIQLKPKINEQTQIKATDKPKITPVQFEEQLV FHAPASIPFEKLRESLNSFMKEAYQFSHETPLTDTNSKYFDPIFEDLVTLNPREPRFE FSYELMQIPRANNMQDTYGQEDIFDLLTGSDASTSPVKSAEKDLPEKNEYILTLNVSV NNKKFNDAEAKAERFVDYVRELESF SPAR_G04070 MPLDSYYLGFDLSTQQLKCLAIDQNLKIVHSETVEFEKDLPHYH TKKGVYVHGDTIECPVAMWLEALDLVLSRYQKAEFPLEKVMAISGSCQQHGSVYWSSQ AESLLEQLNGKSERDLLHYVSPAAFARQTAPNWQDHSTAKQCQEFEQCLGGPEKMAQL TGSRAHFRFTGPQILKIAQLEPEVYEKTKTISLVSNFLTSILVGHLVELEEADACGMN LYDIRGRKFSDELLHLIDSSSKDKTIRQKLMGAPMKATIAGTICKYFIEKYGFNVNCK VSPMTGDNLATICSLPLRKNDVLVSLGTSTTVLLVTDKYHPSPNYHLFIHPTLPEHYM GMICYCNGSLAREKIRDRLNEERDDNTKKSNDWTLFNQAVLDDSKDDDNELGVYFPLG EIVPSVKAINKRVMYNPNTGRIEREVEKFRDERHDAKNIVESQALSCRVRISPLLSDS NTRSQQKLNEDTIVKFDYDESPLRDYLNKRPEKAFFVGGASKNDAIVKKFAQIIGATK GNFRLETPNSCALGGCYKAMWSLLYESNKTTAPFDKFLNDNFPWNVMESISDVDNESW DHYNSKIVPLSELEKTLI SPAR_G04080 MSRLEIYSPEGLRLDGRRWNELRRFESSINTHPHAADGSSYMEQ GNNKIITLVKGPKEPRLKSQMDTSKALLNVSVNITKFSKFERSKSSHKNERRVLEIQT SLVRMFEKNVMLNIYPRTVIDIEIHVLEQDGGIMGSLINGITLALIDAGISMFDYISG ISVGLYDTTPLLDTNSIEENAMSTVTLGVVGKSEKLSLLLVEDKIPLDRLENVLAIGI AGAHRVRDLMDEELRKHAQKRVTNASAR SPAR_G04090 MSAEQVGRKKSYRWVSASQASYDGAGWDSSDEYDYSSEDGTKGA GSHKKKVSNLPSLPKLNYTDVSDECDENAGEKKGSNDNDASKSDISHSDREADGFSDE VPSLMGSRESVDMQAKKSSDHSKSDYLSSTASLKSPNENKKMPHTNRAVNEDLDNLIE QISKEMTPEIRQTSDFQRDSDSCDEIQNEAPPPTTVPTSSSPEEDKEAHFVDVTMDTN EGGTTLKTSTKNGNEYLSNDEDVFEQKDDEFKVSETGYLANMLPAEKEEHLEQDDDRK VEGSGALEKEGKSEEEASIRSRNSTETYDTSSRRNKVVEPKYATKETKISDNGYRNSF FNDYQHSSESEGDTKDEDNCDSSDDDRSLPISDEHTDINQQSKQLDTTDDDALSYTES IKYSTNETKEEEKEDNEFAEHDNEYADSYKFSDREKGSIVLTSDEEEEEKGMSSDSDE GSLKAPKSGYFSKMISNDDKSSINDNTENSTLKHNQVDTIQNSNSLNSDSGSEKSNST DEEDHVSEDKVLEENPVKDSTDVDSWKPDSEALRSGFVQDTANKKAPPGYVIDSNGKL VDLTPASMKPRVVSTYSEMESTWDAFPSKGEDEDLETIRDTKTIYDNNTIYNVPGLIG NQSNMPPLPRDAHEQLNAGNDDITTDNNNSNNNANDLAARNASLKSESRTASQGEMTS VHEPSTEEMAKLGQQNNLPKLDINKLLNSKTPHAGRIDQLRNYKRELDEYDTGIQTWI NYTLKSSSNKDKDFIAEEYKQHTHVREAYANADDLSKKHTVINTVASVNQNVTHLRRK VFQHSMKPKDLFASIGKKKL SPAR_G04100 MTKAVDDEESRYIEDPTFEAAAVFTGGRDGVSYSNQRFAEGSSH SSNLAKSLEDYRPPDEKLSSLSSRGEGGANEKEEGGNDGGPLARIQTGLFSPRLRNHR KKILLKFVFNNFFIACVCVSLISIYWGACYGTDRYFFKVKNIVVLQDVPSNNSVQSIS AIIPSLLTSIPGTWQVYNATSFHRKFGSTNSSEIDAKVVDLIHEERYWLALNAKPNAT DTLYNSLISRDANSQFNSSDFFESVFESGRDPSSVRSTILPLMEQLEAGFQKYYVKEY LPSLMSNITSSGRDLNINMENWAIAGQLLFAYNDYRPFADRILMAPLQVGLIYCILLT VLQLSLYGKLHGEMAKVLKPKHILIYRLSISWATYFLLSIGFCTVSAIFRVDFTPAFG RGGFVIYWMSTWLVMMAVGGANENVLSLVIAYCPPYLSIWLMTWIILNISASFYPMAL NNEFYRYGYAMPIHNAVDIYKVIFLNLTKRKMGRNYGILVAWVALNTSLMPLCMKIAG KKMQKNAMQVAEAAVAAAARRSSRPAETNTDNNNNPPRIEGKD SPAR_G04110 MPNSSARIAPAVPSKIIDVVDQALKARLLGGSTFHSGFDSLNSV LNLQFRLHYHVIGSKGLAKPVCDVLLKDSQNLEKNMNMMEELNDYPDITKLVEKILFN CFGILFFHRGQFQESQRCLLHSLKIHNNTAPQRTALMEEYDKYLVVENLYYRGLLSQD INIMQNIIYKELLTHVDKVPPESNGLLFEYLNLIVGKLRFNQLQDLAENFKSSVDSPF ILFLYIMKKFQSPSKKHIDSDDLYLKLGQNVLSKAKFPTASEANNETLEHFNVFLQYY FKFTNIKNIKVNSGWYSFIISSMEKTFQSIEVSKTAMLLFQNLSNNSSDEMKKKTLKR ESILNFANFVKYNDKYYQLNDNSHRDIISFIDAYSFILQNSSKTDSIENLFDYDNTVS MFATSLDSFYKEYNLPIMSQSESLDWLENSTRCVYPENIAKVLTNAWSTLYEIRKYQL DFLISNDLTSYLCNAMMLSTKEKDNTDVEEKEEGEEEKALRELQFKYSYTLAQQRHIE TASKTIESLILSKNPNYYKAWHLLALCRSVQEDKEMSYKIVCSVLEAMNESLQSNTLL LNDRWQFIHLKITQLAIIEEIFGTLEALETLPEVFELYTTLFPDSQPELNSLGPKYSQ TKEYLLQMVWIFAANMYMRTKDNDKDAKAAIKEASSVESKFKNLNCNIANGYLSIIKD EPSVALKEFETVLYYDENNLDALIGFAELIFPEELGIEETNLECYYTLSLDKKPAKRA QLTFVNDTDRSAAYARLKFLLECAILESIEAYHSPEVWWYLSLIYEKYQDDEYKNSLL KCIKYQELNPIRSLRYCNY SPAR_G04120 MSKAKVTGFLPIDTDDESLRERHVDQSKANTSDIQGEQLDCSDQ LEKEHKTKKGEQYTTLKILRDIIGPLLLTIWSFYLRFKRIDQNNYVVWDEAHFGKFGS YYIKHEYYHDVHPPLGKMLIALSEWIAGFDGQFDFSSNNAYPENVNFKIMRQFNATFG ALCTPVAFFTAKWMGFNYLTVYLIATMVTLEHSYIALSKFILLDSMLLFFSMTTFACM VKLYTLRKQQMTRKWSLWMLLTGLSIGCVCSVKWVGLFITVVVGLYTCIELFLLYCDS GLPRIKYYKHWLIRIINLIVIPFLIYLYCFKIHFVLLYKSGTGDSTTNTLFQINLEGT QIEAGPRDVVFGSELTIRSHGLSPNLLHSHVQLYPEGSGQRQITGYGFADSNNVWKFE FSRSSGLELDQNGTLNGKIIPITDGMEVRLSHKNTGSSLHSHDVPSHVSRGNFEVSGY GSQSVGDEKDDWIIEIVKQMDSPNPAYSNENSTILHPVSTFFRLRHKVLGCYLASTGL TYPAWGFKQAEIVCKDSWSRRDKSTWWNVEDHWNNNLEAAKDYIPPKSNFWTDFILTN FAMASSNSALVPDEDKYDSLSSDAWEWPTLHKGLRMCSWAGYIPRYYLMGSPFNTWIS TASLIIFPFVVLFLLYRWRRQTLYLSDDKIWQVAIQGIFPFISWMTHYLPFVMMGRVT YVHHYVPALYFAILVFGFVIDFALTRAHWIVKYPIYLSLFGGCIYIYNLFAPICQGMH GDRAEYSSLQWLSTWDIAF SPAR_G04130 MAENITAEAIFIGANKQTQVSDLHKVKKIVAFGAGKTIALWNPI EPNNQGVYATLKGHEAEVTCVRFIPDSDFMVSASEDHHVKVWKFTDYSHLQCIQTIKH YSKTIVALTGLPNLISVGCADGTITIWTQNTQNDEFSLAHEFIIKKGFFYPLCLSLSK VKESKYLLAIGGTNVNVFIASFILSNSGIEKCQVVAELEGHEDWVKSLAFRHQETPGD YLLCSGSQDRYIRLWRIRINDLIDDSEEDPKKLTLLSNKQYKFQIDDELRVGINFEAL IMGHDDWISSLQWHETRLQLLAATADTSLMVWEPDETSGIWVCGLRLGEISSKGASTA TGSSGGFWSCLWFTYDEIDFYLTNGKTGSWRMWSTKDNIICDQRLGISGATKDVTDVA WSPSGEYLLATSLDQTTRLFAPWIYDASGKKREVATWHEFSRPQIHGYDMICVETVTD TRFVSGGDEKILRSFDLPKGVAGMLQKFVGIQFEEKSEMPESATVPVLGLSNKAGEDD TNEGDDEEEDGNKVTPDITDPLSLLECPPMEDQLQRHLLWPEVEKLYGHGFEITCLDI SPDQKLIASACRSNNIQNAVIRIFSTENWLEIKPALPFHNLTITRLKFSKDGKLLLSV CRDRRWALWERNIEDNTFELRYKNEKPHTRIIWDADWAPLEFGNVFITASRDKTVKVW RHQKEPTDDYVMEASIKHTKAVTAVSVHDTMVGDKILISVGLENGEIYLYSYVFGKFE LITQLNEDITPADKITRLRWSHLKRNGKLFLGVGSSDLSTRIYSLAYE SPAR_G04140 MSDGTLFTDLKERRLIRTIVPRGLVRSLRLDVKLADPSDAQQLY EREFPWGKYPTFVGPHDEWTLTEAMAIDYYLIHLSSDKEAVRQLLGPEDDFKTRADIL RWESLSNSDFLNEVCEVFFPLIGTKPYNATEFNVARENVDTIVSLYERRLKKQQFLVC DDHETLADLISAAAFSLGFISIFDETWRSKHPEVTRWFNQVINSRFFEGEFESFQMCE TAMQPNK SPAR_G04150 MANPTTGKSSIRAKLSNSSLSNLFKKNKNKRQHEETEEQEEQEE QDNEHKDEGKHQDENKETQLTPRKRRRLTKEFEENEARYTNELPKELRKYRPKGFRFN LPPTDRPIRIYADGVFDLFHLGHMKQLEQCKKAFPNVTLIVGVPSDKITHKLKGLTVL TDKQRCETLTHCKWVDEVVPNAPWCVTPEFLLEHKIDYVAHDDIPYVSADSDDIYKPI KEMGKFLTTQRTDGVSTSDIITKIIRDYDKYLMRNFARGATRQELNVSWLKKNELEFK KHINEFRSYFKKNQTNLNNASRDLYFEVREILLKKTLGKKLYSKLIGNELKKQNQRQR KQNFLDDPFTRKLIREASPATEFANEFTGENSTAKSSNENGSLFSQEDDEDTNSNNTN TNSDSDSNTNSTPPSDDDDDNDRLTLENLTQKKKQSAN SPAR_G04160 MDSYSITNVKYLDPSELHRWMQEGHTTTLREPFQVVDVRGSDYM GGHIKDGWHFAYSRLKQDPEYLRELKHKLLQKQAEGHEPLNVVFHCMLSQQRGPSAAM LLLRSLDTEELPRCRLWVLRGGFSCWQSVYGDDEGVTVGYLPDLWR SPAR_G04170 MTGQVLDGKACAHQFRSDIAKEIKSIQSHVPGFAPNLAIIQVGN RPDSATYVRMKRKAAEEAGIVANFIHLDESVSEFEVLRNVDQLNEDPRTHGIIVQLPL PAHLDEDKITSRVLAEKDVDGFGPTNIGELNKKNGHPFFLPCTPKGIIELLHKANVTI EGSRSVVIGRSDIVGSPVAELLKSLNSTVTITHSKTRDIASYLHNADIVVVAIGQPEF VKGEWFKPRDDTPSDKKTVVIDVGTNYVADPSKKSGFKCVGDVEFNEAIKYVHLITPV PGGVGPMTVAMLMQNTLIAAKRQMVESSKPLQIPPLPLKLLTPVPSDIDISRAQQPKL INQLAQELGIYSHELELYGHYKAKISPKVIERLQSRQNGKYILVSGITPTPLGEGKST TTMGLVQALTAHLGKPAIANVRQPSLGPTLGVKGGAAGGGYSQVIPMDEFNLHLTGDI HAIGAANNLLAAAIDTRMFHETTQKNDATFYNRLVPRKNGRRKFTPSMQRRLNRLGIQ KTNPDDLTPEEINKFARLNIDPDTITIKRVVDINDRMLRQITIGQAPTEKNHTRVTGF DITVASELMAILALSKDLRDMKERIGRVVVAADVNRSPVTVEDVGCTGALTALLRDAI KPNLMQTLEGTPVLVHAGPFANISIGASSVIADRVALKLVGTEPEAKTEAGYVVTEAG FDFTMGGERFFNIKCRSSGLTPNAVVLVATVRALKSHGGAPDVKPGQPLPSAYTEENI EFVEKGAANMCKQIANIKQFGVPVVVAINKFETDTEGEIAAIRKAALEAGAFEAVTSN HWAEGGKGAIDLAKAVIEASNQPVDFHFLYDVNSSVEDKLTTIVQKMYGGAAIDILPE AQHKIDMYKEQGFGNLPICIAKTQYSLSHDATLKGVPTGFTFPIRDVRLSNGAGYLYA LAAEIQTIPGLATYAGYMAVEVDDNGEIDGLF SPAR_G04180 MCDKSKKILDYTIEFLDKYIPEWFETENKCPLFIFFSGPQGSGK SYTSIQIYNHLMEKYGDEKSIGYASIDDFYLTHEDQLKLNEQFKSNRLLQGRGLPGTH DMKLLQEVLNTIFNNEEHPDQNAVILPKYDKSQFNGEGDRCPTGQKIKLPIDIFILEG WFLGFNPILQGIEKNDLLSGDMIDVNAKLFFYSDLLWRNPEIKSLGIVFTTDNINNVY GWRLQQEHELISKVGKGMTDEQVHAFVDRYMPSYKLYFNDFVRSEGLGSIATLTLGID FDRNVYSTKTRCIE SPAR_G04190 MNSNVEELLRHIPLYNIYGKDFPQERVTRLQIPEFKLPSLQPPR DLVYPWYEECDNITKVCQLHDSSSKKFDQWYKEHYMSKKPPGIVGNTLLSPSKKEDS SPAR_G04200 MSAKQQLRILVPVKRVVDFQIKPRVNKTLTGIETNGIKFSINPF DDIAVEEAIRIKEKNKSLVESTHAVSIGSTKAQDILRNCLAKGIDTCSLIDSVGKENV EPLAIAKILKAVVEKKDSNLVLMGKQAIDDDCNNTGQMLAGLLNWPQATNAAKVEFLN NGKVQVTREIDDGEEVIEASLPMVITTDLRLNTPRYVGLPKLMKAKKKPIEKLDIVKD FPEINIEPQLKVVSMEEPKTKPPGVKLNSVDELIEKLKEAKVI SPAR_G04210 MSNYVLTCIAHGENLSKETVDQIVKEVVESSEKEISINSTKKLS ARATDIFLKVRGPIVQKDLKNELMNVIDSYDDVDVIVSVDNEYRQAKKLFVFDMDSTL IYQEVIELIAAYAGVEEQVHEITERAMNNELDFKESLRERVKLLKGLQIDTLYDEIKQ KLVITKGVPELCKFLHDKNCKLAVLSGGFIQFASFIKDQLRLDFCKANLLEVDADGKL TGKTLGPIVDGQCKSETLLQLCNDYKVPVEASCMVGDGGNDLPAMATAGFGIAWNAKP KVQKAAPCKLNTKSMTDILYILGYTDEEIYDRQ SPAR_G04220 MVTQLKSASEYDNALASGDKLVVVDFFATWCGPCKMIAPMIEKF SEQYSDATFYKLDVDEVSDVAQKAEVSSMPTLIFYKSGKEVTRVVGANPAAIKQAIAS NV SPAR_G04230 MPRDPLIGIVGKPSSGKSTTLNSLTDAGAAVGAFPFTTIEPNQA TGYLQVDCACSRFGKEDLCKPNYGWCSKGKRHIPIKLLDVAGLVPGAHSGRGLGNKFL DDLRHADALIHVVDVSGTTDAEGKNTRGYDPLNDIEWLQDEIRLWVEGNLKKRWGSIV RKHTATKSSIVDTLQAQFGGYGSHAPMIQKALDRLKGLPPLEKWDDEWITRVVKSFMV EKFPTVLALNKIDHPDADKNVSKIMLKYPDTKAVLTSAVTEVFLRKLKKQGFILYEEG TEFVDTYEDEPEKLKPLDDKILNRIENIRDLVLYRFGSTGVVQVLQAATDILGLIPVY TVKNIQTFTGGNGTNVFRDCFLVKRGTPVGKVARYIMGGEVTIASIETVGGVRVSEES FVEPDKNDILGFKIAPRSA SPAR_G04240 MSEQKEDLFKPVGEAAAEIEDENMADQGQANDGVKLTGAQDAMG HPVQEIESLCMNCGKNGTTRLLLTSIPYFREIIIMSFDCPHCGFKNCEIQPASQIQEK GSRYVLKVECREDFNRQVIKSETATCKFVELDIEIPAKRGQLTTVEGLLSEMIDDLSQ DQDMRKSIDENLYKKIDDFIQKVKSYINCEPNTIPVTFVLDDPAGNSWIEYKPGEPQH KWSHTQYVRTDEQNVQVGIITRDQLEQRRQEQLKQLANRERNPSESVKVGSANPQFLS DATDIENFNNEVQTFRASCPSCTQECETHMKPVNIPHFKEVIIMSTVCDHCGYKSNEV KTGGAIPDKGRRITLYCDDAADLSRDILKSETCSMVIPELHLDIQEGTLGGRFTTLEG LLRQVYEELESRIFTQTSDSMDEATKARWVEFFAKLKEAIAGKVKFTVVMEDPLAGSY IQNVYAPDPDPNMTIEDYERTKEQNEDLGLSDIKVE SPAR_G04250 MKVKLSAIEDYFFHRSKLNLHSCFYVGIKLNELPDKQHLVTALK YTVAQHERLACNVLHDELKKEGFLQSILEPLKFSDLVEYYHNWDQLGEAEINHIFQMY NFPYNENKPLWKILIIPNQNQMLLLTDHVLMDGMSAVHVWETFMEGLQKQQPVEVDET IYSPLLSSSSEEIMSAPLYGNWPIPWNWHIVRQLASRLYCWFPQTVVSNNKNLIQFAN YSFPKDLLDNEPTNESHVYKIKNTNHQREFQLSPTHLKNVLQECKANNTSLTSFLSAL VCIAFEKIAAHDYTGSFLKIELPMNIRNSSEQVLNLPSNDKLAVGNYIAAIEFNHKLH QNREIWDIASQIQRTIRSSSKDKIIDKVNEVKLLEVVSSQQYLKDKIGLNNGPSSTFE VTNLGFQTFRAACNASLPFHITDAAFNEPQGISSIFTLSVISTPASGLHCCISYPNTL AKELEPHWQYMKEYLNL SPAR_G04260 MAKDGFELYRYTPEIGASILFTVLFALAGVVFVFLLVYYSVKSK RTVASLVKSQPILRYYGTVKLAGAYIPFIFGCFVEFVGFAFRCKSSKDTTLLNPYIIQ TVFLLVSPTLYAATIYMIFGRMATLLFAENLMIMPARFNTTIFVIGDVGSLLLQAAGG AMMSKVSSASSGSHLVTAGLFIQIAFFGLFIINEFLFIFKMNKKPTSVSIRYGSWKVL NVALLVNSFLILIRSIVRAVEFIQGYDGEIASHEWYLYIFDGLPMFLLVLIFIVAFPL INIFRIQEESIKAQQSARFDGNVYPDVDIISIEEDLASKD SPAR_G04270 MSLPATFDLTPEDAQLLLAANTHLGARNVQVHQEPYVFNARPDG VHVINVGKTWEKLVLAARIIAAIPNPEDVVAISSRTFGQRAVLKFAAHTGATPIAGRF TPGSFTNYITRSFKEPRLVIVTDPRSDAQAIKEASYVNIPVIALTDLDSPSEFVDVAI PCNNRGKHSIGLIWYLLAREVLRLRGALVDRTQPWSIMPDLYFYRDPEEVEQQVAEEA TTEEAGEEETKEEVTEEQAEATEWAEENADNVEW SPAR_G04280 MNVPKARLLKIAELSAKIFDQNFNPTGIRSGSKILNARLKGPSV AAYYGNPDILKFRHLKTLYPDIEFVDLEEQYRLFMVEAKKRRGKGAPKKMKKDAAAAA KGKGKKKK SPAR_G04290 MPNYIFWPYESFFENSAAQGPQVALAVSFEKTHFVVLGVCRPQD LEEVSIRPPYSVVATKENEEEGWDYKVAEPCNVHFRIPKLKFMQFYSSDPISLIIPEK EVGLHSSVGETLNFSKLEEHSRYKSDTKKLSETLNIINLFPAYCKALSELYPFIQTSQ ENSRYTILNRIAAWCSSTCIHKVVVKIGFYLTLVICSIASFVSSLLNYSHFQLVNYSA FVQQIDLRCQQICYFPVQYERINKKDNIHKAGPMVNQDNPNSQLLHSCTPSKFYPDYI LLYNTVWLIINDISFGLILGAILIENREFLVSASHRVLKIFLYDSLKTITKTLASNPF GIKLNAELANFLSELFLWVIEFSYTAFIKKLIDPETLSTLLTLTIYMMFLVGFSFAVS LAIDFFAVLSFPIYVFYRISSKLYHCQLNIMASLFNLFCGKKRNVLRNRVDHNYFQLD QLLLGTLLFIILVFLTPTVMAFYMSYTVLRMLTITIEIFSEAVIALINHFPLFALLLR LKDPKRLPGGISIELKTTVSNKHTTLELQNNPIQFKSMFKPYNLLLAQMRANYFSFAT VRQIVRGESVMVNRNKLYYVLYSSLPSKPLSARDLYKRLTIQT SPAR_G04300 MQGRKRTLTEPFEPNTNPFGDNAAVMAENVENNTEVGGNRPESK PQALIPPALNIVPPESTSNSTVEQKEDSYNENGKDNSLISNIFRTRIGRSSHENLSRP KLSLQTASFGAAESSRRNVSPSARSAKSSSQYIDLNDERLRRRSFSSYSRTSSRRVSN SPSSTDRPPRSAKVLSLIAADDMDDFEDLQKGFKSAIDEEGLTWLPQLKSEKSHTESV VGEDGEEEEEPEFIPDVHTPNVGTSTTPGSIHLTPEPAQNGSLSEGLEGSINNSRKKS SPKFSRHLSPQKEGKDQTEVIEYAEEIPDFETLQRKLESRPFVLYGHSLGVFSPTNPL RIKIARFLLHRRYSLLYNTLLTFYAILLAIRTYEPENVVFLYRFTNWTDYFIFILSAC FTGNDIAKIIAFGFWDDSEMFKAYGREYKSILQRSGIMKLYIYLREKYGKKLIDFIIP FRIISPGEETKYQQNSLSISLTKPYGSNENQRPFGTPRAFARSSWNRIDLVSSSCFWL GMFLSIRSYDSKAGIRIFKPLAILRILRLVNVDTGMPSILRGLKYGIPQLINVSSMLV YFWIFFGILGVQIFQGSFRRQCVWFNPEDPTDTYQYDMQFCGGYLDPVTKRRQNYIYE DGSEGSVSKGFLCPQYSKCVSNANPYNGRISFDNIVNSMELVFVIMSANTFTDLMYYT MDSDEMAACLFFIVCIFVLTIWLLNLLIAVLVSSFEIANEEYKKKKLVYGSRKTGYLA RIVTGYWKYFKLKANQTRFPNWSLKGLTIYSHVEFIFVILIICDIGMRASVKVSTSTN YNNILLKTDRGISIVLFIESLARLVLYLPNMWKFLTKPSYVYDFIISIITLVISCLAV EGVLGHMYAWLSIFHISRFYRVIISFNLTKKLWKQILSNGVMIWNLSSFYFFFTFLVA IVMAVYFEGVIPPEEMADQPFGMYSLPNSFLSLFTIGSTENWTDILYALQKHSPNISS AFFCSVFFIIWFLLSNSVILNIFIALISESMEVKEEEKRPQQIKHYLKFVYPQKIQEY THASLVARIRKKFFEGHKNEDTRDFKQFLMRGTAIMNIAQNMGELADEFKEPPSENLF KKGLSKLTIGVPSLKRLRMFANNPFYKNSDVVFTETNDINGRTYILELNEYEDEKLDY LKKYPLFNYSYYFFSPQHRFRKFCQRLVPPSTGKRTDGSRFFEDSTDLYNKRSYFHHI ERDVFVFIFALATILLIVCSCYVTPLYRMHHKMGTWNWSSALDCAFIGAFSIEFIVKT VADGFLYSPNAYLRNPWNFIDFCVLISMWINLIAYLKNNGNLSRIFKGLTALRALRCL TISNTARQTFNLVMFDGLNKIFEAGLISLSLLFPFTVWGLSIFKGRLGTCNDGNLGRA DCYNEYSNSVFQWDIMSPRVYQQPYLHLDSFASAFNSLYQIISLEGWVDLLENMMNSS GIGTPATVMGSAGNALFLVLFNFLSMVFILNLFVSFIVNNQARTTGSAYFTIEEKAWL ESQKLLSQAKPKAIPNLIELSRIRQFFYQLAVEKKNFYYASFLQVVLYLHIIMLLSRS YDPGNLIGYQGVYFMFSTGVFLIQEALHMCGEGPRLYFRQKWNSIRLSIIIIAFIMNA VAFHVPASHYWFHNVKGFFLLVIFLFIIPQNDTLTELLETAMASLPPILSLTYTWGVL FLVYAIALNQIFGLTRLGSNTTDNINFRTVIKSMIVLFRCSFGEGWNYIMADLTVSEP YCSSDNNSNYTDCGSETYAYLLLMSWNIISMYIFVNMFVSLIIGNFSYVYRSGGSRSG INRSEIKKYIEAWSKFDTDGTGELELSYLPRIMHSFDGPLSFKIWEGRLTIKSLVKNY MEVNPDDPYDVKIDLIGLNKELNTINKAKIIQRKLQYRRFVQSIHYTNAYNGCIRFSD LLLQIPLYTAYSARECLGIDQYVHHLYILGKVDKFLENQRNFDVLEMVVTRWKFHCRM KRFIEPEWDTKNPIVSSHISNIDLSVESVPGTIEREPIATPRMDYGVNNFMWSPRMNQ DSTMDSTEEPIDDNDGSQGSNNSIDR SPAR_G04310 MEGILNFSSDLDIALLDQVVSTFYQGSGVQQKQAQEILTKFQDN PDAWQKADQILQFSTNPQSKFIALSILDKLITRKWKLLPNDHRIGIRNFVVGMIISMC QDDEVFKTQKNLINKSDLTLVQILKQEWPQNWPEFIPELIGSSSSSANVCENNMIVLK LLSEEVFDFSAEQMTQAKALHLKNSMSKEFEQIFKLCFQVLEQGSSSSLIVATLESLL RYLHWIPYRYIYETNILELLSTKFMTSPDTRAITLKCLTEVSNLKIPQDNDLIKRQTV LFFQNTLQQIATSVMPVTADLKATYANANGNDQSFLQDLAMFLTTYLARNRVLLESDE SLRELLLNAHQYLIQLSKIEERELFKTTLDYWHNLVADLFYEVQRLPATEMSPLIQLS VGSQAISTGSGALNPEYMKRFPLKKHIYEEICSQLRLVIIENMVRPEEVLVVENDEGE IVREFVKESDTIQLYKSEREVLVYLTHLNVIDTEEIMISKLARQIDGSEWSWHNINTL SWAIGSISGTMSEDTEKRFVVTVIKDLLDLTVKKRGKDNKAVVASDIMYVVGQYPRFL KAHWNFLRTVILKLFEFMHETHEGVQDMACDTFIKIVQKCKYHFVIQQPRESEPFIQT IIRDIQKTTADLQPQQVHTFYKACGIIISEERSVAERNRLLSDLMQLPNMAWDTIVEQ STANPTLLLDSETVKIIANIIKTNVAVCTSMGADFYPQLGHIYYNMLQLYRAVSSMIS AQVATDGLIATKTPKVRGLRTIKKEILKLVETYISKARNLDDVVKVLVEPLLNAVLED YMNNVPDARDAEVLNCMTTVVEKVGHMIPQGVILILQSVFECTLDMINKDFTEYPEHR VEFYKLLKVINEKSFAAFLELPPAAFKLFVDAICWAFKHNNRDVEVNGLQIALDLVKN IERMGNVPFANEFHKNYFFIFVSETFFVLTDSDHKSGFSKQALLLMKLISLVYDNKIS VPLYQEAEVPQGTSNQVYLSQYLANMLSNAFPHLTSQQIASFLSALTKQYKDLIVFKG TLRDFLVQIKEVGGDPTDYLFAEDKEHALMEQNRLEREKAAKIGGLLKPSELDD SPAR_G04320 MTNTVMSKFLQGSIFSVSKLHVRYSSTRPFLVAPSMANSITTDA PPINHSPELANARKWLPKRCGLITRKKGMMPYFDKATGERSAATILEVNNVEVIMQRT PEVNGYFACQVGYGSKHLSKVSRQMLGHFASKVVNPKEHVAEFRVKDEKGLLPPGTLL KPSFFKEGQYVDVRSVSKGKGFTGVMKRYGFKGLRASHGTSIMHRHGGSYGQNQDPGR VLPGRKMPGHMGNENVTIQNVKVLKVDDENNVIWVKGSVAGPKNTFIKIQDAIKKI SPAR_G04330 MFSHYRHRDYSCQKKEVIPGKSRISLTILQRRTDCTGSSCNVSK NSSSNVTVAVAVAVPIGAILMVLSIVLIVVYRRSKKEPSMQDLDPNFEGDLYYLPKMN SSMNSANSESNSTEKRFIYGSYDDFLQPSMENSQSFKDYVKRINEHAPSAYNIASLAS QNNSKLSFPSKHIDLSNKISAGSVENSEMMVLPLCSNIEPDPGQRCDSTLNSDINKKP SYNNDGQLKSHHTNYSGLEPQFSKEKEENIDRITSIYNIYFEKSNSTTRSSVTSSLRR DSKLDISTKKSININSQDNLNDTTLAEQSHFGSTIVKEIDSNFTATEECDDTTEYLQA PPPQENKHIASSMYSEVAPRDKIIPEPSLSLAVPPPNALSTRITSSIYSDTATKGHLH FSRAHPPVQVPLKCLGRPNLTSAQQNSLYFVNCCNQNNGDNDYYNYNPSPLEHPQNYE NIGDLPMPTQFTYSVSWHSLTSFKGRPKPPKTLKHVPTARLNGTALNPMDHPEMFYSS PTKISSAISSTKQPCVPFPYQLRQSVVMTNPSDLSMKLRYKPAGSLSNLIRAQHPPGN SSTTTTSSSLSQPPSTLPNTINFRVSGLLDDADVLQPPSVGEILPFKVSTEDLRRQLG TSHNYEIIS SPAR_G04340 MKASSRAIKLVLDHLKSTGRVLGSVESVSSTAVSEKTASVNGRQ QLQGKKSLVLQYRSYNPYLVKEDFLSVLPENLYKSRGQFTNEFDFQLMKVRDPKYFQF KDQYYLLFNDYNSLTEYAKLTKHSRINKVRVRMMPLAQPLPTLLAKFQRYSKNLHNAF QSPEQYFEGLNEKIDVSEEFDAGRLRSILDSVREIENKSVLVWNLPTELRSYDILNFF WFYNIRSSFKIYWDDEMKRNLRFISFENSHDAYRFKRNYHGLLAKELLFMPKKEDAVE NSLKIGSEIDDSKVLIEHLSE SPAR_G04350 MDVRRPIREAVNGRRKPKFLSVSFNQDDSCFSVALENGFRIFNT DPLTSKLSKIFKESPTNQSRGTGIGYTRMLYRTNYIALVGGGKRPRHALNKLIIWDDL LQKETITLKFMSSIKDVFLSRIHIVVVLENTIEIFQFQTNPQRTCPILDIPSNGSVDY VVCSSKHLQSQTSSHSQSKIVEIIAFPSSKCVGQIQVADLSQIKHNSQNPKESTLLPT SIIKAHKNQIKLIRLNHQGTMVATCSVQGTLIRIFSTHNGTLIKEFRRGMDKADIYEM SFSPNGGKLAALSNKQTLHIFQIFETNNAETNSVDHSHVNGSSHPLTNYIPKGLWRPK YLDSVWSICNAHLKNPLFDAHRNASSGDATHDDEFYKDRCRIGWCQDINNQEQDDSLV LVWQNSGIWEKFVILEKEQQQDSSKTHYSLNESLRNEDTKSTREPTRWELVRESWREL SPAR_G04360 MHESNSCSMKGISKTRSMSGPSYYGEKEQKQNEQQQKQKFIVSQ SPTRSTSEQDATSAPEDNPSESNELPKGFILYASLVALALSLFLAALDIMIVSTIIEE VAKQFGSYSEIGWLFTGYSLPNALLALIWGRIATPIGFKETMLCAIVIFEIGSLISAL ANSMNMLIGGRVIAGVGGCGIQSLSFVIGSTLVEESKRGMLIAVLSCSFAIASVVGPF LGGVFTSSVTWRWCFYINLPIGGSAFFLFMLFYNPGLHTFQETIDNIRKIPSQLIEAV RKVKHHSLKVKELSKSNGWRKLAMELVFMYDIIEFVFCSAGFTSILLAFTFGGNRYAW NSASIIILFVIGIILVISATIYDFFVFPKFNIVKATPRYQPLMSWRNIKKPGIFTVNI ALFLLCAAYISQFTYIVQYFQLIYNDSAWRAAVHLVACIIPTVITAVLCGVLTDKTRQ IKPIIVISSILGVIGAGILTLLNNNAGNSAHIGLLILPGVAFGGLAQSSMLASQIQLD KKSPTFRSDFVSITTFNTFCKNLGQALGGVISNTVFSAAAVKKLTNSNIELPGGNTVD NLVIYRQTDFDGSHSKLGNIISESLTHVFYMALGFYALSFIFAVFASNKKVAANPRSI KNDLETGEGVGYKVAEETMSLSD SPAR_G04370 MATPHLNYRYNSRNSNKNINSSGNATEVDRFIPKSVSRNAYKSI PMLNGFDISYSELCEKSPSPERLSSPEFFNELRNTGNYESISATNEFSMSSVSSSSES QVTRNGSARASKRDYSKLTKEQKDHRKNIAHSLGFQLPDRVFTFETTNAEIKEKNQAI KNSLAPGSCARIQTTFDFSTLSPDVARYYIANSNARSASPQRQVQRPVKRVKSHIPYR VLDAPCLRNDFYSNLISWSRTTNNVLVGLGCSVYIWSEKEGAVSILDHQYLSEKRDLV TCVSFCPYNTYFIVGTKFGRILLYDQKEFFHSSKTNEKEPVFVFQTESFKGICCLEWF KPGEICKFYIGEENGNVSLFEIKSLHFPMKKWNKRQKYEEENLIGLKLHSTYQAQAQQ VCDTNNNITKGISLNEHSNLLAVGGNDNSCSLWDISDLDRPVKKFVLPHKAAVKAIAF CPWSKSLLATGGGSKDRCIKFWHTSTGTLLDEIYTSGQVTSLIWSLRHKQIVATFGFG DTRNPVLITLYSYPKLSKLLEVRSPNPLRVLSAVISPSSMAICVATNDETIRFYELWN DKEEIINEIQESGIYGSNIIEYMEGIETTHNKRIR SPAR_G04380 MDAKENNDNDNNDILEEEPAIQLIAPGIARNLTQEVITGIFCNV VIYPLLLIYFVLTFRYVTTKIVPYEFIDEKFHVGQTLTYLKGKWTQWDPKITTPPGVY ILGLINYYCIKPIFKSWSTLTILRLVNLLGGVIIFPIVVLRPIFLFNALGFWPVSLMS FPLMTTYYYLFYTDVWSTILILQSLSCVLTLPFGPVKSVWLSAFFAGVSCLFRQTNII WTGFIMVLAVERPAILQKQFNTHTFNNYLKLFIHAIDDFSHLVLPYMINFVLFFIYLI WNRSITLGDKSSHSAGLHIVQIFYCFTFITVFSLPIWISRNFLKLYKIRIKRKPVQTF FEFIGIMLIIRYFTKVHPFLLADNRHYTFYLFRRLIGNKSRLIKYFFIAPIYHFSTFA YLEVMRPNQLTFHPITPLPIKEPVHLPIQLTHVSWTALIICTTVTIVPSPLFEPRYYI LPYFFWRIFITCSCEPLIKDLKPAKEGENPITISSTKRLFMEFLWFMLFNVVTLVIFS RISFPWTTEPYLQRIIW SPAR_G04390 MDLFKRKVKEWVYSLSTDDHYAEYNPDETPTFNMGKRLNSNNGQ VNPSQMHLNSVDEEMSMGFQTGAPSNEDINIDEFTSMDSNDGVSETLLAWRHIDFWTT EHNPDLNATLSDPCTQNDITHAEEDLEVSFPNPVKASFKIHDGQEDLESMTGTSGLFY GFQLMTLDQVVAMTQAWRNVAKNLNKRTQQGLSHVRSTGSSSSMERLNGNKFKLPNIP DQKSIPPSAVQPVYAHSAWIPLVTDNAGNHIGVDLAPGPNGKYAQIITFGRDFDTKFV VADNWGEFLLSFANDLEAGNWYLVDDNDDYFSGDGELVFRDKKSNGPIQDYFEVLKRR TWIKYQESLKSQQQKSQPESSLQEQKYVPASQKKAVVTPTNEEPSILNPESIKGDDSG SVDAESVQDHESVKVVGNESSEAEAKAINTESFEQPEHEIRTDNEDIEPENENKEEEK KPKVEEREHVESEHATDSTKKNDDINNQTEEVNEKRENKTSSADAKVDEAREEFENIA L SPAR_G04400 MTYGGSVSQDEVTKLAREIQTTSMSCTKKDEVVDPSDQRLKKNL KDARNTETCVKHSLHRRIFKNSYRKRKAVDEQRKTLNMQLRQKFASPSDSLLSPCSRK LNDHKSKLFAAKSQPKRLDFVQSKQNILHKSNTDI SPAR_G04410 MNRSPGEFQRYAKAFQKQLSKVQQPGGRGQVPSPKGAFAGLGGL LLLGGGALFINNALFNVDGGHRAIVYSRIHGVSSRIFNEGTHFIFPWLDTPIIYDVRA KPRNVASLTGTKDLQMVNITCRVLSRPDVVQLPTIYRTLGQDYDERVLPSIVNEVLKA VVAQFNASQLITQREKVSRLIRENLVRRASKFNILLDDVSITYMTFSPEFTNAVEAKQ IAQQDAQRAAFVVDKARQEKQGMVVRAQGEAKSAELIGEAIKKSRDYVELKRLDTARD IAKILASSPNRVILDNEALLLNTVVDARIDGRGK SPAR_G04420 MSDYPLHQACMENEFFKVQELLHSKPPLLLQKDQDGRIPLHWSV SFQAHEITSFLLSKMENVNLDDYPDDSGWTPFHIACSVGNLDVVKSLYDRPLKPDLNK ITNQGVTCLHLAVGKKWFEVSQFLIDNGASVRIKDKFNQIPLHRAASVGSLKLIELLC GLGKSPVNWQDKQGWTPLFHALAEGHADAAVLLVEKYNAEYDLVDNKGAKAEDVALNE QVKKFFLNNV SPAR_G04430 MKFGKYLEARQLELAEYNSHFIDYKALKKLIKQLAIPTLKASSD LDLHLTLDDIDEKIIHQRLQENKAAFFFKLERELEKVNGYYLARESDLRIKFNILHSK YKDYKSNGKLNSNQATSFKNLYAAFKKFQKDLRNLEQYVELNKTGFSKALKKWDKRSQ SHDKDFYLATVVSIQPIFTRDGPLKLNDETLHILLELNDIDNNNRRADLQSSTFTNEE DDSNSSNNNNNNNNNNNNNNNDNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNTLRKN YELATVRNSENQLEQLFQVSSSSLDVEMEIENWYKEILNIATVKDIQRKHALLRNFTE TKIFTYLLQNSSESFHKNVFSLLKECLTTLFLLLVASPLDDNSLHIFYKSNQDHIDLS YCDEDDQVFSRKNVFHEAASCPEKSRLFILDEALTTSKLSKETVQKLLNARDIHARVP LHYAAELGKLEFVHSLLITNLLEDVDPIDSDSKTPLVLAITNNHIDVVRDLLTIGGAN ASPIEKPVLDYSKNVISSTKVQFDPLNVACKYNNHDAAKLLLEIRSKQNADNAKNESS QHLCQPLFKKNSTGLCTLHIVAKIGGDPQLIQLLIRYGADPNEIDGFNKWTPIFYAVR SGHSEVISELLKHNARLDIEDDNGHSPLFYALWESHVNVLNALLQRPISLSSVSLNEA NSQSSTQRLNTIDLTPNDDKFDSDIQDSIPDFALPPPIIPLRKYGHNFLERKIFIKLK LRPGLESIKLTQDNGIIMSSSPGRITLSSNLPEIIPRNVILPVRSGEINNFCKDISET NDEEDDDEISEDHDDGEIIFQVDSIDDFSMDFEIFPSFGTRIIAKTTAMPFLFKKAAI NSITTMNLPLFDTRLNNIGSLTLDYQIIFPYPGNPLKIIKYEPYWKSTGSDLMTSSKD GNFVTSSSLNGSFISVLVCTLNDETIVAAPKPYIEFKGTKIPLNDLTKAQLEKVVDYD FGKIDGSFDEVTLKQYLSSRVVPLRSLLEVIPGSVQLVIRVYFPTDREVDTIPIKISP FININQFIDKLLLIIFEHERFLRHNGSGSMRQIVFSSCNWEACSILNWKQPNFPVLLQ MKNLLRDSTTGRFISDTPNCLKELAVNPQKMSYLNTEPINIHTMVQFAMNNNLLGVTL PYEVLKICPSLARIIKQNGLLLIASVGENEQMPTDGGYSGLYYACELLFENNIDM SPAR_G04440 MLAEKTRAIIKATVPVLEQQGTVITRTFYKNMLTEHTELLNIFN GTNQKVGAQPNALAVTVLAAAKNIDDLSVLMNHVKQIGHKHRALQIKPEHYPIVGEYL LKAIKEVLGDDATPDIINAWGEAYQAIADIFITVEKKMYEEALWPGWKPFEVTAKEYV ASDIVEFTVKPKSDSGIELESLPITPGQYITVNTHPIRQENQYDALRHYSLCSASTDS GLRFAVKMEAARDNFPAGLVSEYLHKDAKVGDEIKLSAPAGDFAINKDLISQNEIPLL LLSSGVGVTPLLAMLEEQVKYNRNRPIYWIQSSYDEKTQAFKEHVDDLLAKCSNVEKI IVHTDTEPLIDAAFLKERSPAHADVYTCGSLAFMQSMIGFLKELKYHDDMIHYEPFGP KMSTVQV SPAR_G04450 MTKDFYRQLDPVEERIVPPENAIVVSSEAREANVNEKEAKQGVL SQRVMRFIGENELVDGISVRDPDYLKRFFNERRKQFSTKWDKVTRKVDNVVGRYYARE QNFTSTIASLHTDPNERLIPGFSSILVAFMTGSVLTRRKTWLLRATMPIILGSCCFAY AMPTTFRNTMYLIHDLEMNTFPRFVERQDHVWKEIKRFSTASVQCYYDARKWLNKDVE KTGDSIKDWTGINVK SPAR_G04460 MKLDSGIYSEAQRVVRTPKFRYIMLGLVGAAVVPTAYMRRGYTV PAHSLDRINGVDTTKMSITGMGQREAMTKGKSLQEMMDDDEVTYLMFSSIM SPAR_G04470 MLSAKAFTKHISFDDLAPSLIDDQATIIKNDSHHVGLNNHFLHI PPQFNPVYKNTLTGSRGSNELTTDENLDSPEDEEASPPPQVETPTSSTGGIPHLYTQM FSPVAHDPSKNYLRSPSVERSRSESPMFRSRRRTSVRLPPPPKVSVLKKSRKAADEQG PIDDIDIGDLDFELERKMTKMTERNTQKNSGSRKGYTQAAFANLNEVEDRIETKSMVD LSESENMEGAKKRSKSFAGMTDEELAKLEEFYISKGRSNKTKIDQFDFGEQVPVYLNT TESKTDLNNVTDPLAAIYPSRPTIVHNAISMTIDHSNYEDYVSKTKDRLNCKEKDDDV DLRVVSCYISGRRYTWSSVDWYVENLARNGDHLVIITTIPEFEAKIDTLAYKEKRKHR LERMASNTSESMTTASHSLVGPDPSSPLSTGIRIEAIHDEAKQTCSNILNYYAKRLAT KIVRISIEMVKEDSTRSAIICATSLYRPSLQVISTVSANIQIKFRNGKVKLPFFLMKH FAMPAFVVPFEFIKPELLIKPRMDENEEEHTDDLKTEVRKKERLQWLSALIRRTLENP FTKHKVVDSDDEESDSDESVASVNEYFPISPEKKEEMEFFDKMGYVRPKPSRQVLLDD NTLMKYDSSGRKLTPVESRNSRRSSKRSSRIQFNNNGIYKVKSMVDDIYNHDTAATPH IKTALKWENEDPKTKFTSHPMRKTKSAGLSPRTSSTSSSLGQKKAHHHHHHHNHVSRT KTTESTKSGNSKKDSSSSSTNDHQFKRSEKKKKSKFGSIFKKVFG SPAR_G04480 MVPFKLTKKVSPDTGPSLISAQTVPRAIAFMDNQNNTRIVTPML PSNQHRSISGASTALPSPSERRNTTKKYIWNRVKLKTSPFPRYRHSSSFIVTNDNRIF VTGGLYDQSVYGDVWQITANANGTSFTSKTIEIDQNTPPPRVGHASTICGNAYVVFGG DTHKLNKNRLLDDDLYLFNINSYKWTIPQPIGPRPLGRYGHKISIIANNPMQTKLYLF GGQIDETYFNDLVVFDLSSFRRPNSHWEFLEPISTLPPPLTNHTMVTYDNKLWVFGGK TPKTISNDTYCYDPIQNDWSKIETTGEKPLPIQEHASVVYRHLMCVFGGKGIHNTYSN DVYFLNLISLKWYKLPRIKEGIPQERSGHSLTLMKNEKLLIMGGDKFDYANPTIQDLH TSETDKGEGTLLYTLDLSLLDELCPGIMGESLLAGENVSGISSGNFTTSNATEGENRE MINILTPRLPKFSTFNDIDEGAGSYTSSLGNKAFTQKSDTEEKTSLSPKAGIAIHENS SQPNIEITKSNIPVLQGLAINAEQYGFPPFKDTSNCKVIPKSLYDDLNRNLQTLHLEA QQKELETARHISELEKEVQRLTVIKEASKDSNFQTARLKNLEIQKTFLKSRNSDLENS LMVKLSQANKILNQIKIQNIKLERCFEDGAIKRDVVDLKNKCDILNHQNQTLVNKMQK KNLELLTHLKDSSCYLGKLLKNNPTSAQPPLDEKDNEIYKEDPLKKMEKIINEMYEAA RTKEKLQLETQKLNGERDSLRANLLDNNNKLEALKKISDGSLKSMDLTKKAIDLSLSE LEKYRKCTYQLQQEIDRIKTEQAEQDDKQEQHSAITHRNLGAFHRMKVNNLKAELYMS KENRDSLKDELLALKKRLYILEQKRQPQ SPAR_G04490 MPSACHTSPIEEIIKQGHRIQSDSLIPSKRTRLVPTELTAHYTN KDSNVEKCFLHNANDLEGVDSVRFLNQPSPLTFISQNNTEDSSNWVPQFSSMKIDDPL EFSSEYKRLYSNYESQHRQNSSRQHFPFPNCMVRKTSCAYPPQKTLRQRRQGNRDNST NAFKFDAEFQNLEKEIQEVRYEPTIHQDEKWLDQDQLELQRIATDIVKCCTPPPSSAF STSTLSSVESKLSESKFIQLMRGISSGDVTLKKKADGNSASELFSSNNGELVGNRHIC VKDEIHENLFD SPAR_G04500 MQSQDSCYGVAFRSIITNDEALFKKTIHFYHTLGFATVKDFNKF KHGENSLLSSGTSQDSLREVWLESFKLSEVDASGFRIPQQEASNKAQSQGALLKIRLV MSAPIDETFDTNETATITYFSTDLNKIVEKFPKQAEKLSDTLVFLKDPMGNNITFSGL ANATDSAPTSKDAFLEATSEDEIISRASSDASDLLRQTLGSSQKKKKIAVMTSGGDSP GMNAAVRAVVRTGIHFGCDVFAVYEGYEGLLRGGKYLKKMAWEDVRGWLSEGGTLIGT ARSMEFKKREGRRQAAGNLISQGIDALIVCGGDGSLTGADLFRHEWPSLVDELVAEGR FTKEEVAPYKNLSIVGLVGSIDNDMSGTDSTIGAYSALERICEMVDYIDATAKSHSRA FVVEVMGRHCGWLALMAGIATGADYIFIPERAVPHGKWQDELKEVCQRHRSKGRRNNT IIVAEGALDDQLNPVTANDVKDALIELGLDTKVTILGHVQRGGTAVAHDRWLATLQGV DAVKAVLEFTPETPSPLIGILENKIIRMPLVESVKLTKSVATAIENKDFDKAISLRDT EFIELYENFLSTTVKDDGSELLPVSDRLNIGIVHVGAPSAALNAATRAATLYCLSHGH KPYAIMNGFSGLIQTGEVKELSWIDVENWHNLGGSEIGTNRSVASEDLGTIAYYFQKN KLDGLIILGGFEGFRSLKQLRDGRPQHPIFNIPMCLIPATVSNNVPGTEYSLGVDTCL NALVNYTDDIKQSASATRRRVFVCEVQGGHSGYIASFTGLITGAVSVYTPEKKIDLAS IREDITLLKENFRHDKGENRNGKLLVRNEQASSVYSTQLLADIISEASKGKFGVRTAI PGHVQQGGVPSSKDRVTASRFAVKCIKFIEQWNKKNEASPNTDAKVLRFKFDTHGEKV PTVEHEDDSAAVICVNGSHVSFKPIANLWENETNVELRKGHEVHWAEYNKIGDILSGR LKLRAEVAALAAENK SPAR_G04510 MSSLYTKLVKGATKIKMAPPKQKYVDPILSGTSSARGLQEITHA LDIRLSDTAWTIVYKALIVLHLMIQQGEKDVTLRHYSHNLDVFQLRKISHTSKWSSND MRALQRYDEYLKTRCEEYGRLGMDHLRDNYSSLKLGNKNQLSMDEELDHVESLEIQIN ALIRNKYSVSDLENHLLLYAFQLLVQDLLGLYNALNEGVITLLESFFELSIEHAKRTL DLYKDFVDMTEYVVRYLKIGKAVGLKIPVIKHITTKLINSLEEHLREETKRQRGASPK QQQDRKPSAAISSTSDHDNSNNNRSVAQQKLEQIREQKKLLEQQLQNQQLLISPTVAQ DAYNPFGSQQQDLNNDTFSFEPTQPQMSAQVPQQTANPFLIQQQQQQQQPLQLTSAST MPQQGEIPITPNLNSQQTGVYASNLQYTPNFTGSGFGGYTTTENNPMTTSTLDPTKTG SNNPFSLENIAREQQQQPHFQASPNPFNLQQAQTTPILAHSQTGNPFQAQNVVTSPMT TYMTGPGASQVPYTPTGMQQQQQVMQGQQTGYVIVPTAFVPINQQQQQSQHQQENPNL IDI SPAR_G04520 MSASAFNFAFRRFWNSETGPRTVHFWAPTLKWGLVFAGLNDIKR PVEKVSGAQNLSLLATALIWTRWSFVIKPKNYLLASVNFFLGCTAGYHLTRIANFRIR NGDSFKQVIHYIIKGETPAAVAAKQSTSASMNKGVMGANSSITH SPAR_G04530 MYSRKSLSLISKCGQLSRLNAQAALQARRHLSIHEYRSAQLLRE YGIGTPEGFPAFTPEEAFEAAKKLNTKKLVIKAQALTGGRGKGHFDTGYKSGVHMIES PQQAEDVAKEMLNHNLITKQTGIAGKPVSAVYIVKRVDTKHEAYLSILMDRQTKKPMI IASSQGGMNIEEVAEKTPDAIKKFSIETSKGLSLQMAKDVARSLGFSPNAQDEAAQAV SNLYKIFMERDATQVEINPLSEIEHDPTHKVMCTDAKFGFDDNASFRQEKIYSWRDLS QEDPDEVKAKKYDLNFVKLKGNIGCLVNGAGLAMATMDVIKLNGGDPANFLDCGGGAT PETIKQGFELILSNKNVDAIFVNIFGGIVRCDYVALGLVEAARELEVRVPIVARLQGT KVEEGRDIINKSGVKIYSFDELDPAAKKVVELTQN SPAR_G04540 MGRRSRAAMLPTNIILLQNLVKRDPESYQEEFLQQYAHYESLRD IFMLNGLAGSDSAAANNGLDVGNGSSTVAGTNGTTMSTSTSQLIELVGFVSQVCSCFP RETANFPSELKQLLLEHHKSLPFELKEKILSCLTMLRNKDVITAEELIQSLFPLLVAY SSHGNSLGINSHAKELRKIIYTNLISLLKSCNTNGKNQKLNKSTQAVCFNLLDKPDSQ GIWATKLTRELWRRGIWDDSRTVEIMTQAALHQDVKIAMSGVMFFLDADREREENFEE NSEDEDGFDLDALRHKMQVNKKTGRRGKKLENAIKTVKKKKKNGPGTPQGYLNFSAIH LLRDPQGFAEKLFKEHLSGKTKNKFDMEQKISLMQLLSRLIGTHKLIVLGIYTFFLKY LTPKQRDVTRIMSACAQACHDLVPPEVINVMVRKIADEFVSDGVANEVAAAGINTIRE ICSRAPLAIDEILLQDLVEYKGSKAKGVNMAAKSLIALYRDIAPEMLKKKDRGKNAAM EVQEAKRGGESSKRPQFGVDNSVQGIAGIELLAKWKKEHGEEGENEDADANWEVDVDS EEDNIDGDWVTMDSDKEYDVDMEDSDDEKDNAKDKESDSDLELSDDEEEKDVKDEQAN VDVDPEAAFREIASTRILTPADFAKLQELRNEDSVAKIMGVHKQDKREELVDASTLTG PIKYKQSREERLQKVLEGREGRDKFGSRRGKRDNMRSTTNREKERRKNFVMSIHKRSV RGKQKMSLRDKQKVLRAHITKQKKKGY SPAR_G04550 MPVCKSCHGTEFERDLSNANNDLVCKACGVVSEDNPIVSEVTFG ETSAGAAVVQGSFIGAGQSHAAFGGSSALESREATLNNARRKLRAVSYALHIPEYITD AAFQWYKLALANNFVQGRRSQNVIASCLYVACRKEKTHHMLIDFSSRLQVSVYSIGAT FLKMVKKLHITELPLADPSLFIQHFAEKLDLADKKIKVVKDAVKLAQRMSKDWMFEGR RPAGIAGACILLACRMNNLRRTHTEIVAVSHVAEETLQQRLNEFKNTKAAKLSVQKFR ENDVEDGEARPPSFVKNRKKERKIKDSLDKEEMFQTSEEALNKNPILTQVLGEQELSS KEVLFYLKQFSERRARVVDRIKATNGIDGENIYHEDSDSDTRKRKPSGINAQDERVEG EGNKLERSKQKIKKVKTKALEEEKDVGHFQDAIDGYSLETDPYCPRNLHLLPTTDAYL SKVSDDPDNLEDVDDEELNAHLLNEEASKLKERIWIGLNADFLLEQESKRLKQEADIA TGNTSVKKKRTRRRNTRNSEPTKTVDAAAAIGLMSDLQDKSGLHAALKAAEENGDFTT ADSVKNMLQKASFSKKINYDAIDGLFR SPAR_G04560 MAIALWYCPPQGSVAYETLQMLIFSFQTLFPDSPVFEPHVTVTS HLVCNSKDDVNKILTSCVAAIQSIRSHQIAKKGLKGQAPHAVAAPLVSFNGCSVGKQY FKKIVLECNKNKVLYGVAQVMREMYVEIDPETRSSRAASWVHEEFHPHVSLLYSDIHP VSQASLRVVQQRIEDALDVQLVPREKRKGSGNADGSNEMQMRWDFDVSSSLSWNIPGT FKVVNCVGPVQEWEVLGRVDV SPAR_G04570 MVKLERFSEEKTLIHEFGKFILEKQDSALTGNADAGFNIAISGG SMNQALYESLVNDKDIFPHIKWPQWRIFFCDERLVPFEDPQSNYGQFKKTVLDPLVHE DDQLNLGPTVYTINESLIGGGESANKKIAEEYASLLPESFDLILLGCGDDGHTCSLFP GTEFNYLVEEMDRKVLWCYNSPKAPKDRITFTLAVVADAKSVCFLVKGAAKKAIMHDV LIVKNSELPSVLVNEMVGTRVTWFLDDEAGALVPQNC SPAR_G04580 MQPKTFVHQLHAILLEPEVNKWIYWSPTDNMVFFLKPYDPNFST HVLKRYFKHGNVNSFVRQLHMYGFHKLSHPSPDQSSANNGNIKELVEWKFTHPSGFFF KEANAGILNKIQRKSTGVGKDGKRKNILSPISVSYVDASRLNVLSQQSGPTSVREPSS MFMGSPAHHYSISQSPPHINIPQQQQSNGPYLISSLPPQQPTVNMMRRQSISARMMNS YDYPNQFPSQDSIVQPQQPQQVLSPQALSGPPMKKSRTLSSTDDLKATSLPIVNYPMP YHPGTFAQQQQQPLPAIPPYSTYSTPFPSMMNSLTNSASNSPALGVCNNNVTLSKKSN ISERQALDNHIQTLKNSISTITDLIEKHINGAQQDENKTQTNDALSKDLRTSLSLLQN SKEEIIQLESKWTSMQSVKTAALPLQETTNTSSTLTPLTSSITPKSIPIITKGEVASK PASY SPAR_G04590 MIIAEEPLDEVISSGPEDTDICSQQTTTSAEAGDQSIKNERKTS TGLQLEQLANTNLLTIRIKWQAQEENERCDPRITDQIMDTIQHYKGISVNNSDTEAYE FLTDIKRLQILEQNKDTYLYEHGSQEYEKSYEGNEKGDDWRYDTVLQAQFKYPKSLEN ACSGISELLKSEPNGQRIDKWSIGVNKHALTYPGNIFVGGIAKSLSIGELSFLFSKYG PILSMKLIYDKTKGEPNGYGFISYPLGSQASLCIKELNGRTVNGSTLFINYHVERKER ERIHWDHVKENNNDDNFRCLFIGNLPYHNPDKIDALITPKEVIEVIKKELSKKFPDFD IISYYFPKRNNTRSSSSVSFNDEGSVDSNKSSNNINGTAQDEDMLKGYGFIKLINHEQ ALVAIETFNGFMWHGNRLVVNKAVQHKVYNNHNSHDRQSSISNHNDMEALEFANNPMY DYNNYTYDRYYFNNNKSGNSNDTSNVRYFDSVRSTPVSEKMDLFYPQRESFSEGRGQR VPRFMGNKFDMYQYPSTSYSLPIPMSNQQESNLYVKHIPLSWTDEDLYDFYKSFGEII SVKVITVGGSKNKYRQQSNDSSSDSDLPVGSSRGYGFVSFESPLDAAKAILNTDGYQV SKDQVLSVSFAQKRGNLSTSDDDDQSQTDNSSKFQNSQPHNDYHKAYPTKYNKKFINA LMTQNHSQQQVSRENYFMPLQYPSTSAKPVNSYNLIGANQNNPNWMMPMFPSFGFIPQ VPPVPYMIPPQNSAANHIPIMASGNNEEEEFSSGDYSMDY SPAR_G04600 MSRAKELQEKLNLQAKLQSTFSNNTAAVLDWLKESDETGDSTDT ERNKQVENHKELEDGKKAFFKLPVLQIGSGLHFRTQDDVSSKEDIHTIGEFIEGDKKV SSLAKKKKRSDQGLQRNNMYRITKDDTKAMVALKRKMRKGERGGLRKKQEQTKSNIST SYSFSDEENGDVGAMPQKSTKKTFGLLFDKKKRARK SPAR_G04610 MVTKHQVEEDHSDEATTDPEVKRVKLENKVEGIQSEQTETSKQE STDKESKGKFGKEAERIGGSEVITDVEKGIVKFEFDGVEYTFKERPSVVEENEGKIEF RVVNNDNTKENMMVLTGLKNIFQKQLPKMPKEYIARLVYDRSHLSMAVIRKPLTVVGG ITYRPFDKREFAEIVFCAISSTEQVRGYGAHLMNHLKDYVRNTSNIKYFLTYADNYAI GYFKKQGFTKEITLDKSIWMGYIKDYEGGTLMQCSMLPRIRYLDAGKILLLQEAALRR KIRTISKSHIIRPGLEQFKDLNNIKPIDPMTIPGLKEAGWTPEMDALAQRPKRGPHDA AIQNILTELQNHAAAWPFLQPVNKEEVPDYYDFIKEPMDLSTMEIKLESNKYQKMEDF IYDARLVFNNCRMYNGENTSYYKYANRLEKFFNNKVKEIPEYSHLID SPAR_G04620 MFLTRSEYDRGVSTFSPEGRLFQVEYSLEAIKLGSTAIGIATKE GVVLGVEKRATSPLLESDSIEKIVEIDRHIGCAMSGLTADARSMIEHARTAAVTHNLY YDEDINVESLTQSVCDLALRFGEGASGEERLMSRPFGVALLIAGHDVDDGYQLFHAEP SGTFYRYNAKAIGSGSEGAQAELLNEWHSSLTLKEAELLVLKILKQVMEEKLDENNAQ LSRITKQDGFKIYDDKMTAELIKELKEKEATESPEEADVEMS SPAR_G04630 MAVSKVYARSVYDSRGNPTVEVELTTEKGVFRSIVPSGASTGVH EALEMRDGDKSKWMGKGVLHAVKNVNDVIAPAFVKANIDVKDQKAVDDFLISLDGTAN KSKLGANAILGVSLAASRAAAAEKNVPLYKHLADLSKSKTSPYVLPVPFLNVLNGGSH AGGALALQEFMIAPTGAKTFAEALRIGSEVYHNLKSLTKKRYGASAGNVGDEGGVAPN IQTAEEALDLIVDAIKAAGHDGKVKIGLDCASSEFFKDGKYDLDFKNPNSDKSKWLTG PQLADLYHSLMKRYPIVSIEDPFAEDDWEAWSHFFKTAGIQIVADDLTVTNPKRIATA IEKKAADALLLKVNQIGTLSESIKAAQDSFAAGWGVMVSHRSGETEDTFIADLVVGLR TGQIKTGAPARSERLAKLNQLLRIEEELGDNAVFAGENFHHGDKL SPAR_G04640 MLFSKVMLTRRILVRGLATVKSSAPTLTDVLIVGGGPAGLTLAA SIKNSPHLKDLKTTLVDMVDLKDKLSDFYNSPPDYFTNRIVSVTPRSIHFLENNAGAT LMHDRIQSYDGLYVTDGCSKATLDLARDSVLCMIEIINIQASLYNRISQYDSKKDSID IIDNTKVVNIKHSDPNDPLSWPLVTLSNGEVYKTRLLVGADGFNSPTRRFSQIQSRGW MYNAYGVVASMKLEYPPFKLRGWQRFLPTGPIAHLPMPDNNATLVWSSSERLSRLLLS LTPESFTALVNAAFVLEDADMNYYYRTLEDGSMDTDKLIEDIKFRTDEIYATLKDDSE IDEIYPPRVVSIIDKTRARFPLKLTHADRYCTDRVALVGDAAHTTHPLAGQGLNMGQT DVHGLVYALEKAMERGLDIGSSLSLEPFWAERYPSNNVLLGMADKLFKLYHTNFPPVV ALRTFGLNLTNKIGPVKNMIIDTLGGNEK SPAR_G04650 MSKAVGDLGLVGLAVMGQNLILNAADHGFTVVAYNRTQSKVDRF LANEAKGKSIIGATSIEDLVAKLKKPRKIMLLIKAGAPVDILIRELVPHLDKGDIIID GGNSHFPDTNRRYEELTKQGILFVGSGVSGGEDGARFGPSLMPGGSAEAWPHIKNIFQ SIAAKSDGEPCCEWVGPAGSGHYVKMVHNGIEYGDMQLICEAYDIMKRIGRFTDKEIS EVFDKWNTGVLDSFLIEITRDILKFDDVDGKPLVEKIMDTAGQKGTGKWTAINALDLG MPVTLIGEAVFSRCLSAIKDERKRASKLLAGPTVPKNAIHDRQQFVYDLEQALYASKI ISYAQGFMLIREAARSYGWKLNNPAIALMWRGGCIIRSIFLAEITKAYRDDPDLENLL FNKFFASAVTKAQSGWRRSIALAATYGVPTPAFSTALAFYDGYRSERLPANLLQAQRD YFGAHTFRVLPECASAHLPVDKDIHINWTGHGGNISSSTYQA SPAR_G04660 MSDRNTSNSLTLKERMLSAGTGSVLTSLILTPMDVVRIRLQQQQ MIPDCSCDGPAEVSQAVSSGSKLKTFTNVRGQNLNNGKIFWESACFQELHCKNSSLKF NGTLEAFTKIASVEGITSLWRGISLTLLMAIPANMVYFSGYEYIRDVSPIASTYPTLN PLFCGAIARVFAATSIAPLELVKTKLQSIPRSSKSTKTWMMVKDLLDETRQEMKMVGP SRALFKGLEITLWRDVPFSAIYWSSYELCKEKLWLDSTQFAPKDANWIHFINSFASGC ISGMIAAICTHPFDVGKTRWQISMMNNNDSRGSNRSRNMFKFLETIWRTEGLAALYTG LAARVIKIAPSCAIMISSYEISKKVFGNKLHQ SPAR_G04670 MGVHSFWDIAGPTARPVRLESLEDKRMAVDASIWIYQFLKAVRD QEGNAVKNSHITGFFRRICKLLYFGIRPVFVFDGGVPVLKRETIRQRKERRQGKRDSA KSTARKLLALQLQNGSNDSAKNSTPNNGSSVQIFKPQDEWDLPDIPGFKYDREDARVD STKTFERLMNSIDGDGLEDIDLDTINPASAEFEELPKATQYLILSSLRLKSRLRMGYS KEQLETIFPNSMDFSKFQIDMVKRRNFFTQKLINTTGFQDGGASKLNQEVINRISGQK NKEYKLTKTDNGWILGLGSNDGSDAQKAIVIDDKDSGALIKQLDGNAEDGDVLQWDDL QDGTFKIVRGEPSNKTTTPQKRSNRPEGEGWDSDECEWEEVELKPKSVKLIEDFSLKA ARLPYMGQSVNSAGSKSFLDKRHDQASPIKNTPTTRINRISVEDDNDDDEDYLKQIEE IEMMEAVQLSKIEKKPESGDKSKIAESTTSNVEESRSPILQYGSLGAQPDRKQLHHVT NLNSTSESVLEKTSNTTIPEFRLPSELKEKGAVLTEGEQNLNFISHKIPQFDFNNKNS LLFQNEAKSNVAREATKEKPPIPEMPSWFSSTTSQPSYNPYSTTNFVEDKNARNKQES GAETTDNVNSYELLTGLNAAEILERETEIEEGSNDAKDNDDLEVLSDEVFEDVPTRFQ ISREVEDNDSGKVGSSDKEHREPLVFDYDFSENEEDNIVENMIKEQEEFDTFKNTTLS TSDGRNVAENAFMEDELFEQQMKDKRDSDEVTMDMIKEVQELLSRFGIPYITAPMEAE AQCAELLQLNLVDGIITDDSDVFLFGGTKIYKNMFHEKNYVEFYDAESILKLLGLDRK NMIELAQLLGSDYTNGLKGMGPVSSIEVIAEFGNLKNFKDWYNNGQFDKRKQEAENKF EKDLRKKLVNNEVILDDDFPSVMVYDAYMRPEVDHDTTPFVWGVPDLDMLRSFMKNQL GWPHEKSDEILIPLIRDVNKRKKKGKQKRINEFFPREYISGDKKLNTSKRISTATGKL KKRKL SPAR_G04680 MGNKFTMESPKHLVDDVLFISPTNDGSEGKPTEVTFQEDEGHDA SLHNRNHDKKSELATEREIMATTTDDDGIPSPSHPMEKRVLRKMDIYLIPLMGLLYFL SNLDKSNIGNAEVAGLSKDINLVGTQYNTCVTVFFATYVLFDPIGTNLLKIMGPPLMM SICLTCFGAISLGTAWVKNYAQLIVVRLLLGAFEGMIYPAINMYLSVCYRREQYALRF AFVFSAACLSSSFGGLIAYGCSKISGALKDWQYIYIVEGCLSLGFVPFYAFGLSKNLE DSWFFNKEEKEYISERYKTMNTFDPDEKFEWFQVWQAVKDVKTWASAVALFGIDLTTF GLTVFLPIIITSMGFTNVRAQLMTVPIYFLTAIVFFICAVWSDRIKLRSPFILGACLT TSIGIAIVLGSQVHGVRYFGVYILCMGIYVNAACNCLWLSGNTGNYFKRATALGINLF FGSGSGLVSGQIFVAKDKPRYIKGLSISLAFQVFSIFMTIVQIFLYKRENDRKKAIID RCNELGEPIPYDERLSDKNPEFKYMY SPAR_G04690 MVDSIHRIASALDTAKVITREAAAVATSKLGESSYTYYSQNINP QQLVTLLNSRNSREVRDAMKRIISIMASDDDSIDVQLYFADVVKNITTNDTKVKRLIH LYLLRFAENDPNLTLLSINSLQKSLSDSNPELRCFALSTLSDMKMSSLAPIILHTVKK LVTDPSAMVRGEVALAIIKLYRAGKSDYHEELLDILKELMADTDPKVISCAILAYKEC YSDYLELLHGHFRRYCRIIKQLDSWSQSYLIELLIKYCKQFLPKPTVVDKSSEGSSRS CPLPDKYNEIEYPLYDVVNDPDLDLFLQSLNRLIYSSSPTVILSCCNALYQLASPLQM KNTKFIEALVRTVITTANQGNKETLLQAIHFLSTLDQTLFLPYTKKFYILPKDPIVAS IWKIQILSTLINESNVKEIFKELKYYVASAHLPEKVVIMAVKSLSRCGQLSTSWESHV MKWLIDHMESHNLSASVLDAYVNVIRMLVQKNPTKHLRIIFKLADLLTVQRSLADNAR AGIVWLFGEIASIEFKICPDVLRKLIPNFSDEGPETRCQILVLSAKLLSYDIDSFKQA QIAGSGESNSEENNQNNSYYDFSSSRISQMYNAVLYLAKYDDEFDIRDRARMISSLFD SGKYEIVSLLLQAPKPTARNDDFIVSARLETHAPEIKDFFRMLPWNTEITDIGETDND VREGVELKDYNKYKKSFSSQSFITNNSARSFASNPNANSTDISGGDGNSISGKGSVNA FTSQNGKKYRLQSLDEFFSDIPERKSKPKRIIKVVEESSDEDEDDSEESGDEDESDDD DYSDSSLGTSSSSLEL SPAR_G04700 MTQEFIDKVSSYLTPDVDIAPISQGAEAIVFSTTTHPYVPKAKD PHQKYIIKYRPPKRYRHPQIDQALTKHRTLNESRLLAKLYLIPGLCVPQLIACDPYNG FIWLEFLGEDLPGGHGFSNLKNFLWMHDQDPYSDLVATTLRKVGRQIGLLHWNDYCHG DLTSSNIVLVRDGARWTPHLIDFGLGSVSNLVEDKGVDLYVLERAILSTHSKHAEKYN VWIMEGFEEVYHEQGAKGAKKLKEVTKRFQEVRLRGRKRSMLG SPAR_G04710 MVTNSDLDSKAVYYRLQENDIINVVSSENAVQNDVGFRWSALHL HLFHGLKFAALLFTIVPVFIMFDCMKIIFQRKRRFCLDHVNRIFLRQSSWILDERICE YVLNPLFVCLYPSTFSSPTYVKYDIPIEDQKSPENNIFQNHRLNAPNTVSTKFYRYVM PEGFDPATDPVLVFYHGGGYALKLTPTSLSFLNNMRNAFPKMAILVPDYTVTATDDQS RKYPLQILQNVAIFDYVVKTMGCKNLTIMGDSAGGNAVLNIVLYLRKCNRVIYPKKVI AISPWANATFFHEGEREYMQRTQEWDGLCLKSHSMFGRMFVGSNSNVDFTSDPFVNIE KNFETEMWQDILTKCSVMITYGSDELLSFQNKILAKKMSEASEGHNYFTSKNVLVEHQ GYHTGPILNYSRNMDRWTSIPSIARILEFMQS SPAR_G04720 MSFHISFDKSKKHPAHLQLANNLKIALALEFASKNLKLEVDNDN ATMELRNAKEPFFLFDANAILRYVMEDFEGQTSDKYQFALASLQNLLYHEELPQQHVE VLTNKAIENYLIELKEPLTATDLILFANVYALDSSLVQSKFPELPSKVHNAVALAQKH IPRDSSSFKNIGAVKIQSNLTVKPKDAEILPKPNERNILITSALPYVNNVPHLGNIIG SVLSADIFARYCKGRNYNALFICGTDEYGTATETKALEEGVTPRQLCDKYHKIHSDVY KWFQIGFDYFGRTTTKQQTEIAQDIFTKLNSNGYLEEQSMKQLYCPVHNSYLADRYVE GECPKCHYDDARGDQCDKCGTLLDPFELINPRCKLDDASPEPKYSDHIFLSLDKLESQ ISEWVGKASEEGNWSKNSKTITQSWLKDGLKPRCITRDLVWGTPVPLEKYKDKVLYVW FDATIGYLSITSNYTKEWKQWWKNPEHVSLYQFMGKDNVPFHTVVFPGSQLGTEENWT MLHHLNTTEYLQYENGKFSKSRGIGVFGNNAQDSGISPSVWRYYLASVRPESSDSHFS WDDFVARNNSELLANLGNFVNRLIKFVNAKYNGVVAKFDPKKVSDYDGLVKDIDEILS SYIKEMELGHERRGLEIAMSLSARGNQFLQENKLDNTLFSQSPEKSDAVVAVGLNIIY AVSSIITPYMPEIGEKINKMLNAPALRIDDRFHLAILEGHNINKAEYLFQRIDEKKIG EWRAKYGGQQV SPAR_G04730 MGAMTGATSWFDDWNAEALYKDDVTGCDDCSETSPIPKSGIICG PILRLINMDFKEKTYEGSVMMVVKGEENFPKIAYQQGPSLPSDNEDIEISEASFDGKL FHKDNLKNDDIWFYRYEIKLPMFNYEQMVKYSVDGRTEPHYRFFVPSFTQNSNVISYS CNGFSLSVDTSKFKGSLWYDVLKKHQYVHYHAILGGGDQIYSDNIKLHAPNFKAWLDT KDPIKKYNTHTTEETKEQVRQFYLEHYLNWYGYGHWYGSTPKSKTTQKCFVKSLACIP AINVWDDHDIIDGYGSYNDSFMKTENFLTVGRMAYRYYMLFQHHVSASKQDGDDFAYL KSKQWILGNEKGSPYIGERSHSVFSWLGPKMAMLGLDCRTERKLREIFSERSYSLIWE RLENEVKVLKGGHLLLMLGIPIAYPRLVWLEWLFTSKLLAPIKYLSKKGIVANGFVNE FNGDVELLDDLNDHWCARHHKKERNYLVMKLQDIGAKYGVRITILSGDVHLASVGRFR AKRHRHHLIMSEEKEKENAKIIEEPTKDVRLMFNIIASAIVNTPPPDAMATLLQKRCR LHHFDAETDEDAVPIFTKEVDGIHKRKESCFMNKRNWSDIIPVENLLNNPQLSKELGV KVGDIVVPGIITEEQKLKTLENCDQTNSYPVTSGGLFTTIHVERDANQANSQTVSYGL PIPELEVTRERLSHTGIKHLNIT SPAR_G04740 MHNIQLVQEIERHETPLNIRPTSPYTLNPPVERDGFSWPSVGTR QRAEETEGEEKERIQRISGAIKTILTELGEDVDREGLLDTPQRYAKAMLYFTKGYQTN IMDDVIKNAVFEEDHDEMVIVRDIEIYSLCEHHLVPFFGKVHIGYIPNKKVIGLSKLA RLAEMYARRLQVQERLTKQIAMALSDILKPLGVAVVMEASHMCMVSRGIQKTGSSTVT SCMLGGFRAHKTREEFLTLLGRRSI SPAR_G04750 MSKDTYDDELPSYEDVIKEEERLQSQPPRPPRPAPSAAQGSQQR PQQRPSTVPSVPSSHTHGQFHSYAPSSSHARPPPRPQQNPSLPWTYPPRFYCSKCGNT GYKIKNGRSCKSCWRRFAPQNNVVAAPTYYTNYTMPVFTSSWQGNRPLYVQPGDPRLG GVLCGECRGSGRTRFLLDEDICPLCHGVGRIISQPQRY SPAR_G04760 MARNLRNRRGSDIEDTNNAKIEYETQIKDENGIIHTTSRSLRKI NYAEIEKVFDFLEDDQVMDNNQSEVKDETPVEVTSDEHRDNNNNGHDEDDDDVVLPHK STRINEEFTNERNLRKRKAHDPEEDDESFHEEDVDDEEEEEEADEFEDEYLDEDSKDN NRRRRAADRKFVVPDPDDDEEYDEDDEEGDRISHSASSKRLKRTSSRRTRSSRHPETP PPVRRELRSRTRHSRTSNEENEDVNENNRNEALTLADEIRELQEDSPIREKRFLRERT KPVNYKLPPPLTASNAEEFMDKNNNALSFHNPSPARRGRGGWNASQNSGPTRRLFPTG GPFGGNDVTTIFGKNTNFYNQIPSAFNDNGNNKLILDSDSSDDEILPLGVTPKIKKVN AQKKKKKKPEIADLDPLGVDMNVNFDDIGGLDNYIDQLKEMVALPLLYPELYQNFNIT PPRGVLFHGPPGTGKTLMARALAASCSSNERKITFFMRKGADILSKWVGEAERQLRLL FEEAKKHQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDNRGQVIVIGAT NRPDAVDPALRRPGRFDREFYFPLPDVKARFKILQIQTKRWSSPLSSDFINKLAFLTK GYGGADLRSLCTEAALISIQRSFPQIYRSNDKLLVDPSKIKVKVSDFMLALKKIVPSS ARSTGSSPQPLPELIKPLLSDQVNDLKDKLDYMLNMNDTAFHRNTSLLQNFIDYEEIS EEEEEEEKCNRNDDTNSFKSYEFFQSMAESQICKPRLLINGPKGNGQQYVGAAILNYL EEFNVQNLDLASLVSESSRTIEAAVVQSFMEAKKRQPSVIFIPNLDIWINTIPANVIL VLSGLFRSLQSNERILLLCLAEDLDISEAGNGILSDFAFDKNTFQLHKPSENNITKYF SNLIELLKTKPSNIPMKKRRVKPLPELQKVSLNATSTNFDENGKPLSEKEVLRRKLKS FQHQDMRLKNVLKIKLSGLMDLFKNRYKRFRKPPIDDAFLVHLFEPETNNDPNWQPAY TKDENMILEVSTGRRFFNMDLDIVEERLWNGYYSEPKQFLKDVELIYRDANTIGDRER VIKASEMFANAQMGIEEISTPDFIQECKATRQRDLERQELFLEDEEKRTAIELEAQQQ EQQSILQEPDSKDNQVNEFGVGAGNQLQAQLQTAIGTTPIVNNNGVPEPIDTHLYKKE KFTTVPSAIGKEESLTSEDGGAHKECSTELIQPTYISEVVTNDDKRAGKEGKEKGASL QTHVTKENFSETETNVNDVDQVTEISLVNKPRTGTLNSIHEKEEGREPSAISKEAVNP AREKKSDKEIILTPEQIKKISTCLIERCQNFTVSQLEDVHSSVAKIIWRSRSIWDKTE TVNEIIKYLSE SPAR_G04770 MSTEYSSDSSKSFMIAMQSMIDASQSFNLDRSEICLPDFDDELK KVQKDEQTQRTELTVLSQDRNDWDDIFDEFQNISFGQLQSIIDSYKKKNAVAVYKKVG KLIDKAATTLSSNVLLETVLQMVYKHQKQELEKELLDFLGTENIDLVSLLLQHRRMVV ATPIETTILLIKNAASATPDYMTQQDIRNQVLQNAENAKNRKLNPATQIIKYPHVFRK YEAGSTTAMAFAGQKFTLPVGTTRMSYNTHEEIIIPAADQASNKNYLYTKLLKIEDLD HFCKTVFPYETLNQIQSLVYPVAYRTNENMLICAPTGAGKTDIALLTIINTIKQFSVI NGESEIDIQYDDFKVIYVAPLKALAAEIVDKFSKKLAPFNIQVRELTGDMQLTKAEIL ATQVIVTTPEKWDVVTRKANGDNDLVSKVKLLIIDEVHLLHEDRGSVIETLVARTLRQ VESSQSMIRIIGLSATLPNFMDVADFLGVNRQIGMFYFDQSFRPKPLEQQLLGCRGKA GSKQSKENIDKVAYDKLSEVIQKGYQVMVFVHSRKETVKSARGFIKLAEANHEVDLFA PDPVAKDKYSRQLAKNRDKDMKEIFQFGFGVHHAGMARSDRNLTEKMFKDGAIKVLCC TATLAWGVNLPADCVIIKGTQVYDAKKGGFIDLGISDVIQIFGRGGRPGFGSANGTGI LCTSNDRLDHYVSLITQQHPIESRFGSKLVDNLNAEISLGSVTNVDEAIEWLGYTYMF VRMRKNPFTYGIDWEEIANDPQLYERRRKMIVVAARRLHALQMVVFDEVSMHFISKDL GRVSSDFYLLNESVEIFNQMCDPRATEADVLSMISMSSEFDGIKFREEESKELTRLSE ESVECQIGSQLDTPQGKANVLLQAYISQTRIFDSALSSDSNYVAQNSVRICRALFLIG VNRRWGKFSNVMLNICKSIERRLWAFDHPLCQFDLPENIIRRIRDTKPSMEHLLELEP EELGELVHNKKAGSRLYKILSRFPKINVEAEIFPITANVMRIHIALDPDFVWDSRIHG DAQFFWVFVEESDKSQILHFEKFILNRRQLNNQHEMDFMIPLSDPLPPQVVVKIVSDT WIGCESTHAISFQHLIRPFNETLQTKLLKLRPLPTSAMQNPLIESIYPFKYFNPMQTM TFYTLYNTNENAFIGSPTGSGKTIVAELAIWHAFKTFPGKKIVYIAPMKALVRERVDD WRKKITPVTGDKVVELTGDSLPDPKDVRDATIVITTPEKFDGISRNWQTRKFVQDVSL IIMDEIHLLASDRGPILEMIVSRMNYISSQTKQPVRLLGMSTAVSNAYDMAGWLGVKD HGLYNFPSSVRPVPLKMYIDGFPDNLAFCPLMKTMNKPVFMAIKQHSPDKPALIFVAS RRQTRLTALDLIHLCGMEDNPRRFLNIDDEEELQYYLSQVTDDTLKLSLQFGIGLHHA GLVQKDRSISHQLFQKNKIQILIATSTLAWGVNLPAHLVIIKGTQFFDAKIEGYRDMD LTDILQMMGRAGRPAYDTTGTAIVYTKESKKMFYKHFLNVGFPVESSLHKVLDDHLGA EITSGSITNKQEALDFLSWTFLFRRAHHNPTYYGIEDDTSTAGVSEHLSSLIDSTLEN LRESQCVLLHGDDIVATPFLSISSYYYISHLTIRQLLKQIHDRATFQEVLRWLSLAVE YDELPVRGGEIIMNEEMSQQSRYSVESTFTDEFELPMWDPHVKTFLLLQAHLSRVDLP IADYIQDTVSVLDQSLRILQAYIDVASELGYFHTVLTMIKMMQCVKQGYWYEDDPVSV LPGLQLRRIKDYTFSDQGFMEISSQQKKKKLLTLEEIGRLGYKKLISVFDQLTSGMVK SEDMKKRFIYVCQRLPILDSIKFKEQENNEMLSFHSKHFSSKHNNGFEVFCDKFPKIQ KELWFLIGHKGDELFMIKRCQPKQVNKEVIIHCDLFIPEEVRGEELQFALINDALGLR YDLSHKLIS SPAR_G04780 MANLQRKRSKALGSSLEMSQIMDAGTNKIKRRIRDLERLLKKKK DILPSTVIIEKERNLQALRLELQNNELKSKIKANAKKYHMVRFFEKKKALRKYNRLLK KIKESGADDKELQEKLRATKIELCYVINFPKTEKYIALYPNDTPSTDPKGVELTNLRR EQFLKLVAERMDANALNVSFEEILMGKKLDEDSVGLTLSPNEDHKDGSQASSTQEIKE MEEVVEDEKDDFFE SPAR_G04790 MQRFESPERIEKDDDISRIKLRSSSLAAPILEAVQEAQPFEEAT FSNIQKIHPLTENSTCNGYATYDKDGNLKFMKDTFGRNINTPDISNPTRARNERPLDT IRGFEYSITKDPRWLQELETFKLGFETRPGFAVINRDSQASINLSQLEEKVMENQKKK EKNHMSWLSRLLCK SPAR_G04800 MVKQQGSRKTNLTNEDEAYEAIFGGEFGSLEIGSYIGGDEGANS KDYTEHLPDAVDFEDEDELADDDDDDLSEETDANLHPTMMTMGAYDDGNENGAILGMD SNSLNLQLPELNGDLSQQFILEDDGGTPATSNALFVGMDANEIHLATETGVLDGSGGN EIGHSQLSISNVNANNMSINDGFTMEPEIPDNKHKKPTKLDLINHEKYLLKKYFPDFE KGKILKWNKLIYRRYVPYHWHRETSRVKKPFMPLNLKFKVQQDDKRVFNSRTTSYVAP IYQGKNNLLQDSSFASRRGLIHVSIDELFPIKEQQKKRKIIHDEKTISEDLLIATDDW DQEKIIDQGTSSTTSLTEASITPNLKSSSRYKLKSLVEDVAEDWQWDEDMIIDANLKE SKHAELNMNDEKLLLMVENANNLAQQKRQLDSSNLILPINETILQQKFNLSNDDKYQI LKKTHQTKVRSTISNLNIQHSQPAINLQSPFYKVAVPRYQLRHFHRENFGSHIRPGTK IVFSKLKARKRKRDKGKDVKESFSTSQDLTIGDTAPVYLMEYSEQTPVALSKFGMANK LINYYRKANEQDTLRPKLPVGETHVLGVQDKSPFWNFGFVEPGHIVPTLYNNMIRAPV FKHDISGTDFLLTKSSGFGISNRFYLRNINHLFTVGQTFPVEEIPGPNSRKVTSMKAT RLKMIIYRILNHNHSKAISIDPIAKHFPDQDYGQNRQKVKEFMKYQRDGPEKGLWRLK DDEKLLDNEAVKSLITPEQISQVESMSQGLQFQDDNEAYNFDSKLKSLEENLLPWNIT KNFINSTQMRAMIQIHGVGDPTGCGEGFSFLKTSMKGGFVKSGSPSGNNNSSNKKGTN THSYNVAQQQKAYDEEIAKTWYTHTKSLSISNPFEEMNNPDEINRTNKHVKTERDDKK ILKIVRKKRDENGIIQRQTIFIRDPRVIQGYMKIKEQDKEDVNKLLEEDTSKINNLEE LEKQKKLLQLELANLEKSQQRRAARQNSKRNSGATRIENSVDNGGDLAGVTDGKTPKN KSKNTTRRCATCGQIGHIRTNKSCPMYSSKDEPVSPK SPAR_G04810 MDPQTLIAKANKVSYYGGSTNKESWRYDWYQPSKVSSSVQQPQQ QLRDLENNLEKYPFKYKTWLRNQEDEKNLQRESCEDILDLKEFDRTVRKKLSNPSHIK GDTSNANGVPSANQGDEALSVDDIRGAVGNSEAIPGLSAGVNNDSTKESKDVEMN SPAR_G04820 MQVEGPDANFVSGLALGSKKRRLSKASVQEDDHEDVLMEMKKNK KKKKAKPMTCTLQKTAVEKGIGVKDVRDMTQYLLQAENNSPKWIDICNRSSLQKMIVL FIPGLQPNDFENGKNAFNEISDDNFKYVPGEIASKFHTFPVVAPGSKMTLFSPYNSFI NVGLSKVEKMNRLKELQKKKKITINDLVLSEQQLVANDYPLDYEDANDADWVQTTEFT HDGSHIFALDCEMCLSEQGLVLTRISLVNFDNEVIYEELVKPDVPIVDYLTRYSGITE EKLALGAKKTLAEVQKDLLKLISRSDILIGHSLQNDLKVMKLKHPLIVDTAIIYHHKA GDPFKPSLKYLSEAFLNKSIQNGEHDSVEDARACLELTKLKILNGLAFGIGINTENLF TKLHRFEVKTMLLNDMIIRDHTEDDSKGQLIRCVEDNETWTHIHENLNKDVKLIVGRL KNLERSRNYNKKPRKGTDSLDAFTVLQSIGQNLTQLYENATPGTMILVMSGTGDTRPW NNLSTELEFIQDKKERLEKRRERECEIVEAIKMARDGVASFIVK SPAR_G04830 MVEESSRVLIVLPYTPPSATLQRIIGQTIPLLRECQSQLDIVVI PEFKTSFQLDSALGKMYSVTRDVFLSYGMINTGINIIFNNSHFVESNLQWKVVLLPQE TTFETWKLELGREQYRSLEHYALHDNIMEEIKGPKDANKFHVTALGGTFDHIHDGHKI LLSVSTFITSQRLICGITCDELLHNKKYKELIEPYDTRCRHVHQFIKLLKPDISVELV PLRDVCGPTGKVPEIECLVVSRETVSGAETVNKTRIEKGMSPLAVHVVNVLGGREEDG WSEKLSSTEIRRLLQSSISSN SPAR_G04840 MSTSTEQDEDMKIQRENWEMIKSHVSSIISNLTMDNLQESYKGL FQANIVLGRSIVCKNVVDFTLKKQNNRLLPALSALITLLNSDIPEIGETLAKELILTF VKQFNHKDYVSCENILKFLSILFLYDVIHEIVILQILLLLLEKNSLQLVIAVMKTCGW KLAHVSKKTHDMIWEKLRYLLQTQELPRMLRESLEGLFEIRQKNYKSESQALLVLTPT SYTVNTHSYIINDEDEVNEELGNFEKCVNFDEMTNIFNTLRQKLLINNEPDTNEGSNK QLKIHDMTSAADVEFKKKIYLVLKSSLSGDEAAHKLLKLKIANNLKKSVVDIIIKSGL QESTFSKFYSILSERMITFHKSWQTAYNETFEQNYTQDIEDYETDQLRILGKFWGHLI SYEFLPMNCLTIIKLTEEESCPQGRIFIKFLFQELVNELGLDELQSRLNSSKLDGMFP LKGDADHIRYSINFFTAIGLGLLTEEMRSRLTIIQEIEDAEEEEKRLKQEEELEKLRK NARESQPSQGPKIHESRLFLQEDTREHSRSRSPLPVARRKRARSRTPPRGPRNCRNRS RTPPARRQRNR SPAR_G04850 MRLSNLIASASLLSATALAAPANHGHKDKRAVITTTVQKQTTII VNGAAPTQVAALEENAVVNSAPAAATSTTSAAAPVATTAASTSDVKSQVSAAASPASS SAAASTLSSSSSQASSSSSSSSEDVSSFASGVRGITYTPYESSGACKSASEVASDLAQ LTDFPVIRLYGTDCNQVENVFKAKASNQKVFLGVYYVDQIQDGVDTIKSAVESYGSWD DVTTVSIGNELVNGNQATPSQVGQYIDSGRSALKAAGYTGPVVSVDTFIAVINNPELC DYSDYMAVNAHAYFDKNTVAQDSGKWLLDQIQRVWTACDGKKNVVITESGWPSKGETY GVAVPSKENQKDAVSAITSSCGADTFLFTAFNDYWKADGAYGVEKYWGILSNE SPAR_G04860 MGLAATRTKQRFGLDPRNTAWSNDTSRFGHQFLEKFGWKPGMGL GLSPMNSQTSHIKVSIKDDNVGLGAKLKRKEKKDEFDNGECAGLDVFQRILGRLNGKE SKISEELDTQRKQKIIDGKWGIHFVKGEVLASTWDPKTHKLRNYSNAKKREREGDDSG DEDDNEIEDEESDKKKHKKHKKHKKDKKKDKKNKKDKKEHKKHKKEEKKLKKEKRAKE TKRTSKLKSSESASNIPDAVNTRLSVRSKWIKQKRAALMDSKALNEIFMITND SPAR_G04870 MTVTVEDAVSETEHESKGQNVLSPKISASSDISTDVDKDTSSSW DDKSLLPTGEYIVDKNKPQTYLNSDDIEKVTESDIFPQKRLFSFLHSKKMPEVPQTDD ERKIYPLFHTNIVSNMFFWWVLPILRVGYKRTIQPNDLFKMDPRMSIETLYENFEKNM IYYFEKTRKKYRKGHPEATEEEIMENAKLPNHTVLKALLFTFKKQYFISVVFAVLANC TSGFNPMITKRLIEFVEEKAIFHNMHVNKGIGYAIGACLMMFVNGLTFNHFFHTSQLT GVQAKSILTKAAMKKMFNASNYARHCFPNGKVTSFVTTDLARIEFALSFQPFLAGFPA ILAICIVLLIVNLGPIALVGIGIFFGGFFISLFAFKLILGFRIAANIFTDARVTMMRE VLNNIKMIKYYTWEDAYEKNIQDIRTKEISKVRKMQLSRNFLIAMAMSLPSIASLVTF LAMYKVNNAGRQPGNIFASLSLFQVLSLQMFFLPIAIGTGIDMIIGLGRLQSLLEAPE DDPNQMIEMKPSPGFDSKLALKMTHCSFEWEDYELNDAIEEAKGEAKDESKKNKKKRK DTWGKPSASTNKAKRLDNMLKERDGPEDLGKTSFKGFKDLNFDIKKGEFIMITGPIGT GKSSLLNAMAGSMRKTDGKIEVNGDLLMCGYPWIQNASVRDNIIFGSPFNKEKYDEVV RVCSLKADLDILPAGDMTEIGERGITLSGGQKARINLARSVYKKKDIYLFDDVLSAVD SRVGKHIMDECLTGILANKTRILATHQLSLIERASRVIVLGTDGQVDIGTVDELKARN QTLINLLQFSSQNSEKEDEEQEEVIADELGQIKYEKEVKELTELKKKTTQTSQTANSN KVLVDGHTSSKEERAVNSIGLKVYREYVKAAVGKWGFIALPLYAILVVGTTFCSLFSS VWLSYWTENKFKNRSPSFYMGLYSFFVFAAFIFMNGQFTILCAMGIMASKWLNLRAVK RILHTPMSYIDTTPLGRILNRFTKDTDSLDNELTESLRLMTSQFANIVGVCVMCIVYL PWFAIAIPFLLIIFVLIADHYQSSGREIKRLEAVQRSFVYNNLNEVLGGMDTIKAYRS QERFLAKSDFLINKMNEAGYLVVVLQRWVGIFLDMVAIAFALIITLLCVTRAFPISAA SVGVLLTYVLQLPGLLNTILRAMTQTENDMNSAERLVTYATELPLEGSYRKPEMTPPE SWPSKGEIIFENVDFAYRPGLPIVLKSLNLSIKSGEKIGICGRTGAGKSTIMSALYRL NELAAGKILIDDVDISQLGLFDLRRKLAIIPQDPVLFRGTIRKNLDPFNERKDDELWD ALVRGGAIAKNDLPEVRLQKPDENGTHGKMHKFHLDQAVEEEGSNFSLGERQLLALTR ALVRQSKILILDEATSSVDYETDGKIQTRIVEEFGDCTILCIAHRLKTIVNYDRILVL EKGEVAEFDTPRTLFTQENSIFRSMCSRSGIVENDFENRT SPAR_G04880 MRFSTTLATAATALFFTASQVSAIGELAFNLGVKNNDGTCKSTS DYETELQALKSYTSTVKVYAASDCNTLQNLGPAAEAEGFTIFVGVWPTDDSHYAAEKA ALQTYLPKIKESTVAGFLVGSEALYRDDLTASELSDKINDVRSVVADISDSDGKSYSG KQVGTVDSWNVLVAGYNSAVIEASDFVMANAFSYWQGQTMQNASYSFFDDIMQALQVI QSTKGSTDITFWVGETGWPTDGTNFESSYPSVDNAKQFWKEGICSMRAWGVNVIVFEA FDEDWKPNTSGTSDVEKHWGVFTSSDNLKYSLDCDFS SPAR_G04890 MTVKHKSENVKNEERAAKKAKRGLLKLKNIMDVESDVIKYSICI PTTVINNCNNLEQVTFAVYQIARTAVLFNVQEIIVLDLSQDEKHEKKSRSKKTISDCL LLATLLQYFVTPPNLLDTTFKKKNRLYLKCASTFPPLRQLPFMNESAEQPYTEGLSIT QETSEGKADKNLTNLVYIGKDQIITLSNQNIPKTVRVTVDTKRKEVVSARDAYKGKPL GYHVRMANTLNEVSEGYTKIVWANSGDFHYDEELSKYHKAETKLPYITKIKKSSASET ACSILLIFGKWDHLKRCFRRSDLESSALHHYFSGQLQFPGTVLQGNIPVQDSLPIALT MLQHWAS SPAR_G04900 MSYRGPTGNFGGMPMSSSQGPYSGGAQFRSNQNQSSSGILKQWK HSFEKFASRIEGLTDNAIVYKLKPYIPSLSRFFIVATFYEDSFRILSQWSDQIFYLNK WKHYPYFFVVVFLVIVTISMLIGASLLVLRKQTNYATGVLCACVISQALVYGLFTGSS FVLRNFSVIGGLLIAFSDSIVQNKTTFGMLPELNSKNDKAKGYLLFAGRILIVLMFIA FTFSKSWFTVVLTIIGTICFAIGYKTKFASIMLGLILTFYNITLNNYWFYNNTKRDFL KYEFYQNLSIIGGLLLVTNTGAGELSVDEKKKIY SPAR_G04910 MFSLPTLTSDITVEVNSSATKTPFVRRPVEPVGKFFLQHAQRTL RNHTWSEFERIEAEKNVTTVDESNVDPDELLFDTELADEDLLTHDARDWKTADLYAAM GLSKLRFRATESQIIKAHRKQVVKYHPDKQSAAGGSLDQDGFFKIIQKAFETLTDSNK RAQYDSCDFVADVLPPKKGTDYDFYEAWGPVFEAEARFSKKTPAPPLGNKDSSKKEVE QFYAFWHRFDSWRTFEFLDEDVPDDSSNRDHKRYIERKNKAARDKKKTADNARLVKLV ERAVSEDPRIKLFKEEEKKEKERKKWEREAGARAEAEAKAKAEAEAKAKAESEAKANA SAKADKKKAKEAAKAAKKKNKRTIRNSAKEVDYFGDADKATTIDEQIGLIVDSLNDEE LVSTADKIKANAAGAKEALKESANAVVDSGKLPSSLLSYFV SPAR_G04920 MMSTICRHFSTARPALTKYATNAAIKSATASSEASPLGALQYAL SLEEPKHSWTKSQLKEIYHTPLLELTHAAQLQHRKWHDPTKVQLCTLMNIKSGGCSED CKYCAQSSRNDTGLKAEKMVKVDEVIKEAEEAKKNGSTRFCLGAAWRDMKGRKSAMKR IQEMVTKVNDMGLETCVTLGMVDQDQAKQLKDAGLTAYNHNIDTSREHYSKVITTRTY DDRLQTIKNVQESGIKACTGGILGLGESEDDHIGFIYTLSNMSPHPESLPINRLVAIK GTPMAEELADPKSKKLQFDEILRTIATARIVMPKAIIRLAAGRYTMKETEQFVCFMAG CNSIFTGKKMLTTMCNGWDEDKAMLAKWGLQPMEAFKYDRS SPAR_G04930 MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWKSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWESEECRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVVGLPDAPVVDKSSTWQSSDPYTLNGPRIHEFHQEMNKFIRDRVKDGREIMTVG EMQHASDETKKLYTSASRHELGELFNFSHTDVGTSPLFRYNLVPFELKDWKVALAELF RYINGTDCWSTIYLENHDQPRSITRFGDDSSKNRVISGKLLSVLLSALTGTLYVYQGQ ELGQINFKNWPVQKYEDVEIRNNYKAIKEEHGENSEEMKKFLEAIALISRDHARTPMQ WSREEPNAGFSGPNAKPWFYLNESFREGINVEDEVKDPSSVLNFWKEALKFRKAHKDI TVYGYDFEFIDMDNKKLFSFTKKYDNKSLFAALNFSSDAIDFTIPNDNSSFKLEFGNY PKKEVDASSRTLKPWEGRIYISE SPAR_G04940 MVSRTNAITTTPAMRMKVPKKLIEQCLRLYHDSLYVIWPLLSYD DLHKLLEEKYEDNYVYWFLIALSAATLSDLQTEIKLEDGTSFTGRQLSSLCISSCQQF DDLDNSNIFNIMTYYCLHRSFAQISNTRTSYRLCCEAVSLITVAGLHREEAYVALPFE EQQLRRKLYYLLLMTERYYAIYLHCATSLDATISPPQLEFVSDPRLSLDSFLEMIRIF TIPGKCFFDALAANSASNSCTEESLKKIWKELHTTPSEIEPWSNGYIDISFSRHWIRI LAWKLVYLMRGTNFSSNVNNERIPVEIARDMLIDTYLTPENLYDVHGPGIPIKTLEIA TALVDIVGQYDHSMKLEAWNVLYDVSKFAFSLNHYNNEMIKRFSTKCQSALITLPISK SLQLDDDSKHDENIIP SPAR_G04950 MKNIISFVNKKNNTLDNRNTSIPGSSSDIIHQRGALNTEDFEEG KKDGVFELGHLEFTTNSAQLVDSDDDNDNAIKVVNAATDEANEANSEEKSMTLRQALR KYPKAALWSILVSTTLVMEGYDTALLSALYALPVFQRKFGTMNAEGSYEISSQWQIGL NMCVLCGEMIGLQITTYMVEFIGNRYTMITALGLLTAYIFILYYCKSLAMIAVGQILS AMPWGCFQSLAVTYASEVCPLALRYYMTSYSNICWLFGQIFASGIMKNSQENLGDSDL GYKLPFALQWIWPAPLIVGIFFAPESPWWLVRKNKIAEAKKSLNRILSGTAAEKEIQV DITLKQIEMTIEKERLLASKSGSFFNCFKGVDGRRTRLACLTWVAQNSSGAVLLGYST YFFERAGMATDKAFTFSLIQYCLGLAGTLCSWVISGRVGRWTILTYGLAFQMVCLFII GGMGFASGSNASNGAGGLLLALSFFYNAGIGAVVYCIVAEIPSAELRTKTIVLARICY NLMAVINAILTPYMLNVSDWNWGAKTGLYWGGFTAVTLAWVIIDLPETTGRTFSEINE LFNQGVPARKFASTVVDPFERKESQIDPQVDGVINQSSSAMQHGLNEVNEF SPAR_G04960 MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGTPIPPNNWKSYFG GSAWTFDEKTQEFYLRLFATRQPDLNWESEECRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVHGLPDAPIIDETSIWQSSDPMTLNGPRIHEFHQEMNKFIRDRVKDGREIMTVG EMQHASDETKKLYTSASRHELGELFNFSHTDVGTSPLFRYDLVPFELKDWKIALAELF RYINGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLASLTGTLFIYQGQ ELGQINFKNWPVQKYEDVEIRNNYKLIREEHGEKSEQMKKFLEGIALMSRDHARTPMP WTHEEPNAGFSGPNAKPWFYLNESFKKGIDVEDETKDPNSVLNFWKEALKFRKAHKDI AVYGYDFEFIDLDNKKLFSFTKKHNNKTLFAALNFSSEVIDFAIPNDSPSFNLEFGNY PKKEIDASSRTLKPWEGRVYISE SPAR_G04970 MTLAKQACDCCRVRRVKCDGDKPCNRCLQHDLKCTYLQPLKKRG PKNIRSRSLKKIAETQTFSENNNCMTALEISLKVPKKVIDKCLRLYHDKLYVIWPLLS YDELHKLLEEKYDDTHAYWFLTALSAATLSDLQTVIKLEDGTSFSGRQLTFLCTSSRQ QFDDLDNSDLFKIMTYYCLHRCFSQFSDTKTSYRLSCAAISLIKITEFHREKTYESLP FEDQQLWRKIYYLLLLTERYYAVYIHCSTSLDSTITPPQPEPVTDPRLSLDSFLEMIR VFTVPGKCFFDALATDSSNASCTEDSLKKIWKELHTASLEIEPWSYGYVDISFSRHWI RVLAWKLVLRTENINFLSGSNNAQIPIEIARDMLDDVFLTPSNLYGVHGPGIPAKALE VANALVDVVNQYDQNTESEAWKVLCEISKFVFSLKQYDGKLVENFVTKCQSALITLPI SKSLKTNEDLHKT SPAR_G04980 MTAPVVCKKVPKNLIDQCLRLYHDNLYVIWPMLCYDDLHKLLDE KYDDCYAYWFLVSLSAATLSDLQAEIKSEEGVSFTGRQLCSLCMLSRQFFDDLSNSDI FRIMTYYCLHRCYAQFADTRTSYRLSCEAVGLIKIAGFHREETYEFLPFSEQQLRRKV YYLLLMTERYYAVYIKCVTSLDATIAPPLPEIVTDPRLSLDSFLEVIRVFTVPGKCFY DALATNCANDSCTEDSLKRIWNELHTTSLDIEPWSYGYIDFLFSRHWVRTLAWKLVLQ MKGMRMNFLSNTSNTHIPVEIARDMLGDTFLTPKNLYDVHGPGIPMKALEIANALVDV VNKYDHNMKLDAWNVLYDVSKFVFSLKHCNNKMIDRFSTKCQGALITLPISKPLQLND KSKDEDDIIP SPAR_G04990 MTAPVVCKKVPKNLIDQCLRLYHDNLYVIWPMLCYDDLHKLLDE KYDDCYAYWFLVSLSAATLSDLQAEIKSEEGVSFTGRQLCSLCMLSRQFFDDLSNSDI FRIMTYYCLHRCYAQFADTRTSYRLSCEAVGLIKIAGFHREETYEFLPFSEQQLRRKV YYLLLMTERYYAVYIKCVTSLDATIAPPLPEIVTDPRLSLDSFLEVIRVFTVPGKCFY DALATNCANDSCTEDSLKRIWNELHTTSLDIEPWSYGYIDFLFSRHWVRTLAWKLVLQ MKGMRMNFLSNTSNTHIPVEIARDMLGDTFLTPKNLYDVHGPGIPMKALEIANALVDV VNKYDHNMKLDAWNVLYDVSKFVFSLKHCNNKMIDRFSTKCQGALITLPISKPLQLND KSKDEDDIIP SPAR_G05000 MTAPVVCKKVPKNLIDQCLRLYHDNLYVIWPMLCYDDLHKLLDE KYDDCYAYWFLVSLSAATLSDLQAEIKSEEGVSFTGRQLCSLCMLSRQFFDDLSNSDI FRIMTYYCLHRCYAQFADTRTSYRLSCEAVGLIKIAGFHREETYEFLPFSEQQLRRKV YYLLLMTERYYAVYIKCVTSLDATIAPPLPEIVTDPRLSLDSFLEVIRVFTVPGKCFY DALATNCANDSCTEDSLKRIWNELHTTSLDIEPWSYGYIDFLFSRHWVRTLAWKLVLQ MKGMRMNFLSNTSNTHIPVEIARDMLGDTFLTPKNLYDVHGPGIPMKALEIANALVDV VNKYDHNMKLDAWNVLYDVSKFVFSLKHCNNKMIDRFSTKCQGALITLPISKPLQLND KSKDEDDIIP SPAR_G05010 MKGLSSLINRKNGKNDSNLDEIENGVNAAEFNSIEMEEQGKKSD FDLSHLEYGPGSLTPNDNKEEAPDLLDEAMQDAKEADESERGMPLMTALKTYPKAAAW SLLVSTTLIQEGYDTAILGSFYALPVFQKKYGSLNSSTGEYEISVSWQIGLCLCYMAG EIVGLQMTGPFVDHMGNRYTLIMALFFLAAFIFILYFCKSLGMIAAGQALCGMPWGCF QCLTVSYASEICPLALRYYLTTYSNLCWTFGQLFAAGIMKNSQNKYPNNDLGYRLPFA LQWIWPLPLAVGIFLAPESPWWLIKKGRIDEARRSLERTLSGKGPEKELLVSMELDKI KTTIEKEQKMSDEGTYWDCVKDGINRRRTRIACLCWIGQTSCGASLIGYSTYFYEKAG VSTDTAFTFSIIQYCLGIAATFLSWWGSKYFGRFDLYTVGLAFQAVMFFIIGGLGCSD THGAKMGSGALLMVVAFFYNLGIAPVVFCLVSEIPSSRLRTKTIILARNAYNVISIVV TVLIMYQLNSEKWNWGAKSGFFWGGFCLATLAWAVVDLPETAGRTFMEINELFRLGVP ARKFKSTKVDPFAAAKAAAAEITFKDPKEDTETSMVDEGRSTPSIVNK SPAR_G05020 MTISDHPETEKKWWKEATIYQIYPASFKDSNNDGWGDLKGITSK LPYIKDLGVDAIWVCPFYDSPQQDMGYDISNYEKVWPTYGTNEDCFELIDKTHKLGMK FITDLVINHCSTEHEWFKESRSSKTNPKRDWFFWRPPKGYDDEGKPIPPNNWKSFFGG SAWTFDEKTQEFYLRLFASRQADLNWENEDCRKAIYESAVGFWLDHGVDGFRIDTAGL YSKRPGLPDSPIFDKTSKLQHPNWGSHNGPKIHEYHQELHKFMKNRVKDGREIMTVGE VAHGSDNALYTSAARYEISEVFSFTHVDIGTSPFFRYNIVPFSLKQWKEAIASNFLFI NGTDSWATTYIENHDQPRSITRFADDSPKYCTTSGKLLALLECSLTGTLYVYQGQEIG QINFKDWPIEKYEDVDVRNNHEIIRKKFGEDSMEMQDFLRGIALLSRDHSRTPMPWTK EKPNAGFTGPDAKPWFFLNESFQQGINVEQESENNDSVLNFWRRALQARKKYKDLMIY GYDFQFIDLDSDQIFSFTKEYEDKTLFAALNFSGEEIEFNLPREGASLSFIFGNYDDT DASSRVLKPWEGRLYLVK SPAR_G05030 MVKLTSIAAGVAAIAAGASATTTLAQSDEKVNLVELGVYVSDIR AHLAQYYSFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN SPAR_G05040 MAKPRGRKGGRKPSLTPPKNKRAAQLRASQNAFRKRKLERLEEL EKKEAQLTVTNDQIHILKKENELLHFMLRSLLTERNTPSDERNISKACCEEKPPTCNT LDGSVVLSSTYNSLEIQQCYVFFKQLLSVCVGKNCTVPSPLNSFDRSFYPIGCRNLSN DIPGYSFLNDAMSEIHTFGDFNGELDSSFLKFSGTEIKEPNNFITENTNAIETAAASM VIRQGFHSRQYYTADGFGGDVLLSAMDIWSFMKVHPKVNTFDLEILGTELKKSATCSN FDILISLKHFIKVFSSRL SPAR_G05050 MVSFITSRQLEGLIENQRKDFQVVDLRREDFARDHITNAWHVPV TAQITEKQLNQLITGLSDTFSNSQFVKVIFHCTGSRNRGPKVAAKFETYLQEQDITSK FESCILVGGFYAWETHCRRSNLKLIASG SPAR_G05060 MSEDQKSENSVPSKVNMVNRTDILITIKSLSWLDLMLPFTIILS IIIAVIISVYVPSSRHTFDAEGHPNLMGVSIPLTVGMIVMMIPPICKVSWESIHKYFY KSYVRKQLALSLFLNWVIGPLLMTALAWMALFDYKEYRQGIIMIGVARCIAMVLILNQ IAGGDNDLCVVLVITNSLLQMVLYAPLQIFYCYVISHDHLNTSNRVLFEEVAKSVGVF LGIPLGIGITIRLASLTIVGKSNYEKYILRFISPWATIGFHYTLFVIFISRGYQFIHE IGSAILCFVPLVLYFFIAWFSTFALMRYLSISRSDTQRECSCDQELLLKRVWGRKSCE ASFSITMTQCFTMASNNFELSLAIAISLYGNNSKQAIAATFGPLLEVPILLILAIVAR ILKPYYIWNNRN SPAR_H00010 MSSELLLSDSKTRAEGPGKLCEAETIILPRDTSPSRCAYFFKRN TIIIPFTVIYIMAMIILICLASSAHSNGLIITFMFLSIIILPYMLGFFVYLNCAKYKL RCLDNDCKFKLLAEVITHKPNVDMSTWDRIAYEMNQFVHDHGICADKSFFYDGNSCYQ VFKKLVIAPPLASSNTNNVNADLEMRDNRITNNNDFGNSTLNMELGTYISKALSVYRD SVDKYWEDKYPEITV SPAR_H00020 MEGLHLEDEKSVGPPLFGAFESKNNARLPKNEFISFFTWSCYEV INSSAFRIWLLLCLMLIAGWKFYSCIAGGKPFVTNMEGPIKTEHQRSSGFFLKHYSTI VTIVICFLLSFSWEAFKMYRERALGKQITQFAKEIIKSTPSIDMESWDSVAVNFNSYM YENKLWNTEYYFFDGSSCHLIFRRTLLWLSAAMDDDSKLNIFRKHPYIEEALKVYFAE VDRKWNLINSQKSSSNIAIENIQLPGKSYRFKIFQFFKKTIKERTSIVMTIISYLAAS LNFCPSKQIDFVQWKWNIKCNSCQL SPAR_H00030 MESLNSHDHISGEKKHVSMGVEHELNPEAHNDSNSDSYGLPQLS EKYDALRQNRSLIIQQTEIIGSAYNSWYLQAILLLSAFICGYGYGLDGNIRYIYTGYA TSSYSEHSLLSTINVINAVVSAASQIIYARLSDVFGRLYLFISAVILYVVGTIIQSQA YDVQRYAAGAIFYNAGYVGVILILLIILSDFSSLKWRLLYQFAPTWPFIINTWIAGNI TSRANPIVNWSWDVGMWAFIFPLSCIPIVLCMLHMQWRARKTPEWHALKGQKSYYQEH GLVKIVKQLFWMLDVIGVLLMGCSLGCILVPLTLAGGVKTTWNDSRLIGPFVLGFVLI PILWIWEYRYARDPILPYKLVKDRAVWSSMGISFLIDFIYYMAADYLYTVMIVAVNES VKSATRISTLSSFVSTVASPFFALLVTRCTRLKPFIMFGCSLWMVAMGLLYHFRGGSQ SHSGIIGALCVWGVGTTLFTYPVTVSVQSAVSHENMATVTALNYTLYRIGSAVGSAVS GAIWTQTLYKQILKRMGDVALATAAYESPYTFIETYTWGTPQRVALMNAYKYVQRLET IVALVFCVPLIAFSLCLRDPKLTDTVAVDYIEDGEYVDTKDSDPILEWFEKIPSKFTS KRD SPAR_H00040 MVTQIDLQNCLQWAQDNGAFIDPKISFKITEDAGVTAFANEKFS PRPDQALIRVPETLLITSQQALSEFSGAVNERTLLNSVTQLYLSKLKFGSDAVHLKPF YKPYLDVLPLHLPQPYFWSTDEVMNLRGTDVYLTMRDTLNKLVRDWRELFQVLSIEHS VQDKQFLSLFQGSGVSAVVPLEQFCAHINSCKLEASEWNSFIGYLWSYCIFNSRAFPR IILNRAGTDSTNLNEGFLYPIVDLLNHKNDVPVRWEMNEHNELCFMSQSATFSAQEEL FNNYGNISNEKCLLNYGFWDSSNKYDFSRLTLKLPSTLLNDLPIDLNKSGNFVSDDGD TNILQFSLKLSEPLPSSLLALFAYLSKLKSEESPTVRSVLEGIDQLTSVVSQRLLFYK NFKIITSSTQKLRLPTIKLIKLYYQDNKKILNATIEKLSVLQKKIFNANKEFSLSFKT IFKNDKIFANSLLLIFGAINYEDLITKDCLNDALLLWIVKSINDKSNKQDSFIKQAFR EVSDSIVIEKEDVMEFLPFYKKYFPNLSERIPEIYSVGDWGIRQFIVADTAIDRLVWI RKSNKEPIFLMKKTYDLQV SPAR_H00050 MVNWQTLFMVSLRRQGSSSRYRYKFNMENITHQVFPRCKQAFKK ANLSYEYCDLEGKLYNASLMDLQKMLLRDINAPRDHVFKIVRTDLVEKSSKKRIQHWE QIAPIFDHPLSLYENLLSEMDNNFKPSFEWQQLIEVRSRDDQLKLQRVVWPKSIFSNF CRGIGVKKNTYDRLLKQNNGEVPMFVNPANAKPLPLFQVGDDATIGEFDGIGIFPYFV VKHRAFFVTEVDKLKTKIISPLCNLNERKRTDKANAGRLLENEKGEPFYVDAKGATSR AADGNAVTLKQLLERSVSHKTLWSKQTNKDRTCPGDILRATILSNDFSIRQLRTEFCK NFILYNIFTILQRNKKSIRDFSNDNNVPSFRFNWNVWDSYIWKQYQEAESMTLPADQA SLINYKTKYDSFLQDLQTYSTLVISEMKWNQFSIFQNNETSLSRFEHITLILQTILTK SKMIRIFQPNLYKFMQDDLRATLMELTGFTESINATIGPGFANEQSLQSANALKKLAN QLLHFEQKIYAEKFRVNRPIQLRPLTLSTNFKIVILDKQNAIPEIFQTLLKFTTQITT YFVKDLSEVELHGHMHCIDKKMLDKSTFMYLYELKYNEDLKAVPPQKEKIVDNIIGLL SNDEEH SPAR_H00060 MEPLLFNSGKANPSQDVFIDVEVGDITTKYGSTNTGSFSSMDTV EAQAIKAETARFMEVPQGRHLGVFSTVVLFVSRIVGSGIFAVPSVILLNTGGNKLIYF AIWVFSAVIAFAGLYLFLEFGSWIPKSGGRKNFLERSFERPKLLISVVFSCYSVLTGY ALTGSIVFGKYVLSAFGVTDDSWSKYISISFIVLAVLIHGVSVRHGVFIQNALGGLKL IMIVLMCFAGLYTLLFYKSTSQVAWDLPVTQVEKDSFLSVSSIATAFISSFFCFSGWD TVHTVTSEIKNPVKTLKVSGPLSLIICFVCYTMMNVAYLKVLTYEEIVNAGPLVGSVL FTKLFGPQVGGKFISFSIAISAASNILVVIYGISRVNQEIFKEGYLPFSAHMSKNWPF DAPLPSISLCGFITIAWILILPKEGESFNYLVSMDGYGNQFFLLLVAIGLFIWRFKHK HETPEIRAPTFGVLAIIALSLYMLVAPFLADASLNRVGFLPPYQIMSLLVILACFFFW LVKFVLLPKFFHYKLLPKITYLRDGLVVTEWVKKPSLY SPAR_H00070 MTTDTSVIRNNDSFWEVDDFTRFGRTQLLNYYLPLAIIASIGIF GLYHYGSSRSERLIESDLVKEYLFGAQEERKEDDSVERLLLNSGTQNNYVNVRNQGKI LQLRHFNIRDINVREIDARNGGGLTFNKPSTGDHLRKSFEIVLVSLQVIGLFFLRVAN ISIELTSRDVTVLLVFWLILLSLSILRVHKHAMDLWVICFTAYTAIWLSTWITLRSVY IGNINDVPSRIFYISEFVITSILQLILLTSPLKDGSSIIYIRDNHASPSREHTSSILS CITWSWITDFIWQAQKNTIKLKDIWGLSMEDYCIFVLKRFTSNDNNANSLTVSLFKSF KTYLIVEMLWVSANSFVNLFPTILMKKFLEIVDNTNRSSSCMNLAWLYIIAMFICRLT VAICNSQGQFISDKICLRTRAVLIGEIYTKGLRRKLFASPKTNHDADNVSANLGTIIN LISIDSFKVSEISNYLYMTVQAIIMVIIIIGLLFKFLGVSAFAGILIILMMFPLNFLL ADLLGKFQKRALQCTDQRIAKLNECLQNMRIVKYFAWENNILNEIKSLRQKELGFLLK KSLLWSVTSFLWFVTPTLVTGVTFAIYIFVQQQELNAPLAFTTLSLFTLLKTPLDQLS NMLSFMNQSKVSLKRITEFLNEGDTEKYNQLTISPDKDKIEFKNATLVWNENDSGINA FKLCELNIKFQIGNLNLVLGSTGSGKSALLMGLLGELDLLSGSIIVPGLEPRHDLIPD REGLTNSFAYCSQSAWLLNDTVKNNIIFDGSYDESRYKKVIDACGLKRDLKILPAGDL TEIGEKGITLSGGQKQRISLARAVYSNAKHVLLDDCLSAVDSHTAVWIYENCITGPLM KNRTCILVTHNFSLALKSAHFAVVLEDGKVKNQGTIMELQKKGLFKEKNAQLSCQDNI NEKSANRSKGNRENDSQKTKPVTAGIKFDSDLVDNGQLIEEERKSNGAIGLDVYKWYL KFFGGYKALTALFTLYITTQMLFISQSWWIRHWVNDARIQIDASGFTTDTLPLKAITL EELDSSKNKHTAFYYLSVYFAIGIIQALLGGIKTMMTFLSGMRASRKIFNNLLDLVLH AKIRFFDVTPVGRIMNRLSKDIEGIDQELIPYLEVTIFCLIQCASIIILITVITPRFL IVAIIVFVLYYFVGNWYLTASRELKRIDSITKSPIFQHFSETLVGVCTIRAFGDERRF ILENMNKIDQNNTAFFYLSVTVKWFAFRVDMIGAFIVLASGSFILLNIGNIDSSLAGI SLTYAILFTDGALWLVRLYSTFEMNMNSVERLKEYSSIEQENYFDHDEDRIQLLNESW PKDGKIEVENLSLRYASNLPPVIKNVSFKVDPQSKVGIVGRTGAGKSTIITALFRLLE PITGCIKIDGHDISRIDLVTLRRSITIIPQDPILFTGTIKSNVDPYDEYDERKILKVL SQVNLISSREFEDAFNLKENFGASHNKFLNLRTEIAEGGLNLSQGERQLLFIARSLLR EPKIILLDEATSSIDYDSDHLIQGIIRSEFNKSTILTIAHRLRSVIDYDRILVMDAGE VKEYDRPYELLKNERSIFYSMCRDSGDLELLKQISKQSSKR SPAR_H00080 MSTEIEEATNAVNNLSINDSEQQPRAPTHKTVIDPEDTIFIGNV AHECAEDDLKQLFVEEFGDEVSVEIPVKEHTDGHIPASKHALVKFPSKIDFDDIKEKY DTKVVKDREIHIKRARTPGQMQRGGFRGRGGFRGRGGFRGGFRGGFRGGFRGRGNFRG RGGARGGFNGQKREKIPLDQMERSKDTLYINNVPFKATKEEVAEFFGTNADSISLPMR KMRDQHTGRIFTSDSANRGMAFVTFDGENVDIEAKAEEFKGKVFGDRELTVDVAVIRP ENDEEEVEQETGSEEKEE SPAR_H00090 MAPGKKVAPAPFGAKSTKSNKAKNPLTHSTPKNFGIGQAVQPKR NLSRYVKWPEYVRLQRQKKILSIRLKVPPTIAQFQYTLDRNTAAETFKLFNKYRPETA AEKKERLTKEAAAVAEGKSKQDASPKPYAVKYGLNHVVALIENKKAKLVLIANDVDPI ELVVFLPALCKKMGVPYAIVKGKARLGTLVNQKTSAVAALTEVRAEDEAALAKLVSTI DANFADKYDEVKKHWGGGILGNKAQAKMDKKAKTSESA SPAR_H00100 MFPSFFRLVASSKRYIFRSSRRLCSDLTQEQSRMSKIMEDLHSN YVPLIASIDVGTTSSRCILFNRWGQDVSKHQIEYSTSASKGKIGVSGLRRPSTAPARE TPKASDTKTNGKPIFSAEGYAIQETKFLKIEELDLDFHNEPTLKFPKPGWVECHPQKL LVNVIQCLASSLLSLETINSERVANKLPPYKVTCMGIANMRETTILWSRRSGKPIVNY GIVWNDTRTIKIVRDKWQNTSVDRQLQLRQKTGLPLLSTYFSCSKLRWFLDNEPLCTK AYEENDLMFGTVDTWLIYQLTKQKAFVSDVTNASRTGFMNLSTLKYDSELLEFWGIDG NLIHMPEIVSSSQYYGDFGIPDWIMDKLHDLPKTALRDLVKSNLPIQGCLGDQSASMV GQLAYKPGAAKCTYGTGCFLLYNTGTKKLISQHGALTTLAFWFPYLQEYGGQKPELSK PHFALEGSVAVAGAVVQWLRDNLRLIDKSEDVGPIASTVPDSGGVVFVPAFSGLFAPY WDPDARATIMGMSQFTTASHIARAAVEGVCFQARAILKAMSSDAFGEGSKDRDFLEEI SDVTYEKSPLSVLAVDGGMSRSNEVMQIQADILGPCVKVRRSPTAECTALGAAIAANM AFKDSKVRPLWKDLHDVKKWVFYNGIEKNEQISSEAHPNLKIFRSQSDDSERRKHWKY WEVAVERSKGWLKDIEGEHEQVLENLQ SPAR_H00110 MSSQPSFVTIRGKAISLETQTESLLSKYSTFAQTTSSEQTGQEK KIDKQLEGILGQRQDVIDSLTQICDSNPAISASKLSQLQRHKEILQDHWKSFRNIRSS IQQERNRLNLLFSVKNDIANSTTDAPAPIGDADEYIQNETRRIDQSNNVVDRLISQAW ETRSQFHTQSNVLNTANNRVLQTLQRIPGVNQLIMKINTRRKKNAFVLATITTLCILF LFFTW SPAR_H00120 MSLSSDETKEKQLVEKVELRLAIADSPQKFETNLQTFLPPLLLK LASPHASVRTAVFSALKNLISRINTLPQVQLPVRALIVQAKQPNLAAHQDSTNVRLYS LLLASKGIDRLSLQERQQLLPLVVSNISGLTGTVAARMFHILVKLILEWVAPQDSSHE QEEFVQFLQLDDDSFSYLMRQFTRFFLLMPSKQVQTSQQPLSRGYTCPGLSLADVAFF THDAGVTFNKDQLHKSKKAIFQFVCRGMGVAQTVDQSPRMIELMKFLSVASTDSTTLS DDAAQFMKRFSMPYENEEFITFLQSLYIGNTVNGRPPVKPVLQEKILSILNRSQFATT KAECISLICSIGLHSSEYKLRSLTLSFIRHVAKLNYQNLNPALSAPSSTDFSTSIVSL IRNNLHAEGWPKLQLGPQTPAFNTAILQRQLQYETLGDILKRDFDLVSDLSYIEFLFE SLENDLPQFRSSIQESLLSLVGHLSNLPSQSKLKLKALLRKNLLIDEQQREDNNDTIN SIMALKFVSIKFANAGFPFHDPEARLFNIWGTARTNRFDIIEESFKGLQPFWFRVNNA SINTSATVKTSDLLGSQLSETEFPPFSEFLQIFIDQLDSESPAITRKSLDNAVRFSKQ CLISNAIYRKKTMVIQDEDWSIRIDKALELDDTVVSQVNEMVQGMNDDIFIRYLTLLS NEFTATNGKGEQVAIFPYQDPIFGSVLLTLLNFVSTNVLRRLEVLVPDLYNLLIMKFQ SLSDNDLEVCATIIGIVSTAIADSAHVKQITNIVQSQKMAETYVASYVVPRLYLKDQT GNIQSDSILNLLNNLTTYLSHSTTNKDMILRLVCQVTKLGLLLQVSTQERKKFLKQIM DTIQGKLINDVTAIQTWSYLSLYSIDLENSNLFQEKLLETNVSKQNDFLFSVGESLTV VAGKWSSKYLTKQIDVPNFNVEIMQQKFPATNITIILDEILSGCDSTKPSLRKASCIW LLSYIQYLGHLQEVNSRCNDIHLRFMRFLADRDEFIQDSAARGLSLVYEIGGSDLKES MVKGLLKSFTESTAGAASTSATGISGSVSEETELFEPGVLNTGDGSISTYKDILNLAS EVGDPALVYKFMSLAKSSALWSSRKGIAFGLGAIMSKSSLEELLLKDQQTAKKLIPKL YRYRFDPFQAVSRSMTDIWNTLISDSSLTISLYFDAILDELLSGMANKEWRVREASTS ALLQLIQSQPQEKFSDQMLKIWTMAFRTMDDIKDSVREVGTKFTTVLAKILAKSIDVE KGVNPVKSKEILDNILPFLLGPHGLNSDAEEVRNFALTTLIDLVKHSPGAIKPFTPSL VYDFITLFSSIEPQVINYLALNASNYNIDANVIDTQRKNGVTNSPLFQTIEKLINNSD DFMMEDVINVVIKATRKSVGLPSKVASSLVMILLVKRYSIEMKPYSGKLLKVCLTMFE DRNESVSVAFAISMGYLFKVSTLDKCIKYSEKLIMKYFEAMSTENNKRIVGTAIDSIL NYAKSEFDNVASIFMPLIFIACNDEDKDLESLYNKIWTEASSSGAGTVKLYLPEILNV LCTNIKSNDFSIRKTCAKSVIQLCGSINDNIPYLQIVKLFDISKEALSGRSWDGKEHI VAALVSLTEKFSQTVAGDNDLQESINHVMYAEVSRKSMKYVKKILPLYARYINVNPQE ETITLLIEKSREMIRSLSSESDDSEDPTRQTSNESTIKRIKPNTGITQKSSKQNIENE EYVINLLKVSADICNNSKSKYPLKLLEFIIDEVAYLFHNDRIIYTWRTQLAASEIGIS IVGKFDTVSSTDFIRNLSKLWDQIFSINCNKETVENVKLQMIKFGGLVIQKIPSLQNN IEENLRLLNSIDSTSRIELELKNIGL SPAR_H00130 MHDKKSPMANSHYLKNLKQQFRNKNLIETTIHLVKCNDHDSLAF LARTYGVPPQLRHIVWPILLKYHPMCISPNITSNTISWDPITNDFILNDPFLKSKTPT EKQDKADDENVLPYDIESVILHDLKKYFHSRSNPAGSSSNANTNNIATPTPLSSSDAS TVSSMEVLSPSLDYEFQIIETLKNAIVNFLLKWSKIFKYESGLAWIALGLAEWYPIYP YETMSPFNESHSFYDVEDYIVLSGRKHALINTNSGNNGNSNNSSGNTNSNNTNITSGM HNLSINTNTSLHNSPYISHTLSYLYKEYPLPFELRSKLPTKPIFSFSALFERLALVIL HCPDTILAHKQLKNDSNASSSSKANSNINTNYFPIISGGDLSFQTQVFFKVFSSILPE LYQPLTEESSLQPSSSRNSWIYWWLKCSGAKALQRQDRGRVWDLLLGWRPKPNMNTIN FFLNYNDKKMDHLYHDTPQCDNEEYWMKDWVALYNNDPFWFPDLDSMALGSKKFPYDY SVFKELIMRNKYGGAQSKAQTDDTALSSSSNSNDKSELKLPFSSIDPHMQLIFIFIAI LQFNEFKLLEFEEAEISEFLNNVPLLTKFDDSSYRKLYENTESSITSLPSSPTTSTMA SLHSGSNSSAHISNYHMLIEVGNDAKASHCFDDLLNMAGDIWRKWLWRELEESSL SPAR_H00140 MQTSMVSAKVSVWLVYIVIYGSLVRATQSVCSSQNTATTDGVRN QYQSNGWCSNNCAGHQFAIVQGFMCWCGDSEPNTQTSLGDCSGTCPGYGYEDCGNEDK DLFGYIYLGQTPLSSIESVETSTVSSAYTLSSSTTSSSTSRVDTTTASPAPTSTSTTL PSTMATSTTLSTGATSVTPTSTSTTLSTSTSTSTTLSTSTSTSTTSATSISTSTTSAT SISTSTTSAISTSSSTTSSTPIFTSTTSSTFTSTSMPSATSTSSSTTSSTPTTSSTTT PTSTSSTTTSSSTYPSSITVTYTSTAASPITSTITSVNLQTSLKYSVITVTSVHTMDT NVSEITSRYLTMTKVITQIYSSTLAATPTSALTTTSASVGGRITNNNNNNNNNNNNNN NNNTNNNTPTNKSTDKKGYWDSPGKIAATFIVVGVVCLVIICVLIYLIYHYRTRPARK AQDFENEYQSKFYQSKYPNEITTTTLHTPSPSSDSTFSTPRLIYTDEKGQIMSESPSP RQSTYSLTAGSPPNDPTTLASPFHDPTLSRRTSTFLHSPIQKQHEKMESNVTLGEDTV LVDQRLDPSKMLNTIANDDATNHSTISLSDNVDYSRRVLRVMNE SPAR_H00150 MVPLEDLLNKENGTAAPQDSRESIVNDRTSASNVTEKDGLPSPN LSKRSSDCSKTPRITCTTEAIGLKGQEDERMSPGSTSSSCLPYRVPSHLNTPPYDLLG ASAVSPTTPSSSDSSSSSPLTQAHNPAEDEDDVDNDCDSEDITLYCKWENCGMIFNQP ELLYNHLCHDHVGRKSHKNLQLNCHWGDCTTKTEKRDHITSHLRVHVPLKPFGCSTCS KKFKRPQDLKKHLKIHLESGGILKRKRGPKLGSKRTSKKNKRSANDTAPSCPAPLPSG IVGSFKSHSTSPQILPPLPVGITQRLPSQQQQQQQQQQRAISLNQLCSDELSQYKPVY SPQLSARLQTILPPLYYNNGSTVNQGVNGQGMHVYEGGCSNKSIASATQFFTKLSRNM TNNYILQQSGSSAVSSSPSGHIPVAKTSYVQPPNASPYQPVQGAGSIPATTNTATYVP IRLAKYPTCPSVAEHLPPLHSNTAGSVLNRQSQCAMPHYPPVRAAPNYSSGGCSILPP LQSKIPMLPSRRTMAGETSLKPNWEFSLNQKSCTNDIIMNKLAIEEAEDEIDLEDDFV EVLGIVNIIKDYLLCCVMEDFEDEGSEDKDEEDRFLQESLEKLSLQNQMGTNSVRILA KYPKILV SPAR_H00160 MKSSEPAPVTPTGFRNSIWFIIFYLFIIQALGSAIISGGIEFAI AYAMYHSRVDLITLWAFPHTISGDCALSLFIQVGLTWASEEILVGFDDYKRPVFRLNK WITKPSPLKIEPNEEIPPPKKRFIVDYFESKDNVLAKQNTLYNNHNWLFGYLEINRGI ISKGKEATLKGFLTSQFIHDPSQSKFMNFIEWFVQKFIRSMILAIVMFIVIWPVTMGI LAGIGHKVGSHDYYFNDYPLPQVMKLIYAVVIAFVCTPVTIVVIVLRNQFYEELYYEG LANGTLQQDQEVCSAGNRSSGSTDQDISTTKQQSAEAIA SPAR_H00170 MGVIKKKRSHHGKASRQQYYTGVQVGGVGNMGAINNNIPSLTSF AEENNYQYGYGGSSAGMNGRSLTYAQQQLNKQRQDFERVRLRPEQLSNIIHDESDTIS FRSNLLKNFISSNDAFNMLSLTTVPCDRIEKSRVFSEKTMKYLIQKQHDIKTQATEQE EKPLAPLKYTELIVAAEGGSRSTKDLIDAVFEQGNHLRCQPDGVVVHRDDSALVSKLR GDLREAPADYWTHAYRDVLAQYHEAKERIRQKDVTTSGAQDGVSLQQQQQQQQQQQQQ QQAVATVAPQSPHAAATEKEPVPAVVDDPLENMFGDYSNEPFNTNFDDEFGDLDAVFF SPAR_H00180 MKTEITTADSLRDPPSSGLKVDSELAIREDIDQFLPSEVSSLGS GHRNDGEDSDTDSDNFLQDPEDDADEETTGRGTVATTSIPAESRGRPSSCIFVASLAA ALSDDELCLSVTENFKKYGDLARVKVLRDNANRPYAFVQYNNDHDAKHALIRAQGTLL NGRRLRCEPAKVNRTLYLKNQQSIDFNEITQICEKFGGLEQIVPDRTDNQYTRRYTYP ISSANSWFVQFIYRDDAIRAYANLRTDPNWIIEWAQNINVPKNYNLLHKSKYKSSKYH QNNDITNNDGSNNNNNNNNNNDNGEDSRRNGSIIEEECEHANGSDLDEKLTSDGIDDD EDKDSEITIDKKSIFVGQLDKETTREELNRRFSTHGKIQDINLIFKPTNIFAFIKYET EEAAAAALESENHAIFLNKTMHVQYKEVGGRHNRKFSGKNGGSNFNHHQFFSTRSGKT FTGPELNLAPPPINMYRKMSGGSQQESENMMPYMSMGPMPMGPPPNAASLNDFEMFPP SYSTFMKGMMPLRRKSMPNSWSSPSSKSVNSENESVDGGDENSELPSEIPESSGRYNA ANSFTTYNNSSAGSSNNNNIKSQYKKRYARRSSYGYNEVPPKPYYFQPYYYHPMQYHM GPMGPLHPSQSSAGNHHPYMMVYPMPPPPPSGLDGNMMPPPINVGQSHAANHGGTHHI QANEFMSNDTGDINEDNKASYNLDY SPAR_H00190 MDECLPNSCLLGVHLVISTHSGPQIVYHYPPSNTAFLTNNPTKH QHLYGNHATLEKNTNTKKEDNLFHSGSAKTASQIALNDSVKNCNTAITPSMTNANTNS GILPSTRSHANTVGSQSSIPTVSNGVSYRETDIENNPRTFQYPEPESETSSSGLSDSE LSTDYLDISSDSFSISSSLSSPSLSSSPSSSSSSSPLQDGLSRTNSSFQSIDSMSPTS PQMTRENDSISVAESYLDSATNNKSKTTSKRSQNFFHKLSTKKSADSKTHSPIRKLKS KPSQSTNKGNKLLKNISNETDGNDFTGSCSVSSKKSVSSTGEHNQDFRNNSLNDTPGQ SPHHYHHRYHHYHKAAANSQRNSHTQYDVEEDIEVSAMLQDGKISMNEIFFEEENFQD INKILEFDNDFVAEFCSPEREMCNTRFEFTVDNFCFLGLPIHVDSQGRWRKSKHKNKT RSKRSSSTTTNISRKKSVASKISSLSENTLKKVNSGEAETGYDNNAGHETYTDTPNLR INTDINGTEFEREKEDLGKNMNMFHVCFVMNPHLIEYNKRIDDMYQFVVTRLSLLLRY VQSKTSYISSECHIILKEKERVLKHSKTYRSIRGAGNKGKYLYQRILSKSSLARALTE CVDKIQRNEIACLEINDDKVISLQIPIQNEFEKMPNFKLQPVLKGSYLTSILNMKFLE KSSLRIEGQNRQNNQAQLSDTNNNIYRFGNNINSTGHCGAANVDDGDENESNYYCDDN DDLLNYALLLLDEPNNIISSLETFSYQDDIGTLILKHLVRNIQPNIPLRSYRYLISEL LDNPSSQDVLTSETNSLESSILRSCALHLMYWRHARIVIPLSSKYTYFVSPLAPIQGY TIDDFKSTSQNDANVKTMENCGDNKDQGDRIPLIYQNSILFRSKFPSLPTLPIFLSLL SADKPQAYSNIIPSREHKPVYLNALAWLIRYGYVTQLLTFVHIRVDKHIKMAVDEDLE KEGFRKANTAGRPSMDYKKTDKKLDDEDGQNRDANANEACLGKKEGRQSNDNNNKDVN ENDNENDSRADDRNDNEIAIADEEEILHFEYDDPEMQHDYTIILEPERATAIEKRWLY RCIYGQPSDIQILFNKLLKYFNGKVPMELVIIKEEISRHDLKRLLNALDKYLIEIHHW SPAR_H00200 MALEGLRKKYRTRQELVKALSSKRRSIHLNPNDHSNGTASSDAD VLAHIKHFLSLAANSLEQHQQPISIVFQNKKKKGDVNNSDIYTTLDFPLNGPHLYTHQ FKLKRFSILLNLLKIVMEKLPLGKNTTVRDIFYSNVELFQRQANVVQWLDVIRFNFKL SPRKSLNIIPAQKGLVYSPFPIDIYDNILTGENESKLQKQTVFPGKPCLIPFFQDDAI IKLGTTSMCNLVIVEKEAVFTKLVNNYQKLNTNTMLITGKGFPDFLTRLFLKKLEQNC SNSISSCSIFTDADPYGISIALNYVHSSANSVYNCTMANYKGIHITQVLAQNNGVHNK AIQLLGLNQRDYSLAKNLIVSLTANSENVATSPLKNFIMECQREIFFQKKAEMNEIDA SIFQSQ SPAR_H00210 MLRSNLGRGSRILARLTTTPRMYTSAAAAAAANRGHIIKTYFNR DSTTITFSMEESSKPVSVCFNNVFLRDASQSANLVTTGELYHNEKMTAPQDIQISEDG KSLEVKWKDGGHHRFPLQFLVDYKGSSFVSPATRKQESRYKPQLWNKHILKNNIKDLL SVNYNEFIDPKDDSKLFQVMVNLQKFGIAFISGTPSSPSEGLTIQKICERIGPIRSTV HGEGTFDVNASQATSVNAHYANKDLPLHTDLPFLENVPGFQILQSLPAAKGEDPNTRP MNYFVDAFYATRNVRESDFEAYEALQIVPVNYIYENGDKRYYQSKPLIEHHDINEDNT LLGNYEALIKCINYSPPYQAPFTFGIYDKPSDLNNNPDLNLITTPAKLTERFLFKSFI RGLNLFESHINDFSNQFRLQLPENCCVIFNNRRILHANSLTSSNQQWLKGCYFDSDTF KSKLKFLEEKFPNDK SPAR_H00220 MSENQRLGLSEEEVEAAEVLGVLKQSCRQKPQRSEDVSQGDQRS ASELSTTPLNILDRVSNKIISNVVTFYDEINTNKRPLKSIGRLLDDDDDEHDDYDYND DEFFTNKRQKLSQAIAKGKDNLKEYKLNMSIESKKRLVTCLHLLKLANKQLSDKVSCL QDLVEKEQVHPLHKQDGSAGTTAGAGEDDTSSDEDDDDEEFFDASEQVNASEQSIVVK MEVVGTVKKVYSLISKFTANSLPEPARSQVRESLLNLPTNWFDSVHSTSLPHHTSFHY ANCQEQNVELQQQQQQLQRQQRQQQLLQQQQQKRNKDDGDTPPPSSSVTPNGKVLILA KESLEMVRNVMGVVDSTLGKAEEWVKQKQEVKEMIRERFLQQQQQYRQQQQRDGNCIK PSQDTAETKD SPAR_H00230 MSSSLFILDENLEPLVSKNIRALPNLSSVLSSFKQCYHDESPPV LSQNNWFFIHLKRDFLHFVSVIHTTDKPNIDLMTILAFLEQFYHLLQKYFEIKVLTKN VILDNVLLVLELMDECIDFGIVQVTDPSIIKDYIRVKVNLPKVTIDNEEWSSNEESSS SSSGSDSDSQYSNTKKRKDKKRKKKNKKSTKGKNVGKNKLKSIMVNNKENRGINVVES VKETLRNKNDAGKESINDELPNDGNDLYINGDIAKTIIMPISWRTKGIHYAKNEFFLD VIERVQYLMDFEKGVIRKNLIHGEIVCRCYLSGMPKLKISINKILNKDPQFMSNSSFH QCVSLDSINTIEKEEEKDDDDDDDAGSQAATDAREIEFVPPDGEFVLCQYELKRHVKD APMIKLKGFEIKPKLKKFKIQIVTKIQTNFKPTNSTSKLNVKIPLTKVFQEYKIDLSK QIRFKANIGKVVFNLSDDFLLWEIQTMKGHREHSTNNASQDKNDEDDPNTCASMVAEF PLFNQEEYDRLQEEMKTSMNPPPLRTGPRLEELYRQVHDQQTAHVTPRDKLVNIDFEI PYCTCSGLKVEYLKVEEPQLQYQSFPWVRYKTISDEEYAYIV SPAR_H00240 MHNKIVRIASSVLTGGKLLEKLKPLTHWKVQWDPNRTKCLGITR EVTFKDYETTWAFLTRVSMRSHLWGHHPLIHTSYTWVKLELRTHDLDQNDGDQNQLSD IDVRMAKRIDSYIEEMTT SPAR_H00250 MNRTWLLLTWLLLLCGTVQGNQETINQKYHDVCSGMYSKEDFNG KVDPFISFTLEELSLAEEDDDGEGVSVAVFDFQDYEHIGVRLPNGEIQYICDDYALDL GLCEASSEGQFIIQETAIDPFTSKEHKLTSQILTFTQQELGTNEKTYPINKTGYYCVT TSSFISSSSKFRATVNFRNAYGQLDASEAYKMPIYAFLAVAYAICTLAYSWLCWKHRH ELLPLQRYILVFCIFLTADTIFVWMYYIIENQKGNSSVALHVYMVFISIFSAGKMTFT LLLALLISFGYGIVYPKLDRTLLRKCQIFAVFTFAVWVAFLIQKYSQNSESLSNLILI TAIPLVLCLFAFYYLTLSSMNKTMTYLKEQNQVVKLNMYRKLIILSYISLFILFLGLL VSTFAYIGMDTVDMIEQYWKTEFLITDTWPSFVYFLVFVVFAFFWRPTSTSYLLACSH QLPTDLENVSEFDLDDINSLSDEAPPNREPRNDYQEHDHNMDIDLASDFEEVPSVNAN MDANNDVLFDVDYDRDTKNDRHAT SPAR_H00260 MGDFKPPLPQGAGYAIVLGLGAVFAGMMVLTTYLLKRYQKEIIT AEEFTTAGRSVKTGLVAAAVVSSWIWCSTLLTSSTKEYADGIFGGYAYAAGACFQIIA FAILAIKTKQMAPNAHTYLELVRTRYGKIGHGCYLFYAIATNILVTSMLLTSGSAVFS DLTGMNTIASCFLLPVGVVVYTLFGGIKATFLTDYMHTCVIIIIVLVFAFKVYATSDV LGSPGKVYDLVREAAKRHPVDGNYKGEYMTMTSKSAGILLIINLIGNFGTVFLDNGYW NKAISASPAASLKAYAIGGLAWFAVPSLISLTMGLACLAVETSPNFPTYPDPLTSFQA NSGLVLPAAAIAIMGKGGAVASLLMIFMAVTSAMSAELIAVSSVFTYDIYREYIDPRA SGKKLIYTSHVACIFFGLAMSGFSVGLYYGGISMGYIYEMMGIIISSAVLPVVLTLCS KDMNLVAAVVSPILGTGLAIMSWLVCTKSLYKELTVDTTFMDYPMLTGNLVALLSPAI FIPILTYVFKPQNFDWEKMKDITRVDETEELVQADPSIQLYGAEANDKEQEEETNSLV SDNEKNDVRVNNEKLSEPNLAVAISNAIFQEDDTQLQEELDEEQRELARGLKIAYFLC VFFALAFLVVWPMPMYGSKYIFSKKFFTGWVVVMIIWLFFSAFAVCIYPLWEGRHGIY TTLRGLYWDLSGQTYKLREWQNSSPQDLHVVTSQISARVHRQSSHFGQVDEII SPAR_H00270 MSDFQKEKVEEQEQQQQQIIKIRITLTSTKVKQLENVSSNIVKN AEQHNLVKKGPVRLPTKVLKISTRKTPNGEGSKTWETYEMRIHKRYIDLEAPVQIVKR ITQITIEPGVDVEVVVASN SPAR_H00280 MNIGGGKFLLGRVSNNPTSGIVGLANVGKSTFFQAITNSKLGNP ANYPFATIDAECAKVNIPSAPLSNLLRIYQSAKSVPGTLTIYDIAGLTRGASQGHGLG NKFLNDIRHVDGIFQVVRGFLKEDITHIEGNVDPVRDLSVVQDELILKDLEFLENIRE RLNKKMRMVSKTSKEYQEMKVEKELLDTLEEHLFNGKKIRHFKDHWNLDEVKILNKHN FLTSKPTLILLNVSPQDYVRNENKFVKHIIEWINEFSPGDKFLLFSAEFESQFIECKG IASEYFDRIKEDTNASDVQLVSAIPEIILEMRRLLNLISFFTCGPQEVHQWNIREGTT AQEAAGVIHSDFRDTFISADVIKYDDLKTMEPPLNESLLKSKGLIKRAGKQYIMHDND IALFKAAGGKNKK SPAR_H00290 MSSTEPGDNFESIQDILARHRKENKDLQNKITGMKKQATKSKRK EVNSKCLDLHDKLKTKQENEIRDWKVANNQVSDAEQEDEVTPEKLLEQLSISQEVEQN IPTQQQQQQQQQQQQQQQSQSKKRRNRQKERLAKRNAAIAKMKEEAALEASKQPDLKK IEQESIDQLCELKKLKQFDIQPDGHCLFASILDQLKLRHDPKELDPDLNVMKLRSLSC NYVQEHRDDFIPYLFDEETMKMKDIDEYTKEMEHTAQWGGEVEILALSYVFDCPISIL MSGRPIQVYNECGKKPELKLVYYKHSYSLGEHYNSLHDS SPAR_H00300 MTVFSGINKIEFEGTFEGIGKDVVMSKMIRALQKHFPSIRDKNY ELSLFLHIFQRYVLENTSITHDLDRDEIKLPNMDEVVEFEDIKSDDPLDGKLLSKLAI LKLSGKTNIIIGKESPLFEVKNGMCSLDITVQQTQNLNAEHNSDVPLIFMTSFETESQ ISNFLEERYSSSKVRWKTVVQSSFPSIDKGRLLPIDLQTNPHKEDFWYPCGTGNLADT LYFSGELDELLAQGKEILFVSNIDNLGATVDLNILDFMINKKIEYLVEVVERTANDSN TEVLATYKGKLRSVYYSCLPNENASKCRFVNTNNIWIDLRKLKGLIESNSLNLPIRSS ETKITHDHEEKECLHFKTQLVDCIAFFPNSRVIKVTRNRFLPLKTCRDLFLLKSTLYD LDANGTFNLYPLKFGLLPSIDLGDEFATYETFNIGIPDIPNILELDHLTVMGNVFFGR KITLRGTVIMICDKDDVITVPDGSILENVTIWNKSQLEDMNEY SPAR_H00310 MPTNSIKLLAPDVHRGLAELVAKRLGLQLTSSKLKRDPTGEVSF SIGESVRDQDIFIITQIGSGVVNDRVLELLIMINASKTASARRITAIIPNFPYARQDR KDKSRAPITAKLMADMLTTAGCDHVITMDLHASQIQGFFDVPVDNLYAEPSVVRYIKE NVNYMDSIIISPDAGGAKRAATLADRLDLNFALIHKERARANEVSRMVLVGDVTDKIC IIVDDMADTCGTLAKAAEILLDNRAKSVIAIVTHGVLSGKAIENINNSKLDRVVCTNT VPFEEKIKKCPKLAVIDISSVLAESIRRLHNGESISYLFKNYPL SPAR_H00320 MDQFEYNIVLEFESQNLVESAYQIFKSIPKKSKSESIWEESTKS NKDWQDWRVCDWEIDMITDFTNQTSKEEENDLITSQYLGHGIIKLFKLNNADNPLNEK DILTIPGDDTMICILFVPTYFTVHDLLHFYIGDDIVNKQVSNFRILRNQQKGMGFNFT VLIKFRNALDAKNFKEEFNGKSFSRMDPETCHVISIKEIVFQKKLFQRPTANEDFPYL LTDPFTVKKKKAPVKVELPTCPVCLERMDSETTGLVTIPCQHTFHCQCLNKWKNSRCP VCRHSSLRLSRESLLKQAGDSARCATCGSTDNLWICLICGNVGCGRYNSKHAIKHYEE TLHCFAMDIRTQRVWDYAGDNYVHRLVQNEVDGKLVEVGGSGDDNNSGTGNSDELQNV VNGNRNKGGGEGSSSNKKDGELAANFLRHREYHLEYVQVLISQLESQREYYELKLQEK NQSASDLLKVESLKKSMEDLKLQFQVTQKEWQKKEMSQKTKLEEDRLVIEGLQANLDH SSKKQEQLEQENKKLVESKQDLEEQVKDLMFYLDSQEKFKDADESVKEGTILVQQPQK AAQASKSKKKRNKNKKPGK SPAR_H00330 MKDKVNAVVMPPSDVDNNTNGFIKFINPQCQEDDFCPENSLFQN DNECIKQQRDILNEQTAPFPILNDQCPTLDLSGSNDDLMLQNEIPLSESTNFQTVQLT PSSGDCSSFAMADNNKNDNDNYTDTNCFSKKKDISPSSRTPSVPHNEDAPEDSKAKKK AQNRAAQKAFRERKEARMKELQDKLLESERNRQSLLKEIEELRKVNTEINAENRLLLR SGNEKFPRDLIDDTDHKYSFPTKDEFFTSMVLEGKLNNKGMYSLKDNEVIMKQNTQYT DEAGRQVLTVPATWEYLYKLSEDRDFDVTYVMSKLQGQECCHTHGPAYPRNLIDFLAE EAALNE SPAR_H00340 MVDDSNYLTPHETALAVVATAMKKARLQLDTLIINSILGGILFS SGSFLLVAIHSDDPDMVARNPGIVNLITGVTFAIGLFYVVIMGADLFNSNILFFSVGV MRRAVTIYDLIVSWFISWIGNIAGSLFVSYLFGHLTGIGSQELWMKGSKQIIEQKASY SFIQTFLKGIAGNFFVCLAIYLQLMAKPIHVKFIIMSFPIITFVGIGFTHVVADMSAS FIAMLNGANVSVGKYIWKLLIPASLGNIIGGLFFSAVVPFYLHLIVVERDRKRLSLPE YEARDEQPELNMDSRVVRIPKRECEEDTEDEASDDDATETGGDLEDLTEKDSASIYNT IHDSSSYLTGRSLNSLLSLPSSAITSDNATMESDLGEPVPFIPKSNSTTRSSHLKLPH NHSTKSINRHRINKRHSLRSPPGVFPVRGMGEPLEREKTIEDATYDPKENELFLRRAE THNSTYLKNKKKEDDNLLRLVKTEEDREQKEYEKNGGYNILENKPGTRLEKIITHLAE NVSSREVTPPVLPRTTQDTFPHNTPASSPAYTDDVHSLRRANSTTLGSLFREVSREFH ASKDAECPDDLLKKMAAAGINRKARVAANNVAGIVNLNREDLDSSPRRQKFTKPKHSY NRHTTPQL SPAR_H00350 MSNDPSAVSALPNKDSLENGISNDDKRAMGGDSDGSDGSDGLRL PRTTGTLNVNALQKGTNAAHEAGGYKSMDPAKNADTNNDDDNNVVSLDDPIQFTRVSS SSVISGMSSSMSPHSNNDEAKSLEQVTPNINTNNMTSNHSADNTFSTINASESDHQFN DTLISKLSLTDSTETIENNAAAKHQQPVVSSTVNSNNSSTDIRRATPVSTPVVSKSSM TTTPRQISSASHSLSNPKHKQHKPKVKPSKPETKSKPVSLKKGFPSKNPLKNSSPPKK QTEKLYYSSSTKKRKSGSSSGTLRMKDVFSSFVQNIKRNSQDDKRASSSSNNSSSSSI TTALRISTPYNAKHIHHVGVDSKTGEYTGLPEEWEKLLTSSGISKREQQQNMQAVMDI VKFYQDVTETNGEDKMFKTFNTTTGLPGSPQVSTPPSNSFNKFPPSTSDSHNYGSRTG TPMSNHVISPSLNTDSGSANAKFIPSRPAPKPPSSASTSAPIIKSPVINPPSNISPSK QNHVPTTPNRASANRSSISRNATLKKEEQPLPPIPPTKSKTSPIIPTSHTPQQGPQSP KAPAQETVTTPTAKPVQARSLSKELNEKKREERERRKKQLYAKLNEICSDGDPSTKYA NLVKIGQGASGGVYTAYEIGTNVSVAIKQMNLEKQPKKELIINEILVMKGSKHPNIVN FIDSYVLKGDLWVIMEYMEGGSLTDVVTHCILTEGQIGAVCRETLSGLKFLHSKGVLH RDIKSDNILLSMEGDIKLTDFGFCAQINELNLKRTTMVGTPYWMAPEVVSRKEYGPKV DIWSLGIMIIEMIEGEPPYLNETPLRALYLIATNGTPKLKEPENLSSSLKRFLDWCLC VEPEERASATELLHDEYITEIAEANSSLAPLVKLARLKKVAENMDADEDNDDDNDNEH NNKTNDCDDNKDNKETINLNVTEDDKQK SPAR_H00360 MQFEERLQQLIESDWSMDQSSPDVLVIVLGDAARKYVELGTLRE HVTAKTVAGHVASRERVAVVFLGRVKYLYMYLTRMQAQANGPQYSKVLIYGLWDLTAT QEGPQQLRLLSLVLRQCLSLPSNVEFYPEPPSSSVAARLLRFWEHVIR SPAR_H00370 MQRHVCARNFRRLSLLRNPSLSKRFQSSSSGAVNSPTNNDEVVL LQQKLLYDEIRSELKSLSQVPEDEILPELKKSLQEGKLSGKEQLLETELGEFFRNYAQ LNKLFDNKTLDGQSFTTTAAAATPTKPYPNLIPSANDKPYTSQELFLRQLTHSMHTAK LGAKISKVYYPHKDIFYPPLPENITIESLMSAGVHLGQSTSLWRSSTQSYIYGEYKGI HIIDLNQTLSYLKRAAKVVEGVSESGGTILFLGTRQGQKRGLEEAAKKTHGYYVSTRW IPGTLTNSTEISGIWEKQEIDSHDNPTQRALSPNETSKQVKPDLLVVLNPTENRNALL EAIKSRVPTIAIIDTDSEPSLVTYPIPGNDDSLRSVNFLLGVLARAGQRGLQNRLARN SKK SPAR_H00380 MASATDKSIDRLVVNAKTRRRNSSVGKIDLGDTVPGFAAMPEST ASRNEAKKRMIALTGDSKKDSDLLWKVWFSYREMNYRHSWLTPFFIIVSVYSAYFLSG NRTESNPLHMFVAISYQIDGTDSYAKGIKDLSFVFFYMIFFTFLREFLMDVVIRPFTV YLNVTSEHRQKRMLEQMYAIFYCGISGPFGLYIMYHSDLWLFKTKPMYRTYPDITNPF LFKIFYLGQAAFWAQQACVLVLQLEKPRKDYKELVFHHIVTLLLIWSSYVFHFTKMGL AIYITMDVSDFFLSLSKTLNYLNSVFTPFVFGLFVFFWIYLRHVVNIRILWSVLTEFR HEGNYVLNFATQQYKCWISLPIVFVLIAALQLVNLYWLFLILRILYRLIWQGIQKDER SDSDSDESAENEESKEKCE SPAR_H00390 MSSSVVGIRNAVLRATDPKLRSDNWQYILEVCDLVKEDPEDNGQ EVMTLIEKRLEQEDANVILRTLSLTVSLAENCGSRLRQEISSKHFTSLLYALIDSHSV HITLKKAVTDVVKQLSDSFKDDPSLRAMGDLYDKIKRKAPYLVKQPNIPEKHNMSTQA DNSDDEELQKALKMSLFEYEKQKKLQEQEKGSAEVLPQQQQQNQAPAHTIPGQTVVRR VRALYDLSTNEPDELSFRKGDVIIVLEQVYRDWWKGALRGNMGIFPLNYVTPIVEPSK EEIENEKNKEAMVFSQKSTIDQLHNSLNTASKTGNSNEVLQDPHIGDMYGSVTPLRPQ VTRMIGKYAKEKEDMLSLRQVLVNAEHSYNQLMDRAANAHVSLPVPGPALYAGMPNAN TVPVMPPQGQSYQSHEYVPYPSNLPMQHSANSGNDTSQYGYDLGYSVVSQPPPGYE SPAR_H00400 MSTDSIVKASNWRLVEVGRVVLIKKGQSAGKLAAIVEIIDQKKV LIDGPKAGVPRQAINLGQVVLTPLTFALPRGARTATVSKKWAAAGVCEKWAASSWAKK IAQRERRTALTDFERFQVMVLRKQKRYTVKKALAKA SPAR_H00410 MALNKLKNIPSLTNSSHSSINGIASSGANSKPSGADTDDIDEND ESGQSILLNIISQLKPGCDLSRITLPTFILEKKSMLERITNQLQFPDVLLEAHSDKNG LQRFVKVVAWYLAGWHIGPRAVKKPLNPILGEHFTAYWDLPNKQQAFYIAEQTSHHPP ESAYFYMIPESNIRVDGVVVPKSKFLGNSSAAMMEGLTVLQFLDIMDAKGKPEKYTLS QPNVYARGILFGKMRIELGDHMIITGPNYQVDIEFKTKGFISGTYDAIEGIIKDYDGK EYYQISGKWNDIIYIKDLREKNSKKTVLFDTHQHFPLAPKVRPLEEQGEYESRRLWKK VTDALAVRDHDIATEEKFQIENHQRVLAKKRTEDGVEFHPKLFRRAEPGEDLDYYVYK HIPEGTDKHEEQIRSILETAPILPGQAFTEKFSIPAYKKHEIQKN SPAR_H00420 MAYTSHLSSKTGLHFGRLSLRSLTAYAPNLMLWGGASMLGLFVF TEGWPKFQDTLYKKIPLLGSTLEDHTPPEDKPN SPAR_H00430 MTRDSPDSNNSYKPTNNDNTQKTSFDRNSFDYIVRSGLAGGISG SCAKTLIAPLDRIKILFQTSNPHYTKYAGSLIGLVEAAKHIWINDGIRGFFQGHSATL LRIFPYAAVKFVAYEQIRNTLIPSKEFESHWRRLVSGSLAGLCSVFITYPLDLVRVRL AYETEHKRVKLRMIIKKIYAEPASTTLIKNDYIPNWFCHWCNFYRGYVPTVLGMIPYA GVSFFAHDLLHDVLKSPFFAPYSVLELSEDDELERVQKKQRKPLRTWAELISGGLAGM ASQTAAYPFEIIRRRLQVSALSPKNMYDHKFQSISEIAQIIFRERGVRGFFVGLSIGY IKVTPMVACSFFVYERMKWNFGI SPAR_H00440 MANSTWKLVVTTALISLFSTQLAKSVWNEYKLSCAANKNKTASQ PRQYDEHLFREQLARNYAFLGEEGMSKIKEQYIVIVGAGEVGSWVCTMLIRSGCQRIM IIDPENISIDSLNTHCCAVLSDIGKPKVQCLKEYLSKVAPWSEIKAKAKTWTKENSHD LIFADGESPTFIVDCLDNLESKVDLLEYAHNNKISVISSMGVATKSDPTRVNINDISM TEFDPISRCVRRKLRKRGIVTGIPVVFSNEMLDPRRDDVLSSIDGEHCAINASRDEAL RHLPELGTMPGIFGLSIATWILTKVSGYPMKENEVKNRLKFHDGILETFQKQMARLNE NKDQSSLLGLKEVGYIVEEMFRGKSPISGYSTKLALTKWEANKAVSLTNVVLMTKEEQ EIHEKRILLDGEKLTAVYSSEVLNVIERVFKEEEYYF SPAR_H00450 MNALKYFSNHLITTKKQKKIDVEVTKNQDVLNTSKEASNSYAGH IENDCVIEVNQQYDHSSDHFKESNQNEEGKQSVPTKPKALYSVLKERIASILWALLLF LPYYLIIKPLMSLWFVFTFPLNVIERRVKHADKKNRVSSDNENESSTSLSNISDSREK VNPKNSNLNTIPEAVDDDLNASDEIILQRDNVKGSLLRAQSVKSRQRSYSKSELSLTN HSSANTVFGTKRMGRFLFPKKLIPKSVLNTQKKKKLVIDLDETLIHSASRSTTHSNSS QGHLVEVKFGLSGIRTLYFIHKRPYCDLFLTKVSKWYDLIIFTASMKEYADPVIDWLE GSFPSSFSKRYYRSDCVLRDGVGYIKDLSIVKDSEENGKGSSSSSLDDVIIIDNSPVS YAMNVDNAIQVEGWISDPTDTDLLNLLPFLEAMRYSTDVRNILALKHGEKAFNIN SPAR_H00460 MGCTVSTQTIDDESDPFLQNKRANDVIEQSLQLEKQRDKSEIKL LLLGAGESGKSTVLKQLKLLHQGGFSHQERLQYAQVIWADAIQSMKILIIQARKLGIQ LDCDDPIKNKDLFACKRILLKAKALDYINASVAGGSEFLNDYVLKYSERYETRRRVQS TGRAKAAFDEDGNIPNTRSDTNKDAEAVTQNEDDDRNNRSRLNLQDICKDLNQEGDDQ MFVRKTSREIQGQNRQNLIHEDIAKAIKQLWNNDKGIKQCFARSNEFQLEGSAAYYFD NIEKFASPNYVCTDEDILKGRIKTTGITETEFNIGSSKFKVLDAGGQRSERKKWIHCF EGITAVLFVLAMSEYDQMLFEDERVNRMHESIMLFDTLLNSKWFKDTPFILFLNKIDL FEEKVKSMPIRKYFPDYQGRVGDAEAGLRYFEKIFLSLNKTNKPIYVKRTCATDTQTM KFVLSAVTDLIIQQNLKKSGII SPAR_H00470 MSFLGFGGGQPQLSSQQKIQAAEAELDLVTDMFNKLVNNCYKKC INTSYSEGELNKNESSCLDRCVAKYFETNVQVGENMQKMGQSFNAAGKF SPAR_H00480 MPILSLSSTRNSVLTKIYDYLKAVVQQVIVPNVKDDKSSKSTPF EALEPAKQSHPQKDCCATKKDDLTDVSELFPRQNNKQLSLTSKSSVVPCALNLDNLET PFSIEIDKNGTVSTHLNLGETISRDPSSGEPAKLQNDLLSSPLLDESYINNDQYKALF PSNFLPITPISSVITPASKKSIDESPLSDHVQGTADESSETLPYICHYCDARFRIRGY LTRHIKKHAKRKAYHCPFFDNSISQELRCHTSGGFSRRDTYKTHLKSRHFTYPEGIKP QDRNKSSGVCTQCGEYFSTSESWVENHIEAGSCKGLPEGYSEGIREKKKTSKMKMIKT SDGQTRFISSDESVLEPRATLNNICMEAAVIQSKERHNDKILPTKTDKNETGIGTQWF EQKQILKSTQTTQLRGPTNIQKSKERPIISPPNLSPQNASSLPQEYQSSRYVLHMDSP ALSSASSALSPLSGDPITTTETNKSYPLDSEQSLLEPDKTEEDLINQPKESNMISINE MLQKQMDFELLGENHLKETQDYLALYKKANGIEF SPAR_H00490 MSATKSIVGEALEYVNIGLSHFLALPLAQRISLIIITPFIYNIV WQLLYSLRKDRPPLVFYWIPWVGSAVVYGMKPYEFFEECQKKYGDIFSFVLLGRVMTV YLGPKGHEFVFNAKLADVSAEAAYAHLTTPVFGKGVIYDCPNSRLMEQKKFVKGALTK EAFKSYVPLIAEEVYKYFRDSKNFRLNERSTGTIDVMVTQPEMTIFTASRSLLGKEMR QKLDTDFAYLYSDLDKGFTPINFVFPNLPLEHYRKRDHAQKAISGTYMSLIKERRKNN DIQDRDLIDSLMKNSTYKDGVKMTDQEIANLLIGVLMGGQHTSAATSAWILLHLAERP DVQQELYEEQMRVLDGGKKELTYDLLEEMPLLNQTIKETLRMHHPLHSLFRKVMKDMH VPNTSYVIPAGYHVLVSPGYTHLRDEYFPHAHQFNIHRWNNDSASSYSVGEEVDYGFG AISKGVSSPYLPFGGGRHRCIGEHFAYCQLGVLMSIFIRTLKWHYPEGKSVPPPDFTS MVTLPTGPAKIIWEKRNPEQKV SPAR_H00500 MFAKTAAANLTKKGGLSLLSTTARRTKVTLPDLKWDFGALEPYI SGQINELHYTKHHQTYVNGFNTAVDQFQELSDLLAKEPTPANARKMIAIQQNIKFHGG GFTNHCLFWENLAPESQGGGEPPTGALAKAIDEQFGSLDELIKLTNTKLAGVQGSGWA FIVKNLSNGGKLDVVQTYNQDTVTGPLIPLVAIDAWEHAYYLQYQNKKADYFKAIWNV VNWKEASRRFDAGKI SPAR_H00510 MGEDFMHPPFQTYPSKNSEGKKHIVIVGGGIIGCCTAYYLTQHP SFSPSTHHITIIESRRIAGGASGKAGGLLASWAFPHQIVPLSFQLHQELSDQYDGENN WDYRRLTTVSLEADVREEVIENYEKLSKKAYNLNVPPPKKRPGYVSSKFNIGDSNSSL SSSGSSLKNDTASNEEEGSEVHVSSSVPSLHSLTNERMRSHTNSASDLDSVSPAEQLR ETNIHNPLPTDLNWIRRELVNDWSSLGGTDTTAQLHPYKFTHFILSKAMETGAVDLLL GKVVGVKCDEMDCVHSLKYLPSVVKNRRNSRGHAENSDIKLGTIFNDENAKPIEINDI QQIVLSMGPWTSKILKDCPISGLRAHSVTIKPSEKTVSPYAILAELKVNDREFFSPEM YARKDEVYVCGEGDTLVNIPESSDDVEVVSEKCDELYHYVSKLSPTLSKGHLLRKQAC FLPVLNVPTSSGPLIGETNVKDLYIASGHSCWGINNAPATGKLMAEILLDGEATSAEI SSLDPKLYFDATILP SPAR_H00520 MAKFLKAGKVAVVVRGRYAGKKVVIVKPHDEGSKSHPFGHALVA GIERYPLKVTKKHGAKKVAKRTKIKPFIKVVNYNHLLPTRYTLDVEAFKSVVSTETFE QPSQREEAKKVVKKAFEERHQAGKNQWFFSKLRF SPAR_H00530 MIIRRLFSMSNCSFFLKKPQFDVKRIIEMIPQYEKSIQNRELIK ADSIIRSLKLLSEQYQNIKEIDKAIADIQIQRKSIEARIKKDRTEIAEYSAALKALKE QYQDQDSKLLELKNKISETCKSLPNILDPTVPLGAPQIEQWINPLEAYKTSEAQAHVG IMLKKNMLDLQTASNIAGMSWYYLLNDGARLEQALVAYALKKANENGFASCTPPSITK KELIDACGFNPRDMNNERQIYTLQDTNLGLVATAEISMAGLGANKVLDLNSAECSKKL VGVSRCYRAEAGARGRDTKGLYRVHEFTKVELFCWSKPEISAKILEEIKQFQISVVKE LGLPAKVLNMPSNDLGNPAFKKYDIEAWMPGRGNFGEISSASNCTDFQSRRLNTKYKD DSTGKLKYVHTLNGTAMAIPRMMVALVENFYDPSTGKISVPECLREFMNGQRYI SPAR_H00540 MLLLALSDAHIPDRATDLPLKFKKLLSVPDKISQVALLGNSTKS YDFLKFVNQISNNVTIVRGEFDNGHLPSTKKDRASDSIRPMEEIPMNSIIRQGALKIG CCSGYTVVPKNDPLSLLALARQLDVDILLWGGTHNVEAYTLEGKFFVNPGSCTGAFNT DWPIVFDVEDSDEAVTAEVEKPTKENEPEDDGAKSGSTEKEQPGSDMAKKGTAEERDS ESDVKPENQFKEDEVNISDLDVNGSNSPSFCLLDIQGNICTLYIYLYVDGEVKVDKVV YEKE SPAR_H00550 MPINIRRATINDIICMQNANLHNLPENYMMKYYMYHILSWPEAS FVATTTTLDCEDTNDQDDSDKLELTLDGTSDGRTIKLDPTYLAPGEKLVGYVLVKMND DPDQQNEPPNGHITSLSVMRTYRRMGIAENLMRQALFALREVHQAEYVSLHVRQSNRA ALHLYRDTLAFEVLSIEKSYYQDGEDAYAMKKVLKLDELQISNFTHRRLKENEEKLED DLESDLLEDIIKQGVNDIIV SPAR_H00560 MAPRKRFRPLELGSPTHSKRKVQKPLQEKTPNLRVSPLPSKIGK DIKNKEIRKTKNIESENIFNSKHVDLRLESQHPGLNFVSDAQKRSKISDVRYLKNKSS HTLKNERQAIEPPSFDNSLSFEDIEQPPKSTSTPVLSQSSQINVEREPPMFPVPYYIA PSPMYNFTPYQNFTGNPIFLTPSYNPNLNYAVPIQRPELLYPNVNVYDSPLFKKARLP HQTKSLDKEQNYQYLPIYPVSISNNGDFVGQETPRIAPKPSKKRLSNTLDVDCSGYES SGQNATYNNSKSSLD SPAR_H00570 MPNSHGNVLNNISLNSKQNLRSISKTCPKDKDGRQKNFKMMSTQ ALERTQGTVYKVEDVKLKGFEVEDKNNSKKFDYKIATLEKKEQEQVPEKTVAKESVQK KITKTSKTSSLFVGNLKSTVTEEMLKKTFRRYQSFESAKICRDFLTKKSLGYGYLNFK NKTEAEAARKGFNYTVLFGQEVKIMPSMKNTLFRKNIGTNVFFSNLPLENPQLTTRSF YLIMIEYGNVLSCLLERRKNIGFVYFDNDTSARNVIKKYNNQEFFGNKIICGLHFDKE VRNRPEFTKRKRMIGSDIVIEDELLAGSNLPDNTRSKTVLVKNLPSDTTQEEVLDFFS TIGPVKSVFISEKQVNTPHKAFVTYKNEEESKKAQKCLNKTAFKGHTIWVGPAKDKPV HNKIGTNRKIKIYLRNLSFNCNKEFISQLCLQKRIKFNEIKITNYNSLNWTFSGYVEC FSRGDAERLFNILDRRLIGSSLVEASWTKNNDNMLSEIDYDDDNNNDNCSNLINISSM MRFHTQGLPAYQKGLTSQFQQVVSPFSSYSNSCTNINSLVATPMKPHPAFNLITNNVK EKIHPPKRTKQENAEILESLKKIINRNLQHINISGLNKGENIRSISEFIFDVFWEHDS ERLSHFLLITNTSPESQKILQKQIIRAAESLGFPV SPAR_H00580 MCCQKQCTNNYMIDSFDYFNHRKAAKVLRSFVKPNQVFGADQVI PPYVLKRAKGLAIITVLKAGFLFSGRAGSGVIVARLKDGTWSAPSAIAMAGAGAGGMV GIELTDFVFILNSEDAVKSFSEFGTITLGGNVSVSAGPLGRSAEAAASASTGGVSAVF AYSKSKGLFAGVSVEGSAILERREANRKFYGDNCTSKMILSGRVKVPPAADPLLRILE SRAFNFTRHDHGDNVSDDDFYGEDQYSDNTSHYYDDIPDSFDSTDESSTRPNTRSSRR RGMSLGSRSRYDDYDDDDYGRSRGYDDFDSGDEDYDNGRSPNRNSSRNRGPQIDRGTK PRANTRWEDDLYDRDTGYSRPTHHSGRDYDYTRGDRRSNGRQRGYSLGHGPTHPSHIS NVDDLSHKMSKTGLGNESSSTKSATPTAIALYNFAGEQPGDLTFKKGDVITILKKSDS QNDWWTGRANGNEGIFPANYVRVS SPAR_H00590 MTWSGGKDIVDQIFDAGYWLVSKSAVLGDEIKNHVEKSIESISE KMSNKETPRLQESNSNKFKAYKTLRIGFQDHWKLGLGISATSLCLYLGYRTFFKLPPY LPEAESQVVLILGDMNDPIIRNQVMDLYRRRFTVYICTENADVYKKHEEDQDFVYYID PTCEEDFEAFFLDVPRLASILFMPRLSYHPSGAISCDSLESEIHSSILVYHQALLTII PHLKRNTQLIMFNPSLTAELNLVHHSTEIIMSSIIDSLFRIFKNYRRLNVSMIKLGIL QIGSQPSNYKFLTMAGSDIHEALHYPVYKLIMSANGYKLRQLLSWLTTWGGCNSVYHC GRFSYLISWPFASLIYNHRTRFSLKRLKKNLTKAYNSIISILPQSSSKSSK SPAR_H00600 MSDGTQKLWGGRFTGETDPLMHLYNASLPYDYKMYKADLEGTKV YTAGLQKLGLLTDAELAKIHEGLAEIQKEWDADKFVRHPNDEDIHTANERRLGEIIGR EIAGKVHTGRSRNDQVVTDLRIYCRDVVNDTLFPALKGLVDVLIKRAEGEIDILMPGY THLQRAQPIRWSHWLSSYATYFTEDYKRLGQILHRLNQSPLGAGALAGHPYGIDREFL AEGLGFNSVIGNSLVAVSDRDFIVELMFWGTLFMNHISRFAEDLIIYCTAEFGFIQLS DAYSTGSSLMPQKKNADSLELLRGKSGRVFGDLTGFLMSLKGIPSTYDKDMQEDKEPL FDCLTTVEHSMLIATGVISTLTVNKDKMEAALTMDMLATDLADYLVRKGVPFRETHHI SGECVATAENLGLSGIDKLTLEQYQKIDSRFAEDLFETFNFEQSVERRNATGGTAKSA VLKQLNNLKSQLN SPAR_H00610 MSSLYIKEATGVDELTTAGSQDHPFKTPAYALFASQQKSDTTEP KLFVFKTEDNEYQEISASALKKARKGCDGLKKKAVKQKEQELKKQQKEAENAAKQLSA LNITIKEDESLPAAIKTRIYDSYSKVGQRVKVSGWIHRLRSNKKVIFIVLRDGSGFIQ CVLSGDLALAQQTLDLTLESTVTLYGTIVKLPEGKTAPGGVELNVDYYEVVGLAPGGE DSFTNKIAEGSDPSLLLDQRHLALRGDALSAVMKVRAALLKSVRRVYDEEHLTEVTPP CMVQTQVEGGSTLFKMNYYGEEAYLTQSSQLYLETCLASLGDVYTIQESFRAEKSHTR RHLSEYTHIEAELAFLTFDDLLQHIETLIVKSVQYVLEDPIAGPLVKQLNPNFKAPKA PFMRLEYKDAITWLKEHDIKNEEGEEFKFGDDIAEAAERKMTDTIGVPIFLTRFPVEI KSFYMKRCSDDPRVTESVDVLMPNVGEITGGSMRIDDMNELMAGFKREGIDTDAYYWF IDQRKYGTCPHGGYGIGTERILAWLCDRFTVRDCSLYPRFSGRCKP SPAR_H00620 MPVSEAFAKLCVNEKPSAESAVAVKSLVFKPKTPKSATPVPVVV VALQSTTTPSALIANATSTKDPRLARDDLVKQAFQSESARAFILGDLANATSEFHLLI DHELGTVDGDTILQLNDSTYMKKSDMLKFLSDFEGYQKVVDFSQEVPKEAATEGKKQQ KKQQPSKAGTAAAAAAAAALEDAKLIGITVNKALDFPGWYQQILTKGEMLDYYDVSGC YILRPPSYAIWENIQRWFDDKIKAIGVQNAYFPMFVSSRVLEKEKDHVEGFAPEVAWV TRAGSSELEEPIAIRPTSETVMYPYYAKWVQSYRDLPLKLNQWNSVVRWEFKHPQPFL RTREFLWQEGHTAHLTAKDAEEEVLQILDFYAGVYEELLAVPVVKGRKTEKEKFAGGD FTTTCEGYIPQTGRGIQGATSHHLGQNFSKMFNLSVENPLGSDHPKIFAYQNSWGLST RVIGVMVMIHSDNKGLVIPPRVSQFQSVVIPVGITKKTSDEQRKHIHETARSVESRLK KVGIRSFGDYNDNYTPGWKFSQYELKGIPIRIELGPKDIEKNQVVVVRRNDSKKYVVS FDELEVRIPEILEEMQGDLFKKAKELFDTHRVIVNEWSGFVPALNKKNVILAPWCGVM ECEEDIKESSAKKDDGEEFEEDDKAPSMGAKSLCIPFDQPVLNEGQKCIKCERIAVNY CMFGRSY SPAR_H00630 MFYSAVLVQDLLHPTAASEARKHKLKTLVQGPRSYFLDVKCPGC LNITTVFSHAQTAVTCESCSTVLCTPTGGKAKLSEGTSFRRK SPAR_H00640 MSERLSYGADSAPIPRCFDLKSSKITVMGDDNSGKTSLVRSWLG NSFQISDANRYRVSDLYHKKIQFDTLIKYYHTFGVEGQVPNSASLEVKNPDILYESCG DFLEKHLINTNKSVAKRRTSIDVQIFDTNQMEVSYHSELTTTQIKQSDAIILCFDSTN YSSFASLESYICIIHHVSLTCELNIPIIIACTKCDLISERVISSEKILVLLQELNLSP SSLDYFETSSKFDANVEDLFLAVLLKIEKSKSDRRKLLQGLISKMYPAECSPPLNGKG RTCDAETGERINKSLESHSATATLVESKNPTTTKGKQISVKTSLAKNSTVRRLNESSS IDEKKKLKCIIACCVM SPAR_H00650 MTGGQSCSSNMIVWIPDEREVFIKGELMSTDISKNKFTGQEEQI GIVRPLDSTEATNLVQVRISDVFPVNPSTFDKVENMSELTHLNEPSVLYNLEKRYNCD LIYTYSGLFLVAINPYHNLNLYSEDHISLYHNKHNRSSKSGLNEGAHEKLPPHIFAIA EEAYENLLSEGKDQSILVTGESGAGKTENTKKILQYLASITSGTSSNVVSANGSSIVE SFEMKILQSNPILESFGNAQTVRNNNSSRFGKFIKIEFNEHGMINGAHIEWYLLEKSR IVHQNSKERNYHIFYQLLSGLDDSELKNLCLKSRNIKDYKILSNSNQDLIPGINDVEN FKALLSALNIIGFSKEQVKWIFQVVAIILLIGNIEFVSDRAEQASFKNDVSAICSNLG VNEKDFQTAILRPRSKAGKEWVSQSKNSQQAKFILNALSRNLYERLFGFIVDMINKNL DHGGATLNYIGLLDIAGFEIFENNSFEQLCINYTNEKLQQFFNNHMFVLEQSEYLKEN IQWDYIDYGKDLQLTIDLIENKGPPTGVLPLLDEEAVLPKSTDESFYSKLISTWDQNS SKFKRSKLRNGFILKHYAGDVEYTVEGWLSKNKDPLNDNLLSLLSASQNDIISKLFQL EGEKSSSAGAEANISTQEVKKSARTSTFKTTSSRHREQQITLLNQLASTHPHFVRCII PNNVKKAKTFNRKLILDQLRCNGVLEGIRLAREGYPNRIAFQEFFQRYRILYPENSVS TSLTSKSKTNTKQNCEFLLTSLQLDTKVYKIGNTKLFFKAGVLADLEKQKDVTLNNIM IKLTAAIRGCTIRKEVTSHLQKLRNTRVIGNTFRLYNQLVKEDPWFSLFIRIKPLLIS SNDMTRTKKYNEQINKLKNDLQEMESKKKFLEGKHQKTVNELKDTQELLNQEKENLQR NESLLNRVKASSGTLQKQFDDLVSEKDEINRQKLKITQNLENANQTIQGLQETVKERE GTLEKLHSKNDQLIKQIADLNCDISKEQSSQSLIKESKFKLEGEIKRLKDIINSKEKE IKSFNDKLSSSEEDLDIKLVTLEKNCNIAMSRLQSLVTENSDLRSKNDSFKKEKTLLN NQLRNKENELIKMKEKIDNHKKELATFSKQRDEAVSEHSKITAELRETRIQLAEYKSD CQKIKDEYSNFQKQMKEQEQKKRNSLVGSLNDNKVKELETRLSQEISLNQYLNKRISG TSVESHISSTRRSISYSDDSVDKEDVIKKYYDLQLAFTEITRSLENEIEEKKSLISRL RFTETRLASSSFEDQKIKAQMKKLKKLVQDIDPSIPLDSILEEPLKNTSGRESDINKL MLEVDYLKRQLDIETRAHYDAENAISALHSKFRKIQGENSLSSSDIYKLKFEASEERV KSLEDKLKTMPLRDRTNLPVGDIIKNRDSISKYEEEIRYYKLENYKLQEILNESNGKL SQLTLDLRQSKSKEALLSEQLDRLQKDLESIERQKELLSSTIKQQKQQFENCMDDLQG NELRLREHIHALKQAEEDVKNMASIIEKLKTQNKQKEKLIWEREMERNDSEMQLQETL LELKRVQDVKKILSDDLAHLKERLSAVDDRSQYTDEINRLKEELNCSLKAETNLKKEF ATLKYKLETSNNDYEAKISDLLKQLDHYTKVVEMLNNEKDAISLGEKELYQKYEALDA ECESLKVKIGSLTKIKQELESDLNQKTDALELSRAALSSSTQKNKEISEKIKYLEETL QLQMEQNSRNGELVKKLQDTCSGYKEKFDDEKQKNIDLYEENQTLQKLHTDLQSHLEN LQDRLSDTTEKNAWLSKIHELENMVSLETDLKYEEMKKNKSLERVVEELQTKNSQQTD VIELANKNRSEFEEATLKYEAQISELEKYISQQELEMKKSIRDNSSYHDKVQEMAQEI EFWKSRYESTIIGSKNIDSNNAQGKVFS SPAR_H00660 MLRNGVRRLYSNIARTDNFKLSSLANGLKVATSNTPGHFSALGL YIDAGSRFEGRNLKGCTHILDRLAFKSTEHIEGRAMAETLELLGGNYQCTSSRENMMY QASVFNQDVGKMLKLMSETVRFPKITEQELQEQKLSAEYEIDEVWMKPELVLPELLHT AAYSGETLGSPLICPRELIPSISKYYLLDYRNKFYTPENTVAAFVGVPHEKALELADK YFGDWQSTHPPITKKAAHYTGGESCIPPAPVFGNLPELFHIQIGFEGLPIDHPDIYAL ATLQTLLGGGGSFSAGGPGKGMYSRLYTHVLNQYYFVENCVAFNHSYSDSGIFGISLS CIPQAAPQAVEVIAQQMYNTFANEDLRLTEDEVSRAKNQLKSSLLMNLESKLVELEDM GRQVLMHGRKIPINEMISKIEDLKPDDISRVAKMIFTGSVNNAGNGRGKATVVMQGDR ESFGDVENVLKAYGLGNSSSFKNDSPKKKGWF SPAR_H00670 MVRAFKIKVPASSANIGPGYDVLGVGLSLFLELDVTIDSSQAHE TNDDPNNCRLSYTKESEGYSTVPLRSDANLITRTALYVLRCNNIRNFPSGTKVHVSNP IPLGRGLGSSGAAVVAGVILGNEVAQLGFSKQRMLDYCLMIERHPDNITAAMMGGFCG SFLRDLTPQEVERREIPLSEVLPEPSGGEDTGLVPPLPPTDIGRHVKYQWNPAIKCIA IIPQFELSTADSRGVLPKAYPTQDLVFNLQRLAVLTTALTMDPPNADLIYPAMQDRVH QPYRKTLIPGLTEILSCVTPSTYPGLLGICLSGAGPTILALATENFEEISQEIINRFA KNGIKCSWKLLEPAYDGASVEQK SPAR_H00680 MNKESKDDDMSLGKFSFSHFLYYLVLIIVTVYGLYKLFTGHGSD INFGKFLLRTSPYMWANLGIALCVGLSVVGAAWGIFITGSSMIGAGVRAPRITTKNLI SIIFCEVVAIYGLIIAIVFSSKLTVATAENMYSKSNLYTGYSLFWAGITVGASNLICG IAVGITGATAAISDAADSALFVKILVIEIFGSILGLLGLIVGLLMAGKASEFQ SPAR_H00690 MVDKSDKKQQNTDEQSQINAEKQTPNKKDKKKEEEEQLSEEDAK LKTDLDLLVERLKEDDSSLYEASLNALKESIKNSTSSMTAVPKPLKFLRPAYPDLCSI YDKWTDSNLKSSLADVLSILAMTYSENGKHDSLRYRLLSNISDFEGWGHEYIRHLALE IGEVYNDQVEKDAEDETSSDGSKSDGSVVNTGFEFPKEDTLRLCLDIVPYFLKHNGEE DAVDLLLEIESIDKLPQFVDENTFQRVCQYMVACVPLLPPPEDVAFLKTAYSIYLSQN ELTDAVALAVRLGEEDMIRSVFDATSDPVMHKQLAYILAAQKTSFEYEGVQDIIGNGK LSEHFLYLAKELNLTGPKVPEDIYKSHLDSSKSVFSSAGLDSAQQNLASSFVNGFLNL GYCNDKLIVDNDNWVYKTKGDGMTSAVASIGSIYQWNLDGLQQLDKYLYVDEPEVKAG ALLGIGISASGVHDGEVEPALLLLQDYVTNPDTKISSAAILGLGIAFAGSKNDEVLGL LLPIAASTDLPIETAAMASLALAHVFVGTCNGDITTSIMDNFLERTPIELKTDWVRFL ALALGILYMGQGEQVDDVLETISAIEHPMTSAIEVLVGSCAYTGTGDVLLIQDLLHRL TPKNVKGEEDADEEEGADGQTNSISDFLGEQVNETAKNEEAEVEVDEMEVDAEGDEVE VKAEVTERKDGGDLEGEEIKTEEEKGKSSDKDATTDGKNDDEEEEKEAGIVDELAYAV LGIALITLGEDIGKEMSLRHFGHLMHYGNEHIRRMVPLAMGIVSVSDPQMKVFDTLTR FSHDADLEVSMNSIFAMGLCGAGTNNARLAQLLRQLASYYSREQDALFITRLAQGLLH LGKGTMTMDVFNDAHVLNKVTLASILTTAVGLVSPSFMLKHHQLFYMLNAGIRPKFIL ALNDEGEPIKVNVRVGQAVETVGQAGRPKKITGWITQSTPVLLNHGERAELETDEYIS YTSHIEGVVILKKNPDYHEEE SPAR_H00700 MEGGEEEVERIPDELFISKKKHLLDKLIKVGIILVLLIWGTVLL LRSLPHHPNTPDYQKPNSNYTSDGKLKVSFSNVRNNTFQPKYHELQWINDNKVEGNDL GLYVTFMNESYVVRSVYEDSYNNILLKGKTFIHNGQNLTVESISASPDLKRLLIRTNS VQNWRHSTFGSYFVYDEKSSSFELIGNDVAIAIWSPNSNDIAYVQDNNIYIYSAIFKK TIRAVTNDGSSFLFNGKPDWVYEEEVFEDDKAVWWSPTGDYLAFLKIDESEVGEFIIP YYVQDEKDVYPEMRSIKYPKSGTPNPRAELWVYSMKDETMFHPRVSRDKKDGSLLITE VTWVGNGNVLVKTTDRSSDILTVFLIDTVTKTSNVVRNESSHGGWWEITHNTLFIPAN ETLNRPHNGYVDILPIDGYNHLAYYENSSNSHYKTLTKGKWEVVNGPLAFDSIENRLY FISTRKNSTERHVYCIDLRSPDDIIEVTDTSEDGVYDVSFSSGRRFGLLTYKGPKVPY QKIVDFHSRKTDKHTKGNVLGKSLYYLEKNELITKTLEDYSVPRKSFRELNLGKDESG EDILVNSYEILPNDFDETLTDYYPVFFFAYGGPNSQQVIKTFSVGFNEVVASQLNAIV VVVDGRGTGFKGQNFRSLVRDRLGDYEARDQISAASLYGSLAFVDSQKISLFGWSYGG YLTLKTLEKDAGKHFKYGMSVAPVTDWRFYDSVYTERYMHTPQENFDGYVKSSVHNVT ALAQANRFLLMHGTGDDNVHFQNSLKFLDLLDLNGVENYDVHVFPDSDHSIRYHNANV IVFNKLLDWAKHAFDGEFVK SPAR_H00710 MTLTVPFKQVDVFTKTPFKGNPVAVVNFLEVDESEVTQEDLQAI ANWTNLSETTFLFKPSDNKYDYKLRIFSPRSELPFAGHPTIGSCKAFLEFTKNTTATS LVQECGVGAVPLTINKGLISFKAVIADYESISSETIADYEQAIGLKFKTPPALLHTGP EWIVGLVEDAETCFNANPNFALLARQTKQNNHEGIILAGLKKTAVIKNSYEMRAFAPA INVNEDPVCGSGSVALARYLQELYKFEETTDITISQGGRLQRNGQILASIKKEANDNT SYHVAGHAVTVIDGKITL SPAR_H00720 MTDKIERHTFKVFNQDFSVDKRFQLIKEIGHGAYGIVCSARFAE AAEDTTVAIKKVTNVFSKTLLCKRSLRELKLLRHFRGHKNITCLYDMDIVFYPDGSIN GLYLYEELMECDMHQIIKSGQPLTDAHYQSFTYQILCGLKYIHSADVLHRDLKPGNLL VNADCQLKICDFGLARGYSENPVENSQFLTEYVATRWYRAPEIMLSYQGYTKAIDIWS AGCILAEFLGGKPIFKGKDYVNQLNQILQVLGTPPDETLRRIGSKNVQDYIHQLGFIP KVPFVNLYPNANSQALDLLEKMLAFDPQKRITVDEALEHPYLSIWHDPADEPVCSEKF EFSFESVNDMEDLKQMVIQEVQDFRLFVRQPLLEEQKQLQLQQQQQQQQASNANNGNA AVSEGNYSKQMATSNSVASQQESFGIHSQNLPRHDTDFPPRPQESMMEMGPAAADTAD IPPQNDNGTLLDLERELEFGLDRKYF SPAR_H00730 MFRSHASGNKKQWSKRTSNCNTSAGSASGSHAYRQQTLSSFFMG SGKKPAAASKNSTTVIDLENGDEGNHTRIVPPRPRLIRNNSSSLFSQTQGSFGDDDPD AEFKKLVNVPRLNSYKKPSRSPSMTSSLHKTASASTTQKTYHYDEDETLREVTSVKSN SRQLSFTSTINIDDSSMELSADSERPAKRSKPSMEFQGLKLTVPKKIKPLLRKTASNL DSMNQRSGSSPVVLTTEQERVVNLIVKKRTNVFYTGSAGTGKSVILQTIIRQLSSLYG KESIAITASTGLAAVTIGGSTLHKWSGIGIGNKTIDQLVKRIQSQKELLAAWRYTKVL IIDEISMVDGNLLDKLEQIARRIRKNDDPFGGIQLVLTGDFFQLPPVAKKDEYKVVKF CFESEMWKRCIRKTILLTKVFRQQDNELIDILNAIRYGELTVDITKTIRNLNRDIDYP DGIAPTELYATRREVELSNVKKLQSLPGNLYEFKAVDNAPERYQALLDSSLMVEKVVA LKEDAQVMMLKNRPDVELVNGSLGKVLFFVTESLVVKMKEIYKIIDDEVVMDMRLVSR VIANPLLKESKEFRQDVNARPLARLERLKILINHAVKISPHKEKFPYVRWTVGKNKYI HELMVPERFPIDIPRENVGLERTQVPLMLCWALSIHKAQGQTIQRLKVDLRRIFEAGQ VYVALSRAVTMDTLQVLNFDPGKIRTNERVKDFYKRLETLK SPAR_H00740 MSKQFSHTTNDRRSSIIYSTSVGKAGLFTPADYIPQESEENLIE DEEQEGSEEESVCAGNNDETEREGEYHSLLDANNSRTLQQEAWQQGYNSHDRKRLLDE ERDLLIDNKLLSQNGNGGGDIESHGHGQPVEPDEEERPTEIVNAWESAIESGQKINTT FKRETQVITMNALPLIFTFILQNSLSLASIFSVSHLGTKELGGVTLGSMTANITGLAA IQGLCTCLDTLCAQAYGAKNYHLVGVLVQRCAVITILAFLPMMYVWFVWSEKILALMI PERELCALAANYLRVTAFGVPGFILFECGKRFLQCQGIFHASTIVLFVCAPLNALMNY LLVWNDKIGIGYLGAPLSVVINYWLMTLGLLIYAITTKHKERPLKCWNGIIPKEQAFK NWRKMINLAIPGVVMVEAEFLGFEVLTIFASHLGTDALGAQSIVATIASLAYQVPFSI SVSTSTRVANFIGASLYDSCMITCRVSLLLSFVCSSMNMFVICRYKEQIASLFSTESA VVRMVVDTLPLLAFMQLFDAFNASTAGCLRGQGRQKIGGYINLVAFYCLGVPMAYVLA FLYHLGVGGLWLGITSALVMMSVCQGYAVFHGDKRRILGAARKRNAETHAS SPAR_H00750 MTKAYTIVIKLGSSSLVDESTKEPKLSIMTLIVETVTNLKRMGH KVIIVSSGGIAVGLDALNIPHKPKQLSEVQAIAAVGQGRLIARWNMLFSQYGEETAQI LLTRNDILRWNQYNNARNTINELLAMGVIPIVNENDTLSISEIEFGDNDTLSAITAAL VGADFLFLLTDVDCLYTDNPRTNPDARPIVLVPELSEGLPGVNTSSGSGSEVGTGGMR TKLIAADLASNAGIETIVMKSDRPEYVPEIVDYIQHHFRPPRHTGNGTQQQFLELQDA ELEQLRRHNVPMHTKFLANDNKHKLKNREFWILHGLITKGAIIIDENSYDRLLSKDKA SLTANAVIEVRDNFHELECVDLKIGKRLPNGELDISKPVQSVGRVRSNYTSLELAKIK GLPSEKIHDVLGYSVSEYVAHRENIAFPPQF SPAR_H00760 MADFLLRPIKQRHRNEDKYVTVEAAGGSVSKIEPIADFVIKTKL ISGNGPEKLQDGRKVFINVCHSPLVPKPEIEFDARIVFPLIIQNEWEIPIITSCYRMD HDKKGQECYVWDCCINSDCSRWICEDIQLREILVEWCLESCEIRDSVVLCRDHIAFPK MKKKGAEIPALEILNDELQQDYKAKMHKIIEEEARDPMSILRGGNDDGDNNNNGDDGA LPPLFPMESKTSGAKIEEIDESEIARTKEKKAPAAQKQQEDVPEYEVKMKRFNGAAYK LRILIEDKAQNSRPDQFSPSYYFAENVLYINDKLSLPLPNDIVANAADIKIFHIAKER RLYIYI SPAR_H00770 MRSPINFLYEPFSSGSSAMQNYDLDLKCTKCGAFYSMDCSLREQ KVWSCLFCSQSNPGVRLPLVLPNPYTLTSVKEEALERRTIMIIDAICDPHELDHLVSI LCNSYIANEQEPLSIITIQQSGHVVLHSAVNHKHDAVFSINEFMTKYKLDKLNASYFE KKITENNQESYWFGKSTHGSLRKLLKEICKSASKANINSGRDKRCTGLALFISSVLAS RYSLSGYSHIVSFLNGPCTKGSGKVMTRERGESMRQNHHFESKSPQFQLSKISTKFYM KMFEKFGKQNLIYEFFIASLDQIGVLEMGPLITSSMAVSQFDSFNDERFKKSFRKYLS LRDHDAIYNCQSKLITAKNAIVVNEFPKYSLNPKNLSLPVEIPVDRNSTEVLIQFQTT FENKSEKCIKIETFLLPKTSDSFWIQNEIVFSMKKIASQIINGFTYSSRQAKGLMKQL FLLPSQFRGMDLDVVKLIEWCYYIYRSPILSIRNTSPDERYLFLHQIINANKDTCLSL CKPFIWSYSGSKHDWTVSNILLTRAQVLQDNKTTFCVDGGSYLALRKGELFQKEGREL CCKILNNLQRFPQPLYVETKTGGSQDRFLKSKIIPLDTTDKETLGTEDMTFGEFFNLF TVSNRSK SPAR_H00780 MESFENLSIRDSFTSGMEHVDEELVGLSDLSISKQGPTLSPQLI NRFMPHFPSSPSPLRNTLDFSTAKADEEEDDRMEIDEVDDTSFEEEYNGEPIDAPTEA TENAIVEEIEATPEDKQKQKNSETQDQNVEEVENIVSPHHSTVIKALLSPTDLGVAAA TKVEGVVPLPQSSNQGNNEISKSNVQDEDVIENEEVEDEINSSTGNDKANKYTNAFDS EIIKRELRSRSKYQPIQVSFNTHNYFYSDEDGVKTYSLTKPNYNRIDEFYNQNEAFKL PKPWSPNSHPASRASYALMSYLQLFLNAITTVVIFSFILSFIIALQKDLKSTWEQRKH ELQYESKICQEQYITNRCNQTPGLPALGEQCAIWKQCMNRNNDIFFRARSTLSAKLFG DIINSFIDPLNWKTLFVIFCGVVTWCFSSNFLLGFVRAKSYYGDGIKRYPLPSSPTSP TSPTSQSSMAASREDSRLLKQ SPAR_H00790 MLSARCLKSIYFKRSFSQLGHIKPPKHIKNEPVKLFGNTDLKDW DLLRASLMKFKSSSLEVPLVINGERIYDNNERALFPQTNPANHQQVLANVTQATERDV MNAVKAAKDAKEDWYKLPFYDRSAIFLKAADLISTKYRYDMLAATMLGQGKNVYQAEI DCITELSDFFRYYVKYASDLYAQQPVESADGTWNKAEYRPLEGFVYAVSPFNFTAIAA NLIGAPALMGNTVVWKPSQTAALSNYLLMTVLEEAGLPKGVINFIPGDPVQVTNQVLA DKDFGALHFTGSTNVFKSLYGKIQSGVVEGKYRDYPRIIGETGGKNFHLVHPSANISH AVLSTIRGTFEFQGQKCSAASRLYLPESKSEEFLSDMFGILQSQNVVPMNTSASPISG GNLRGFMGPVIHEQSFDKLVKVIEDAKKDPELEILYGGQYDKSQGWFIGPTIIKAKRP DHPYMSTEFFGPILTVYEYPDQEFNKICDVIDNTSQYALTGAIFAKDRKAIEYADEKL KFSAGNFYINDKCTGAVVSQQWFGGARMSGTDDKAGGPNILSRFVSIRNTKENFYELT DFKYPSNYE SPAR_H00800 MILTTVRLSCRPVVIPRLFNRSFSQSFTTLKKKSSTPAEKVEED EVDVNELLKKAEAQFKRTLETQKQKLNEIKQGNFNPKVFNSLVFKNNRKFTDIATTSL KGKNALLITVFDPKDVKTVVSGVLAANLNLTPERVPNNDLQLKVSLPPPTTESRLKVA KDLKRVFEEYKQSSLKDSLGTIRGGILKEFKSFKKDDAVRKAERDLEKLHKDYVNKLH DQFQQVEKSVVK SPAR_H00810 MSKVYLNSDMINHLNSTVQAYFNLWLKKQNAIIRSQPQIIQDNQ KLIGITTLVASIFTLYVLVKIISTPTKCSSSYKPVSFSLSAPEAAQNNWKGKRSVSTN IWNPEEPNSIQCHCPATGQYLGSFPSKTEADIDEMVSKAGKAQSTWGNSDFSRRLRVL ASLHDYILNNQDLIARVACRDSGKTMLDASMGEILVTLEKIQWTIKHGQRALLPSRRP GPTNFFMKWYKGAEIRYEPLGVISSIVSWNYPFHNLLGPIIAALFTGNAIVVKCSEQV VWSSEFFVELIRKCLDACDEDPDLVQLCYCLPPTEKDDSANYFTSHPGFKHITFIGSQ PVAHYILKCAAKSLTPVVVELGGKDAFIVLDSAKNLEALSSIIMRGTFQSSGQNCIGI ERVIVSKENYDDLVKILNDRMTANPLRQGSDIDHLENVDMGAMISDNRFDELEALVKD AVAKGARLLHGGSRFKHPKYPQGHYFQPTLLVDVTPEMKIAQNEVFGPILVMMKARNT DHCVQLANSAPFGLGGSVFGSDIKECNYVANKLQTGNVAINDFATFYVCQLPFGGING SGYGKFGGEEGLLGLCNAKSVCFDTLPFVSTQIPKPLDYPIRNNARAWNFVKSFIVGA YTNSTWQRIKSLFSLAKEAS SPAR_H00820 MSQKNGIATLLQAEKEAHEIVSKARKYRQDKLKQAKTDAAKEID SYKIQKDKELKEFEQKNAGGVGELEKKAEAGVQGELAEIKKIAEKKKNDVVKILIETV IKPSSEVHINAL SPAR_H00830 MTTVLCDVCGIKEFKYKCPRCLVQTCSLECSKKHKTRDNCSGQT HDPKEYISSEALKQADDEEHERNTYVQRDYNYLTQLKRMVNVQKMDARMKNKRVLGPA GHNANLKRRRYDMGEGDCDSTECQRIIRRGVNCLMLPKGMQRSSQNRSKWDKTMDLFV WSVEWILCPMQEQGEKKEVFKHVSHRIKETDFLVQGMGKNVFQKCCEFYHLAGTNSCE EGEDGSETKEERTQILQKSGLKFYTKSFPYNTTHIMDSKKLVELTIHEKCIGELLKNT TVIEFPTIFIAMTEADLPEGYKVLHQEPRQVEHTNTLNKFIDDVREEEDAEEDSQPTE EPVKKEAPNASNNSDSDSDSDDDYNPGLSMDFLTA SPAR_H00840 MGKSAVIFVEKATPATLTELKDALSNSILSVRDPWSIDFRTYRC SIKNLPADVSKLMCSITFHHHGRQTVVIKDNSAMVTTAAAADIPPALVFNGSSTGVPE SIDTILSSKLSNIWMQRQLIKGDAGETLILDGLTVRLVNLFSSTGFKGLLIELQADKA GKFEAKIAGIEAHLVEIRAKDYKTSSDSLGPDSHNEICDLAYQYVRALEL SPAR_H00850 MPFGIDNTDFTVLAGLVLAVLLYVKRNSIKELLMSDDGDITAVS SGNRDIAQVVTENNKNYLVLYASQTGTAEDYAKKFSKELVAKFNLNVMCADVENYDFE SLNDVPVIVSIFISTYGEGDFPDGAVNFEDFICNAEAGALSNLRYNMFGLGNSTYEFF NGAAKKAEKNLSAAGATRLGKLGEADDGAGTTDEDYMAWKDSILEVLKDELHLDEQEA KFTSQFQYSVLETITDSVSLGEPSVHYLPSHELNRNADGIQLGPFDLSQPFIAPIVKS RELFSSNDRNCIHSEFDLSGSNIKYSTGDHLAVWPSNPLEKVEQFLSIFNLDPETIFD LKPLDPTVKVPFPTPTTIGAAIKHYLEITGPVSRQLFSSLIQFAPNADVKDKLTLLSK DKDQFAVEITSKYFNIADALHYLSDGVKWDTVPVQFLVESVPQMTPRYYSISSSSLTE KQTVHVTSIVENFPNPELPDAPPVVGVTTNLLRNIQLAQNNVNIAETNLPVHYDLNGP RNLLANYKLPVHVRRSNFRLPSNPSTPVIMIGPGTGVAPFRGFIRERVAFLESQKKGG NNVSLGKHLLFYGSRNTDDFLYQDEWPEYAKKLDGSFEMVVAHSRLPNTKKVYVQDKL KDCEDQVFEMINDGAFIYVCGDAKGMAKGVSTALVGILSRGKSISTDDATELIKMLKT SGRYQEDVW SPAR_H00860 MPQFSVDLCLFDLDGTIVSTTTAAESAWKKLCRQHGVDPVELFK HSHGARSQEMMKKFFPKLDNTDNKGVLALEKDMADNYLDTVSLIPGAENLLLSLDIDT DSGKKLPQRKWAIVTSGSPYLAFSWFETILKKVGKPKVFITGFDVKNGKPDPEGYARA RDLLRQDLQLAHKQDLKYVVFEDAPVGIKAGKSMGAITVGITSSYDKSVLFDAGADYV VCDLTQVSVIKNNANGIVIQVDNPLTRD SPAR_H00870 MALFSADICLFDLDGTIVSTTVAAEKAWKKLCREYGVNPSELFK HSHGARTQEVLKRFFPKLDDTDNKGVLALEKDIAHSYLDTVSLIPGAENLLLSLDIDT DSGKKLPQRNWAIVTSGSPYLAFSWFETILKKVGKPKVFITGFDVKNGKPDPEGYARA RDLLRQDLQLAHKQDLKYVVFEDAPVGIKAGKSMGAITVGITSSYDKSVLFDAGADYV VCDLTQVSVIKNNANGIVIQVDNPLTRD SPAR_H00880 MNWSFLLQLVITVLLIVLGANWLLSSFLLDFKRDLTGVALSQQS SISSVRKENETAYYRSMLVPTGFPLTTGLGLSLKYKIRNGNFGDVWNAIMEVSKGKNT IKFTGNEKSHSLGELNGMAKRVAQRLSTENFKNIGISNSIATVEGFTLTLASMMISIK TGSIPHFLPAVPRQRLEDVDVLIIDSWRSLKMLNGSEDWYRLIIVCDDPAKSPQFDAS YNVTTWKQLIDGFTNDTEYQYTPPDDNSDDKKFFAYVTSPWNGTNSFNQICLVSNIAE FIKGFPLGNELNNHEHLTISAKLASSSAGLQIWGKLFAVLLHGGCASFINPATLDCRS LHETTLLFIETKEVVKLIDSSSKSGPLNRLYLSWATNLLSEGIFTKITKIEPRYLEKL RCTYLADSVKDAEAISTFPEKIPQLKKTNRRTTPTTKQLNEIRAQLGSRVVLELYCPY TIMGPVAHTNFYDYRVFENSVDDSVVCYGTLSTTLEGKMVETETNPLLNIEKKQGMLC IRGFSIGKPVESRRLEKALHLAERFGGGEGWMPLVGVFGLWGQDGCLYIYNQ SPAR_H00890 MTIDLASIEKFLCDLATEKVGPIIKSKSGTQKDYDLKTGSRRVD IVTAIDKQVEKLIWESVRAQYPNFKFVGEESYVKGETVITDDPTFIIDPIDGTTNFVH DFPFSCTSLGLTVNKEPVVGVIYNPHINLLVSASKGNGMRVNNKDYDYKSKLESMGSL ILNKSVVALQPGSAREGKNFKTKMATYEKLLSCDYGFVHGFRNLGSSAMTMAYIAMGY LDSYWDGGCYSWDVCAGWCILKEVGGRVVGANSGEWNIGVDNRTYLAVRGTINNENDE QTKYITDFWNCVDGQLKYD SPAR_H00900 MSREVLPKNVTPLHYDITLEPDFQAFTFEGSLRIDLQINDHSIN YVQVNCLEIDFNTARIEGVSAIEVDKSENQQTATFVFPDGTFENLGPSAELKISFTGI LNDQMAGFYRAKYTDKATGEMKYMATTQMEATDARRAFPCFDEPNLKATFAVTLISEP FLTHLSNMDVKAETVKEGKKYTTFNTTPKMSTYLVAFIVADLRYVESNNFRVPVRVYS TPGDEKFGQFAADLAARTLKFFEDTFSIEYPLPKMDMVAVHEFSAGAMENWGLVTYRV IDLLLDMKNSSLDRIQRVAEVIQHELAHQWFGNLVTMDWWEGLWLNEGFATWMSWYSC NEFQPEWKVWEQYVTDNLQRALNLDSLRSSHPIEVPVKNADEINQIFDAISYSKGSSL LRMISKWLGEETFIKGVSQYLSKFKYGNAKTGDLWDALADASGKDVRSVMNIWTKRVG FPVLSVKEQKNKITLTQHRYLSTGDVKEEEDTTIYPILLGLKDSTGIDNSLVLNKRSA TFELKNGDFFKINGDQSGIFITSYSDERWAKLSTQAHLLSVEDRVGLVADAKALSASG YTSTTNFLNLISNWKDEDSFVVWEQIINSLSALKSTWLFEPEDILNALDKFTLNLVLN KLSELGWNISEDDSFAIQRLKVNLFGAACSSGNKEMQSIAVKMFEEYTDGNRKAIPAL FKAIVFNTVARLGGENSYEKIFRIYQNPVSSEEKIVALRALGRFEDSRLLERTLSYLL DGTVLNQDFYIPMQGIRAHKEGIERLWAWMQEHWDEIAKRLQPGSPVLGGVLTLGLAN FTSFEALEKISTFYARKVTKGFDQTLAQALDTIRSKAQWVSRDRDIVAAYLHEQEYGQ SPAR_H00910 MVAELQVASTQSSALEFTEDEHCSIHSDKAAKLVLELTSERKYE GGQSHEVKFNEDIADPEDIARHMSTARRYYISSLITFTSMVITMISSSWTLPSAHIIE HFHISHEVSTLGITLYVFGLGIGPLFLSPLSELYGRRITFLYALALSIIWQCLTIWSK TVTGVMFGRFLSGFFGSAFLSVAGGAIADIFDKDQIGIPMAIYTTSAFLGPSLGPIIG GALYHECYKWTFITLLITSGCCLVMIIFTIPETYKPMLLIRKAKRLRKEGNDERYYAA LEITREQTSLLSAVFLSTRRPFGLLLRDRMMGVLCFYTGLELAIIYLYFVAFPYVFKK LYNFGPMEIACSYIGIMVGMIISAPTCLLFQKTFEWRVKRNNGVKTPEMRFEPLFYGA FLTPIGLFIFAFTCFKHVHWIAPIIGSAVFGSGVYFVFTGVFAYTVDAYRRYAASGMA CNTFVRCIMAGVFPLFGLQMYEAMGVNWAGFLLAMVTVAMIPVPFLFTKYGARLRAKS PYAWDD SPAR_H00920 MTVQIPKLLFLQGFLQNGKVFSEKSSGIRKLLKKANVQCDYIDA PVLLEKKDLPFEMDDDKWQATLDADVNRAWFYHSDISHELDISEGLKSVVDHIKANGP YDGIVGFSQGAALSSIITNKITELVPDHPQFKVSVVISGYSFTEPDPAHPGELRITEK FRDSFAVKPDMKTKMIFIYGASDQTVPSVRSKYLYDIYLKAQDGNKEKVLAYEHPGGH MVPNKKDIIRPIVEQITSSLQEASE SPAR_H00930 MTSQEYEPIQWSEEFQTDDNTSNHEYGDVNTTTPEPFHRTAMQQ DSTSWSLIRTLRKYAKFIGPGLMVSVSYMDPGNYSTAVAAGSAHRYKLLFSVLVSNFM AAFWQYLCARLGAVTGLDLAQNCKKHLPFGLNITLYILAEMAIIATDLAEVVGTAISL NILFHIPLALGVILTVVDVLIVLLAYKPNGSMKGIRIFEAFVSLLVVLTVVCFTVELF YAKLGPAKEIFSGFLPSKAVFEGDGLYLSLAILGATVMPHSLYLGSGVVQPRLREYDI KNGHYLPDANDMDNNHGNYRPSYEAISETLHFTITELLISLFTVALFVNCAILIVSGA TLYGSTQNSEEADLFSIYNLLCSTLSKGAGTVFVLALLFSGQSAGIVCTLSGQMVSEG FLNWTVSPALRRSATRAVAITPCLILVLVAGRSGLSGALNASQVVLSLLLPFVSAPLL YFTSSKKIMRVQLNRSKELSRTTDKKYVGDRNEDDETIELEEMGIGSSSQERGLVSPA PEYKDMSNGIIVTVLAVIVWLIISGLNFYMLLGFTTGKEVHL SPAR_H00940 MLSRAIFRNPVINRTLLRARPGAYSAIRLTRNSLIQSRKYSDAH DEETFEEFTARYEKEFDEAYDLFEVQRVLNNCFSYDLVPAPAVIEKALRAARRVNDLP TAIRVFEALKYKVENEDQYKAYLDELKDVRQELGVPLKEELFTSSS SPAR_H00950 MAKKSNSKKSTPVSTPNKEKKKVAEKKSSTAIPRERVTKAISEL IKFISKPQDEDNEEESNGKKNLLEDDEEELKKDLQLIVVNNKSFTGTSKSFKLKLLNV KHSFYKPWKQASVTAVKDFKVLLVLKDSDIKKVSEDDLFDKLDSEGIKIDEIICGKDL KTVYKAYEARNAFISQFSLILADDSIITSLPKLMGGKAYNKVETTPISIRTHANKEFS LTTLTNNIKKVYLNQLPVKLPRGTTLNVHLGNLEWLKPEEFVDNVELISEQLVKAFPI RSIFIKTNKSPVLPLYYNQDVLDELVAKKEKTEETQEDDMVTIDGVQVHLSTFNKGLM EIANPSELGSIFSKQVNNAKKRSSSELEKESSESEAVKKAKS SPAR_H00960 MFSELINFQNEGHECQCKCGSCKNNEQCQKSCSCPTGCDSDDKC PCGNKSEKTKKPCCSE SPAR_H00970 MMDMQVRKVRKPPACTQCRKRKIGCDRAKPICGNCVKYNKPDCF YPDGPGKMVAVPSASGISTHGNGQGANHFSQGNGVNQKNVMIQTQYPIMQTSMEASNF SFSPTVDTTMQWNKAASYQNSSTNNNTTPRQNSTNVNSNVHGNTIVRSDSPDVPSMDQ IREYNTRLQLVNAQSFDYTDSPYPFNVGMNQDSAVFDLMTSPFTQEEVLVKEIDFLRN KLLDLQGLQLKSLKEKSNLGTDNSIANKISKAGEDSKKGKVDGKRTGFGHPTSRPSTS SQKYFTALTVTDVQSLVQVKPLKDTPNYLFTKNFIILRDHYLFKFYNILHDICHINQF KVSPLIGNNRQQFTEVGKVYFPPKAAIIETLNSEPVGNLNIEEFLPIFDKILLLEYVH KSFPDGDTCPSFSMINLPLSQLTKLGQLTVFLLLLNDSRTLFNKQAINTNVSALMDNL RVIRSQITLVDLESYDQETIKFIAITKFYESLNMHDDHKSNLDEDLSCLLSFQINDFK LFHFLKKMYYSRHSLLGQSSFMVSATENLSPIPASIDTNDIPLITNDLKLLETQAKLV NLLQDVPFYLPVNSAKIESLLETLTTGVSNTLDLYCHDNEVGKEWKDTLNFINTIVYT NFFLFIQNESSLSMAIQHSSNSKKTSNSEKCAKNLMKIISTMHIFYSVSFNFIFPIKS IRSFSSGNNQFHSDGKEFLLTNHFVEILQNFIAITFAIFQRCEVILYDEFYKNLSNEE INVQLLLIHDKILEILKKLEIIVSFLRDAMNSNGNFKPIKNFEKALNLIKYMLRFSKK KQNFARNSDDNNNATDYSQSAKNKNVLLKFPISELNRIYLKFKEISDFLMEREVFQRN IIIDKDLESDNLGITTANFNDFYDAFYN SPAR_H00980 MKFNGLWYWLLLLFSVNAIASNVGELIDQEDEVITQKVFFDIDH DEEKVGRIVIGLYGKVCPKTAKNFYELSTTTNSKKGFIESTFHRVIPNFMVQGGDFTH GTGVGGKSIYGDTFPDENFTLKHDRKGRLSMANRGKDTNGSQFFITTTEEASWLDGKH VVFGQVVDGMDVVNYIQHVSRDARDKPLKPVKIVNCGEWTPELSS SPAR_H00990 MNVTPLDELQWKSPEWIQVFGLRTENVLDYFAESPFFDKTSNNQ VIKMQRQFSQLNDPNATVNMTQNIMNLPDGKNGNLEEEFAYVDPARRQILFKYPMYMQ LEEELMKLDGTEYVLSSVREPDFWVIRKQKRTNNPGLRSSKGPEIIPLQDYYIIGANV YQSPTIFKIVQSRLMSTSYHLNSTLKSLYDLIEFQPSQGVHYKVPIDTSTTATAATNG NNAGGGSNKSSVRPTGGTNMATVPSTTNVNMTVNTMGTGGQTVDNGTGRAGNGNMGIT TEMLDKLMVTSIRSTPNYI SPAR_H01000 MISSRISNRFPLLLRSSLATHKTAYRFNSTIPKPSDQIPDVDAF LTKIGRNCNELKDTFENKWDNLFQWDSKTLKEKGVNIQQRKYILNQVQNYRNNRPIHE IKLGKKSFFGGERKRKAFTAKWKAENKQ SPAR_H01010 MAQNMNAKDEQYLRLIELLSNYDSTLEQLQKGFQDGYIQLSRSN FYNKDSLRGNYGKDYWDKTYMGQLMATVGETNSKLVVDIVRRKTQDKQEKKEEEDNKL TQRKKGPKSEEQKAQNHKPKQGYDPILMFGGVLSVPSSLRQSQTSFKGCIPLIAQLIN YKNEILTLIKTLSEQE SPAR_H01020 MTEEKRLQQMELPQMKSIWIDEDQEMEKLYGFQVRQRFMNGPST DSDEDVDEDLGIVLVDSEKLALPNKNNIKLPPLPNYMAINSNINSNHKLLTNKKKNFL GMFKKKDLLSRKRGSATQTTKQSSISTPFDFHHISHANGKKEDSSFESHEKEEQDDGV ESLVKFTSLAPQPRPDSNVSSKYSNVVMNDSSRIVSSSTIATTMDSHHDGNEANNASN KTKQLNSPTELEMTLEELRNYTFPSVLGDSVSEKTNPSSPSVSSFSSKFKPRELNAIH TPELENCFNVDQSLNSPGNRISVDDVLKFYYQCSEASTPRNT SPAR_H01030 MLVDLNVPWPQNSYADKVTSQAINNLIKTLSTLHMLGYTHIAIN FTVNHSEKFPNDVKLLNPIDIKKRFGELMARTGLKLYSRITLIIDDPSKGQSLSKISQ AFDIVAALPISEKGLTLSTTNLDIDLLTFQYGSRLPTFLKHKSICSCVNRGVKLEIVY GYALRDVQARRQFVSNVRSVIRSSRSRGIVISSGAMSPLECRNILGVTSLIKNLGLPS DRCSKAMGDLASLVLLNGRLRNKSHKQTIVAGGGDEDDDDVVNDVQGIDDAQTIKVVK RTMDPEQLGHASKRHKS SPAR_H01040 MTAPHRSTIHILGLGAMGTVLAVDLLRFTNALVVPLFRSQERLA QFKKANGNKISIRKLYLEGSPIFSYPVEKSECPETFSKRPIDNLVVTTKTYQTKEALA PYLPYINENTNLILIQNGLGVLELLKEEIFTDSKNRPHLFQGVISHGVYQDKAGVFNH AGWAGMKIARLPWTDEEMIQKKSEVKEDAANNSLVKLLTEPSFAKEFGIEHSTYQEML FGQLFKFLVNACMNPVTAILDCVNGEMKDSCGPVFTSIIDECLQILRVAYRPLFQYHE KYSGNEEYPEMDVNAVLTTDNMVSEVTRIGCEINSKNSSSMRQDTLFLRDIEIEYING YVVKLANNLNLDPNCCKVNKTIGELATLRLALNRSRRINGDWRKD SPAR_H01050 MSSPVIGITFGNTSSSIAYINPKNDVDVIANPDGERAIPSALSY VGEDEYHGGQALQQLIRNPKNTIINFRDFIGLPFDKCDVSKCANGAPAVEIDGKVGFV ISRGEGKEEKLTVDEVVSRHLNRLKLAAEDYIGSAVKEAVLTVPTNFTEEQKAALKAS AAKIGLKIVQFINEPSAALLAHAEQFPFENDVNVVVADFGGIRSDAAVIAVRNGIFTI LATAHDLNLGGDNLDTELVEYFASEFQKKYQANPRKNARSLAKLKANSSITKKTLSNA TSATISIDSLADGFDYHASINRMRYELVANKVFAQFSSFIDSVIAKAELDPLDVNAVL LTGGVSFTPKLTTNLEYTLPESVEILGPQNKNASNNPNELAASGAALQARLISDYDAD ELAEALQPVIVNTPHLKKAIGLLGAKGEFHPVLLAETSFPVQKKLTLKQAKGDFLIGV YEGDHHIEEKTLEPAPKEENAEEEDESEWSDDEPEVVREKLYTLGTKLMELGIKNVNG IEIIFNINKDGALRVTARDLKSGSAVKGEL SPAR_H01060 MSKIVKKKEKKAVNELTSLAEKIKAKALENQKKLIDAEKESDTE SGSEEDTTTEKKKALKSKSKSTASTENENANESESFESFSELNLVPELIQACKNLNYS KPTPIQSKSIPPALEGHDIIGLAQTGSGKTAAFAIPILNRLWHDQEPYYACILAPTRE LAQQIKETFDSLGSLMGVRSTCIVGGMNMMDQARDLMRKPHIIIATPGRLMDHLENTK GFSLRKLKFLVMDEADRLLDMEFGPVLDRILKIIPTQERTTYLFSATMTSKIDKLQRA SLTNPVKCAVSNKYQTVDTLVQTLMVVPGGLKNTYLIYLLNEFIGKTMIIFTRTKANA ERLCGLCNLLEFSATALHGDLNQNQRMGALDLFKAGKRSILVATDVAARGLDIPSVDI VVNYDIPVDSKSYIHRVGRTARAGRSGKSISLVSQYDLELILRIEEVLGKKLPKESVD KNIILTLRDSVDKANGEVVMEMNRRNKEKIARGKGRRGRMMTRENMDMGER SPAR_H01070 MAKRRQKKRTHAQLTPEQEQGIPKSMVIRVGQTSLANHSLNQLV KDFRQIMQPHTAIKLKERKSNKLKDFVVMCGPLGVTHLFMFTQSEKTGNVSLKIARTP QGPTVTFQVLDYSLGKDIKKFLKRPKSLNNDDVLNPPLLVLNGFSTSKKPGEGDQDVN VEKVIVSMFQNIFPPLNPARTSLNSIKRVFMINKDRETGEISMRHYFIDIREVEISRN LKRLYRAKNNLSKTVPNLHRKEDISSLILDHDLGAYTSESEIEDDAIVKVVDNQDVKA KHSQSSKPQRTPVEKSDIKGHQKETEEEDVEMEEPKPSETPQPTPRKKAIKLTELGPR LTLKLIKIEEGICSGKVLHHEFVQKSSEEIKALERRHAAKMRLKEQRKKEQEENIAKK KAVKDAKKQRKLERRKARAAEEGEGQGKDDAMSDEESSSSEGEHYSDVPEDLDSDLFS EVE SPAR_H01080 MKSKTWIFKDILSSHRIKAFDSLLSRRLPASKATKHLQVGEHFL FFPPSFEKLDRDGYFNYQNPAILLGNPDLRYRRRIWGQGELIQYLPVNLDQEYTCHES IKYVKKLRDDHIVCIERSVLQERPENVSSATSICLLERRVLMYTNSFANKIAVKVPVE KEKYKRLISFTITDMDIVAYGQMSLNPHRIHWDKEYSRHVEGYDDIIMQGPFSVQLLL KCIQPLLEKPIKQLRYRNLNYIYPNTTLSICQSLNSSSRKYTFQVRDLQETNLVYLKA DVFC SPAR_H01090 MTDINEKLPELLQDAVLKASVPIPDDFVKVQGIDYSKPEATNMR ATDLIDAMKTMGFQASSVGTACEIIDSMRSWRGKHIDELDDHEKKGCFDEEGYQKTTI FMGYTSNLISSGVRETLRYLVQHKMVDAVVTSAGGVEEDLIKCLAPTYLGEFALKGKS LRDQGMNRIGNLLVPNDNYCKFEEWIVPILDKMLEEQDEYVKKHGADCLEANQDVDSP IWTPSKMIDRFGKEINDESSVLYWAHKNKIPIFCPSLTDGSIGDMLFFHTFKASPKQL RVDIVGDIRKINSMSMAAYRAGMIILGGGLIKHHIANACLMRNGADYAVYINTGQEYD GSDAGARPDEAVSWGKIKAEAKSVKLFADVTTVLPLIVAATFASGRPIKKVRN SPAR_H01100 MSEVITITKRNGAFQNSSNLSYNNSGISDDEDDEQDIYMRDVNS ASKDESDSQIVTPGELVTDDPIWMRGHGTYFLDNMTYSSVAGTVSRVNRLLSVIPLKG RYAPETGDHVVGRIAEVGNKRWKVDIGGKQHAVLMLGSVNLPGGILRRKSESDELQMR SFLKEGDLLNAEVQSLFQDGSASLHTRSLKYGKLRNGMFCQVPSSLIVRAKNHTHNLP GNITVVLGVNGYIWLRKTSQMDLARDTPSANNSASSKSPGPTGAVSLNPSITRLEEES SWQIYSDENDPLISNNIRQAICRYANVIKALAFCEIGITQQRIVSAYEASMVYSNIGE LIEKNVMESIGSDILTAEKMRGNGN SPAR_H01110 MKIALPVFRRLNRLISSYKMSGVFPYNPPVNREMRELDRSFFVT KIPMCAVKFPEPKNISLFSKNFKNCILRVPRIPHVVKLHAAKTVDEPTSVQNKKLKTA AGNNGPIMKGVLLHESIHSVEDAYANLPEEALAFLKENSAEIVPHEYVLDYDFWKAEE ILRAVLPEQFLEEVPTGFTITGHVAHLNLRTEFKPFDSLIGQVILDKNNKIECVVDKV SSIATQFRTFPMKVIAGKSDSLVVEQKESNCTFKFDFSKVYWNSRLHTEHERLVKQYF QPGQVVCDVFAGVGPFAIPAGKKDVIVLANDLNPESYKYLKENIALNKVTKTVKFFNM DGAEFIRRSPQLLQQWIQDEEGGKITIPLPLKKRHRSQQHQEQQPPQPRTKEVIIPSH ISHYVMNLPDSAISFLGNFRGIFATHTHTATDTIKMPWVHVHCFEKYPPGEDVTEHEL HARVHARIIAALKVTADDLPLSAVSLHLVRKVAPTKPMYCASFQLPATV SPAR_H01120 MYGNHRFTPDSKEFNAVVKSKEFSTSRNPYQTPPSEYNSIHHQT NHIKRKTNLAITISNFLSEISRPLSNGKINNSTHNILKFLNEVLKRSKCSKENAILAT FYFQKIYQSRDAQNESSLPEFSHCSRRIFLCCLILSHKFLNDNTYSMKNWQIISGLQA KDLSLMERWCLGKLNYELAVSYDELLLWETNTLMKKKFRSGTPANAPVKRPRESDHDC DAHSWKLIKSC SPAR_H01130 MTEFYSETIGLPKTDPRLWRLRTDDLGRESWEYLTPQEAANDPP STFTQWLLQDPKFPQPHPELNKHSPSFSAFDACHNGASFFKLLQEPDSGIFPCQYKGP MFMTIGYVAVNYIAGIKIPEHERIEIIRYIVNTAHPVDGGWGLHSVDKSTVFGTVLNY VILRLLGLSKDHPVCVKARSTLLRLGGAIGSPHWGKIWLSALNLYKWDGVNPAPPETW LLPYSIPMHPGRWWVHTRGVYIPVSYLSLVKFTCPMTPLLEELRKEIYTKPFDKINFS KHRNTVCGVDLYYPHSTTLNIANNLVVFYEKYLRNRFIYSLSKKKVYDLIKTEIQNTD SLCIAPVNQAFCALVTLIEEGVDSEAFQRLQYRFKDALFHGPQGMTIMGTNGVQTWDC AFAIQYFFIAGLAERPEFYNTIVSAYKFLCRAQFDTECVPGSYRDKRKGAWGFSTKTQ GYTVADCTAEAIKAIIMVKNSPVFSEVHHMISSERLFEGIDVLLNLQNVGSFEYGSFA TYEKIKAPLAMETLNPAEVFGNIMVEYPYVECTDSSVLGLTYFHKYFDYRKEEIRTRI RIAIEFIKKSQLPDGSWYGSWGICFTYAGMFALEALHTVGETYENSSTVRKGCDFLVG KQMKDGGWGESMKSSELHSYVDSEKSLVVQTAWALIALLFAEYPNREVIDRGIELLKN RQEESGEWKFESVEGVFNHSCAIEYPSYRFLFPIKALGMYSKAYETHTL SPAR_H01140 MHLMYTLGPDGKRIYTLNKVTETGEITKSAHPARFSPDDKYSRQ RVTLKKRFGLVPGQ SPAR_H01150 METIDIQNRSFVVRWVKCGRGDVINYQIKPLKKSIEVGIYKKLK SSVDDHASAVHIAPDTKTLLDYTTKSLLHKGSSGHIEEHHRRPSQHSHNSSNGPDNKR KERSYSSLSISGIQQQSQEIPLREKLSASGFTLVKRVGNVSGNTMVQGDLEVKDTDYY YAFILDNSSSKNAKKKILFNASVINGDNQSMISTRSTPPARPVALSRTSTQQDMLFRV GQGRYLQGYLLKKRRKRLQGFKKRFFTLDFRYGTLSYYLNDHNQTCRGEIVISLSSVS ANKKDKIIIIDSGMEVWVLKATTKENWQSWVDALQSCFDDQFEDKDTSTLEENPGILD DDKEVNNKGSLQDRDQLAPIVTTKSTPSHKQSTQKDADDIYVPLPSESYATFSMNLRL IQQRLEQCKKDSLSYKPTVLHQRSEGLNGTHSSSSVLTNNRVSSFNHSSSGMTSSDSL VSEEVPSSTTHTKHALYNQLADLEVFVSRFVTQGEVLFKDHQILCKKAKDTRVSLTSY LSENDEFFDAEEEISRGVILLPDTEDDINNIVEEIPLLGKSDQNEFTTEVELSAHEQM ASSSVESYTTNDESHSRKHYKNRHKNRRRGHLHHQKTKSTQSSTETFTSKDLFALSYP KTILRRNDIPEAAASPPSLLSFLRKNVGKDLSSIAMPVTSNEPISILQLISETFEYAP LLTKATQRPDPITFVSAFAISFLSIYRDKTRTLRKPFNPLLAETFELIREDMGFRLIS EKVSHRPPVFAFFAEHLDWECSYTVTPSQKFWGKSIELNNEGILRLKFKTTGELFEWT QPTTILKNLIAGERYMEPVNEFEVHSSKGDKSHILFDKAGMFSGRSEGFKVSIIPPAS SDRKKETLAGKWTQSLANETTHESLWEVGDLVSNPRKKYGFTKFTANLNEITEIEEGN LPPTDSRLRPDIRAYEQGNVDKAEEWKLKLEQLQRERRNNGQDVEPKYFEKVSKNEWK YITGPKSYWERRKKHDWSDIPHLW SPAR_H01160 MSHLITLATCNLNQWALDFEGNRDRILESIKIAKERGARLRVGP ELEITGYGCLDHFLENDVCLHSWEMYAQIIKNKETHGLILDIGMPVLHKNVRYNCRLL SLDGEILFIRPKIWLANDGNYREMRFFTPWMKPGVVEDFILPPEIQKVTGQRLVPFGD AVINSLDTCIGTETCEELFTPQSPHIAMSLDGVEIMTNSSGSHHELRKLNKRLDLILN ATKRCGGVYLYANQRGCDGDRLYYDGCALIAINGTIVAQGSQFSLNDVEVITATVDLE EVRSYRAAVMSRGLQASLAEIKFKRIDIPVELALMTSRFDPTVCPTKVREPFYHSPEE EIALGPACWMWDYLRRCNGTGFFLPLSGGIDSCATAMIVHSMCRLVTDAAQNGNEQVI EDIRKITRSGDDWIPNSPQEVASKIFHSCFMGTENSSKETRNRAKDLSKAIGSYHVDL KMDSLVSSVVSLFEVATGKKPVFKIFGGSQIENLALQNIQARLRMVLSYLFAQLLPWV RGIPNSGGLLVLGSANVDECLRGYLTKYDCSSADINPIGGISKTDLKRFIAYASKEYD MPILDDFLNATPTAELEPMTKDYVQSDEKDMGMTYEELGVFGYLRKVEKCGPYSMFLK LLHQWSPKLTPRQISEKVKRFFFFYAINRHKQTVLTPSYHAEQYSPEDNRFDLRPFLI NPRFPWASRKIDEVVEECEAHKGSTLDIMSID SPAR_H01170 MSGDLRRKIALSQFERAKKVLDMTFQEDEDDENDGDALGSLPSF NCQSNRNRIRTDKTDNIADRFSSKEKSSLPSWRDFFDNKELVSLHDRDLNVNTYYTLP TSLLSNTTSIPIFIFHHGAGSSGLSFANLAKELNTKLEGRCGCFAFDARGHAETKSTK LNEPMRFDRDSFIEDFVSLLNYWFKSKISQEPLQRVSIILIGHSLGGSICTFAYPKLS TELQKKVLGITMLDIVEEAAIMALNKVEHFLQNTPNIFGSIDDAVDWHVQHALSRLRS SAEIAIPALFTPLKSGKVVRITNLKTFSPFWDTWFTDLSHSFVALPVSKLLILAGNEN LDKELIVGQMQGKYQLVVFQDSGHFIQEDSPTKTAITLIDFWKRNDSRNVVIKTNWGQ HKTVQNT SPAR_H01180 MFANVGFRTLRASRGPIYGMFFVLFIGVLIAKFAGHILIDSEAN FSHIIGSCSQIISFSKRTFYSSAKNGYQSNNNHGDAYSSGGQTGPFTYKTAVAFQPKD RDDQIYQKLKDSIRSPTGEDNYFVTSNNVHDIFAGVADGVGGWAEHGYDSSAISRELC KKMDEISTTLAENSSKEPLLTPKKIIGAAYAKMRDEKVVKVGGTTAIVAHFPPNGKLH VANLGDSWCGVFRDSKLVFQTQFQTVGFNAPYQLSIIPEEMLKEAERRGSKYILNTPT DADEYSFQLKKNDIVMLATDGVTDNIAADDIELFLKDNSARTKDELQLLSQEFVKNVV SLSKDPNYPSVFAQEISKLSGKNYSGGKEDDITMVIVRVD SPAR_H01190 MDDGRKRELHDLNTRAWNGEEVFPLKSKKLDSSIKRNTGFIKKL KKGFVKGSEPSLLKDLSEASLEKYLSEIIVTVTECLLNVPNKNDDVIAAVEIISGLHQ RFTGRFTGPLLGAFLQTFENPSVDIESERDELQRITRIKGNLRVFTELYLVGVFRTLD DIESKDVVPNFLQKKTGRKDPLLFSILREILNYKFKLGFTTTIATAFIKKFAPFFHDD DNSWDDLIFDSNLKSTLQSLFKIFIEATFSRAAELHKKVNRLQREHQKCQIRTGKLRD EYIEEYDKLLPIFIRFKNSAITLGEFFELEIPELEGASNDDLKETASPMITNQILPPN QRLWENEDTRKFYEILPDISKTVEESQSTKTEKDLNLNSKNINLFFTDLEMADSKDII DQLSNRYWLSHLDNKATRNRILKFFMETQDWSKLSVYSRFIATNSKYMPEIVSEFINY LDNGFRNQLHSNKINVKNIIFFSEMIKFQLIPSFMIFHKIRTLIMNMQVPNNVEILTV LLEHSGKFLLNKPEYKELMEKMVQLIKDKKNDRQLNMNMKGALENIITLLYPPSVKSL NVSVKTITPEQQFYRILIRSELNNLDFKHVVKLIRKAHWGDLAIQKALFSLFSKPHKI SYQNIPLLTKVLGGLYSYRRDFVIRCIDQVLENIERGLEINDYGQNMHRISNVRYLTE IFNYEMIKSDVLLDTIYHIVRFGHINNQPNPFYLNDSDPPDNYFRIQLVTTILLNIKR TPAAFTKKCKVLLRFFEYYTFIKEQPLPKETEFRVSSTFKKYESIFENAKFERSENLV ESASRLESLLKSLNTTKSKDSEVKGLSSSIDNGKESAVSIEVIAEDDDDDDDENDDGV DLLGEDEDVETSVLVPESAPEKSRIKEDDSEDDDEDDDEDEDDDDEDDDEEEDDDDDD DDDDGEDSDSDLEYGGDLDADRDIEMKRMYEEYEKKLKDEEERKAEDELERQFQQMMQ ESIDARKSEKVVASKIPVISKPVSVQKPLVLKKSEEPSSNKESYEESSKPKKIAFTFL TKSGKKTQSRVLQLPTDVKFVSDVLEEEEKLKTERDKIKKIVLKRSFD SPAR_H01200 MEALIVFIVLSISGAFTYKWSYERLWFKVGSLFDIISTSSKKNV FPLTNQLEVGSNENASGMGNFINKFYTEYSLPSHKVLQSLRVLFSLAMMTYTVTIEII LWQIKVAGMDKEVTFITTWVWPLTAVMLSFILILFQPFFIIISLLNKFYNDKFDIDRL IIVTCIILSLLIALLSYINIGPFQYTKNILTRLSIGGVTVMASLSGLATVSSLYYNFL VIWHKFRNTPMSDPGFRNINNSNNNNKSLLWTTNANIEEKIQDYEHNIEQNVQMLTSL GEETNGENSTFKAELMEKIAWYQLELGKLETLLQQSPQVRTFKKAFEIGFIIYCLHKL IITFLKRIPYIIYHSLKYPNDYEYENFSENAASDPLAITIANILDFSFFRFNYQHDLD SLTKQISLFLSISLFLCCLSAVNTTISYVVTLLPVKFQILALFAMQNDDTANVLPEYT NSSTQKGKKRNYSHEQKGISLIKNLVVSELTGVYVLATTLMVRSHLPFEVSQRLKELL GEKFTVPNIVIDSWFDEVYAFACVFTFICVRIAERKLSIKKVSVE SPAR_H01210 MRVPMRNMLLVTLLVCAFSSIILCSIPLSSQTLRRQIVDDEVAS TEKFKLNYGFDKSINSQIPAPRTTEDLPKKKLSSYPTPNLLNTADNRHANKKSRRAAN SISVPYLENRSLNELSLSDILIAADVEGGLHAVDRRNGHIIWSIEPDKFQPLIEIQEP SRLETYETLIIEPFGDGNVYYFNAHQGLQKLPLSIRQLVSTSPLHLKTNIVVNDSGKI VEDEKVYTGSMRTIMYTINVLNGEIISAFGPGSRNGYFGSQSVDCSPEEKIKLQECEN MIVIGKTIFELGIHSYDGASYNVTYSTWQQNVLDVPLALQNTFSKDGMCIAPFRDKSL LASDLDFRIARWVSPTFPGIIVGLFDVFNDLRTNENILVPHPFNPGDHESVSSNKVYL DQTSNLSWFALSGQNFPSLVESAPVSRYASSDRWRVSSIFEDETLFKNAIMGVHQIYN NEYDHLYDNYGKTNSLDTTHKYPPLMIDSSVDTTDLQQNTEMNSLKEYMSPEDLEAYR KKIHEQISRELGEKTQNSLLLKVGSLVYRIIETGVFLLLFLIFCAILQRFNILPPLYV LLSKIGFMPEKETPIIESKTLNSSASSENVAKPSDTKSGRQVVFESVVDDGSLKYEKD NNDGDEDDEKSLDLTIEKKKRKRGSRGGKKGRKSRVPNIPNFEQSLKNLVVSEKILGY GSSGTVVFQGSFQGRPVAVKRMLIDFCDIALMEIKLLTESDDHPNVIRYYCSETTDRF LYIALELCNLNLQDLVESKNVSDENLKLQKEYNPISLLRQISSGVAHLHSLKIIHRDL KPQNILVSTANRFTADQQTGVENLRILISDFGLCKKLDSGQSSFRTNLNNPSGTSGWR APELLGESNNLQCQIETEHSSSRHTVISSDSFYDPFTKRRLTRSIDIFSMGCVFYYIL SKGKHPFGDKYSRESNIIRGIFSLDEMKCLHDRSLIAEATDLITQMIDHDPLKRPTAM KVLRHPLFWPRSKKLEFLLKVSDRLEVENKDPPSDLLLKFDASSEFVIPNGDWTVKFD KTFMDNLERYRKYHSSKLMDLLRALRNKYHHFMDLPEDIAELMGPVPDGFYDYFTKRF PNLLIGVYKIVKENLSDDQILREFLYS SPAR_H01220 MAAILFSLYKCHDKAEQIFLTYKSNVLIAAINRERLSSMNYLEI QLDKKQKQIKEYQNMNGNLVKTFEHLTVEKKTDETPKNISSTYIKELKEYNELRDAGL RLAQIIADEKQCKIKDVFEEIGYSMKD SPAR_H01230 MSRDSKKKHHWGTAFLRTIGVKSKQHKKGSSFSNNATRESANTT ANAGRSKSVRGNPDITSLLKPEIYTESPAKGSQKAASSLAPSQGVFNIPIVIDPMETN KLEKTNTSVTLGSLKGHFQNGNSNSNSVPSLSVQALEKEKLQNGKRKGNSDQAEEKTP DSHDAHTAFETFLSFAHNAVSHIPKINVQEADDGAISKNELKDRKKKISNTSGAPSES STNDKNTPSTKESDGPFLKNLDTILAASASSTLSNQQLNATGSGSENKPSSLSKFAFG NLKGNAHSNSHSNSNSIARDDTSSDKVRKMTDDMARKVVFEPIRHSHDKPTPGLGNLK LEHFDDSQGTLEGLEALSADSLTEGEHLDNKDPAQQSNLHDDIKNNLDKKGVPGKYPS KLSVATSSTTDGVKPRRRAKSMISTMIDKQNTSSDLLQDCKKRFSFNSSNGLPNNGLE DEEREPREISKKFLNRRSFSPGSISMGMKVLPSTALKYSLNKVKNSTDIASTIIPRPS ISNGRPSSGMRRSSSKSFSSTPVNIIEPSEENDRQSNIRIKGVEYASEKKNAEFHAIF KDSGVSPNERLILDHSCALSRDILLQGRMYISDQHIGFYSNILGWVSTVFIPFKTIVQ IEKKATAGIFPNGIVIDTLHTKYTFASFTSRDATYDLITEVWNQIILGKRFRSNSNNM TNSSNSISDDENDDYDDDYDDDYDDYGDDDDDLYDNSNNITDSTDVTSSVSIGKPEEL PVPLLQSDSAANPSPGTGMPLLGPINHLPTETAYKPAPNEKLVNESTIPTSLGRVVSI LFGKDVSYITAILKAQKNYDISPIPVLVDSSTVSENKKRDYFYVKSTPGAIGPSKTKC MITETIQHFNLEEYVQVLQTTKTPDIPSGNSFYVRTVYLLSWANNNETKLKVYVSVEW TGKSLIKSPIEKGTFDGVADAMKILVEELNNFLTRSATKRKKSSKESTVTVSSLPKME PNSHAPTEVNIQKDKDDSIIRENENIPAPLGTVVQLLFGSNTEYMQKVITRDKNNVNL ETIPKFSPSLVEGASRHYEYTKKLNNSIGPKQTKCLLTETIEHMDINSYILVTQTTKT PDVPSGSNFAVESKIFLCWGQRDTTNMTVITKVNWTSKSFLKGAIEKGSVEGQKVSVD CMLSELRDIISAAKSKKPVKKRTKSHDKHKPFHSKVGQKSSENHKSDNNKDILTYILD FVQNNLSTDIFMNTLLSPQKLIFVLGLILVLFWSSRLHIFQEKNNLRIIKPGRLLIDG QEYNYVPSFGTLYNSYENAISSEKKKENINYARDKSPIVGRESDIWDWISNRGSTISP RGHTTLGSANGHKLQQLSESIKITELQLNHMKTMLDNIEKDANDLS SPAR_H01240 MEDIEKIKPYVRSFSNALDELKPEIEKLTSKSLDEQLLLLSDER AKLELINRYAYVLSSLMFANMKVLGVKDMSPILGELKRVKSYMDKAKQYDNKIIKSNE KSQAEQERAKNIISNVLDGNKNQFEPSISKSNFQGKHTKFENDEPAGSTTTKIINNTE QVRKPSSSKKSKKLDRVGKKKGGKK SPAR_H01250 MTLDYEIYKEGGILNNRYQKIEDISEGSYGYVSLAKDVREKRLV AVKYIFKLEDDGQFDNPEDDNNDCSDGDCDDDEETKVDTDCHENEDGNATSNNGSSRE KKHNLYKHKKSLISSKVKSRLSNNICLEAMYEVDIQTKIGRHENIAALLDFFDSYIIM EYCSGGDLYEAIKADAVPKKTKSITHIITQIMDAIEYVHNKGIYHRDIKPENILISGI DWTIKLTDWGLATTDKTSMDRNVGSERYMSPELFDSNLDINERKEPYDCAKVDLWAMG IVFLNIVFHKNPFSIANQSDKSFCYFAANREALFDVFSTMAYDFFQVLRYSLTIDPAN RDLNMMRTELQNLSEYTLDDEYYNNLDEGYEETMVDGLPPQPVPPSSAPVSLPTPISS SSKQHMTEFKKDFNFNNVNERKRSDVSQNQNVGNGFFKKPSTQQQKFFSQGYNNTTLS PHERAKSAPKFKFKKRNKYGKTDNQHPKPVNIEDRKKSKILKKSRKPLGIPTPNTHMN NFFHDYKAKDEFNTRDFFTPPSVQHRYMEGFSNNNNKQYRQNRNYNNNNNNHGNNYNN FNNGNSYIKGWNKNFNKYRRPSSSSYSGKSPLSRYSMSYNHNNNSSVNGYARRGSTTT VQHSPGAYIPPNARNHHVSPTNQFLRVPQSTAPDISTVLGSKPSYQEHYNQDIMDSEG DHDSDDVLFTLEEGDHDFVNGINNLSINDQLPHATASSHNEVYSHANNNHSSSGSNNN HDIGTTSNTNQYHRQYIPPPLATSLHINNNNNESNELPDLLKSPASSEAHLNLSSGPI DPILTGNIDNRFSRSSDSKEEEQERRLSMEQKFKNGVYVPPHHRKSFNLGTQIPPVNM KTSNEATLSVSHNSVNFGGSYNSRRSSVNESNPLHMNKALEKSPASPGAKSSFVGFPK PLLPRNHSSTTIALQNEDVFADSNNDAIIFEDEEYEGESDKMAHGKMEGEDNESSSTS PDERQIFGPYEIYAQTFAGPTHGKKLGAGRKSSIQDEMVGSLEQYKNNWLILQQQD SPAR_H01260 MVGSFNVPMPVKRMFDTFPLQTYAAQTDKDEAVALEIHRRSYAF TECSDDCSKLTAEGTYKLGVYNVFFEATTGAVLATDPWCLFVQLALCQKNGLRLPTQS REPTSSHSCNHELMVLSRLSNPDETLPILVEGYKKRIIRSTEAISEIMRSRILDDAEQ LMYHTLLDTVLYDCWITQILFCASDAQFMELYSSQRLNDSTSTPLDVENSLLRKLSAK SLKMSLLKRNKFHLRHREITKGMYGVYHNHHSFINQEQVLNVLFENSRQVLLDFKDSL KSDGQPTSLHLKIASYILCITNVKEPIKLKTFIESECKELIYFAQDTLKKFVH SPAR_H01270 MKVQITNSRTEEILKVQTNNESDEVSKATPSEVEESLRLIDDLK FFLATAPVNWQENQIIRRYYLNSGQGFVSCVFWNNLYYITGTDIVKCCLYRMQKFGRE VVQKKKFEEGIFSDLRNLKCGIDATLEQPKSEFLSFLFRNMCLKTQKKQKVFFWFSVA HDKLFADALERDLKRESLNQPSTTRSVNEPALSFSYDSSSDKPLYDQLLQHLDSRRPS SATKSDNSPSKLESENFKDTELVTVTNQPLLGVGLIDDDTPESPSQINDFIPQKLIVE PNTLELNSLAEETSHALPKNAAKGRDEEDFPLDYFPVSVEYPTEENAFDPFPQQAFTP AAPSMPISYDNVSERDSMPVNSLLNRYPYQLSVAPTFPVPPSSSRQHFMTNRDFYSSN NNKEKLASPSDPTSYMKYDEPVIDFEESRVNENCTNAKSHNSGQQTKQHQLYPNNFQQ PYPNGMVPGYYPKMPYNPMVGDPLLDQAFYGADDLFFPPEGCDNNMLYPQTATSWNLL PPQAMQPAPTYVPRPYTPNYRSTPGSAMFPYMQSSNSMQWNTAVSPYSSRAPSTTAKN YPPSTFYSQNMNQYPRRRTVGMKSSQGNVPTGNKQSVGKSAKISKPLHIKTSAYQKQY KINLETKTRSSAGDEDSAHPDKSKEISMPTPDSNTLVIQSEEDGVDSPEVETNGRSNK NLTDAT SPAR_H01280 MTKSRKQKQKKQDFLRKKLKVGKPKEKARNATDTSFVSKTISIR NQHLDQDPHDLTKRLTLLKHHNINVRKETLITFQKSIPSIIKSRLMTPLLTQSIPLIC DESQQVRQGLIDLIDEIGSHDAEILKLHCNIFVLYINMAMTHIVTQIQADSTKFLSHL LKYCGDEVVRKSWVKLLNGVFGVLGWGQVGKNESASIVQTKKRNAKYVAIHLNALYTL VEYGCQDERARGEAGTAELAEDSCKLRNPYLIPDYPQPFDHLKLFTRELKVKDAASNG TNATLMSLATQDIDTRKVAFSEQFLPIVRKQIEIIIKEGGECGKSANKLKRLLVKIFD SPAR_H01290 MSYKQATYYPNRGNLVRNDSSPYSNTISSETNNSSTSALSLQGA SNVSSGTTGNQLYMGDLDPTWDKNTVRQIWASLGEANINVRMMWNNSLNNGSRSLMGP KNNQGYCFVDFPSSTHAANALLKNGMLIPNFPNKILKLNWATSSYSNSNNSLNNVKSG NNCSIFVGDLAPNVTESQLFELFINRYASTSHAKIVHDQVTGMSRGYGFVKFTSSDEQ QLALSEMQGVFLNGRAIKVGPTSGQQQHASGNNDYSRSSSSLNNENLDSRFLSKAQSF LGNGNNNMGSKRNHMSQFIYPVQQQPSLNHFTDPNNTTVFIGGLSSLVTEDELRAYFQ PFGTIVYVKIPVGKGCGFVQYVDRLSAEAAIAGMQGFPIANSRVRLSWGRSAKQTALL QQAMLSNSLQVQQQQPALQQPNYGYIPSSICEAPVLLSNNTSSSMLPGCQTLNYSSPY TNASGLGMNDLGFYGNNSATNVPATSLLTDNNSMGLSGTSGQQVIMQGSEAVVNSTNA MLNRLEQGSNGFMFA SPAR_H01300 MSTVTKYFYKGENTDLIVFATSEELVDEYLKNPSIGKLSEVVEI FEVFTPQDGRGAEGELGAASKAQVENEFGKGKKIEEVIDLILRNGKPNSTTSSLKTKG GNAYK SPAR_H01310 MALGNEINITNKLKRQEIFADIKHEKNKERHTMRRKRAKEEREN PELREQRLKENVTQTIENTRVYDETINREVEGDEDDLMRYFNSNSNEPPKIFLTTNVN AKKSAYEFANILIEVLPNVTFVKRKFGYKLKEISDICIKRNFTDIVIINEDKKKVTGL TFIHLPEGPTFYFKLSSFVEVKKIVGHGRPTSHIPELILNNFQTRLGQTVGRLFQSIL PQNPDIEGRQVITLHNQRDYIFFRRHRYVFKDNERVGLQELGPQFTLKLKRLQRGIKE ETEWEHKPEMDKEKKKFYL SPAR_H01320 MSFRGGNRGGRGGFRGGFRGGRTGGARSFQQGPPDTVLEMGAFL HPCEGDIVCRSINTKIPYFNAPIYLENKTQVGKVDEILGPLNEVFFTIKCGDGVQATS FKEGDKFYIASDKLLPIERFLPKPKVVGPPKPKNKKKRSGAPGGRGGAPMGRGGSRGG FRGGRGGSSFRGGSRGGSFRGGSRGGSSFRGGSRGGSRGGFRGGRR SPAR_H01330 MDPSLVLEQTIQDVSNLPSEFRYLLEEIGSNDSKLIEEKKRYEQ KESQIHKFIKQQGSIPKHPQEDELDKEIKESLLKCQYLQREKCVLANTALFLIARHLN KLEKNIALLEEDGVLAPVEEDGDVDSAAEASRESSVVSSSSVKKRRAASSSGSVPPTL KKKKTSRTSKLQNEIDVSSREKSTTPVSPNVENKMARTKEFKNNRNGKGQNGSLENEE EDKTLYCFCQRVSFGEMVACDGPNCKYEWFHYDCVNLKEPPKGTWYCPECKVEMEKNK LKRKRN SPAR_H01340 MSRIVDLKNNSILRRNPFSFCLRHGLVPLLDTHFRNTFCNLEIN IGQKRHSSETSSAKYNDQNKNPTYPLDVLRQDISKALHNISGIDRSLILNALESTNSM DRGDLILPLPRIKVADPTTVANRWAIELRTYGCIGKVCAKGPFLQFFLDQRYLIQSTV PNILLQKGEYGQKKLLHQKNVVVEFSSPNIAKPFHAGHLRSTIIGGFLSNLYEAMGWS VIRMNYLGDWGRQFGLLAVGFRRYGDENALEKQPIQHLFDIYVQINKDLAKEEKNGTS QYGIGGEARTFFKNLENGDQNANKIWNRFRSLSIHHYIQTYSRLNINFDIFSGESQVS KESMNEALDIFHKNNLVEKIDGALVIDLTQWSKRLGRVVVQKSDGTTLYLTRDVGAAI ERKKDLHFDEMVYVISSQQDLYMSQLFMILKKMNFEWAKDLKHINFGMVQGMSTRKGN VVFLDTILDEARDKALQIMKSNKLKISKVDNPQRVADLIGISAIIIQDMKSKRINNYE FNWNRMLSFEGDTGPYLQYTHSRLKSLERSSGNFTTNMLIRADFSNLNEPQLVELVRL LAQYPDILRRAFETQEPATIVTYLFKVCHQVSSCYKKIWVSGKPADVAIPRLAVYSAS RQVLHNGMSLLGLVPVDRM SPAR_H01350 MSEEAAYQEDTAVQNTPADALSPVESDSNSALSTPSNKAERDDM KDFDENHEESNNYVEIPKKPASAYVTVSICCLMVAFGGFVFGWDTGTISGFVAQTDFI RRFGMKHHDGTYYLSKVRTGLIVSIFNIGCAIGGIILSKLGDMYGRKIGLIVVVVIYT IGIIIQIASINKWYQYFIGRIISGLGVGGIAVLSPMLISEVSPKHIRGTLVSCYQLMI TLGIFLGYCTNFGTKNYSNSVQWRVPLGLCFAWALFMIGGMTFVPESPRYLVEVGKLE EAKRSIALSNKVSADDPAVMAEVEVVQATVEAEKLAGNASWGEIFSTKTKVFQRLIMG AMIQSLQQLTGDNYFFYYGTTVFTAVGLEDSFETSIVLGIVNFASTMVGIFLVERYGR RRCLLWGAASMTACMVVFASVGVTRLWPNGKKNGSSKGAGNCMIVFTCFYLFCFATTW APIPFVVNSETFPLRVKSKCMAIAQACNWIWGFLIGFFTPFISNAIDFYYGYVFMGCL VFSYFYVFFFVPETKGLTLEEVNTLWEEGVLPWKSPSWVPPNKRGTEYNADDLMHDDQ PFYKKMFGKK SPAR_H01360 MNSTPDLISPQKSNSSNSYELESGRSKAMNTPEGKNESFHDNLS ESQVQPAVASPNTGKGAYVMVSICCVMVAFGGFIFGWDTGTISGFVAQTDFLRRFGMK HHDGSHYLSKVRTGLIVSIFNIGCAIGGIVLAKLGDMYGRRIGLIVVVVIYTIGIIIQ IASINKWYQYFIGRIISGLGVGGITVLSPMLISEVAPSEMRGTLVSCYQVMITLGIFL GYCTNFGTKNYSNSVQWRVPLGLCFAWALFMIGGMLFVPESPRYLVEAGKIDEARASL AKVNKCPPDHPFIQYELETIEAGVEEMKAAGTASWGELFTGKPAMFQRTMMGIMIQSL QQLTGDNYFFYYGTIVFKAVGLSDSFETSIVFGVVNFFSTCCSLYTVDRFGRRNCLMW GAVGMVCCYVVYASVGVTRLWPNGENNGSSKGAGNCMICFACFYIFCFATTWAPIAYV VISECFPLRVKSKCMSIASAANWIWGFLISFFTPFITNAINFYYGYVFMGCMVFAYFY VFFFVPETKGLSLEEVNDMYAEGVLPWKSASWVPVSKRGADYNADDLMHDDQPFYKSM FSRK SPAR_H01370 MSQPENARQVPLEGSATVSTNSNSYNEKSGNSTAPGTAGYNDDL AQAKPVSSYVSHEGPPKDELEELQKEVDNQLESKSKSDLLFVSVCCLMVAFGGFVFGW DTGTISGFVRQTDFIRRFGSTRADGTSYLSDVRTGLMVSIFNIGCAIGGIVLSKLGDM YGRKIGLMTVVVIYSIGIIIQIASIDKWYQYFIGRIISGLGVGGITVLAPMLISEVSP KQLRGTLVSCYQLMITFGIFLGYCTNFGTKNYSNSVQWRVPLGLCFAWSIFMIVGMTF VPESPRYLVEVGKIEEAKRSLARANKTTEDSPLVTLEMENYQSSIEAERLAGSASWGE LVTGKPQMFRRTLMGMMIQSLQQLTGDNYFFYYGTTIFQAVGLEDSFETAIVLGVVNF VSTFFSLYTVDRFGRRNCLLWGCVGMICCYVVYASVGVTRLWPNGQDQPSSKGAGNCM IVFACFYIFCFATTWAPVAYVLISESYPLRVRGKAMSIASACNWIWGFLISFFTPFIT SAINFYYGYVFMGCMVFAYFYVFFFVPETKGLTLEEVNEMYEENVLPWKSNKWIPPSR RTADYDLDATRNDSRPFYKRMFTKEK SPAR_H01380 MDVTGLFGGSFHHDGPFDACTPQRNKNNKVAPVLAFPADGPNNT VGGRTSKKSTLDEVFGRETVDDDSETLNQLQDRAYLFNKANSSTSTLDAIKPNSKNIT QFDSKMKTELVHGPTTMGLGSTTFLDGAPASSAAIKQDVINHAQESRRKNSVARKKSL PSRRHLQVNNNNLKLVKTHSGHLEQKEQKGVDDNTKSATIAVNHGSGHEDVVKKENTG NKLLRRVKSLKTSKKQ SPAR_H01390 MNQTGRTVGGSQNGVNAVVNPFRVSSSNDRVPSRDETPRNFSLF SLSNIDWDNPRLL SPAR_H01400 MSQQNILAASVSALSLDESTVHTGGASSKKSRRPHRAYHNFSSG AVPTLGNSPYTTPQLNQQDGFQQPQAFTPKQFGGPGFNNGSGSVMSTPVMVSQEQFGV SEASSPYGQSMLDMTAPQPTSHIVPTQRFEDQAQYLQRSFETCRDSVPPLPTTQYYCV DQGSCDPHLMSLSMYNIPESEHLRAATKLPLGLTIQPFSTLTPNDAEVPTIPLPMDGT PLRCRRCRAYANPKFQFTYDSSVVCNICRVKMQVPAEHFAPMGPNGQRSDLNERSELL RGTVDFLVPSVYNAIQDKEPLPLHYVFLIDVSLLANENGSSLAMVEGVRSCIEYIADF QPNCEVAIIVYDNKLRFFNLRPELDNAQEYIVSELDDVFLPFYNGLFVKPGNSMKIIN DTLIKISGYISTDKYSHVPQACYGSALQAAKLALQTVTGGQGGKIICSLNSLPTIGNG NLSLKRDNAHIAHIKCDNGFYKKLASDFLKSYISLDLYVTNAGFIDMATVGHPVEMTS GILKYYPHFQQETDAFTLVNDMVTNVSNIVGYQALLKVRCSTGLSVEQYYCDSSDNTD HDPIIPVLTRDTTLDVLLKYDSKIKTGTDVHFQTALLYTDIDGVRKVRSINASGAVSN NIREIFKFINQNPVMRIMIKDVIKTLGDCDFVKIRRLIDDKMVEILTQYRGLVSSNSS TQLILPDSIKTLPAYMLAFEKSELMKPNAQSTRGNERIYDLLKYDSLNPAQLCFKLYP QIVPFHVLLEETDLTFYDANDKLLQINPSSTNNLSVRSSHSNFINGGCYLIFQGDTIY LWFNENTNRMLLQDLLSVDESLPVSQISLFSGSLPETGTSINQKASNVVKNWQQVVNK SSLPLVLLRPNVDQYYSNVMSQLLCEDKTVNRIESYDNYLVITHKKIQEKLQKDDFIK VSTAAAHENIHQKFVQF SPAR_H01410 MSLTEQIEQFASRFRDDDATLQSRYSTLSELYDIMELLNSPEDY HFFLQAVIPLLLNQLKEVPISFDAHSPEQKLRNSMLDIFNRCLMNQTFQPYAMEVLEF LLSILPKENEENGILCMKVLTTLFKSFKSILQDKLDSFIRIIIQIYKNTPNLINQTFY EAGKEELGNLDSPKQSQADELLDELSKNDEDKDFPSKQSSVEPRFENSTSSNGLRSSM FSFKILSECPITMVTLYSSYKQLTSTSLPEFTPLIMNLLNIQIKQQQEAREQAESRGK HFTSISLEITNRPAYCDFILAQIKATSFLAYVFIRGYAPEFLQDYVNFVPDLIIRLLQ DCPSELSSARKELLHATRHILSTNYKKLFLPKLDYLFDERILIGNGFTMHETLRPLAY STVADFIHNIRSELQLSEIEKTIKIYTGYLLDESLALTVQIMSAKLLLNLVERILKLG KENPQEAPRAKKLLMIIIDSYMNRFKTLNRQYDTIMKHYGKYETHKKEKAEKLKNSIQ NNDKESEEFMKKVLEPSDDGHLIPQPKKEDNNNSIDVEMTESTKEMKNDIGMFDIKNY APILLLPTPTNDPIKDAFYLYRTLMSFLKTIIHDLKVFNPPPNEYTVANPKLWASVSR VFSYEEVIVFRDLFHECIIGLNFFKDHNEKLPPGLTKKHFDISMPSLPVSATKDAREL MDYLAFMFMQMDNATFNEIIEQELPFVYERMLEDSGLLHVAQSFLTSEITSPNFAGIL LRFLKGKLKDLGNVDFNTSNVLIRLFKLSFMSVNLFPNINEVVLLPHLNDLILNSLKY STTAEEPLVYFYLIRTLFRSIGGGRFENLYRSIKPILQVLLQSLNQMILTARLPHERE LYVELCITVPVRLSVLAPYLPYLMKPLVFALQQYPDLVSQGLRTLELCIDNLTAEYFD PIIEPVIDDVSKALFNLLQPQPFNHAISHNVVRILGKLGGRNRQFLKPPTDLAEKTEL DIDVIADFKINGMAEDVPLSVTPGIQSALNILESYKSDLHYKKSAYKYLTYVLLLMTK SSAEFPENYTELLKTAVNSIKLEKIELEKNFDLEPTVNKRDYSNQENLFLRLLESVFY ATSIKELKDEAIALLNNLLDHFCLLQVNTTLLNKRNYNGTFNIDLKNPDFMLDSSLIL DAIPFALSYYIPEVREVGVLAYKRIYEKSCLIYGEELALSHSFIPELAKQFIHLCYDE TYYNKRGGVLGIQVLIDNVKSSSVFLKKYQYNLANGLLFVLKDTQSEAPSAITDNAEK LLIDLLSITFADVKEEDLGNKILENTLTDIVCELSNANPKVRKACQKSLHTISNLTEI PVVKLMDHSKQFLLSPIFAKPLRALPFTMQIGNVDAITFCLSLPNTFLTFNEELFRLL QESIVLADAEDESLSTNIQKTTEYSTSEQLVQLRISCIKLLAIALKNEEFATAQQGNI RIRILAVFFKTMLKTSPEIINTTYEALKDSLAENSKLPKELLQNGLKPLLMNLSDHQK LTVPGLDALSKLLELLIAYFKVEIGRKLLDHLTAWCRVEVLDTLFGQDLAEQMPTKII VSIINIFHLLPPQADMFLNDLLLKVMLLERKLRLQLDSPFRTPLARYLNRFHNPVTEY FKKNMTLRQLVLFMCNIVQRPEAKDLAEDFERELDNFYDFYISSIPKNQVRVVSFFTN MVDLFSTMVITNGDGWLKKKGDMILKLKNMLNLTLKTIKENSFYIDHLQLNQSIEKFQ ALYLRFTELSEQDQNLLLLDFIDFSFSNGIKASYSLKKFIFHNIIANSSKEEQNNFIN DATLFATSDKCLDARIFVLRNVINSTLTYKVATSGSLKNFLVDGKKPKWLELLHNKIW KNSNAILAYEVVDHHDLFRFELLQLSAIFIKADPEIIAEIKKDIIKFCWNFIKLEDTL IKQSAYLVTSYFISKFDFPIKVVTQVFVALLRSSHVEARYLVKQSLDVLTPVLHERMN AAGTPDTWINWVKRVMVENSSSQNNILYQFLINHPDLFFNSRDLFISNIIHHMNKITF MSNSNSDSHILAIDLASLILYWENKSLEITNGNNTKTDAEGDIVMSDSKSEINPMEVD TTAIIVDTNNNSPISLHLREACTAFLIRYVCASNHRAIETELGLRAINILSELISDKH WTNVNVKLVYFEKFLIFQDLDSENILYYCMNALDVLYVFFKNKTNEWIMENLPTIQNL LEKCIKSDHHDVQEALQKVLQVIMKAIKAQGISVAIEEESPGKTFIQMLTSVITQDLQ ETSSVTAGVTLAWVLFMNFPDNIVPLLTPLMKTFSKLCKDHLSISQPKDAMALEEARI TTKLLEKVLYILSLKVSLLGDSRRPFLSTVALLIDHSMDQNFLRKIVNMSRSWIFNTE IFPTVKEKAAILTKMLAFEIRGEPSLSKLFYEIVLKLFDQEHFNNTEITVRMEQPFLV GTRVEDIGIRKRFMTILDNSLERDIKERLYYVIRDQNWEFIADYPWLNQALQLLYGSF NREKELFLKNIYCLSPPSILQEYLPENVEMVTEVNDQGLSDFVKGHISSMQGLCQIIS SNFIDSLIEIFYQDPKAIHRAWVTLFPQVYKSIPKNEKYGFVRSIITLLSKPYHTRQI SSRTNVINMLLDSISKIESLELPPHLVKYLAISYNAWYQSINILESIQSNTSIDNTKI IETNEDALLELYVNLQEEDMFYGLWRRRAKYTETNIGLSYEQIGLWDKAQQLYEVAQV KARSGALPYSQSEYALWEDNWIQCAEKLQHWDVLTELAKHEGFTDLLLECGWRVADWN SDRDALEQSVKSVMDVPTPRRQMFKTFLALQNFAESRKGDQEVRKLCDEGIQLSLIKW VSLPVRYTPAHKWLLHGFQQYMEFLEATQIYANLHTTTVQNLDSKAQEIKRILQAWRD RLPNTWDDVNMWNDLVTWRQHAFQVINNAYLPLIPALQQSNSNSNINTHAYRGYHEIA WVINRFAHVARKHNMPDVCISQLARIYTLPNIEIQEAFLKLREQAKCHYQNMNELTTG LDVISNTNLVYFGTVQKAEFFTLKGMFLSKLRAYEEANQAFATAVQIDLNLAKAWAQW GFFNDRRLSEEPNNISFASNAISCYLQAAGLYKNSKIRELLCRILWLISIDDASGMLT NAFDSFRGEIPVWYWITFIPQLLTSLSHKEANMVRHILIRIAKSYPQALHFQLRTTKE DFAVIQRQTMAVMGDKPDTNDRNGRRQPWEYLQELNNILKTAYPLLALSLESLVAQIN DRFKSTTDEDLFRLINVLLIDGTLNYNRLPFPRKNPKLPENTEKNLVKFSTTLLAPYI RPKFNADFIDNKPDYETYIKRLRYWRRRLENKLDRASKKENLEVLCPHLSNFHHQKFE DIEIPGQYLLNKDNNVHFIKIARFLPTVDFVRGTHSSYRRLMIRGHDGSVHSFAVQYP AVRHSRREERMFQLYRLFNKSLSKNVETRRRSIQFNLPIAIPLSPQVRIMNDSVSFTT LHEIHNEFCKKKGFDPDDIQDFMADKLNAAHDDALPAPDMTILKVEIFNSIQTVFVPS NVLKDHFASLFTQFEDFWLFRKQFASQYGSFVFMSYMMMINNRTPHKIHVDKTSGNVF TLEMLPSRFPYERVKPLLKNHDLSLPPDSPIFHNNEPVPFRLTPNIQTLIGDSALEGI FSVNLFTISRALIEPDNELNTYLALFIRDEIISWFSNLHRPIIENPQLREMVQTNVDL IIRKVAQLGHLNSTPTVTTQFILDCIGSAVSPRNLARTDVNFMPWF SPAR_H01420 MNISGTLNTLRLLYNPSLCKPSLVVPTFNDLPIPIHDSIKAVVL DKDNCIAFPHDDNIWPDYLQHWETLKSKYSNKALLIVSNTAGSTSDKDYVQATLLEDK TGIPVLRHSTKKPGCHSEILDYFYKNKTITNPKEVAVVGDRLFTDILMANLMGSYGVW IRDGVKISSNPLSKFEKKLYNFLGF SPAR_H01430 MAVTLPRSTFIEAAEKFLGICNANTYVLINQPGLRKLDFLEFET ELVSLQRYIRRSSTAIKFEKVDLLPQDLYHNLAEFVKEYCNVDQVLRLRGNNTEDFQP FIDSERRVIIIEYPKLPEDTNGRREAFRHYDKYLRTILAQIPSPEQKVIYTSLNPGTA LAHESIIPVEIFPDIFDIKSRVGEVEQNDRVLDVPRLSFNDYAPRFSEPPSEYVSIFD SELIEDNRGLLQLIFTTLVGFVLFQFFFRKKTIVDTKANDNMRQTSPQQSQERQETEK TSSE SPAR_H01440 MTAKPQINNKQGVAEGEMDVSSLFKRTEVIGRGKFGVVYKGYNV KTGRVYAIKVLNLDSDSDEVEDVQREIQFLASLKQISNITRYYGSYLKDTSLWIIMEH CAGGSLRTLLRPGKIDEKYIGVIMRELLVALKSIHKDNVIHRDIKAANVLITNEGNVK LCDFGVAAQVNQTSLRRQTMAGTPYWMAPEVIMEGVYYDTKVDIWSLGITTYEIATGN PPYCDVEALRAMQLIIKSKPPRLEGRSYSSSLKEFIALCLDEDPKERLSADDLLRSKF IKAHKATPTSILKELISRYLLFRDKNKNKYKLEGSIPENEPSKPSETQNQSQSGGGGE AQKSIASNDNEFPKRVNEGDVEMKWDFDSLSSSDYIIENNINLDALAEDNNEWATAQH DLFNYAYPDEDSYYFDPTSHNTRPLVYQGTTIGKGYPGTIAQNSTLNAPITNNYTNSK YPSKMVAGTTNTSGTHTAGPMTSSKKLENKAPKQLLELFEDNEIITGENDANTEAPKI NKSISSLNAGNNSREDFIPSISNEVNGSINNNKIRPHLPPLSSGNNYYSQSTPALPLL QTKFNKTSKGPPTSGLTTAPTSIEIEIPEELPSSSLPTPASADPALIPSTKARSSTVT AGTPSSSNSVQYKQSSNLPRRLTVSSNRPEHCPSTITNQKMTSTMASTTGISSTASNN NNNNYSNNTDGENSRGSSGSNTANSIQMGITNSGSLTKISAHKASSPSRPLFGVGTSP NRKPAGSPTQNIGHNPTHMNLAPPPTMKPMVNSKDSKDILLQPLNSMGSSSTINTISG NIGNNTTSLTYFSSEKESSRVNGDFKRNNPNLKLQMPLPTPVVRNKLLDSNTTAPQNN NGMPGSAGISTNENINQFGFNTSSASNIPVSMTPISEKHIDFGSKIKRSQSISNRKNS SASEHPLNILGSSVSGNAPGNNNGGSNNSVSLPANAGATTTKPNATALATASSAVAST VAPISQQSIPPGTQFNHILGSTTTAANSANSLGLTMYPPPQSLQMEMFLDLESCLPGK QRRVDRKPQVLKELENLLQMFEEGLPCIEHALKEQLISTPIKDNEH SPAR_H01450 MASIQEQSTSAHLHSLKEGEATSRPNETLPKQRSIIGSHIQRPP SQTTLGRSRAGSNTMSKVSGLDIARRPSENLLSNMNCNGNDNEGNMLNSFVNSALPPP KVNPTQTRRERPASNSSIGTKTTEVFSSTSASSSLGDTSDEGENSDVDKSKINTFPTI LMEKATQGICANGNGIRRTGNRTLVEATTDSAKVALQKSMSFDETASERTINKSRHSY QEQFSSKKNQTSLLNNKQRSRAKSQTCPSTGYKNNSILKTFGITSKISNPTTRMDASS VEFNVPPQKPLNCKPLTPSQKYRLRKEQSEMNLRNSIKRKEKFYDSQEQILELQEGDV DDSLIWNVPMASYSTNSFLASAKPNDMNNLAAKNDLSEYTGGLMNDNSDNSDAKQNHR YSNISFASTTSNASLLDFNEMPTSPIPGLNKVSDFQFIQDTTKSLASVYLHSSNRLSR TKLSERTKSSDFLPIELKEAQNQGMEDLILVSENKLDAVSHSRPSWLPPKDRQEKKLH ERQINKSMSVASLDQLGKNKGKEEKLIRDETNKQKYVLLLDRDITRNSSLQSLGKMVW DTPFSDETRSSIYSEILQSKTRFITKNYIQPFNELQELLTKMGDFPKNKEIEISQLIE TSLRRKVSGLHDISPDLMLLLKIKSISSQGIITGDELLFHHFLVSESFQNLGLNEIWN IVNLVQMTCFNDLCKEKFDAKVLERKGVVAGYLSQNDEFKDEFNTECINSTTWWNILE RIDHKLFMWIMDIIVVNNSQSYKNSPINEDEFVDRDWEYYRSKKVVTNYKILISFALS VLLNYHFGFSDLKSLCNVNDQRFCIPVFIDDEFVDTDTVNDVFVKKWAHYYKKF SPAR_H01460 MSSLVTLNNGLKMPLVGLGCWKIDKKVCANQIYEAIKLGYRLFD GACDYGNEKEVGEGIRKAISEGLVTRKDIFVVSKLWNNFHHPDHVELALKKTLNDMGL DYLDLYYIHFPIAFKYVPFEEKYPPGFYTGADDEKKGHITEAHVPIIDTYRALEKCVD EGLIKSIGVSNFQGSLIQDLLRGCRIKPVALQIEHHPYLTQEHLVEFCKLHDIQVVAY SSFGPQSFIEMDLQLARTTPTLFENDVIKKVSQNHPGSTTSQVLLRWATQRGIAVIPK SSKKERLLGNLEIEKKFTLTEQELKDISALNANIRFNDPWTWLDGKFPTFA SPAR_H01470 MNDKISILPPEPIQLLDEDTTEPEVEIDSQQENEGLISVSNSNG SSSGSNDCGARITRTRPRRSSSINANFSFQKAHVSDCTIVDGDHGTKFAVWRITVFLE PNLNAFAAKRESYKIQTYKRYSDFVKLREDLLTRIKTVKPEKLHCLQIPSLPPSVQWY SSWKYQQVNLNKDWLAKRQRGLEYFLNHIILNSGLVEMAKDILIQFLEPSKRVA SPAR_H01480 MIKHIVLPFRTNLIGLNTPVLSRMIHHKVTIIGSGPAAHTAAIY LARAEMRPTLYEGMMANGIAAGGQLTTTTDIENFPGFPESLSGSELMERMRQQSAKFG TNIITETVSKVDLSSRPFRLWTEFNEDAEPVTTDAIILATGASAKRMHLPGEETYWQQ GISACAVCDGAVPIFRNKPLAVIGGGDSACEEAEFLTKYASKVYILVRKDHFRASVIM QRRIEKNQNIIVLFNTVALEAKGDGKLLNMLRIKNTKSGVENDLEVNGLFYAIGHNPA TDIVKGQVDEEDTGYIKTMPGSSLTSVPGFFAAGDVQDSRYRQAVTSAGSGCIAALDA ERYLSAQG SPAR_H01490 MSAATATAAPVPPPVGISNLPNQRYKIVNEEGGTFTVMLCGESG LGKTTFINTLFQTVLKRADGQQHRQEPIRKTVEIDITRALLEEKHFELRVNVIDTPGF GDNVNNNKAWQPLVDFIDDQHDSYMRQEQQPYRTKKFDLRVHAVLYFIRPTGHGLKPI DIETMKRLSTRANLIPVIAKADTLTAQELQQFKSRIRQVIEAQEIRIFTPPLDADSKE DAKSGSNPDSAAIEHARQLIEAMPFAIVGSEKKFDNGQGTQVVARKYPWGLVEIENDS HCDFRKLRALLLRTYLLDLISTTQEMHYETYRRLRLEGHENTGDGNEDFTLPAIAPAR KLSHNPRYKEEENALKKYFTDQVKAEEQRFRQWEQNIVNERIRLNGDLEEIQGKVKKL EEQVKSLQVKKSHLK SPAR_H01500 MSHPHSHSIYLSELPVRKPQTMGNPLLRKIQRACRMSLAEPDLA LNLDIADYINEKQGAAPRDAAIALAKLINNRESHVAIFALSLLDVLVKNCGYPFHLQI SRKEFLNELVKRFPGHPPLRYSKIQRLILTAIEEWYQTICKHSSYKNDMGYIRDMHRL LKYKGYAFPKISESDLAVLKPSNQLKTASEIQKEQEIAQAAKLEELIRRGKPEDLREA NKLMKIMAGFKEDNAVQAKQAISSELNKLKRKADLLNEMLESPDSQNWDNETTQELHS ALKVAQPKFQKIIEEEQEDDELVQDLLKFNDTVNLLLEKFSLLKNGNSNAASQIHPSH VSAPLQQSSGALTNEINLIDFNDLDETPSQGNNNTNGTGTPAAAETSVNDLLGDLTDL SISNTQSANQTSFGLGGDIVLGSSQPAPPITTTNNSNNTLDLLGLSSPQPPTNAQAPN SNGIDLLSGFNTTTSTATAPARTLVNQSSKLKIEFEISRESSSVIRINSFFTNLSSSP ISNLVFLLAVPKSMALRLQPQSSNFMVGDAKDGITQEGTIENAPAGSSKALKVKWKVN YSVNSTQTEETAVFTLPNV SPAR_H01510 MVYFVVLTTTEKSKPSRRKVEMEKVFRFYSKSRNIFIHESLSLK LSTIDDPKSGYGLFIEPSKFNHDELENETIQLLRIPKHYTFNINTLLTLLGDEDEFSS KEEFQRTNNKIKIALREIMAHPNFSRFLTETNLLIIYFMIFRTIHRDYEIPKNIKYYL ENVLMRIKVETAMDSIENLATDYGHYPQIFGLRETLMLFKELFQDLLNLNDIKHIYSA IISRCLEIPEKSDTKGEEFTVHTTLIPILDFANHENTQKNAYFDINPSNNDVLLILDT KAVRGKTAKPFEAFISYSPTEDLFSMLITYGFTPVFKGYSQFWALSFDRCFLRDYTGP DKNTNLRLFYKWMHINPVMPLVKHEHNGQVRWFINDTAPEFDMLLLPFIPSLGDAKIA RWAYDSTCHLMFTKIHCLVNPETNEHASMIAENYHSLIKERELNGDDFINLPPLAWSL HYKDTDSGCVRKRHVNSEDAIAVLQQELGQDTAEIKSQFINFFRSFLEFRRSRITKPT SDSKVASVLFEQEREIIADLAKAIDNSSTVFFSDLKVTLHAELGRLPPLRFLDDHIEV SVDKQKPSFICEDLASYTPNRFTDFFQEEIIKYATFFQDD SPAR_H01520 MKNILVYGICLLFALIQNVKGVHFYAKSGETKCFYEHLSRGNLL IGDLDLYVEKDVLFEEDPEATLTITVDETFDNDHRVLNQKNSHTGDFTFTALDTGEHR FCFTPFYSKKSARLRVFIELEIGNVEALDSKKKEDMNSLKGRVGQLTQRLCSIRKEQD AIREKEAEFRNQSESANSKIMTWSVFQLLILLGTCAFQLRYLKNFFVKQKVV SPAR_H01530 MSDHELDGTTSELEALRLENARLREQLAKRKDNSQNYPLSLEEY QRYGRQMIVEETGGVAGQVKLKNSKVLVVGAGGLGCPALPYLAGAGVGQIGIVDNDVV ETSNLHRQVLHDSSRVGMLKCESARQYITKLNPHINVVTYPVRLNSSNAFEIFRYYDY VLDCTDSPLTRYLVSDVAVNLGITVVSASGLGTEGQLTILNFNNMGPCYRCFYPTPPP PNAVTSCQEGGVIGPCIGLVGTMMAVETLKLILGIYTEENFSPFLMLYSGFPQQSLRT FKMRGRQEKCLCCGKNRTITKEAIEKGEINYELFCGSRNYSVCESDERISVEAFQNIY KDNGFLPRHIFLDVRPSHHYEISHFPEAVNIPIKKLRDMGGDLKKLQEELPTVERDSD IVVLCRYGNDSQLATRLLKDKFGLSNVRDVRGGYFKYIDDIDQTIPKY SPAR_H01540 MDVKADCTKRARKMVDLSTALIHGDDKDNRVSDVAPPINVSTTF RYDDDDLVPWTERENLDFMEKKPVYSRLAHPNSTRLESIFSEILDGYAVIYSSGLAAF YAAMVHYNPKKIFIGQSYHGVRAIANILTRNYGIKQYPLEDIEKYASEGDIVHLESPV NPYGTSSDIESLARRAHAKGALLIVDSTFASPPLQYAWNFGADIILYSATKYFGGHSD LLSGVIVVKEEATSRQLKDDRIYLGTNVANLESFMLLRSLRTYEMRITKQSENATKIV KFLSDHQSEFDKVLKTIYHSSLQTEEFVKKQLVGGYGPVFAITLHTKEQCKRLPLKLK YFHHATSLGGIESLVEWRAMTDPYIDQTLIRVSVGCESANDLIKDLASALKELQDAA SPAR_H01550 MFRIQLRTMSSKACKNDYPKEFVSFLNSSHSPYHAVHNIKKHLV SRGFKELSERDSWAGIVARKGKYFVTRNGSSIIAFAVGGKWEPGNPIAITGAHTDSPV LRIKPISKRVSEKYLQVGVECYGGAIWHSWFDKDLGVAGRVFVKDAKTGKSIARLVDL DRPLLKIPTLAIHLDRDVNQKFEFNKETQLLPIGGLQGDNAEMNSEKEIDNGGFTSIK TIVQRHHAELLELVAKELAIDAIEDIEDFELILYDHNASTLGGFNDEFVFSGRLDNLT SCFTSLHGLTLAADTEIDQESGIRLMACFDHEEIGSSSAQGADSNFLPNILERLSILK GDGSDESKPLSHSSILETSAKSFFLSSDVAHAVHPNYANKYESQHKPLLGGGPVIKIN ANQRYMTNSPGLVLVKRLAEAAKVPLQLFVVANDSPCGSTIGPILASKTGIRTLDIGN PVLSMHSIRETAGSSDLEFQIRLFKEFFERYTSIESEIVV SPAR_H01560 MSANLSVGNEIKDSFKETHKWVQNNLKWLKDIEQFYRERAKLEK DYSERLSRLSAEYFSKKSSTSVPISVGDTPTTTPGSVEAAGVVAWNEILSQTDMISKD HNQLSTDFENHVANQLSGLFTKLDMTLSKINGFNNDMVNKKDNIYHELEKAKKDYDEA CSTMEMARNRYTKASNDRNKKKLDEKEVEMNKCKNEYLIKINQANRTKDKYYFQDVPE VLDLLQDMNEAKTLFLNDLWLKAASVENDLGTNVSKRLQAANSVVKQNKPSLNTAIFI KHNLKNWKEPQDFIYKPSPVWHDDEKFAVPSSLEVEDLRIKLAKAENDYNLLQDKTQN ELSKLSTLNKIKHEMKTNEDNANATKFYDTLKEYLNIVSPFTSHETLKLQAEVEIESI QNNVPEEYDLSTDNIDLSKTKKKSGIFSKLKHNILNVDSRPPSGGSAGSGNGGPLHIT SLFNTSRRTRLGATTNNAGEDSDNTSIRTTGTTNTTKTTKTSSDDGKNKVLYAYVQQD DDEISITPGDKILLVARDTGSGWTKINNDTTGETGLVPTTYIRISSAATARTNDRGPA PEVPPPRRSTLPVRTMEAMYAYEAQGDDEMSIEVGDVITVIRGDDGSGWTYGECDGLK GLFPTSYCK SPAR_H01570 MSINTVPSSPPNQTPSAASAVAASHDHTKFNNSIRLPISISLTI NDTPNNSSNNNGVTNGLGILPSRTATSLAITNNSSTNSNVAATATAATATVETNTAPA VNTTKSIRHFIYPPNQANQTEFSLDIHLPPNTSLPERIDQPTLRRRMDKHGLFSIRLT PFIDTSSSSVANQGLFFDPIIRTAGAGSQIIIGRYTERVREAISKIPDQYHPVVFKSK VISRTHGCFKVDDQGNWFLKDVKSSSGTFLNHQRLSSASTTSKDYLLRDGDIIQLGMD FRGGTEEIYRCVKMKIELNKSWKLKANAFNKEALSRIKNLQKLTTGLEQEDCSICLNK IKPCQAIFISPCAHSWHFHCVRRLVIMSYPQFMCPNCRTNCDLETTLESESESESESE NENEDEPDIEMDIDMEINNNLGVRLID SPAR_H01580 MTNDNSDTTNNTINSGSNNSQSSSSSTPSVTRGPVTDRTKVNYV PKSNDPSSFQYYPDDPENPVNKYKFALKADSQYYDPCEESSKLSFQCLERNDYDRSKC QEYFDAYRECKKQWLTARRKNREQWE SPAR_H01590 MAENSLLKFIAKNKVAILATVSAGTAAVGAYVYYQQLKQQQSKG TKDHRRQGEVFTGQNEDEVGSKDDGSVLNGSKKKKKNKRKRKNRAKSTKVFEYPSLPN GEPDIVQLEGLAPSQRRAYAVQLKNKGNHFFTSKNFNESLKYYQYAIELDPNDPVFYS NISACYISTGDLDKVIEFTTKALEIKPDHSKALLRRASANESLGNFTDAMFDLSVLSL NGDFDGVSIEPMLERNLNKQAMKVLNENLSKNDGRGLQVLPSNTSLASFFGIFDPDLE ISSVNTTSHFDTKYDLLSDALQRLYSATDEGYVVADDLFTRATDAYHYLLSANKADDP LRENAALAFCYTGIFHFLKNDLLDAQALLQESINLHPTSNSYIFLALTLADKENSQQF FKFFQKAIDIDPDYPPTYYHRGQMYFILQDYINAKADFQKAQSLNTENIYPYIQLACL LYKQGKLTESEAFFNETKLKFPTLPEVPTFFAEILTDKGDFDAAIKQYDIANRLEEVQ KKIHVGIGPLIGKATILARQSSQDPSQLDEEKFNIAIKLLTKACELDPRSEQAKIGLA QLKLQMEKIDDAIELFEDSAILARTMDEKLQATTFAEAAKIQKRLRADPIISAKMELT LARYRAKGMI SPAR_H01600 MSMQQVQHCVAEVLRLDPQEKPDWSSGYLKKLTNATSILYNTSL NKVMLKQDEEVARCHICAYIASQKMNEKHMPDLCYYIDSIPLEPKKAKHLMNLFRQSL SNSSPMKQFAWTPSPKKNKRSPVKNGDRFTSSDPKELRKQLFGTPTKVRQSQNNDSFV IPELPPMQTNESPPITRRKLAFEEEDDDEEEPENSNLSLKNHSNKSITGNRDVEIEEH ENHESDPASEEELSDVQGSKKRKTKQNKVVGKPQSELKTTKALRKRGRMPNSLLVKKY CKMTTEEIIRLCNDFELPREVAYKIVDEYNINASRLVCPWQLVCGLVLNCTFIVFNER RRKDPRIDHFIISKMCSLMLTSKVDDVIECVKLVKELIIGEKWFRDLQIRYDDFDGIK YNEIIFRKLGSMLQTTNILVTDDQYNIWKKRIELDLALTEPL SPAR_H01610 MSNYHRRAHPSSGSYRQPPEEPQYSRSGHYQYTSGHSYQQYSSQ YNQRRRYNHSDGSRRRYNDDRPHSSNNGNTRQYYATNNGQSGAYVNNKSDTNSRRGLS QSRYSNSNVHTVSTSTSESLPKESALLLQQRPPSALRYNTDNLKSKFHYFDPIKGEFF NKDKMFSWKTTDKEFSETGYYVVKELQDGQFKFKVKHRHPEIKASDPRNENGMMTGGK MASHRKCRKSLVLLPRISYDRHSLGPPPPCEIVVYPAQVSTTTNIQDVSIKNYFKKYG EISHFEAFNDPNSALPLHVYLIKYTSSDGKINDAAKSAFSAVRKHESSGCFIMGFRFD VILNKQSILNNIISKFVEINVKELQKLQESLKKAKEKEAENGKAKEVQGKDISLPKEP KVDTLSHSSGNEKRIPYDLLGVVNNRPVLHVSKIFVAKHRFCVEDFKYKLRGYRCAKF IDHPTGIYIIFNDIAHAQTCSNAESGKLTIMSRSRRIPILIKFHLILPRFQNRTRFNK SGSSLNSTHLAIKYESKEEFIEATAKQILKDLEKALHVDIKKRLVGPTVFDALDHANF PELLAKRELKEKEKKQQIASKIAEDEAKRKEEAKRDFDLFGLYGGYAKSNKRNLKRHN SLTLDHTSLKRKKLSNGIKPMAHLLNEETDSKETTPLNDDGIPCVSKERDEEDENMTS SSSSEEEEEEATDKKFKSESEPTTPESDHLQAIKSLVPDQNGSTDMLDTSSMYKPTAT EIPEPVYPPEEYDLKYSQTLCPLDLQNAIKDEEDMLILKQLLSSYIPTITPETGTVLE YKTWESRRRTLEEEKASDWQIELNGALFDSELEPSSSFKAEGFRKIADKLKVNYLPHR RRVHQPLNTVNIHNERNEYTPELCQREESSNKEPSDSVPQEVSSSRDNRASNRRFQQD IEAQKAAIGTESELLSLNQLNKRKKPVMFARSAIHNWGLYALDSIAAKEMIIEYVGER IRQPVAEMREKRYLKNGIGSSYLFRVDENTVIDATKKGGIARFINHCCDPNCTAKIIK VGGRRRIVIYALRDIAASEELTYDYKFEREKDDEERLPCLCGAPNCKGFLN SPAR_H01620 MKHFCRVPKAYLLIPRVSSRYSSTEAAQPKISKLKISFNKVSES NSKKKDNSSSIDTDNCLIAQQDGKILSTKLSKSSLPPSLQYVRDLMDLYKDHVVLTQM GSFYELYFEQAVKYAPELNISLTNRAYSHGKVPFAGFPVHQLSRHLKMLVNNCGYSVT IADQFKRKDVADNEVNKFYRRVTRIVTPGTFIDEAFENLRENTYLLNIEFPENCMSQV ADASLKVGICWCDVSTGEIFVQQVYLKDLVSAITRIQPKEILLDEKLLEFHIESGTWY PELVELKKFFIKYQKMPSQHRTIESFYGLFNLGGKEATERQLRIQFQTFTQKELASLR NTLIYVSDHLPDFSINFQIPQRQLATAIMQIDSRTSTALELHSTVRDNNKKGSLLSSI RRTVTPSGTRLLSQWLSGPSLDLKEIKKRQKIVAFFKDNVDITEALRTMLKKVNDLSR ILQKFSFGRGEALELIQMARSLQVSREIREYLMNNTFLMKATLKSQITQLTESLDFQQ NLIDDILKFLNEEELAKSQDVKQNGDVNIMLEMDVKDKKVSRKDEIFELTDFIINPSF NSKLRKLHDAYQSVWQKKNVFDALLKDLFVGDLGAKTLSLKERQNGEYALHVTGTASN LKKIDELISKTTEYHGSCFHIMQKSSQTRWLSHKTWTDLGHELELLTLKIRNEESNVI DLFKRKFIDKSNEVRQVATTLGYLDTLSSFAVLANERNLVCPKVDESDKLEVVNGRHL MVEEGLSARSLETFTANNCELAKDNLWVITGPNMGGKSTFLRQNAIIVILAQIGCFVP CSKARVGIVDKLFSRVGSADDLYNEMSTFMVEMIETSFILQGATKRSLAILDEIGRGT SGKEGISIAYATLKYLLENNQCRTLFATHFGQELKQIIDSKCAKGMREKVKFYQSGIT DLGGNNFCYNHKLKPGICMKSDAIRVAELAGFPIEALKEAREILS SPAR_H01630 MSVSLEHTLGFRIKVTNVLDVVTEGRLYSFNSSNNTLTIQTTKK NQSPQNFKVIKCTFIKHLEVIGDKPSFNSFKKQQIKPSYVNMERVEKLLKESVIASKK KELLRGKGVSAEGQFIFDQIFKTIGDTKWVAKDIVILDDVKVQPPYKVEDIKVLHEGN NQSITLIQRIVERSWEQLEQDDGRKGG SPAR_H01640 MSEFLNENPDILEENQLPTRKEDSIKDLLLGGFSNETTLERRRL LLEIDHSLKSQVLQDIEVLDKLLSIRTPPELTSDEDSLPAESEEESMAGQRKEEEEPD LIDAQEIYDLIAHISDPEHPLSLGQLSVVNLEDIEVHDSGNQDEMAEVVIKITPTITH CSLATLIGLGIRVRLERSLPPRFRITILLKKGTHDSENQVNKQLNDKERVAAACENEQ LLGVVSKMLVTCK SPAR_H01650 MGYFVPDSHIENLKSYKYQSEDRSLVSKYFLKPFWQRFCTIFPT WMAPNIITLSGFAFIVINVLTVFYYDPNLDIDTPRWTYFSYALGVFLYQTFDGCDGVH ARRINQSGPLGELFDHSIDAINSTLSIFIFASETGMGFSYSLMLSQFAMLTNFYLSTW EEYHTHTLYLSEFSGPVEGILIVCVSLILTGIYGKQVIWHTYLFTITVGDNIIDVDTL DIVFSLAVFGLVMNALSAKRNVDKYYRNSTSSANNSTQIEQDSAIKGLLPFFAYYASI ALLVWMQPNFITLAFILSIGFTGAFTVGRIIVCHLTKQSFPMFNAPMLIPLCQIVLYK ICQAVWGIESSTIVFALSWLGFGLSLGVHIMFMNDIIHEFTEYLDVYALSIKRSKLT SPAR_H01660 MNEMENTDPVFGDELASKYERESSTEQEEDAPVILTQLNEDGTT SNYFDKRKLKIAPRSTLQFKVGPPFELVRDYCSVVESHTGRTLDMRIIPRIDRGFDHI DEEWVGYKRNYFTLVSTFETANCDLDTFLKTSFDLLVGDSSLENKLRVQYFAIKIKAK NDDDETEINLVQHTAKRDKGPQFCPSVCPLVPSPLPKHQIIREASNVRNITKMKKYDS TFYLHRDHVNYEEYGVDSLLFSYPEDAIQKVARYERVQFASSISVKKPSQQNKHFSLH VILGAVVDPDTFHGVRPGIPYDELALKNGSKGMFVYLQEMKTPPLIIRGRSPSNYASS QRITVRTPSSVNSSQNSTKRKMPSTPQPLKESCLNARPAKRRSKVALDASNSGMSISP VKSRQSTPMEASKENDDPFFRPNKRVETLEHIENKLGALKNQCPDSSLKYPSSSSRGV EGGLEKEDLVYSSSFSVNMKQIELKPARSFEHENVFKVGSLAFKKISELPHENYDITK EKKSMEQNYLRAEIGSRSECKTSYGNELSLSNISFSILPNSAENFHLETALFPAMEED VPRTFSRILETGSFQNYYQKMEAENADRLCSKGVKLIASGTLPSGIFNREELFDEDSF YKH SPAR_H01670 MKCTLVSTLFVVTNVLVANAQVNNSSDTLNVQFSNNTNSHIEGK FNSTDEVFNSSASWSLEAQQKKVSSAAVYDVGGWNGSLYRSNRSAVADYQPGRKQDAA ISQISDGQIQATASESATATAIAATPSNTANISVYEGAGIKVEPKNMGCIVGLAALLF L SPAR_H01680 MARGRINTKKNIQGKRLIDRVVPMDKITKVDVPKKTPVKHTKEG FSVVNGKLVSSNDIGVLLREAQGAIDKRNNVSQRNGRKGIKNNRPQKGLNTNPAWGNN HRRSDWQPPKNNRGQKANGMSNVNNSRDFTTSNVKLQRQQFGEEMQSGSQLVISTNSD ASNKLLMLFNLTLGVDQENLKNVLENISQVQIAQIRVRDLPSGSATAKVRLTYPTTQS LEKVRKLFHGALVDGRRIQVVIASDESSHLLY SPAR_H01690 MSSEPYKNVYLLPQTNQLLGLYTIIRNKNTTRPDFIFYSDRIIR LLVEEGLNHLPVQRQIVETDTNENFEGVSFMGKICGVSIVRAGESMEQGLRDCCRSVR IGKILIQRDEETALPKLFYEKLPEDISQRYVFLLDPMLATGGSAIMATEVLIKRGVKP ERIYFLNLICSKEGIEKYHAAFPEVKIVTGALDRGLDENKYLVPGLGDFGDRYYCV SPAR_H01700 MNQLSDSYALYNQPIVIDNGSGIIKAGFSGEERPKALEYSLVGH TKYDKVMLEGLQGDTFVGNNAQRLRGLLKLRYPIKHGVVEDWDSMELIWSYVLNDVLQ LQNIEEHPLLITEAPMNPLKNREAMAQVLFETFNVSALYVSNPAVLSLYASGRTTGCV IDCGEGYCSTVPIYDGFVLPASMMRMDIGGADITEQLQFQLRKSAGVSLFSSSEREIV RTIKEKVCYLAKDIKKEEEEYLQGTQDLISTFKLPDGKCIEVGNDRYRAPEILFSPQI IGLGYDGLSDMCMQSIWKVDLDLRKALLSSIILSGGTTTLRGFGDRMLQDLEALTRGM SKIKIIAPSERKYTTWIGGSILTGLSTFQKLWTKKSDWLEDSTRVNSNLM SPAR_H01710 MALPIEGKLSMATNKIERLKSPSSSSTCSMDEVLITSSNNSSSI CLETMRQFPREGVSGQINIIKETASSSTSHAALFLKQDLYEHIDPLPAYPPSYDLVNP NKEVRFPTFGDTAPCSKSSLPPLYAPAVHELTLISLKLERFSPYEVSNNRSWKNFIVE INSTQLNFYHIDESLTKHIRNYSSGETKSEKEDRIHSDLVHRSDQSQHLHHRLFTLPT RSASEFKKSDQERISYRVKRDRSRYLTNEALYKSFTLQNARFGIPTDYTKKSFVLRMS CESEQFLLKFSHIDDMIDWSMYLSIGISVSLDLEVREYPDYRIVPRRRRRRRRRRRRR RHSHRSESSMGSFSQRFIRSNSRPDLIQRYSTGSSINNNATIRGRSNTFTGGLLDHYC SGLSQTSTDALVSSAASGEASDNSTLGSTRSSSGCSASRSIASRSLKFKIKNFFRPKN SSQTEKLHRLRSNSSNLNSVIETEEEDEQHESSGGNNPERGVPVSATIKVEHPLHRNR AISIPQRQLLRRAISEEVVPIKFPNGTTDESVSSIHGTVDPSPPNEQLSVDGCEIMLR SQNAVMKEELRSFASDLVANERDETPVRATPQSSSIYLQELAPNGESTTNLPQSSSSF CLTERSAQMNDDENATETDEDENDDTDDDAGNDTDDDTDDNNIGYAYGSESDYSCLVE QRIINRRRASSTLSCFSNIPYGTDDVKWKPAIKEISRRRYLRDSLKCIKPFLDSNDCL GKVIYIPVSGPTFETSNKLHVNNNQSLQKLKNHFLKAFIVGPTALIELNCKNKNAIVG TTKDAEDHDGDNDDDDDAEDDEEDDDDDDDDDDDDDDDDDDDDDDDDEEEEQNTA SPAR_H01720 MLHMNSLWSCLLFVLIAVADAVQGLQEDYSGYAVYRFNSNSYST LMKDVIAPLTEDYDVWTRSNKFIDIKLPKEIGEQINDGQVIIDNVNQLIQDTLPTEHM MARDQAVFENDYDFFFNEYRDLGTIYMWLDLLERSFPNLVKVEHLGKTFEGRELKALH ISGNKPESNPEKKTIVITGGIHAREWISVSTVCWALYQLLNRYGSSKKETKYLDNLDF LVIPVFNPDGYAYTWSHDRLWRKNRQRTHVPQCFGIDIDHSFGFQWEKAHTHACSEEY SGETPFEAWEASAWNKYINETKGDYKIYGYIDMHSYSQEILYPYAYSCDALPRDLENL LELSYGLSKAIRSKSGRNYDVISACKDRGSDIFPGLGAGSALDFMYHHRAHWAFQLKL RDTGNHGFLLPPENIKPVGKETYAALKYFCNFLLDPEI SPAR_H01730 MSEDLSPTSSRVDLSNPHGFTKEGVDLSKLSPQELKLYKMYGKL PSKKDLLRHKMQDRQYFDSGDYALKKAGVIKSDDVIVNNSSNNLPVTNPSGLRESIIR RRMSSSSGGDSISRQGSISSGPPPRSPNK SPAR_H01740 MGKKKSKNQLNTGGTANGVANAKKEAALPPLGNKLGSASFTAIN TLTKPALFSFYDEDITKNEGNVYDKALLSNASQLEMVPPFENMKHERSLYAKIINIVA AFFILFIAGILFPMISECLFDNDQLAKGDIVSFLKHGIEIKNKIVAEPDMVPDWAVFG TEGVIFGSIVPFIDYFVRVQHQPKTRSSVYKNTLGSFIRCANTLLGIIFGIRKIEWSS SLQAAGAWSLLNIVLWLFFDGTLAVFFSGLVVGGISAFTCSQCFSQLSQTLYFIDFYF FGFLMFSKLGRYLFN SPAR_H01750 MAGFQRIVAHKNPHIRKVAVLQCKPNKEDALNLIKEIANKVSYL MKENNFKVVSLVEFYPRDQRLLGMNVNHGFKIMLRLRCPKDEFQFLPMESIMGTMLHE LTHNVFGPHDKKFYDKLDDLIGRQWVIEQRGLYDTFLGNGQRLGGRTNSCSNRYPMTG ISTNTGIVRRRGKGVKLGSLHPEGVSSKDRGKSPRELAALAAERRYRDDRWCGEMKNN KDQIISDNNNDLLEVVILDDDEEEEMSQRDTSIEVIDLT SPAR_H01760 MSMPMASTTLAVNNLTNTNGIANVNVQANKQLRHQAIDSPARSS MTATTATNSNGNSARDDSTIVGLHYKIGKKIGEGSFGVLFEGTNMINGVPVAIKFEPR KTEAPQLRDEYKTYKILNGTPNIPYAYYFGQEGLHNILVIDLLGPSLEDLFDWCGRKF SVKTVVQVAVQMITLIEDLHAHDLIYRDIKPDNFLIGRPGQPDANNIHLIDFGMAKQY RDPKTKQHIPYREKKSLSGTARYMSINTHLGREQSRRDDMEALGHVFFYFLRGHLPWQ GLKAPNNKQKYEKIGEKKRSTNVYDLAQGLPVQFGRYLEIVRSLSFEECPDYEGYRKL LLSVLDDLGETADGQYDWMKLNDGRGWDLNINKKPNLHGYGHPNPPNEKSRKHRNKQL QMQQLQQQQLQQQQLQQQQQQYAQKNEADLRNSQYKPKLDPTSYEAYQHQTQQKYLQE QQKRQQQQQLQEQQLQEQQLQQQQQQQLRATGQPQSQPQSQQFGAHYQPQQQPSAALR PPQQQLNDDNSSLAASHKGFFQKLGCC SPAR_H01770 MYKGPEERNEMISSHGKMEAAVSQQEQQEQLKRRHQHRGRKLSE EIASLLRLKESRRLNPTAHYTPRRSSQSQSTSGSTFKEYNEYVNEKDASRSQRQNAAV ILSKLAHDFWENDCVIDEDIFEDSSDEEQS SPAR_H01780 MTAGSAAPVDYASLKKNFQPFLSRRVENRSLKSFWDASDLSNDV IELAGGMPNEKFFPIESMDLKISKVPFNDNPKWHDSFTMAHLDLGSPSELPIARSFQY AETKGLPPLLHFVKDMVSRINRPAFSDETESNWDVILSGGSNDSMFKVFETICDESTT VMIEEFTFTPAMSNVEATGAKVIPIKMNLTFDRESQGIDVEYLTQLLDNWSTGPYKHL NKPKVLYTIATGQNPTGMSVPQWKREKIYQLAQRHDFLIVEDDPYGYLYFPSYNPQEP LENPYNSSDLTTERYLNDFLMKSFLTLDTDARVIRLETFSKIFAPGLRLSFIVANKFL LQKILDLADITTRAPSGTSQAIVYSTIKAMAESNMLSSLSMKDAMFESWIRWIMQIAS KYNHRKNITLKALYETESYQAGQFTVMEPSAGMFIIIKINWGNFDRPDDLPQQMDILD KFLIENGVKLVLGYKMAVCQNYSKQNSDFLRLTIAYAKDDDQLIEASKRIGNGIKEFF ENYRS SPAR_H01790 MKVSHLVLILVSIFSMAQASSLSSYIVTFPKTDNIATDQNSIIE DVKKYVVGIGGKITHEYSLIKGFTVDLPDSDQVLDSLKERLSYIESKFGTKCNLEKDS EVHALNRDHLVA SPAR_H01800 MKFTSVLAFFLATLTASATPLGLYKRQNVTSGGGTVPVIITGGP AVSGSQSNVTTTTLFNSTSTLNITQLYQIATQVNQTLQSESSSGIIIVTNWRSIETLS FFCSIVFNTSKTIVITENFLWGVPILGSSHAEGRGTLVAGRDKVVYSGVFPPYTVPVG VLSGQKDVQWFFDACEPTLIASNSTIRTQYSNFTSAQISSNASSGTNTSSSSSGPVVP IIYEEGYSQSLIQSLSSSIQGLVVISSGTSHNSTVTSWTSVDFPVVYASDGSSGHDGS GIGFLSNTSIPQGAISAGYLSPIQAQTLLSIAINNQVTSSSELQQIFPASQQ SPAR_H01810 MSSPIPTRFTLALNTMSLLTSTWGFVRATSVILPPSLSKAGHKQ FLTIISIIATIINNAVNITNYYIQRNSKMNLETKRKSDFISRHIALPVSLVLESIVAT VYWPLRLFFVSLIMHGVESTAKTPFPITVDMAIHLYPILYLLADHYLSGSGIKFRLSN KHAWLIVTSLAFSYFQYLAFLIDAEQGQAYPYPFLDVNEPYKSIIFVAVATITWAYYV FYQRFPPKHAKKSTNKGDKN SPAR_H01830 MAFSDFAAICSKTPLPLCSVIKSKTHLILSNSTVIHNFDPSNLN VGVLPRCYARSIDLANTVIFDVGNAFINIGALGVILIILYNIRQKYTAIGRSEYLYFF QLTLLLIIFTLVVDCGVSPPGSGSYPYFVAIQIGLAGACCWALLIIGFLGFNIWEDGT TKSMLLVRGMSMLGFIANFLASILTFKAWITDHKVATMNASGMIVVVYIINAIFLLVF VICQLLVSLIVVRNLWATGAIFLGLFFFVAGQVLVYAFSTQICEGFKHYLDGLFFGSI CNVFTLMMVYKIWDMTTDDDLEFGVSVSKDGDVVYDNGFL SPAR_H01840 MKFNFATIVNILFFLFSLIEANNNGETVKLITSDGIVYSYAVYT KTLAPARVVVKTISYTTTRVYPVTLANSVVSSTTEKITEISTVSASEQASATQTNSLV ATSTVPIITSSISSDSSISSISTSDVESSQSIQSSGTPSTTAQPSMSSDFSLTSSSAF VPSTTSFSSQLSSSSEASSEASSSEASSEASSSPSSSSEASFTQSSSSSSSSSSSSSS SSSSSSSSSLATIITLAPSSSKLGNSQLTSTLSSSTSAVESSQTGSTVARTTSTLTPS STVDTTSRTTTSISFESSSAQSISVSSSDGTCYVFYDDDEYYSTVYLTNPSQSVDAAT TITSTNTVYATITL SPAR_H01850 MSREGFQIPTNLDAAAAGTSQARTATLKYICAECSSKLSLSRTD AVRCKDCGHRILLKARTKRLVQFEAR SPAR_H01860 MLVGISGTKFCGCEDVINLLVDHFHFELLDHLDNPDEILDYATK NYTENSVIFLEKLSLLEKLEKRPFFVHLSIDASITTRVALYRKVNNAESLPLGQIVQA IDQHDFQPEGIKLREKSHLKFKIVNEDHVKRRKSLISNITTQLKILDNKKKEMAPLMR PSWDSYFMKLATLAASRSNCMKRRVGCVIVRECRVIATGYNGTPRHLTNCFNGGCPRC NDGDSRNLHTCLCLHAEENALLEAGRDRVGQNATLYCDTCPCLTCSVKIVQTGISEVV YSQTYRMDEESFKVLKNAGITVRQFSFMEEPRIVMV SPAR_H01870 MSSEVTFDYTFSWPAGPKDVILTGTFDDWRGTLPLVKTAKGNFE ITMPVKLTNKNDKFQFKFIVDGVWCVSDSYKKEHVSEGIENNFLQITDLVETQEVGSV SRIPEAGGLLCGKPLRAAGPPSTSNRKKNKRNNKKRRSKLKKKSTKNNKKSNENLDDN EEEKEDEDDVTGTTTENVTGTSREETPLSEPTDVSKEASGNFHILPIDQSAETTQSNG IIGGPGPVLVPNPGEIKEFTEIRDVDAKELNERLNKIEQVPEPVVDPTVGSSVGEKIS ALPQAEDPIVETEETSHNVQELTPQVEAVTPVINEPEPLPTPEAQISIAESSKAEPIE GAFQSELAEKHDSTENVLDTSKNVEKKPKQEEVFTLDPVVNKAPKPSLADGHAAEGKE SPAVFEENEKKKKQEEKGSKQVRRSEISREKKPSTKEVRKQSIKAPKKQTASPLSSTT EEPKKKKTGFFGKLKKLFK SPAR_H01880 MSFIQKRLLSQTLFLRSQVGSLPLYISPEVQVSISALSMPRIIR KGRTSLNISQNITVKGPKGELSVEVPDFLQLERDEKNGKINVTVQNSEDKHQRSMWGT VRSLINNHIIGVTEGHLAVLRFVGTGYRAQLENDGKFVNVKVGASIKQGLEVPEGIVV KTPAPTSLIIEGCNKQQVLLFAAKLRKFHPPEPYKGKGIYVNGETIKLKDKKIK SPAR_H01890 MVRKLKHHEQKLLKKVDFLEWKQDQGHRDTQVMRTYRIQNREDY HKYNRICGDIRRLANKLSLLPPTDPFRRKHEQLLLDKLYAMGVLTTKSKISDLENKVT VSAICRRRLPVIMHRLKMAESIQDAVKFIEQGHVRVGPNLINDPAYLVTRNMEDYVTW VDNSKIKKTLLRYRNQIDDFDFS SPAR_H01900 MYHTHMHESLISVTSTVSISDASYAYARLTRRDDDDSSSSSASS TKNSKSAKCTGTKQQCQLPTDSDHSTSVTVGVAVAVPVGVIIIVLAVILYIVYRRSKK EAEEDNDPDFEGDSEFLPAMKDYSSGMNHPYSSDSQQDFMEKTLQQTPSDPFASSMNG SKYNMRSVTPSATGRPWYVDPFQLPQESNDSNSLRDFAMRVQEDGLGGYKVAADSRNA SQTSLHLDNFSNRTPIRASSRFQENESFLSHNSPIHNNQISRGSATAGDNKQSAFPNE VTDSASVSEEAGVSNDSSESPSNDAFEFEFDNGSEKTHRKSLQFGTDDDYELQDIKES QHVDDGSGSKSGDDDYYASRLSPNEEEDIKRMKSIYQVYLDRAKTMKKEQDKSDNAND VLQDEIRVDNIDQNPLPSIKINNNDNIDKIEVPEAKHLAQEALTLSDTNLGKYGPEMV QGQEQYPVRDTLTVTDTEAAPSNRIASSIYSEAVQPLNYQDQYQQQEQSPVYGGYTQY PANGYNGNFQQQGYAAPVAPNPQWYGVPVPQQHQQYNHPQTLETIGELPTPAYLAQSA SSHSLTSFKRPNKQQLLQLQTARLNGTALNPVDHPEMFYSPTNDTYYVPQQQGQFMKF NENGAAPSPYQLRQSVVMTNPSDLTAKPSYKPAGSFRSVSATNSRNNSLTTQNNTYLQ QQQQQLYNSRVSGILEETDVVQPPSVGGILPHSGSQDDLRKQLGSSHNYTVN SPAR_H01910 MSETSSSRRSASKDSVKSYFTGKYNKVLDSILEAEAAISKSPTV AEDLSESSASGNSDMSRPSLTASSATSQGISKKELLQQIAGSLFSTSIERLKTAHSPD ISATSEYSANASYGEQECKECDGSFKCSAHFERAAEYYDDETESGPVLEPSTSNSEKD PFIDVFLDKLISRLVPEKLPEREHFSSKTSIEHDLDTGRVPVFSATTLGSNFKKLSKK MGSIFELQDSIVRLLTWRNPTGTVTSLIIFTLICFNPMYLVILPIFRFIYGIVVPGYV RKHPLQRSIYPLKRNHGSSLLYDVCYEGKNEYSYGQQFFSKSFMDTLESRNQELDEIS ELDKRPENTGELKQGMKVLINLRDMQNMTSGTLHVIEAVNNFLRKSSSFQNEECSTKR FFVGFLSIVFLKILSPFVNWSYACSISAWCLLIYMHPRAHPKIIKIFKTGKIGKGYKD LKKKENQAHNLVFDEEPEIKYIEIFEIYRKGLLPNDWKFFRFSSRTFDPQDPYRRAQQ FPPGVDSLADVIPPTGWSFDPNFEWKIDNDVDRWVIERGLNLPITGEFLFDPMFKRRR LLHRVIKNATSIA SPAR_H01920 MWILIYLFIIWSSLRTLVAAIGSTVTVRNDVNETVSALVWPTMS PQMTVAFRSQRDVMGNLTIDQLPYVGLNLRRVLLNNETNMVNEGNNTRLLALFKSMLS SEANVFVLDLKQYNNDLIVADTTLSFGDVLAALQSFIFSTQNNLYANVLVLLLNISAP VLDNSYQNQTLNTTSILDKNLGSSFIYKPTDLQNDRAKNNTWNIYGKSSIDGWPTLGS VLYEQKKRLVIGELTNSFNETTAPYIFPHDVFHYEQGNVTLDCPSTVEGLTNLSSIHW RFLDSQFNSVDIKEYISCGISPIISNPAYVNNVTQLADIVHEGSVWSWDSNQPSVTQS TSKSGGSSGTLEAYNCVLLYYFANNETVTWRVDNCYDSNVGLCRYENMAFRWLVRSNK ATYFDFDSYQGSKCPDQYTFNIPRTPLEQRSLISYLRNASFPDTQIWIDLNSISVSNC WVSGGPYASCPYEKVISRRNFVTMMVPASVCSFALLCIVVYLSVLRVPIYDNRKNWRR VINKISKSELEGVPS SPAR_H01930 MSNKTSDQSTRTASILKTDITRSNTITNSSRSINSSSSVNNNDH SHNDHNNTNNYNELAKTGEDANKENIPSLEEEIAAFRIFRKKNTSNLKSSHTSSNFIK KTMFKKDLLKQDPKRKLQLQQRFASPTDRLVSPCSLKLNEHKVKMFGKKKKVNPMKLD FKGNLAADSEDVEIDEDEEYFY SPAR_H01940 MSRFFWSVQEIQEIPDVEEHSVVKCVTVDTSKLVLELNKELQDE ESGVDFIVTQLQLLINNVYKKIQKDFRVPEDRSLVINLNFTHLKFSVAYWDILLERSL DLMNGSSKTGARYFITGATPVERIRYVETNQYFQTFKANQRLIQDSVDMDEFIDFETL IKQMIFDLFKQNAIPDQDFEVILSRFHNLESLMVAFNE SPAR_H01950 MSTSFLFEKLNFLILVASEDELPIAHSTRELLMDNSCNNCQIYA LYNEDLGETQTDRGWFMDKFGPQTVHFVISNTIKFPFYKAVFFDLLIPVISHTWVQDS VKTKRHLRTNMYSPNPFHLLRDCQVYISKSSFNKCEYILYSDLLHLLGGTSVNYISNR TTHVIVQSPQDPIIETVNKLTFGSFSSSSTNKHTEKPLREWKFVYPTWLLYHFKMAEP LTGELATLCELDMQDTSDEQLFAKWEEVIGDKQTSSSQLTLHPNKTLFKNHHFVISPD LNFFTPLYWFLKGFIENLDGKVTPLSFSDDLNSVYEASPDIDCYIGHSSNSPILEKAE SIKPEVHVGNVSWLFYMFALQQFIPVSQCKLIHQPFHAKLFTSKELTVAYTNYFGAQR FYIQRLVEILGGLSTPELTKKNTHLITKNTIGKKFKVAKKWSLDPQNAVIVTNHMWLE QCYMNNSKLNPKDSRYQNFKLDDNMRWNIGQTGMVHSSLPTSKILSMAAVNKQSISRN LSPIKDQTGDDTNILPRKDDTPTSSFVNSIDEKIDKLQKISREVAVAHPANLERDSDS RPSTVNSPSTDNATVNSQKRDSNSDISVKTKEVENPIAEHDATKSEEMREQSHKNDID YKKEEEETISQIQLGQRIKEESEHSKKNEKKGLTNKCYTEIDEIIKEKQEDEDAGKPN SMEDANCQKETDKFSHLFEGLSDNNDGIDENKSAVNSGYTTPKTSQINVKSGIDTPIT TQTQAFTPSSSNSRLAKTQAAKRLHTDIESLNEFQKNFKRKRIDTEEVLLPQEVEKSN SNKQLTVKAEKILSRFNELPNYDLKAVCTGCFHDGFNEVDIEILSQLGIKIFDNINET ERLNCIFAPKILRTEKFLKSLSFEPLKFALQPEFIIDLLKLIHSKKEKPFQINLNLFD YEINGIDESIISKTKLRTKVFERANIGCINLVNDIPGGVDTIGSVLKAHGIKKINVLR SKKCTFEDIMPNDVFKQGHGGIFKYVLIVTKASQVKKFTKLINDHDKNATILIVEWNW CVESIFHLNVDFTAKKNVLYQKKK SPAR_H01960 MHEHKAELRLITVALNEASTDSPSFRASVNYFHTRMESLSGWMH GTVDYVENTYKPSFQDFQRIKETLFSQLLPSPILLSNGFVSNQPYTPLLVRDFTRDIS DLSNTVMKIILGDENSQYTTALSALSSDAINPYFSKRKTFEYYQRKYDSFVTDFLATN TDGNILSPQNLQNETFKLFDIKHKYVEASLDLTEAISLMKVNLDKFLIETIDIVRKNN VITTKDTKDVIDITPELTETLKDWTDWIESNLQTLQALSSKLSEAKYAILKLSLARLK PSRLVQDYDLKNIQNLKFNPPKSISDKNIPEEKGLSGWLYMKTTVGHDPKRVVWVRRW CFLQNNVFGVFSLSPSKTYVEETDKFGILWITVEYLPKESRNFCFKLRIQNPNCKTNE ENTYMDIVLQAENIGELKSWINTLNFHKAFASSIKEENDPRYQLARKKIEPQFFEFAS SSTTSTDKLLTSFSSNTLTLVEELKKNYMSEDDIYSIIDNKAYHLRVISTPIATQLTH LALFSTFLSVSNYYPCATHANTWGTANWNDLSYLVNPLEGSSLHKPTTVSSTSRFSVS YPDYYPYSLKVDDIQFRSIFFSVNYDFLQVPNELVLLRYSSVWCPNNKQKFASMAFVT LNHIYVYLNISGFSYLRRIDLLDIDSIEYDKSPKHVSSRMLHMQRGDGIRFNMSVFFT DRRAVASKLQFLIENKAMHIPKGEKEVLEIFQKLDEEIDNEKKLIKNNLSESELHSKD YHLLKSTYDRHFENTNETPMELMSRKVRLEKEAWCYFQDNFKVGSKTLFHILFGDKSR IFPGSLFLCKKGSNLNNNSYWERIRRAKEDTSCQFELARKLQFQLNRTSNFIKDLLWL KDDNDNFKLVLEQRVTKIKQSYYYEVDEGPFIVKFPLCHPLLIRVKFIIAECITSQGG SLKKCDLTILYTFKYVESIDKLDTKVEKLWLFESIHLNWALRYCKLEHSEIIKKTREY LKKFNDREKMSNVIKLCGFLGVLPKERIEGDKKAGDFMQPVYINYDFLSLSKILIKLA VFYLSSVTIKTTRVLLAIVMVIFKCFTKVNKTLYYGLLISALTNLFFVGKSIHSYFSV KSAENLFQNYANGDQRGLQIMHRSLTVPDLNLLTKKMMDNDQANPVFKRFDEDKNAYQ YKGTRQEIAIKRNQVLTELKILQNTEKELVQGSYRKFLITERDKCFTTQNEMPDLWIN DTKLQDYCMACFAEYDRLSAIPV SPAR_H01970 MEYTQDSSSSKLKRKSDQLEHDEKLNDKFHNESRRYEEEELNRV EYDSDSSIEHSTGNENSEEEMEEKSNEKNGKGDEDMFLSDNDDLIKDHKSRKKSKIQL LNIAEFKKENLADLDYQIGNTESQEEEKGVNIEPFNIEDEIEHGVFDKDGNYIKTEND TENELQDNEEWMNDVINAEKANRLEKEQSIKTHNSRHYMVHEALVLLKFFLAGNHETV LESLGRLNKLRKIAISKKDNSLKYVIHGIELLSDLINLLEKKGFSEIYEYDRRKVQDA IVEEFFDDSSRIVDHKTKLWSFKWLNKLDEYHGLYTNYEMSYWQKSYFKNNVVVKFHS EPDRDENWVHVSCLSFM SPAR_H01980 MSFEEEEKNKVTCTQDFLRQYFVSESVSIQFGLNNKTVKRINED EFDKAINCIMAWTRYPEAVVKRTASTYLLSDSCKKSTTLSLPFVLDDALCIPKGVESN NNDTSLLYSDTLYGDDSLIRRNEQVRDELEEELSFTLLRSEVNEIKPISSSSTPQILQ SDYSAVMQETQASNGSIFQFSSP SPAR_H01990 MAGFSFAKKFTHKKHGKTPSDASISDQSREASLSTLSNEKFFTK QETPQKGRQFSQGYHPNVNKTSSPPMFARKQQSESRIQPSAVPPQQRNVSGPSTTLHK QLSKQREYTVWNRIKLQNSPFPRYRHVASAYVTDKNQIYVIGGLHDQSVYGDTWILTA FDNATRFSTTTIDISEATPPPRVGHAAVLCGNAFVVFGGDTHKVNKEGLMDDDIYLLN INSYKWTVPAPVGPRPLGRYGHKISIIATTQMKTKLYVFGGQFDDTYFNDLAVYDLSS FRRPDSHWEFLKPKSFTPPPITNFTMISYDSKLWVFGGDTLQGLVNDVFMYDPAINDW FIINTTGEKPPPVQEHATVVYNDLMCVVGGKDEHDAYLNSVYFLNLKSRKWFKLPVFT AGIPQGRSGHSLTLLKNDKVLIMGGDKFDYARVEESDLHTSDIDMQRGTIVYTLDLAR IKDLCPGIMDVPSETAVQRNGSLDLATPVTPTSHKNRNMNISSSAAPPAFAPSPAPEA FPEADHVNREMHNRDVLTEHQNQNPPVNSESHLITEPNILTPYVPSESSQTPVMKTTS NKPFDTPNIQKETDLTETIDPTIGNQRIPSSTYGDSLTPSKQIKNNSSPIVETLSSND IKTPQNGNVEETKLYPGTDEKTDSTTAFNEEMSENKLSTSLMAKVEEEGGVVDEDDEI GVAQMASSPSKDQFKIKHYNESSELSQNNTEIDKLSEPVDITIKKIDAASHDIGASDE KNMPSMRDVPSDMKGEKADVSVNRDVTTEVVDRALFEKLRSELQSLKELTHEKALEAG AHIKELETELWQLKSQRNTGTNKEIDELDSVRLQSKCEILEADNHSLEDKVNELENLV NSKFLDIENLNEVVQYQNERMKSLELEPDYKEKLEELQIEHENLIRENERLKNESKQH NEDIINQVSNYSSQLGSLISHWKENKASSSFLASSSSLISVSDENGENSVNEPYGDQS RHHRVVINKLTNRLDDLLEKSQELTISKEKLSSEYHALKMEHSSLSQDVLVKENEIKK IQNDYKESINSMDSASKALMVSQRELEKYKSLNKKLIDELDELKFKNSICSKNSENGF KSTGESSNDVKNSNTIRENQFNIKINDLKAELFITNQERDNLKSEVLELKKRLLNLEN STKQANEDGDSDLL SPAR_H02000 MNKFDEFIESNEKDLDVDTSTRNSIISMSPVGKTRSKFRSSSSN GYRPEHQRTSSAGSMHSQRLMTPTRLNEQDHPLQAKSDARRVVTRHSSVSVPNAMSKR RSLIQPMVVPTTPESQNNIPFGGSVSHSEGSHGIPLESTTVLSSEQAMTGGLRRSRNG SSQSVNSIAATAIPTNGVDVSALLQSLATKELELLECKQKIEDLKKQTQHEEQNYARR ARELHELKEQVSKHLDPSLNTPVKSRAFSPVYQSIPMESRTENAGNSNFSSSVRTRKN VDHPSTNQPRSVSPQDIQETRQRDNSSNSSKQSLWSKPLALFNQFDKIIQHEIERTLN WDDSLPGTPEAQEATSTSNGEYSAQHHDSETPGAHQNSPSQGSVSRSLWSFVSDVKAG LLGIEEENDSDVTNDNRCEPVYRSDREHEQKKNAPKITNRGQAEDSGDDSSLDMKKFK TTTKLQKANADDNNLTNEGGHRRRESKNKRGSNKLNFIGDYNTCKPNNDISSVKNSVE MTNF SPAR_H02010 MNANQCQTNEVSKFVSSAEKGPFTGRENTPSFNRIGNGPNASPI FNNEIEQEFLQHSEGFNQTPSYIVVNPRTLQEQNHEFTAPNQSGNETSWVKDFRYSFP KNIESPVEYQFTNLNLNKELQESRIDSPSGFYSHKNFNIASFPVVDHQIFKTRGLKYP IDSHIDSLINAEFLELETSSLQEEIYTEEENSGTGQENEEVVIKSLASDIVEFCGNNS ADKDVKERLNSSKFMGLMGNISDGSIVLKKDKGGARNLQKHIGFCFQNTGSWAGLEFH DVEDRIA SPAR_H02020 MTTYYKLVKGATKIKSAPPKQKYLDPILLGTSNEEDFYEIVKGL DSRINDTAWTIVYKSLLVVHLMIREGSKDVALRYYSRTLEFFDIENIRGSNSSASGDM RALDRYDNYLRVRCREFGKIKKDYVRDGYRTLKLNSNNYGSSRNKQHSINVALDHVES LEVQIQALIKNKYTQFDLSNELIIFGFKLLIQDLLALYNALNEGIITLLESFFELSHH NAERTLDLYKTFVDLTEHVVRYLKSGKTAGLKIPVIKHITTKLVRSLEEHLIEDDKTH NTFVPVDGSQDNSGSTVARSTAQERLEQIREQKRILEAQLKNEQVAISPAVTTVTAAQ SYNPFGTDSSMQSNTLLAGANQAQQIANNPFVPQTQPQVMNTQTGRTDSVTLNAPEYA MVQHTVNTSPLQDPGVSAQQTGYYFINNHLTPTFTGAGFGGYSVSQDTTAASNQQISQ SQAGSNNPFALHNAATIATGNPTHENVLNNPFSRPNFDEQNTGMPLQQQVISNPFQNQ TYNQQQQLQQQKIPLSTINSVMTTPTSMHGSMNIPQRFDKMEFQANYTQNNFQQQQQQ QGYHPSATATVNPIINTTGTAQPQAVPFYSQQVQQPQQPQTQQQVLGNRYTNNVNLID M SPAR_H02030 MSTSSVRFAFRRFWQSETGPKTVHFWAPTLKWGLVFAGFSDMKR PVEKISGAQNLSLLSTALIWTRWSFVIKPRNILLASVNSFLCLTAGYQLGRIANYRIR NGDSVSQLCSYILSGADESKKEITTGR SPAR_H02040 MVTVSVFSERASLTHQLGEFIVKKQDEALQKKSDFKVSVSGGSL IDALYEGLVADKSLSPRIQWPKWQIYFSDERIVPLTDADSNYGTFKKTVLDRLPSTNQ PNTYPMDESLVGSDDAESKNKIAAEYEQIVPQSFDLVLLGCGPDGHTCSLFPGEKHRY LLNETTKRVAWCHDSPKPPSDRITFTLPVLKDAKALCFVAEGSSKQDIMHEIFDLKNN QLPTVLVNKLFGEKTSWFVNEEAFGKVQTKSF SPAR_H02050 MSGTPQKNKRSASISVSPVKKTEEKELNHNDSKMTLSKQAKRKK KYAFAPINNLNGKNSKISNAGVLKSISVSQVRNTSRTKEINKTVSKSAKPLSNSQVKL KREVSNLSRHHDFAHEEDGPVEEVIWKYSPLQRDMSDKTTSAAEYSDDYGDVQNPSST PIVPNRLKTVLSFTNIHVPNADTNQFVQENEDGQVRPKLADISPRGSLRNIDDILDDI EGDLTIKPTMTKFSDLPSSPIKAPNVEQQPEVVVEAADNINTTGDSNDGDDSLIDILT QKYVEKRKDEVQMTTESNVNQRKRAQESCKEDEKIEMSKESNDNKNVDNQVKTENAFD KNQEDRIYQRTEENEEKEENPSDEFSDDSLIELLNETQTQAGSNAIEKEIDKVEKMVS NDLKVATDPALSTYALRAKFGAPRDGVVRLVIVSLRNVELPKIGTQKILECIDGKGEQ SSVVVRHPWVYLEFEVGDVIHIIEGKNIENKRLLSDDKNPKTQLANDNLLVLNPDVLF SATSVGSSVGCLRRAILQMQFQDPRGEPSLVMTLGNIVHELLQDSIKYKLSHSKISME TIVQKLDFLLETYSFSIIICNEEIQYVKELIMKEHAENILYFVNKFVSKSNYGCYTSI SGTRRTQPISISNVIDIEENIWSPIYGLKGFLDATVEANVENNKKHIVPLEVKTGKSR SVSYEVQGLIYTLLLNDRYEIPIDFFLLYFTRDDNMTKFPSVLHSIKHILMSRNRMSM SFKHQLQEVSGQAQSKFELPPLLRDSSCDSCFMKDSCMVLNKLLEDGTSEESGLIEGE FDILTQHLSQNLATYKEFFTKYNDLITKEESSVTCVNKELFLLDGSTRESRSGRCLSN LVVSEVVEHEKNEGTYVYCFSRRKNDSNSQSMLSSQIAANDFVIISDEEGHFCLCQGR VQFINLDKIGISVKRKLLNNRLLDKERGVTTIQSVVDSELDQSNLIATQNSVTYRIDK NDIQQSLSLARFNLLSLFLPAVSPGVDVIDEKSKLCRKTKRSDGGNEILRSLLVDNRA PKFRGVSDDPVIPYKLPEDIKLNLDQKEAIDKVMRAEDYALILGMPGTGKTTVIAEII KILVSKGKRVLLTSYTHSAVDNILIKLKNTRISIMRLGMKHKVHPDAQKYVPNYASVK NYSDYLIKINSSSVIATTCLGINDILFALNEKDFDYVILDEASQISMPVALGPLRYGY RFIMVGDHYQLPPLVKNGAARLGGLEESLFKTFCEMHPESVVELTFQYRMCGDIVTLS NFLIYDNKLKCGNNEVFVQSLKLPMPEALSQFRDKSVNSKQWLEDILEPTRKVIFLDY DNCPDIMEQSEKDNITNHGEAELTLQCVEGMILSGVPCEDIGVMTLYRAQLRLLKKKF SKDVYEGLEILTADQFQGRDKKCIIISMVRRNSQLNGGALLRELRRVNVAMTRAKSKL IIIGSKSTIGSVPEIKSFVNLLEERNWVYTMCKDALYKYKFPDRSTATDEARKGFEKR TGAKPITSKSKFVSDKPIIKEVLQEYES SPAR_H02060 MSGIPPPPPGFEEDEDLTLPPPPPPPPPPPGCEIEEVNKPLLQS SVNDDTFLSPPPPPPSDFEINAEEIVEFALPPPPPPPGLDELEPSAAKNNQLHGKRKL DIGKDSFVTRKARKRQRKIKNNLYTPKAEMPPEHLRKIINAHSDMASKMYNTDKKAFL GALKYLPHAILKLLENMPHPWEQAKEVKVLYHTSGAITFVNETPRVIEPVYTSQWSAM WIAMRREKRDRTHFKRMRFPPFDDDEPPLSYEQHIENIEPLDPINLSLDSRDDECVKD WLYDSRPLEEDNKKVNGTSYKKWCFDLPEMSNLYRLSTPLRDEVTDKNYYYLFDKKSF FNGKALNNAIPGGPKFEPLYPREEEEDYNEFNSIDRVIFRVPIRSEYKVAFPHLYNSR PRSVHIPWYNNPVSCIIQNDEDYGTSAFFFDSSLNPIPHFIDNTSFTNALDTEENGDF TLPEDFAPILAEEEELVLPSTKDAMTLYHSPFPFNRTKGKMVRAQDVSLAKKWFLQHP DEEYPVKVKVSYQKLLKNYVLNELHPTLPTNHNKTKLLKSLKNTKYFQQTTIDWVEAG LQLCRQGHNMLNLLIHRKGLTYLHLDYNFNLKPTKTLTTKERKKSRLGNSFHLMRELL KMMKLIVDTHVQFRLGNVDAFQLADGIHYIFNHLGQLTGIYRYKYKVMHQIRACKDLK HVIYYKFNKNLGKGPGCGFWQPAWRVWLSFLRGTIPLLERYIGNLITRQFEGRSNEIV KTTTKQRLDAYYDLELRNSVMDDILEMMPESIRQKKARTILQHLSEAWRCWKANIPWD VPGMPAPIKKIIERYIKAKADAWVSAAHYNRERIKRGAHVEKTMVKKNLGRLTRLWIK NEQERQKQIQKNGPEITPEEATTIFSVMVDWLESRSFSPIPFPPLTYKNDTKILVLAL EDLKDVYASKVRLNASEREELALIEEAYDNPHDTLNRIKKYLLTQRVFKPVDITMMEN YQNISPVYSVDPLEKITDAYLDQYLWYEADQRKLFPNWIKPSDSEIPPLLVYKWTQGI NNLSEIWDVSRGQSTVLLETTLGEMAEKIDFTLLNRLLRLIVDPNIADYITAKNNVVI NFKDMSHVNKYGLIRGLKFASFIFQYYGLVIDLLLLGQERAANLAGPANNPNEFMQFK SKEIEKAHPIRLYTRYLDRIYMLFHFEEDESEELTDEYLAENPDPNFENSIGYNNRKC WPKDSRMRLIRQDVNLGRAVFWEVQGRVPTSLTSIKWENAFVSVYSKNNPNLLFSMCG FEVRILPRQRMEEVVSNDEGVWDLVDERTKQRTAKAYLKVSQEEIDKFDSRIRGILMA SGSTTFTKVAAKWNTSLISLFTYFREAIVATEPLLDILVKGETKIQNRVKLGLNSKMP TRFPPAVFYTPKELGGLGMISASHILIPASDLSWSKQTDTGITHFRAGMTHEDEKLIP TIFRYITTWENEFLDSQRVWAEYATKRQEAIQQNRRLAFEELEGSWDRGIPRISTLFQ RDRHTLAYDRGHRIRREFKQYSLERNSPFWWTNSHHDGKLWNLNAYRTDVIQALGGIE TILEHTLFKGTGFNSWEGLFWEKASGFEDSMQFKKLTHAQRTGLSQIPNRRFTLWWSP TINRANVYVGFLVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESIVFDICQILD GELDALKIESVTKETVHPRKSYKMNSSAADVTMESVYEWEVSKPSLLHETKDSFKGLI TNKMWFDVQLRYGDYDSHDISRYVRAKFLDYTTDNVSMYPSPTGIMIGIDLAYNMYDA YGNWFSGLKPLLQNSMRTIMKANPALYVLRERIRKGLQIYQSSVQEPFLNSSNYAELF NNDIKLFVDDTNVYRVTVHKTFEGNVATKAINGCIFTLNPKTGHLFLKIIHTSVWAGQ KRLSQLAKWKTAEEVSALVRSLPKEEQPKQIIVTRKAMLDPLEVHMLDFPNIAIRPTE LRLPFSAAMSIDKLSDVVMKATEPQMVLFNIYDDWLDRISSYTAFSRLTLLLRALKTN EESAKMILLSDPTITIKSYHLWPSFTDEQWINIESQMRDLILTEYGRKYNVNISALTQ TEIKDIILGQNIKAPSVKRQKMAELEAARAEKQNDEETTGASTVMKTKTINAQGEEIV VVASADYESQTFSSKNEWRKSAIADTLLHLRLKNIYISADDFVEEQNVFVLPKNLLKK FIQISDVKIQVAAFIYGKSAKEHPKVKEVKTVALVPQLGHVGFVQMSNIPDIGGLPDT EGLELLGWIHTQTEELKFMAASEVTTHSKLFADKKRDCIDISIFSTPGSVSLSAYNLT DEGYQWGEENKDITNVISEGFEPTFSTRAQLLLSDRITGNFIIPTGNIWNYTFMGTAF NHEGDYNFKYGIPLEFYSEMHRPVHFLQFNELAGDEELEAEQVDVFS SPAR_H02070 MNDESQDKIIQDIRIQLRKAATELSRWKLYGSSKWAAEALAGLA EAIDVDQPQSLADESPLRNKQGVPKQIFEIPQNGFGLSESDYDLYLLSSTLFDAKEFD RCVFFLKDVTNPYLKFLKLYSKFLSWDKKSQESMENILTTGKFTDEMYRANKDGDGNG NEDMSQSGHQRANLKMVSNEHESQSNISSILKEINAFLESYEIKIDDNEADLGLALLY YLRGIILKQEKNTSKAMSSFLKSLSCYSFNWSCWLELMDCLQKVDDALLLNNYLYQNF QFKFSENLGSQRTIEFNIMVKFFKLKVFEELNGQLEDYFEDLEFLLQVFPNFTFLKAY NATISYNNLDYVTAESRFDDIVKQDPYRLNDLETYSNILYVMQKNSKLAYLAQFVSQI DRFRPETCCIIANYYSARQEHEKSIMYFRRALTLDKKTTNAWTLMGHEFVELSNSHAA IECYRRAVDICPRDFKAWFGLGQAYALLDMHLYSLYYFQKACTLKPWDRRIWQVLGEC YSKTGNKVEAIKCYKRSIKASQTVDQNTSIYYRLAQLYEELEDLQECKKFMMKCVDVE ELLEGIVTDETVKARLWLAIFEIKGGNYQLAYDYAMGVSSGTSQEIEEARMLARECRR HM SPAR_H02080 MTREEGRTYFESLCEEEQSLQECQTNLLNILDVLSELTNPESSD DLLTESLKKLPDLHGELVKSSIRLRYDKYQTREAQLLENTKTGRDVAAGVQNRKSISE YYSTFEQLNRDTLRYVNLLKRLSVDLAKQVEVSDPSVTVYEVDNWIPSEKLQGILEQY CAPDTDIRGVDAQIKNYLDQIKMARAKFGLENKYSLKERLSTLTKELNHWRKEWDDIE MLMFGDDAHSMKKMIQKIDSLKSEINASSESNPVDKGDIVLE SPAR_H02090 MPIAWSSVFKRELRVKRFSPRIYSTKVPDNAPRAADNEEWLETL RSITSPRQTKPDHGNSYTNFVKLPLGEVTSINYLQRYNKHKHSQGNFVDVRIVKCRSG AGGSGAVSFFRDAGRSIGPPDGGDGGAGGSVYVQAVVGLGSLAKMKTTYIAEDGEAGA ARQLDGMRGKDILIQVPVGTVVKFCLPPQNVRELVEREMRKDHNATLRSILASTSVNL NVSSNSHRKKIQLYRHEMAESWLFKDKAKEYHENKDWFKNLHKKMEVYDHSLEQSELF NDQFPLAGLDLDQSMTKPICLLKGGQGGLGNMHFLTNLIRNPRFSKPGRNGLEQYFLF ELKSIADLGLIGLPNAGKSTILNKISNAKPKIGHWKFTTLNPTIGTVSLGFGQDVFTV ADIPGIIQGASLDKGMGLEFLRHIERSKGWVFVLDLANEKPLNELQLLIKEVGTLEKV KTKNILVVCNKADIDFENSESFAKYLQVEQFSKSQGWDCVPISALKEQNIDVLKKKMF QCALQPKSDR SPAR_H02100 MTDFKSLGLSKWLTESLKAMKITQPTAIQKACIPKILEGRDCIG GAKTGSGKTIAFAGPMLTKWSEDPCGMFGVVLTPTRELAMQIAEQFTALGSSMNIRVS VIVGGESIVQQALDLQRKPHFIIATPGRLAHHIMSSGDDTIGGLMRAKYLVLDEADIL LTSTFADHLATCIGALPPKDKRQTLLFTATITDQVKSLQDAPVQKGKPPLFAYQVESV DNVAIPSTLKIEYILVPEHVKEAYLYQLLTCEEYENKTAIIFVNRTMTAEILRRTLKQ LEVRVASLHSQMPQQERTNSLHRFRANAARILIATDVASRGLDIPTVELVVNYDIPSD PDVFIHRSGRTARAGRNGDAISFVTQRDVSRIQAIEDRINKKMTETNKVHDTAVIRKA LTKVTKAKRESLMAMQKENFGERKRLQKKKQNDSKSLRS SPAR_H02110 MEFTPIDQHQHQNAATLLCCNCGTPIDGSTGLVMCYDCIKLTVD ITQGIPREANISFCRNCERFLQPPGQWIRAELESRELLAICLRRLKGLTKVRLVDASF IWTEPHSRRIRIKLTVQGEAMTNTIIQQTFEVEYIVIAMQCPDCARSYTTNTWRATVQ IRQKVPHKRTFLFLEQLILKHNAHVDTISISEARDGLDFFYAQKNHAVKMIDFLNAVV PIKHKKSEELISQDTHTGASTYKFSYSVEIVPICKDDLVVLPKKLAKSMGNISQFVLC SKISNTVQFMDPTTLQTADLSPSVYWRAPFNALADVTQLVEFIVLDVDSTGISRGNRV LADITVARTSDLGVNDQVYYVRSHLGGICHAGDSVMGYFIANSNYNSDLFDGLNIDYV PDVVLVKKLYQRKSKKSRHWKLKRMAKEHKDIDASLDYSSRAQKQEMERAEKDYELFL QELEEDAELRQSVNLYKNRDTDVPPEEHEMDEDEDEDAPQINIDELLDELDEMTLEDG VENAPVESQQ SPAR_H02120 MSSERVLNYAPPFKSFLDTSFFQELSRLKLDVLKLDSTCQPLAV NLDLRNIPRSADQVPLFLTNRSFEEYNKRRDNEVPIQGKIFNFNVLDEFKNLDKQLFL HQRALESWEAGVKDINKCVSFVIISFADLKKYRFYYWLGVPCFQRPSSTVLHVRPEPS LKELCTKCQKWFDVNYSKWVCILNEDDEIVNYDKDITAKTKVLAVRDTSTMENVPSAL TKNFLSILQYDVSDLADFRLLIIRQNGGSFALNATFVPCDPQLSSSNPIMKVSGWERN MQGKLAPRVVDLSSLLDPLKIADQSVDLNLKLMKWRIVPDLNLDIIKNRKVLLLGAGT LGCYVSRALIAWGVRKITFVDSGTVSYSNPVRQALYNFDDCGKPKAELAAASLKRIFP LIDATGVKLSIPMIGHKLVNEEAQHEDFDRLRALIKEHDIVFLLVDSRESRWLPSLLS NMENKIVINAALGFDSYLVMRHGNRNGQPSKQLGCYFCHDVVAPTDSLTDRTLDQMCT VTRPGVAMMASSLAVELMASLLQTKYSGSETTVLGEVPHQIRGFLHNFSTLKLETPAY EHCPACSPKVIEAFTDLGWEFVKKALEHPLYLEEICGLSVIKQEVEQLGNDVFEWEDD EPSAIV SPAR_H02130 MEIKEVDDRAELLRYTDNIPLLGKLVNHQPLWSTNPKLKSFSLE KISAPDQRRVQEALVVKDLLNVLIGLEGTYIRYFNDYEPSDPETPIEFKIAKKMDPSF KTFSRRIVRYGKQYMILTRAYEKWSDASFGMVLQRFGYEIRKFLEDVYLKTLVERLER DFNKVPNFSIRELEQIINETEVNKQMELLYNVYEEICREIEERRTNQSSQEDFNNFMD NMKNESSLHLRLMVAFDTTVYPVPKGGAILKIFQQKILENLGDRSSVIFLKKLLNNIS QDYCTMLYEWLTQGILNDPYQEFMTYDDLEGKTDNIFDARDRAWDTQYFIRKDVLLRD CDSEEDKNLLFKMLRTGILLKVVRASLQISTIPSNSSDITIQEIQDFADLMEGSNLEL YVDKCYNRANKIFLKLFFQGYDLINITKQLEEIFLGYQSGHNILKFLTKNMGELTKHY RNNNNANYDKLLQNFELERQSEHPNNLMRQLLTVQFDTETLPQVLSHYLQIYPEISEN NPADDNSDPLMQANNFKNMNAILFDELSKERTGANHGPDLELYTSKSAIYHLKLDINV PYPLNIIISRTCMIKYQIISRYQLVLQYHSKLLDETWMDLNKNPSWKYRRYSTTVKRR IIRATRVLHGKMNHFIKAVMEYFNQNVIDKEVHSLEKCYRNPTLAVAIQNELEGGLTN IMTNRCLSDLIPLQLQIFDIVYKFCKFIKSMKAKLCQLDPVLYENHKSGMMKKLNESY RTNNGGQEDIGYQEDAALELIQKLIEYITNASKIFEMCLINFTQELSTEKFDFYDSSS VDAAGIERVLYSIAPPRSAPASSQK SPAR_H02140 MLFFIYAWCHLSHTILQPSTKIQMIIRSKPESNGEKKLMNHDEP NDQYNQRNYPSDVYADLVPFHEFCIWGSLQKWASEKKACGV SPAR_H02150 MAVSKVYARSVYDSRGNPTVEVELTTEKGVFRSIVPSGASTGVH EALEMRDEDKSKWMGKGVMNAVNNVNNVIAAAFVKANLDVKDQKAVDDFLLSLDGTAN KSKLGANAILGVSMAAARAAAAEKNVPLYQHLADLSKSKTSPYVLPVPFLNVLNGGSH AGGALALQEFMIAPTGAKTFAEAMRIGSEVYHNLKSLTKKRYGASAGNVGDEGGVAPN IQTAEEALDLIVDAIKAAGHDGKVKIGLDCASSEFFKDGKYDLDFKNPESDKSKWLSG VELADMYHSLMKRYPIVSIEDPFAEDDWEAWSHFFKTAGIQIVADDLTVTNPARIATA IEKKAADALLLKVNQIGTLSESIKAAQDSFAANWGVMVSHRSGETEDTFIADLVVGLR TGQIKTGAPARSERLAKLNQLLRIEEELGDKAVYAGENFHHGDKL SPAR_H02160 MDSMTHKMEGNADHDHSGMHMGDGDDTCSMNMLFSWSYKNTCVV FEWWHIKTLPGLIFSCLAIFGLAYLYEYLKYCVHKRKLSQRVLLPNRSLTKINQADKV SNSILYGLQVGFSFMLMLVFMTYNGWLMLAVVCGAIWGNYSWCTSYSPEIDDSSLACH SPAR_H02170 MTVNDKKRLAIIGGGPGGLAAARVFSQSLPNFEIEIFVKDYDIG GVWHYPEQERDERVMYDHLETNISKELMQFSGFPFEESVPLYPSRRNIWEYLKNYYKT FIANKDAITVHFNTEVTYLKKKESLWEITSKGELRTTKSDFDFVIVASGHYSVPKLPS NITGLDQWFENKGAIHSKHFKNCEFARDEVVIVVGNGSSGQDIANQLTTVAKKVYNSI REPENNQLKAKLIETVPTIDSADWKNHSVTLSDGRVLQDVDHIIFATGYYYSFPFIEP SIRLEVLGEGVTDDKHSSVNLHNLWEHMIYIKDPTLSFILTPQLVIPFPLSELQAAIM VEVFCKNLPITTGFDSNACGTHNFPKGKDLEHYAELQELLDGIPHRVGHFAPVRWDDR LIDLRNSSYTDKEERNVLLAEHAQALKKKNAPYFLPAPHT SPAR_H02180 MMDISPTCFGYIDDEDDLALVFQGVFDGNLRCIERRPYEAEKVE LVSSGNIFVFNEERSGIKRWTDGFSWSPSRISGKFLVYREYNRLGSTQDPVLHNILEY NIFERAHRKYFYTGLLKKTFSLKFNTDPTDSTKLETFHLIAYYTEKDIRQGSLKRPSE NPFFNKFRPSQKLSEALQKVAVGNGRSNPSKNNERGRTKAHNSKMCRSLSSSSSYCDL LKYCNTPGNVPVRSSLSDSRTMVQIPLNTLNITPGEMHQQQHQQQQQYLLPIDQKNQL PLPYVQHQPQPIGIYNPNYQPGLRRTVSQPMIFCNTYNTLSRQHTAASYEGCGVTPPL VYSSNTLNALPYQNLDPYCSRPGPECNRSQAPIASTMIHPVRPILVHDYRQGKPIASS MKPPNVNITTSTNKNLDGIYILPAPRMNLPPQTQYQMIHTPDSVQHGSTFSENNTSSD QKSHKYPK SPAR_H02190 MDGPNFAHQGGRSQRTTELYSCARCRKLKKKCGKQIPTCVNCDK NGAHCSYPGRAPRRTKKELADAMLRGEYVPVKRNKKIGRSPLGNKSMPTSSSSPSTNG AITPGFSPYENDDAHKMKQLKPADPINLVMGASPNSSEGVSSLISVLTSLNDSSNPSS HLSSNENSLIPSRSLPASVQQGSATSSYGGYNTPSPLISGPVPANTQSVPLQNNNRNT SDSDDGNNIDHDNNNNNSSAPQLSLTSYANNPVPSGKYDSVPVDASSIEFETMSCCFK GGRTTSWVREDGSFKSIDRSLLDRFIAAYFKHNHRLFPMIDKIAFLNDAATITDFETL YDNKNYPDSFVFKVYMIMAIGCTTLQRAGMVSQDEECLSEHLAFLAMKKFRSVIILQD IETVRCLLLLGIYSFFEPKGSSSWTISGIIMRLTIGLGLNRELTAKKLKSMSALEAEA RYRVFWSAYCFERLVCTSLGRISGIDDEDITVPLPRALYVDERDDLEMTKLMISLRKM GGRIYKQVHSVSAGRQKLTIEQKQEIIGGLRKELDEIYSRESERRKLKNSQMDQLERD NNSTTNVISFHSSEIWLAMRYSQLQILLYRPSALIPKPPIDSLSTLGEFCLQAWKHTY TLYKKRLLPLNWITLFRTLTICNTILYCLCQWSIDLIESKIEIQQCVEILRHFGERWI FAMRCADVFQNISNTILDISLSHGKVPNMDQLTRELFGASDSYQDILDENNVDVSWVD KLV SPAR_H02200 MPFVKDFKPQALGDTNLFKPIKIGNNELLHRAVIPPLTRMRAHH PGNIPNRDWAVEYYTQRAQRPGTLIITEGTFPSPQSGGYDNAPGIWSEEQIKEWTKIF KAIHDKKSFAWVQLWVLGWAAFPDTLARDGLRYDSASDNVYMNAEQEEKAKKSNNPQH SLTKDEIKQYIKEYVQAAKNSIAAGADGVEIHSANGYLLNQFLDPHSNNRTDEYGGSI ENRARFTLEVVDAIVDAIGHEKVGLRLSPYGVFNSMSGGAETNIVAQYAYVLGELERR AKAGKRLAFVHLVEPRVTDPFLVEGEGEYKGGSNEFAYSIWKGPIIRAGNFALHPEVV REEVKDPRTLIGYGRFFISNPDLVDRLEKGLPLNKYDRDTFYKMSAEGYIDYPTYEEA LKLGWDKN SPAR_H02210 MYLISLVAFIAKFFNLAATSVNNSSFPDVDLTNPLRLFTNIPAG LNFNEVIFLERNGFYLGGIDSPSIYHLINGTAVYFGDVRDNIMPGTVGTTRSVTDVDY GSLLTEYGYEANTDYVSRWIATHVVISPLNATEFFQTPVPVPVPVPITILHQQVNSKL H SPAR_H02220 MLLELISYAGTVSGFLFLTLSIASGLYYISELVEEHTEPTRRFL TRAIYGIILILILLLLLDGFPFKLTLFSIACYIVYYQNLKSFPFISLTSPTFLLSCVC VVLNHYFWFKYFNDTEVPPQFKFDPNYIPRRRASFAEVASFFGICVWFIPFALFVSLS AGDYVLPTTSEQHMAKKNDDITTNNQPKFRKRAVGLARVVINSVRKYIYSLARVFGYE IEPDFDRLAV SPAR_H02230 MTVKEHNEENIIGDELQNSRQLSIDCDSVKISLRNTYWTKDYTT GIKLFIKHMNRENDLLIKDIKFYNDFVNKFWKPTLNNLQKLDGTNSMNGRLLEVMNKQ FSIISTEQIEKDCKMPLQELRDLNESFLHEAENDLSSRYSVYVKDLVAVKEALIECQK RVQSIYKLKKVKMSMDSSSSAFGNSEDSAPLTRSRFVYEFPYTLDERLKFDDCNQFMS FLQALREKVVLEKNVFPVPGLPNQSFQGRSLVKELKKLEPKLDLSLFNTDRIGNEFIR LGVIQEYSLNFYSSKSSQFDQEKYYYWDSELISTQERNGNTGIRNKKSYGDLTQSNNG HEEKSNVSSIRTSISDWIRKVSLHDNDDSDAAGSTDINENEWKGLKQQLELSQDNFFA KCCQLEYSKVQLEKAIYDYCKNYSKMEDGIKRTLRSSNKTFQRNCEKFTESPVCSLQE GHLPQKSDDIDIRGFFLRDNGIPFRKWNIIEASDPVDACKEISIKSEKFFCGSEINND LAAVDTLEAIKTILRQIEKEPNTEKIAQSWHKDIDFVRVSNLKRDLLGEFKESKTIGN TNSVITAQFFENSHSYVANDLVGLIKLWLLELPDSLVPSNHYDDLVKAKKPLISLCEQ FPTSSLRFLQELANHFQILNDRSSLPPQTVQDLFRDNSDIDIPLAHHFVRRTGLQNPI DIKILSPALYTFFINRRTVDILQTLIANSTTMMTTTAAILTEPPMIIIKDTTIPISSN PKLPPNDKDGPFIPRPFKTSSTPTTPERPKRKSGLFLPINVNDFPPT SPAR_H02240 MSADFGLIGLAVMGQNLILNAADHGFTVCAYNRTQSKVDHFLAN EAKGKSIIGATSIEDFISKLKRPRKVMLLVKAGAPVDALINKIVPLLEKGDIIIDGGN SHFPDSNRRYEELKKHGILFVGSGVSGGEEGARYGPSLMPGGSEEAWPHIKNIFQSIS AKSDGEPCCEWVGPAGAGHYVKMVHNGIEYGDMQLICEAYDIMKRLGGFTDKEISEVF AKWNKGVLDSFLVEITRDILKFDDVDGKPLVEKIMDTAGQKGTGKWTAINALDLGMPV TLIGEAVFARCLSALKNERIRASKVLPGPEVPKDAVKDRQQFVDDLEQALYASKIISY AQGFMLIREAAATYGWKLNNPAIALMWRGGCIIRSVFLAQITKAYRQEPDLENLLFNK FFADAVTKAQSGWRKSIALATTYGIPTPAFSTALSFYDGYRSERLPANLLQAQRDYFG AHTFRVLPECASDNLPVDKDIHINWTGHGGNVSSSTYQA SPAR_H02250 MMKPENKKISEKFCNSAYYQERSKEEKLATMRSYGTYEDDPSGE ITSIPPKQSKQKKPTKFRERMRRWLQSGKNNDQHGEEDVPKIFNKNFYPQTGLTAFNN NDNGEGQDITNNFYLPSEDESGPFQSSVKTFLTGNNDDDPNFQQSQIPKQKSEHPKSP YRQKPTQEIALLKDLFVTNKYDDPYLNSSTKFGNITSTFPSSLSLRTVTLQTVKKRID CISAKKKEVWKTEEKFLKDILMWLQSSNFEDPDTISLIHEIEKIFEEDIHFEQNVSDC LKEISNNFEYVCMRETQLINEGNILKNDLKKYAKSREHKGEKHEDTEVLREKVISSQK SFDVTKRHYKHAISITTRQLFMNLAFEYYENCSDMKDISRKYLQESLSTLQTIDTLSF SEELERIRKRRFDKFWAKSNPDLTNNIQKFVNMRTGVAGFNDSLMNHLYGKLSFGVAP LEEELKNSQPELTDMPENIWNEVLSDYNSMDGNPITSNKFLSAKEVEPDQLAELFSQE EKEEDVKNNSSSTANVQPVSQPEVKKENLESSDSLILRSTKRNININATSLRNISIKK AQIKPESANDESKILAAALNDAKQNLDENVWRSPI SPAR_H02260 MNQGYAQLSTPELKETKASRLNKMNNFRSSPIVEIINKIPPDCG KIQNTTFPEFNPALRRRQNEQWPAYEKPRRVTDSMSPQLSSINCLPNLYPHGTLPLPN PYLSYLNHLDKVNHQDVKFSNWSILHNSNTGFEIPTCFSPRTTQNMPCSEKVESWLER LPIFVGFDGYLFTNCFDYEYMLDWEETEFTFEKTSCMETDYSKALTDTDIIYIQEKKI EALIRNQYLKEYEFSQKDFEF SPAR_H02270 MPEIYGPQPLKPLNTVMRHGFEEQYQSDQLLQSLANDFIFYFDD KRHRTNGNPIPEEDKQRDVNRYYQPITDWKIMKDRQKTVSAALLLCLNLGVDPPDVMK THPCARVEAWVDPLNFQDSKKAIEQIGKNLQAQYETLSLRTRYKQSLDPCVEDVKRFC NSLRRTSKEDRILFHYNGHGVPKPTKSGEIWVFNRGYTQYIPVSLYDLQTWLGAPCIF VYDCNSAENILINFQKFVQKRIRDDEEGNHDVAAPSPTSAYQDCFQLASCTSDELLLM SPELPADLFSCCLTCPIEISIRIFLMQSPLKDSKYKIFFENATSKQPFGDSRNSFKSK IPNVNIPGMLSDRRTPLGELNWIFTAITDTIAWTSLPRPLFKKLFRHDLMIAALFRNF LLAKRIMPWYNCHPVSDPELPDSITTHPMWKSWDLAMDEVLTKIVTDLKNAPPATALE SQMILQQQETLQNSGSSKSNAQDTKAGSIQTQSRFAVANLSTMSLVNNPALQSRKSIS LQSSQQQLQQQQQQQQQQQFTGFFEQNLTAFELWLKYASNVRHPPEQLPIVLQVLLSQ VHRIRALVLLSRFLDLGPWAVYLSLSIGIFPYVLKLLQSPAPELKPILVFIWARIMSI DYKNTQSELIKEKGYMYFITVLVPDWGVNGVSTVNGSAMINGGNPLTMTASQNINGPS SRYYDRQQGNRTSNFGHNNLPFYHSNDTTDEQKAMAVFVLASFVRNFPLGQKNCFSLE LVNKLCFYIENSEIPLLRQWCVILLGLLFADNPLDRFVCMNTGAVEILLKSLKDPVPE VRTASIFALKHFISGFQDAEIILRLQQEFEEQYQQSHSQLQHLQNQSHLQQQQSQQQQ QHLEQQQMKIEKQIRHCQVMQNQLEIIDLRKLKRQEIANLISILPLINDGSPLVRKEL VVYFSHIVNRYSNFFIVVVFNDLLEEIKLLEKSDINTRNTSDKYSVSHGSIFYTVWKS LLILAEDPFLENKELSKQVIDYILLELSVHKELGGPFAVMEKFLLKRSSKANQSGKFG FNSSQVQFVKSSLRSFSPNERVDNNTPKKEQHQHDLKASHPIQTSLAKLFQSLGFSES YGGRDTQSSNASMKSHTSKKGPPGLYLLNGNNNLYPTAGTPRFRKHTGPLQLPLNSTF LDYSREYFQEPQMKKQESDEPGSVEYNARLWRRNRNETIIQETQGEKKLSIYGNWSKK LISLNNKSQPKLMKFTQFEDQLITADDRSTITVFDWGKGKTLSKFSNGTPFGTKVTDL KLINEDDSALLLTGSSDGVIKIYRDYQDVDTFKIVSAWRGLTDMLLTPRSTGLLTEWL QIRGSLLTTGDVKVIRVWDAHTETVEVDIPAKTSSLITSLTADQLAGNIFVAGFADGS LRVYDRRLDPRDSMIRRWRAGNEKQGVWINNVHLQRGGYRELVSGATNGVVELWDIRS EDPVESFVDQNVTSQYGSQQKPTTMTCMQVHEHAPIIATGTKQIKIWTTSGDLLNSFK NTHNNGVTSTLAATGIPTSLSYSSTSDAFLSSMAFHPHRMMIAATNSHDSVVNIYKCE DEKIDYF SPAR_H02280 MASSSHNPVILLKRILSLTENSPLILCLDSMAQTSYRLIQEFIH QSKSKGNEYPVVYISFETVNKPPYCTQFIDATQMDFVHLVKQIISYLPAATATQAKKH MVIIDSLNYISTEHITRFLSEIASPHCTMIATYHKDIKDENHTVIPGWNSNYPGKLTL LQFMATTILDIDVMLASALDSEEADELLNEFRIPRGLNNDIFQLRLVNKRKSGRSLEY DFMINSKAHEYELSLNTKHEEEDGGNGLETPEMLQGLTTFNLGTSNKQKLAKDQVALP FLEAQSFGQGGAIVYEYEKDDDYDEEDPYEDPF SPAR_H02290 MTMIGTLVYFMLSTLLLGVVAEDTVSQISINDSLWYPYDETLVL KPLPNNDLLLSFTFQLQSEPFDPAVASISYDAYEHYTTFPRAIPPLLKSTATRQFHLR FTRGFWDALSWGQLPHAGKEAGASGVELWSQVQAIDQEHAFHNWKKLANSLSGLFCSS LNFIDESRTTFPRQSYISDIASPLFNDTEKLYLMRASLPNEPICTENLTPFIKLLPTR GNSGLTSLLDGHKLFDSLWNSISLDVSTICSGDEDALCHYEMEAHIEMVTHVPSTLAR SERPIPKPLDGNTLRCDTDKPFDSYECFPLPEPSETRFKLSQLFARPINNGNLFANRP TKICAEVDRSTWTAFLSVDDTIFSTHDNCFDLSNDQNGSGSGYDFILESTDTSQVAPI VPVPIHVSRSLTGNGQDRGGMRIVFHNDNDAPVKLIYFESLPWFMRVYLSSLQITSTT SPQLQENDIILDKYYLQAADRKRPAHLEFTMLIPANTDIVFTYQFDKALLQFAEYPPD ANHGFEIDAAVITVLSMESSSPLYEMRTSTLLLSLSTPDFSMPYNVIILTSTIMGLIF GMLYNLMVKRMVTVEVADKITLQSGLKYKLLKLKEKFLGKKKTKTD SPAR_H02300 MCRKWRLVLTGIGNPEPQYAGTRHNVGLYMLELLRKRLGLQDRT YSPVPNTGGKVHYIEDEHCTILRSDGQYMNLSGEQVCKVWARYAKYQAQHVVIHDELS VACGKVQLRAPSTSIRGHNGLRSLLKCSGGRVPFAKLAVGIGREPGSHSRDPESVSRW VLGALTPQELQTLLTQSEPAAWRALTQYIS SPAR_H02310 MGKLLQLALHPIELKAALKLKFCRTPLFSIYDQSTSPYLLHCFE LLNLTSRSFAAVIRELHPELRNCVTLFYLILRALDTIEDDMSIEHDLKIDLLRHFHEK LLLTKWSFDGNAPDVKDRAVLTDFESILIEFHKLKPEYQDVIKEITEKMGNGMADYIL DENYNLNGLQTVHDYDVYCHYVAGLVGDGLTRLIVIARFANESLYSNGQLYESMGLFL QKTNIIRDYNEDLVDGRSFWPKEIWSQYAPQLKDFMKPENEQLGLDCINHLVLNALGH VIDVLTYLASIHEQSTFQFCAIPQVMAIATLALVFNNREVLHGNVKIRKGTTCYLILK SRTLRGCVEIFDYYLRDIKSKLAVQDPNFLKLNIQISKIEQFMEEMYQDKLPPNVKPN ETPIFLKVKERSRYDDELVPTQQEEEYKFNMVLSIILSVLLGFYYIYTLHRA SPAR_H02320 MPSVDIDATQWQKLTQSREKQATVITPLGMMVLEIQGELKLPKD FASLAKKDSQHDGRFSEQGGQTIIRFGSLQIDGERATLFVGKKQRLLGKVTKLDVPMG IMHFNSKDNKVELVDVMKYKITFKDRPLPIM SPAR_H02330 MFSAVGKWIRGSRNDRDFVTKYTADLSQITSQIHQLDVALKKSQ SILSQWQSNLTFYGIAFTILALSYTYWEYHGYRPYLVVTTLLCLGSLILFKWALTKLY AFYNNNRLRKLAKLRAIHQKKLEKLKEETHYNATSSIIQRFSSGEDQNDDAMVLLDDE LNAKYQELNNLKTELEKFKKESHVKGLKKEDSDAWFDKIISVLAGGNELNSTNSLSPF KKIICPQCHWKSDCYRLASKPILFICPQCNHKTDEIKERQAAIEAKQEAQPSQLEKEK TT SPAR_H02340 MSAIPENANVTVLNKNEKKARELIGKLGLKQIPGIIRVTFRKKD NQIYAIEKPEVFRSAGGNYVVFGEAKVDNFTQKLAAAQQQAQASGIMPSNEDVATKSP EDIQADMQAAAEGSVNAAAEEADEEGEVDAGDLNKDDIELVVQQTNVSKNQAIKALKA HNGDLVNAIMSLSK SPAR_H02350 MSLFIRPFLRRPRQFSVARYVYWARGPALRRNFRMSSLAAQSIR AYSNEPKSEKDVSPDGKAKKPSNLKYITERDSLLVQTNNIFTKLKINIRWFLKKSTRP FNSDDISAFISWILVSNIFIFIFWTTTFVSLILYLINTVFAQEYLASKIGKFITKNDS LSIVFETAIVPDWSSGKISFQKVFVSRRPKVSHGFTKGSQQDALQRAKLALSERILVN QQDFDNGNYTQFDLTIDQVDISLNFRKWINGKGILDEVTINGLRGVIDRTHVVWKKDD DPKNYLNVYQPGDFEISKFTMNDVLCTLYQPNGFRPFQVSIFNCDLPQLRKHWLFYDI LNANNINGTYDNSMFTIHKKFRTDDQHQDPTLLWKQMTRFRVDNLDIDHLNAGIEGPF GWINEGRVNMIGDVLLPDEDAASDSLQLTEILTEIGDRLIKEAKRYTSSIPLVGPGFS HAVDKIDPNDYFIMDFSLRLYNVKAEVPLFTSGLTYINSALIRPIVGYINSHRTYIPI KCRIVKKKSDFEGSWTIYDSYLMRDLSAEAYDAFANYVADDEKRTLRLRRVGFWSLQL ILQVILMSLGAIA SPAR_H02360 MTRPPVVRGIFSLGLSVAVLKGVEKTVRKHLEKQGWIEPQKVDY ELIFTIDRLKNLVDDKRESLTAEQLDTGELSWRKVFNFISRQSSELDTRIYVLILLLS FLVPIAWTVLDGDHEGTLEDNDNDINMDLIENERRQKHYNDGERAVLQFGKNRSEPII LSYKDMNVSEGEREFTTKKEHGNGRLISKSENALDEVGSEDVSGCHPEKQLEEDNNEL SEEENGEDDDNKEKDRCSSSEVESQSESKKESTAEPDLLSRDTRTTSSLKSSTSFPIS FKGSIDLKSLNQPSSLLHLQVSPTKSTNLDAQVNTEQAYSQPFRY SPAR_H02370 MGSSLDLVASFSHDSTRFAFQASVAQKNNVDIYPLNETKDYVVN SSLVSHIDYETNDMKVSDVIFFGWCSDLIDTQSLNTKRKLDEDDENRESSGQRYENFF VNGFPDGRIVVYSSNGKDIVNIIKSKKEILGADTDGSDIWILDSDKVVKKLQYNNSKP LKTFTLVDGKDDEIIHFQILHQNGTLLVCIVTEQMVYIVDPSKRRPSTKYSLEVSGAV TCEFSSDGKYLLIANLEELTAYDLNDDSKPIQSWPVQVKKLRTLDGLIMALTTDGKIN NYKIGQADTVCSIVVNDNLGIIDFTPINNKQQVLISWLNVNEPNFESIFLEELDTEKL ITINKSKKTSSDEANQKKLEEEKEKAEPEAQDEKTETEAKTNKKVSKSDQVEIANILS SHLEANSTEILDDLMSGSWTEPEIKKFILTKINTVDHLSKIFLTISKSITQNPWNEQD LLPLWLKWLLTLKSGELNSIKDKQTKKNCKHLKSALKSSEEILPVLLGIQGRLEMLRR QAKLREDLAQLTMQDREDDEIEVIEHSNVINNSLQDQASPVEKPEQDSIVYANGESDE FVDASEYKD SPAR_H02380 MSEEFIAASTLAKNLEFAKGNEFHTILATLRSPVYINEQLLKSE LGFLVTKILKLIRSSNDFDLWKGCHTSVVICAYNPLVLSTHGGQLLAAIYSRLEQKTG FYSSVTSSSHGKQLFNTLISSMAIIMDLMKNKPTLSREALVPKLKAIIPTLITLSQYE SEHVLPVLQRILKRNTTTFKPFINKFRTVLINLIISDYASLGSKTQRLVCENFAYLHL LKIQVSNTNDDENQAHHKVYADSNWRTGLLSILSQFKPIIQLCGEILDFEQDVELYKL IKGLPVIDESNDKEEFLPALKLDFNAPLTLWEIPQRFSLLVDMLVAFISLPTPFPIRV PLGGINSLCEVLLGVSNKYLPLKKELRRDNELNGVINSILPQIQFQGIRLWETMVSKY GKCGLSFFEGILSSVELFIPLKKKSNNEIDFDVVGSLKFEFATVFRLMNMIMSHLGHQ LSTISVISQLIDVALFLSRDKTLIDSLFKNRKSVMKQQIKTKQSKKNKSAEGAYSDIY THPELFVCKDSMNWFNEINEFFITALNNWILPSTPHIQILKYSITQSLRLKEKFGCIP ESFVNLLRCEVLHPGNERVSILPIAISLLKNTSDDMFELLCHPKVPVGMVYQLQKPLC LGEEEEVREGISEREVKTNESYSHGNTDLKTLKALENLENVTIPEPERTVPKVIDDTA IFKKRSVEEIIEKETASSPKKVKVVEETTVENGKELIVEKAVSQIKEEQKSVKDSEDE EQEEFEIPAIELSDDEEEEEE SPAR_H02390 MNGRRCTNMLKSFQRTLGKCQRSSSANHWQCFKRNFASIRATKY PGHFNSTFHFWPWLAAPTLLAASLYYHDRPLQNDDKTDTFPPHTESVQVDSSVSDFPL TINALNFPVSTTFKLLGYGQRHVTFLRFKVYALGLYLAENDENLVADTLNETYLHKYF LDVDDSKTPKENLARLLIRDDSKSVMMIDDLLDSGMRMLAKITPVRNTDFKHLKEGLV KTISKHPDVANNKDTLAKGLSELNDAFSRKGSVRKNDDLIIELLANGALQFSYHDSKN NEFEVMGVVNNQLVGKFLFSQYLCGDKSPSPQAKKTAIDKLITLL SPAR_H02400 MSLVSKVLVKRSCLEVGIRRAPQWYSHYSTTAGNARVNKKGSKV VPVLTGLALASIFAKKWYDDSQIKKADATSVAVDPSISAFPKKMGPPQWPLSTQYELL GKGVRCVSSITFKAYGLGIYVAAEDKHLVSEVLDSKFLSQAFIDTTAPPSPAESHQDN LRAALNDPAKAPILINNLLDSGIRLMSKNTPIKAGSFKLLMDGTKKSVLKNPDSQSQD KDRLEAGFQELHDCFRSVKGLVARDDDFFIELNKDCSMNLSYYARKKDEFVILGTVKE PLIGKLLFAHYLAAVDPPSPEARQGVIDALVSLS SPAR_H02410 MVPVLTPEERQKLRSTVLHRMQLQLEKTEKQIETIKKQTLAKLN LLQQPGPASASQQKELIRQVLEQEELRIE SPAR_H02420 MVLEATVLVIDNSEYSRNGDFLRTRFEAQIDSVEFIFQAKRNSN PENTVGLISGAGANPRVLSTFTAEFGKILAGLHDTQIEGKLHMATALQIAQLTLKHRQ NKVQHQRIVAFVCSPISDPRDELIRLAKTLKKNNVAVDIINFGEIEQNTELLDEFIAA VNNPQEETSHLLTVTPGPRLLYENIASSPIILEEGSSGMGAFGGSGGDSDANGAFMDF GVDPSMDPELAMALRLSMEEEQQRQERLRQQQQQDQPGQPEQPEQHQDK SPAR_H02430 MSPLRKTIPEFLAHLKSLPITKIASTSALTVCVGNESADMDSIV SAITYSYCQYIYNESTYSEEKEKGSLIVPIIDIPREDLSLRRDVMYVLEKLKIKEEEL FFIEDLKILRENISHSAEVNSCLVDNNDTPKNLNNYIDNVVGIIDHHFDLQKHLDAEP RIVKVSGSCSSLVFNYWYEKLQGDREVVMNIAPLLMGAILIDTSNMRRKVEPSDKLAI ERCQDVLSHAANEVSAQGWQDSSVFYKEIKSRKNDIKGFSVSDILKKDYKQFNFQGKG PKSLEIGFSSIVKRISWLFSEHGGEADFLKQCRGFQSERRLDVLVLLTSWRKAGDSHR ELVMLGDPKVLSELIRRVTDKLHLQIFGGDLGEGVAMFKQLNVEATRKQVVPYLEEAY SKLEE SPAR_H02440 MILTFVHCLAVITGLAFAKSYQQQQAVLAPSQNIQLRDIHIGDI NFIHTTDTHGWLGSHLSQANYDADWGDFVAFVDIFREKTSQLYRDVIVIDTGDKRDGN GLSDATWPPGLRSSKIFNMMDYDLLTLGNHELYTAESAILEYRGTSQSSKFKEKYVCS NVEFIEDDGKRVPFGNKYVTFETPITRQRVLALSFLFSFQRANNRAIVTPPLEEMTEK SWFQDMVETNKEENIDLIIVFGHLPATDPTEREMHKIHALIRKEYPNTIIQYFGGHTH IRDFVQLDSKSTCLQSGRFAETVGFLSINITNSLDGDGPIFSRRYVDFNKDAFKYHLS RIGHDANVPASTKKGKTISRLVHDLRHELNLNEKLGYIPQTYYVSTRPLDSEENLYHL ITHKILPNLVPSKNYEPSMSRFILINTGSVRYDLYKGPFTKDTEYIVMPFNNDWRFIT VPLVVASRVEGYLNKGPVIASLGVPSSHHKQHFGGFQKCPLVNNPNLSDGYTTEDDFG CHGDDTPHNSQREYDIPNVVQCKEVKNLQGGETDPLRMVHVIFYSFMELDILNAVNSI INDLGLRMENLTTNDCSHYGGDSTKKLLRDYFSQF SPAR_H02450 MARGPKKHLKRLAAPHHWLLDKLSGCYAPRPSAGPHKLRESLPL IVFLRNRLKYALNGREVKAILMQRHVKVDGKVRTDTTYPAGFMDVITLDATNENFRLV YDVKGRFAVHRITDEEASYKLGKVKKVQLGKKGVPYVVTHDGRTIRYPDPNIKVNDTV KIDLASGKITDFIKFDAGKLVYVTGGRNLGRIGTIVHKERHDGGFDLVHIKDSLDNTF VTRLNNVFVIGEQGKPYISLPKGKGIKLSIAEERDRRRAQQGL SPAR_H02460 MVCCLWVLLVLLLQLDHVASEDNAYSFTAKELKAYKQEVRELFY FGFDNYLEHGYPYDEVRPISCVPKKRNFENPDDQVTNDILGNFTITLIDSLTTIAILE DRPQFLKAVRLVERTFPGGSFDVDSTIQIFETTIRVIGSLLSSHLYATDPTKTVYLGD EYDGSLLRLAQDMADRLLPAYLTSTGLPIPRRNIKRKWDTPDFTDSLGTENNVAAMAS PMFEFTILSYLTGDPKYEKVTRYAFDKTWSLRTDLDLLPMSFHPEKLTPYTPMTGIGA SIDSFFEYALKGAVLFDDSELMEVWNVAYESLKTNCKNDWFFANIMADSGHLFVPWID SLSAFFPGLQVLAGDLDDAIANHLMFLKIWNTFGGIPERWNFSPSEFPPLSSLEGSVP FSLNTILPLEWYPLRPEFFESTYFLYRATKDPFYLNIGVHLLKDLKQRFKSNCGFAGF QNVITGELQDRMETYVLSETVKYLYLLFDEENELHSSASNVIFSTEAHPMWLSQEVRS KYKWNAKLNDSIYSLHLDICQKRDRELQAEGNTLGQKIVGFAKSIFQKGTPNDEAVGP ILDYAINTELPGICSIKPHHAMDNYSWYSPMLSNFDRLFEIDTRFAATLIKPSHMHNY GPIELEPEFYSRWSNPQFSTCQITPTTEIFELLFDLPGLHQLNPLISDNKTITFETFG GRSRLKVEKIQIYQIDYYGDLITASTFQNISRRDTSSNVCDTMASLYSPTYLYRVVAV NGRTVPHHGRVQIKKHSPMLTSNGTREEDEIRIDAIGINDRSQLMLECTPIINLFIV SPAR_H02470 MMNFFTSKSSNQDTGFSSQHQHPNEQNNGSNNSGNAGNSNGYPC KLVPNGPCTSSNNGALFTNFTLQTATPTTAISQDLYAMGTTGITSENALFQMKSMNNG ISSVNNNYSNTPTIITTSQEETSAGNVHGNAGGNSLQNSEDDNFSSSSTTKCLLSSTS SLSINQREAAAAAYGPDTDIPRGKLEVTIIEARDLVTRSKDSQPYVVCTFESSEFISN GPESLGAINNNNNHNNNHNQHNQNQHTNNNNENTNPDAASQHHNNNSGWNGSQLPSIK EHLKKKPLYTHRSSSQLDQLNSCSSVTDPSKRSSNSSSGSSNDPKNDSSHPIWHHKTT FDVLGSHSELDISVYDAAHDHMFLGQVRLYPMIHNLAHASQHQWHNLKPRVIDEVVSG DILIKWTYKQTKKRHYGPQDFEVLRLLGKGTFGQVYQVKKKDTQRIYAMKVLSKKVIV KKNEIAHTIGERNILVTTASKSSPFIVGLKFSFQTPTDLYLVTDYMSGGELFWHLQKE GRFSEDRAKFYIAELVLALEHLHDNDIVYRDLKPENILLDANGNIALCDFGLSKADLK DRTNTFCGTTEYLAPELLLDETGYTKMVDFWSLGVLIFEMCCGWSPFFAENNQKMYQK IAFGKVKFPRDVLSQEGRSFVKGLLNRNPRHRLGAIDDGRELRAHPFFADIDWEALKQ KKIPPPFKPHLVSETDTSNFDPEFTTASTSYMNKHQPMMTATPLSPAMQAKFAGFTFV DESAIDEHVNNNRKFLQNSYFMEPGSFIPGNPNLPPDEDVIDDDGDEDINDGFNQEKN MNNSHSQIDFDGDQHMDDEFVSGRFEI SPAR_H02480 MSFSTINSNVNKTTGDSNNTTTENSSTADLLGMDLLQNGPRLMN TMQPNNSSDMVHINNKANNVQQPAGNVNSSSANTGAKAPANEFVRKLFRILENNEYPD IVTWTENGKSFVVLDTGKFTTHILPNHFKHSNFASFVRQLNKYDFHKVKRSPEERQRC KYGEQSWEFQHPEFRVHYGKGLDNIKRKIPAQRKVLLDESQKALLHFNSEGTNPNNPS GSLLNESTTELLLSNTVSKDAFGNLRRRVDKLQKELDMSKMESYATKVELQKLNSKYN TVIESLITFKTINENLLNNFNTLCSTLANNGIEVPIFGDNGNHNPTGNTNNPATTTAI HSNHNTNNASPAASTVSLQLPNLPDENSLTPNAQGNTVTLRKGFHVLLVEDDAVSIQL CSKFLRKYGCTVQVVTDGLSAISTLEKFRYDLVLMDIVMPNLDGATATSIVRSFDNET PIIAMTGNIMNQDLITYLQHGMNDILAKPFTRDDLHSILIRYLKDRIPLCEQQLPPRN SSPQTHSNTNTANSNPNTINEQSLAMLPQDNPSTTTPVTPGTSISSAQHVQQGQQEQQ HQLFHAQQQQQQHHNAIANARSEVPIPNLEHEINTVPHSSMGSTPQLPQSTLQENQLS SPAR_H02490 MTLTIKIGTLNDSDQSAVNDGTENGSDSRKVIPTEEEICDDVVL LWKEEPGTEDATIRHLYDRIEERNRTWKLSASRFRKILNEHHLYDTDLETVSLYKDSI HFPKALDSDTKVEVKFIDDEHGRGLFAKKDFSKGQIILKENKPIVYVPPLDKLFFISN GKACARCGKALYDLTQHKIMVHYLDCEVCKAIWCSEKCKKAHASLHELLYHSWRSNRI DILHAGNWKRFVNYCEKYCFTAAFSVGLIYGSMLLDTAGEVKEQWEKLASVSQRERIK LRDASGIGSTFNLMNGTTVHTEEGSDNGAKKGVEKNIDDETVWEKCYELFCGAFPKAS EEVDLEKFLIMIGTFNINQYNGQIYHWISFINHDCEPNAYIEQVEEHEELKLHARKSV KKGEEIRITYVNPLHGVRLRRRELRVNWGFLCQCDRCQNELSTFERVPNLEKKNADAN LTVEKVDSNDSGEDGSKKSTGNRKSSMREAQPDLKEILKNGKEFELDIPETVDTQGNV RKTSVRFDSNVSVAVEER SPAR_H02500 MLQRHSLKLGKFSIRTLATGAPLDASKLKITRNPNPSKPRPNEE LVFGQTFTDHMLTIPWSAKEGWGTPHIKPYGNLSLDPSACVFHYAFELFEGLKAYRTP QNTITMFRPDKNMTRMNKSAARICLPTFESEELIKLTGKLIEQDKHLVPQGNGYSLYI RPTMIGTSKGLGVGTPSEALLYVITSPVGPYYKTGFKAVRLEATDYATRAWPGGVGDK KLGANYAPCILPQLQAAERGYQQNLWLFGPEKNITEVGTMNVFFVFLNKVTGKKELVT APLDGTILEGVTRDSVLTLARDKLDPQEWDINERYYTITEVATRAQQGELLEAFGSGT AAVVSPIKEIGWNNEDIHVPLLPGEQCGALTKQVAQWIADIQYGRVNYGNWSKTVADL N SPAR_H02510 MPKTSYLDKNFKSTHYNNVRPSYPLSLVNEVMKFHKGPCKTLVD IGCGTGKATFLFEPYFEEVTGVDPSSAMLSIAEKEKNELRLNNKIKFVNAVGEDLSSI HPGTVDMVICAEAVHWCNLEKLFQQVSSTLRSDGTFAFWFYIQPEFVDFAPEALDVYY KYGWGKDYMGKYLDDNQREVLLNYGGEKLRSLLSDRFKDIEITIYSPSDAKASTVTAE NSQFLWRTTTTLNQFREFVKSWSLYSSWTRDNPSKPDIADIFVDELKKVCYCENLDVP LKVEWSTFYYLCRKRG SPAR_H02520 MTKDNSGNEYEVITIGDQGRFQATISELGATLLDLKVNNQSIVL GYPKIQDYISDGYNYIGATVGRYANRIYRGVFSLEDGPHQLTLNNCGNTNHSSISSFH LKKYKASRVENPLENLYIVEFSLLDDHTQPNEFPGDLAVTLKYTLNVVDMTLDLEYEA KLISGEATPINMTNHTYFNLNKSMDKKSISGTEVRLCSDKSLEVSEGALIPTGKIIQR KIATFDSPKPTVLQDDGPIYDYGFIVDANKDLKTTDSVSVNKLVPVFKAHHPLSRLSL EVSTTEPMVLFYTGDNLCGEFTPRSGFAVEQGRYVDAINRDGWRDCVLLKRGEVYTSK TQYRFEI SPAR_H02530 MTVAHHCIFLIILAFLELLNVASGSIEACLPDGQKKNGMNINFY QYTLMDSSTYSNAAYMAYQYAEKAKLGSVGGQTTISINYDIPCVSDFGTFSCPQEESS NEFGFMCNNEFCSNSQASAYWSSDLFGFYTTPTNVTVEMTGYFLPPQTGSYTFRFATV DDSAILSVGGSAAFECCKQEQPPITSTNFTINGIKPWGESVPNNIEGSAYMYAGFYYP IKIVYSNAVSWGTLPISVTLPDGTTVSDDFDGYVYSFGDDPSQSNCTIPDPPNYTTAG IITTTTEPWTGTFTSTSTGVSAVTGTNGNPTDETVIVIRTPTTVSTITTTTEPWTGTF TSTSTEMTTVTGTNGVPTDETIIVIRTPTTVSTITTTTEPWTGTFTSTSTEMTTVTGT NGVPTDETVIVIRTPTTVSTITTTTEPWTGTVTSTSTEMTTITGTNGVPTDETIIVIR TPTTVSTITTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTTVSTITTTTE PWTGTVTSTSTEMTTITGTNGVPTDETIIVIRTPTTVSTITTTTEPWTGTFTSTSTEM TTVTGTNGVPTDETVIVIRTPTTVSTITTTTEPWTGTVTSTSTEMTTITGTNGVPTDE TIIVIRTPTTVSTITTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTTVST ITTTTEPWTGTVTSTSTEMTTITGTNGVPTDETIIVIRTPTTVSTITTTTEPWTGTFT STSTEMTTVTGTNGVPTDETVIVIRTPTTVSTITTTTEPWTGTFTSTSTEMTTVTGTN GVPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRT PTSEGLITTTTEPWSGTFTTTSTEMTTVTGTNGVPTNEVVIFIRTPTSRDLISTTTEP WTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWSGTFTTTSTEMT TVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNGVPTNEV VIFIRTPTSRDLISTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLI STTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTS TSTEMTTVTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNG VPTNEVVIFIRTPTSRDLISTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTP TSEGLISTTTEPWTGTFTTTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPW TGTFTSTSTEMTTVTGTNGVPTNEIVIFIRTPTSRDLISTTTEPWTGTFTTTSTEMTT VTGTNGVPTDETVIVIRTPTSEGLITTTTEPWTGTFTTTSTEMTTVTGTNGKPTDETI IVIRTPRSASSSSVSSSSVTSSHPIITPLYPSNGTSVIPSSVIPSSVISSSVISSSVT TSTSIFSESSASSVIPTTSSTSGSSESETSSTGSSTSYSKDHEAISVSTSSSISSESP KSSTYSYSSLPPVTTTTTSEQTTLVTVTSCASHVCTESISSAIVSTATITVSGVTTEY TTWCPISTTKTTKQTTTTTKQTTETTKQTTVVTVSSCESGICSETASPAIVSTTTATI NGATTEYTTWCPISTTESKQQTTLVTVTSCESGVCSETASPAIVSTATATVNDVVTVY PTWSPQTTNEGSISSKSISAASETNTISETAKTKTVGTSSLSRSDYAETHTASATSVI GRSSSVSVSESANTKGLTTPGLSTVSQQPRSSPASGIVGSSTASLEISTYAGIANGLL VNSGLSVFIASLLLAIV SPAR_H02540 MFNRFNKFQAAVALALLSQSALGDSYTNSTSSADLSSITSVSSA SASATASDSLSSSDGTVYLPSTTISGDLTVTGKVIATEAVEVASGGKLTLLDGEKYVF SSDLKVHGELIVEKSETSYEGTAFDVSGETFEVSGNFSAEETGAVSASIYSFTPSSFK SSGDISLSLSKAKKGEVTFSPYSNAGTFSLSNAILNGGSVSGLQRRDDDEGSVNNGEI NLDNGSTYVIVEPVSGNGTVNIISGNLYLHYPNTFTGQTVVFKGEGVLAVDPTETNTT PIPVVGYTGKNQIVITADITALSYDGTTGVLTATQGNSQFSFAIGTGFSSSGFSVSEG IFAGAYAYYLNYDGVIATSAASSSTSTTSGAFSTATGLVTSSSNATATATASTTASTT VSSTASSSVSDSFISSSSASVSGVSNSTTASGSITSAPSAASTTSFTSDSASVYTTTL TYLNATSTVVVSCSETTDASGNIYTITTTVPCSSTTATITSCDENGCHVPAPTATDAT TTVSSKSYTTVTVTHCDDNGCNTKTVTSECFKETAATTISPKSYTTVTVTHCDNNGCN VKTVTSEASKQTSLATANSGAFSTVTKSAAPTSHTVASSITTGIIVQSEGIAAGLRTN ALSTLAGIFILAFF SPAR_H02545 MCEHQLTQEDLDFDKKHVWHPYTSITTPLKVYPVTKAEGSYLYL DNDTKVVDGMASWWCVQQGYNNPRLNAAAISQINKMSHVMFGGITHRPGIDFCKKLLA LLPDSMECVLLADSGSISVDIAMKMALRYYHSLGDTSKKRFLTIKKGYHGDAFGAVSV CDPVNSRHSTYNGFVAENIFCKAPEVRFDCREKDVEKLVEELDVKPFAKIIHKRHSEI SGVVMESIVQGAGGLRMYHPYFLKRVRELCNEFNILLILDEVAVGLGRTGMLFGFEHA GIVPDIVCLGKTLTAGYLTLSATVTTRKIGDQISSGPEGCFMHGQTYMANPLACAVAS ENLSILMEGKWKSQVRQIEAQLKNELLPLLEHPIVADVRILGAIGVVEVTKRVNVEVL QERFIKAGAWIRPFGNIIYILPPYVITSEELTILTEAIQSVLDFI SPAR_H02550 MNTKSLHFYEPFEIDGQRYIKMTEREDLGVYEPGLTQDAFTAKD KYDYKGIIENLEKYGLCVVPNFIKPSKCDQILEELGPHFYRHDAWQGSPFPKETTVVT RAVLHSPTVLKDVVSDRLFCDMANHFLNEQNYFMTGNVIRKCSSGIQLNSGIVYKVGA GAGDQGYHREDHIHHTIHQACDHFQYGKETLLGVGVAFTDMNKANGATRVIIGSHLWG PHDSCGRFDKRMEFHVNARKGDAVLFLGSLYHAASANHTLEDRIAGYFFMSQGYLKQE ENLHFGMDPKVFKDMSLDTLQLLGLRTSEPYCGHIDYKSPGHLANPSLFKNEVEKGYY GETIKINYDDKK SPAR_H02560 MFKSAVYSILAASLVNAGTIPLGKLSDIDKIGTQKEIFPFLGGS GPYYSFPGDYGISRELPESCEMKQVQMVGRHGERYPTVSKAKSIMTTWYKLSNYTGEF NGALSFLNDDYEFFIRNTNNLEMETTLANSVDVLNPYTGEMNAKRHARDFLAQYGYMV ENQTSFAVFTSNSNRCHDTAQYFIDGLGDQFNISLQTISEAESAGANTLSAHHSCPAW DDDVNDDILEEYDTKYLSGIAKRLNKENKGLNLTSSDANTFFAWCAYELNARGYSDIC SIFTKDELVRFSYGQDLETYYQTGPGYDVVRSVGANLFNASVKLLKESEVQDQKVWLS FTHDTDILNYLTTIGIIDDKNNLTAEYVPFMGNTFHRSWYVPQGARVYTEKFQCSNDT YVRYVINDAVVPIETCSTGPGFSCEINDFYDYAEKRVAGTDFLKVCNVSSVSNSTELT FFWDWNTKQYNDTLLKQ SPAR_H02570 MAAIKDYKTALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFVHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNAVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_I00010 MVPLFGLFYIFSQLCSLCSAYVDVTSGYQVFLGLPNNMTNNQIC WLFQTSYFDINSDKSGRTLRTGRFEPGDQQSLVYRDTLVELEAITDFYEYSNLDLSTY NGPEPYNSETDYCRDIMDLVMRVYDEEGNYVHPTTEEYSATASATVQRRDSDADMEEC IDEPCYDVRDCQILNSKCGHCSNSGGREQRYCDWQYTYFVHSFVLHCWYTWQHTCS SPAR_I00020 MVETDLSRNDNLDDKSTVCYSEKADTDVDKSTASGLRRIDAVNR VLSDYGSFTAFGVTFSSLKTALLVALFLQGYCTGLGGQISQSIQTYAANSFGKHSQVG SINTVKSIVASVVAVPYARMSDRFGRIECWIFALVLYTVGEIISAATPTFGGLFAGII IQQFGYSGFRLLATALTGDLSGLRDRTFAMNIFLIPVIINTWVSGNIVSSVAGHTAPY KWRWGYGIFCIIVPFSTLILVLPYAYAQYISWRNGKLPPLRLREKGRTLRQTLWKFAE DVNLIGVILFTAFLVLVLLPLTIAGGATSKWKEGHIIAMIVVGGCLGFIFLIWELRFA KNPFIPRVYLGDPTIYVALLMEFVWRLALQIELEYLVTVLMVAFGESTLSAQRIAQLY NFLQSCTNIVVGIILHFYPHPKVFVVTGSLLGVLGMGLLYKYRVVYDGISGLIGAEIV VGIAGGMIRFPMWTLVHASTTHNEMATVTGLLMSVYQIGDAVGASIAGAIWTQRLAKE LIQRLGSNLGMSIYKSPLNYLKKYPLGSEVRIQMVESYSKIQRLLIIVSISFAAFNAV LCFFLRGFTVNKKQSFTTEEREKEKLKIKQQSWLRRVIGY SPAR_I00030 MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNDDCFALIEKAHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDENGKPIPPNNWRSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVAGLPDAPVIDDNTKWQPSDPFTMNGPRIHEFHQEMNKFIRDRVKDGREIMTVG EMQHASDETKKLYTSASRHELSELFNFSHTDVGTSPKFRQNLIPFELKDWKVALAELF RYVNGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLVSLTGTLYVYQGQ ELGAINFKNWPIERYEDVEVRNNYNAIKEEHGENSKEMKKFLEALALISRDHARTPMQ WSREEPNAGFSGPSAKPWFYLNESFREGINAEDEVKDPNSVLNFWKEALKFRKAHKDI TVYGYDFEFIDLDNKKLFSFTKKYDNKTLFAALNFSSDAIDFTIPNDDSSFKLEFGNY PKKEVDASSRTLKPWEGRIYISE SPAR_I00040 MFNRLNKFQAALALALYSQSALGQYYSNSTSISSNSSSTAVVSS SSGSVSISSSIAQTSSSATDILSSITQSASSTSGVSSSVSQSASSASDVSSSVSQSAS SASDVSSSVSQSASSTSGVSSSVSQSASSASSSSASVTQSSSSASGASSSFPQSTSSA STASSSVTSGSLSSITSSASSASATASNSLSSSDGTIYLPTTTISGDLTLTGKVIATE GVVVAAGAKLTLLDGDKYVFSADLKVHGDLVVKKSKSTYPGTEFDISGENFEVSGNFN AEESAATSASIYSFTPSSFDNSGDISLSLSKAKKGEVTFSPYSNSGAFSFSNAILNGG SVSGLQRRAEDEGSVNNGEINLDNGSTYVIVEPVSGKGTVNIISGNLYLHYPDTFTGQ TVVFKGEGVLAVDPTETNTTPIPVVGYTGKNQIAITADITALSYDGTTGVLTATQGNS QFSFAIGTGFSGSDFSVSEGTFAGAYAYYLNYNGVVATSAAPSSTASSASASTASGVS ASATGSTSFSASVIGSTASTLTSGSASVYTTTLDYVNATSTVVVSCSETTDSNGNIYT ITTTVPCSSTTATITSCDETGCHVTTSTGAVVTETISSKSYTTATVTHCDDNGCNTKT VTSECSKETSATTASPKSYTTVTVTHCDDNGCNTKTVTSEAPEATTTTVSSQSYTTAT VTHCDDNGCDVKTVTSEAPKETSVTTTETSASPKSYTTTTVTHCDDNGCDVKTVTSAA PEATTTTTVSTQSYITVTSGSPKVTSSTVATSKTSGVTTKSGASTTKTVTPQSSTGII IQSEGVAAGLKTSALSTLVGIFVLAFFN SPAR_I00050 MSHYEKTPLIRQVFNNGQTNSWFYVKHDMLQPSGSFKSRGIGHL IRKSNEEALREGSGKLAVFSSSGGNAGLAAATACRSMALNCNVVVPKTTKTRMVKKIQ SAGARVIIHGNHWGEADEYLRQELMAQESQYGSKTLYVHPFDDETIWEGHSTIVDEII QQLQENDISLLKVKALVCSVGGGGLFSGIIKGLERNHLAGKFPVVAVETAGCDVLNKS LKNGSPVILEKLTSVATSLGSPYIAPFAFESFNNYGCKSVVLSDQDVLDTCLRYADDY NFIVEPACGASLHLCYHPEILEDTLGQNLYDDDIVIIIACGGSCMTYEDLVKASRTLN VL SPAR_I00060 MSVQKEEYDILEKAQLSVSAESLTSDSESLSHNPFDDFHEAERW RKVYESSGYEGLAKFDPEFTWTTDEEKKLVRKMDLKIFLWVFIMFAFLDLIRKNIARA VSDNFISDLNMNTNDYNLGQTVYLVIFLASELPGNLLSKRFGPERVIPVQIVLWSVIC ITQAGLKNRGQFIATRCLLGMVQGGFIPDNILYLSYYYTGAELTFRLSFFWCAIPLFQ ILGSLLASGVIEMRGIHNLAGWQYLFIIEGFLSLSVGVASFYLMRRGPTQTGESAFHK GKSLFTEYEEKIMVNRILRDDPSKGDMSNRQPVSFKEILYTLTEFDLWPLFIQGITAF IALQTVGPYLSLILKSLNYSTFLSNILAIPGQALLLINLPLAALLSRKLKEKSLCVGI ANVWVLPFIVSLVALPTDTNPWIKYILLTGILGLPYTHSILAGWVSEISNSVRSRTVG TALYNMSAQVGSIIASNMYRNDDKPYYTRGNKILLGFTCFNICLAVATKFYYISRNKY KDRKWNSMTKEEQINYLETTKDKGMKRLDYRFIH SPAR_I00070 MAKHIVAALQVGSCPGSTQNTLRKILSYEKEIRESGAKLVVIPE ATLGGYPKGSNFGVYLGYRLQEGREEYARYLAEAIEIGAGEKYPEISQLCALSKATDA SLCVGCIERDGTTLYCTMVYIDPRGGYVGKHRKLMPTAGERLIWGQGDGSTLPVVDTA AGKIGGAICWENMMPLLRYAMYKKGVEIWCAPTVDARPIWRTVMKNIAYEGRLFLISA VQFMPDATTMGFGEIVDQATGKRKLPGWPSADDNCINGGSVIIDPYGEIIAGPLLGKE GLLTAEINTDLIAEARFDLDPVGHYARGDVFQLTVNERSHDVKFTK SPAR_I00080 MLLQAFIFLLAGFAAKISALMTNETSDRPLVHFTPNKGWMNDPN GLWYDEKDAKWHLYFQYNPNDTVWGTPLYWGHATSDDLTHWQDEPIAIAPKRNDSGAF SGSMVVDYNNTSGFFNDTIDPRQRCVAIWTYNTPESEEQYISYSLDGGYTFTEYQKNP VLAANSTQFRDPKVFWYEPSQKWIMTAAKSQEYKIEIFSSDDLKSWKLESAFANEGFL GYQYECPGLIEVPTEQDASKSYWVMFISINPGAPAGGSFNQYFVGSFNGTHFEAFDNQ SRVVDFGKDYYALQTFFNTDPTYGSALGIAWASNWEYSAFVPTNPWRSSMSLVRKFSL NTEYQANPETELINLKAEPILNVSNAGPWSRFATNTTLTKSNSYSVDLSNSTGSLEFE LVYAVNSTKTVSKSVFADLSLWFKGLEDPEEYLRMGFEVSASSFFLDRGNSKVKFVKE NPYFTNRMSLNNQPFKTEDDLSYYKVYGLLDQNILELYFNDGDVVSTNTYFMTTGNSL GSVNMTTGVDDLFYIDKFQVREVK SPAR_I00090 MDATLSEADTRGPHTKTSGLRSERSPIGNEDNTNKAGNRNRNKN RNKKRNRNRNGNKKTENKEQDEPKPMVGGEEVGIEKSQAKSRRRRNNNEPNKKNTLYY SKEINVEERKQTAKRQEEIDQCIHALSDFKLFRKGKHVTSYGYRISVMTNSGKISQKV LFNIPFDYPKAPMKLAMRSNEEVSFYMDIVIANFNWKARQLVKEKWRILSQINYLISE FETLKMANYKQIDKLRNSFYKTI SPAR_I00100 MSQRLQSIKDHLVESAMGKGEAKKKNSLLEKRPEDVVIVAANRS AIGKGFKGAFKDVNTDYLLYNFLNEFIGRFPDPLRTDLKLIEEVACGNVLNVGAGATE HRAACLASGIPYSTPFVALNRQCSSGLTAVNDIANKIKVGQIDIGLALGVESMTNNYK NVNPLGMISSDELQKNREAKKCLIPMGITNENVAANFKISRKDQDEFAANSYQKAYKA KNEGLFEDEILPIKLPDGSICQSDEGPRPNVTAESLSSIRPAFIKDRGTTTAGNASQV SDGVAGVLLARRSVANQLNLPVLGRYIDFQTVGVPPEIMGVGPAYAIPKALEATGLRV QDIDVFEINEAFAAQALYCIHKLGIDLNKVNPRGGAIALGHPLGCTGARQVATILREL QKDQIGVVSMCIGTGMGAAAIFIKE SPAR_I00110 MDSSPNKKTYRYPRRSLSLHARDRASEARKLEELNLNDGLVAAG LQLVGVALEKQDTGSHIYMKQKNFSANDVSSSPVASEGMNRSEMDFNPKCMPQDAILV ERLFDELLKDGTFFWGAAYKNLQNISLRRKWLLICKIRSSSHWGNKKIASTTTYSTHS ATNELAENSHFLDGLIRNLSAGGMNLSKTLYKLEKFLRKQSFLQLFLKDEIYLTTLLE KTLPLISKELQFVYLRCFKILMNNPLARIRALHSEHLIRWFTELLTDQNSNLKCQLLS MELLLLLTYVEGATGCKLIWDQLSISFINWLEWFGKILADDIAIHTSLYLNWNQLKID YSTTFLLLINSILQGFNNKTALEILNFLKKNNIHSTITFLELSYKNDPNSVVIMEQIK QFKAKESSIFDSMVQTTNDMSSLHPTKDIEKKESEPLCLEKCLLLKAKDSPVETPINE IIQSLWKILDSQKPYSESIKLLKLINSLLFYLIDSFQVPVNPSFDESFESAENVDYVF QDSVNKLLDSLQSDEIARRAVTEIDDLNDKIFHLNERLNLVENYNKDHLVTKLDESEA LVSLKTKEIENLKLQLKANKRRLDQITTHQRLYDQPPSLTSSNLSVAGSITKNNSHGN LIFQNLTKKQHQQPKNSLPKRSTSLLKSKRIASLSSYLSDVNNENGSEDKSKDLSFQR STSTINFNIPSMKNVANMQNVSLNSILSELEFSNSLSTQPNYQSSPVLSSVSSSPKLF PRLSSESLDNSIQLVSEVDNLPQLPPPPPPPLPQSLLTDAESTLKPDNVPSITAPAPP PLPDLFKNESCFAIPPPPPPPPLPESLSMNKESSNNDLVTPPAPPLPNGLLPSSSVSI NSTTVNLKATSSEKRLKQIHWDKVEDIKDTLWEDTFQRQETIKELQTDGIFSQIEDTF RMKSPTKIANKKNAESSVASSSNNGKSSNELKKISFLSRDLAQQFGINLHMFSQLSDM EFVIKVLNCDNDIVQNVNILKFFCKEELVNIPRSMLNKYEPYSQGKDGKAVSDLQRAD RIFLELCINLRFYWNVRSKSLLTLSTYERDYYDLIFKLQKIDDGISQLNRSLKFKSLM FIITEIGNHMNKRIVKGIKLKSLTKLAFVRSSVDQNVSFLHFIEKIIRIKYPDIYGFV DDLKNIEDLGKISLEHVELECHEFHKKIEDLVTQFQVGKLSSEENLDPRDQIIKKVKF KINRAKTKSELLIDQCKLTLIDLNKLMKYYGEDPNDKESKNEFFQPFIEFLAMFKKCA KENIEKEEMERVYEQRKSLLEMRTSSNKKSNGNDENEGEEVNTDAVDLLISKLREVKK GPEPLRRRKSTKLNEIAVNVNEGDVKTRKDEDHILLERTHAMLNDIQNI SPAR_I00120 MSNVSVAVGTAVGIPIAVGIIVGLIFWCILQRRYKKEEARDADL EKLVMEEVAVSVYDGFKAEISSSSEDSTINEKKESQDLKPCVEKTARAGYTPAYRRQL NASMGILHPKKQSTTYINVPIIFSGEKVNYGMVRDPSDSFMYPLTLMRKETGTLRSAS ISNLSSSTNETDLHEEIKLDDPYENDFTNYTVNKREFIERLRPH SPAR_I00130 MLRLVTRGLPKLTHAAAKTVLVRGPLLHSFSTSTRFNNSVAEDE AKIVLKDKNRPLRIDRELPDPTTERRKRILGFVVFSVAIGSALSLIFNYEKTESPIIS NTLYHLRRSPATRDILGESIEFDGIIPWVYGELNSVKGRINITFYIKGDKNVTGTVRL VADRNTHDEEFLIHEWSVTAAGKKIDLLSENSKRPI SPAR_I00140 MLDDDKGTIMHPHITPFTPEYSNELLRRVQDLYHEDIKHYYPQL KLEKLLDLLEHTEYLFELYLDSMRHDRSNDALTAFIIGCYYVFLIIPQSLQFQTRNKS YSIYTDLKKMYENEMNMTNVVLMVKKEIGVVLDESVKHVVGIEHRITKKRAFSVPAND LSGQMASLSLETAVSQEHGLKGTSTEDDADQSSPVWTAPNLEPNDQLKLALLPEVIAT PTFRESERKPSNPVRPSVLLEDVPCIYHENNTSSASFNPPFREITADRSVTHRKDSYH SVYMVDSDALKEDNDDLFNVENDGFIQSLDILQKQSIITAPELFSILSNRVEREKVLL IDLRIPQRSAINHIVAPNLVKVDPNLLWDKKTNTPIYKDDILEHLLNENENFINRDKF DYIVYYTDVKTFMTINFDYAFIFFYLMLTSQKTPLTTVPTTLLGGYEKWKKTLHSYAQ EYHISIEDYLYRPYSQKARSQQQQHPDSQDSSSTKESSTKVPEPPSWKPPDLPIRLRK RPPPPPPVSMPTTPEIPPPIPPKIMVCPQDSSTSRKPPIPAKQHVKKEQPNSNEIIQR KKKHQYQHYDQRLLQLQPQRAYNIPTIERSPNVYVSLSITGLRNLGNTCYINSMIQCL FAAKTFRTLFISSNYKNYLQPMRNNRSHYSPKLSNSLSMLFNKMYLNGGCSVVPTGFL KVINQLRPDLKIPDDQQDTQEFLMILLDRLHDELSDQQHVANDYPNLLLYNADALKVS NNEYKHWFDKNVIGNGISPIDDIFQGQMENSLQCKRCGYTTFNYSTFYVLSLAIPRRS MKLSKLGRSTEKRVKLEDCINMFTSDEVLSGENAWDCPRCGPTASVSTSTSALENEPS IVKSKKKKSRFFTLHTGTKRRHLDFFGDSINEGNNNNNNTTTSERERSRSPFRMLGGS GKRSSSSTPFGNGGNDSNNTSDFKNKKLTTVKTINFVTLPKILVIHLSRFYYDLTKKN NTVITYPLILNIILKNNETLKYRLFGVVNHTGTLISGHYTSLVNKDLEHSVDIGRSKW YYFDDEIVKADKKHGSDKNLKISSSDVYVLFYERVYD SPAR_I00150 MFSVTRRRAAAAAAAMATATGSLYWVTSQGDRPLVHNDPSYMVQ FPTAAPPQVSRRDLLDRLAKTHQFDVLIIGGGATGTGCALDAATRGLNVALVEKGDFA SGTSSKSTKMIHGGVRYLEKAFWEFSKAQLDLVIEALNERKHLINTAPHLCTVLPILI PIYSTWQVPYIYMGCKFYDFFAGSQNLKKSYLLSKSATVEKAPMLTTDNLKASLVYHD GSFNDSRLNATLAITAVENGATVLNYVEVQKLIKDPATGKVIGADARDVETNELVRIN AKCVVNATGPYSDAILQMDRNPSGLPDSPLNDNSKVKSAFNQIAVMDPKMVIPSIGVH IVLPSFYCPKDMGLLDVRTSDGRVMFFLPWQGKVLAGTTDIPLKQVPENPMPTEADIQ DILKELQHYIEFPVKREDVLSAWAGVRPLVRDPRTIPADGKKGSATQGVVRSHFLFTS DNGLITIAGGKWTTYRQMAEETIDKVVEVGGFHNLKPCHTRDIKLAGAEEWTQNYVAL LAQNYHLSSKMSNYLVQNYGTRSSIICEFFKESMENKLPLSLADKENNVIYASEENNL VNFDTFRYPFTIGELKYSMQYEYCRTPLDFLLRRTRFAFLDAKEALNAVHATVKVMGD EFDWSEKKRQWELEKTVNFIKTFGV SPAR_I00160 MQKSILLTKPDGTQSNLHSTKPETPTTVEFDSEQMERGHRERGR SKKKRGERDSNVSSLSRSRSRASSRSRVREEEFLKWTVLRQDPSMRLRVVDVDSEEEG EGNDDDDDDGDDMDEEESDEEQVSDIENDLEIDEEFHYDLGMKVLPNFCTSINEVLES SKAWIAKYEISIRGHENEGVSLEQLEGGYVRAIQLLTKGSGAESGNQRSFILYTDLSG ESTYALTYLMGAAVNQGDTVYIVHWEPSKPTDDSQMFTNVARIRKHVMHLFDCVAGVL DDLDVVVLSLTHPYPKHLLNEMIHGLKPVALCCSLSVILSTLQNFVCSVPILAVRKKL KRAKRKGISE SPAR_I00170 MSLDRVDWPHATFSTPVKRIFDTQTTLDFQSSLAIHRIKYHLHK YITLISHCPEPDPHAAASPIPMVNGLMEVLDKLAHLIDETPPLPGPRRYGNLACREWH HKLDERLPQWLQEMLPSEYHVVVPELQYYLGNSFGSSTRLDYGTGHELSFMATVIALD LLGMFPHMRGTDVFLLFNKYYTIMRRLILTYTLEPAGSHGVWGLDDHFHLVYILGSSQ WQLLDTQAPLQPREVLDKSLAREYKDTNFYCQGINFINEVKMGPFEEHSPILYDIAVT VPRWSKVCKGLLKMYSVEVLKKFPVVQHFWFGTGFFPWVNIQNGTDLPVFEEKEEETI QQANAGSPGQEHTSTRFPASTSMPPPGAPPSGSNINYLLSHQNYSHRNKTSSSRDRLR K SPAR_I00180 MKSPPCKQMSHKRRGLVIYQDQKRQQQQQHPSGQSLSSISWSPT RRLHHPLKQQSTNSFTEILSKSTVQQDVQQGDSHMPISSLVLKQEQHKQEQQRRNMQS QNSGPPLRRLVQESQWTSSTSQKSLRKQEKQPQSFYSTESKLVSQLHSSVKDLDAIIQ THKPKFDTIIHDFSHTTILSSNELLIKLPEDETIILHSRAPKINAEWLHNKVSNPGAS LVIDSRSFLNLCNNIKWYLHWKFI SPAR_I00190 MVDLMVPANDDPSNETDYSRSNNNHTDTVNDMRPTTTAFLHQKR HSSSSHNDTPESSFAKRRVPGIVDPVGKGFIDGITNGQVSAQNTPSKTDDASRRPSIS RKVMESTPQVRTGSISTMDVPKSPYYVNRTALARNIEVVSRGAYDENANPQIRADESL VASNGIYSNSRPQSQVTLSDIRRAPVVATSPPPMIRQLPSAQPNQTFIKKLQEIYKII VVQETELQQRCLYLTTSQTTELKSLWAIYRLNTELIKNYINFIITALLTTQPINDLIM GQEILDIYRIEKRLWVYGIITFLDVLKNFSNFMDPEVCCQFIIYAFISVSNMLEDIPL KYSILWRQRLGDLSRMAISLYPSGFIDWRLSAEYWYTESMKYIYGCGKLYYHIATVQQ NSLEAFVNLGKSVFCQDPFTPSQQTLQLLIENIYQSAFIDRSSGSTNNNETAHRNSQL IDYLKHTEVMLLPSFLENMDLQHVVLMYFKDKFGKDFNGNDIFNTKEMFCQNPESLRY YFRHAPAFAESQLLQLIGFGNPKNPFALLFQLPKYLKLKKDKREKKRSGATETPQYID PFDDQISSESYFQNIDALNSSFNDIPTNLDIWLESLNHINMTSIQCSIHVLTKFLHAP LVVALPHFLTWLHFVVAILKKIEMVNSKQVIAFWTHFLRRTMPWNSIVTLGNVLVCYM LDNLHPFLKKELERFYSLELDDLIEYYNENENLPEIWKCWGTLWFDAIKKCDVMEIPG VQDHLFFDSPLDGIVFDEKDEIGERFWIRSVRAVSLLKGIAKKFPDLGLKVSFQAPVF CRRNDISPDYFLKNFTFKLDAYDEDSYNDNHELDELYDTIEINEKIDDVNMDLRAPPN LSVVSGENIFEYTGYTRLTPDYHCFDKNGGFNSAFIYSQWSNVGNGATLDVSRESIYD VVSNDLSLHWDKIFFDRIAGASKDSDENDNCTVYFVIDATSWLRHFAHIFKLAKNNIL KFAICLTTFQELRYLRGSKDDNVVEAATRSVITIRQLYDEKKIIPMRFTGNIATHVEE SLEFEEQITWKTHVDEFVIDAIAKLNQKFQAERMINENKNESKNFAVLVTDDDNMDQK AKDKIIKTCNTKYLFSLGSKLGINNGLCTN SPAR_I00200 MDDPRDISAVDPYNSITSDEEDEQAIARELEFMERKRQALMERL KRKQEFKKPEDPNFEAIEVPQSPTKSRVKVESPTAKKQGTRLEDSNVNESRLSQLQQQ RESPTSTTTYFMEKFQNAKKNEDKQIAKFESMMNARVHTFGTDENEYRPIITNELESF SNLWVKKRYIPEDDLKQALHEIKILRLGKLFAKIRPPKFQEPEYANWAAVGLISHKSD IKFTSSEKPVKFFMFTITDFQHTLDVYIFGKKGVERYYNLRLGDVIAILNPEVLPWRP SGRGNFIKSFNLRISHDFKCILEIGSSRDLGWCPIVNKKTHKKCGSPINISLHKCCDY HREVQFRGTSAKRIELNGGYALGAPTKVGSQPSLYKGKGENRFNIIKGTRKGLSEEEE RLKKSSHNFTNSNSAKAFFDEKFQNPDMLANLDNKRRKIVDTKKSTALSRELGKIMRR RESSGLDDKSDGEKQKMKQTTESALQTGLIQRLGFDPTHGKISQVLKSSVPGGESKNI LLSGKKTVINDLLHYKKEKVILAPSKNEWFKKRSHREEVWQRHFGSKEAGETSDASAS DLEIV SPAR_I00210 MEDKISEFLHVPFESLQGVNYSVLRNLYKKIAKLERSEEEVTKL NVLVDEIKSQYYSRISNLKELLDKSSEQKDIAGKELNCLKEELKEERSRYAREIDTLN KQLDASHEAIREVNDEKSVKEECAIWQSRDQSDDSVKDVLDKENKLLRRKLMEMENIL QRCKSNTVSLQLKYNAVVQEKELMLQNKKWIEEKLSSYNEKALAEEVTKTSHVRNLEE KLCQAQTDYESVFTYNNFLLHQNKQLSQSVEEKILEIKNLRDTASIEKAEFSKEMTLQ KNMNDLLRSQLTSLEKDHSLRAIEKDDGNSCKNPEHNNVIDELIDTKLTLQKSKDERQ RLQNIVMDCIEEDKPTMTTSAGSPTIGKLFSDIKVLKRQLIKERNQKFQLQNQLEDFI LELEHKTPELTSFKERTKSLEHELKRSTELLESVSLAKRNDEKEITSLRQKINGCEEN IHSLVKQRSDLACQVKLLLLNTSAIQKTASPLSQNELMSLRKFLESRNTVNENDSQSI ITERLVEFNNVNELQEKNQELLNCIRTLADKLENHEGKQKKTLAELENQTIKEAKDAI IELENINTKMESRINILLRERDSYKLLVSAKENKANTNADTFVEAAHEKRIRELEAEL SSTKVESSAVIQNLRKELLTYKKSQCDKKIALQDFENFKSLAKEKERMLEEEIDRLKT QLEERKSCVPSHIQERKERESNELSQSRIKIEFLEYEISKLKKETANLIPTKKSLTRD LERCCNEKIELQMKLKESEISHNEKELNFSSKESQYRARIKELEKELERLRTELQSKV QEIESLHSSKDSQLKWAQDTVDDAEKKMKSVLTELSTKETTIGTLSSEIENLDKELRK TKFEYKFLNQNSDASTLEPALRKDLEQIQIELKDARSQIKAYEEIISTNENALKELKD ELTKTKESYHAKIELEKEEKCAREEDLSRLREELDEIRGLQPKLREGALRLVQQSEKL SNEAEKIQEMKKKIDKMSAIVQVYQKKETSQYQSTLKENKDLSELVIRLEKEAVEYQK ELMKTKSSLYNTQDLLDKHERKWMEEKADYERELISNIEQAESLRVENSVLIEKIDDT EGNDGNEDYLKLASLFSNLRHERNLLETKLITCKRDLALVKKKNDSLEETINGLQQTQ SLSRKEFQCSAVIIDEFKDITKEIAQVNILKENNAILQKSLKNVTEKNREIYRQLTDR QEEISRLQDDLIQTKEQVSINSNKIIVYESEMEQCKRRYQDLSRQQKDVQKKDIEKLN NEISDLKVKLLSAQNANTDLENKFNRLKKQAHEKLDASKKQQTALTNELNELKAMRDK LEQDLHNESFKVIDMDAKLKTHALQSEDVSRDNEKVTSQTLMEEIESLKRELQIFKNA NGSSDAFEKLRVSMEEEKNRIIDERTKEFEKKLEEALNKSESTGADNSENLGKLKKEW LKEYEDETVRRIKEAEENLKKRIRLPSEERIQKIISKRKKELEEEFQKKLKENADSLT FSDRKDNGEDAEEDLWNSPLKGNSERPSAVTDFINQKSIKFQEQLKNVKNGISFNDSR PTSTNKENNVMDSKAAGNKATSTFRFGKPVFSPNTSSLQSFRNPFTASPTNINTSAPL PTFSIQPDFAVGAVIKSADASGSTNNSTNEAKITEIGSTSKRPIENDTSFDPDTKKIK ESPANDPASKD SPAR_I00220 MRFRLPSKLELTPPFRIGIRAQLTALVSIVALGSLIILAITTGV YFTSNYKNLRSDRLYIAAQLKSSQIDQTLNYLYYQAYYLASRDALQNSLTSYVAGNKS ADNWVDSLSVVQKFLSSSNLFYVAKVYDSSFSTVLNATNNGTGDLIPEDVLESLFPLS TDTPLPSSLETTGILTDPVLNNTEYLMSMSLPVFANPSIILTDSRVYGYITIVMSAEG LKSVFNDTTALETSNVAIISAVYNNQGKASGYHFVFPPYGSPTDLPRQVFPIKNNTFI SSAFKNGKGGSLKQTSSFSSTGNLALGYSPCSFQLVNWVAVVSQHESVFLSPATKLAK IITGTVIAIGVFVILLTLPLAHWAVQPIVRLQKATELITEGRGLRPSTPRTVSRASSF KRGFSSGFTVPSSLLQFNTGEAGSTTSASGHGGSGHGSGAAFSTGSSMKSAINLGNEK LSPSEEENKIPNNHTDAKISMDGSLNHDLLAPHSLKHNDTDRSSNRSHILTTSANLTE ARLPDYRRLFSDELSDLTETFNTMTDALDQHYALLEDRVRARTKQLEAAKIEAEAANE AKTVFIANISHELRTPLNGILGMTAISMEETDVSKIRNSLKLIFRSGELLLHILTELL TFSKNVLQRTKLEKRDFCITDVALQIKSIFGKVAKDQRVRLSISLFPNLIRTMVLWGD SNRIIQIVMNLVSNALKFTPVDGTVDVRMKLLGEYDKELSEKKQFKEVYIKRGTEVTE DLENTDKRDLPTSSNHRKGVDLESAATSIASNRDTSTIQEEITKRNTVANESVYKKVN DREKSSNDDVSSIVSTTTSSYDNAIFNSQFNKAPCSDDEEGGNLGRSIENPKAWVISI EVEDTGPGIDPSLQESVFHPFVQGDQTLSRQYGGTGLGLSICRQLANMMHGTMKLESK VGVGSKFTFTLPLHQTKEISFADMDFPFEDEFNPESRKNRRVKFSVAKSVKSRQSTSS IATPVTNKSGLTKDVLPEEKIKDKYETKDVENINMGREEENGNGNLEQLQEKNKKPST FLSNAESNGQKSLSSKHRSRHENLGSVNLDRPFLQSTGTATSSRNVPTVKDDDKDETS IKILVVEDNHVNQEVIKRMLNLEGIENIELACDGQEAFDKVKELTSKGENYNMIFMDV QMPKVDGLLSTKMIRHDLGYTSPIVALTAFADDSNIKECLESGMNGFLSKPIKRPKLK TILAEFCAAYQGKKINK SPAR_I00230 MVLEYQQRETKGSPSKSMAPNSSLADIRTCPEAQTGEDKGLLDP HLSVLELLSKTGHSPSPMGQSLVTSIDISSHHNVNDSISGSWQAIQPLDLGASFIPER CSSQTTNGSILSSSDTSEEEQELLQAPAADIINIIKQGQEGANTVSSSHPFRQLQKVI SLPMPGKEETPYNEQDDDDEDEAYEEDSVTITKSLTSSTNSFVMPKLSLSQKNPVFRL LILGRTGSNFYQSIPKEYQSLFELPKYHDSATFPQYTGIVIIFQELREMVSLLNRIVQ YSQGKPIIPICQPGQVIQVKNVLKSFLRNKLVKLLFPPVVVANKRDLKKMFQRLQDLS LEYAEDVNEEGNDDEGIRAKSRSYYRNKKADSSKRKSPKSNKKPKKKKQRFFTSWFSW GISITIGISFGCCVTYFVTAAYEHQTVKSLNLRSSIFASLLSLDSSSDTVNTHATTTP SSSEQFLWFDKGTLQINFHTDGFIMKSLTVIKETWSKMNDFVLHALSKPLNFLENLNK SSEFSIDESNRILALGYILL SPAR_I00240 MKIFHTVEEVVQWRTQELRETRFRETIGFVPTMGCLHSGHASLI SQSVKENTYTVVSIFVNPSQFAPTEDLDNYPRTLPNDIKLLESLKVDVLFAPNAHVMY PQGIPLDVEEQKGPFVTVLGLSEKLEGKTRPNFFRGVATVVTKLFNIVMADVAYFGQK DIQQFIVLQCMVDELFVNTRLHMMPIVRNSNGLALSSRNKYLCPNSLKISENLYCGLK AAENAIRSLVPGASLSRSEVIDIVTQIWAPYIDSHDFKVDYVSLADFKTLVELPAVEN TSVQQPLVISCAVYVTDREKPNTIVRLIDNIVI SPAR_I00250 MQSSTSTDQHVLHHMDPHRFTSQIPTATSSQLRRRNSTNQGLTD MINKSIARNTISGTGIPTGGVNKKKRARSTVAGGTNSTAFAFNDKSNSRNSSNRLSIN QLGSLQQHLSNRDPRPLRDKNFQSAIQEEIYDYLKRNKFDIETNHPISIKFLKQPTQK GFIVIFKWLYSRLDPGYGFTKSIENEIYQILKNLRYPFLESINKSQISAVGGSNWHKF LGMLHWLVRTNIKLDMCLNKVDRSLINQNTQEITILNQPLKTLDEQDQRQERYELMVE KLLIDYFTESYRSFLNLEDNYEPSMQELKLGFEKFVHIINTDISNLQTQNDALYEKYQ EVMKISQKIKTTREKWKALKSDSNKYENYVNAMKQKSQEWPSKLEKMKSECELKEEEI KALQSNIGELHKILRNKGISTEQFESQNHEREKLTKELDKINLQSDKLTSSIKSRKLE AEGIFKSLLDTLRQYDLSIQNLTKSRAQLGHNVDDSLLKIDIPENLLDRDFHEGISYQ HLFPKGSGINESIKKSILKLNNDIQERIKTIEKDNVTLEKDIKNLKHDINEKTQVNEK LELELSEANSKFELSKQENERLLVAQRIEIEKMEKKINDSNLLMKTKISDAEELVTST ELKLEELKVDLNRKRYKLHQQVIHVIDITSKFKINIQSSLENSENELGNVIEKLRNLE FETEHNKTE SPAR_I00260 MTDVEGYQTKSKGKIFPDMGESFFSSDEDSPATDAEIDENYDDN KETLDGGEERDTGVMVTGLKKARKKTKSSRHTAADSSMNQMDAKDKALLQDTNSDIPA DFVPDSVSGMFRSHDFSYLRLRPDHASRPLWISPSDGRIILESFSPLAEQAQDFLVTI AEPISRPSHIHEYKITAYSLYAAVSVGLETDDIISVLDRLSKVPVAESIINFIKGATI SYGKVKLVIKHNRYFVETTQAEILQMLLNDSVIGPLRIDSDHQVQPAEDTLQQNLQQT AGKPATNVNPSDVEAVFSAVIGGDNEREDDDDDIDAVHSFEIANESVEVVKKRCQEID YPVLEEYDFRNDHRNPDLDIDLKPSTQIRPYQEKSLSKMFGNGRARSGIIVLPCGAGK TLVGITAACTIKKSVIVLCTSSVSVMQWRQQFLQWCTLQPENCAVFTSDNKEMFQTES GLVVSTYSMVANTRNRSHDSQKVMDFLTGREWGFIILDEVHVVPAAMFRRVVSTIAAH AKLGLTATLVREDDKIGDLNFLIGPKLYEANWMELSQKGHIANVQCAEVWCPMTAEFY QEYLRETARKRMLLYIMNPTKFQACQFLIQYHERRGDKIIVFSDNVYALQEYALKMGK PFIYGSTPQQERMNILQNFQYNDQINTIFLSKVGDTSIDLPEATCLIQISSHYGSRRQ EAQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSTKRQAFLVDQGYAFKVITHLH GMENIPNLAYASPRERRELLQEVLLKNEEAAGIEVGDDADNSIGRGSNGHKRFKSKAV RGEGSLAGLAGGEDMAYMEYTTNKNKELKEHHPLIRKMYYKNLKK SPAR_I00270 MSVQIFGDQVTEERAENARLSAFVGAIAVGDLVKSTLGPKGMDK LLQSASSNTCMVTNDGATILKSIPLDNPAAKVLVNISKVQDDEVGDGTTSVTVLSAEL LREAEKLIDQSKIHPQTIIEGYRLASAAALDALTKAAVDNSHDKTMFREDLIHIAKTT LSSKILSQDKDHFAELATNAILRLKGSTNLEHIQIIKILGGKLSDSFLDEGFILAKRF GNNQPKRIENAKILIANTTLDTDKVKIFGTKFKVDSTAKLAQLEKAEREKMKNKIAKI SKFGINTFINRQLIYDYPEQLFTDLGINSIEHADFEGVERLALVTGGEVVSTFDEPSK CKLGECDVIEEIMLGEQPFLKFSGCKAGEACTIVLRGATDQTLDEAERSLHDALSVLS QTTKETRTVLGGGCAEMVMSKAVDTEAQNIDGKKSLAVEAFARALRQLPTILADNAGF DSSELVSKLRSSIYNGISTSGLDLNNGSIADMRQLGIVESYKLKRAVVSSASEAAEVL LRVDNIIRARPRTANRQHM SPAR_I00280 MFPLRAVLEPCVKNVIIPIAEIVKDSISTTSKLLAEETYSSYSS YSSHSLTSSTVLIGTTTTTAASRKELLPNRKIISSSKQSPASNIYAY SPAR_I00290 MIQLQISLLLIATISLFHLVVATPYEAYPIGKQYPPVARVNESF TFQISNDTYKSSVDKTAQITYNCFDLPNWLSFDSSSRTFSGEPSSDLLSDANTTLYFN VVLEGTDSADSTSLNNTYQFVVTNRPSISLSSDFNLLALLKNYGYTNGKNALKLDPNE VFNVTFDRSMFTNEGSIVSYYGRSQLYNAPLPNWLFFDSSELKFTGTAPVINSAIAPE TSYSFVIIATDIEGFSAVEVEFELVIGAHQLTTSIQNSLIINVTDTGNVSYDLPLNYV YLDDDPITSDKLGSINLLDAPDWVALDNATISGSVPGELLGENSNPANFSVSIYDTYG DVIYLNFEVVSTTNLFAISSLPNINATRGEWFTYYFLPSQFTDYVNTNVSLEFTNSSQ NHDWLKFQSSNLTLAGDVPENFDKLSLGLKANQGSQSQELYFNIIGMDSKVTHSNHSA NATSTRSSHRSTSTSSHTSSTHTATVSSTSTAATSTAATSSASAALPTANKTSSHSKK AVAIACGVAIPLGVIFVAIICFLIFWRRRKENPDDEKLPHGISGPDLNNPANNPNQEN ATPLNNPFDDDASSYDDTSIARRLAALNTLKLDNHSTSESDISSMDEKRDSSSGMNTY NDQFQSQSKEELLAKPPAQSPGSPFFDPQNRSSSVYMDSEPAVSKSWRYTGDLPPVSD SVRDSYGSQKTVDTENLFDLEAPQKQKRTSRDVTMSSLDPWNSNISPSPVRNSVTPSP HNVTKHRNPHLQNIQDSRCGTNGVTPTTMSTSSSDDFVPVKDGENFCWVHSMEPDRRP SKKRLVDFSNKSNVNVGQVKDIHGRIPEML SPAR_I00300 MNKWVEKWLKVYLKCYINLILFYRNVYPPQSFDFTTYQSFNLPQ YVPINRHPALIDYIEELILDVLSKLTHIYRFSICIINKQNDLCIEKYVLDFGELQHVD KDDQIITETEVFDEFRSSLNSLILHLEKLPKINDDTITFEAVINAIELELGHKLDRNR RVDSLEEKTEVERDSNWVKCQEDANLPEDSGFQSPKIKLTSLVGSDVGPLIIHQFSEK LVSGGDDKILDGVYSQYEEGESIFGSLL SPAR_I00310 MEKIKEKLNSLKLESESWQEKYEELREQLKELEQSNTEKENEIK SLSTKNEQLDNEVEKLESQLADTKQLAEDSNNLRSNNENYTKKNQDLEQQLEDSEAKL KEAMDKLKEADLNSEQMGRKIIALEEERDEWEKKCEEFQSKYEEAQKELDEIANSLEN L SPAR_I00320 MSDKLLSLENPVVPSHYELCLDIDPKQSSPNFKGSAKIHLKFNS NSTTLASSNDSLTQFKLHSKDLIVLSAHATMGATNFDLKVSQDVEKHWSIFHSESPIQ LSNECPLVLSVQYVGKIRNIKTHHDKTFGIFETNFMDHKTGSANNHVVATHCQPFSAS NIFPCVDEPSNKSTFQLNIAMDSQYKAVSNTPVESIEALDNAQKHLVKFAKTPLMTTS VFGFAMGDLEFLKTEVKLKGDRTISVSIYAPWDIANATFTLDTVQKYLPLLESYFNCS YPLQKLDFVLLPYLSDMAMENFGMITIQLNHLLIPPNALANESVREQAQQLIVHELVH QWMGNYISFDSWESLWFNESFATWLACYILEQNGDLSHYWTSDPYLLQQVEPTMCRDA SDINGKSIFQIVQRNTGIDSQTSDIFDPEAYTKGIIMLRSLQLATGESHLQKGLQSVF EDTQTFHERSVKPMDIWNHIGITLKSQNITNFVSSWTRTPGLPVVKVELEEKDGKTQT KLTQHRFINQLSSEEKVQLEDVPYQVPLFGVLLDGKADTNNVLLTDRTLKLDYPILVL NHLAQGYYRVSYESEECYAKINDKLTEGTLSEIDLWKIFLDLSQFIGDEDFQNPIHVL GLCKILSHIASSSSKIASKYWAPLSKGLEVLQTIDRASLTSSKLLSFLKKKIVIPLFN KIDWPHGEFDKSANPHELKVMSQVLFMNKNSGKCAELCQIYFKHLLQGPRSSVPLELV NSILVVVSQHCSNIKQWKKIFDLVKRSSCAGIMNHVNNLYNQNSDETATLIQNGAIES LGFCLDSDIVKKILNFITSNIESDGMELALFGFNYNFKKRLNKNEKPQDQIVRDTIWK WYVDNFDQWARKATRKGTTTGDHLHKALRSISLIIFQMFVADEPQKIEKFINLEKEKL GQNLLSLDDIWASVQQDEVSRKTIRRDFTSLV SPAR_I00330 MSSRIIVGSAALAAAITASIMVREQKAKGQRREGNVSVCYNNQE YGTSAPPQWGKLHNIKQGIKEDALSLKDALLGASQKAREEAPEVAKRVISPEEDAQTR KQLGQKAKDSSSQSIFNWGFSEAERRKAIAIGEFDTAKKRFEEAVDRNEKELLSTVMR EKKAALDRASIEYERYGKARDFNELSDKLDQQERNSNPLKRLLKSNTGDANTEEAAAR SVQGWGETAQEFGREELEEAKRNASSEPSEAQRRLDELKKIKEKGWFGYNKGEQSEQQ IAERVARGLEGWGETAAQLSKDEMDDLRWNYENSKKQLDKNVSDAMDSLSKAKEDLKQ YGSHWWSGWTSKVDNDKQALKDEAQKKYDEALKKYDDAKNKFKEWNDKGDGKFWSSKK D SPAR_I00340 MDISNNSQDHGTHEAAQTENDNTYMPPSPSMSESSMIFERNVED PSYLYKTVSNNAANSLSRQSSRTSLFNHNNSSNRNFHNLSQRSSAVNLHLQPSRTNES IASYQTYNPDFVVQTPLDHRRTLENFVPPALDAGCSIVTDDTTGLDDVDMVYSRRPST IGLDRALGRTRSLSSQSFDNETSPAHPRSPNDHGSRLLRFYSYADMLSDDNSNNVSNT TSTPSTANPLRRPPMQGHYSFSSSLLNSPSHLPSPPSASASPPQHMNFTNPFIISRRY SNTTINNANGTSAGNSTGAALSRSPSNQQYLLKQQRSPSGNARSRRNSNRPGSVANIM IGKPKSKFHIESSGSEGFSSEEEDNTMVERDKLNLKQKLQSQLAQPPSIANMINDNHH NTNKHKNNINNNDKNSPTFSNSNPGSKSNSNSTITSMNPDGTK SPAR_I00350 MVRKTVEVILPVCGDIEIVVDIKFNNTADVGIVAILATFLNAEY NVIERCVVSSWFTKGVETVLSTLSLYHLYFHHVTRGGRHINVATVVR SPAR_I00360 MVDHQWTPLQKEVISGLSAGSITTLVVHPLDLLKVRLQLSATSA QKVHYGPSMVIKEVIRSSASTGHSVINELYRGLSINLFGNAIAWGVYFGLYGVTKELI YKSVTKPGQTQFKGVGNDHKMNSLIYLSAGASSGLMTAILTNPIWVIKTRIMSTSKGA QGAYTSMYNGVQQLLRTDGLQGLWKGLVPALFGVSQGALYFTVYDTLKQRKLRRKSQN GQDNHLTNLETIEITSLGKMISVTLVYPFQLLKSNLQSFKANEQKFKLFPLIKLIIAN DGFVGLYKGLSANLLRAVPSTCITFCVYENLKHRL SPAR_I00370 MSVEPVVVIDGKGHLVGRLASVVAKQLLNGQKIVVVRAEELNIS GEFFRNKLKYHDFLRKATAFNKTRGPFHFRAPSRIFYKALRGMVSHKTARGKAALERL KVFEGIPPPYDKKKRVVVPQALRVLRLKPGRKYTTLGKLSTSVGWKYEDVVAKLEAKR KVSSAEYYAKKRAFTKKVASANATAAESDVAKQLAALGY SPAR_I00380 MEYEHLELITIWPSPTKGKLCQFIKQNLSKEHVITQLFFIDATS SFPLNQFQKFVPPNLPENVKIYENIRINTCLDLEELSAITVKLLQILSMNKINAQRGT KDAVREPLKIILYINGLEVMFRNTQFKSSPQRSHELLRDILLKLRIMGNDENASIRTL LEFPKEQLLDYYLVKNNDTRTSSVRNKRRRIKNGDSLAEYIWKYYADSLLE SPAR_I00390 MSVTSSEQKFSGKYSSYTAQDRQGLVNAVTCVLSSSSEPVAVSS DYSNNLSIAREVNAYAKIAGCDWTYYVQKLEVTIGRNTDNLSLNAIPGAVVKKNIDID LGPAKIVSRKHAAIRFNLESGSWELQIFGRNGAKVNFRRIPTGPDSPPTVLQSGCIVD IGGVQMIFILPEQETVISDYCLNHLMPKLLSTYGTNGNNNPLLRNIIEGSTYLREQRL QEEARLQRLDHLHTPLSSSDVNPIGDPHGDTIMMEEEDDDQNYTRGGIRPNTYTSSST NVITNNNVPHIENPSDLSLDENRYIKPPQSYASMITQAILSTPEGSISLADIYKFISD NYAFYRFSQMAWQNSVRHNLSLNKAFEKVPKRAGQQGKGMNWKISNEVRRDFLNKWNA GKLSKIRRGASVTRQLQLHMSKFGEIPAPESSSIDTRGIKAQKVKKSLQATSSILGES APQLQKTQLTGQISTTTSMNVTTNANVNNSALS SPAR_I00400 MPEQAQQGEQSVKRRRVTRACDECRKKKVKCDGQQPCIHCTVYS YECTYKKPTKRTQNSGNSGVLALGNAAAAGPSSSAVVAAAASNPNKLLSSVKAERTIL PGASTIPPSNNSSKPRKYKTKSTRLQSKIDRYKQIFDEIFPQLPDIDNLDIPVFLQIF HNFKRDSQSFLDDTVKEYMLIVNDSSSPIQPVLSSNSKNSTPDEFLPNMKSDSNSASS INKEQDSTDTYSNIPVGREIKIILPPKAIALQFVKSTWEHCCVLLRFYHRPSFIRQLD ELYETDPNNYTSKQMQFLPLCYAAIAVGALFSKSIVSNDSSREKFLQDEGYKYFIAAR KLIDITNARDLNSIQAILMLFIFLQCSARLSTCYTYIGVAMRSALRAGFHRKLSSNSG FSPIEIEMRKRLFYTIYKLDVYINAMLGLPRSISPDDFDQTLPLDLSDENITEVAYLP ENQHSVLSSTGISNEHTKLFLILNEIISELYPIKKTSNIISHETVTSLELKLRNWLDS LPKELIPNAKNIDPEYERANRLLHLSFLHVQIILYRPFIHYLSRNMNAENVDPLCYRR ARNSIAVARTVIKLAKEMVSNNLLTGSYWYACYTIFYSVAGLLFYIHEAQLPDKDSAR EYYDILKDAETGRSVLIQLKDSSMAASRTYNLLNQIFEKLNSKTIQLTALHSSPSNES ASLVANNSSALKPHSAESLQPPVFFSSQDTKNRFSLAKNDEGTNDYAMANYLNNTPIS ENPLNEAQQQDQISQGTNNMSNERDSNSFLSTDIRLDNNGQPNMLDAADDVFIRNDGD IPANNAFDFSGNKSNASNNSNPETINNNYNDNSNSNNDGKSNNNNSDNNSNNNNNNNS NNNNNNNNNNNNDNNNNYNNSNNSNNNNNNSNDFGIKIDNNSPSYEGFSQLQIPLSQD NVNIEEKGEMSPNVESKNEQNTADSNDILGVFDQLDAQLFGKYLPLNYPSE SPAR_I00410 MASRFTFPPQRDQGIGFTFPPTNTVENSNNNNQISIDIDPSSQD RSKRVSEVPGNILPLQQSVANAPIIDIPSPIDMSEGTSSNDQLLLRQQQQQQPGEGQV PSSTFIEEQSDQNNISMILSEQQKQPNMQESAPADITAKSVAEDYVTTLRQQMATDWK SPSEYALHILFTKFIRYAENKLNMCLQQLDMVEPPIVEILGEDVDPSFDEIIKSLGHI AKKKPKPVIDAMMFWRKTKSEAANSASEEVEKLLKDYEFEKAHPSQAHFLMNRRLSRS SSNTTSKYKHNNGINSLPGMKRHASSSLTNKAPLIKASNGNNSTISSPPVANSQLKSL ENAIDVAKEEAFLADRKSLISIYILCRVLNEIVKQASSNEEEDLSDKLEEIVFTQLKT TDPLSISSSLIKSSNWNSFAELLGSMSEKKFLSVSDRFIADLEKIPAYIPPELEPSTH LLILGMRYLKLKNYPLEKFEESADFMKSLSKFFTKTENFPVCLAYAEVTNQLLLPLAG SLTAEVNHPTWVEAMSTLLNTAKRLQADNKYWVSGFKLTVSILCASPPDLFSKQWLSL LEANASKVKSKSLNERIIFAVGLSRLVWVYLYRCPETLNNTTRTLTKLLQLYLNTRKK ENWITGDFGLLNPLTDALISIGFLHPNFLMEQALIPLIRQSFNGSNLENINYEKLILT INTYKGLLVTKERPKFPEDDNRLYELNLNNITVNQVQEASSINHKEISDYFYKLFLLL DSSIGSEVWSPENQHQKQSSNAFSPFGFSFSNDNDSSKNKSFYVILFGTIIEAIPCCL SISRTIPYKSTIEILSRNAVHSEVMISSSSQNALRALASKKNPYTLVTWFAKYSFDFD EKTQSSYNMSYLSSKEYNRLLMLYVELLECWLEEFQSSNKEENKKETGLDGIRLLPID PEQEESNETEKLEWKNTVTVIEEVEGNGLFFLCSHDAKIRRLGIQILRIIFKFDEAMM EKTEKLSSGHSRSSSHFAADRGTRLIDLLNECNTTTLINPHKATLSAVEKTRFSRLNS KYKRGLLIKLAESEYGVDAALWQRAFPKLLALVFKTCPMAMALCRSIVCIRLVQVHEI ILRVANDVDFKLKNVLPETIVNQWKLYLIAACTSLTSTFDQKLHIPSNIPQHGRKKSQ QIFTVQHQKIKSAKSIFKMVLPLLNAKYIMIRDAIITGLSSMNINIFKAYVEAIDVFL VAWKEESTNNQIRVEMFHILTILSPYLKADMIFNDEWILRKLSEFLQKTKQFLEKDSV QISYEYQSLRSYFAGLILSYYMAVREHPLINELFPFQARASCFNYLKEWCGYGEYEPI SEERYAIMIKNTDNGRDRTAITTGIEFQKNRLQMIVLETMVVLCSDPITQTLDDNLEL PIVISFDTEDLLAWIEALFDSDNTTVKNLGVRALENLLDKNRENFKLFRDVAFQCVSH HSHPSVAVLYYTTLCKSVLKLDNLVLDEDELVSLGLYGLVADKEDTRTFAVDLLSAVE TKLHNSSYTKVFKERLANSSKTVYKSTAKEISSIFAELLSQDLCLRIFSSLVRILDLF PFEIKRDLLVLMVPWVNKFTLKSLEELDTFMVLNNLFYITIDLNDSLPNEVEQLWISL GKGNSFQNIHVSLEYIINSSMNHCNPLFVQYARDIVLYLANIPGGIGLLDTLLNNLEP KCMVPLAKHTFTEPMNNNKYSFLGNIWERLNYNGKRIIFSKAQLSIIFLVNLLTNLSE SVKAKIPLLLHMSICLLDHYVPLIHESACKIASTLIFGLAPSHEKSEETVKLLRNKHA LWSYDNLMKKGARSPKTMDLLIRNIISIFTDLHEFQVTWQRIALKWATTCSVRHIACR SFQIFRSLLTFLDQEMLRDMLHRLSNTISDGNVDIQGFAMQILMTLNAIMAELDPTNL ISFPQLFWSITACLSSIHEQEFIEVLSCLSKFISKIDLDSPDTVQCLVAIFPSNWEGR FDGLQQIVMTGLRSANSLEITWKFLDKLNLLKDSRIIANTESRLLFALIANLPRFLNA MDRKDFTGIQVAADSLIELANAYKQPSLSRLIDSLAKNKFRSKKDFMSQVVSFISRNY FPSYSAQTLVFLLGLLFNKIGWIRVQTLEILKYVFPLIDLRRPEFIGVGADLISPLLR LLFTEYEAKALEVLDCVPNVSGSKMDKDVLRITMGNKDVKDGDNATTTLFGLPEDSGW SVPMPTMTAATTRHNVHAVFMTCGTSKSDEVSTHGSDDMDAVIEFHADGDYELGRMDT IVEFHADGDYDLGRMDTNDSISVAEEKDASLSHMWAELDNLDSFFTKDTNVPNISSKM GIGIPHGRSDSIETTRTDQTFSFESAPQLYDKKVSVILNRSLSRTPSNVSFKTHLADS FAVKINRNGKPRI SPAR_I00420 MSGGELTSIALSSFYLSAFIVVGIDRGKRKELGFTMTPDELNSA IVTFMANLNIDDSRANETASVVTDSIVHRSIKLLEVVVTLKDYFLSEDEVERKKALTC LSTILAKTPKDHLSKNECSVIFKFYQSKFDDQALVNEVLEGFAALAPMKFVSINEIAQ LLRLLLDNYQQGQHLASTRLLPFKILRKIFDRFFFNVSSSEQVKRINNLFIETFLHIA NGEKDPRNLLLSFALNQSITSTLQNVESFKEDLFDVLFCYFPITFKPPKHDPYKISNQ DLKTALRSAITATPLFAEDAYSNLLDKLTASSPVVKNDTLLTLLECVRKFGGSSILGN WKLLWNALKFEIMQNSEGNENTLLNPYNKDQSSDDMGQYTNYDACLKIVNLMALQLYN FDKVSFEKFFTHILDELKPNFEYEKNLKQTCQILSAIGSGNVEIFNKVISSAFPLFLI NTSEIAKLKLLIMNFSFFVDSYIDLFGRISKDSLEVPVPNNKMAEYKDEIIMILSMAL TSSSKSEVTIRTLSVIQFTKMIKMKGFLTPEEVSLIIQYFTETILTDNNKNIYYACLE GLKTISEIYEDLVFEISLKKLLDLLPDYFGEKIRVNDEENIQIETILKIILDFTTSRH ILVKESITSLATKLNRVAKFAKSKEYCFLLISTIYSLFNNNQNEKVLKEEDALALKHA IEPKLFEIITQESAIVNDNYNLTLLSNVLFFINLKIPQNIHQEELERYNNLFISGGHI RILDTPNILAISYAKILSALNKNCQFPQKFTVLVETVQLLKTHAPRMTQTEKLGYLEL LLVLSNKYVPEEDVIGLFDWEDLSLINLEVMVWLTKGLIMQNSFKSSEIAKKLINLLS NEEVGSLVAKLFEVFVMDISSLKKFKGINWNNNVKILYKQKFFSDIFQTLVNNYKNTV DMTIKCNYLTALSLVLKHTPSQSVGPFINDLFPLLLQALDMPDPEVRVSALETLKDTT DKHQSLITEHISTIVPLLLSLALPQRYNNVSVRLIALQLLEMITTVVPLNYCLSYQDD VLNGLIPVLSDKKRMIRKQCIDTRQVYYELGQIPFE SPAR_I00430 MSSSLSQTSKYQATSVVNGLLSNLLPGVPKIRANNGKASVNNGS KAQLIDRNLKKRVQLQNRDVHKIKRRCKLAKKKQVKKHKYDKEQLEQLAKYQVLKKHQ QEGTLTEHERKYLNKLIKRNSQNLRSWDLEEEVRDELDDIQQYILKQTVSTANADRSK RRRFKRKQFKEDIKESDSVKDHRYPGLTPGLAPVGLSDEEDSSEED SPAR_I00440 MLQERSELMSTVMNNTPTTVAALAAVAAASETNGKLESEELPEI TIPKPRSSAQLEQLLYRYRAIQNNPKENKLEIKAIEDTFRTISRDQDIYETKLDTLRK SIDEGFDYDEELLNKHLVALQLLEKDTDVPDYFLDLPNIDNDKTTVKEVDSFGKKPVK ISTDFNAKAKSLGLELKFFNATKTALGDPETEIRISARISNRINELERLPANLGTYSL DDCLEFITKDDLSSRMDTFKIKALLELKSLKLLTKQKSIRQKLINNVASQAHHNVPYL RDSPFTAAAQRSVQIRSKVIVPQTVRLAEELERQQLLEKRKKERNLHLQKINNIIDFI KERQSEQWSRQERCFQFGRLGASLHNQMEKDEQKRIERTAKQRLAALKSNDEEAYLKL LDQTKDTRITQLLRQTNSFLDSLSEAVRAQQNEAKILHGEEVQPITDEEREKTDYYEV AHRIKEKIDKQPSILVGGTLKEYQLRGLEWMVSLYNNHLNGILADEMGLGKTIQSISL ITYLYEVKKDMGPFLVIVPLSTITNWTLEFEKWAPSLNTIIYKGTPNQRHSLQHQIRI GNFDVLLTTYEYIIKDKSLLSKHDWAHMIIDEGHRMKNAQSKLSFTISHYYRTRNRLI LTGTPLQNNLPELWALLNFVLPKIFNSAKTFEDWFNTPFANTGTQEKLELTEEETLLI IRRLHKVLRPFLLRRLKKEVEKDLPDKVEKVIKCKLSGLQQQLYQQMLKHNALFVGAG TEGATKGGIKGLNNKIMQLRKICNHPFVFDEVEGVVNPSRGNSDLLYRVAGKFELLDR VLPKFKASGHRVLMFFQMTQVMDIMEDFLRMKDLKYMRLDGSTKTEERTEMLNAFNAP NSDYFCFLLSTRAGGLGLNLQTADTVIIFDTDWNPHQDLQAQDRAHRIGQKNEVRILR LITTDSVEEVILERAMQKLDIDGKVIQAGKFDNKSTAEEQEAFLRRLIESETNRDDDD KAELDDDELNDTLARSADEKLLFDEIDKERMNQERADAKAQGLRVPPPRLIQLDELPK VFREDIEEHFKKEDSEPLGRIRQKKRVYYDDGLTEEQFLEAVEDDNMSLEDAIKKRRE AREKRRLRQNGTKENEIETLENTPEASETSLAENNSFTAAVDEEVGADKETAVSRSKR RSKRKRTITVVTAEDKDIVQEEGTFQENGGAKVEEELKSSSVEIINGPESKKKKPKLT VKIKLNKTTVLDNNDSNEAEEKPESKSPVKKTSAKKTKTKSLGIFPTVEKLVEEMREQ LDEVDSHPRTSIFEKLPSRRDYPDYFKVIEKPMAIDIILKNSKNGTYKTLDDVRQALQ TMFENARFYNEEGSWVYVDADKLNEFTDEWFKEHSS SPAR_I00450 MLRLVSSQTCRYSSRRLLKTSLLKNASTVKIARRGLATTGTDNF LSTSNATYIDEMYQAWQKDPSSVHVSWDAYFKNMSNPKVPATSAFQAPPSISNFPQGT EAAPLGTAMTGSVDENVSIHLKVQLLCRAYQVRGHLKAHIDPLGISFGSNKNNPVPPE LTLDYYGFNKHDLDKEINLGPGILPRFARDGKSKMTLKEIVDHLEKLYCSSYGVQYTH IPSKQKCEWLRERIEIPDPYQYTVDQKRQILDRLTWATSFESFLSTKFPNDKRFGLEG LESVVPGIKTLVDRAVELGVEDVVLGMAHRGRLNVLSNVVRKPNESIFSEFKGSSARD DIEGSGDVKYHLGMNYQRPTTSGKYVNLSLVANPSHLESQDPVVLGRTRALLHAKNDL KEKTKALGVLLHGDAAFAGQGVVYETMGFLTLPEYSTGGTIHVITNNQIGFTTDPRFA RSTPYPSDLAKAIDAPIFHVNANDVEAVTFIFNLAAEWRHKFHTDAIIDVVGWRKHGH NETDQPSFTQPLMYKKIAKQKSVIDVYTEKLINEGTFSKKDIDEHKKWVWGLFEDAFE KAKDYVPSQREWLTAAWEGFKSPKELATEILPHEPTNVPENTLKELGKVLSSWPEGFE VHKNLKRILKNRGKSIETGEGIDWATGEALAFGTLVLDGQNVRVSGEDVERGTFSQRH AVLHDQQSEAIYTPLSTLNNEKADFTIANSSLSEYGVMGFEYGYSLTSPDYLVMWEAQ FGDFANTAQVIIDQFIAGGEQKWKQRSGLVLSLPHGYDGQGPEHSSGRLERFLQLANE DPRYFPSEEKLQRQHQDCNFQVVYPTTPANLFHILRRQQHRQFRKPLALFFSKQLLRH PLARSSLSEFTEGGFQWIIEDVEHGKSIGTKEETKRLVLLSGQVYTALHKRRESLGDK TTAFLKIEELHPFPFAQLRDSLNSYPNLEEIVWCQEEPLNMGSWAYTEPRLHTTLKET DKYKDFKVRYCGRNPSGAVAAGSKSLHLAEEDAFLKDVFQQS SPAR_I00460 MSQLQSQPKKIAVVTGASGGIGYEVTKELAKNGYMVYACARRLE PMEQLTFQFGNDSVKPYKLDISKPEEIVTFAGFLRTNLPEGKLDLLYNNAGQSCTFPA LDATDAAVEQCFQVNVFGHINMCRELSKFLIKAKGTIVFTGSLAGVVSFPFGSIYSAS KAAIHQYARGLHLEMKPFGVRVINAITGGVATDIADKRPLPETSVYNFPEGRDAFNSR KTMAKDNKPMPANAYAKQLVKDILSTSDPVDVYRGTFANIMRFVMIFVPYWLLEKGLS KKFKLDKVNNALKLKQKNKDD SPAR_I00470 MKFSTAVTTLISSGAIVSALPHVDVHQEDAHQHKRAVAYKYVYE TVVVDSDGHTVTPAAAETASATAVAATVAVTTTSVLAPTSSEAAADSSASIAVSSAVL AKNEKFSNAATSATVLTPQGTSSSSSRAEALESSSASSSSSQEAASTSTVASTSSATQ SSASSSVAKSSTSSSSTSQSTSTSSSSSGSGSIYGDLADFSGPSEKFKDGTISCDKFP SGQGVISIDWIGEGGWSGVENTDTSTGGSCKEGSYCSYSCQPGMSKTQWPSDQPSDGR SVGGLLCKNGYLYRSNTDADYLCEWGVDAAYVVSKLSKGVAICRTDYPGTENMVIPTY VEGGSSLPLTVVDQDTYFTWEGKKTSAQYYVNNAGVSVEDGCIWGTSGSGIGNWAPLN FGAGSTGGVTYLSLIPNPNNSDALNYNVKIVAADDSSNVIGECVYENGEFSGGADGCT VSVTSGKAHFVLYN SPAR_I00480 MKQEPHKQSEEKEKPKGPMATEGEQHTSLSSGTTVTASTEDERT NRRPAGSSQTGKSISLRIRILRQLGIDDIQELDASDTGLVEQFLNVRLINDTKELEKI RESNLTKLNQIIDKCVESDKISDLTLNKILEMSMNRDTNNDNNNHLTIPSPVMTKKRK INASELASPRGHRRYRSDIPTVSEVETGVSYPQMHQQPGAYTLPMPANQWMNNPYMQP PQPQVQQIMPQYLYPPGMGPQAQLPTMNSNSESQTPVMSSQFLSLNQHGLYQQNMGAH PVMSMGPQANIYGQQQQQQPSQERDQSRKSFSHRRSQSANISMANFRSPMRNPQAASS QRPVNFLIHTPKHPPPT SPAR_I00490 MAGPTSSIMLENDFEDELAESMQSYNKETTDKLALHRTKSVNLE PEITAPPHSRFSRSFKTVLIAQCAFTGFFSTIAGAIYYPVLSVIERKFDIDEQLVNVT VVVYFVFQGLAPTFMGGFADSLGRRPVVLVAIIIYCGACIGLACAQTYAQIIVLRCLQ AAGISPVIAINSGIMGDITTRAERGGYVGYVAGFQVLGSAFGALIGAGLSSRWGWRAI FWFLAIGSGICFLASFLLLPETKRNISGNGSVTPKSYLNRAPILALPIVRKSLHLDNP DYETLERPTQLNLLAPFKILKAYEICILMLIAGLQFAMYTTHLTALSTALSKQYRLSV AKVGLCYLPSGICTLCSIVIAGRYLNWNYRGRLKNYQNWLDKKRSKLLEEHDNDPNVV QSIIENDPKYTFNIFRARLQPAFVTLILSSSGFCAYGWCITVKAPLAAVLCMSGFASL FSNCILTFSTTLIVDLFPTKTSTATGCLNLFRCILSAVFIAALSKMVEKMKYGGVFTF LGALTSSSSILLFILLRQGKELAFKRKNQELGVKQEAKLLETKEDVPFDRFTTEEEEL E SPAR_I00500 MGKQQIAVMPNAYMLRDEHEKSNRAGEDRLGLDLMDNNDTEISS PHSEVDNESNLNTVPYSRFSHNQKMLLVVQCAFTGFFSTVAGSIYYPVLTIIERKFNI TEESANFTIVVYFIFQGLAPSIMGGLADTFGRRPVVLWAILTYFCACIGLACSHDYAQ ILALRCLQAAGISPVIAINSGIMGDVTTKVERGGYVGLVAGFQVVGTAFGALIGAGLS SRWGWRAIFWFLAIGSGICLVFSTLLLPETKRTLVGNGSVTPKSFLNRSLILHVDAVR KTLHLDEPDLDALEPRTKVDFLASLKILHIREIDILLSIAGLQFSTWTTHQTALTTVL SKKYKLSVSKIGLCFLPAGISTLTSIISAGRYLNWSYRTRKVKYNRWIKEQELQLMEQ YKGDQNKVAESIHSNSHYTFNLVEARLHPAFVTLLFSSIGFTAFGWCISVKAPLAAVL CTSAFASLFSNCILTFSTTLIVDLFPSKASTATGCLNLFRCLLSAIFIAALTKMVEKM KYGGVFTFLSAMTSSSSFLLFYLLKNGKQLSFDRIRANDKSAERSAGKNSEKVPT SPAR_I00510 MYLEYLQPKLNLMDESSTINKNFPDYSPNLNTPITSNFNEETGS DSSLITPRITCSSNSNSNSNSNSNSNSNSNSGSIDENELNHSNSSSSSARQIRKKWKE PEDIAFITTIMNNSQLLTFVEYFKPMKNFWKKISKILFQQYGYERNSLQLQNTFSFVN GNIILKSQKTLKPNKNGTNDNTNNHNGDNNINNHNINNNNSNNNNNSNNMNNNINNNS NHGTNVFSTPEHIQSSIDLDKLESLPALDTKGEPSFISPAQFSLLSSAPADNLILQTP PSPFFQQTVPIQLPRDTQEQEQISPVFSADVFYMWQTMFNTIENLKEQVNSLKNEVKQ LNHKFYQQNKPLRNVPTSDSENFMQH SPAR_I00520 MSFLCGSASTSNKPIERKIVILGDGACGKTSLLNVFTRGYFPEV YEPTVFENYIHDIFVDSKHITLSLWDTAGQEEFDRLRSLSYSDTQCIMLCFSIDSRDS LENVQNKWVGEITDHCEGVKLVLVALKCDLRNSETESNAITPNNIQQDNSVSNDNGNN INSTSNTKNLISYEEGLAMAKKIGALRYLECSAKLNKGVNEAFTEAARVALTAGPVAT EVKSDSGSSCTVM SPAR_I00530 MTVITIAKRGLPKLTTAASSTTTTSSTSTITSAASSSSSSSFLS SNSTTSSITSSITPPSKNGNPYILDSGNMPNGTIFIIVGAIAGAIFLAILLWWVITTY SSHRLTRSVQDYESKMFSTQHTQFYGDSPYMDYPAKDNFQDQVHIRDSDMASGNKHES VNNALVSHTINEKALLSNFERPLSSLVSESNRNSLFISPTGDILNKTRLSKLYQESPR LLQKPLIMRSDNVSSNSLVSTISSSSTSSLDNGGEKEVGEDIKKPAKIAASPSRKLLS SSGSDHSLKSKHSKVNLLAVRSKRKPTPSTYLEHMLEGKQQDE SPAR_I00540 MVFELKRIVRPKIYNLEPYRCARDDFTEGILLDANENAHGPTPV ELSKSNLHRYPDPHQLEFKTAMTKYRNKTSSYINDPTVRPLTADNLCLGVGSDESIDA IIRACCVPGKEKILVLPPTYSMYSVCANINDIEVVQCPLTISDGSFQMDTEAVLTILK NDPLIKLVFVTSPGNPTGAKIKTCLIEKVLQNWDNGLVVVDEAYVDFCGGSTAPLVTK YPSLVTLQTLSKSFGLAGIRLGMTYATAELARILNAMKAPYNISSLASEYALKAVQED NLKKMEATSKVINEEKMRLLKELTSLDYVDDQYVGGLDANFLLIRINGGDNALARKLY YQLATQSGVVVRFRGNELGCSGCLRITVGTHEENTHLIKYFKETLYKLAKEQLK SPAR_I00550 MSSLNDEVPTETSEDFGFKFLGQKRILPSFNEKLPFASLQNLDI SNRKSLFVAASGSKAVVGELQLLREHITSDSSPLTFKWEKEISDVIFVCFHGDQVLVS TKDALHSLNLEEFSEFQTVISFESPVFQLKNVNNTLIILNSNNDLTALDLRTKLTKQL AQNVASFDVANSQLIVLLKDRSFQSFTWRNDTLDKQFEFSLPSELEEVSVEEYSPLSI TILSSQIFLVVFGNTVSETSDEPSYDQKMYIIKYVDGNASFQETFDIIPPFGQIVRFP YVYKVTLSGLIKPGVNVNVLASSCSSEVSIWDSEQVIEPSQDAERAVLPISEETDRDT NPVGVAVDVVTSGTIIEPCSGVDTIERLPLIYILNNEGSLQIVGLFHVAAIKSGHYSI NVDSLENDKSSSPTSKNIAVAGQEQKEKKKEQEREEKEENNEISKSSSANPFISANTS GFTFLKKQPATINSLQSQSSSTFGAPSFGSSAFSFDLSSAPSANSGVVSTEQSTAGST FAKPAFGKPAFGGVAEESSTSSSAFGKPSFGTPSFGSGNASAEPSASGSAFGKPSFGT PSFGSGNASAEPSTSGSAFGKPSFGTSSFGSGNASAEPSTSGSAFGKPSFGTPSFGSG NASAEPSTSGSAFGKPSFGTSSFGSGNASAEPSTSGSAFGKPSFGTSAFGTTSNDATN PGSVFGKAAFGSSSFAPATNGPFGSSSTTSKPEFGSPEQMDSISPFPSSDNQSEDESK SDAESSSTPFGANSNTSNKSNTNAFDFGSSSFGSNFSKALNSVDSDASFKFGTHASPF SSQLETKSPFSSLTKDNTGNGSLDKDSTNEINDDDEHESNSPSVSNNDLSDSTVEQTP STRLPEASSNEDSAIFGEERPKSSIGKLTETIKKSANIDMASLKNPVFGNHVKAKSES PFSAFATNINKPSSTTPAFSFGNSTINKSNTFTAAHSSERVSFSPTEETGNKEASGPG PMTLKDEENPFLPRKEEISGRSPEKDHNQEAKDAVHISGNEKPVNTPEQVCGNTKQEQ IPAAQDVYFHERSETNSKYGQHVMDDHDNKPKDISGTSENDERTDQRRKGVQGDGLLL KKDNEKEDFDSDMATKEFKDHQSVEEVVSEKDSRQSGEAEESDDNTSLNSDQDESISE SYDKLEDINTDELPHSGETFKVNDVGTSADFDVQTSLEDNYAESGIQTDLSESSKENE VQTDAIPVRHHSTQTVEKETVDNGLQTDPVETCNFSVQTFEGDENYLAEQCKPKKMKE YYIGAKISSIPLVSQDSTLRLIESTFQTVEAEFTVLKENIQNMDTFFTDQSNIPLMTR TVQSLNNLYTWRIPEAEILLNIQNNIKSEQTQITNARIQDLEEKVLNYVKRDVAEMTE DIVNAKEEYLFLRHFNDSSSGYIKDLSTHQFRMQKALRQKLFNVSAKINHTEELLNIL KMFSVKNKRLDENPLVAKLAKESLARDGLLEEIKLLREEVSRLQLEEKGKETSTLDTS SSITKDMKGFKIVEVGLAMNTKKQIGDFFKNLNLAK SPAR_I00560 MVLPFFNDISRDINGLLNRDFFHTNPLSLNISTTTENGVNFTLR AKQGVTEGPIQTSVEGRFNDRKEGVSLSQGWSNQNRLNTRIEFSKIAPGWKGDVNASL TPQSIKNAKFNLSYAQKSLTARSSIDVLQPKDFVGSVTLGHRGFVSGTEIAYDIAGGL LARYAMSLGYLSRDYSFILSTNNRQCATATFFQNVNRFLQVGTKATLQSKENPNMNVE FVTRYVPDPISQVKAKIADSGLATLSYKRDLNKDTSLGVGMSFNALQLTDPVHKFGWS LSFSV SPAR_I00570 MNIYTSPTRTSSIVSKSGQRPCLPMLATDGKTMDKGSPNEDCES VPCSGLDVRKIYPKGPLLVLPERIYLYSEPTVREVLPFDVVINVAEETNDLQTQVPAV EYHHYRWEHDSQIMLDLPSLTSIIHAAATKREKILIHCQCGLSRSATLVIAYIMKYHH LSLRHSYDLLKSRADKINPSIGLVFQLMEWEVALNAKNNVQANGYRKVP SPAR_I00580 MNDANTKQPLKKRSLSSYLSNVSTRREELDKISKQETPEEEDTA NKQRQRETLSEEVNDKSQDTNVSFRSQGAIVHDATPDNLDAKELKDMIQENNSSSHER EVGEDSRPNDVPETNGKMSQAMRATSLPPSNVRNVDIENHQPFSRDQLRAMLKEPKRK TVDDFIEEEGLGAVEEEDLSDEVLEKNTTEPDNEKRDVEYSDSDKDTDDVGSDDPTAP NSPIKLGRRKLVRGDQLDATTNSMFNNESDSELSDIDDSKNIAISSSLFRAGSSPVKE TNTNLLNMNSSPAQNANRGSVSKTNDNNKSSHIAVSKRPKQKKGIYRDSGGRTRLQIA CDKGKYDVVKNMIEEGGYDINDQDNAGNTALHEAALQGHIDIVELLIENGADVNIKSI EMFGDTPLIDASANGHLDVVKYLLKNGADPTIRNAKGLTAFESVDDESEFDDEEDQKI LREIKKRLSIAAKEWTNGTSIHNDNSKNGDNTHTTDRTLYDNTTKTENEKAAESPVIV STIEEKAPEEEFYWTDVTSRAGKEKLFKASKEGHLPYVGTYVENGGKIDLRSFFESVK CGHEDITSIFLAFGFPVNQTSRDNKTSALMVAVGRGHLGTVKLLLEAGADPTKRDKKG RSALYYAKNSIMGITNSEEIQLIENAMISHSKKHPKINNDDDDDDDNDNDDVTYKHEK RREKTPSPMPTSQRSTSPKIEDEEHDTIMPDVADNDISNDHNVKNFITSDSRKRSEDN ENVGIQYPLDWKKRKTIALQDEEKSKSVSPLSMESHSPRKVKSVEVSKIHEETVAERE ARLKEEEEYRKKRLEKKRKKEQELLQKLAEDEKKRIEEQQKQKILEMERLEKATLEKA KQMEREKEMEEVSYRRAVRDLYPLGLKIINFNDKLDYRRFLPLYYFVDEKNNKLVLDL QVIILLKDMDLLSKDNRSTSEKFPVDPTHLAPLWNMLKFIFLYGGSYDDDKNSMNNKR FVVNFDGVDLDTKIGYELLEYKKFISLPMSWIKWDNVVIEDSAKRKEIEESMIQISID EFTKSRNDKLNNAQQPTRKRRCLKIPRELPVKFQHRVSISSILQQTSKEPFW SPAR_I00590 MPNLEQKEIADNLIERQKLPWKTLNNEEIKAAWYISYGEWGPRR PVHGKGDVAFITKGVFLGLGISFGLFGLVRLLANPETPKTMNREWQLKSDEYLKSKNA NPWGGYSQVQSK SPAR_I00600 MSFSFGFTSNDFEDDELVAQPEAFVESSKENENTTAYTNPLDSD FLLQAGVVQPNVEDLETILQSLKDVRLTFEEFRSPIYEKLLIRRELFDVKHQLMLETD TQSNDNSTELDILLGDTSEDLRKNIYEGGLKSWECSYDLVDLLSEKADRISNNIDAVM EIGCGTALPSEFLFRSALLRNDKSKVLKFVLTDYNASVLRLVTIPNLVITWAKTILTN AEWYALQKDECEDVPINSEELLLSSKLLAAFYNDIRSRNISVILISGSWGRKFSNLIH EVLSDSKKVLSLTSETIYQPDNLPVIAETILDIHKLPQTDVKTYVAAKDIYFGVGGSV TEFETYLDGKINSENLPIRSERFKVNSGLKRSIICIETNKISQ SPAR_I00610 MSHHKKRVYPQAQLQYGQSATPLQQPAQFMPPQDPAAAGMNYGQ MGMPPQAAIPSTGQQQFLTPAQEQLHQQIDQATTSMNDMHLHNVPLVDPNAYMQPQVP VQMGTPLQQQQQPLAAPSYGQPSAAMGQNMRPMNQLYPIDLLTELPPPITDLTLPPPP LVVPPEKMLVPSELSNASPDYIRSTLNAVPKNSSLLKKSKLPFGLVIRPYQHLYDDID PPPLNEDGLIVRCRRCRSYMNPFVNFIEQGRRWRCNFCRLANGVPMQMDQSDPNDPKS RYDRNEIKCAVMEYMAPKEYTLRQPPPATYCFLIDVSQSSIKSGLLATTINTLLQNLD SIPNHDERTRISILCVDNAIHYFKIPLDSENNEESADQINMMDIADLEEPFLPRPNSM VVSLKACRQNIETLLTKIPQIFQSNLITSFALGPALKSAYHLIGGVGGKIIVVSGTLP NLGIGKLQRRNESGVVNTSKETAQLLSCQDSFYKNFTIDCSKVQITVDLFLASEDYMD VASLSNLSRFTAGQTHFYPGFSGKNPNDIVKFSTEFAKHISMDFCMETVMRARGSTGL RMSRFYGHFFNRSSDLCAFSTMPRDQSYLFEVNVDESIMADYCYIQVAVLLSLNNSQR RIRIITLAMPTTESLAEVYASADQLAIASFYNSKAVEKALNSSLDDARILINKSVQDI LATYKKEIVVSNTAGGAPLRLCANLRMFPLLMHSLTKHMAFRSGIVPSDHRASALNNL ESLPLKYLIKNIYPDVYSLHDMADEAGLPVQTEDGEATGTIVLPQPINATSSLFERYG LYLIDNGNELFLWMGGDAVPALVFDVFGTQDIFDIPIGKQEIPVVENSEFNQRVRNII NQLRNHDDVITYQSLYIVRGASLSEPVNHASAREVATLRLWASSTLVEDKILNNESYR EFLQIMKARISK SPAR_I00620 MVGSKDIDLFNLRENEQIVSPCLIVHGKCNKPNGAKTVQVQHPQ LPPITYPIHNQFFKATVILTPGENKLTFVTDTNTARTIVCYYTPLTQNPPVHLCLILA KDSPQQFDSPQEQKDREGGNGLELAIKKLRLGARLMQAYTNEQMLRNSMGNRTFPFVE EFTWDTLFEKPAMRNTIKIHVIRSEKTVKEIQDPDIAQQNSKGKNTGALFGIAMDALK SYGGPFTNNEKPVQAACMFLDTHWDGKLIRGHAALGGGDDSIKLAIFGSHGLYSWPSC LEQLVPYFTDETRSSTSEVANDCNECGTYWECLTITLGAFMHEIGHLLGCPHQESGVM LRGYTTLNRSFLTKEAYSVRTNSTGASPPIFPKEECTWNRLDTVRFLYHPSFTLPQDY YDPSFMRPTKLGGYPNIKHSVYPLGNGGCRISSPTGIYLIEIICDDLAKGHIEYLPVS LGGQGPQREVMVTLDDLRSRLPKNEIAKFGDTFKLKILSVNAPETEFDNFPSLLDVQP LDMSKYGFSKNVQGIKSPLYGRSDGGNDVGIVAFDVRLVTAVRIYHGYALDGVRFYYK EEQTPIKHAPAAKPSVPPRNYFSKITRSIKSHASINEGNLKSVLFGHETQNFTDATLE PGEIIIGFNLRCGAWVDAIQIITSHGRMTDMFGNKNGGGFAELQPPNGQYILGVTGKV GQWVDAFGIIYGAL SPAR_I00630 MFKPVDFSETSPVPPDTDLAPTQSPHHVAPSQDSSYDLLSRGSD DKIDAEKGPHDELSKHLPLFQKRPLSDTPISSNWNSPRITEENTPSDSPENSATNLKS LHRLHINDETKLKDANIPMDDVTDYIPPSDGANEVTRIDLKDIKSPTRHHKRRPTTID VPGLTKSKTSPDGLISKEDSGSKLVIVMVGLPATGKSFITNKLSRFLNYSLYYCKVFN VGNTRRRFAKEHGLKDQDSKFFDPKNSDSTRLRDKWAMDTLDELLDYLLEGSGSVGIF DATNTSRERRKNVLARIRKRSPHLKVLFLESVCSDHALVQKNIRLKLFGPDYKGKDPE SSLRDFKSRLANYLKAYEPIEDDENLQYIKMIDVGKKVIAYNIQGFLASQTVYYLLNF NLADRQIWITRSGESEDNVSGRIGGNSHLTPRGLRFAKSLPKFIARQREIFYQNLMLQ KKNNENPDSNLYNDFFVWTSMRARTIETAQYFNEDDYPIKQMKMLDELSAGDYDGMTY PEIKNNYPEEFEKRQKDKLRYRYPGIGGESYMDVINRLRPVITELERIEDNVLIITHR VVARALLGYFMNLSMDIIANLDVPLHCVYCLEPKPYGITWSLWEYDEMSDSFSKVPQT DLNTTRVKEVGLVYNERRYSVIPTAPPSARSSFASDFLSRKKSNPTSASSSQSELSEQ PKNSVSAQTGSNNTTLIGSKINIKNDNSDSRIPLSAPLMTTNASNNILDGGGTSISIH RPRVVPNQNNVNPLLANNNNVASNAPNVKKSTATARQMFEIDKVDEKLSMLKNKSFSL HGKNYSTDADDNDNEDIRAKTMTRSQSHV SPAR_I00640 MSFLQNFHISPGQTIRSTRGFKWNTANAANNTGSVSPTKPNPQN NDINNSNNVNTINSRTDFTNTPVNEYKGGDHGRMSPILTTPKRHAPPPEQLQNVTDFN YTPSHQKPFLQPQAGTTVTTHQDIKQIVEMTLGSEGVLNQAVKLPRGEDENEWLAVHC VDFYNQINMLYGSITEFCSPQTCPRMIATNEYEYLWAFQKGQPPVSVSAPKYVECLMR WCQDQFDDESLFPAKVTGTFPEGFIQRVIQPILRRLFRVYAHIYCHHFNEILELNLQT VLNTSFRHFCLFAQEFELLRPADFGPLLELVMELRDR SPAR_I00650 MSKNNTMTSAVSDMLSQQQLNLQHLHNLQQHTRSMTSADHAAVL QQQQQQQQQQQQQQQQQNTSFQNGSLTSADINQQNYLNGQPVPSTSNSTFQNNRTLTM NSGGLQGIISNVSPNIDSNTNVTIAAPDPNNNNGKLLQGKNSLTNTSILSRARSSLQR QRLAQQQQQQQDPRSPLVILVPTAAQPTDILAARFSAWRNVIKSVIVYLTEIASIQDE IVRQQLRLSHAVQFPFFSIENQYQPSSQEDKSVQKFFLPLGNGSIQDLPTILNQYHES LASSASKASRELTNDVIPRLEDLRRDLIVKIKEIKSLQSDFKNSCSKELQQTKQAMKQ FQESLKDARYSVPKQDPFLTKLALDRQIKKQLQEENFLHEAFDNLETSGAELEKIVVM EIQNSLTIYARLLGQEAQLVFDILISKLDSGFFNVDPQFEWDNFISRDPNFLLPNLPM RTFKEIVYKYQFDPLTYEIKSGFLERRSKFLKSYSKGYYVLTPNFLHEFKTADRKKDL VPVMSLALSECTVTEHSRKNNTSSPNSTGSDAKFVLHAKQNGIIRRGHNWVFKADSYE SMMSWFDNLKILTSTSNIQDKYKFITQKLNLNSDGKPKLTNNYTSSKKYQQNNADSTV VENDENDDINSNYVGSTVTPKLDNQTNTNTSMSSLPDTNDSELQDQVPNIYIQTPIND FKS SPAR_I00660 MITPRFNITQDEEYIFLKIFISNIRFNAMGLEIVIQENMIIFHL SPYYLRLRFPHELVDDERSTAQYDSKDECINVKIGKLNKNEYFEDLDLPTKLLARQGD LAGADALTENIDAKKAQKPLIQEVEVDGASNSFKEDVKTIGQMGEGFNWEIEQKMDSI INNGILKTKYGFDNLYDTFISVSVSNGNDINELDDPEHTEANNRVIERLRKENLKFDP EYYISEYMTHKYGNEEDLEINGIKELLKFTPSIVKQYLQWYKDSANPNLVMPVEFTER EQKQMQDHLPKKSYLVEDIKPLYVTILSVLFSYMFEQLENEGTHTTESAWTMGKLCPQ ISFLDQQLKQMNEPQDGMKEIFNGNKDSSLIKIAIITGTRRALSYPLHRNYDLVMKVW TFVYYILRGGKRLVIRALLDIHETFRFHDVYYVYDKVLLDDLIAWFISQGSENVIRSL AMEMRKEQESISKRDIEFECIASFNEQTAEPEWETLNLREMEILAESEYREQQQNQH SPAR_I00670 MSGSTEPKKQPRRRFIGRRSGNGNNDKLTTVAENGNEVVHKQKS RIALARSINHVPEDILNDKELNEAMKLLPSNYNFEIHKTVWNIRKYNAKRIALQMPEG LLIYSLIISDILEQFCGVETLVMGDVSYGACCIDDFTARALDCDFIVHYAHSCLVPID ITKIKVLYVFVTINIQEDHIIKTLQKNFPKGSRIATFGTIQFNPAVHSVRDKLLNDEE HMLYIIPPQIKPLSRGEVLGCTSERLDKEQYDAMVFVGDGRFHLESAMIHNPEIPAFK YDPYNRKFTREGYDQKQLVEVRSEAIKVAQRGKVFGLILGALGRQGNLNTVKNLEKNL TAAGKTVVKIILSEVFPQKLAMFDQIDVFVQVACPRLSIDWGYAFNKPLLTPYEASVL LKKDVMFSEKYYPMDYYEAKGYGRGQTPKHAIEYFK SPAR_I00680 MNRFVIICLFFTYYLIWSLLPIFEIENCNPVVSLLFPISSNVAI FLPIFLLLIGFTLTGSVLGVLLLCSDKKKKCLT SPAR_I00690 MSARVAYLSCACTCVSAYFHIYIYIYYSCTSVQLARSRFAYSSS PLTTASLKYIIINSFSNMKYPTFSIDSDTVRLTDNPLDDYQRLYLVSVLDKDSPPASF GAGLNIKRANYKSSIAAQFIHPNFITGTPDTGNGEEAAAQNVLNCFEYQFPNLQTIQS PIHEESLLSQLANSAAPHSPLHLHGKNILMGKIILPSRSNKTPVSASPTKQEKRMLSS ASRGENATSSLTKNQQFKLTKLDHNLINDKLINPNNCVIWSHDSGYVFMTGIWRLYQD VMKGLINLPRSDRVSTSQQQFFCKAEFEKILSFCFYNHSSFTSEESSSVLLSSSTSSP PKRRKSTGSTFLDANASSSSTSSTQANNYIDFHWNNIKPELRDFICQSYKDFLINELG PDQIDLPNLNPANFTKRIRGGYIKIQGTWLPMEISRLLCLRFCFPIRYFLVPIFGPDF PRDCESWYLAHQNVTITNSTNSTAANTTTTNATPSALARPRQKSRPKPRQRSTSMSHS KAQKLVIEDALPSFDSFVENLGLSSNDKNFIKKNSKRQKSPTYSSSTSSPIGPRDPTV QILSNLASFYNTHGHRYSYPGNIYIPQQRYSLPPPNQLSSPQRQLNYTYDHIHPVPSQ YQSPRHFNVPSSPIAPAPPTFPQSYGDDHYHFLKYASEVYKQHNQRPANNTNTNMDTS SSPRANNSLNNFKFKTNSKQ SPAR_I00700 MTRLRMFYNKLLGTLAIGVGLAWALENTTIYEFDFGKDILDQSY RDIFSDNASSQVQLRDVVLMNGTVVYDSRGSWDSSALDEWLQAQRNVSVERIFENIGP SALYPCIWPGVVIASPSQSHPDYFYQWIRDSALTINSIASHSAGPAIETLLQYLNVSF HLQRSNNTLGAGIDYTNDTVALGDPKWNVDDTAFTGDWGRPQNDGPALRTIAILKIID YIKQSGTDLGGEYPFQSTEDIFDDIVRWDLRFVIDHWKSSGFDLWEEVNGLHFFTLLV QLSAVDKSLSYFSDSERSSLFVEELRQTRQDISDFLIDPANGFINAKYNYVVGTPMIA DALRSGLDISTLLAANAVHDTPLASHLPFDINDPAVLNTLHHLMFHMRSIYPINESSK NTTGIALGRYPEDVYDGYGVGEGNPWVLATCTASTTLYQLIYRHISEQQDLVVPMNNE TSNAFWSELVFSNLTTLGNDEGYLILEFNTPAFNQTIQKIFQLADSFLIKLKAHVGAD GELSEQFNKYTGFMQGAQHLTWSYTSFWDAYQIRQEILQRL SPAR_I00710 MDRARALHAYRGLIRAILKYERPSKIINWGNLRKAMLTKLEYSK KQNPKSSHEDTNRQLEKWKRLDPANDRSLNLFIADSKLLRSILQNDIKWEEKVVQRQN IDEIFEHSFDIIKFLDNQREYEELVDRYNPGKKLTQDEKVKRTANVVGLDVPT SPAR_I00720 MAERSIFNEPDVDFHLKLNQQLFHIPYELLSKRIKHSQAVINKE TKSLHEHTGAVNEIFEHNDVEHDRLALVKITEMIRKIDHIERFLNMQIKSYCQILNRI KKRLEFFHKLKDIKSQNSETLHDGNNDSTRKKLVQWYQSYTNILIGDYLTRNNPIKYN SETNEHWNSGVVFLKQIQLDDLIDYDVLLEANRISTSLLHERNLLPLISWINENKRTL TKKSSILEFQARLQEYIELLKVDNYTDAIVCFQRFLLPFVRSNFTDLKLASGLLIFIK YCNSQKPTSSTGSGFNAEEIKSQNLPMKKDRIFQHFFRKSLPRITSNPAANTTDYDKS SLINLKNGDFERYLDLLDDERWSVLNDLFLSDFYSMYGISQNDPLLIYLSLGISSLKT RDCLHPSDDEDGNKEPEVAITVEKEVEDLQVFTLHSLKRKNCPVCSETFKPITQSLPF AHHIQSQLFENPILLPNGNVYDSKKLKKLAKTLKKQNLISLNPGQILDPVDLKIFCES DSIKMYPT SPAR_I00730 MARKLKGKIGSKGLKGALLRHQAKIKLVKNIESKQKHELRKKNP NANNKKVKRNQELQKLNQGKFIPFEKDETLMLCGEGDFSFARSIVEQGYIESNNLIIT SYDNSVNELKLKYPHTLEENYQFLKDLNIPIFFQIDVTKLVKSFKISKNNSWFKTINR LSDHRWGNKPLQNIVFNFPHNGKGIKDQERNIREHQELIFTFFQNSLQLFNLINTKVQ NDTLRYTQGYDLNENTPQAKKLTAEGYGNIILSIFDGEPYDSWQIKLLAKKNGLTLSR SSKFQWENFPGYHHRRTNSEQDTTKPAKERDARFYIFSKYISNSSKRNRKSKKGFDSD SD SPAR_I00740 MNAPQISLYEPGTILTVGSHHAKIIKYLTSGGFAQVYTAEISPP DPYSNATIACLKRVIVPHKQGLNTLRAEVDAMKLLRNNKHVVSYIDSHAARSVNGIAY EVFVLMEFCERGGLIDFMNTRLQNRLQESEILEIMSQTVQGIAAMHSLQPPLIHRDIK IENVLISHDGLYKVCDFGSVSGVIRPPRNTQEFNYVQHDILTNTTAQYRSPEMLDLYR GLPIDEKSDIWALGVFLYKICYYTTPFEKSGEAGILHARYQYPPLPQYSDRLKNLIRL MLMEAPSQRPNICQVLEEVSRLQNKPCPIRNFYLLRAMNQNAQLVSEPPLTNYVPTQK FLPVQSLQSIEQTPNMVPVSHVRTTPNLGILSRPINDSNKTEATAQAGLQLGSDSNLT SPLMKTKSVPLSDDFASLYYRELHPFQKSQTFKSVDNFQSPQRKSMPPSLLAPANSNN LDKASTKNRPNNYVDSETQTIDSKAVPNLKLSPTITSKSVSSTKEPNALENVNGSNIV RSLSSKLKKVITGESRGNSPIKSRQNTGDSIRSAFGKLRHGFTGSSVNNSRSASFDNN NGNGNGNNVNRRLASSSTLSFPNFNSDIKRQEELDKKQQLEKRRSMPPSILSDFDQHE KNNSRTRSRDYYRSHSPAKKPQATAKTAPKPILKPDDGDISINQEKKESIQRRVHNLL KSSEDPLTYKSASGYGKYTDIDVETTNRHSSVRITPITEEQFKNNHKNAAADKKVRSN GKDKDKSRPPRPPPKPLHLRTELQKIRNFSRLQSNKLPVERISSDATETIVDVNVDEL EADFRKRFPSKV SPAR_I00750 MFRSVATRLSACRGLASNAARKSLTIGLIPGDGIGKEVIPAGKQ VLENLNSKHGLSFNFIDLYAGFQTFQETGKALPDETVKVLKEQCQGALFGAVQSPTTK VEGYSSPIVALRREMGLFANVRPVKSVEGGKGKPIDMVIVRENTEDLYIKIEKTYIDK ATGTRVADATKRISEIATRRIATIALDIALKRLQTRGEATLTVTHKSNVLSQSDGLFR EICKEVYESNKDKYGQIKYNEQIVDSMVYRLFREPQCFDVIVAPNLYGDILSDGAAAL VGSLGVVPSANVGPEIVIGEPCHGSAPDIAGKGIANPIATIRSTALMLEFLGHNDAAQ DIYRAVDANLREGAIKTPDLGGKASTQQVVDDVLSRL SPAR_I00760 MKIQTNAVNVLQRTSAYLKSGLLKETPAWYNVVASIPPSTKFTR EPRFKNPSNGHIIGKLVDVTEQPHVNNNGFYKTRPNTRDKRVGVKRLYKPPKLTYVED KLRTLFYKQHPWELSRPKILVENEIGDEDYDWSHMLQIGKPLDGESVIQRTMHLLKTK QCEDMVEAYDHARYEFYALRMQEETEQQVALEEAEMFGSIFGVSAIEHGIQKEQEVLD VWEKKVVEETELMAARSSNPAGSWKDDTTLDTAQEEEPTTSENLHF SPAR_I00770 MAYKSGTNNRSNIITNGSTLPPRSNKKAYSRRKKKSVSMPIIYK SFCDTRQIDDDQQAFKMLDKVSHLKKFSAEEGDDDNTFVQWADDITDTLSGLCCTGTF LKLLISSALSGRAKNWFDSATEGIDDYVIKTYDFGKFLALLSEEFDGAKFLRRESFTE LLKLSIDSEKSLETFAYISGRLTPYYLSSGAALDLFLSKLEPHLQKQLGNSAFPMTLD VALLMTACEFAKGASSHRKHRNKNTRDFDINSPKIKSAAKVSKISNTKNMNENSVIEK SDRKNYSNKNELQIPDTKLRKRNGRGVQLSLFVAEHKKTLPYENFSANAYASKNGQSN LIDPFDLHTHLRNGESRLYALNAISTQNNDRSIPGTTNDNLINDEVANMRKQITINNE RTDEITPSLNLSRCAVKRNSLQLVSPNTFKGSAEIQEPKMKRVLGSDISIGSSQTMYV FSHPGTPTVANPARKNEISESCQINDTVHSNPFTANENEKISNLSESFKNPVASMEIN RLSSTAGLKKISESVHRENKRPNLSTQKSYPLHNFAVRTRNAHFNDRPSNYTSAHEIT DATCRLSPSINSIQCLTGPKSRDAETNKATISSYMVAQDEKAAKSKNVETKSRKFPNV INPFLTNTVNKKKKDYYYM SPAR_I00780 MSDSPVREKNDNFRGYRKRGRQELRKIKRSSARKDGSTEEADHV AEDTGRRTDEAEMSDIGSGDDIDVEGVEEKKEKVYDALLTILKSEHPEPKRRKRKIDE SNEATTQAGETEDKNSEYEPVDDQLEIENGLLGNQENDNDDDSNEDEKDDIDSEDEQD PFESHFNQVPEKYVDDLSTAFKTKSIKYKSVKGPLGDNESYIYAKPVVIGEEALVESP YRSSSIYSYFLKQRLKVQNGLLDKKIDPLTALQKKLVDPMFQYKDILYEYDSYEKDED EYRDLYALHVLNHIYKTRDRILKNNQRLQDNPDTEHLDQGFTRPKVLIVVPTREAAYR VVDKIISKSGIDQVDKKGKFYDQFRDDSLPPKSKPKSFQHIFRGNTNDFFVVGLKFTR KAIKLYSNFYQSDIIVCSPLGIQMILENTDKKKRQDDFLSSIELMVIDQLHSIEYQNI SHIFTIFDHLNKIPDQQHEADFSRIRMWYINDQARLFRQTIAFTKYVSPAANSLINGR CRNMAGRWKNHKVIESENSSIGQSGLKIRQIFQRFDIIGNSIIEEPDYRFKFFTSVII PSIVKSTGYEDGILIYIPDYTDFIRIRNYMKEKTTILFGDINEYSSQRQLNANRSLFQ QGRLKVMLYTERLHHYRRYEIKGVKSVVFYKPPNNPEFYNETVRFIGKNAFLGNTDLN ISTVRCIYSKLDGLSLERIVGTKRAAVLSHAQKEVYEFK SPAR_I00790 MTSLSKSFMQSGRICAACFYLLFTLLSIPISFKVGGLECGLSFT VTLFTLYFITTTLNVLARRHGGRLYIFLTSCLYYSQHFIIASLLYLFLSGFSNDELGN VLKNKSNESESFLEALKNSLNSNQINYVLYYYYYRFVVQPWQFVLTKSTPFFTLSEGF FTILAIQAVGETNRWLSNDLNSNTWIISSLLASGGVITASLYYLYRIYVTPIWPLSIQ TASLLGFVLSMVCGLGLYGIVSQKGSVIESSLFFAYIVRCIYEISPKLATTATDEILD LFKDVWQKHQRNLPTADNLLCYFHNVILKNAEMLWGSFIPRGRKKTGDFHDKLISILS FEKVSLISKPFWKFFKNFTFSVPLSINEFCQVTIKMASESVSPAIVINLCFRVLMFYS ATRIIPALQRKNDKQLRKSRRIMKGLYWYSPCILIAMYTHLILQYSGELKKDLCIWGC SEKWFGSDQPEIIVDSWGFWNWCNIFCTVLVYATELIGSGS SPAR_I00800 MEATSSALSSTANLVKTIVGAGTLAIPYSFKSDGVLVGVILTLL AAITSGLGLFVLSKCSKTLINPRNSSFFTLCMLTYPTLAPIFDLAMIVQCFGVGLSYL VLIGDLFPGLFGGERNYWIIASTVVIIPLCLVKKLDQLKYSSILGLFALAYISILVFS HFVFELGKGELTDMLRNDICWWKIHDFKGLLSTFSIIIFAFTGSMNLFPMINELKDNS MENITLVINNSISLSTALFLIVGLSGYLTFGNKTLGNLILNYDPNSIWIVIGKFCLGS MLILSFPLLFHPLRIAVNNVIIWIEITFGDADPEEDPQVSEYTRASNLRPISMTVEDP AQSNNALDATSYNEQERLLPNGTFDNGSIESQENSNNERGTMAVAGDNEHHAPFVKFR FYWITALLLISMYTLALSVESFALVLSLVGATGSTSISFTLPGLLGYKLIGSDSLAIG KMIPPRDRFYKRCSLLLVFYGISVMFLSLYVTVFNRSDEA SPAR_I00810 MSANPVTDDAKDELLSPFRRLYALTRTPYPALANAALLASTPVL SPSFKVPPTQSPALSIPMSRVFSRSSTPRVGITTKTALFFSTMQAIGAYMIYDNDLEN GAGFIATWSALYLIVGGKKSFSALRYGRTWPLVLSSVSLANAVLYGQRFLATGFQ SPAR_I00820 MAIRLKPKVRRFLLDKYRQKRYVFLFLGCVFAILYRLGNWPFSA KDIVHDPNNLPYSFQDYSTDKDEPFFRGCADTNLYLQNPDYSKMNASFVMLTRNEEMD DVLKTMRSIEGHFNQWFKYPYVFLNDEPFTDYFKDQIQAATNASVEFGLVDEITWEFP AEVRNSLQFKAALEDQNDRGIMYGNMESYHKMCRFYSGLFYKHPLVSKYEWYWRIEPD VDFFCDISYDPFFEMAKNNKKYGFTVLITELYWTVPNLFRTTKSFIKKTADLKDNLGT LWKLFTFNYNILDTEDEEISRWVNFPWEAKPKLTEKLMVDFLLENRAQVNNGEDLEGI QYLVERARSKVPLLEDSIEGEDYNLCHFWSNFEIARVDLFDNEIYNAYFKFLEESGGF WTERWGDAPIHSIGLGMILDLEDVHYFRDIGYRHSSLQHCPKNALQSQENSNTFDKGY NFGCGCRCVCPKKEEDIEDNSSPCMDIFFELLHGKEYEKDFPGCYKPSIKDKDVIEEV RRENFRVIE SPAR_I00830 MAIQKVSNKDLSRKDKRRFNIESKVNKIYQNFYLERDNQYKDRL TALQTDLTSLHQGDNGQYARQVRDLEEERDLELVRLRLFEEYRVSRSGIEFQEDIEKA KAEHEKLIKLCKERLYSSIEQKIKKLQEERLLMDVANVHSYAMNYSRPQYQKNTRSHT ISGWDSSSNEYGRDTANESATDTGAGNDRRTLRRRNTSKETRGNNNNQEESDFQTGNG SGSNGQGSRQGSQFPHINNLTYKSGMASDSDFLQGVNEGTDLYAFLFGEKNPKDNGNG NEKKKNRGAQRYSTKTAPPLQSLKPDEVTEDISLIRELTGQPPAPFKLRSE SPAR_I00840 MPPLPVLNRPQIHTSVTEISHAIDRTIKEELFPVAYTTEEEQYF KTNPKPAYIDELIKDAKEFIDLQYSLKRNKIVLITSGGTTVPLENNTVRFIDNFSAGT RGASSAEQFLANGYSVIFLHREFSLTPYNRSFSHSIDTLFLDYIDSEGKIKPEFAENV LKNKKLYEKYMEKEEKLLLLPFTTVNQYLWSLKSIAKLLNNSGCLFYLAAAVSDFFVP YSRLPQHKIQSGDNSKMGANNDTDGTTRTTPDGKLIVNLDPVPKFLRRLVESWATQAM IVSFKLETDESMLLYKCTQALDRYNHQLVIGNLLQTRNKQVIFVSPENRKGDWVRLDE KHHSIEEMIIPEVIARHDQWVAHSKTKLATK SPAR_I00850 MSTLLSEVESMDSLPFVKDTTPTGGDSSSFNKLLAPSIEDVDAN PEELRTLRGQGRYFGIKDYDSNGAIMEAEPKCNNCSQRGHLKRNCPHVICTYCGFMDD HYSQHCPKAIICSNCNANGHYKSQCPHKWKKVFCTLCNSKRHSRERCPSIWRSYLLKT KSANNGDFDFQTVFCYNCGNGGHFGDDCAERRSSRVPNTDGSAFCGDNLAVKFKQHYF NQLKDYKRATSEGQNFDSEQEFNLFDYEYNDDAYDLPGSRNYRDKMKWKNKMQSTRNK NSNNNSYENSNNRKRKSPFSPQSYKVTKNKKVQAHPLDFPRNTQNSRTSDYSSRSNYN RQDFPRGPKNKRGPPSFNKAQRNGRY SPAR_I00860 MSASEAGVAEQVKKLSVKDSSNDAVKPNKKDNKKSKQQSLYLDP EPTFIEERTEMFDRLQKEYNDKVASLPRVPLKIVLKDGAVKEATSWETTPMDIARGIS KSLADRLCISKVNGQLWDLDRPFEGGADEEIKLELLDFESEEGKKVFWHSSAHVLGES CECHLGAHICLGPPTDDGFFYEMAVRDSMKDISESPERTVSQADFPGLEGVAKNVIKQ KQKFERLVMSKEDLLKMFHYSKYKTYLVQTKVPDGGATTVYRCGKLIDLCVGPHIPHT GRIKAFKLLKNSSCYFLGDATNDSLQRVYGISFPDKKLMDAHLKFLAEASMRDHRKIG KEQELFLFNEMSPGSCFWLPHGTRIYNTLVDLLRTEYRKRGYEEVITPNMYNSKLWET SGHWANYKENMFTFEVEKETFGLKPMNCPGHCLMFKSRERSYRELPWRVADFGVIHRN EFSGALSGLTRVRRFQQDDAHIFCTHDQIESEIENIFNFLQYIYGVFGFEFKMELSTR PEKYVGKIETWDAAESKLESALKKWGGNWEINAGDGAFYGPKIDIMISDALRRWHQCA TIQLDFQLPNRFELEFKSKDQDSESYERPVMIHRAILGSVERMTAILTEHFAGKWPFW LSPRQVLVVPVGVKYQGYAEDVRNKLHDAGFYADVDLTGNTLQKKVRNGQMLKYNFIF IVGEQEMNEKSVNIRNRDVMEQQGKNATVSVEEVLNQLRNLKDEKRGDNVLA SPAR_I00870 MLGKGEQQYVQNGQEMENELPFMKRPWFKKAYEKAIEFHEKDEL LDARDRLELSKAYRSIAKAEMWGGWLGFSAVFLTPFAYRYYKTNAIRGVKVPRNFVLG VMALFFATNVAGRSMYTRQLNERDPTGVLKDNYSNKYGDSDFEAAQPDQTKEVSRNQR QYDMMRLLDLGSPSRWSMYFYITYQNPERRLPDPKVKLQQMKKGGFFNGSPFMNQRDP IGLYRNKGRESPDPADGGQDDSHALSSWEKIRNGDNGSLTSWEIIRNTRRNQSQKPDA SVNGGSDMFISGLSDGGDSTDNISSDDRYQRLLQSERNGENRS SPAR_I00880 MDYFNIKQNYYTGNFVQCLQEIEKFSKVTDNTLLFYKAKTLLAL GQYQSQDPTSKLGKALDLYVQFLDKKNIEELESLLKDKQSSPYELHLLATAQAILGDL DASLETCVEGIDNDETEGTTELLLLAIEVALLNNNVSTASTIFDNYTNAIEDAVSGDN EMILNLAESYIKFATNKETATSNFYYYEELSQTFPTWKTQLGLLNLHLQQKNIAEAQG IVELLLSDYYSVEQKEHAALYKPNFLANQITLSLMQGLDTEDLTNQLVELDHEHAFIK HHQEIDAKFDELVRKYDISN SPAR_I00890 MSLTTAAPLLALLRENQDSVKTYALESINNVVDQLWSEISNELP DIEALYDDDTFSDREMAALIASKVYYNLGEYESAVKYALAAKDRFDIDEQSQFVETIV SKSIEMYVQKASKQYTEDEQFYTKDTIDPKLTSIFERMIKKCLKASELKLALGIALEG YRLDIIESALKNKLDQDSSSENVKTINYLLTLATTTVTNSKFRSSILRKSFDFLMNMS NCDYLTLNKVVVNLNDAGLALQLFEKLKEENDEGLSAQIAFDLVSSASQQLLEILVTE LTAQGYDSALLNILSGLPTCDYYNTFLLNNKNIDIGLLNKSKSALDGKFSLFHTAVSV ANGFMHAGTTDNSFIKANLPWLGKAQNWAKFTATASLGVIHKGNLLEGKKVMAPYLPG SRASSRFIKGGSLYGLGLIYAGFGRDTTDYLKNIIVENSGTTGDEDVDVLLHGASLGI GLAAMGSANIEVYEALKEVLYNDSATSGEAAALGMGLCMLGTGKPEAIHDMFTYSQET QHGNITRGLAVGLALINYGRQELADALITKMLASDESLLRYGGAFTIALAYAGTGNNS AVKRLLHVAVSDSNDDVRRAAVIALGFVLLRDYTTVPRIVQLLSKSHNAHVRCGTAFA LGIACAGKGLQSAIDVLDPLTKDPVDFVRQAAMIALSMILIQQTEKLNPQVAEINKNF LSVITNKHQEGLAKFGACVAQGIMNAGGRNVTIQLENADTGTLDTKSVVGLVMFSQFW YWFPLSHFLSLSFTPTTVIGIRGSDQAIPKFQMNCYAKEDAFSYPKMYEEASGKEVEK VATAVLSTTARAKARAKKTKKEKGPNEEEKKKEHEEKEKERETDKKGIKETKENDEEF YKNKYSSKPYKVDNMTRILPQQSRYISFIKDDRFVPVRKFKGNNGVVVLRDKEPKEPV ELIETVRQMKDVNAPLPTPFKVEDNVDFPTA SPAR_I00900 MSNSATDNLQDSFQRAMNFSGSPGAVSTSPTQSFMNTLPRRVSI TKQPKALKPFSTGDMKILLLENVNPTAIKIFKDQGYQVEFHKSSLPEDELIEKIKDVH AIGIRSKTRLTEKILQHARNLVCIGCFCIGTNQVDLKYAASKGIAVFNSPFSNSRSVA ELVIAEIISLARQLGDRSIELHTGTWNKVASRCWEVRGKTLGIIGYGHIGSQLSVLAE AMGLHVLYYDIVTIMALGTARQVSTLDELLNKSDFVTLHVPATPETEKMLSAPQFAAM KDGAYVINASRGTVVDIPSLIQAMKANKIAGAALDVYPHEPAKNGEGSFNDDLNSWTS ELVSLPNIILTPHIGGSTEEAQSAIGIEVATSLSKYINEGNSVGSVNFPEVSLKSLDY DQENTVRVLYIHRNVPGVLKTVNDILSDHNIEKQFSDSHGEIAYLMADISSVNQSEIK DIYEKLNQTSAKVSIRLLY SPAR_I00910 MSDISVNSTFRKTLVELCETATWITSQVYAAKNLEKDDLIIVDN KISALYPIAEKYDRSFRTTTVILDEELILKLENAASSLWNSLTIAMKAEKASEKYFNE VFCKCKIFATKLLSIHEALFRTNSNLLRNFKCYISSFKSASEHRIGDLIANTQQHTEK YLQVINEHVEKFSNEEKTEFKKLTFEFYLVNFQLCLSENDLDTAKIYTSKVNITDNSK YMDADLLIELCRMIYNSTIMLKESNNPETQLIDVNIISFLKDVERYLELPVENLKSHT DYSNLRYSVLIFMSNCLVEGYPQAFELEQCDHYLSLLQNEYPSKADPFILAIKLVKRK DIPNPAGTVEEILMRMIMSVNVMSNFQAVMASINDLSKIDTKLSIVCLDYLLINKLNS KNDRKLLEKAICSRFLITTQSKTMNDSEVAESLEDFCTQMERIVSEPLTKHAISCVIT LLWNTGKKLEKMEKYVVSIRFYKLALKDVISQNYSDRGKIQRALQVVYNKIEDYSNTL KVYQDMDEGDKQSPLCQLLMLQPYLANDKMEEALTCLQKIKSSEDEKSTDALIVAVAE CRRKTDLSVQGLLMLFDKLQSKSSSQNISSTSSSQTLSVLRYTLQMIVKVSEEESLQT FINYLPTVERLLKKALEFLKTVKLLNQLPPDVEKEVIYQQSVAVNEIEWFASFSYNVA VKCLVDQSCESLSLFEFPQYCIQFIDLIPVQDFTFPKMYHFTYWKFKATILQLIIAKE KVEQDQHQKYRDIYEKSGELVNNINFMKKSSEFKDGSSLEDRNTLNECFLEALTIHVE SVLMVPDQTKIIEILKKTELYQDSRVDALLIDISSNMEDLPKGILVEIIETVINRNIG TEVKEPQLCNWLRMLLENAINLNHEVELHILERVFKILNINHLSFQDTDCALQTELET IATYCWNIGVNYIIKDNKSYGIVWCKHSMGFANMVNEGLQEQLYSLWESLASSANIDI NSIAK SPAR_I00920 MSNKQLVKPKTETKTEITTEQSQKLLQTMLTMSFGCLAFLRGLF PDDIFVDQRFVPEKVEKNYNKQATSQNNSIKIKTLIRGKSTQADLLLDWLEKGVFKSI RLKCLKALSLGIFLEDPTDLLENYIFSFDYDEANNVNINVDLSDNKKGNKNADAENET ISLLDSRRMVQQLMRRFIIITQSLEPLPQKKFLTMRLMFNDNVDEDYQPELFKDATFD KRATLRVPTNLENDAFDVGTLNTKHHKVALSVLSAATCATEKAGSTNFIRVDPFDVIL QQQGQNQQEDSVPAKPQNFVTSQTTNVLGNLLNSSQASIQPTQFVSNNPVTSLCSCEC GLEVPKAATVLKTCKSCRKTLHGICYGNFLHSSIEKCFSCIFGPSLDTKWSKFQDLMM IRKVFRFLVRKKKGFPASITELIDSFINTEDQNNEVKERVAFALYVFFLDQTLCRDNG GKPSQTIRYVTSSVLVDIKGIVIPNSKKQLDINHEYIWHFTTSSPKAKSFYQEVLPNS RKQVESWLQDISNLRKVYAEALSPSSTLQELDLNSSLPTQDPIIAGQKRKHYNLDEYL QEDKSSVVNDTIKAKDFDESVPTKIRKISVSKKTLKSNW SPAR_I00930 MFHGAKGPLLIERIGHLLSVNYGEKEERKRWATQGISYLQEVQC TSTPYLEILIEESGLRPVSQLNSQLVNIPHFSLLGGFDENIARDIISHNFQNAIFKME SQEVPLTKRYQHLEKITQIFLLCKNFKGIEEIEYNVKSIIQGHENYDISNPTVKDWRS HEVAQEDFFSLVRIQMMLCVSYFLQERYFDCCTKFFTMMDSEPLTLKVLSEHLDSMNF ISKEEFIMMVNISVLISIPLDNYDDFIYLSDLKQYFQMAPLLVNCLELLINTNFNKFF KIWHGEINRICVESLFLEPSWSSSAAVIMRCKIYFFYLRISKKLQFSYLSSTLGINLE DIKEELTNLILSGQLNFEINDDVIHFEDSSILQSIINEISRNGTTINDVIHKLKNENT DLKDIIQSNPLRYTSVNNAATIINNESSEDMDIDEVNNRSDISDSEGGLFEC SPAR_I00940 MFLRSVNRAVTRSFLATPKSAVVKSSWRVLTVANSKRCFTPAAI INQETQRVSDILQSELKIEKETLPDSTSLDSFNDFLNKYKFSLVETPGKNEAEIVRRT ESGETVHVFFDVAQIANLPYNNAMDENAETNEDGINEDDFDALSDNFANVNVVISKES TSEPAISFELLMNLQEGSFYVDSATPYTSVDAALNQSAEAEITRELVYHGPPFSNLDE ELQESLEAYLESRGVNEELASFISAYSEFKENNEYISWLEKMKKFFH SPAR_I00950 MSDAVTIRTRKVISNPLLARKQFVVDVLHPNRANVSKDELREKL AEVYKAEKDAVSVFGFRTQFGGGKSVGFGLIYNSVAEAKKFEPTYRLVRYGLAEKVEK ASRQQRKQKKNRDKKIFGTGKRLAKKVARRNAD SPAR_I00960 MSSDPLQQVCDLIKGDLSLERVRDIKEQLLKEKSIVEYQLNKES DKYYGGVEESLKLLNLSKNSVTSIKQQINEVNKLGNDNRFAINRYDILFRATKLYETI NTTSSIYDRIYNFVALMEHIERLLVAELAEDALETGCPHLLEIHFLLTSARDFQEQVV VMAKEATEDAQRTVMKLFSRLSGIISKFDKLLDGLTYDIVEMARAEQISLAIRLFKIY DLEEREDLRIEAIRNIIKKKEIEIEKTSIKKLPNSKNTARLQDETPKVIEYPTNKGLY QEIMSGTISTRTSPRGYKHFLINGINNSISEMFGEMKEKYVGDQKFDVLDNMDWVFNE LIIVKEHIANCCPPHWNIFEVYFNQYYKELHSLITDLVESEPETIIILDILAFDKTFQ DTLKQDFGFTKSEVKSVIGDKEKETLFKDYLNLIVVKMTEWIGNLEKAEFDVFLERST PPHSDSDGLLFLDGTKTCFQMFTQQVEVAAGTNQAKILVGVVERFSDLLTKRQKNWIS KISGEIKKQINYNHKYDIDPESITPEDECPGGLVEYLIAVSNDQMKAADYAVAISSKY GKLVSKAYEKQITNHLEGTLDGFAEVAQCSSLGLITLMFDDLRKPYQEIFSKTWYMGS QAQQIADTLDEYLLDIRPQMNSVLFVNFIDNVIGETIIKFLTALSFEHSFKSKNNKFL EAMKRDFEIFYQLFVKVLDGNESKDTLITQNFTVMEFFMDLSCEPVDSILDIWQKYLE VYWDSRIDLLVGILKCRKDVSSSERKKIIQQATEMLHDYRRNMEANGVDREPTLMRRF ALEFEKQ SPAR_I00970 MGVHFDDNANTTWEATDPSFLSDSDGHHHATESIQLQDFSNSDM ENMLDEEGTENNKSKWLLLKRKPPIQKFIERVWNGPVEPSDEPPSFPKRWRWLKKIDD FPQATFKAKIPSKLIRLLLLIVYCCFWMRIFYFLIYPYLIKPPYFHPNDGSEKIPILS LSCNSYLNWEGTNNECGLNAKNCGPLDNKEYMIRCPALCDRGGWTYSAIAVGNRRVKY TGYEIGGGALFSEEDPMAVSYPYRSDSFPCASAVHAGVISPFYGGCIKLSMQGAQNSF PSKRGMYNTGFSVAFNSFFPGSYSFRDIQGGILSGCYDPRAAVVALNMLFGLPIFYLY DSIYGYWINTIVGYWTLVLSLDPPLLTDAHDPASVYELFSVGFQRLLPLCFVLYVVWK SAVKRTLENGSPIAKVILWYPTFWLGISNNVTFDRLPVDRLTAKDLKEQAGALTAVGS IAATIFTCAIIQAYSLWKSGRFKKYFKIYICFIGGLVALGSLPGLNLRIHHYILGSIL VPGCATRGSSAYLFQGILVGLILSGVARWDFASIVETDTALLRGEAGASLKPPILNFS SSQNHSLSWHLNATDPVIDQKGNIDGFSLLLNDVEVYVGKNETVSIDILRMENPKLAQ MMDDALDASNGIIELYLRVARASVRSPTNRGDYTNAGVLQWPNGIWQEPEPGVS SPAR_I00980 MYVIKRDGRKEPVQFDKITSRITRLSYGLDPNRIDAVKVTQRII SGVYSGVTTVELDNLAAETCAYMTTVHPDYATLAARIAISNLHKQTTKQFSKVIEDLH DWINPATGKHAPMISDEIYNIVMENKDTLNSAIVYDRDFKYTYFGFKTLERSYLLRLN GEVAERPQHLVMRVALGIHGSDIDSVLKTYNLMSLRYFTHASPTLFNAGTPHPQMSSC FLIAMKDDSIEGIYDTLKECAMISKTAGGVGLHINNIRSTGSYIAGTNGTSNGLIPMI RVFNNTARYVDQGGNKRPGAFALFLEPWHADIFDFVDIRKTHGKEEIRARDLFPALWI PDLFMKRVQEDGPWTLFSPSAAPGLDDVWGDEFEELYTRYEREGRGKTIKAQKLWYAI LQAQTETGTPFMVYKDACNRKTNQQNLGTIKSSNLCCEIVEYSSPDETAVCNLASIAL PAFVEMSEDGKTASYNFESLHEIAKVITHNLNRVIDRNYYPVPEARNSNMRHRPIALG VQGLADTYMMLRLPFESEEAQTLNKQIFETIYHATLEASCELAQKEGKYSTFEGSPAS KGILQFDMWNAKPFGMWDWETLRKDIVKHGLRNSLTMAPMPTASTSQILGYNECFEPV TSNMYSRRVLSGEFQVVNPYLLRDLVDLGIWDDSMKQYLITENGSIQGLPNVPQELKE LYKTVWEISQKTIINMAADRSIYIDQSHSLNLFLQAPSMGKITSMHFYGWKKGLKTGM YYLRTQAASAAIQFTIDQEIADQAATHVASAAELDRPVYVPKGTKFSEQKAASALTEN SDNEKEASPVPSEQSSVSSAMSNMKLEDGVAPAVPTETIKEDSDEKKCDIYNEKVIAC TAPTPEACESCSG SPAR_I00990 MTKVDFWPTLKDAYEPLYPQQLEILRQQVVSEGGPTATIQSRFN YSWGLIKSTDVNDERLGVKILTDIYKEAESRRRECLYYLTIGCYKLGEYSMAKRYVDT LFEHERNNKQVGALKSMVEDKIQKETLKGVVVAGGVLAGAVAVASFFLRNKRR SPAR_I01000 MSLVLEDDNVERSQSGKVVQMQDKAELSTSKLGTKKYWDELYAL ELENFRRNPQDTGDCWFSDSDAEQKMIDFLVDNIGAYRISEDASVVDLGTGNGHMLFE LHQTEFQGKLVGIDYSEESVKLATNIAEATGVDNFISFEQADIFSGDWNPEKYDIVLD KGTLDAISLSGMKINGKLDVVDVYAAVVEKFLKKDGIFLITSCNFTQDELIKIIETDN LKMWKTIKYPVFQFGGVQGATICSIAFVKRN SPAR_I01010 MSETNGGNVANENSEVKQTAVESPIDKLDRTPKRPRENDQDKQR EETSDKLEPSNDNDGEKKEEGKKDQESSHKKIKVDEGKAVDTGSVEDDKKKDKFVFGA ASKFGTGFGVAKKDTKDEEEATTSTESLPASNNKTKKPFAFGSGLSFGSGFSILKNKT ENNSETEKKATDDKDKVHSGSEQLANASEEPNDKPKPLKLQKQEVKSGEESEECIYQI NAKLYQLSNIKEGWKERGVGVIKVNKSKDDDEKTRIVMRSRGILKVILNIQLVKGFTV QKGFTGSLQSEKFIRLLAVDDNGDPAQYAIKTGKKETTDELYNIIVKSVPK SPAR_I01020 MEADWRRIDIDAFDPESGRLTAADLVPPYETIVTLQELQPRMNQ LRSLATSGDSVGAVQLLTTDPPYSADAPTKEQYFGSVLEALTQVRQADIGNVIKTLSD SQKDVLVKYLYKGMSVPHGQKQGGVLLAWLEKITQVSGVTPIVHYISDRRTV SPAR_I01030 MSYNLSKYPDDVSRLFRPRPPLSYKRPTDYPYAKRQTNPSITGV ANLLSTSLKHYMEEYQEGSPNDHLQRYEDIKLSKIKNAQLLDRRLQNWKPNADPHIKD TDPYRTIFIGRLPYDLDEVELQKHFVKFGEIEKIRIVKDKITQKSRGYAFIVFKDPMS SKMAFKEIGVHRGIQIKDRVCIVDIERGRTVKYFKPRRLGGGLGGRGYSNRDSRLPGR FASSSIANPTERNYAPRPPRREVSSSAYNPDRYGSSTSDTRYHGNRPLLPPSAPTAAV TSVYKSRNSRTRESQPAAKEVPDY SPAR_I01040 MTKKDKKAKGPKMSTVTTKSGESLKVFEDLHDFETYLKGETEDQ EFDHVHCQLKYYPPFVLHDAHDDPEKIKETANSHSKKFVRHLHQHVEKHLLKDIKTAI NKPELKFHDKKKQESFDKIVWNYGEETELNAKKFKVSVEVVCNHDGAMVDVDYKTEPL QPLI SPAR_I01050 MNGPPTFTQYRINKFSGNGATHKIRELLNFNDEKKWKQFSSRRL ELIDKFQLSQYKASEQDQNIKQIATILRTEFGYPVSCSKEFEKLVTAAVQSVRRNRKR SKKRYALSIASGSGGNANNSISSNSTSDDEISPSIYQRSHSDFLPTSNFTADFQLTNK FQPLMSHQSNNGSIFPTVSNQNDPSPSVTSTQQKYNDIVTMLVHDLVTNAVPLSEQAL KDPYTGPNLSHFATSSLGQQPNITTNIPIDSTVPFFLREKLLLQIQRSRTCQDISQAA GSIDIYANLEILGEMSIRMSIAFVIERFFSNLVSSSMKYITSKTCSPENLALLSQRLF GSATRHNLSHFPAAQVQLRLLYLVIGGIVKDFGFDPTLYPLSEIIHHIVMVQYPLASS CASAPAPSSSSSNKRVKRSPCAVSSDIMMNNNTLSNRATLLTTLPMKPQSANKDVNRR VIIRFNDHEQAFTFHQLSNGPPTVSEVLENCKNLFNIINKNKNFGIFHNDNLLNDESL AKLFDSFSTSEIHLVIRDISTIPLQDAKVPVPITLPKMSCIGENTPIPSIPLVSHEKG DPKKSSLTAFDNILNRISKSPMNEDNSNTTLNTGTSIPNTNNNDHNESVPAPYVTKNK NSFQNGNLPQPVFQPLL SPAR_I01060 MTLETHYNAKSAASASAFVARSATESPTTNAKVASKKKTYSQSS GIPIRIDNLPPGKTWAQVKYLVGGIIYHTNILQVKMLPPMTSMVPPFVTFQSCIVILK SSVDNESLENLLLTLNTYQWDYHDLFVYLLPYTNDSLPLRYPEVSDNSDVRSATDDNK RSISPRFASHVSSVTPQPPSGSTPSSQYFSFSPELGLRKNENITPLPTPVPVPVGVPP LAPPPHGPFPTSLLPILGAPPGTLPNMQMPYQTTLAPPTAAPAGGPLASPTHYPRRRH FYHQNQSQFQKYMHNSPRNPDTGTGPRSQHHHLSLRNNKINPSYNEISALYNLNMASN ANNNGNITTTNTNGDDRVLEVKNGGAITPSQTQINHKRLKHIFNEKSFRKQMTNRGMW QLKIINFPPYIPIEFLEKLSESDFNELVNQEKFTVIEIKERGQLEKFGRLRWTVLKDF IKLKCPKLLRLQEKQFLQQQSEVSLLNESMDTLKISGNEDTNGNVNNSTYTNGGPRTS INNTREFYVGVYEDHEEATLLKFELPEDELEEFNGNLPTTSTQSENSSSPTEDRKAKY FKVSTIVYNAIVGFHDKELSDLTFESLQDQEYSLGYKIHVMELPPFDEDEFENQQQQY SPAR_I01070 MPLTTKPLSLKINAALFDVDGTIIISQPAIAAFWRDFGKDKPYF DAEHVIHISHGWRTYDAIAKFAPDFADEEYVNKLEGEIPEKYGEHSIEVPGAVKLCNA LNALPKEKWAVATSGTRDMAKKWFDILKIKRPEYFITANDVKQGKPHPEPYLKGRNGL GFPINEQDPSKSKVVVFEDAPAGIAAGKAAGCKIVGIATTFDLDFLKEKGCDIIVKNH ESIRVGEYNAETDEVELIFDDYLYAKDDLLKW SPAR_I01080 MFLRNSVLRTAPVLKRGITTLTPVSTKLAPPAAASYSQAMKANN FVYVSGQIPYTPENKPVQGSISDKAEQVFQNVKNILAESNSSLDNIVKVNVFLADMKN FAEFNSVYAKHFHTHKPARSCVGVASLPLNVDLEMEVIAVEKN SPAR_I01090 MELSSPPRRSTTSPIDIPGGSKQDLIIAPHSHSFKTDPCSSNNS SLVSKTSTNPSLESPFSSKSLLDCPPVQAVKKSLESEAKTHNLDDETNEQNHENILNM ADFPTDELILMIGALLNRIITANDETTYSSQQVSQDAEDELLTPILAFYGKNVPEIAV DQYLERIQKYCPTTNDIFLSLLVYFDRISKNYGHCPERDGCAKQMFVMDSGNIHRLLI TGITICTKFLSDFFYSNSRYAKVGGISLQELNHLELQFLVLCDFKLLVSVEEMQKYAN LLYKFWNDQ SPAR_I01100 MYLNGEQLLKYTIYAYRLSFFIGICSLFIAKSYLPEFLQYGKTY QRKRNSKYSSILERFKKFTVPKAYFSHFYYLATFLSLITLYFYPKFPIVWIIFGHSLR RLYETLYVLHYTSKSRMNWSHYLVGIWFYSVLLLILNISLYRNTIPNTLNTSAFIIFC IASWDQYKNHHILAQLVKYSLPTGRLFSLVCCPHYLDEIIIYSTLLPYEQEFYLTLVW VIASLTISALETQNYYRHKFKDNHVARYSIIPFII SPAR_I01110 MPNPPSFKSHKQNIFNSNNNQHANSVDSFDLHLDDSFDAALDSL QINNNPEPLSKHNTVGDRESFEMRTVDDLDNFSNHSSDSHRKSSNTDTHPLMYDNHLS QDDNYKFTNIASSSPSSSNNIFSKALSFLKVSNTKSWSKFGSSIELSDQHIEREIHPD TTPVYDRNRYASNELSNAKYNAVTFVPTLLYEQFKFFYNLYFLVVALSQAVPALRIGY LSSYIVPLAFVLTVTMAKEAIDDIQRRRRDRESNNELYHVITRNRSIPSKDLKVGDLI KVHKGDRIPADLVLLQSSEPSGESFIKTDQLDGETDWKLRVACPLTQNLSETDLINRI SITASAPEKSIHKFLGKVTYKDSTSSPLSVDNTLWANTVLASSGFCIACVVYTGRDTR QAMNTTTAKVKTGLLELEINSISKILCACVFALSILLVAFAGFHNDDWYIDILRYLIL FSTIIPVSLRVNLDLAKSVYAHQIEHDETIPETIVRTSTIPEDLGRIEYLLSDKTGTL TQNDMQLKKIHLGTVSYTSETLDIVSDYVQSLVDSKNDSLNNSKVALSTTRKDMSSRV RDMILTLAICHNVTPTFEDDELTYQAASPDEIAIVKFTESVGLSLFKRDRHSISLLHE HSGKTLNYEVLQVFPFNSDSKRMGIIVRDEQLDEYWFMQKGADTVMAKIVENNDWLEE ETGNMAREGLRTLVIGRRKLNKKIYEQFQKEYKDASLSMLNRDQQMSQVITKYLEHDL ELLGLTGVEDKLQKDVKSSIELLRNAGIKIWMLTGDKVETARCVSISAKLISRGQYVH TITKVTRPEGAFNQLEYLKINRNACLLIDGESLGMFLKHYEQEFFDVVVHLPTVIACR CTPQQKADVALVIRKMTGKRVCCIGDGGNDVSMIQCADVGVGIVGKEGKQASLAADFS ITQFCHLTELLLWHGRNSYKRSAKLAQFVMHRGLIIAICQAVYSICSLFEPIALYQGW LMVGYATCYTMAPVFSLTLDHDIEESLTKIYPELYKELTEGKSLSYKTFFVWVLLSLF QGSVIQLFSQTFTSLLDSDFTRMVAISFTALVVNELIMVALEIYTWNKTMFVTEIATL LFYIVSVPFLGDYFDLGYMTTVKYYAELLVILLISVFPVWTAKAIYRRLHPPSYAKVQ EFATP SPAR_I01120 MKFADHLTESAIPEWRDKYIDYKVGKKKLRRYKEKLDAEEERSS SYRSWMPSMSVYQTAYQQREPGNRRSDGDYRSGPAFKKDYSALQREFVADFIEDWLIS FQLSKCNEFYLWLLRECDKKFEVLKSQLHYYSLQKNYERDNLNRSSSNVDMSTSLYAA GLADRSDSGVNSIDSDSRSVMYGSMPSTKEANKPHLSLLAYCQKVLKDNRLLPSWPKR GFSLLQDLRQDASSRGRETFAFGASFLDTMTTTQARNLLSNAIIEYYLYLQLVKSFRD INVTGFRKMVKKFDKTCHTRELTTFMSYARTHYILFKHADANVQLVAQQMQQITSSQP TPTSELSSAQRDKEPITWLETQITEWFTTALTNSPKDRKHNTHKLKKLTIQYSISEQM VHRNNRSIVQMLVVGLGIGVSMTLITYTLYLAISSEETSFTHKILFPLWGGWYMVLLI AFLFLVNCFIWHRTGINYRFIMLGEIQSKNGTQFFNNDFATSKIPLKLYFLTFFIVPC AVCSMLSFALEKLTPLGFLYIGIVTFLFLCPSGLIPYWDKVVHTRKWLVVTFIRLAMS GFFPVEFGDFFLGDIICSLTYSIADIAMFFCVYSHTPNNLCGSSHSRAMGALSCLPSY WRFMQCLRRFADSGDWFPHLLNAAKYTLGIAYNATLCAYRLSDRSEQRRTPFIVCATL NSLLTSAWDLVMDWSVAHNTTSYNWLLRDDLYLAGKKNWENGSYSFSRKLVYYFAMIW DVLIRFEWIVYAIAPQTIQQSAVTSFILATLEVLRRFVWIIFRVENEHVANVHLFRVT GDAPLPYPIAQVGDDSMDSSDLGSKTFSSLNDIPITPSHDNNPHSFAEPMPAYRGTFR RRSSVFENISRSIPWAHATDFQRPTVNTVDDRSPETDSESEVESIM SPAR_I01130 MRRQTQRMMSFEDEDKEDVDNNNSSEMTDTAMMPPLKRLLIAGS GEDLPQGSSGKKKMTMATRLPSSSPDLATNDSGTRVQPLPEYNFTKFCYRHNPDIQFS PTHTACYKQDLKRTQEINANIAKLPLQEQSDIHHIISKYSNSNDKIRKLILDGILSTS CFPQLSYISSHVTHMIKIDFISILPQELSLKILSYLDCQSLCNATRVCRKWQKLADDD RVWYHMCEQHIDRKCPNCGWGLPLLHMKRARIQQSNAGSNSNTEIQTQTTRPWKVIYR ERFKVESNWRKGHCRIQEFKGHMDGVLTLQFNYRLLFTGSYDSTIGIWDLFTGKLIRR LSGHSDGVKTLYFDDRKLITGSLDKTIRVWNYITGECISTYRGHSDSVLSVDSYQKVI VSGSADKTVKVWHVESRTCYTLRGHTEWVNCVKLHPKSFSCFSCSDDTTIRMWDIRTN SCLKVFRGHVGQVQKIIPLTIKDVENLATDNTSDGSSLQDDSIMADGVGESDTPSDEQ ETVLDENIPYPTHLLSCGLDNTIKLWDVKTGKCIRTQFGHVEGVWDIAADNFRIISGS HDGSIKVWDLQSGRCMHTFNGRRLQRETQPTQTQSLGDKVAPIACVCIGDSECFSGDE FGCVKMYKFDLSD SPAR_I01140 MATTTQPQNILMDEPSNLPNNSAHDNKYGNINASTRSFTGMGMH MHPARLNSLEFLHMPRRLSNVKLHRLPQDELQRNTDMNKGMSFNGKQVHAHHPFINPG ADFSAHHQNVSKLGEEEDEISPLSHDNFQYESEENAIPSPPIYKKSGELVKSSLKRRS KSLPITPKSIFNKTGAKGKHVNLDHVDTRLLQRSKSVHFDRVLPIKLFNENEKPIDVG KQMIQQDVLNFKHKPLTRLSGLNGDTNSVPIEDLLSEDNQNEYTDTWLRNPKGVFLFG TNSNNRRNKKKKFKLSDDDSDIENDNDSDDAINRLVRQQDKDQSHLAHGLKNLLINDD EDYLESRTNSTRSDANLFYGNSKRIVGLYNKNFPILSDRNRKSLKLNIFLNLSRGRPV FLQEITLLTGFHNMVIIGKVFVKNIYFDKKIIVRYTWDAWRTFHESECVYFSSANGIL PGSNMDIFKFSIDDIHNPNDKDSNISQLEFCIQYLTWSVDRSRKEYWDNNDSLNYKID VITNETRTGPTTDVNDNYEMKHSLFRNPFH SPAR_I01150 MSTSVPVKKALSALLRDPGNSHCADCKAQLHPRWASWSLGVFIC IKCAGIHRSLGTHISKVKSVDLDTWKEEHLMKLIQFKNNLRANSYYEATLADELKQRK ITDTSSLQNFIKNKYEYKKWIGDLSSIEGLSDSTASVLHKPSVNQSLPASNASLDLSS NSLQKTQTQPPSHLLGTSRSNTSLLNLQVSSLSKTTSNASVTSTATSIGAVATKAGNR VSEIGQRNDLKKSILSLYSKPSAQTQSQNSFFTSTTSHPCNTPSTFVNAAITGRNNNS ANSNSSSNISLDDNDLFKNVWS SPAR_I01160 MAVDAQKLVVVILIVAVPLLLKFIIGPKTKPVLDPKRNDFQSFP LVEKTILTHNTSTYKFGLPHADDVLGLPIGQHIVIKASINGKDITRSYTPTSLDGDTK GSFELLVKSYPTGNVSKMIGELKIGDSIQIKGPRGNYRYERNCRSHLGMIAGGTGIAP MYQIMKAIAMDPHDTTKVSLVFGNVHEEDILLKKELEALVAMKPSQFKIVYYLDSPDR EDWAGGVGYITKDVIKEHLPAATVDNVQILICGPPAMVASVRRSTVDLGFRRSKPLSK MEDQVFVF SPAR_I01170 MWKIMRAWKYGGMRSVHHQRPTHELLSQLSFEQHYKIRSNIELL IQDYASKPIAPLNYEYFLQYRPPLTKREEYMLTIKTINLLLSLTCKRLNAIQGLPYNA VINPHIERTNSLYLKSLQTLLSVAYPYELHNPPKIQAKFTELLDDHEDAIVVLAKGLQ EIQSCYPKFQISQFLNFHLKERITMKLLVTHYLSLMAQNNDDSNKKMIGILHRDLPIA QLIKHVSDYVNDICFVKFNTQRTPVLIHPSSQDITFTCIPPIVEYIMTEVFKNAFEAQ IAHGKEHMPIEINLLKPDDDELYLRIRDHGGGITPEVEALMFDYSYSTHTQQSTDCES TDLPGEQINNVSGMGFGLPMCKTYLELFGGKIDVQSLLGWGTDVYIKLKGPSKAVLLS KK SPAR_I01180 MSFNAFASSLSKKLQEISTSVSEKTQELPSLAQSTQRMVQERLG QVTDISQLPREYTELEDKVDTIKLIYNHFLGVTAIYENGSYDYPKYINESVNEFSRSV ASKLTELTHATSASEAQNILVAPGPIKEPKTLNYALSKVALNSSECLNKMFPTEEQPL AAALLQFSDVQAKIAQARIQQDTLIQTKFNKNLRERLSFEIGKADKCRKDVHSMRLRY DVARTNLANNKKPEKEASLRVQMETLEDQFAQVTEDATVCLQEVISHANFSEDLKELA KAQAEYFETSAGLMKEFLSNSFAEEPAAKPEVTEEEKPQTAISMNDEDDA SPAR_I01190 MDATQPQYELSVVTQCLKSTIDIIQWLIPAITKFSQSHPLVFQL SFIFFTVYVFYKLLMNLITLVKRFLYFALVVTCIGIYMRGSQQFLTVDLLNFYNFVMS NRYYAFKIYTLFISALEREINAVYHLAQMKIEQLLK SPAR_I01200 MLRCAVKRFAYFATFLTIVANIYIYTYPSFHPEQCSWSCSNKNA LLQKDLTLSDKVKRYFSDVREQWGGNHAFADNDKDIHILAFGDPQIKGIWPKTPYVSR LDTYGNDYYLGHIYDMMQQRLNPQVVTVMGDLFSSQWIGDSEFHNRTKRYISRIFKRD PTSIEKVKQQNLDEQGQYKANWPEWGDRFNEILNSVKENETENSELSFGFGYENIHSW NPDLEDFLIINITGNHDVGYSGDATYQHMTRFHDLFGKDNYWIEYETNTTHPWRIVVL NDLLLEGPALQPEFVEATWIFLNQLNERKFNGSTVLLTHVPFYKREGLCVDGPDTRFY PDTHAPESYKAGLLRSQNHLSESVSNRVLNMIFENGKPGIILTGHDHEGCETVYNKRS SSAWEATRNIESDVFVKEITVKSMMGEFNGNTGLITGHFNTDSMTWEWTFSLCPFAIQ HVWWFAKVSLLITIFTWSSLLFV SPAR_I01210 MAHRKLQQEVDRVFKKINEGLEIFNSYYERHESCTNNPSQKDKL ESDLKREVKKLQRLREQIKSWQSSPDIKDKDSLLDYRRSVEIAMEKYKAVEKASKEKA YSNISLKKSETLDPQERERRDISEYLSQMIDELERQYDSLQVEIDKLLLLNKKKKTSS TTNDEKKEQYKRFQARYRWHQQQMELALRLLANEELDPQDVKNVQDDINYFVESNQDP DFVEDETIYDGLNLQSNEAIAHEVAQYFASQNAEDNNTSDANESLQDISKLSKKEQRK LEREAKKAAKLAAKNATGSAISAPGPSSTPSPVIPIADVSKETERSASASPIHNGVKP EEATKASSIKSPRPSADNLLPSLQKSPNSSTPETPTNVHTHIHQTPNGNTGATTLKPA TLPAKPAGELKWAVAASQAVEKDRKITSASSTISNTSTKTPTTAAATTTSSNANSRIG SALNTPKISTSSLSLQPDNTGASSSSAATAAAVLAAGAAAVHQNNQAFYRNMSSSHHP LVSLATNTKPEHEVTTTVNQNGPDNTSKKAMEQKEEESPTEGDELQVPNFGVFDDDFE SDRDSETEPEDEEQQSTSKYLSLEQREAKTNEIKKDFVSDFETLLLPSGVQEFIMSSE LYNSQIESRITYKRSRDMCDISRLVEVPQGVNPPSPLDAFRSTQQWDVMRCSLRDVII GSERLREEPSTVYAKILENFRTLEMFSLFYNYYFAITPLEREIAYKILNERDWKVSKD GTMWFLRQGEVKFFNEICEVGDYKIFKLDDWTVIDKINFRLDYSFLQPPVHTASEVRD MNVDNNNDNDQSNVTLEQQKQQISHGKQLLKQLRQGKIGV SPAR_I01220 MNDIYIIKPLSLPQRFLNCVFHPLLLIFFTSVILTIWGTFSVID GTMAKTFHTQIKQNDTVSALVSISTAMITATTTATTTAVTTQQATYSASAYSLNNTFI DSTIDQYFESKLQSIASTVGTDMQEKFKSYTDNVLDNKQKLITDQISLETKSTKDVLE VNNTIFNELFTKSQLINDTWNEISEDAMTIDKDSVSQMASNLLLNYSMFDSIFENYSK KLKLLQEFNGTITDFSTQLNTSSTLNLGFLQNSTEWLQLKRNFTTNLQNEISTFSGKS TKAISSTSIIKRSLKTNKDENSSLSVVKNHVFRRCQKMTVIFTVMYFAFVVSLMIIER ILFQLENQQVNLAMSQINDLTGQTNFTQYNKTVKSLMTTLNLSVLYPIPYQLTKLINQ KMLKREPEKFDDKKAKQSKLFYCNWWIISNGVHLWLFGLLMLLVHWQIVTRLTNFEVP NLPTFDKRAGPPLYKREAWTDANITTVIEGLIDDNISLLCENFQIEVNERLIPANSTL QTNSNLKVQSADILSLWVNDTNTQFEKYLNRNSRNWQEIDPQIVPLLGADSVNEFLNQ YSLPIHEATNTNASFALDIKKNGIINQRFNIINAPVATLSSLAKRQVKNQKQKKVNPL NSVYKWGLLVTCLTILFHHMLIFIILKL SPAR_I01230 MFTGQEYHSVDSNSTKQKDNNKRVSDDTSKILNNKIPHTINDAS AAAAATAAMNNPPISRSMNPNDVNYSTNMADVVDPIHDYATSNSNSLTPQYSNITSGN VNSHNRVVKPSANPSYQQATYLRQQQQQGQQQQPSPSMKTEEESQLYGDILMNSGVVH DMSQNLATHTNLSQLSSTRKSAPSDSTTAPTNTANIANTASLNKQMYFMNMNMNNNPH ALNDPSIMESLSPFFQPFGVDVAHLPMTNPPIFQSSLPGCDEPIRRRRISISNGQISQ LGEDIETLENLHNTQPPPMPNFHNYNGLNQTKNVSNKPIYNQAAPVTIPQYNAKKDSN HTKNSTLGDQNTTYPKNQQHNFVNEPSKNAPAESVSDLEGMTTFAPTTEGENMGKSSL RESHSNPSFTSKSQESHLNLGANTQGNPIPGTTAWKRARLLERNRIAASKCRQRKKVA QLQLQKEFNEIKDENRILQKKLNYYEKLISKFKKFSKIHLREHEKLNKDTDNKGDGSN SNSKNESMTVDSLKIIEELLMIDSDVTEVDKDTGKIITIKHEPYSHRFGSDTDDDDIE LKALEGGKDPENQPLLNSEKKAKEQSFNGHNRA SPAR_I01240 MKCRVWSEARVYTNINKQRTEEYWDYENTTIDWSTNTKGYEIEN KVGRGKYSEVFQGVKLDSKVKIVIKMLKPVKKKKIKREIKILTDLSNEKVPPTTLPFQ KDQYYTNQKEDVVKFTRSYIFDQPHNGHANIIHLFDIIKDPISKTPALVFEYVDNVDF RILYPKLTDLEIRFYMFELLKALDYCHSMGIMHRDVKPHNVMIDHKNKKLRLIDWGLA EFYHVNMEYNVRVASRFFKGPELLVDYRMYDYSLDLWSFGTMLASMIFKKEPFFHGTS NTDQLVKIVKVLGTSDFEKYLLKYEITLPREFYDMDQYIRKPWHRFVNDGNKHLSSND EIIDLIDNLLRYDHQERLTAKEAMGHPWFAPIREQITK SPAR_I01250 MSDAQFDAALDILRRLNPTTLQENLNNLIELQPNLAQDLLSSVD VPLSIRKDPADSNREYLCCDYNRDIDSFRSPWSNTYYPELSPKDLQDSPFPSAPLRQL EILANDSFDVYRDLYYEGGISSVYLWDLNEDDFDGHDFAGVVLFKKNQSDHSNWDSIH VFEVTASPSSPDSFNYRVTTTIILHLDKTKIDQDSHMMLSGNLTRQTEKDIAVDMSRP LDVISTSHVANLGSLIEDIESQMRNLLETVYFEKTRDIFHQTKNAAIASSTEEANKDA HAEVIRGLQSL SPAR_I01260 MVSSLSKESQTELQLFQNEINAANPSDFLQFSANYFNKRLEQQR AFLKAREPEFKAKNIALFPEPEEPFSRPQSAQSQSRSRSSVMFKSPFVNEDPHSNVFK SGFNLDPHEQDTHQQTQEEQQHIREKASTPPLPMHFNAQRRTSVSGETLQPNNFDDWT PDHYKEKSEQQLQRLEKSIRNNFLFNKLDSDSKRLVINCLEEKSVPKGATIIKQGDQG DYFYVVEKGTVDFYVNDNKVNSSGPGSSFGELALMYNSPRAATVVATSDCLLWALDRL TFRKILLGSSFKKRLMYDDLLKSMPVLKSLTTYDRAKLADALDTKIYQPGETIIREGD QGENFYLIEYGAVDVSKKGQGVINKLKDHDYFGEVALLNDLPRQATVTATKRTKVATL GKSGFQRLLGPAVDVLKLNDPTRH SPAR_I01270 MSTRKRKFNSLKPLDTLNSPRASSPRSSASLPPKRYNTFRKDPK IVDHLNNASTKDFLPVLSTSSENRKQVKLSDDDDEEGSNSGRSDQEFEPLQSSPLKRH TSLKSTSNGLLFQMSNNLGNDSSEAGITNVPQNDSIVSTKLNLNGQFSCVDSKTLQIY RHKSPCIMTFVSDHNHPRFSLYFQQSLIYNSQINLLDDVELTILDKRNSLMAIILKDL KKFNMILDVNNSTINIKTSILIWSTTSSASNKKIKSIKRFLLTSYSSSISVQILDNKE QVLERLKHLAHSASSFPPSSNMEKAINSTKNAFDSLRLKKTKYSTGDDGNPQIHTRFL SNKPHGLQSLTKRTRIASLGKKEHSISIPKSNISPLDFYNNGGTETLQSHAVSQLRRS NRFRGVSNSANSNSSSNANSNSNSNSEFDDATTEFETPEPFKPSLCYKFNDGSSYTVT NQDFKCLFNKDWVNDSILDFFTKFYIESSIEKSIIKREQVHLMSSFFYTKLISNPTDY YSNVKKWVNNTDLFSKKYVVIPINISYHWFSCIITNLDAILDFHQNKDKNEAINSDEI SINNPLINILTFDSLRQTHSREIDPIKEFLISYAFDKYSIQLDKTQIKMKTCPVPQQP NMSDCGVHVILNIKKFFENPVETIDIWKNSKIKSKHFTAKVINKYFDKSERNCARKNL RHTLKLLQLNYISYLKKENLYDEVMQMEEKKITNINSNESYDDDDDEEIQIIENIDQQ PSKDNNAQLTSEPPRSRSPSTLTTEMEATELHDPIEHQSKVQSSGEIIPDNEDPICAT AIETPSISPPVRHNILKSSSPFISESANETEQEEFTSPYFGRPSLKTRAKQFEGVSSP IKNDQALSSIQDITMPSPKPKRIYPSKKIPLPSSYIEPLSIDSAERQSSPNNTNIVIS DTEQDLETGVNSENNNTSSIANRDDSDVNLIGGPPPNAAKNIDDSTQEGNDNNDSLGK ILQNVDKELNEKLVDIDDVVFSSPTAGIPRISARSKESSSQHLSDYENENNRSQDVVW DEGRENPILLEDEDS SPAR_I01280 MDGDSDVNVSGLRDEVHEVHEVANEEADTPTFNDEVPTGATCRI CRGEATEDNPLFHPCKCRGSIKYMHESCLLEWVASKNIDISKPGADVKCDICHYPIQF KTIYAENMPEKIPFSLLLSKSILTFFEKARLALTIGLAAVLYIIGVPLVWNMFGKLYT MMLDGSSPYPGDFVKSLIYGYDQSTTPELTTRAIFYQLLQNHSFTSLQFIMIVILHIA LYFQYDMIVREDVFSKMVFHKIGPRFSPKDLKSRLKERFPMMDDRMVEYLAREMRAHD ENRQEQGHDRLGMPAVAADNNNNVNNPRNDNVPLQDANEHRNFENLRHVDELDHDEAT EEHENNDSDGSLPSGDDSSRIGPGSSNDHEEDEEGEGQQQQQQPEEEADYRDHIDPNP MDMWANRRAQNEFDDLIAAQQNAINRPNAPVFIPPPAQNRAGNVDQDEQDFGAAVGVA PAQANGDDQGQGPLVINLKLKLLNVIAYFIIAVVFTALYMAISYLFPTFIGFGLLKIY FGIFKVILRGLSNLYYFSGTHIAYNGLTKLVPKVDVTMSWISSHLVHYIIYLYNGYTQ NTMKHSIFIRALPALTTYLTSVSIVCASSNLVSRGYGRENGMSNPTRRLIFQILFALK CTFKVFTLFFIELAGFPILAGVMLDFSLFCPILASNTQMLWVPSICAIWPPFSLFVYW TIGTLYMYWFAKYIGMIRKNIIRPGVLFFIRSPEDPNIKILHDSLIHPMGIQLSRLCL SMFIYAIFIVLGFGFHTRFFFPFVLKSNLLSVPEAYKPTSIVSWKFNAILLILYFTKR ILESSSYVKPLLERYWKTIFRLCSRKLRLSSFILGKDTPTERGHIVYRNLFYKYVAAK NAEWSNQELFTKPKTLEQAEELFGQVRDVHAYFVPDGVLMRVPSSDIVSRNYVQTMFV PVTKNDKLLKPLDLERIKERNKRAAGEFGYLDEQNTEYDQYYIVYVPPDFRLRYMTLL ALVWLFASILMLGVTFASQALINFVCSFGFLPVVKLLLGERNKVYVAWKELSDISYSY LNIYYVCVGSVCLSKIAKDILHFTEGQNTLDEHTVDENEVEEVEHDIPERDINNAPVN NINNVEEGQGIFMAIFNSVFDSMLVKYNLMVFIAIVVAVIRTMVSWVVLTDGILACYN YITIIMFGTSSYTIGNSKWFKYDENLLFVIWIISSMVNFGTGFKSLKLFFRNRNTSKL NFLKTMALELFKQGFLHMAIYVLPIITLSLVFLRDVPIKQIIDISHGSRSFALSLNES FPTWTRMEHIYFGLLIALESFTFFFQATVLFIKWFKSTVQNVKDEVYTKGRALENLPD EN SPAR_I01290 MQNIKEDKSTIPAPNKDAILPPLAIRFTIKAMQMSNQGINVPGT AKPGHRDYSSLAIQMGVIVALIHLMTAHVFKEYYLHNGPLETGDRTSTDEKKSASEEA II SPAR_I01300 MSFVSKLLYTVSALVLFHSGFSSYEFHHLLKLNSLNNAQGVISR LPKDIEYETYAGLLLFVLAVFTSFEKLQYLPIESNDGMIISQGNYLKEIALNKATNVD NLIGSNPNGEIIFSPSFVDVHAKRKVSREWASNTEKKEK SPAR_I01310 MAAVRRSTRIRTKSQATEEEGSDDEQNTSVQHVESDKITAKAQR EEEEEEEEDNGESEESSSEDDYEDQDDDDYVDTATAKRKSRKRKSKSASNTSSKRQKK KPTSTQKSAASHAPVYHRSKKDQDQYLEIAKDFQPTELFEILSTSEDVSIEELLREWL ETYTENRDKFLQEFINLLLNCCGSVARVEDHDVHSNESSNETIGEIQLLFQRQKLHEF YLLVSKENKKRKNFRMGPLYQNFAEFMTKLLEVANDLQLLYVESDEDDTQIVTGNLVL DLLTWLSSFSVCKIRCFRYISTLTLYLFQDYLTQQAVNLEKNYLAKLTRQLSLEEKKK RPNRKTLEKLESTIAETHGSKVVIESIIDNIIKLCFVHRYKDVSDLIRSESMLHLSIW IKNYPEYFLKVTFLKYFGWLLSDNSVSVRLQVTKILPHLIIQNHNSKSTDNSAIRQVF ERFKTKILEVAIRDVNLDVRIHSIQVLTEASSLGYLDDSEILIISSLMFDEEFDPFRT SSFNRRSKFLSTVAKFLARVINEKFEEFIKTHEDLPKEVDGLEVGSVVQVGIFTKILN DSLIYHLKDSAEVDSRTKIRMLTQAAEFLSPYISTHLKTICNLLISDTESNELIQTLQ NSTDNNNDNDDVDDEELDITPLFPIDRNSTILYLNVFHGLCAGSNNPKIQTKDSVKEI VLPLFYDLLNATSIESADILCPLLESFMTFSLDDWISIGYETELKKITDKTVKAFMDS TIGDSKVDMKYDIFAKFIHHIHHFEKKELQEKLLNQITTLTIHLKKFLEEKMEPNNSR DDYKDLTCSLYELYINKLTILGRDYPIEVDEELLQLFLNNFVSRIPIIFQSFDDSTAQ EINFKILVLLATWNLEKWREIIEKVTDHENSTPKNLRSVWKPIAAIIGRLNTLVTSLA AINETSENINSLFYLKWSASTSLMDIIVAIKVFELKLPADATSWKYSMSEQFPFYLHD NASKVLLEVFLYLESLFAKQIDVQLERVADEDANLNDLPETGFFNNIETEFLLFTVKL KGLMKLNILDERFASRMALNKEKLGPLFKKIVDDTIMESPESNEKNKQKAKSNQTQKE KDLPLQPNSERETDHANIEKNDSDIPMTNDLEPIEESSQDNSELAPIEEHPTVVDAID NSDAMTQD SPAR_I01320 MSNFLLVIPEDVIKGCSKADKLVVTGEFDNWRHSDYVLQYDGKT QNYRVQIPRRKGQRSTMFKVVVNDKKWVTLNYFDTVTDKSGYTNNILHFKDNEASQLM DIPLSPHTRSNTAKGKPEDDSLNDYVNLSSHSDLSSTEEIVCWNSDMEDENMDATIQC DFNQAFNSRKESLNGLMCIAKKVKTYWNK SPAR_I01330 MKVPQICSYLLSIAPLVVAHGLHHNKDYGLEAKHEFKQNSVVLK QESIFYSLVCLLQDHVFVMGPRYNAIVAILIIQFMPCLFVLLVPGLRRNDPSSLTLSL LVSFSLGTLLGDILLHVVPESLSGVSDPTVVGAAIFLGFISFLALDKTMRILSGTSSD DGGIHSHSHSHTPQHQEAEKKMGFNMSAYLNVISGIAHHITDGIALAASFYGSTQVGI MTSIAVTFHEIPHELGDFAILLSSGFTYPQAIRAQAVTAFGAVVGTAIGCWINEIGNA SNKGTSSSAGASELMLPFTAGGLIYIATTSVVPQILHSSAPHGKLQEFKKWTLQLVSI FVGFAVMALMDEH SPAR_I01340 MYRSTFIRTSGTSSRTVTARYRSQDTGFLVARVLFSTSATRAQG GNPRSPLQIFRDTFKKEWEKSQELQENIKTLQDASGKLGESEAYKKAREAYLKAQRGS TIVGKTLKKTGETVEHIATKAWESEIGKNTRKAASATAKKLDESFEPVRQTKIYKEVS EVIDDGESSRYGGFITKEQRRLKRERDLASGKRRRAVKSNEEAGTAVIATNIESKESF GKKVEDFKEKTVVGRSIQSLKNKLWDESENPLIVIMRKITNKVGGFFAETESSRVYSQ FKMMDPTFSNENFTRHLREYIVPEILEAYVKGDVKVLKKWFSEAPFNVYAAQQKIFKE QDVYADGRILDIRGVEIVSAKLLAPQDIPVLVVGCRAQEINLYRKKKTGEIAAGDEAN ILMSSYAMVFTRDPEQIDDDETEGWKILEFVRGGSRQFT SPAR_I01350 MSEEGPQVKIREASKDNVDFILSNVDLAMANSLRRVMIAEIPTL AIDSVEVETNTTVLADEFIAHRLGLIPLQSMDIEQLEYSRDCFCEDHCDKCSVVLTLQ AFGESESTTNVYSKDLVIVSNLMGRNIGHPIIQDKEGNGVLICKLRKGQELKLTCVAK KGIAKEHAKWGPAAAIEFEYDPWNKLKHTDYWYEQDSAKEWPQSKNCEYEDPPNEGDP FDYKAQADTFYMNVESVGSIPVDQVVVRGIDTLQKKVASILLALTQMDQDKVNFASGD NNAASNMLGTNEDVMMTGAEQDPYSNASQMGNTGSGGYDNAW SPAR_I01360 MTKFIGCIDLHNGEVKQIVGGTLTSKEEDVPKTNFVSQHPSSYY AKLYRDRGVQGCHVIKLGPNNDDAAREALQESPQFLQVGGGINDTNCLEWLQWASKVI VTSWLFTKEGHFQLERLERLTELCGKDRIVVDLSCRKTQDGRWIVAMNKWQTLTDLEL NAETFKELRRYTNEFLIHAADVEGLCGGIDEQLVSRLFEWTKNYDGLKIVYAGGAKNI DDLKLVDELSHGKVDLTFGSSLDIFGGKLVKFEDCCKWNEEQS SPAR_I01370 MSYISSDKDSHDNIKSINEVEDYQKMSRDDEEYIKQMELQRKAF ESQFGSLESMGFEDKTKNIQTEADTSHSSCSELDSSDDGNGFKNGNTEGSFSSEEENS YESGEDNEQDSKPKTQPKVIKFSGPSDVYIPPSKKTQKLLRSGKTLSQMNKKLESTDA KEEKEDETLEAENLQNDLELQQFLRESHLLSAFNNGGSSSIDSGVSLTLQSMSSGNDD GIVYQDDQVIGKARSRTLEMRLNRLSRVNGHQEKINKLEKVPMHIRKGMIDKHVQRIK KYEQEAAEGGIVLSKVKKGQFRKIESTYKKDIERRIGGSIKARDKERATKRERGLKIS SVGRSTRNGLIVSKRDIARISGGSKSGKFNGKKKSRR SPAR_I01380 MGRVIRNQRKGAGSIFTSHTRLRQGAAKLRTLDYAERHGYIRGI VKQIVHDSGRGAPLAKVVFRDPYKYRLREEIFIANEGVHTGQFIYAGKKASLNVGNVL PLGSVPEGTIVSNVEEKPGDRGALARASGNYVIIIGHNPDENKTRVRLPSGAKKVISS DARGVIGVIAGGGRVDKPLLKAGRAFHKYRLKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRGAVSGQKAGLIAARRTGLLRGSQKTQD SPAR_I01390 MAVAYSLESLKKISNALVGDQLAKVDYFLAPKCQIFQCLLSIGA DDGLELKNAKLDLLYTLLHLEPQQRDMVGTCYLDIVSAIYKSMTLAGSFTKNDSSTNY KYVKLLNLCAEVYPNCGFPDLQYLQTGFIHLVNHKLLRSKCKTDEVITIIELLKLFSL VDEKNCSDFNRTKFMEQEREVTEISHYQDFKMADSLEHIIVKISTKYLDQISLKYIVR LKVSRPASPSSVKNDPFDNKGVDCTRAIPKKINISNMYDSSLLSLALLLYLRYHYVIP GDRKLRNDSTFKMFVFGLLKSNDVNIRCVSLKFLIQPYFTEDKKWEDSRTLEKILPYL VESFNYDPLPWWFDPFDILDSLVVLYNEITPMNNPILTTLAHTNVIFCVLSRFAQCLS LPQQNEATLKTTTKFIKICASFAASDEKYRLLLLNDTLLLNHLEYGLESHITLIQDFI SLKDEIRETVAETHSMCLPPIYDHDFVAAWLLLLKSFSRSVSALRTTLKRNKIAQLLL QILSKTYTLTKECYFAGQDFMKPEIVIMGITLGSICNFVVEFSNLQSFMLRNGIIDII EKVLTDPLFNSKKVWDDNEDERRTALESIPVHEVKANSLWVLRHLMYNCQNEEKFQLL AKIPMTLILDFINDPCWAVQAQCFQLLRNLTCNSRKIVNILLEKFKDVEYKIDPQTGN KITIGSTYLFEFLAKKMRLLNPLDTQQKKAMEGILYIIVNLAAVNENKKQLVIEQDEI LNIMSEILVETTNDSSSYGNDSNLKLACLWVLNNLLWNSSVSHYTQYAIENGLEPRHS PGDSENPQSTVTIGYNESVAGGYFRGKYFDEPDGDDSSSNANDDEDDDNEDDEDDDEF VRTPAAKGSTSNVQVTRATVERCRKLVEVGLYDLVKKNITDESLSVREKARTLLYHMD LLLKVK SPAR_I01400 MSHNAMEHLKSKLSKTSTSTYVLLAVIAVVFLVTIRRPNGSKGK SSKKRASKKNKKGKNQFEKAPVPLTLEEQIDNVSLRYGNELQGRSKDLINRFDAEDEK DIYERNYCNEMLLKLLIELDGIDLINVDESLRKPLKEKRKAVIKEIQAMLKSLDSLK SPAR_I01410 MFIINILCLKLILAGFAIINTIAALTNDGTGHLEFFLQQEEGMY YATTLDIGTPSQQLTVLFDTGSADFWVMDSSNPFCLPTSNTSSYSNATYDGERVTPSV DCGSMNTYNDNSSSTYQNLDNGRFYITYADETFADGTWGTETVSINGIDIPNIQFGVA KYATTPVSGVLGIGFPRRESVKGYEGAPNKYYPNFPQILKSEQIIDVVAYSLFLNSPD SGAGSIVFGAIDESKFSGDLFTFPMVNEYPTIVDAPATLAMTIQGLGAQNKSNCEHET FMTTKYPVLLDSGTSLLNAPEVIADKMASFVNASYSAEEGIYILDCPVSADDVEYNFD FGDLQISVPLTSLILSPETEGGYCGFAVQPTNDSMVLGDVFLSSAYVVFDLDNYKISL AQANWNASEVSKELVEIGTDGSIPGAKTATAEPWSTNEPFTVTSDIYSYIACKSKPSV QSPTAFSYIVQTSVETRNCSIKVPGARSTTILSKTTQYSDMHQTIKATTKKSNGTKLK SSSTTENSGGVPVPTSISLDTGFTHSISQNTSSPSVVEHSTLNPTVVHETKNRPAHKT IITETVTKYSTVLINVCKPTY SPAR_I01420 MSNDSANLLMNWEELTPGNCYISYTTNPMLGDYVLNVSAINGCT EELVATHLVPTLENATQWVHEMGEYWDSLTFADENGTSLPEYYYFFQENEEQ SPAR_I01430 MLKSLKSRRLILKRSITLLLSLLFSYLIFSASRNATSSNKLNSH ASERTAVESSAFGWIEKRQRQMESENLIKRLFAFFLPSLSTTPYNERVLLTQLAKNEI AKRDKCRYIFEVLYRIDRNWDNAQTAKFYNVDGIDNTLASLLGERLRSYDYCFLSGEL DPTEVFDNSSINPHDLQNRIFPFLKKTNNESKMVMWPVITDLTTGEAVPIPNVDMESS KFNGNFWFNWNRLSKGRGFVLTIAEKDVPLFLKQLKVMEFSKNELPFQIVTTGNELSA ESIAKISEVANETKQKVYLIDCSTVLDTEFSKTYISFFQNKWVATLFNTFEEYILLDA DVVPFVGSDYFFDSPSYKESGILLFKDRVMENEQTFQYCIEMLSGVEPSGQERRFIGS NLIFDSSLPFSSETSEEASVYYNFFKKLRLHHVDSGLVVVNKLEKLNGLLMSFMLNLD GKLQRCVYGDKEIFWLGQLYAGQDYSINPVDGSIIGPVNEQSGNDNGYTSGNYYICST QIAHSDSKNKLLWVNGGLKTCKIPNSAEEDFGKEPEYFKSRYEDVSELKRLYDAPLNV EGLIVPDVSVHPWMQIKECSNYMYCAYSTDDIHTNSEPDAGRLITFTEKELRYINDIS RTWNAN SPAR_I01440 MSLSKYFNPIPDASVTFDGATVQLEEPLGAVQNDDEFASEFENV GHLEISDITFRANEGEVVLVLGNPTSALFKGLFHGHKNLKYSPEGSIRFKDNEYKQFA AKCPHQIIYNNEQDIHFPYLTVEQTIDFALSCKFHIPKQERIEMRNELLKEFGLSHVK KTYVGNDYVRGVSGGERKRISIIETFIANGSVYLWDNSTKGLDSATALEFLSITQKMA KATRSVNFVKISQASDKIVNKFDKILMLSDSFQVFYGTMEECLTHFHDTLQIKKNPND CIIEYLTSILNFKFKETSNSIVGLDTPSVVSEGNQALHINNETDLHTLWVQSPYYKHW KSITSKTIQECTRKDVNPDDISPIFSIPLKTQLKTCTVRAFERIIGDRNYLISQFVSV VVQSLVIGSLFYNIPLTTIGSFSRGSLTFFSILFFTFLSLADMPASFQRQPVVRKHVQ LHFYYNWVETLATNFFDCCSKFILVVVFTIILYFLAHLQYNAARFFIFLLFLSVYNFC MVSLFALTALIAPTLSMANLLAGILLLAIAMYASYVIYMKDMHPWFIWIAYLNPAMFA MEAILSNELFNLKLDCHESIIPRGESYDNISFSHKACAWQGATLGNDYVRGRDYLKGG LRYTYHHVWRNFGIIIGFLCFFLFCSLLAAEYITPLFTRENLLRWNNYLKRYCPFLSS QKKKKGTAITKDSGMCTPKSPVANFSTSSSSVPSVSHQYDTDYNIKHPDETVNNHTKE SVAMETQKHVISWKNINYTIGDKKLINDASGYISSGLTALMGESGAGKTTLLNVLSQR TESGVVTGELLIDGQPLTNIDAFRRSIGFVQQQDVHLELLTVKESLEISCVLRGDGDR EYLGVVSNLLRLPSERLVADLSPTERKLLSIGVELVTKPSLLLFLDEPTSGLDAEAAL TIVQFLKKLSMQGQAILCTIHQPSKSVISYFDNIYLLKRGGECVYFGSLPDACDYFVA HDKRLTFDREMDNPADFVIEVVGSGSTDIPIDDPEKSTSSKFGEQASYHKQSDSSINW AKLWQSSPEKVRVADNLLLLEEESRKSGVDFTTSVWSPPSYMEQIKLITKRQYICTKR DMTYVFAKYALNAGAGLFIGFSFWRTKHNINGLQDAIFLCFMMLCVSSPLINQVQDKA LQSKEVYIAREARSNTYHWTVLLIAQTVVELPLAISSSTLFFLCCYFCCGFETSARIA GVFYLNYVLFAMYYLSFGLWLLYSAPDLQTAAVFVAFLYSFTASFCGVMQPYSLFPRF WTFMYRVSPYTYFIETFVSLLLHDREVNCSTSEMVPSQPIMGQTCGQFMEPFIAEFGG KLHINNTYTVCAYCMYTVGDDFLAQENMSYHHRWRNFGFEWVFVCFNLAAMFVGFYLT YIKKIWPPVIAGIKRCIPSMRRSKTSKNTNEMSL SPAR_I01450 MSFTKIAALLAVAAASSQLVSAEVGQYEIIEFDAILADVKANLE QYMSLAMNNPDFSLPSGVLDVYQHMTTATDDSYTSYFTEMDFAQITTAMLQVPWYSSR LEPEILAALQSAGISITSIGEDASESATATSDASSASESSSAASSSASESSSAASSSA SESSSAASSSASEAAKSSSSAKSSGSSAASSAASSAASSASSKASSAASSASSKASSS SAKASSSAEKSTNSSSSATSKNAGAAMDMGFFSAGVGAAIAGAAAMLL SPAR_I01460 MGEALRRSTRIAASKRMLEDEESKLAPISPPVVLKKKIKTVPKH KANEPADKEGNKSSAAEDLEIGDPIPDLSLLNEDNDSISLKKIAEDNRVVVFFVYPKA STPGCTRQACGFRDNYEDLKKYAAVFGLSADSVTAQKKFQTKQNLPYHLLSDPKREFI GLLGAKKTLLSGSIRSHFIFVDGKLRFKRVKISPEVSVNDAKKEVLELAEKFKEE SPAR_I01470 MPRVILESHSKPADSVFLQPWIKALIENNSEHNQHHPSDHVIPI LTKQDLALPHMSPKILTNPCHFAKITRFYNVCDYKVCASIRDSTHQILS SPAR_I01480 MSEQHSVPVGKAANEHETAPRRNVRVKKRPLIRPLNSSASTLYE FALECFNKGGKRDGMAWRDLVDIHETKKTIVRKVDGKDKSIEKTWLYYEMTPYKMMTY QELTWVMHDMGRGLAKIGIKPNGEHKFHIFASTSHKWMKVFLGCISQGIPVVTAYDTL GESGLIHSMVETESAAIFTDNLLLAKMIVPLQSARDIKFLIHNEPIDPSDKRQNGKLY KAAKEAINKIREVRPDIKIYCFEEIIKIGKKSKDEVKLHPPKPEDLACIMYTSGSISA PKGVVLTHYNIVSGIAGVGHNVFGWIGSTDRVLSFLPLAHIFELVFEFEAFYWNGILG YGSVKTLTNTSTRNCKGDLAEFKPTIMIGVAAVWETVRKAILEKISDLTPVLQKIFWS AYSMKEKNVPCTGFLSRMVFKKVRQATGGHLKFIMNGGSAISIDAQKFFSIVLCPMII GYGLTETVANACVLEPDHFEYGIVGDLVGSVTAKLVDVKDLGYFAKNNQGELLLKGAP VCSEYYKNPIETAVSFTYDGWFRTGDIVEWTPKGQLKIIDRRKNLVKTLNGEYIALEK LESVYRSNSYVKNICVYADESRVKPVGIVVPNLGPLSKFAVKLRIMKKGEDIENHIHE KALRNAVFKEMITTAKSQGLIGIELLCGIVFFDEEWTPENGFVTSAQKLKRREILAAV RPDVEKVYKENT SPAR_I01490 MVNVKVEFLGGLDAIFGKQRVHKITMDKEDPVTVGDLIDHIVST MINNPNDVSIFIEDDSIRPGIITLINDTDWELEGEKDYILEDGDIISFTSTLHGG SPAR_I01500 MEEKELSKLLANVKIDPSLTSRISQMDSFKLSELMVLKTDIEAQ LEAYFSVLEEQGIGMDSALVTPDGYPRSDVDVLQVTMIRKNVNMLKNDLNYLLQRSHV LLNQHFDNMNVRSNQNTTRNNDDQAIQYTIPFAFISEVVPGSPADKADMKVDDKLISI GNVHAANHSKLQNIQMVVIKNEDKPLPVLLLREGQILKTSLTPSRNWEGRGLLGCRIQ EL SPAR_I01510 MTQTDNPVPNCGLLPEQQYCSADHEEPLLLHEEQLIFPDHSSQL SSADIIEPIKMSSSTDSIIGSTLRKKSVPLSSTQITALSGAFAGFLSGVAVCPLDVAK TRLQAQGLQTRFENPYYRGIMGTLSTIVRDEGPRGLYKGLVPIVLGYFPTWMIYFSAY EFSKKFFHGIFPQFDFVAQSCAAITAGAASTTLTNPIWVVKTRLMLQSNLGEHPTHYK GTFDAFKKIFSQEGFKALYAGLVPSLLGLFHVAIHFPIYEDLKIRFHCYSREDNANSI NLQRLIMASSVSKMIASAVTYPHEILRTRMQLKSDIPDSIQRRLFPLIKATYAQEGIK GFYSGFTTNLVRTIPASAITLVSFEYFRNRLENISSVAF SPAR_I01520 MTTNLKRLIFTFLPCITLLLKLTIAAAEPPEGFPEPLNSAEFKE ELSKGLHIIDFYSPYCPHCKHLAPVWMETWEEFKEEGKKLNITFSQVNCVESADLCAD ENIGFFPDIRLYNPSGYVKSFTETPRTKESLIAFARRESVDPNNLDVDLDSAISQSQY LEGFDFLELIAGKATRPYLVSFWPTKDMKDTDDSLEFSVCDKCHEFQRTWKIISRQLA VEDIKTGHVNCESNPTICEELGFGDLVKITNHRGDREPKVALVLPNKTSNNLFDYPNG YSAKSDGYVDFAKRTFANSKFPNITEEELQKKISRNVDFLQERGPVTNNDIRLVFSYD PETVVTEDFDILEYLIEPLSKIPNLYLYQIDKNLIDLSRNHYRGMYQKINYNTDEAQK NLNEQYFTMNTVTQLPTFFMFKDGDFISYVYPGYSTTEMRNIDTIMNWVEKYSNPLVA EVNSSNLKKLMSFQTKSYSNLAIQLVNGGDNKHIKGSNKLIHNLLLASWDYEHIRMEN NFEEINERRASKANGIKKLKDNKAPANKIVDKMREGVPHKDQKKLLLGYLDISKEKNF FRKFGITGEHKVGDVIIIDKSNNYYYTTDNFGDTLTSNNPQSLREAFVSLNIPSKALY NSKLKGRLMNSPFHSVFSFLDIIHQNGMSGYLIIIFLIITILKGPSVYRRYKIRKHYR AKRNAAGILGNMEKKKNQD SPAR_I01530 MEKQEIGVPAASLAGIKHIILILSGKGGVGKSSVTTQTALTLCS TGFKVGVLDIDLTGPSLPRMFGLENKSIYQGLNGWQPVEVETNCAGSLSVISLGFLLG DRGNSVIWRGPKKTSMIKQFISDVAWGELDYLLIDTPPGTSDEHISIAEELRYSKPDG GIVVTTPQSVATADVKKEINFCKKVDLRILGIIENMSGFLCPHCAECTNIFSSGGGKR LSEQFSVPYLGNVPIDPKFVEMIENQASSKKTLVEMYRESSLCPIFEEIMKKLRRQDI TTRVVEKHDQPQID SPAR_I01540 MPGKCGQEIIFNQSILGKSNFVDYRGTTEFTRWAILYSSVNTMT RDTPEDVSTAGAKDILEVLNLLKGGEEKISEVELKLDEMEKKMDSLLVQLEDLHKDSN DLAKSSSQK SPAR_I01550 MRLFIGRKSRSIVISSNNYCLSFQRLRSIPGASSQQRQLSKTPS VTIKSFPDTDLSNDSNYLEVKSCIFNGLLGLVCLNGDIYVAVISGVQNVGFPRWKLID HQVRPSESIYKVLDVDFYSLESDVFDYLLCERSEQNYDKLIHEHPCGPLKKLFSDGTF YYSRDFDISNIVKNHGLSHNLEYTVDNQDLSFIWNSNLASEVINWRSKISNEEKQLFA NAGFLTFVIRGYCKTALIEDGPNTASITIISRISTESKQDTLELQGISEDGRISLFVE TEIVVTTEKFIFSYTQVNGSIPLFWESVDSQLLYGKKIKVTKDSIEAQGAFDRHFDNL TSKYGVVSIVNIIKPRSESQEKLALTYKDCAESKGIKITNIEYSSSVLTKSPHKLLYL LKQDIYEFGAFAYDISKGIYFAKQTGVLRISAFDSIEKPNTVERLVSKEVLELTTNEI DVFELTSPFLDAHDKLWSENYYWLDRTYTKHTKNSGKYTKVYSKLFGSRVRLYDPLHI YISQHLKQLRSKYTFEKDISIFAGTFNISGKIPKDDIKNWIFPKSMSKDDEMADLYVI GLEEVVELTPGHMLATDPYVRQFWEKKILTLLNGPGRKKKYVRLWSTQLGGILLLLFM NETEYSKVKHIEGDVKKTGFGGMASNKGAVAVSFKYSATRFCVLVSHLAAGLENVEQR HNDYKTIAKSIRFSKGLRIKDHDAIIWMGDFNYRILMSNEDVRRKIVSKEYASLFEKD QLNQQMIAGESFPYFHEMAIDFPPTYKFDPGTKNYDTSEKMRIPAWTDRILSRGEVLK QLEYKCCEDILFSDHRPVYAIFRARVTVVDEQKKTTLGTQIYEKIMERLEGLDDDEKI AVLSDDAFVTESFEGNDPIAGPTHSPTPIPEPKRGRKLPPPSSDLKKWWIGSGKQVKV VLDVDPAVYMINPERDPNPFVENEDEPLFIER SPAR_I01560 MDKNFEELCYSCRTGDMDNVDRLISTGVNVNSVDKFDNSPLFLA SLCGHEGVVKLLLQRGAVCDRDRYEGARCIYGALTDAIRDTLLSYDISKAVDVKQPFA THISSMYNDEGFLKRDISFRVSNGQLFTAHRFLLCARSNILAEKMVTEWADHEIVSIE VRPDIFEFFLKFLYLIPILHQIEPGQYEELIELSSKFNIELLPEFLDKARHIADPTEK SRLMSDYQYKFTEVARSQLLVFVNNCILRSTVDLVDSERRAFSLVNCLAYPDIQLAVK NRNGSTQIYPCHLAVLNRAEYFRAMFINDFKEKVTYVKAKHFTGKYNSVIPELTLPNC EFEVAEIILRYLYSDNTDIPWMYAVDVLLLADILLEDRLKTIASTIITQSKEFIQQHN VFDVLYLSWEIGVERLEQFAAKFIAIHLQELYNDPEIKRAIVLSSQRISLRQETDTIE LVDDIRYYLLRKYSFEPDDVELFENQDDLEYLKQVGYLEYRKDMEMVDNILADLELDV SPAR_I01570 MSQEEKVATNATSKAEPSNSRKNDKQELELDELVGKLSIEGTPQ VSQKLSKEEKHAHQLEADSRSIFVGNITPDVTPEQIEEHFKDCGLIKRITLLYDRNTG TPKGYGYIEFESPAYREKALQLNGGELKGKKIAVSRKRTNIPGFNRHYNMQNQCFQQW QWNYPLMAYPNPDTFPYYPQYPPNQSQNQNFGYSKNGYYRSPYNNKNRTYQKKYFNNT KDSTQNNRSTSQKSVVMPSDNVKCSVQDKDSK SPAR_I01580 MASADDYFSDFEDDELDKLYEKAINKSVKETITRRAVPVQKDLH NNVLPGQKTVYEEIQRHVSFGPTHHELDYDALSFYVYPTNYEIRDYQYTIVHKSLFQN TLCAIPTGMGKTFIASTVMLNYFRWTKKAKIIFTAPTRPLVAQQIKACLGITGIPSDQ TAILLDKSRKNREEIWANKRVFFATPQVVENDLKRGVLDPKDIVCLVIDEAHRATGSY AYTNVVKFIDRFNSSYRLLALTATPASDLEGVQEVVNNLDISKIEIRTEESMDIVKYM KKRKKEKIEVPLLLEIEDIIEQLGIAVKPVLQQAVELGIYEECDPSQINAFKAMQQSQ KIIANPTIPEGIKWRNFFILQLLNNVGQMLKRLKIYGIRTFFNYFQNKCTEFTTKYNL KKSTNKIAAEFYYHPILKNIKKQCENYLSDPKFVGHGKLQCVRDELMEFFQERGSDSR VIIFTELRESALEIVKFIDSVADNRIRPHIFIGQARAKEGFDEVKYTRKHAPKGRKKM ERLKRQEEEEFLEAERTKKVENDKLERSARRTGSSEEAQVSGMNQKMQKEVIHNFKKG EYNVLVCTSIGEEGLDIGEVDLIICYDTTSSPIKNIQRMGRTGRKRDGKIVLLFSSNE SYKFERAMEDYSTLQALISKQCIDYKKSDRIIPEDIIPECRETLITINDENEVINEME DVDEVIRYATQCMMGKKVKPKKTIVRKKKVQENKMTKKFFMPDNVETSIVSASSLLNK FLVNESGGKQLVTKNENPIKRQKIFKALDNLENDSTEEVSSSLETEDEEISDNNDVLI ANGRNGRQKELEAVIDPIEENLGQRKPLPNFQHPSMAIFVNDCSFPAKIEENMEDIRE NQHSFEKGKKCIDDKKNLVLTVDDRDLFRRHYMPEGVSFDVEPNLVQYTKGVKVPHCQ KVTEIISLFDEERNDNKKRIIDMNYTKCLARSLLRDTQKLWKNNDKNQVDNSVNHDSS QSLILSNAELDDILGSDSDF SPAR_I01590 MSSEVTPKIPERPSRGKTSELFPPHTSETGDTKANSEPPTPAEK PNIPTRRPMLKAKTMTSFESSTVPESLPQVPLQRPIRRSTTEELNNVMNNTSKELEEI ESLISKHNAHTVCRKKSPTAVREGEVAAIHQNDQGSALGDKSSSTPESSRSETNEHKN DGGNESAVPLSDLVNSSNYETTERSDPEDRTGEQKVHAALDDEAGDRSDFGEKFISGD MTLPVDVSKDVEEDSFIAQLSPGIVESDDKAGKLTRLPESSFEELQKHQEQQEEKLFQ NPIDEESTTSLNEKQENKDNSKVNPQPDRPPTSGTITPATNTNIPSQPKSEQENDVPL IPQSRPKKDLEASVQKEELPNVEKKLISEEHDSSQGSTGEKSKIPKVPSERPKRRAPP PVPKKPSSRIAAFQEMLQKQQQQDLHNNAASPATTASTDIGKKHTDSSTASSSTKADF TNKLNGLFALPGMVNPRQLPPSLDKKLCSPDTEPKVGKQEQPQAKNGPLGNTRRARGP RGRKLPSKVASVEKVEEDDNTNKIEIFNNWNVYSFSSKQKISTDTTPSEQLTILSSEQ AEKAWDEKAKSISEAQPEQPPNEVGTALCPTGLEEKKESTASTESVSVSPLSQTAAVD NRKAVSEESLSPSEAIANRDQDDTTEIQEQQMEHQMEADMKRELSGDYEDIDSALHSE EASFHSL SPAR_I01600 MVVDTEYYDLLGVSTTASAIEIKKAYRKKSIQEHPDKNPNDPKA TERFQAISEAYQVLGDDELRAKYDKYGRKEAIPQGGFEDAAEQFSVIFGGDAFASYIG ELMLLKNLQKTEELNAEDEAEKEKENVETMEESSVDIKTDGTTHAPAGAATATNQGDD KNMAKTSTSNLTVHDGNKKDEQAGAETKKKKTKLEQFEEEQEVEKQKRIDQLSKTLIE RLSILTESVYDDACKDSFKRKFEEEANLLKMESFGLDILHTIGDVYYAKAEIFLASQN LFGMGGIFHSMKAKGGVFMDTLRTVSAAIDAQNTMKELEKMKEASTNNEPLFDKDGNE QVKPTTEELAQQEQLLMGKVLSAAWHGSKYEITSTLRGVCNKVLEDDSVSKKALIRRA EAMELLGEVFKKTFRTKVEQEEAQIFEELVAEATKKKRHT SPAR_I01610 MNKIQKINDKELQSGILSPSQSWHNEYKDTAYIYIGNLNRELTE GDILTVFSEYGVPVDVILSRDENTGESQGFAYLKYEDQRSTILAVDNLNGFKIGGRAL KIDHTFYRPKNSLRKYYEAVKEELDRDIISKDNAKKVIVLAKNDQSG SPAR_I01620 MYNPYQQQGMGYQQQQQQQQQPNGFYPQQQQGQSTNQPQGQPQR LQQMAFNQPQTTGIGGMAQSFGNSFTSMPQQPQTGYNNNGNNNGAYGNGNFGQQQQQV KPQHTGYVPNANVGASMPMMNTTGTMPPPNPAQQPQLQSIQPQSTGYYQSANTANVHP VQPLQSQGTGYYVSTPNLIPSNQAQQPLQAQGTGYYQSQPQQAPPAQQAQSLQPLKPQ QTGFYLQPQNQAPLEPLKPTATGFVNSFANNGINNDIKIPAIRLSFITAQDQAKFETL FRSIVTNGSNTVSGANCRKILMRSGLPPSQLARIWTLCDTSKAGELLFPEFALAMHLI NDVLQGDTIPYELDSKTRNEVSSFIDAINLSIANQDSSANDAPKTPFDEFITAGVQNL QPQPTGFMPQTSFGVPLQSQITGGGVAPALNPQSTGFMAPTTFNMSMNTGTPGLTAQI TGGAPAPMQPTITGNTLQPQATGMMPQTSFGVNLGPQLTGGALQSQYTGGYGSVMPQQ TSNSSMPNLSFNQQGLQPQVTGLQPQPTGFLPPSNFSATMPLTAQKTGFGNNEIYTQS NFGNNLIDNSSQDKISTEEKSLFYKIFETFDTQNKGLLNSPTAVEIFRKSGLNRADLE QIWNLCDINNTGQLNKQEFALGMHLVYGKLNGKPIPNVLPPNLIPSSTKLLDNLKNQL KTEPTATKEKPSFGKIDALSYKNNDDDVLPNYRNRRKVYSAKNEEQSSSFSSPPTRVE NPSSSPLQTNDILEHKTVEKKTPQPKYTGFSREINLKNIAELENEIKNIGSPENPYDN SIPSDLTSRFDAIIAKLPNLFNEISTIDNEITNAKIQLYRKKNPSSIIGSGPNGEITE NDRKKAKSRALLRARMSALTGKSTESEDLLPTEDEQQSAEIKRIQQENGKNQEIIKDI RSSISDISASLKSTMTGSDMISNQEFERWEFGIGLEDGVREFLDDLKSNSNKLVAESS PFVPSSTPTPVDDRSSSPSYSQFKTAEERAAYLKEQAKKRMKEKLAKFEKNRRSVTQN SRSIINENSQEQPQQIEGSSSLVEPRATALEEEKYVEPVQPVQPVQPVQPVQPVQPVQ PVQPVQPVQPVQPVQPVQPVQSVQRVYNEKQESDDDEDDEERRLQEELKRLKLKKKAE KEKRLAALRKQIEDAQNESDEEEENGKNNSSGPMNVPRTAPVAPSTPFSQNPAGVPSS VHAAVTPGASANTTVLPPTSTGHNPYFKDASASSTSTFDTRAAEMQRRIQRGLDEDED DGWSDDDENNNRAAVGNKVEQANIGHPEHARVSPVVTAPPLPSVAPVPQIASVSGGTG SLQANTSNEKSNPIPIAPTPPPVTQEPPVPLAPPLPAVDGSQELPAPSVPAVATAVQK SSSSTPALAGGVLPPPPSLPTEQASNSEPTIVHVDNENGPEKGMGAYGPDSDDDVLSI PESVGSDEDEGDAPPVPTTSIQSIPPTGIPPPPPLP SPAR_I01630 MPAKIHISANGQFCDNDDNEIQLRGVNLDASVKIPAKPFLSTHA PIADNTFFEDADKVSFINHPLVLDDIEQHIIRLKSLGYNTIRFLFTWESLEHAGPGQY DFDYMDYIIEVLTRINGVEQGMYVYLDPHQDVWSRFSGGSGAPLWTLYCAGFQPANFL ATDAAILHNYYIDPKTGREVGKDEESYLKMVWPTNYFKLACQTMFTLFFGGKQYAPKC TINGENIQDYLQGKFNDAIMTLCTRIKEKAPELFESNCIIGLESMNEPNCGYIGETNL DAVPKERDLKLGRTPTAFQSFMLGEGIECTLDQYKRTFFGFSKGKPCTVDPKGKKAWL SAQERDAIDVKYNWERSPEWKPDACIWKLHGVWEIQEGKGPVLLKPNYFSQADETIFI NNHFVEYYTGIYNKFRAFDKELFIIMQPPVMKPPPNLQNSKILDNRTICACHFYDGMT LMYKTWNKRIGIDTYGLVKKKYSNPAFAVVLGESNIRKCIRKQLSEMQKDAKAMLGKK VPVFFTEIGIPFDMDDKKAYITNDYSSQTAALDALGFALEGSNFSYSLWCYCSINSHE WGDNWNNEDFSIWSADDKPLYRDTRARTPTREPSPASTTVSVSTSSSKSGSPSQPPSF IKPDNNLNLDSPSCTLKTDLSGFRALDALVRPFPIKIHGRFEFAEFNLHNKSYLLKLV GKTTSERITVPTYIFIPRHHFAPSQLSIRSSSGHYTYNADYQVLEWFHEPGHQFIEIC VKSKSRSSTPGSEDPNDLPAECIIS SPAR_I01640 MTDSVKANGPSSSDMEYYYKSLYPFKHIFNWLNHSPKPSRDMIN REFAMAFRSGAYKRYNSFNSVQEFKAQIEKANPDRFEIGAIYNKPPRERDTLLKSELK ALEKELVFDIDMDDYDAFRTCCSGAQVCCKCWKFISLAMKIMNTALTEDFGYKDFIWV FSGRRGAHCWVSDKRARALTDVQRRNVLDYVNVVRDRNTDKRLALKRPYHPHLARSLE QLKPFFVSIMLEEQNPWEDDQHAIQTLLPALYDKQLIDSLKKYWLDNPRRSSKEKWND IDQIATSLFKGPKQDSHISRLRECKEDLVLMTLYPKLDVEVTKQTIHLLKAPFCIHPA TGNVCVPIDESFTPEKAPKLIDLQREMENNNDVSLTALQPFVNRFQTYVSSLLKSELG SVKRERDDDDESASLDF SPAR_I01650 MVGPARKKQRIDRNTHHTAAEPVTEAKNTLYVSQLNERINMQRL RVNLFLLFATFGEVLKVSMNFKKERGQAFITMRTIDQASLAQISLNGERFFGKPLKVE FSKSETKTL SPAR_I01660 MSLEPTQTVSGTPPMLHQRTHKQVYPLRMETIPILESDSKATLQ SSEPTQKDEEETEYFENKQSVSNLSPDLKFKRHKNKHIQGFPTLGERLDNLQDIKKAK RVENFNSSAPIGDDNHIGDATTNVNASAMPAPYMPYYYYYHPMNAPTPAMIPYPGSPM HSMMPNSSLQPFYSQPTAAGGPDMTTPQNLSSSQQLLPAPQLFPYGSFHQQQLQQPHY IQRTRERKKSIGSQRGRRLSMLASQANGGSTIISPHKDIPEEDFYTVVGNASSFGKNL QIRQLFNWCLMRSLHKLELKTKSQEEERELEHPAKKSKPEPTRAETDYVDPKRLAMVI IKEFVDDLKRDHIAIDWEDEEKYENGDEEKTLDNTENYDDTELRQLFQENDDDDDDDE VDYSEIQRSSRKFSERRKAVPKEPKKLLPNGKNVENTKNLSILTNKVNAIKNEVKEWA ITLDTSRPDLEWQELTSLSSQPLEPLSDTEEPDHVIADVEAKLETKVDELRYQSHILN SHSLALNEITNSKLNKLNIETMRKISNETDDVHSQVIKPQQLLKGLSLSFSKKLDL SPAR_I01670 MMGFEWGFKPSSKVPQSTVSSQGTGNVVVPNSGVKQKRRYNNEE QQEEEPPRSKHVMKYGGVSKRRPHPGSLIRGQPLPLQRGIELMNKDQLQQLLVDLMTK HPDIQQSVHTRVIGLDFSIQKCLDMLKQKSEAVYQSIPYNRSYESNKLDDYAFVRMKP QILEFLNCLVDFILDNIPPRLENLHASLKFLDICTELVIKLPRFELASNNYYYDKCIE QLSHVWCTLIEHIARDRIILLGDNSSVWKTHMTRLQIYNEHSNGLLERPLQLFKSLDM GTSSAASSSTLSFQESIICHHDTMTANENNNNSGPATDSPFE SPAR_I01680 MHSMGFGWGFLKTNRVPQSHILSTMEPQEEFITTEEVEQEIVPT MEVEQDAPVDIEEVNDDDEMMDDDEEDLEVDMSNNSLTYFDKHTDSVFAIGHHPSLPL VCTGGGDNLAHLWTSHSQPPKFAGTLTGYGESVISCSFTCEGGFLVTADMSGKVLVHM GQKGGAQWKLTSQIQEVEEIVWLKAHPTIPRTFAFGATNGSVWCYQINEQDGSLEQLM SGFVHQQDCSMGEFINTDKGENTLELVTCSLDSTIVAWNCFTGQQLFKITQAETKGLE APWISLSLAPATLTKGNSGVVACGSNNGLLAVINCNSGGAILHLSTVIELKPEQDELD ASIESISWSSKFSLMAIGLVCGEVILYDTSAWRVRHKFVLEDSVTKLIFDNDDLFVSC INGKVYQFNARTGQEKFVCVGHNMGVLDFILLHPVANAGTEQKRKIITAGDEGVSLVF EVPN SPAR_I01690 MSTKLPIVISNGTAFKKIPVQLLLNSGSTARDGFPSNSGSHSGR PRTGITRTCGQCGEIKTSLQWREGPNGAACLCNACGLFFRKLILRFGRAAAKRYMEQI KGTGTKRRIPKELTGTARF SPAR_I01700 MLHLEGDNGRQRSVIANLQKFVYCCLYLRFIKDGSLFLILLGWI ISSLCDFIQELTLRYLKKNYLEVGRDNDHGDDESLAIRGLETPIVRMIINKAIRYYQG LILLETAYCIVYHVRLDVTRDICSKPYGFVIMLLIREFTCPTPAGFPSKLLLVVLDIV LLFSQIIIMNGSLSSSFQNVKLIVKELNAEEEGALNILKLNTWRMDASGPELIVHKNH DNLFPQQIDEDDATEFTPLLNSAE SPAR_I01710 MGKKAQGGKTKPKIDENGTLIVPPPRTIANQDHFHRLNYLYQIS AYQTRTRPKAGANAHMPLARNYIKSMDLISKKTKTSLLPTIKRTICKKCHRLLWSPKG LEITRDGALSVMCGCGTVKRYNITANPNYKTYPEREGNLLNP SPAR_I01720 MNGTRRLISVGLPVAVTAKATLARDEQRPGVETGLGSSGSAMDG LLPSLPGSYDDVDDDSAALHEYMILSRDGAGAIRAPSFVEDTTSDEDDDGDMSRDLSK ALDMSSSSSSSPRARNMRHRSSVSTISAILHQGSSGQEDTTRSLSVPVEQEKPTLLAR VSNIFFRRNSTPRDKHTHSERPASRSDPERLAVTSAAAQSLRRQQQLEDAQYARVIAN FRTIGWCSPSEIKSVEYKRSLINAEWDEKISLLSHAQCYK SPAR_I01730 MNVNNLSEHLQNLISSDSELGSRLLSLLLVSSGNAEELISMINN GQDVSQFKKLREPRKGKVAATTAVVVKEEEAPVGTSNELDKIKQERRRKNTEASQRFR IRKKQKNFENMNKLQNLNSQINKLRDRIEQLNKENEFWKAKLNDINEIKSLKLLNDIK RRNMGR SPAR_I01740 MVLPQIKPKEPEESNLALLSKIHVSKNWKLPPRLPHRATQRRKR AHRLHEEYETEGNDEALQKKKRQNRDAQRAYRERKNNKLQVLEETIESLSKVVKNYET KLNRLQNELQKKESENHALKLKLEALNPKQTSVPAQDPILQNLIENFKPMKAIPIKYN TAIKHRQHSGELPSSIKCGFCNDNTTCVCKELETDHGKSDDGVVTVRKDMSPPNAQCN NKGDSSGLCSNCTNIDKSCIDIRSIIH SPAR_I01750 MQRPFLLAYLVLSLLFSSALGYPTALAPRGSSEGTSCNSIVNGC PNLDFNWHMDQQNIMEYTLDVTSVSWVQDNTYQITIHVKGKENIDLKYLWSLKVIGVT GPKGTVQLYGTNENTYLIDNPTDFTATFEVYATQDVNSCQVWMPNFQIQFEYLQGTAA QYASTWKWGTTSFDLSTGCNNYDNQGHSQTDFPGFYWDKDCSNNCGKSSSSSSSSTPV PTPSSSSTESSSAPVTTSTSESSSTLVSSSTTESSSSTTTTTTPTPVPSGPTCDTVVN GCPNLDFNWHMDQQNIMEYTLDVTSVSWVQDNTYQITIHVKGKENIDLKYLWSLKIIG VTGPEGTVQLYGTNENTYLIDNPTDFTATFEVYATQDVNSCQLWMPNFQIQFEYLQGT AAQYASTWKWGTTSFDLSTGCNNYDNQGHSQTDFPGFYWDKDCSNNCGKSSSSSSSST PVPTPSSSSTESSSAPVTSSTTESSSAPVTSSTTESSSAPVTSSTTESSSAPVPTPSS SSTESSSAPIPTPSSSSTESSSTPVTSSTTESSSAPVPTPSSSSTESSSAPVTTSTSE SSSTLVSSSTTESSSSTTTTTTPTPVPSGPTCDTVVNGCPNLDFNWHMDQQNIMEYTL DVTSVSWVQDNTYQITIHVKGKENIDLKYLWSLKIIGVTGPEGTVQLYGTNENTYLID NPTDFTATFEVYATQDVNSCQLWMPNFQIQFEYLQGTAAQYASTWKWGTTSFDLSTGC NNYDNQGHSQTDFPGFYWDKDCSNNCGKSSSSSSSSTPVPTPSSSSTESSSAPVTSST TESSSAPVPTPSSSTTESSSAPVTSSTTESSSAPIPTPSSSTTESSSAPVTSSSTESS SAPIPTPSSSTTESSSAPIPTPSSSSTESSSTPVTSSTTESSSAPVPTPSSSTTESSS APVTSSTTESSSAPVTSSTTESSSAPVTSSSTESSSAPIPTPSSSSTESSSTPVTSST TESSSAPVPTPSSSTTESSSTPVTSSTTESSSAPVPTPSSSTTESSSAPVTSSTTESS SAPVTSSTTESSSAPIPTPSSSTTESSSAPVTSSSTESSSAPIPTPSSSTTESSSAPI PTPSSSFTESSSAPVTSSTTESSSAPIPTPSSSSTESSLAPVPTPSTSTIESSSAPIP TPSSSSTESSSAPVTSSSTPVTSSTTESSSAPVTSSTTESSSAPIPTPSSSSTESSLA PVPTPSTSTIESSSAPIPTPSSSSTESSSAPVTSSTTESSSAPVTSSTTESSSAPVTS STTESSSAPVPTPSSSSTESSSAPIPTPSSSSNITSSAPVSSSITESSLAPVPTSSTS IIESSSAPIPTPSSSTTESSLAPVPTPSTSSNITSSIPSSTPSTSGTESSSTGTTVTL SSTSSSKYPGSRSETTVAPTTTSTTIPTTTTTSVMSQSTTTITTTVCSTGTNSAGETT SGCSPKTVTTTVPCSTGPSETVPESATNTPTTTPVTTVISTTIATTEYSTSTKPGGEI TTGFVTKNIPTTYLTTITPTPSVTTVTNFTPTTITTTVCSTGTNSAGETTSGCSPKTV TTTVPCSTGTGEYTTSAATTPVTTAVTTTVVTTESSTGTNSAGKTTTGYTTKSIPTTY ITTLAPSAPVTPATNAAPTTITTTECSAGTNAAGETTSVCSAKTIISSGSASENTASP VTTPVTTAIPTTAVTTESFTGTNSAGQLTTGYATKSIPTTYITTLIPGTAPATGSNGA KNYETVATATNPISIKTSSTPQLATTASGSSMAPVVTSPSLTGPVQSASGPAVATYSA PAISSTYQGAANIKVLGNFMWLLVALPIVI SPAR_I01760 MSPKNLTRSVVPAIDLYCRKANFKTLKFLSMILCSKKEWYDNTK APVRNFLVSRCAVFEQLRNRLVDEGKVNLFGVFLTNDSFSFCKMTVDDKFDTSLVDWQ KIPFDYSFATERRQHISLLPPDTLFATEKIISLLGVSPNMANLVSIERQRSDLMDFSC KLQSNILEHLLYAKCQGVQVTSTNEEARLLAAICNPEFIDAFWCELTPIRASLKENPS ISVPQEYQIYDPVIRATIKEVVAKRLLRSAFDNDIDPLMRLRLDKGWKFKFPTLSSTT DLDFSLKDCLSLDTRRDAYDMTEVFLATMASSKTLRTYSNLVDIVMKDNGRFDSGILK QFNDYVKQEKLNLQNFQAGSSEFLKGVKI SPAR_I01770 MNLRSELQKLLNVCFLFASAYMFWQGLAIATNSASPIVVVLSGS MEPAFQRGDILFLWNRNTLNQVGDVVVYEVEGKQIPIVHRVLRQHNNGADKQFLLTKG DNNAGNDISLYANKKIYLNKSKEIVGTVKGYFPQLGYITIWISENKYAKFALLGMLGL SALLGGE SPAR_I01780 MDPHQSPTDNAASPTKSVKATTKNSSASNNVNNNNSNNNNNHDI LNFNDNYTTILQHLANDHPNLLREKGGSQQQQQQQQQQQQQQQQQQNLDTLLHHYQSL LSKSDNTIAFDDNVNNSADNNASNSNNNNNNNNNNNNNDISSPGNLIGSCNQCRLRKT KCNYFPDLGNCLECETSRTKCTFSSAPNYLKRTSSSANSNMPTTSNSKRMKNFEDYSN RLPSSMLYRHQQQQQQQQQQQQRIQYPRSSFFVGPASVFDLNLTRHVRLDNVDQIQLS KTLSLRKVSSTAQFILQDDFDTTLHNKQEYEVDLVENLVHPHGHLLVEIFFKLIHPFL PILHERVFLEKYSRSYRELTAPLLASIYSLALQYWDFHPALLGFPKPDVTAQLNNIAL DTFYARVGRPKLSIIQTGLLILQCRSECHNNWVLCSSVVALAEELGLGVECNDWKLPK WEKDLRKRLAWAVWLMDKWCALNEGRQSHLILGRNWMIKLLNFDDFPINSPTILNSLQ NDQSGSSPSSSNDVKNHQIAFGNLPIFNMNPTLEDFKNGTLMFQQMVSLSIILGEIMD TFYTQGSMTINKSIEQVLKLAKPLQLKLREWYHSLPKNLSMSYATPQKLNSNSTLTLA YFATEITLHRKIICALNPQTPKELVQVCRTAARTRLVAAIEFIRDLKNEHINAFWYNC STGNLMLIGTFAALLYVTSATKEEAMIFRDYVRNYIWVLKIGSKYFDKLSNALNNMHL LFAQIPGLLTDEPVVVSPNSNMNSVNPPRSGAQSQIPIQFNVGSPAMTEQGSPLNQWK NLPQEILQQLNSFPNGTTSTTTPMNPTPRQTQLDSQGSPTINSINNNSNNTPLPFAQN KSSKKTSQSSPNATPSHMNRHPPSNTSSPRVINPSANTQMNASPLTSINETRQGSGVA ANENTSGREKTTNEESSTELKDDNSNPNLETSAAGNQTIKMNDDKNVTINTKGTPL SPAR_I01790 MFLARPPLRNGLMRSLGRMKITARTVTSASAGLKRKSRFDKTMI KPLLLVMVFGSILNAVIAEKRSTHDMERKYKLKVDKLKELIQRVHDNNGKVDFNADDE MKLVNLCLGIVGKNTNTMKEDKTDIVIPKEESLEEIWQSIIDEAKKEVVEKTPDDDVK NKEGIVTDLKLLKDLEKSKKEDEKVYLSGDVHMMMNQPGDLNEIAKENDKIPKFL SPAR_I01800 MNSGGREDRTMVRALRDIALFNDIRKDQNSAGAKHERYNMRDLR SKKNQHGNGIDDYEDDSLERFIRRKKSRVVKYIPSFSAYNVFNEVPYYPTSATQLQDG KLDEFLMLSEQYKSKLPKIRKLGWNRFKPIGINKTMYELEMLRSRAQAQNAEVNNEED FRQHDPREEDPRNNGSIGRVILPHILQENDDDTGEDVAGSHSVPNDSMGILVNDNANN SHIEGISEEDEISYDYDAEFDHVVDEDDNEEGEMQGEGVEGIEVEGERIVPDDLLMRP TSLSRSLQQFVEEAHHLDRNPYDIDSDNDGEDSKIELDMNADFEDEVETGREGRGHDY NSEYGQAPTSYGEITPDLASNWRNWTRERITSLDELMERRARQQRGQD SPAR_I01810 MAGNAKLADEEVTRVLGGIYLGGISPIVDHRPLGAEFNITHILS VIKFQVIPEYLIRKGYTLKNIPIDDDDVTDVLQYFDETNRFIDQCLFPNEIEYSPRLV DFKKKPQRGAVFAHCQAGLSRSVTFIVAYLMYRYGLTLSMAMHAVKRKKPSVEPNENF MEQLHLFEKMGGDFVDFDNPAYKQWKLKQSIRLDPSGSELVSNSEMFKDSESSQDLDN LTEAEKSKVTAVRCKKCRTKLALSTSFIAHEPPSKESSEGHFIKRAANSHRIIDIQES QANCSHFFIEPLKWMQPELQGKQELEGKFSCPGCSSKVGGYNWKGSRCSCGKWVIPAI HLQTSKVDQFPLQSTALPNMVNFESEKVNK SPAR_I01820 MPINAITSNHVIINGAIKPATIVYSNESGTILDVLEGSVIMKKT EITKYRIHSLENVSPCTILPGLVDSHVHLNEPGRTSWEGFETGTQAAISGGVTTVIDM PLNAIPPTTNVENFRIKLEAAQGQMWCDVGFWGGLVPHNLSDLVPLVKAGVRGFKGFL LDSGVEEFPPIGKEYIEEALKVLAEEDTMMMFHAELPKAGEDQQQIERDHCEYSSFLS SRPDSFEIDAINLILECLSARDGPVPPVHIVHLASMKAIPLIKKARASGLPVTTETCF HYLCIAAEQIPDGATYFKCCPPIRSESNRQGLWHALREGVISSVVSDHSPCTPELKNL QKGDFFDSWGGIASVGLGLPLMFTQGCSLVDIVTWCCENTSKQVGLSHQKGTIAPGYD ADLVVFDTSSEHKITNSSVFFKNKLTAYNGMTVKGTVLKTIIRGQVGYTNANGVSKTP LGQTLLDSRH SPAR_I01830 MANDALSAIFSNPSRKGVQPSTSIVSYTNNNEDDIIDVENGKFN KNKNINTNVYVDNSSIEESEVMPLPETKSIWSKIYYDFIVLDKTTLNVSLKESFLYNR DLKPVEEERRCWSWFNYLYFWLADCFNINTWQIAGTGLQLGLNWWQCWLTVWIGYTFA GVFVVLNSRFGSAYHLSFPITVRASFGIFFSMWPIINRVVMAIVWYAVQAWLGATPVA LMLKSIFGKNLEDRIPNHFGSPNSTTFEFMCFFIFWVVSIPFVLVAPHKIRHLFTVKA ALIPFAAFGFLIWALKKSHGKIELGTLNDYSPHGSEFSWIFVRSLMACVANFAALIIN APDFGRFAKNPQASLWPQLVAIPLFFAITCLIGIIVTAAGYHLYGVNYWSPLDVLGQF LETTYTRGTRAGVFLISFVFALAQLGTNISANSLACGADMTALFPRYINIRRGSLFCV AMALCICPWNLMASSSKFTSALGAYAIFLSSIAGVICADYFVVRRGYVKLTHLFLAQK GSFYMFGNKFGVNWRAFVAYICGIAPNLPGFIGDVGAPKITVSDGAMRLYYLGYPVGF FISTVVYLILCYFFPVPGTPVTNFLTEKGWFQRWAYVEDFEQDWRNELRRDDLCDDTI SIYDNTDEKIVY SPAR_I01840 MKFFSLADEAEFKSIITSKNKAVDVIGSKLGGQVVSFSDEWFAS AENLIKPTVPIRDPTRFVHSGAWYDGWETRRHNEMEYDWVIIKMGVAAAHIIGGEIDT AFFNGNHAPFVSIEALYDEGEEGDIMEDDPRWVEIVEKFECGPSQRHLFVRSNGLTEE RFTHVKLKMYPDGGIARFRLYGRVVPPEFRTENHVIDLAYVCNGAVALKYSDQHFGSV DNLLLPGRGHDMSDGWETKRSRQPGHTDWAIIQLGRESSFIEKIIVDTAHFRGNFPQF VTVEGCLKDSESSEKIDGRTWVELVGKSKTGPDKEHVYEIRKNIRVSHVKLTIIPDGG VKRIRVWGH SPAR_I01850 METRILVVNPNSSKSMTVSLQETIEKTFSEKSYKISYFTGPDAS PPQIDGQETSIKSMEACLPLLVDDHKSVYYYKKFDGILIACFSDHPLVAKIKNRSAKE KGNVSVVGLLDSSIHYCDLIGRKFSIVTSNKEWVPILNNSVESKFLTGNTINKNLWKG TVSTDLQVLDLHSPENFQQIADIIYEENIRKLDSEIVILGCAGFSGLQNKLAKTFEKD GTLFLDTIEIGLEILITMIKFINSQK SPAR_I01860 MVKISLNNTALYADIDTTPQFEPSKTTVADILTKDALEFIVLLH RTFNSRRKQLLANRSDLQSKLDSGEYRFDFLPETEQIRSDPTWQGAIPAPGLINRSSE ITGPPLRNMLVNALNAEVTTYMTDFEDSSSPTWENMIYGQVNLYDAIRNQIDFKTPRK EYKLKDDISKLPTLIVRPRGWHMVEKHLYVDDEPISASIFDFGLYFYHNAKELVEIGK GPYFYLPKMEHHMEVKLWNDIFCVAQDFIGMPRGTIRATVLIETLPAAFQMEEIIYQV REHSSGLNCGRWDYIFSTIKKLRNLPEHVLPNRDLVTMTSPFMDAYVKRLINTCHRRG VHAMGGMAAQIPIKDDPKANEVAMNKVRNDKIREMKNGHDGSWVAHPALAPICNEVFS NMGTANQIYFVPDVHVTSFDLLNTKIQDAQVTTEGIRVNLDIGLQYMEAWLRGSGCVP INHLMEDAATAEVSRCQLYQWVKHGVVLSDTGDKVTPELTARILDEETTKLASTSPLG EKNKFALAAKYFLPEVTGKIFSDFLTTLLYDEIIKPSAKPIDLSKL SPAR_I01870 MVTVVAETLTIESFKEYGTIISPDEEISRLQDLEKGANQGTAIK LLQVSRVENGSTSKVPNWNLFRCFPQAHLNRIFARGLNQGISHSIKVLEKHPCSSQTF VPMGRTSAEVAYLVVVAKEYANKPDLSTLRAFTCLGGQAVTYGLGIWHAPMIVLGKQE HLDFSVLIYESLEPERPEKDCVEEHYGDGDICITI SPAR_I01880 MQQNSEFLTETPGSDPHISQLHANSVMESQLLDDFLLNGSPMYQ DDSMAHINIDEAANFQNFIKTDEGDSPNLLSFEGIGNNTHINQNVSTPLEDEIGGDRG VKEEEENEHENMVFKEKNTGSSVHDEIIFGRKETIQSVYINPLDYLKVNATQLPLNVE VSGLPQVSRVENQLKLKVKITSEIPLSQNMVYLPSDSISREKFYLKKNIEEFSQDFKK NLLYINAFVLCAVSNRTTNVCTKCVKREQRRAARRKSGIADNLLWCNNINRRLVVFNN KQVFPIMKTFDNVKEFELTTRLVCYCRHHKANNGFVILFTITDWQNRLLGKFTTTPIM ITDRKPANMDTTKFNNTTTTSRRQLTEDESTTEYYSTDNNQLSKDENMPFQYTYQHNP YDNDSQMNNIPLKDKNLPFQYSIPQQTDLLQNNNLSLNLSLPNQHIPSPTSMSEEGSE SFNYHHRDNDNPVRTISLTNIEQQSQLNQRKRARNNLENDIGKPLFKHSFSNSISPAN NTMNPSLHSMHDFSMKNNNNSLPSINRVIPSQGPINGGIEVTLLGCNFKDGLSVKFGS NLALSTQCWSETTIVTYLPPAAYAGQVFVSITDTNNENNADDLPQEIEINDNKKAIFT YVDDTDRQLIELALQIVGLKMNGKLEDARNIAKRIVGNDSPDSGANGNSCSKSTGPSP NQHSMNLNTSVLYSDEVLIQKVIKSLNINSNISICDSLGRTLLHLACLKNYSNLVYTL IKKGARVNDIDSFGLTPLHFACISGDPKIIKMLLNCKVNYSLRSHNGLTAKEIFIANH IQPKEFNRKQENRDNHKFVQNDAYISEVLSLFEKFQDGARFANSVETDSNYSISRKYS QSSFNSSLLDNESLNENIFENQNMLIPTSVEIQHPTFQLFENSSYSEYDQSDFEEDGD EDLFVTDEVEQPGVACSEEENKPLDIESSVNETGEDNGSTSLWNRVLHRINDDLPKYE DLFPLSWGKDDKLKTANQDNIVEQSGSNIENSENSEEEDYEEEEEFLKKQFNRFFQNK QNFQNDKMLIFFWIPLTLLLLTWFIMYKFGNQDSSINHISELISEYLRIALAKFLLGN ERMKTAFRSKLSNLQTTRMLNDLIVS SPAR_I01890 METGILRVTSEDGNERFTSPTHKMPAVTLHLRAETKPLEARAAL TPTTVKKLIAKGFKIYVEDSPQSTFNINEYRQAGAIIVPAGSWKTAPRDRIIIGLKEM PETDTFPLVHEHIQFAHCYKDQAGWQNVLTRFIKGHGTLYDLEFLENDQGRRVAAFGF YAGFAGAALGVRDWAFKQTHSDDEDLPAVSPYPNEKSLVKDVTRDYKEALATGARKPT VLIIGALGRCGSGAIDLLHKIGIPDANILKWDMKETSRGGPFDEIPQADIFINCIYLS KPIAPFTNMEKLNNPNRRLRTVVDVSADTTNPHNPIPIYTVATVFNKPTVLVPTTVGP KLSVISIDHLPSLLPREASEFFSHDLLPSLELLPQRKTAPVWVRAKKLFDRHCARVRR SSRL SPAR_I01900 MGKVILVTGVSRGIGKAIVDILFSLDRETVVYGVARSEAPLKNL KEKYGDRFFYVVGDITDDSVLKQLVNSAVKGHGKIDSLVANAGVLEPVQNVNEIDVNA WRKLYDINFFSIVSLVSIALPELKKTNGNVVFVSSDACNMYFSSWGAYGSSKAALNHF AMTLANEEKQVKAIAVAPGIVDTDMQVNIRENVGPSSMSAEQLKMFRGLKENNQLLDS SVPATVYAKLALHGIPDGVNGQYLSYNDPALKDFMP SPAR_I01910 MGKIILVTGASRGIGLQLVKTIIERDDECVIYGVARTEASLQSL QKEYGADKFMYRACDITDRSQVEALVEEIRNKHGKLDSIVANAGMLEPVKSISHATSE HDIKQWERLFDVNFFSIVTLVTLCLPLLKGSPFVSNIVFVSSGASVKPYNGWSAYGCS KAALNHFAMDIASEEPSDKVRAVCIAPGVVDTQMQKDIRETLGPQGMTPKALERFTQL YKTSSLLDPKVPAAVLAQLALKGIPDSLNGQYLRYNDERLGPVQG SPAR_I01920 MSEFYKLAPIDKKGQPFPFDQLKGKVVLIVNVASKCGFTPQYKE LEALYKRYKDEGFTIIGFPCNQFGHQEPGSDEEIAQFCQLNYGVTFPVMKKIDVNGSS EDPVYKFLKSQKSGMLGLRGIKWNFEKFLVDKKGKVYERYSSLTKPSSLSDTIEELLK EVE SPAR_I01930 MSSPIIKVHWLDQSRAFRLLWLLDHLKLEYEIVPYKRDANFRAP PELKKIHPLGRSPLLEVQDRETGKKKILAESGFIFQYVLQHFDHSHVLMSEDADIADQ VNYYLFYAEGSLQPPLMIEFILSKAKNSGMPFPISYLVGKVADKISQAYSSGEMKNQF AFVEGEISKNNGYLVDGKLSGADILMSFPLQMAFERKFAAPEDYPAISKWLKTITSEE SYVTSKEKAHALGSKF SPAR_I01940 MANLNKFGQEVGADVNGWTTRVFPEKVVLKGNYCRLEPLDREKH GSELFSAYNKAGQKLWTYLPVGPFNTLEEFLEFIKKLNETRDTVPFAIINEETKRAVG TLCLIRIDEANGSLEVGYVIFSPELQKTIIATEAQFLLMKYVFDDLQYRRYEWKCDSL NGPSRRAAMRLGFKYEGTFRQVVVYKGRTRDTQWFSIIDKEWIFIRKTFEEWLDGTNF ENGRQKRGLAAIRENLLN SPAR_I01950 MKNNEIEDEKSVALSSFGHLESQKIVLPQNVFRSQFTWMFYESF KSLVFRTWILLWLPVMVWWKISTNLIYLFIVSFLLFFLGSIFVPVTAVLSYRRSLSKQ LTQFSKEIAKNTPGTHTHDWEVIAINLNSYFYEIKAWNTKYFFFNAADCQEAFRRTLL EPFSLKKDEAAKVKSFKDSVPYIEEALQVYFTEVEKQWKLFNTEKAWSAVSLEDIQLP REAHRFKLTWVFKRIFSLGCLPLFLSVLYNVYVSRNLPPLFRILYLGCFLLTAVKSFQ NIRVSLMKMEHKMQFLSTIINEQESGADGWDAIAKKMNRYLFEKNVWKSEDFFFDGID CEWFFSHCFYRLLSSKKSDSSVSLNVELWPYIREAQVSRSDEF SPAR_J00010 MVPLFGLFYIFSQLCSLCSAYVDVTSGYQVFLGLPNNMTNNQIC WLFQTSYFDINSDKSGRTLRTGRFEPGDQQSLVYRDTLVELEAITDFYEYSNLDLSTY NGPEPYNSETDYCRDIMDLVMRVYDEEGNYVHPAANGSRNACAHPTPPTLNNLLINHY FDGRNYKESSI SPAR_J00020 MVETDLSRNDNLDDKSTVCYSEKADTDVDKSTASGLRRIDAVNR VLSDYGSFTAFGVTFSSLKTALLVALFLQGYCTGLGGQISQSIQTYAANSFGKHSQVG SINTVKSIVASVVAVPYARMSDRFGRIECWIFALVLYTVGEIISAATPTFGGLFAGII IQQFGYSGFRLLATALTGDLSGLRDRTFAMNIFLIPVIINTWVSGNIVSSVAGHTAPY KWRWGYGIFCIIVPFSTLILVLPYAYAQYISWRNGKLPPLRLREKGRTLRQTLWKFAE DVNLIGVILFTAFLVLVLLPLTIAGGATSKWKEGHIIAMIVVGGCLGFIFLIWELRFA KNPFIPRVYLGDPTIYVALLMEFVWRLALQIELEYLVTVLMVAFGESTLSAQRIAQLY NFLQSCTNIVVGIILHFYPHPKVFVVTGSLLGVLGMGLLYKYRVVYDGISGLIGAEIV VGIAGGMIRFPMWTLVHASTTHNEMATVTGLLMSVYQIGDAVGASIAGAIWTQRLAKE LIQRLGSNLGMSIYKSPLNYLKKYPLGSEVRIQMVESYSKIQRLLIIVSISFAAFNAV LCFFLRGFTVNKKQSFTTEEREKEKLKIKQQSWLRRVIGY SPAR_J00030 MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNDDCFALIEKAHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDENGKPIPPNNWRSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVAGLPDAPVIDDNTKWQPSDPFTMNGPRIHEFHQEMNKFIRDRVKDGREIMTVG EMQHASDETKKLYTSASRHELSELFNFSHTDVGTSPKFRQNLIPFELKDWKVALAELF RYVNGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLVSLTGTLYVYQGQ ELGAINFKNWPIEKYEDVEVRNNYNAIKEEHGENSKEMKKFLEALALISRDHARTPMQ WSREEPNAGFSGPSAKPWFYLNESFREGINAEDEVKDPNSVLNFWKEALKFRKAHKDI TVYGYDFEFIDLDNKKLFNFTKKYDNKTLFAALNFSSDAIDFTIPNDDSSFKLEFGNY PKKEVDASSRTLKPWEGRIYISE SPAR_J00040 MSGANNTSANDLSATESNSNSVASAPSIKTEHGDSKNSLNLDAA EPPIDLPQKPLSAYSTVAILCLMIAFGGFIFGWDTGTISGFVNLSDFIRRFGQKNDEG TYYLSKVRMGLIVSIFNIGCAIGGIVLSKVGDIYGRRIGLITVTAIYVVGILIQITSI DKWYQYFIGRIISGLGVGGIAVLSPMLISEVAPKHIRGTLVQLYQLMGTMGIFLGYCT NYGTKNYHNATQWRVGLGLCFAWATFMVSGMMFVPESPRYLIEVGKDEEAKRSLSKSN KVSVDDPALLVEYDTIKAGIELEKLAGNASWSELLSTKTKVFQRVLMGVMIQSLQQLT GDNYFFYYGTTIFKSVGLKDSFQTSIIIGVVNFFSSFIAVYTIERFGRRTCLLWGAAS MLCCFTVFASVGVTKLWPQGSSHQDITSQGAGNCMIVFTMFFIFSFATTWAGGCYVIV SETFPLRVKSRGMAIATAANWMWGFLISFFTPFITGAINFYYGYVFLGCLVFSYFYVF FFVPETKGLTLEEVNTMWLEGVPAWKSASWVPPERRTADYDADAIDHDDRPIYKRFF SPAR_J00050 MGVLENIVPGELYDANYDPDLLKIRKETKMKLYEYNTLSPADEN KKSRVIRELLGSCTDNFIIEPPFYCDYGSNIYIGDNFYANHNLVILDGAKVVIGDNVF IAPNVGIYTAGHPIDVERRLQGLEYAMPVTIGDNVWIGGGVSIIPGVNIGKNSVIAAG SVVIRDIPENVVAAGNPCKVIRKIVEKDSTTTNYRK SPAR_J00060 MVASKNTALSQGTWFNKPKSVFQEAGKVILETDEKTDFWRETFY GFTRDSGHFLGVQTDSAFTAQVRIQGSYESLYDQAGIMVRIDDGHWLKAGIEISDGHA MLSSVLTNGRSDWSTAIYDKNPKDFWLRVTVEKGVLRLQVSSDKKTWPLVRLAPFPVS DHYFVGPMACTPERGGLNVTFSEWSLTSPLGKALHDLS SPAR_J00070 MKNIISLVSRKRNVPEDEIANIPDSSSGTVMRAKILDAEDLEEE KKDGAFELDHLEFTTNGGQLGDSEGDSESEIRVADAADDANEANNEEKSMTLRQALRK YPKAALWSILVSTTLVMEGYDTALLSALYALPVFQRKFGTMNAEGSYEITSQWQIGLN MCVLCGEMIGLQITTYMVEFMGNRYTMITALGLLTAYIFILYYCKSLAMIAVGQILSA MPWGCFQSLAVTYASEVCPLALRYYMTSYSNICWLFGQIFASGIMKNSQENLGDSDLG YKLPFALQWIWPAPLIVGIFFAPESPWWLVRKNKIAEAKKSLNRILSGTAAEKEIQVD ITLKQIEMTIEKERLLASKSGSFFNCFKGVDGRRTRLACLTWVAQNSSGAVLLGYSTY FFERAGMATDKAFTFSLIQYCLGLAGTLCSWVISGRVGRWTILTYGLAFQMVCLFIIG GMGFASGSNASNGAGGLLLALSFFYNAGIGAVVYCIVAEIPSAELRTKTIVLARICYN LMAVINAILTPYMLNVSDWNWGAKTGLYWGGFTAVTLAWVIIDLPETTGRTFSEINEL FNQGVPARKFASTVVDPFRKGESQNDPQVDVVDQSSSAKQQELDEANTF SPAR_J00080 MTIIHNPKWWKEATVYQIYPASFKDSDNDGWGDLAGITSKLDYI KELGVDAIWVCPFYESPQEDMGYDIANYEKVWPRYGTNEDCFQLIEESHKRGIKVIVD LVINHCSEEHEWFKESRSSKTNPKRDWFFWRPPKGYDEKRNPIPPNNWRSFFGGSAWR YDEKTGEFFMHVFAPGQPDFNWENEECRKAIYDSSVGYWLRHNVDGFRIDVGSMYSKV GGLPDAPITDPTVPYQDGTAFFINGPRIHEYHKEMRQYMISQVPEGKEIMTVGEVGVG NENDFKDYTSAKEGELNMMFNFKHTSVGESPEFKYELIPFTLKDFKLALAESFLFIEN TDCWSTIYLENHDQPRSVSRFGCDSPKWREISSKMLATLIISLTGTVFIYQGQELGMP NFKNRKIEQIKCVEGTGTYAAIKRDYGEDSEKMRKFFEALALISRDHGRTPFPWTGEE PCAGFSKNAKPWLDINESFRDGINAEAELKDKDSVFYFWKKALQVRKEHKDILVYGHN FQFFNLDNDKLFMFTKDADSKKMFAVFNFSSDNTDFPVPDEKASYTMFFGNYADSNGK SRTLQPWEGRLYSMK SPAR_J00090 MTSLPRENIAEEVFGSHQTSAEDSFRLSKHTVEENKAFSDTNGE EAEEVIIPEKPASAYVTVSIMCLCMAFGGFMSGWDTGTISGFVNQTDFLRRFGNYSHS KNTYYLSNVRTGLIVSIFNVGSAIGCLFLSKLGDIYGRCMGLIIVIVVYMVGIVIQIA SVDKWYQYFIGRIIAGIGAGSISVLAPMLISETAPKHIRGTLLACWQLMVTFAIFLGY CTNYGTKTYSNSVQWRVPLGLCFAWAIIMIGGMMFVPESPRFLVQVKKPEQARASFAK SNKLIVDDPAVIAEIDLLIAGVEAEEAMGTASWKELFSTKTKVFQRLSMTVMINSLQQ LTGDNYFFYYGTTIFKSVGMTDSFETSIVLGIVNFASCFFSLYSVDKLGRRKCLLLGA ATMTACMVIYASVGVTRLYPNGKDQPSSKGAGNCMIVFTCFYIFCFSCTWGPVCYVII SETFPLRVRSKCMSVATAANLLWGFLIGFFTPFITSAINFYYGYVFMGCLAFSYFYVF FFVPETKGLSLEEVDEMWMDGVLPWKSTSWIPASRRNADYDNEKLQHDEKPLYKRMF SPAR_J00100 MATYDIDVNEGMNKSLADLVAPWRPKPLKNYCISNTNLIDVVSG ATLAGAYIFIENGMISKVEFGSEKPVTVDEGAFEIIDGIGKFVTPGLIDSHVHVASVA GEADLSKLMLIPKSVTLLRIRYTLEATLARGFTTVRDCGGAEGFLKAEIRQGSLKGPR LITCGHAISQTGGHGDLRPGALPASAFDSCSCHYGQVGVVADGVPECYKVAREEFRRG ADFIKFMGGGGVASPTDKISNNQFCDDEIKALVDVANSYHTYVTAHAYTPEAIEKCIK LGVKGIEHGNLLDERTAELMAESGCYLTPTLVTYKVMGSDQFSAFLGPENSRKNTEVL YKGIDAIKIAQSKKVKICFGSDLLGPLYGYQTQEFCIRGKVQTAQEILLSATVTPAEM NGLGDKLGQIKPGFIADLLMMKSNPLDDITVLDEPESNILFVMKEGRIY SPAR_J00110 MSTIYRESDPAESEPSPSPTTIPIQINMEEEKKDVFVKNIDEDI NNFTATTDEEDRDPESQKFDRHSIQEEGLVWKGDPTYLPNSPYPEVRSAVSIEDDPTI RLNHWRTWFLTTIFVVVFAGVNQFFSLRYPSLEINFLVAQVVCYPIGRVLALLPDWKC PKVPFFDLNPGPFTKKEHAVVTIAVALTSSTAYAMYILNAQGSFYNMKLNVGYQFLLV WTSQMIGYGAAGLTRRWVVNPASSIWPQTLISVSLFDSLHSRKVEKTVANGWTMPRYR FFLIVLIGSFVWYWVPGFLFTGLSYFNVVLWGSKTRHNFIANTIFGTQSGLGALPITF DYTQVSQAMSGSVFATPFYVSANTYASVLIFFVIVLPCLYFTNTWYAKYMPVISGSTY DNAQNKYNVTKILNEDYSINLEKYKEYSPVFVPFSYLLSYALNFAAVIAVFVHCFLYH GKDIVAKFKDRKNGGTDIHMRIYSKNYKDCPDWWYLLLQIVMIGLGFVAVCCFDTKFP AWAFVIAILISLVNFIPQGILEAMTNQHVGLNIITELICGYMLPLRPMANLLFKLYGF IVMRQGLNLSRDLKLAMYMKVSPRLIFAIQIYATIISGMVNVGVQEWMMHNIDGLCTT DQPNGFTCANGRTVFNASIIWSLPKYLFSSGRIYSPLMWFFLIGLLFPLVVYAIQWKF PNFKFAKHIHTPVFFTGPGNIPPSTPYNYSLFFAMSFCLNFIRKRWRAWFNKYNFVMG AGVEAGVAISVVIIFLCVQYPGGKLSWWGNNVWKRTYDNDYKKFYTLKKGETFGYDKW W SPAR_J00120 MSRVAQLDSIALDKELYGQFWSEFNAAFDTNERKEEWELIVNSI VFMCATRFLPQFGSSCTYGSALSGVAFQCRKRTLYVVTVLAGYLWKKITHAVFNGSHG GNQMVWLKLYKWLNLLYHGCDVTNFLRFLTADGAHARAFLSPLYRVFNVHSTRLVRDG SASVSDFYSNSVFAGLEYQNRQLLWNALLELFSKTLLTKRGLLTFAKKQPRSRSGTFP KTVCPHCGGFPTNPYQIACCHANYCYVCVVKALEWSVCDACGTSGRLTALPVY SPAR_J00130 MFLPRLVRYRTERFIKMVPTRTLRRISHNSGESIQKQVLTLISA DASLNDDDKLKIRKYWSDMADYKSLRKQKNSLLENSILHEIKIEDFIKFINRTKTSSM TTRGLYRRECLYQCKANLDLVNQVVSQVSSARQQRPLTTQLDTMRWCVDDAFSTGDIV MAADLFLLYYRLFTDDKKLDDQYAKKIISALAYPNPLHDHVHLVKYLQLNSLFERIFG NGIKLTRYQLETLSNKALGLSNEAPQLCKAILNKLMNVNYSSNNELKLRDDQVLLAYK SIDENYRRGNVASVYFTWNRIKEYYVSISAHDSRIIYKVFKICTHNRAYRSICSEMFW QLTPEYYCNNPLILPAIIDFITKRDSLTMAKELMQNINRYTLPENHHIVWLNKRCLSS LLRMHLKFNDSNGVDKVLKQITTNFRALSQENYQAIIIHLFKTQNLDHIAKAVKLLDT IPPKQAMLAYGSIINELVDWKLASKVKFTDNLMALINDLLMKAHDFDPDHRNSLWNVI SSLYIKKLCHYKKQDGKFVTNAKENIDLAKLLYMDASKRGKINWSKSNSNPFIVSSPT DVKLKINNQNRFTILRNIALSALQIGRIDVFLWACAELYQNGMTIEELNLDWNFILKH QIRNSEFKTNKEIIQDIKKHGVSAIKRYLR SPAR_J00140 MSSRILLSGLVGLGAGTGLTYLLLNRRSPAQVIESPYPITQKPN GKIQPPSFNVDPSGFFKYGFPGPIHDLQNREEFISCYNRQTQNPYWVLEHITPESLAA RNADRKNSFFKEDEVIPEKFRGKLRDYFRSGYDRGHQAPAADAKFSQQAMNDTFYLSN MCPQVGEGFNRDYWAHLEYFCRGLTKTYKSVRIVTGPLYLPKKDPIDNKFRVNYEVIG NPPSIAVPTHFFKLIVAEAPATNPSREDIAVAAFVVPNEPISNETKLTDFEVPIDALE RSTGLELLQKVPPSKKKALCKEVNCQIVVRDFSNAAIKQSKDVKLLPPPKKKN SPAR_J00150 MANRSLKKVIEGSSNNGHDLLTWITTNLEKLISLKDVSDTELRE VKEIHAQLDEFVRYTAVLENADDLELHSVFISLSQLYTISIWRLKNEYPGVVFDSAAF LTNVLCEEDVSIDDEDTDPNQKKKKKKSSTRKKKYIYSPAKDIACTVLIQLFENFGNS ISSLIPLLFSAIFKNLKKIMEKSKYYHATFMTTLLQLFNAILRNSNNGDKILDPATYA KFSKLSKTVFDSISADEKDFSVTFVAVLVECWTAHFKQTTFIREHSHDIIETIYSKFT EGEIGVYGFANDETRIFTAKSLAEVLFDYYFSKNILTLQEVWSIYVRIFLNCDTRDVE SGCFESIIHLINLNLLADNTFLSNSKYLDIVLSLSKVFSSYEVNNRSMNTLSRYLRHF QHMHEVMLPHLSDTAKTQMLYYILGCSDTYQNSSKNGSTSSLKYGIDAKPETQWLTLL QLDLTYILINDLSSTFATEENTVKEIRDKLVDLATCEIFTIRVHTVEILKVFLNNCPE YLSETIENSLRALSTDFKSTGKFVFHRNHGHAFIIANLIKDAESDYISYELIMRITVF STSFIKNNTTSTSSNLYFKGLLCWILLIGLMNYKDEQYLKLQIPQLFLFWKVLLTHTY TYHDEDELYKNLEIRNHALTCLLTYLSNTTIDKDMAKQVSYLLTKCSNFNHSIDLKSK NIDNALLHNENRILQVYLKLEKYINSDFNSSLLILIVKNFSDPNLYTESSTSVLNSIK DIRNRKTSNKDDTENNVVLESSINTLLRQNNGFAFGLSSKITGDRIVNLSMSTTYKYD EPISGSWPSKDYNWYNIFEMEVSKPISPILSLDSLILLYGSGSYSQIDRYAPQVTTSL IDSSMELFSSVFPFLNSKIQYSVMETLNLSMFSKMTTPLRSVAIAANVCSALHSALRI MQEKNLELDYSVGQLIIESIKKIQFFNDIFLTKIKADCVGLLTAAIARTFGDEERQRF LTEQSRIFIKNVADMDEPYLRMFHVLSLATIFKYNSQYANFETSFDVIFALMKDPHPV VHSWSLKAMHVLLEKHLVIDLKTAALLLSSMEELLVQDKYGVYGRSTLRCNYNRDFNS HVAIGEISRTLTETVGPNFLELNTKVLDSFRNITLSLLISNNILNSISSIKMFENIAT FKMKNILNYDIFISSSKSVIKSSIVTGIGSSYFDTTFTGSNELIPRTSSLKSAFENFD LLTLLYKLQMEEIFMKEMENLSWRYLALFPDSRSVKDYFTEWILHTFKKDHHWFDKLY SIFNMSLGRLFQSYHRDVSALLELNGLKKPSEKEIKGEEEESIANVNQLANTDAADFG SENLQWKSRQIILNLILMLCLESEKYENLLLALSSKIADLIKISFRGSTVRNEGMKLT GLHILNFVLKNYSVMRDPQIPESSILEQQEAQITSALMPAFSKGSSPTVMSFAITVAA EVLASNIMPPDKLGRISQLLIDLLGNFKDSASGIRIGEAVIVTPKAKRKIELSVLDAW AEVVQRSITSSNEALISFTRKYWSILVPLWIISLREYMMIKYNDSDSTLQLKNQLKEN GLIEPRSTKIELYEPVWLNFVEALGCTLDSDVQVILASLNDEELEYFLFILFSQCLEA IVKNIDDHSVKMQVLPALHNVLKSNLCIKSIFEDDVITEVVGIMDRLISTGDSKEEFL LVDIISDLIIGYSKCNTTPEAFLQDIDKLYELLRLLMMIISERLPFIKYNVLTSEEDN NDIKISPTDIRLLKKTFIAFESNISNFDNMFKLDLYSCLLFIIGKIYECSHREVIIPI VLPLFKALVKALTESEDEKNIVLLEIFYGSIKDIIYHKLDSNNKVATILILLSNGYSK LSSQELNHCANILSEALNNPATQPIALQGFKRIISGVFKYPLLQYFMKLVIKRFFQDI KTNDSLSLASIKSKLIIQFTEEVIKKDQSKASLSIALCLSFLAAYHGAYVDKVDDEVA SGIMALAKLDKDSFRDAIASIISPQQKATIGSVMEAYVKAQSLGSVEEAFQLKSFD SPAR_J00160 MAPKGSEKSTSCAMKKLKGKRSKILVLSRDVGTNELKPTKGRAH RACVACRKRKVRCSGQTPCRLCQTNSFECKYDRPPRSSSIFDREVSDDSSLFPQATPH QEDGDSKSPTSTIDYRKIVEAIFPPEALHQIVASPSFSNQKFLDTIKTYLLQGQLNVN HVIRQSLPKDASWHMQTSVPLPPRDIALKFIQKTWDCACVLFRFYHRPTIISILDSIY EAEKHGKQYTPEQVKTQPLIYSVLAVGALFSKEDLSKDSKATREFYTDEGYRYFLEAK KSLDFSNITDIYSIQAIFMMTIFLQCSANLKACYSFIGIALRAALKEGLHRKSSIVGP TPIQDETKKRLFWSVYKLDLYMNCILGFPSGIDESDIDQEFPLDVDDENISTVGIKFQ DWRTISSCGMNNKHTKLILIMSRIYKLMYSLRRKPLEEDSRAQIISLNDQLDNWYAQL PDILKVDTIRYRQPQPALTICANDSNSPYTKPKKLLYLDFLLSKIVLYKPFYHYISID PLDIPEFQFQIHMAENCIEVAKKVIQLSYDMIMQNLLSGSYWFSIHTIFFSVACLKFY VYQTEKGLIRNGKIDSDIYNATQLGSEILSLLKGASNASKRTFEVLNQLFKEFNEKTS VLSEQLLNIVKLQRQESSGALIPQLQSNNNFTKCQRELQHGQRQHQTPATSLRSILNL PQGEADLNLQNSNNESHTATAAQEEYLDKLLSEFEEFDYSINRVLPDVIDFSALIGQD SSANNQTFSSEYSSDPTVD SPAR_J00170 MDDLLKVTEVVTNIASFLSPADYLSFQQVNKQVYTIINGKNDSR YWSLKLTRMGLQQLYSEEKEDVKLLDENDEENPLRIFEIYKSFTAQNSKKVFMKFYRC YNSYARKLYNNNLANFFPASYSNDPLKQTKILNFIKQYNFSNKNDLETFSKIDTNFNI LREIFINSVLKETELNYQSNNLAAVARFMKILLISNEESNAIEFFKSKADLPPNLTVL PSNDELFWKEQPKEEESGGNMAVFNSKNLDWFLNQLRDFLNEKIKLADTLFKDEFPVI LQFIEYFIQDILLDILNNILLSYSEFLKANGKDSKANYECVPELYFTFTRKFDTELND SVNAGANFHKVVRDLLNLYLEPFVVNYMNQTTRVFESLISSQLANYDTQVQDKEREQN AKIYNTLKDQTDATSTSNNDLSNNLSTITETSITSPEGDSKQSTIPQSVHSTNISNDK LDFLSSFTKIFKFSNNENQRLKQQLQLAYNLNLISNNLQNIKSLISLDLCYKILHETS EKTDEIYKFHTIQSLLPLIKLRCQEIFKILITQLNKNHVKPAFEKAIMLLQKYNPNEI EQIEIKFNSLSPANNQVEPLVQFTELINIGDIILQMISIFYKNELIPKKIIDKNKDFL NDVIQLKKNFETSIDDFVAEGLNIGINKLMDEISFVFKTLQLPDDYNPPPPSTNSPIR DIKPTKCAVRVVELLSNHCFLLTGATDKGTIDVYQQEIGERFFSEIVKHLKKCFISTE GAIWLICDLNYFYDFIANKLKQKNVVPYFVGLKSIGQLYIISGKDSKELGKLISDLGK FNGIFTQEEIYEFVQRRSDWVRVRKDVEKVMYGLGIRDCCVM SPAR_J00180 MKSHTMEPEDTQLKEDIKTTIGYIKQHGVDFESKLLEDERFSFI KKDHPLHEYYVKLLNEATTTDSSEDDAGKRERDIARPQDFLFSRYDTGISRRDMELIK LTARYYAKDESILERLVSKNSKARLDFINSSHPLHKTFTDFVAQYKRIDSFTGQEIKK SKRDIIDDCFGRAQYWEFAKDKDREHDKLVELCKIQFAAIPWDKFTQVAKFSIPENTE MLQDSLDLEQMRLRRVQVGMKLFDSIRTTNEEENAVPDQVKPKGGDLKGKKRKIRAVG ETRLKKSKK SPAR_J00190 MIDINVNNIFFRSYSVDPNSGHAIYVFDSTYLPASDEIGDKQVY DLLINALMDRLVMKLPQAPYSLVIFSSGFSQRKISWVYGIKMFAKLPKETKYYLQKIF IVHESFFVRSVYQVVSNAMNFNFLDSKDSQHDFPSLVHVLDLTSLSELIDITRLRISL NVYLYDYQIREHINVPEEYYNRLTPLAIRQYRQLVFDKIFKKLQSEALLCELIFQKPG NYKKVNIFLDIIKRNNYIDLSQWDIYSLASVWLNYFIKNKAKPLIPIELIPLPIVDDL KFTSETFRKIIKFNQYHDLFMVIFPFFNRIIAHGEVTKHDSRTLSKALTPALCKEKLS IMTNDRLAIGSRYIKNLLDFFPEITKEISSPLSSVSSSTTIPVLPKPRKSSPTRYSEL GCLTLPRSRSPSPQRSVTSPMYTPVALQNTPVLKPKSSNRNVSSPSFNTKPPPPIKVI TRPQLSLTSSPNTDLTLASSTDTLSSPTKTASADSLPLSNSSTDLTISDTIKEMVKDE PVKDKSSAETDIFVQQFESLTLVQNAKIKKFDKELQEKKKKNETTSKTADKFSQKGYS DIKAGNKVSRLAALYEERLQGLQVMNEMKQKW SPAR_J00200 MLSSANKFYIKRHLATHANMFPSVSKKFQTKVPPYAKLLTNLDK IKQITNNAPLTLAEKILYSHLCDPEESITSSDLSTIRGNKYLKLNPDRVAMQDASAQM ALLQFMTTGLNQTSVPASIHCDHLIVGKDGEAKDLPSSIATNQEVFDFLESCAKRYGI QFWGPGSGIIHQIVLENFSAPGLMMLGTDSHTPNAGGLGAIAIGVGGADAVDALTGTP WELKAPKILGVKLTGKLNGWSTPKDVITKLAGLLTVRGGTGYIIEYFGEGVSTLSCTG MATICNMGAEVGATTSTFPYQEAHKRYLQATNRAEVAEAADVALNKFGFLRADQDAQY DKVIEVDLSAIEPHVNGPFTPDLSTPISQYAEKSLKENWPQKVSAGLIGSCTNSSYQD MSRVVDLVKQASKAGLKPRIPFFVTPGSEQIRATLERDGIIDIFQENGAKVLANACGP CIGQWNREDVSKTSKETNTIFTSFNRNFRARNDGNRNTMNFLTSPEIVTAMSYSGDAQ FNPLTDSIKLPNGENFKFQPPKGDELPKRGFEHGRDKFYPDMDPKPDSSVEIKVDPNS DRLQLLEPFKPWNGKELKTNVLLKVEGKCTTDHISAAGVWLKYKGHLENISYNTLIGA QNKETGEVNTAYDLDGTEYDIPGLMMKWKSDDRPWTVVAEHNYGEGSAREHAALSPRF LGGEILLVKSFARIHETNLKKQGMLPLTFANESDYDKISSGDVLETLNLVDMIAKDGN NGGEIDVKVTKPNRDSFIIKAKHTMSKDQIDFFKAGSAINHIGNIRRGE SPAR_J00210 MSVASEIWIGELRNYKYALRLDREEYPAVLVYEYDSSSTRNYLF TIEYSDSRPNWQLITRDAALTAYDLLNRGGEFTTLSFPGATIRRSVSELSRLH SPAR_J00220 MRFSHFLKYNAVPEWQNHYMDYSDLKNLIYTLQTDELQVGDNEE GIGAGKSSNITDRFKNKFSFKNVKEDTSSGMNKDAGIVEETIELRELPTAQTVAAKPS PFRKMKEKIFYKRRSSSASSVSSTANENPQLNTYDTFVGDLTAEKLKVDDFYKRTETK FYEKFDALVKDLKKIGVIEYDIDDDTLFNEPIASTNDEVPPLDLDDDEDDDEFYDDQS NIEDNTALLHHSQYNIKSQKKSLLKKSIVNLYIDLCQLKSFIELNRIGFAKITKKSDK VLHLNTRAELIESEQFFKDTYAFQAETIETLNFKISQLVTFYARITDQPHNISHSKQE LKSYLHDHIVWERSNTWKDMLGLLSQADELTPKETEYNANKLVGKLDLEYYRWPLPRR INLKFTSINNVAIPKLFFTKKAYKIYFIILVTGLLLGIKTFNDAAQHRCMALVECVAF LWASEAIPLHITAFLVPLLVVLFKVLKTSDGAIMGAASASSEILAAMWSSTIMILLAG FTLGEVLAQYNIAKVLASWLLAFAGCKPRNVLLMAMCVVFFLSMWISNVAAPVLTYSL LSPLLDVMDADSPFAQALVLGVALAANIGGMSSPISSPQNIISMSYLKPYGIGWGQFF AVALPSGILAMLLVWILLFTTFKMNKTKLEKFKPIKTRFTIKQYYIIAVTVATILLWC VESQIEGAFGSSGQIAIIPIVLFFGTGLLSTQDLNAFPWSIVILAMGGIALGKAVSSS GLLSTIAKALQKKIENDGVFAILCIFGILMLVVGTFVSHTVSAIIIIPLVQEVGDKLD NPKAAPILVFGCALLSSCGMGLASSGFPNVTAISKVDRKGDRYLSVMTFLTRGVPASI LAFLCVITLGYGIMASVVKGNATSA SPAR_J00230 MGSSDVSSRESSLVYNEDPEFPDGTTPCDRLGVDLMNVLDGKDE IKQGPAPVSDREIEGTESDTSAVSSFASANELIAEPNAGSETNLEANVQEGRRALEKQ REMVARLIEENKEAQKEGDKVCIVPKVWYDKFFDPDVTDPEDIGPINTRMICRDFENF VLEDYNRCPYLSIAEPVFNFLSETYGMTSGSYPVVTNLVINQSTGELETEYNKWFFRL HYLTEKQDVRKRRHSQDDAVMYLSMSALDLVRDLVEKSMNLFFEKADHLDVNAVDFKI WFVSEGSDIVTDSNVSSVLNTAYEITPLQFLELPIKKLLTPGMFENRLDKITSNPSDL VIETKPIEGNSHWPSNYFAYNKLEPASGITGLVNLGNTCYMNSALQCLVHIPQLRDYF LYDGYEGEINEENPLGYHGYVARAFSDLVQKLFQNRLSVIQRNSAFPPSMFKSTVGHF NSMFSGYMQQDSQEFLAFLLDSLHEDLNRIMKKEYIEKPSLSPGDDVNDWNVVKKLAD DTWKMHLKRNCSVITDLFVGMYKSTLYCPECQNVSITFDPYNDVTLPLPVDTVWGKTV KIFPMNSPPLLLEVELSKSSTYIDLKNCVGKMSGLDPNTLFGCEIFSNQVYVNYESTE SNAQFLTLQELIKPADDVIFYELPVTDKNEVIVPVLNTRIEKGYRNAMLFGVPFFITL TENELDNPGAIRMKLQKRFVHLSGGYIPFSEPVGDRVDFAENFPLLVEKYPNIKLDQY KDILQYTSNKATDKDKSYFSIKVLPVEKEQQSVGSNRTLPNFWTPFSQLNFDKATDID DKLEGVVRDIYNYSSLVDPDEGIPMQVDDEGEIELSEAESPSKPFKLEDEEENKEVAT NNENVNNTTDRDEDMELTDDIEEDASTEPESMDKPEVSNKTKDSLTTTLPAILSRNDI IVCEWGEVASNEAFSDDKIYNWENPATLPNSELEVAKLERSNAKERTITLDDCLQLFS KPEILGLTDSWYCPTCKEHRQATKQIQLWNTPDILLIHLKRFESQRSFSDKIDATVNF PITDLDLSEYVVYKDDPRGSIYDLYAVDNHYGGLGGGHYTAYVKNFADNKWYYFDDSR VTETAPENSIAGSAYLLFYIRRPKDGNVLGSSKLQEIIKKSRQGYDERIKKIYDEQMK LYEVNKTDEEEDISDDMIECNQDEQAPEYSNRSLEVGHIETQDCDNGDDNDDGERTNS GRRKLRLLKKVYKHNSGLGSSSTSDISEGGPENEVTDLNYKNDVTLESPE SPAR_J00240 MESDWKKFCLEKASGFRPTIDRPFFNIYLWEHFNRAVGWATAGR FQPKDFEFAVGKQPLSEPRPVLLFIAMYYVIIFGGRSLVKSCKPLKLTFISQVHNLML TSVSFLWLVLMMEQMFPIVYRHGLYFAVCNVKSWTQPMETLYYFNYMTKFVEFADTVL MVLKHRRLTFLHTYHHGATALLCYNQLVGYTAVTWVPVTLNLAVHVLMYWYYFLSASG IRVWWKAWVTRLQIVQFMLDLIVVYYVLYRKIVAAYFKNSCLPHCEDCLGSMTAIAAG AAILTSYLFLFISFYIEVYKRGSASGKKRINKNN SPAR_J00250 MSAIPITPTKRIRRNLFDDAPATPPRPLKRKKLQFTDVTPESSP EKLQFGPQSIFLRTKALLQKSSELVTLNSNDGALPARTVEYEQVMDFLAKAISEHRSD SLYITGPPGTGKTAQLDMIIRQKFQSLPLSLSVACSKETPRHANPNLQNLSWFELPDG RLESVAVTTINCISLGEPSSVFQRIFDSFQDLNGPTLQVKNMQHLQKFLEPYHNRTTF VVVLDEMDRLLHSNTNETESVRTILELFLLAKLPTVSFVLIGMANSLDMKDRFLSRLN LHRGLLPQTIVFQPYTAEQMYEIVIQKMSCLPTIIFQPMAIKFAAKKCAGNTGDLRKL FDVLRGSIEIYELENRFLLSPTKKSVNSAQVPLTPMTSPVKKSCPQPQGKIGLNYIAK VFSKFVNNNSTRTRITKLNIQQKLILCTVIQSLKLNPDATIDESFDHYIKAITKTDTL APLQRNEFLEICTILETCGLVSIKKTKCKGKTKRFVDKIDVDLDMREFYDEMSKISIL KPFLR SPAR_J00260 MFQRLSASIKHNAHIIFLCISWYFISSLASQVTKQVLTVCPLPL FLGEFQFIYTAMLAWFTCYIAYNSPGFYRIFPNGTFPEYYTDDREINRMTRKTSKLSS LIIPPSKPILQTVLPLGLFQFVGKYFGHTATSLVPVSTVASIKTLSPMFILLLQKLLK ISTLKVTLTLIFSLFTLVLGVWIIVQEDNRSPASSNDLREFSKYGIICAMISMFIFVL QNIYGKTVFTYRSQTDVFQSDSGFSRQESPLPIYEKLDERLVAKKKPKSYDKLTLMIY ISLVGFCLSFGWFITLEFPILFKYFFQIDSSPTVIKAFPVSLFLLNGSFHFIQAMITF HLLGEVSTLTYSIANLMKRFAIIAVSWVFIGRRITWLQVFGLVLNTLGLFLYERCTSQ SKIKAKLRPE SPAR_J00270 MFSQIVVLLSAFIYVVSATAKTGTIKGRLDLAASNITGFVSTRT SFKLYQIGNFSTEYPYTSTTMFQDDEGNFEFANLPLNDGVNETTYYVMYPASMDFNLK PNRILIEFKNLENGSLQLSAFKNFFGREYFPSKDITYPEKLQPMKVDPYITVELLHKA PIRSYLQARNVSIFSTGIVGNILNSRWKLAGVITLIALVVFPIIVEKLDPETARAIRE EAKRKQREKYAAVASK SPAR_J00280 MTRSSVLADALNAINNAEKTGKRQVLIRPSSKVIIKFLQVMQKH GYIGEFEYIDDHRSGKIVVQLNGRLNKCGVISPRFNVKIGDIEKWTANLLPARQFGYV ILTTSAGIMDHEEARRKHVSGKILGFVY SPAR_J00290 MAAQKSFRIKQKMAKAKKQNRPLPQWIRLRTNNTIRYNAKRRNW RRTKMNI SPAR_J00300 MSSLDEDEEDFEMLDTENLQFMGKKMFGKQADEDESDDVAIGGS TPTNKLKFYPYSNNKLTRSTGTLNLSLSNTALSEVNSKFLGKIEEEEEEEEEGKEEES VDSRINRWSPFRENDSVTTPIAKRSVEKTNSPISLRQWNQRWFPTSHGHNENTSSSSS YSVAKPNQSAFTSSGLISKMSRDSSLYPAKLRIPETPVKKSPMVEGRDHNHVHLSNSK NTSSSLSVSPLNFVDDNNLQEDLLFSDSPSSKALPSIHVPAIDSSPLSEAKYHTHNRH NNQTNILSPTTSLVTNSSPQTLHSNKFKKIKRARNSVILKNRELTNSLQQFKDDLYGT DENFPPPIIISSHHSTKKNPQPYQFRGRYDNDTDEEISTPTRRKSIIGATSQTHRETK PLSLSSAMLTNTSSAETHSISSTDSSPLNSKRRLISSNKLSANPDSHLFEKFTNVHSI GKGQFSTVYQVTFAQTNKKYAIKAIKPNKYNSLKRILLEIKILNEITNQITMDQEGKE YIIDYISSWKFQNSYYIMTELCENGNLDGFLQEQVIAKKKRLEDWRIWKIIVELSLAL RFIHDSCHIVHLDLKPANVMITFEGNLKLGDFGMATHLPLEDKSFENEGDREYIAPEI ISDCTYDYKADIFSLGLMIVEIAANVVLPDNGNAWHKLRSGDLSDAGRLSSTDIHSES LFSDTTKVDTNDLFDFERENISSNNGNPATSNAHNTGNNNDSNNNNSNAIVNNNTNTA ATKNRLALHKSSKIPPWVPKFLIDGESLERIVRWMIEPNYERRPTANQILQTEECLYV EMTRNAGAIIQEDDFGPKPKFFI SPAR_J00310 MLIRLKKRKILQVVLIAVVLILFFCSVHKDASSSWLYGSKLRLP SLTRSNLKNDFYTTLVQAIMENKPLGSPPDLNKLHEAEGCTFANNVAAHDSGRDSDLS YESLSKCYNLNRTVQESLGEMHSRFTDILSGKLNFSIPQREALFAGSEGIVTIGGGKY SVLAYTMIKKLRETGTTLPIEVIIPPQDEGEDDFCKNWLPKFNGKCIYFSDIVPSKPL KDLKLTHFQLKVFGLIISSFKRIIFLDADNYAVKNLDLAFNTTSFQDTGLILWPDYWR RVTPPAFYNIIGSTVDTGKRVRFVSDDVSPVSRYDPFISNSKDYTPKEMQDHFLRHVP LHDLDGTMPDLSSESGQMVIDKIRHFHTLLLALYYNVYGPTWYYKMISQGTAGEGDKD TFVAAAHALNTPYYQVRTKFEFDGFFYKKGDYKGLALLQHDFEQDYKQYQKAQQKVKA NIKEFSKLDPDYTLDNGFLKTLMLNDDGSDLDIMFIHASFYKADPWILYHENRFIGSN GEQVRGFRKPHRYGMDFELFLFNDMKESFCTTPKSQIIKFKYFEDKVNTPDWDAMCQY LTNHIDYLEATHKEAMQNKN SPAR_J00320 MASMNNYQVDCGSRSARIRPRSNNSVHQEESPFEVLELSEEEFE LDFHRLKSFNDVRVINNPELSPECTNTAISRNETLESASSAFEVPSDEIAILSISSDS SKNSPPSEQPVPALRNIQSSTNSDRIDEWCLGSHLFNGLRQNAPQLSDSTNHGFPVSS LKERELYTSAKLKKLTSAQRIAVQRLSRDLYPILRTCYREKTRRQLLTCRHERIYDDI PSFFPQRDFIFNYYSLPFEFDRFSDVDIESSSPSRFADDNTGESSNRSPSAASSSLEN TSWFGWTLLSRFLDREW SPAR_J00330 MILLGAQYSAPDGVEKSFAPIRDDPRYMTTEGRTTGPSDHVLNA GQIDRDKPSEPEHTEDGSQLTYLGQLRTQLTGLQDDINEFLTGRMELAKNKKKAGAEE KRIQEEINQLLDGGDGDEDAD SPAR_J00340 MAIKPRTKGKTYSSRSVGSQWFNRLGFKQNKYGFCKFLSIITAF ICILYFFSNRFYPISRSTSVSYSPSHGLYINEIPASSRLIYPHVEHVPVLKQMTIRGL YITRLEMDGSKRLVLKSEETALTDEEKKKTTDQILLVKHSFLDHGKLVYRKSNDAPEV VVVTLIDFENYDLETIIQIVQNRVDYAQKHQYGVYIRWIQEFLPVIENQNLAESYEFI KPLMIRAAMHAFPTAKYIHFVDQDALLMNLDLSLQKYLLDPKIMDLALLKNVPVVANS NIKTYNHFEYNSAKIIIPHDVNGNIDTSSFVVANDFYGQALIDYLNDPLLRNFPWDNT GDKLSAAIGHILQWHPTLLGKTAIVIPKVLASQYDASLDQEGESGNGASGGDVYHYNE GDLAASFKGCRSRGTCASEIGHMYKKIKKS SPAR_J00350 MEIFKDEEEETLSTLEAIIHACETYDPIPRHLHKTKTRIINAAK LIIETHLSYNTILDNISDMQSYLSTWLKDLGMSDSYQTVLLESISLMFDLTISSFRKC TIGRSFPHLITRLYFRLKSYQKFWHDAVSNNFFSNFDYAFRAAYNLVNCSEYRYDEVH YISNDAYSLVASVKINPADVIKRGHFRLSIPKFYISDLLIEIFHLLDGLAFFRVNSDT LSTSTASAETIFRSISQGNHQILELGRSLMFPLLRTGDFEICNIDDAGAVITFTEAKD VKLEIASLDETSWVTQWKSCLQNHKRKSASSSLFIKTHIEFKNANNLNEHNNGLGLIV EKNIPTVHSMLVSTNHQSPPPSNTSCSLRRSKPLRIPLSSVVQEEFHDNSLNEYVSEE EDDSSYGSFSGAESIISEYGFHDSTFDINQSSSYFSKQIDHNSMEMIITDENTIIFVE NTQVSRWSNNSWQKISPHQLQICIIRLRVGNFIMAYEPGYKNHCRFKIRLCDDIKCMQ STEQDIQLRVPPNAIMCSLTGVLNIRSKDADKLLPVLNFYTTDHTEAISHSSTIDTIT SPLSSVSSTMDLKHILLKCPSIIIPQELTQGVID SPAR_J00360 MLASLKQGCSIVNPIRLTLPRFYSLSAQPLGIDNTIENNSLTET NRLSKTSQKFWEKVSLNRNLEKGKIALQLDGRTIKTPLGNGIIIDNSRSLLAYLLKLE WSSLSSLSIKTHSLPLTSLVARCIDLQTTNEPGCDPQLVAKIGGNSDVIKNQLLRYLD TDTLLVFSPMNEYEGRLRNAQNELYLPLIKGMEEFLSSFSSESNIRLQILDADIHGLR GNQQSDIVKNAATKYMSSLSPWDLAILEKTVLTTKSFICGVLLLENKKNPADLSAILK TDMDDIIRAATLETIFQVEKWGEVEDTHDVDKRDIRRKVHIAAIAAFKQ SPAR_J00370 MSQIAQEMTVSLRNARTQLDMVNQQLAYLDRQEKLAELTKKELE SYPTDKVWRSCGKSFILQEKSKYVSDLSHDETVLLDQRKTLKIKKNYLETTVEKTIDN LKALMKN SPAR_J00380 MVSKTWICSFVSVITAVQALSCEKHDVLKKYQVGKFSSLTSAER DTPPSTTIERWWINVCEEHSAEPPEGCKKNDMLCGLTEVALPGKDAITTQIIDFDKNI AFNVEEVESALILTLKGAKWGSNSFDAKLEFQCNDNMKQDELTSHTWADKSIQLSLKG PSGCLKSKDDDKKKGDGDNGKDGDNEGKKPAKKSGGASWFTWLFLYALLFTLIYLMVV SFLNTRGGSFQDFRAEFIQRCTLFFTSLPEFCREVVTRILGRSTTQRGGYSAV SPAR_J00390 MARYGATSTNPAKSASARGSYLRVSFKNTRETAQAINGWELTKA QKYLDQVLDHQRAIPFRRFNSSIGRTAQGKEFGVTKARWPAKSVKFVQGLLQNAAANA EAKGLDATKLYVSHIQVNQAPKQRRRTYRAHGRINKYESSPSHIELVVTEKEEAVSKA AEKKVVRLTSRQRGRIAAQKRISA SPAR_J00400 MENTLGEESTANATVDVKEHDHDNDSGSNTNATMASAAITDTAG EGLQQQDESLKDEGIATNTDDAGSEAITVTATQQATLPANKLSSQETPSIEEGGTQSG FGQDNDDSDNLFGETESSVSNNEANTPNIPMNPVDSENNKPAAKEDSVIQDSNDNVES SEGGEIQKKGEPEDGNVIEKVKKESQPDGNKKEMDEVEEEDEDDDQPMMSPDNSIFGD TKSESKQLGNASSVANTPSEIPDAHKAEQDEIIEKTESIDKKVDTGQEGNEHEEEITN VPSKSAKSKKTPITKAEPETFDIPQAHEIVIPSYSKWFNLEKIHSIEVQSLPEFFTNR IPSKTPEVYMRYRNFMINSYRLNPNEYFSVTTARRNVSGDAAALFRLHKFLTKWGLIN YQVDSKLLPKNIEPPLTSQYSTRHDAPRGLFPFESYKPSVQLPDMAKLKKMMNTGDSE STLYKYLKESKRKYDEITHPSNTTDNDNCGKTNNKISTSTNRTGDDNLLDDDETSRPL KKVKILEQIDENWSKEDLQKLLKGMQEFGADWYKVAKNVGNKSPEQCVLRFLQLPIED KFLYGDDDTRGGNDSGLGPLKYAPHLPFSKSENPVLSTIAFLVGLVNPKTVQSMTQRA IQSVESVNSQKEEISDQQPVEHIKEGSEIAISSLGFRSHIFANNEERQMNFLTNELIR LQMEKLDTKLNHLKKLEKFMELERKTLEKQQENLLIQRLNFNQNSSKIVNVLSKCLNS ISDSNMNNSSVAERDEIRSQINHFKSMLSKPETLSIGKNPFSKPDIETGENHNGQNIS NENDVKPISIEAPQFYRYWSA SPAR_J00410 MRLQRNSIICALVFLVSCVLGDVNVVSPNSKATFSPSGGVVSIP VEWMDNGAYPPLSKITYFTLSLCTGPNTNINCVATIASKVSPSDLTQDDKVYSYTAEF ASTLTGNGQYYIQVYAQVDGQGNTIHYTPRFELTSMGGTTAYTYSGTIEPTPQTSIQT TTTNNAQATTIDSRSFTVPYTKQTGTSRFAPMQMQPNTKVTATTWTRKFATSAVTYYS TFGSLPEQATTLTPGWSYTISSGANYATPAAMPSANGGWYKPSKRLSLSARKINMRKV SPAR_J00420 MASETPRVDPTEISNVNAPVFRIIAQIKSQPTESQLILQSPTKS SKNDSEIEMITLNNIRVSMNKTFEIDSWYEFVCRNNDDGELGFLILDAVLCKFKENED LSLNGVVALQRLCKKYPEIY SPAR_J00430 MIALAEEKAPRKSLWQRHRAFLSGIVALIIIGTFLLTSGFHPAP PHEAKRPHHGKGPKHSPKCEKIEALSPSFKHSVDTILHDPAFRNGSIEKLSNAVRIPT VVQDKNPNPADDPDFYKHFYELHDYFEETFPNIHKHLKLEKVNELGLLYTWEGSDPDL KPLLLMAHQDVVPVNNETLSSWNFPPFSGHYDPETDFVWGRGSNDCKNLLIAEFEAIE QLLIDGFKPNRTVVMSLGFDEEASGTLGAASLASFLHERYGDDGIYSIIDEGEGIMEV DKDVFVATPINAEKGYVDFEVSILGHGGHSSVPPDHTTIGIASELITEFEANPFDYEF EFDNPIYGLLTCAAEHSKSLSNDVKKTILGAPFCPRRKDKLVEYISEQAHLRSLIRTT QAVDIINGGVKANALPETTRFLINHRINLHSSVAEVFERNIEYAKKIAEKYGYGLSKN GDDFIIPETDLGHIDITLLRELEPAPLSPSSGPVWDILAGTIQDVFENGVLQNNEEFY VTTGLFSGNTDTKYYWNLSKNIYRFVGSIIDIDLLKTLHSVNEHVDVPGHLSAIAFVY EYIVNVNEYA SPAR_J00440 MLQSIVLSVCVFMLHTVAASGPQSYQKLDFTNVGFTGSYVNVNK FKDITNNDSCTCEVGDRVWFSGKNAPLADYLSVHFRGPLQLKQFAFYTSSGFTVNNSR SSSDWNRLAYYESSSKTADNVTFLNHGGEASPCLGNALSYASSNGTGSASEATVLADG TLISSDQEYIIYSNVSCPKSGYDKGCGVYRSGIPAYYGYGGTTKMFLFEFEMPTETEK NSSSIGYYDLPAIWLLNDHIARTSQYPTNANCSCWASGCGEYDIFEAMNGTEKNHLYS TFHTFQGIEDLGTGIQSYGYISRNTTGTMKGGVVFDSSGNVVSFISDATPFNGTVSAD TVNELLAAVPESETYSSQLVSISATAPSTTSKSNGIALTKMQNGVWYYILAIFTAFTQ VVLI SPAR_J00450 MTTLVPSSKNKTRQLVAPFENDENPWMKKYHCQCKSCKMSVPVQ PWLPRFFVFGVLCPIFWLVNLLSWWFLQFWQPHELEFQDLQEDEYPGFYEYEVITKKS VIPIKEEVLQEIRAMQDFSDSNSEEYYDSKNSMPSSFLNVNAEQVEDEEDTLKKYRYA FLKKVAHDVLESHDLLRKSFRDWNLRSLLGLLVDSILIIFVVLLCKKTR SPAR_J00460 MSKNQSVGASEDEKEIVNHNAEGHKPQKLFDQEPDLTQEALTKF ENLDDCIYANKRIGTFKNNDFMECDCYEEFSDGVNHACDEDSDCINRLTLIECVNDLC SSCGNDCQNQRFQKKQYAPIAIFKTKHKGYGVRAEQDIEANQFIYEYKGEVIEEMEFR DRLIDYDQRHFKHFYFMMLQNGEFIDATIKGSLARFCNHSCSPNAYVNKWVVKGKLRM GIFAQRKILKGEEITFDYNVDRYGAQAQKCYCEEPNCIGFLGGKTQTDAASLLPQNIA DALGVTVSMEKKWLKLKKLSGEPIIKNENENINIEFLHSLEIQPIDNPVDVTKIMSVL LQQDNKIIASKLLKRLFTIDDDSLRHQAIKLHGYTCFSKMLKLFITEQPQRDEKESDI EEDDKKLIKGILDFLLELPKTTRNGIELSQIDNVVKALPEKCPLLKPKCDELLEKWSK FETYKRITKKDMNVASSKMVDLRRVRLPPGWEIIHENGRPLYYNAEQKTKLHYPPTGS SKVFSSRSSTQINSPSSGGISKTSSALDTKKHKLSDEEYERKKQKRLEYERIALERAK QEELESLKQKLKLENERKSVLEDIIAEANKQKELQKEEAKKLVEAKEAKRLKRKTASQ SQRLEHNWNKFFASFVPNLIRSNSQSKQFDHENIKQCAKDIVKILTTKELKKDSSRGP PDDLTKEKRHKVKEFIKSYMDKIILKKKQKKALALTSATTRMSSPPPSTSS SPAR_J00470 MASEKEIRRERFLNVFPKLVEELNASLLAYGMPKEACDWYAHSL NYNTPGGKLNRGLSVVDTYAILSNKTVEQLGQEEYEKVAILGWCIELLQAYFLVADDM MDKSITRRGQPCWYKVPEVGEIAINDAFMLEAAIYKLLKSHFRNEKYYIDITELFHEV TFQTELGQLMDLITAPEDKVDLSKFSLKRHSFIVTFKTAYYSFYLPVALAMYVAGVTD EKDLKQAKDVLIPLGEYFQIQDDYLDCFGTPEQIGKIGTDIQDNKCSWIINKALELAS AEQRKILDENYGKKDSSAEAKCKKIFNDLKIDQLYHEYEESVAKDLKAKISQVDESRG FKADVLTAFLNKVYKRSK SPAR_J00480 MGPPSGKTYMGWWGHMGGPKQKGITSYAVSPYAQKPLQGIFHNA VFNSFRRFKSQFLYVLIPAGIYWYWWKNGNEYNEFLYSKAGREELERVNV SPAR_J00490 MGDEKLSRHTSLKRARSLSESIKGLFKPSGISGSNNAAVPSSRP GQDQAHSHQTAKIITSNLSSPSISPVHSPVLQAAPKHHKLGVPNIAKLSLTPSREPSL NSENEMFSQESFISEKDEDEANLLEREELQNKKDEKARAKHARSKDVYVPHHRYTVGS DEIERQPRERLKNFPQNAASPNPANNSANHVLDQENNFSIDAMLDYDEESKLRRRNSL GVRNHSNRTRSRKNSLSTPRSPPMKNGNDGMNVNATTNVGNSTGNRIYMRGRNHSDSI SASSLPKFQEIECKCILDLGHFKVFENGYHEHSLRVLPIITNNKNVDSGDEKDIDASV NSGDDGDNDSEANMHKQKSVFSLSGLFKSHKDGNQQQQQQQQEENGEQINLEKAFSII PSQKFIKSQTLKKSRTSNLKNGNNDELMKNDGKNIPQIVNPNAAVGAEELKLINALSE KIRKGLKSENTKGSSSESRSNSNKQEDSDEPDSKTGVTNNDISHRPCSQKYGKSIGVV GAGAYGVVKICARCKTSKDVLPYSTYSNGRKLFFAVKELKPKPGDQIDKFCTRLTSEF IIGHSLSHPHFEANAVITGNASRTTPPKHVFNAPNILKILDLMEYNNSFVEVMEFCAS GDLYSLLTRNNISNESNNGSSRLIQTVKEGFGSPLHPLEADCFMKQLLNGVQYMHDHG IAHCDLKPENILFQPNGLLKICDFGTSSVFQTAWEKHVHFQSGAMGSEPYVAPEEFIR DAEYDPRLVDCWSCGIVYCTMVMGQYLWKIAIPEKDSLFKSFLSEIKDDGQFYLFEEL RHVSSELNRLRKIALYRTFQVDPTKRITIEQLLQSSWMRKTKCCVVYRPLHAKVSK SPAR_J00500 MSTEEHNGGGQKSLDDRKGEESHTSETSEREITATESGNEGKSV EKEGGQVQEKPKHPHVTYYNEEQYKQFIAQARVTGGKYSLQDFQILRTLGTGSFGRVH LIRSRHNGRYYAMKVLKKEIVVRLKQVEHTNDERLMLSIVTHPFIIRMWGTFQDTQQI FMIMDYIEGGELFSLLRKSQRFPNPVAKFYAAEVCLALEYLHSKDIIYRDLKPENILL DKNGHIKITDFGFAKYVPDVTYTLCGTPDYIAPEVVSTKPYNKSIDWWSFGILIYEML AGYTPFYDSNTMKTYEKILNAELRFPPFFNEDVKDLLSRLITRDLSQRLGNLQNGTED VKNHPWFKEVVWEKLLSRNIETPYEPPIQQGQGDTSQFDKYPEEDINYGVQGEDPYAD LFRDF SPAR_J00510 MSNEDETTRFMSPDEMDYLLETAGINALEEMITQGDSGDSAGIN FDTNETARDSSYDSIRQGSPSILSVAKSVEGEHGRKKLFWLYGLVMIICIAESISMTA TIPLVMDKVAEGIADEDGYYDPVAVQTIVSGISSSTMMIAGAISIFMAGKWGELSDRI GRVCVFKYMSGIRVIGLLTHLFTLSSKMKYHKWAIVLTACIVPSFGGLFALVANGNSY VSDIVKTEHRMVTIGIMMSCIYATMGVGPMFGSFLVKWTHGNGFIPIYTSIVFVILAF IICETIMVEPRHETQMAHSQSSYTKRREKLRSQSGSDGAKNYQSVTYGKFQIMRLMDL LAPVKKLWLKPDSAGSLVPRHTVIVLVVLDILFVCGTTSCMPALVLFSTYEYKWHAVE LGYFISILGIGRGVVLLVVSPVLLYALKRIYQHLNHSIDKIDIFCIRFSMIVITLSLF VMIRFGEKSSTSMVIFALLQALSAFCSPTLQSGIIKYTSKKHTGEMFGAMALVRSCVM LVIPPVLLKLYGSTVSVNPSLFMYIPFSTSIAAILLTFFLRIYKNPPLDGP SPAR_J00520 MSQVIEPQLDRTTYYSTLGLTSNATSSEVHKSYLKLARLLHPDK TKSDTSEELFKAVVHAHSILTDEDQKLRYDRDLKIKGLHTYQPKKYCHIFKTKAKESQ GTSPVIRKSEAYRRENKPYEQQPYGFGVGKKMNSCSKSKVPIFKSFNLKSYQRNHYYS SKKEKKHGSPDIDSLFQESNGASKVRMTDTGSMDTNSQFQEIWEMLGKTANNHESYRD DPDSCLGLALSDDEEEQYYGGTSKSSSPEEEQKDSAEPKKESRNFPEENREEEMGHKQ FKLPKISVSSNKSHESNLRSPFYNHEHRHYARNKFECRNQFRRSVSPIKEMPATTSTN EGWNILRDIVEKLNISNVDDRNKDPLFRRDEINDKNYNDSISIEKLSIREPKGMKRRK KDDISLEELSQSLPREKDFFVMNAINESLESINLFKKPKTAQSHAESEIFSQPEGNYT KFKPLLEQYGITIQVLDLQIPEIPELDAVTDLKTLRLNVQLFNNQCNKLKEKLYQASL QRLSADMQFSDILTESQNIMAWKTCLEFDKSLMDKMNILQERQMQVIKIFSRRCDGIV SPAR_J00530 MLYTRLLRQKPKFTKFSATQPNPGPKLLLSKGNLYTNILVTTLY GTGLACLYLESNSLNKSKKQQDPRAIAKDDVVNIVHDAPNRIFKPVLDTYQEKELDLQ KSDLHKVLHSLTYSDVSQFSVVWGFLIQLSSLIGNSSLGKKSILYKGSALSVVGFPPL IYMALRLRMKQLEKAGVRFE SPAR_J00540 MQYKKAFLTSLLSSIVLTAYAPPEPWATLTPSSKMDGGTTEYRT SFGLAVIPFTVTEGKVKRNVISQINDGQVQVTTQKLPNPVSQIGDGQVQVTTQKVPPV VSHIVSQIGDGQLQITTAKNVVTKSTVAISSKTATVTATSTATAISQIHDGQVQVTIS SISNKPEPSKGKLEPTKKSNNENVIKVQACKNPGTLAITLQGGVLTDSLGRIGSIVAN RQFQFDGPPPQAGAIYAGGWSITRHGTLAIGDNDVFYQCLSGTFYNLYDQSIGGQCNP VHLQTVGLVDC SPAR_J00550 MQYKKTLVASALAATTLAAYAPSEPWSTLTPTATYSGGITDYAS TFGIAVQPFSTTSNASSAATTASSKAKRAASQIGDGQVQAATTTASVSTKTTAAAVSQ IGDGQIQATTKTTAAAVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTTAAAVSQI GDGQIQATTKTTSAKSTAAAVSQIGDGQIQATTTTLAPKSTAAAVSQIGDGQIQATTK TTAAAVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTKT TAAAVSQIGDGQIQATTKTTAAAVSQITDGQVQATTKTTQAASQVSDGQVQATTATSA TATSTSTDPVDAVSCKTSGTLEMNLKDGILTDGKGRIGSIVANRQFQFDGPPPQAGAI YAAGWSITPEGNLAIGDNDVFYQCLSGTFYNLYDEHIGSQCTPVHLEAIDLIDC SPAR_J00560 MQFKNVALAASVAALSATASAEGYTPGEPWSTLTPTGSISCGSP EYTATFGIAVQAITSKAKRDIISQIGDGQVQATQVSSAAAQATDGQVQASTTATPSSS EKASSSASKTVSTANPSSASCTSPSLKDSSCKNSGTLELTLKDGVLTDAKGRIGSIVA NRQFQFDGPPPQAGAIYAAGWTITEDGYLALGDSDVFYQCLSGNFYNLYDQNVAEQCS AIHLEAVSLVDC SPAR_J00570 MKTPTRISFEKKLHTPPSGDRDIERSPPRKFLRSLSGKVFRKTP DFKKQQMPTFGYVEDSQFTPNLGLMMSKRGNIPKPLNLTKPISPPPSLKKTAGSVASG LSKTGQLSALQSPVNITSSNKYNIKATNLTTSLLRESISDSTTMCDTLSDINLTVMDE DYCTDGDSYYEEDSPTFMINLERNIKKCNSQFGPKRYIGEKCLICEESISSTFTGEKV VESTCSHTSHYNCYLMLFETLYFQGKFPECKICGEVSKPKDKDIVPEMVSKLLTGAGA RGDGPSANMQQQWIDLKSARSFTGGFPQFTPQEQLIRTADISCDGFRTPQLSNSDQFE AASYLDSPFLSPPFINRMVTTDPFNLSDDEKFDNDDEINESPAEVWFSETEGGKVMVS VKFPEMQGSDDLEVLKDANHVNRQELEDREKERKKIIDQYIETNVDKGREFGSLILFD KIMYSDDGEQWVDNNLVILFSKFLVLFDYEEMNILGKIPRDQFYQVIKFNEDVLLCSL KSTNIPEIYLRFSGNCEKWLLPKWKYCLENASLEALPLCEIVSTVKELSNVDILGALG TPPNIAAAQSNNPRLPWKRLQNETPLKLILCLNLSHSGGESYRKEVLKNVHQILDELN TNDLVGIVVVGRDGSGLVGPFGTFIGMINKNWDGWTTFLDNLEVVHPDVFHDEKQRYK VTLQTCVRLASTSAYVDAYDHLGTEYAKQILVLNGSDVVDIEHDQELKNVFDQLSDCW RYEISQRRMTPTNTSIKQFLEELHTKRYLNVTLRLPQATSEQVYLGDMPAGEQKTRLI QDEHPHSSLIEIEYFDLIKQQRIHQTLEVPSL SPAR_J00580 MVRFFGLNKKKNEEKENTDLPADNEQNAEEISSGNVPGNEEKID PTSHDTHPASTNNDDASTTFDSSIQSSSIFSRGRMTYGTGASSSMATSEMRSHSSGHS GSKNSKNLQGFIDVGKPLRAVSFLNPVKEEESQDAQSTSDVSSSTSSTLATSGNAREN SFSSRRTITLEYVHKSLSELEENLVDIMDDIHQDVISISKAVIEAIEYFKEFLPIIRE RIPYRINLEKSSALRKINKIVLHFLDNLLVSDAFSNSRSILLRRFYFFLKKLNLIADD DLISESGVLPCLSVFCIDGHCNLPSMDKLGMILDELTKMDSSIISDQEGAFIAPILRG VTPKSSILTIMFGLPNLQHEHYEMIKVLYSLFPDVHMYCVKDCIKKAASAIGSIPSHT EPNIDPIAPTKFQFSPPYTVSENPLELPISMSLSTETSTKITGTLGGYLFPQTGNDKK FSQFASCSFAITCAHVVLSEKQDYPNVMVPSNVLQTSYKKVLAKESDRYPDGSMEKTA FLEEVQRIDQNLKWQKSNKFGQVVWGERAIVDHRLSDFAIIKVNSSFKCQNTLGNGLK SLPDPTLRFQNLHVKRKIFKMKPGMKVFKIGASTGYTSGQLNSTKLVYWADGKLQSSE FVVASPTPLFASAGDSGAWILTKLEDRLGLGLVGMLHSYDGEQRQFGLFTPIGDILER LNAVTKIQWDIDPQLDG SPAR_J00590 MGYSTISNDNDIKVCVIMVGLPARGKSFISQKIIRYLSWLSIKA KCFNVGNYRRDVSGNVPMNAEFFNFENKDSFKLRELAAQNAMKDIVNFFTKEDGSVAV FDATNSTRKRRKWLKDICAQNNIQPMCLESWSNDHELIINNAKDIGSTSPDYEDFEPD VAEADFLKRIRQYERFYEPLDPQKDKDMTFVKLVNIIEEVVINKIRTYLESRIVFYVM NIRPKPKYIWLSRHGESIYNVEKKIGGDSSLSERGFQYAKKLKHLVKESAGEVNLTVW TSTLKRTQQTASYLPYKKLQWKALDELDAGVCDGMTYEEIEKEYPEDFRARDNDKYEY RYRGGESYRDVVIRLEPVIMELERQENVLIITHQAVLRCIYAYFMNVPQEESPWMSIP LHTLIKLEPRAYGTKVTKIKANIPAVSTYKEKGTSQVGELSQSSTKLHQLLNDSPLED KF SPAR_J00600 MAYADSPENAIAVIKQRTALMNRCLSQHKLMESLQHTSIMLTEL RNPNLSPKKYYELYVIIFDSLTNLSTYLIENHPQNHHLADLYELVQYTGNVVPRLYLM ITVGTSYLTFNDAPKKEILKDMIEMCRGVQNPIRGLFLRYYLSQRTKELLPEDDPSFN SQFIMNNFIEMNKLWVRLQHQGPLRERETRTRERKELQILVGSQLVRLSQIIDDNFQM YKQDVLPTILEQVIQCRDLVSQEYLLDVICQVFTDEFHLKTLDALLQTTLHLNPDVSI NKIVLTLVDRLNDYVTRQLEDNPDATSTNAYLDMDVFGTFWDYLTVLNHERPDLSLQQ FIPLVESVIVLSLKWYPNNFENLNKLFELVLQKTKDYGQKNISLESEHLFLVLLSFQN SKLQLTSPSTASSNSLVTSKKNFIFQLISQCQAYKNILALQSIGLQKKVVNEIIDILM DKDVEELADNESESKLHLSEHHTYLVIEDKLQVQRLLSICEPLIISRSGPPANVASSD TNIDEVFFNRHDEEESWILDPIQAKLALLIHWIMNTTSRKQTTKNKFQFSLEAQLEIL LLIKSSFIKGGINVKYTFPAIITNFWKLIRKCHMIQEYLLKKRPDNKTLSSHYSNLLK QMFKFVSRCINDIFNSCNNSCTDLILKLNLQCATLADQLQLNEISYDFFSQAFTIFEE SLSDSKTQLQALIYMAQSLQKTRSLYKEAYYDSLIVRCTLHGSKLLKKQDQCRAVYLC SHLWWATEISNIGEEEGITDNFHRDGKRVLECLQRSLRVADSIMDNEQSCELMVEILN RCLYYFIHGDETETHISIKYINGLIELIKTNLKSLKLEDNSASMITNTISDLHITGEN NVKSNTNADDGSVTVDKDSNVAIGSDGTYIQLNTLNGSSTLIHGVVATASGSKLLHQL KYVPIYHFQRTCEYIESQREVDDRFKVIYV SPAR_J00610 MTEDNIAPIASVKVVTDKCTYTENELLTKYSYENAVVSKPASGR FDVTPTVQDYVFKVDLNKPEKLGIMLIGLGGNNGSTLVASVLANKHNIEFQTKEGLKQ PNYFGSMTQSSTLKLGIDAEGNDVYAPFNSLLPMVNPNDFVVSGWDINNADLFEAMQR GQVLEYDLQKRLKGKMSLVKPLPSIYYPDFIAANQDKRANNCFNLDEKGNVTTRGKWA HLQRIRRDIQKFKEENALDKVIVLWTANTERYVEVSAGVNDTMENLLQSIKNDHEEIA PSTIFAAASILEGVPYINGSPQNTFVPGLVQLAEHEGTFIAGDDFKSGQTKLKSVLAQ FLVDAGIKPVSIASYNHLGNNDGYNLSAPKQFRSKEISKSSVIDDIIASNDILYNDKL GKKVDHCIVIKYMKPVGDSKVAMDEYYSELMLGGHNRISIHNVCEDSLLATPLIIDLL VMTEFCTRVSYKKVGSVQEDGAKFKSFYPVLTFLSYWLKAPLTRPGFHPVNGLNKQRT ALENFLRLLIGLPSLNELRFEERLL SPAR_J00620 MDRDHNNDDHRMSYSINKDDLLLMVLAVFIPPAAVWKRKGIFDR DTLLNVLLFLLLFFPAIIHACYVVYETSSERSYDLSRRHAAAPAVDRDLEAHPAEESQ AQPPAYDEDDEAGADVPLMDNKQQLPSART SPAR_J00630 MPFQDYFQKKKAAFINRNNKSNADASALRNITNNSLNIAAKGRS YVFPLTKLPDELMQEVFSHLPQPDRLQLCLVNKRFNKIATKLLYRRIYLNDSNVVKSD FMHLAINWTLLNLPSSLKEEESRDIANYKLRKLIETLQNNIHITEVIQWIRINWDLDS TLQRSILNILCNQGKSLQRLENVTDPACNDIISNGHFSKCNVSSFDMAPPNSLPEMVV PENYIPNLTKYLSQRISSRLSHMTLFIDPLKLFNYLYPLDIKLQIIDLKLHWRREFYN NDYFVKKIRPGNPLTKLSEVFDKRTLKILTIISWNDTLLKRETEMLKDFKEFENLEDL SLISIKQDVHILVDLFSSLTNLKRLKMDFLEDYVPEPTNPHIFLSILLACSKLQFIDL RYDGLIPQIINIQENKFQLNQQCNCTNCQIVFSDILKGKIFMFPEDYYVHEVQDIAAK DIFKMMKYLSLLPYSKACDAYPSVRTQPMNLTNFVTKMNVNLLHYRNSKSQLVPKIVN NPHQHSTITSTSTAHTSDPEMIIIDDDYDDDINADLPPDNEDTATTISGDLELPHDSL TKRDIIMCYHALIHHFKSIYVTFLKSFPHLRFLMLNDIPTIVMEENNERIFEPVFYHY DYKSNLHGWSKESNKNLENDSSNNNNNPDTIARIATVM SPAR_J00640 MSKLSKDYVSDSDSDDEVISNEFSIPDGFKKCKHLRNFPLNCDN KKKSKQQQVWLIKFPSNVDISKLKSLPVDFESSTTMSIDKHNYNIMDDTDIESSLTQD NLSNMTLLVPSESKENLKIASTAKDNTPLQFDKVFSISENAKIPAIDYVKVRVPRKDV PKVEGLKLEHFATGYDAEDFHVTEDVKEDKKESKKRSHHDNEERSSDKKKKKKEKKEK KEKKEKKDKKMKHRD SPAR_J00650 MRRTFSQLTTRLLKSKEDELKSTLKYLTKGSMKSLGSLFGSSEP TGQGSLLNRSSTKENSLQNHHIENILSILNSNLPEVESKKQKVAVHYDLLFSHLNSIV TQATDNKYTSSKELQAASSEDLYDRLLLLQYMGKLNNVRQITEILLSKKFDKFDKVWE HKALFDKYQRVVISILLYYRTHNAQIRKDYEVRWLSEYSDLPFPLRRLLWRCLTFNVS EENIQQKILHYIKILGSNWRNNDLVLIYQSLYEKSHLLPDLAALDSNKNETPPFTQNQ ILLVRILRAISKRVEEQPKLVKNWLIDIVKLSIQSKLMLESPKKPSTPIMDQYKFIRS LDISTQSIHRMCQNRLIFEDLQIDLESILKTINDEELELKTHLPLNLI SPAR_J00660 MDNQQQSISEDITGDLAAAVRKSWSESQDNPLLLNFNNSPIGTP TGRYSPEPEMMMEGNTMNLSSLARGSAQHQQRLYGSSQSREKSDQQQQDYQLFKHHYS LGQETRESVSDILNDLTLGSPEPSERVSPIKQPSVDVPPLTTRRSSIQDVQWIRHLLN PRSSFSGASANEPANSPGDVLNQSRAWITILHDSSAESLQAVIVLSESLKSVNSQYNL WVLHSSEVNAFQLTQIGIKTLIIDEYINLFMNFGNGSGFSASSQAAETKSELNFKWCK LFLFFSLIDRFELICYLSPTCTVLQNIDELLESTEVSDEIDNETCVLLSNKVNYINGD LASVEQDQYPAGNYDEDPQIIILKPNKAVAMCIKEYFTIYGNDFEGESKRSMFHQMND LQIMKALFGDKWSYIDSGGYCAVPITSVPADSLNYKIIEFKILKPWERQNYIAAGQHR ESIMNKWLDLWRDFLNQAN SPAR_J00670 MKFNNDSEKQVFDKLKKAIPGIIKEKCAGYDELYGYKLNPEGLT KEEVEKYYDEKIADCLIYKLCKAYQFEYSTVVQNLIDILNWRKEFNPLSCAYKEVHNA DLQNVGILTFDANGDANKKAVTWNLYGQLVKKKELFQNVDKFVRYRIGLMEKGLSLLD FTGVDNSYMTQVHDYKGVSVWRMDSDIKNCSKTVIGIFQKYYPELLYAKYFVNVPTVF GWVYDLIKKFVDETTRKKFVVLTDGNKLGQYLKDCPYQDYGGKDKQNDLNKQNVTNVH PTEYGLYILQKQIIEDVE SPAR_J00680 MLRKGTSTIYRTHKKTNSSILRSQRDQTKVDSLVEESPMGDFGI HNQPTQPGVIYYFVELTNLGIQENTSSNNNNNNNHSDDENGGRYGHGSSLGGDVHSHR CSST SPAR_J00690 MSADHSRDPCPIVILNDFGGAFAMGAIGGVVWHGIKGFRNSPLG ERGSGAVSAIKARAPVLGGNFGVWGGLFSTFDCAVKAVRKREDPWNAIIAGFFTGGAL AVRGGWRHTRNSSITCACLLGVIEGVGLMFQRYAAWQAKPMAPPLPEAPPSQPLQA SPAR_J00700 MNSSNNNDSSSTNSNMNNSLSPTLVTHSDASMGSGRASPDDRHS GRSIWNPSYVNQGSQRPPQQQQQQQQQQQQQQQNSQFFFVNPWNEEKVTNSQQNLVYP PQYDDLNSNESLDAYRRRKSSLVVPPARAPAPNPFQYDSYPAYTNSNTNLAGSTSGQY PSGYQQQQQHAYQQGAIPSSQFGSRFVPSLYDRQEFQRRQSLAATNYSSNFSSLNSNA NQGTNSIPVMSPYRRLSAYPPSTSPPLQPPFKQLRRDEVQAQKLSIPQMQPCNSKNDL QPVLNATPKFRRASLNSKTISPLVSVTKSLITTYSLCSPEFTYQTSKNPKRVLTKPSE GKCNNGFDNINSDYILYVNDVLGVEQNRKYLVLDILGQGTFGQVVKCQNLLTKEILAV KVVKSRTEYLTQSITEAKILELLNQKIDPANKHHFLRMHDSFVHKNHLCLVFELLSNN LYELLKQNKFHGLSIQLIRTFTTQILDSLCVLKESKLIHCDLKPENILLCAPDKPELK IIDFGSSCEEARTVYTYIQSRFYRAPEIILGIPYSTSIDMWSLGCIVAELFLGIPIFP GASEYNQLTRIIDTLGYPPSWMIDMGKNSGKFMKKLTPEESAASTQKHRMKTIEEFCR EYNIVEKPSKQYFKWKRLPDIIRNYRYPKSIQNSQELIDQEMQSRECLIHFLSGVLNL NPLERWTPQQAMLHPFITKQEFTGEWFPPGSSLPGPSEKHDNTKGRQNDHRSTRDSKN NANRNYVYNSSSATGGTDSVDIGAISKRKENVSSDIPNDYAVTHSVQEGPTSAFNKLH IVEE SPAR_J00710 MNVSTSTFQTRRRRLKKVEEEENAATLQLGQEFQLKQLNHQGEE EELIALNLSEARLVIKEALIERRRAFKRSQKKHKKKHLKHENANDETTAVEEEDDDLD EDDVNAGDDDFMHSETREKELESIDVLLEQTTGGNNKDLKNTMQYLTNFSRFRDQETV GAVIQLLKSTGLHPFEVAQLGSLACDTADEAKTLIPSLNNKISDDELERILKELSNLE TLY SPAR_J00720 MAKRGLLYILGVFLPIWTFMIYIFGKELFLIRKYHKIDSSYCTL SRRVKEQYDSSRRRNYFPEVKLSRNSYDDYTLNYTRQNDFGPFYSRENATILMLVRNS ELEGALDSMRSLEDRFNNKYHYDWTFLNDVPFDQDFIEATTAMASGKTQYALIPPEDW NRPKWINETFFEERLRTMEDEGVLYGGSKSYRNMCRFNSGFFFRQPILDNYDYYFRVE PNVEYYCDFPYDPFRIMRLKAKKYGFVISLYEYEETIPTLWDAVEEYLVTNEEMILRK EDSAYAFLTDSGLVGKHYPVVEANSNYNLCHFWSNFEIGDLNFFRSDEYKKFFETLDA KGGFYYERWGDAPVHSIGVSLLLRPEEIIHFDELGYFHSPFGTCPASYAIRLDQRCRC KSHDESVIDITPHSCLMRWWKNGSGKYFLKEEQHQV SPAR_J00730 MSEGITDIEESQIQTNYDKVVYKFDDMELDENLLRGVFGYGFEE PSAIQQRAIMPIIEGHDVLAQAQSGTGKTGTFSIAALQRIDTSVKAPQALMLAPTREL ALQIQKVVMALAFHMDIKVHACIGGTSFVEDAEGLRDAQIVVGTPGRVFDNIQRRRFR TDKIKMFILDEADEMLSSGFKEQIYQIFTLLPPTTQVVLLSATMPNDVLEVTTKFMRN PVRILVKKDELTLEGIKQFYVNVEEEDYKYECLTDLYDSISVTQAVIFCNTRRKVEEL TTKLRNDKFTVSAIYSDLPQQERDTIMKEFRSGSSRILISTDLLARGIDVQQVSLVIN YDLPANKENYIHRIGRGGRFGRKGVAINFVTNEDVGAMRELEKFYSTQIEELPSDIAT LLN SPAR_J00740 MARKVAICTLIYSRDYLPGALTLAYQLQKLLKHAVVEYQITVCL LIESKLFRDEFSPQEIALIRSLFKEIIIIEPLKDQEKSVEKNKANLELLKRPELSHTL LKARLWELVQFDQVLFLDADTLPLNKEFFRILQLYPEQTRFQIAAVPDIGWPDMFNTG VLLLIPDLEMARSLQNFLVKTVSIDGADQGIFNQFFNPICNYSKEVLHNVSPLMEWIR LPFIYNVTMPNYGYQSSPAMSFFQQHIKLIHFIGAFKPWSHTTSDYNDHYYQLWRSTQ CGLYGECHLSDYFTHLQLGNIETDTNFHHEPPCLKNLLKHSTKGHQKQVEFDETQVDQ NASQKSAAEKHDFERPTSEPQSAFKFDWETTDYLDRVQRAFPRPDT SPAR_J00750 MVDGLNTSNIRKRARTLSNPNDFQEPNYLLDPGNHPSDHFRTRM SKFRFNIREKLLVFTNNQSFTLSRWQKKYRSAFNDLYFTYTSLMGSHTFYVLCLPMPV WFGYFETTKDMVYILGYSIYLSGFFKDYWCLPRPRAPPLHRITLSEYTTKEYGAPSSH TANATGVSLLFLYNIWRMQQSSVMLQLMLSCVVLFYYMTLVFGRIYCGMHGLLDLVSG GLIGIVCFIVRMYFKYRFPGLRIEEYWWFPVFSVGWGLLLLFEHVKPVDECPCFQDSV AFMGVVSGIECCDWLGKVFGVTLVYNLEPNCGWRLTLARLLVGVPCVVIWKYVVSKPL VYTLLIKVFHLKDDRNIAARKRLEATHKEGGSKYECPLYIGEPKIDILGRFIIYAGVP FTVVMCSPVLFSLLHIA SPAR_J00760 MIAQSTRLAAAVSSSAASASVSRIAASAMASAIFKRSPGNSFNS FKEYRENAKTYGPLSASLATRRHLAHPPKL SPAR_J00770 MVENSSSDNSIRPIPAIPMDLPDYEALPTHAPLYYQLIAGAFAG IMEHSVMFPIDALKTRIQSANAKSLSAKNMLSQISHISTSEGTLALWKGVQSVILGAG PAHAVYFGTYEFCKKNLIDSSDTQTHHPFKTAISGACATTASDALMNPFDTIKQRIQL NTSASVWQTTKQIYQSEGLAAFYYSYPTTLVMNIPFAAFNFVIYESSTKIFNPSNEYN PLIHCLCGSISGSTCAAITTPLDCIKTVLQIRGSQTVSLEIMRKADTFSKAASAIYQV YGWKGFWRGWKPRIVANMPATAISWTAYECAKHFLMTY SPAR_J00780 MSIISSWLLVSIICLTTSIVTKLQAAGVTTHLFYLTRGAPLSLK ENYYPWLKAGSFFPDALYSCAPSNKDWSDFAEFTHWPDFLMIALSYWQQKYGQNDRLR ESHGSLTLKSFLIGVFTHQIVDVSWHSLVTDYRMHGLLRVLSETEFGADIEAAHTFLD VMGEFLTLNNVIRDNNNNNENWDFFSRSDWKLPREEDMMGIIRKAGLSKEKLSHAELE FCVKRGMAAAVSEGYLFRTQRNQLLTNIYSTSPRANDLILNHWLGGQSNLVAMLQRCV PFFETLFHGESTNEAQAEKLRLCANLPPVSQQGLNTSTLASSIKARTDNSHIVVSPMK SFSNFGTSFTMGKFQGNNKDYLAVSAPLEDSVGAIYIVPWDIVPLASKEDISILQPIT AMYGSKVGTYRVGDVDYLVVSQPGTCTIDFYFKGTKILTIEDETTEEAHQLQFALTDD FDDDKIPDLIVSSPNYGANETGIATFIPGSSIITYLINSDKYQIVDISTFKGVVSLDG YPVKIPFQHFGATIQTSDTLNKRKLIYITCQSLGTVFAYSSSDLNDSSIPIYYITKDR IIPAKDNNYVEWNITPSKEHGMFGSAIHSWNFEGMSFVAISQPMFDTVFIYTEKFGQI KFFLKLILKINVESGFIPSGFGTSLLFNEEEKALYVSSPGSFDERGSIWKIGMDELLK ARKDSTRKNLLINSLKYLVLINPDKSSKGVTNFGKNMILGPENHLIVGIPQYGYGNFD HMQLTGRILVL SPAR_J00790 MLKVHLREAVSRKTLFLKSFRNFHYTKYFRRDNASSTTDIFRNA MKRKRDLANLREENHGNGARNFAFPKEYVKRQKQPPRNASNRKRILITWSSGTDRAKE AANSVVSEIFRKNYKGNIKVVDPTTHRIEPSNIRYFAKGIDLDKFGLSIVNVEQIDDE NQIPLVKIVESRVALKKYSDFLAKKKEKELMELGVLNKSYKNLVTDKKEDNLKHIKIS WQIENDDLERQKAHEIVSLLKKGSKVTLYLDDKNNINSNNWLENFEELDRSREGGATK LPKSVLQKRAAVLETLKETVSEYANDPVLLGNIGSKMIMKLIPKDVKPQNNDKRALKE LRKKERQEKLQKRIERKKTKEM SPAR_J00800 MAAIAPTAPITPPMESTGDRLVTLELKDGTVLQGYSFGAEKSTA GELVFQTGMVGYPESVTDPSYEGQILVITYPLVGNYGVPDMHLRDELVEELPRYFESN RIHIAGLVISHYTDEYSHYLAKSSLGKWLQNEGIPAVYGVDTRSLTKHLRDAGSMLGR LSLEKSGTDRTISRSSSWKDAFDVPEWVDPNVQNLVAKVSTDEPKLYVPPADNKHIEL QSGPDGKVLRILAIDVGMKYNQIRCFIKRGVELKVVPWDYDFTKEDYDGLFISNGPGD PSVLDDLSQRLSNVLEAKKTPVFGICLGHQLIARAAGASTLKLKFGNRGHNIPCTSTV SGRCYITSQNHGFAVDVDTLTSGWKPLFVNANDDSNEGIYHSDLPYFSVQFHPESTPG PRDTEFLFDVFIQAVKEFKYTQVLKPIAFPGGLLEDNMKAHPRIEAKKVLVLGSGGLS IGQAGEFDYSGSQAIKALKEEGIYTILINPNIATIQTSKGLADKVYFVPVTAEFVRKV ILHERPDAIYVTFGGQTALSVGIAMKDEFEALGVKVLGTPIDTIITTEDRELFSNAID EINEKCAKSQAANSVDEALAAVKEIGFPVIVRAAYALGGLGSGFANNEKELVDLCNVA FSSSPQVLVEKSMKGWKEVEYEVVRDAFDNCITVCNMENFDPLGIHTGDSIVVAPSQT LSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPVSKDYCIIEVNARLSRSSALASKA TGYPLAYTAAKLGLNIPLNEVKNSVTKSTCACFEPSLDYCVVKMPRWDLKKFTRVSTE LSSSMKSVGEVMSIGRTFEEAIQKAIRSTEYANLGFNETDLDIDIDYELNNPTDMRVF AIANAFAKKGYSVDKVWEMTKIDKWFLNKLYNLVQFAEKIGSFGTKEELPSLVLRQAK QLGFDDRQIARFLDSNEVAIRRLRKEYGITPFVKQIDTVAAEFPAYTNYLYMTYNADS HDLSFDDHGVMVLGSGVYRIGSSVEFDWCAVTAVRTLRANKIKTIMVNYNPETVSTDY DEADRLYFETINLERVLDIYEVENSSGVVVSMGGQTSNNIAMTLHRENVKILGTSPDM IDSAENRYKFSRMLDQIGVDQPAWKELTSMDEAESFAEKVGYPVLVRPSYVLSGAAMN TVYSRNDLESYLNQAVEVSRDYPVVITKYIENAKEIEMDAVARNGELVMHVVSEHVEN AGVHSGDATLIVPPQDLAPETVDRIVVATAKIGKALKITGPYNIQFIAKDNEIKVIEC NVRASRSFPFISKVVGVNLIELATKAIMDLPLTPYPVEKLPDDYVAVKVPQFSFPRLA GADPVLGVEMASTGEVATFGHSKYEAYLKSLLATGFKLPKKNILLSIGSYKEKQELLS SVQKLYNMGYKLFATSGTADFLSEHGIAVQYLEVLNRDDDDQKSEYSLTQHLANNEID LYINLPSANRFRRPASYVSKGYKTRRLAVDYSVPLVTNVKCAKLLIEAISRNITLDVS ERDAQTSHRTITLPGLINIATYVPNASHVIKGPAELKETTRLFLESGFTYCQLMPRSI SGPVITDAASLKAANSVSQDSSYTDFSFTIAGTAHNSQTVTQSASKVTALFLPLRELK NKITAVAELLNQWPAEKQVIAEAKTADLASVLLLTSLQNRSIHITGVSNKEDLALIMT VKAKDPRVTCDVNIYSLFIAQDDYPEAVFLPTKEDQEFFWNNLDSIDAFSVGALPVAL ANVTGNKVDVGMGIKDSLPLLLAAVEEGKLTIDDIVVRLHDNPAKIFNIPTQDSVVEI DLDYSFRRNKRWSPFNKDMNGGIERVVYNGETLVLSGELVSPGAKGKCIVNSSPASIT ASSELQSTSTKRRFSITEEAMADNLDAAEEAIPEQPLEQKLMSSRPPRELVAPGAIQN LIRSNNPFRGRHILSIKQFKRSDFHVLFAVAQELRAAVAREGVLDLMKGHVITTIFFE PSTRTCSSFIAAMERLGGRIVNVNPLVSSVKKGETLQDTIRTLACYSDAIVMRHSEEM SVHIAAKYSPVPIINGGNGSREHPTQAFLDLFTIREEIGTVNGITVTFMGDLKHGRTV HSLCRLLMHYQVRINLVSPPELRLPEGLREELRRAGLLGVESIELTPHIISKTDVLYC TRVQEERFNSPEEYARLKDTYIVDNKILAHAKENMAIMHPLPRVNEIKEEVDYDHRAA YFRQMKYGLFVRMALLAMVMGVDM SPAR_J00810 MHFRRTMSRVPTLASLEVRYKKSFGHKLRDFIALCGHYFSPLKK YVFPSFIAVHYFYTISLTLITSILLYPIKNTRYIDTLFLAAGAVTQGGLNTVDINNLS LYQQIVLYIVCCISTPIAVHSCLAFVRLYWFERYFDGIRDSSRRNFKMRRTKTILERE LTARTMTKNRTGTQKMSYPRKKAKTDDFQEKLFSGEMVNRDEQDSVHSGQNSHEVSKD SSNNDMNHNGSSGSLDDFVKEDETDDNGEYQENNSYSTVGSSSNTAADESLNQKPKTS SLRFDEPQTKQKHTRVPSEKFAKRRGSRDISPADMYRSIMMLQGKHEATAEDEGPPLV IGSPTDGARYTSNVNKLKKATNINGSTIKIRDKGKESNTDQSSLSSSTNSTASVSDEG SLPTNFGSRVPSLRTNTHRSDSGPIAITDDGEADRKHGPSIQFDITKPPRKLPKRVST MDDSNPRSSAPYQKKTSKKYIMKHFPKARRIRQQIKRRLSTGSIDKNSSNNIPDTKPI SELDNDDNDGDNNEEYFADNESGDEDERAQQPEPQFDSELKLHQHQLQQNLHRMYKTK SFDDNRSRAVPMERSRTIDMAEAKDLNELARTPDFQRMVYQNWKAHHRKKTNFRKRGW NGKIFEHGADAFDSDRNYPDNGNTGNSILHYAESILHHDGSHRNGSEDASSDSNEVIY PTNGRNDHNEFNSYPTYNDDEEGYYGLHFDTDYDLDPRHDLSKSSGKTYLSWQPTIGR NSNFLGLTRAQKDELGGVEYRAIKLLCTILVVYYVGWHIVAFVMLVPWIVLKKHYSEI VRDDGVSPTWWGFWTAMSAFNDLGLTLTPDSMMSFNKAVYPLITMIWFIIIGNTGFPI LLRCIIWIMYKLSPDLSQMRESLGFLLDHPRRCFTLLFPKAATWWLLLTLAGLNITDW ILFIILDFGSTVVKSLSKGYRVLVGLFQSVSTRTAGFSVVDLSQLHPSIQVSYMLMMY VSVLPLAISIRRTNVYEEQSLGLYGDMGGEPEDTDTEDDGNDEDDDEEDESHEGQSSQ RSSSNNNRKKKKKKKKKTESSNEISTKSFIGAHLRKQLSFDLWFLFLGLFIICICEGD KIKDVQEPNFNIFAILFEIVSAYGTVGLSLGYPNTNQSFSRQLTTLSKLVIIAMLIRG KNRGLPYSLDRAIILPSDRLEHIDHLEGMKLKRQAKTNTEDPMTEHFKRSFTDVKHRW GALKRRTTHSRNSKRSNTTL SPAR_J00820 MEDKFANLSLHEKTGKSSIQINEQTGSDNGSAVKRTASTSSHYN NINADLHARVKAFQEQRALKRSASVGSNKSEQDKGTSQSPKHIQQIVNKPLPPLPVAG SSKVSQRMSSQVVQASSKNTLKNIGSGQETQNVTDININIDTTKITTTTVGAATDAPA IGVTPSVPNTASAAHKAQLLNPNRRAPRRPLSTQHPTRPNVAPHKAPAIINTPKQSLS ARRGLKLPPGGMSLKMPTKTAQQPQQFAPSPSNKKHIETVSSSKVAEGKRSNPGSLIN GVQSTSTSSSTEGPHDTIGTTPRTGNSNNSSNSGSSGGGGLFANFSKYVDIKSGSLNF AGKLSLSSKGIDFSNGSSSRITLDELEFLDELGHGNYGNVSKVLHKPTNVIMATKEVR LELDEAKFRQILMELEVLHKCNSPYIVDFYGAFFIEGAVYMCMEYMDGGSLDKIYDES SEIGGIDEPQLAFIANAVIHGLKELKEQHNIIHRDVKPTNILCSANQGTVKLCDFGVS GNLVASLAKTNIGCQSYMAPERIKSLNPDRATYTVQSDIWSLGLSILEMALGRYPYPP ETYDNIFSQLSAIVDGPPPRLPSDKFSSDAQDFVSLCLQKIPERRPTYAALTEHPWLV KYRNQDVQMSKYITERLERRNKILRERGENGLSKNVPALHMGGL SPAR_J00830 MIFIFNQIRSIFTALHTPTQQIQLSRRAFFQFLGYLGSCVVISL AAQSKYVQ SPAR_J00840 MLSQHTSLTRDDEHLHSTHPNSGSEVRNDAAVPDQLLTPLQPYT ILLKDGETIATMYPIPAYPDLLPLGLLSFLLDEFNMEVEKGDSFPYYETLSLEEFKNV WFHTDGHVCIMVLGEIPELDYSMDTEADADDNYGTDVETMKHTTQYKRRKERRNLNLS IQWEKQCLGIFDLKPAYPGRSAHVVTGTFLVNAGIRGKGIGKTLVETFIEWSKKLGFT SSFFPLIYGTNVGIRRILEGLNFRRIGKLPEAGILKGFDVPVDSFMYGKEFTHITKSI DLLRDPQKSIEIGKYERLKHFLETGKYPLHCDRNEKARLRVLSKTHSVLNGKLMTKGK EIIYDTDQQIQIALEIHLVEHLGINKVTSKIGEKYHWRGIKSTVSEVISRCQKCKMRY RDGTGVIIEQKRAVKQAHMLPTQHIETINKPRKSKKHDNALLGQSLNFPQNLISSTLS GAEGEPTPPDTNIVQTTFQNTANSPITTAEPNRADKRSEYPSPIQNTPLLDDEQSMNS FNRFVEEENTRKRRKYLDVATNGIVPHLTNNEPQTHANSVNDGEQDINHAVADLDRND HTIMNDAMLSLEDNVMAALEMVQKEQQQKIDHGNEDVAAQRNGMNSTEGNDNTVTKIV NDVSNTFTEHSSNIYY SPAR_J00850 MSNKLKRVAVAQLCSSADLAKNLKVVKELIFKAIQDKADVVFFP EASDYISQSPLHSRYLAQKSPQFIRQLQLVITDLVKENSRNIDVSIGVHLPPTEQDLL DENDRVRNVLLYINHEGKILQEYQKLHLFDVNVPNGPILKESTSVQPGKAIPNVIESP LGKLGSAICYDIRFPELSLKLRSMGAEILCFPSAFTIKTGEAHWELLGRARAVDTQCF VLMPGQVGIHDLSDSEWEKQQHVSAPTKNSRRESWGHSMVIDPWGEIIAHADPSIIGP QLIYADLNLESLQEIRNKMPLWNQRRDDLFH SPAR_J00860 MTTNCFSGYKDLIKEGDLTLIWVSRDNIKPVRMQSEEVFNTRYG SFPHKDIIGKPYGSQIAIRTKGSNKFAFVHVLQPTPELWTLSLPHRTQIVYTPDSSYI MQRLNCSPHSRVIEAGTGSGSFSHAFARSVGHLFSFEFHQVRYEQALEEFKEHGLIDD NVTITHRDVCQDGFLIKKGDTTSYRFTDAETTASLNASVVFLDLPAPWDAIPHLDYVI SADEKVGLCCFSPCIEQVDKTLEVLEKHGWSNVEMVEIQGRQYESRRQMVRSLDDALE RLKDIKRHKLQGVERRKRMFNNTIDSNDEKIEKRNEDGIPLTEKAKFNPFGKGSRIKE GDSNYKWKEVTKVEAEIKSHTSYLTFAFKVVNKSRNVEKVKEILQSMNKQSKD SPAR_J00870 MSANSKDRNQSNQDTKRQQQNFSKKISEGEADLYLDQYNFTTTA AIVSSVDRKIFVLLRDGRMLFGVLRTFDQYANLILQDCVERIYFSKENKYAEEDRGIF MIRGENVVMLGEVDIDKEDQPLEAMERIPFKEAWQIKQKNDEKRFKEETHKGKKMARH GIVYDFHKSDMY SPAR_J00880 MSDNKNSEAEDVFEFLDSLPEAKNGEKMDNADANGSQEDLKGGS NSATGKTGNDGKKGDDDIFEFLEELEKSNLSLTDKKMVEKKTLNESANKEAQDKELGE SKENKKPGQDVYTKEKDLKQQEKEEEEEEEEEEAPLHDPIASISNWWSSSGSAKVTSI WNKTAEQASQIKNRLAQEQLDLSSKINANTITEIARNLQKIVVGETEEVLRIHLVHDL VNYPSLQYNIESKFDQVLSSQVEGGIRIFVDEWGHPNNNGITPMEKKPSVVDGEVGNS KKKLQFNLFDGKVTDGEKLAFANLENAVKLFNTAHEEYQKQQKEADVAQDDDRSSISS NGNKISDLFISILPIAIPQKQQDVDDDFQITDSNTPGNFNFTLVLKDITNDITTITRS QGFPVKWVNWLEGSVEKAGSTAGEEGKKSGDDKKQKESGDEDDDDEIIDPSEWVKEWI EDGLSLSFGVMAQNYVIDRMGL SPAR_J00890 MAALVDELSAEETSTAKTVMPSKNSINRPKLTSNLHHKVHSLNK KRAQRERAGLLKPARSSVNSKSGEIKSVALDLYFENKKNESQGSTAVTLPCASSSPAS ITTRTLSKKRAKKIERNLKYAAQRKLLVDASAKLEDEMDIDLDGSKKVKENEKKSSLT LVKEALWSVIDDTASHGLIIENGQGTTLGGPFFP SPAR_J00900 MVKPIIAPSILASDFANLGCECHKVINAGADWLHIDVMDGHFVP NITLGQPIVTSLRRSVPRPGDASNTEKKPTAFFDCHMMVENPEKWVEDFAKCGADQFT FHYEATQDPLHLVKLIKSKGIKAACAIKPGTSVDVLFELAPHLDMALVMTVEPGFGGQ KFMEDMMPKVETLRAKFPHLNIQVDGGLGKETIPKAAKAGANVIVAGTSVFSAADPHD VISFMKEEVSKELRSRNLLD SPAR_J00910 MASCFSVALLAQVAVVEPIRVQLWLNVVNCMIESSMYQCPPRDR HFFSSSRPILLIRRSVSTVYRFVASRTTQVFRAAKTVVKWFIIVDPLINSILINYLID RLCTFGHAILRVKKRKTEERQPCSPIIQHAHVKRRKRPRLKIVAIKRKRRRRRQHRIE RPPTNMYPIMEIQMVAVPLALPSSTALVHYQQRQQQLPQHHPWYDLSLSEEALSTCCC S SPAR_J00920 MAVQQRNKNAGRKSDKNAPSVPQVDASLDKPLDVDAPPTIYSVS LKPEYGTAALNLSADFIRQEQALANKYLFFHPVILVVLTIGLLIYLTPRIVFPIRNTG SIAGWFYQLARINKKDVLTGLVFTAIGASFLFTLLSRVSDSYFKSKISQLVGSKGEKV FGINLNDLVAKHETEDPVVKNTHIIVYRETPIALISLAPNLTLTNDDNLVMSVTTVGC RRVYVKSGIIEDLIDWAMLHSKNIRNNGKYGETMKLLIDVYSFDSTLREILKKKGFTY IKSIRVSENRLLGGLFGVKKELWGLQFHFKTERKD SPAR_J00930 MKFSTALLLSSISSVALSAPAPAPADGHHEDHHKDEKPAVVTVT QYIDSNAATSTVESDATTTILPSSEKDTSEQKRDSGFQDGTVKCSDFPSANGIISLDW LGFGGWASVMDMDANTSSECKDGYYCSYACEPGMSKTQWPSNQPSDGKSVGGLYCKNG YLYRTNTDTGDLCSTDETSAKATNKKSDSIALCRTDYPGSENMVIPTVVDGGDSQPIS VVDEDTYYQWQGKKTSAQYYINNAGVSVEDGCIWGTSGSDVGNWAPLVLGAGSTNGET YLSLIPNPNSNQAANFNVKIIASDGANVQGSCAYEDGSFTGDGSDGCTVSVLSGSAEF VFY SPAR_J00940 MSIVSLLGIKVLNNPAKFTDPYEFEITFECLESLKHDLEWKLTY VGSSRSLDHDQELDSILVGPVPVGVNKFVFSADPPSAELIPASELVSVTVILLSCSYD GREFVRVGYYVNNEYDEEELRENPPAKVQVDHIVRNILAEKPRVTRFNIVWDNENEGD LYPPEQPGVDDEEEEDDEEEDDDEDDEDDEDDDQGDGEGEAEEAAEEEEEEEEKTEDN ETNLEEEEEDIENSDGDEEEVEEEVGSADKNGDGNDKKRRKIEDQPTDIESTPKDAAS STN SPAR_J00950 MSVNDQITHIGKTLSTTASALLNYQKSNSNTQDVLTNSGPYKNL LSKTINNTSSASYFQKRNEHSPFIENGSNTFEDIYSKTRRGDVFKNKFTDNKTCFRML TYISDDLLNEIPTKEGLKSNADGKLLTEGGEDENLRKNASKRDSSLFQGFKSYLPIAE LAIENTERLNYDTNGTDGAKNVVSKTSEREEMHTKLPDFQDSFLIPPGVETKKISSSY SPSALKNFSQTLVNSLEFLNIQKNSTLSEVRDIEVEIEDLRQKKEKLLGKVANIEQNQ LLLEDNLKQIDDRLDFLEEYGLEVIEASSDENAEDDNIGGQKTPTNGAKRNANGATKS ISSETSNLTSRRRQPLRDDNSLNKLGAFYNKYKKRHRKSFPTFQQLYEPGTKIGSIMS THDDSLTCLDFDAPFGTLCTAGYLDHTVKIWDLSKQNKIGELAGHLATINCMQIDRDY GTLVTGGRDAALKLWNLNLAQQLYQETQNLTSPTNHIDSPCVYTFEAHTDEVTALSLD PSFLVSGSQDRTIRQWDLRSGKCMQTIDLSFANVLTTATNVDLSKSTLLTQRSERPSI GALQSFDAALATGTKDGIVRLWDLRSGKVIRTLKGHTDAITSLKFDSACLVTGSYDRT VRVWDLRTGLLSKFHAYSAPVLSLDLFQENAAVVVANETGVQIYDSNKDESWPCLEQG NETSVTAVKYKENYMVEGRENGDVHIWAV SPAR_J00960 MNFGSQTPTIVVLKEGTDASQGRGQIISNINACVAVQEALKPTL GPLGSDILIVTSNQKTTISNDGATILKLLDVVHPAAKTLVDISRAQDAEVGDGTTSVT ILAGELMKEAKPFLEEGISSHLIMKGYRKAVSLAVEKINELAVDITSEKSSGRELLER CARTAMSSKLIHKNADFFVKMCVDAVLSLDRNDLDDKLIGIKKIPGGAMEESLFINGV AFKKTFSYAGFEQQPKKFTNPKILSLNVELELKAEKDNAEVRVEHVEDYQAIVDAEWQ LIFEKLRQVEKTGANIVLSKLPIGDLATQFFADRDIFCAGRVSADDMNRVIQAVGGSI QSTTSDIKPEHLGTCALFEEMQIGSERYNLFQGCPQAKTCTLLLRGGAEQVIAEVERS LHDAIMIVKRALQNKLIVAGGGATEMEVSKCLRDYSKTIAGKQQLIINAFAKALEVIP RQLCENAGFDAIEILNKLRLAHSKGEKWYGVDFESENIGDNFAKFVWEPALVKINALN SATEATNLILSVDETITNKGSESANAGMMPPQGAGRGRGMPM SPAR_J00970 MALQATTLGRYNIRKRDNVFEPNSSESLNSLNQSEEEGHIGRWP PLGYEAVSSEQKSSAQLREPQAEVSISNNMNFKADDRSFSTSASERTSSEKNSLHHIL PKNQVRNNDQVTDSNGNNNVSSEANVPICKNCLTSTTPLWRRDEHGAMLCNACGLFLK LHGKPRPISLKTDVIKSRNRKSNTNHTHNLDNFRNQTMIAELKGEANIEPTGRKASKF IYEDKKKNSQLSIGTSSTAKIFKKTKTDSKERTDSHLSATKLEVLMSGDSSKPRLKPK LPRQDTAIYQDKLLTFPSYTDIKEYSNSAHQSTFIKERSQFNAASFPLNASHSVTSKT GADSPQLPHLSMLLGSLSNTSISNNASETVSNCNNGIASTAATLAPTSSRTTDSNPSE VPNQIRSTMSSPDIISAKRSDPAPLSFHMASINDMLETRDRAVSNVKAEATSPHFIPL IQSSKAHYIPTANSQSISNGVCSSDAPGRKIVSHPVKGLDDRLSAKLHKEEEIIKLKT RINELELVTDLYRRHINELDEKCRALEERLERAVKQEGRKGG SPAR_J00980 MSSLSDQLAQVASNNATVALDRKRRQKLHSASLIYNSKTAATQD YDFIFENASQALEELSQIEPRFAIFSRTLFSESSISLDRNVQTKEELRDLDNAINAYL LLASSKWYLAPTLHATEWLVRRFQIHVKNTEMLLLSTLNYYQTPVFKRILSIIKLPPL FNCLSNFVRSENPPTGLTMVKLFNDMDFLKLYTNYLDQCIKHNATYTNQLLFTTCCFI NVVAFNSNNDEKLNQLVPILLEISAKLLASKSKDCQIAAHTILIVFATALPLKKTIIL AAMETILSNLDAKEAKHSALLTICKLFQTLRGQGNVDQLPSKIFKLFDSKYDTASVLS FLDKEDKPVCDKFITSYTRSIARYDQSKLSIILSLLKKIRLERYEVRLIIIDLIHLSE ILDDKSQLVELFEYFTSINEDLVLKCLRSLGLTGELFEIRLTTSLFTNADVNTDIVKQ LSDPVEVSKKDTASFQAFLDKHSEFINTTNMSMLAETGERYKKVLSLFTEAIGKGYQA SSFLTSFFTTLESRITFLLRVTISPAAPTALKLISLNNIAKYINSIEKEANIFTLVPC LICALRDASIKVRTGVKKILSLIAKRPSTKHYFLSDKLYGENIAIPMLNPKDSEAWLS GFLNEYVTENYDISRIFTPKRNEKVFLLFWANQALLIPSPYAKTVLLDNLNKSSTFAS SYSSLFEEFISHYLENRSSWETSCIANKANFEHFERSLVNLVSPKEKQSFMVDFILNA LNSDYEQLANIAAERLISIFASLTNAQKLKVVQNMVDSSSSVESSYDTVGVLQSLPLD SEIFVSILNQNSISNEMDQTDFSKRRRRRSSTSKTAFLKEEVSQLAELHLRKLTIILE ALDKVKIVGSEKLLSTLLSLLSDLETLDQDGGLPVLYAQETLISCMLNTITYLKEHGC TELTNVRADILVSAIRNSASPQVQNKLLLVIGSLATLSSEVILHSVMPIFTFMGAHSI RQDDEFTTKVVERTILTVVPALIKNSKGNEKEEMEFLLLSFTTALQHVPRHRRVKLFS TLIKTLGPVKALGSFLFLIAQQYSSALVNFKIGEARTLIEFIKALLVDLQVREELSGL NDLLDIIKLLTSSKRSSEKKKSLESRVLFSNGILNFSESEFLAFVNNTFELINKITEE TDQDYYDVRKNLRLKVYSILLDETSDRKLIEKIRDEFGTFLESILFFINSVELTFSCI TSQENEEVSDSETSFSDHTTEIKEILLKVLGNVLQILPVDEFVNAVLPLLNTSTNEDI RYHLTLVIGSKFELEGSDAIPIVDDVLKVLLERMPLESKSVVISQVILNTITALVSKY GKKLDGFILTQALTLATEKISSDMTEVKISSLALITNCVQVLGVKSIAFYPRIVPPSI KLFNASLEDSSNPLKEQLQVAILLLFAGLIKSIPSFLMSNILDVLHVIYFAREVDTSI RLSVISLIIEHIDLKEVLKVLFKIWSAEIATSNDTVAVSLFLSTLESTVEGIDKKSAT SQSPIFFKLLLSLFEFRSISSFDNNTISRIEASVHEIANSYVLKMNDKVFRPLFVILV RWAFDGEGVTNTSITETERLLAFFKFFNKLQENLRGIITSYFTYLLEPVDILLKRFIS KDIQNVNLRRLVINSLTSSLKFDRDEYWKSTSRFELISGSIVNQLSNIENSIGKYLVK AIGALASNNSGVDEHNQILNKLIVEHMKASCSSTEKLWAVRAMKLIYSKIGESWLVLL PQLVPVIAELLEDDDEEIEREVRTGLVKVVENVLGEPFDRYLD SPAR_J00990 MDSINEKTTSPETKIQMRSSEDCQSGVPFEGSSNYRTLNNSDED RRSNLTGGSRTDPGVSNSDNKNVRFNTDIDGPENDVSSRSTETSENSKSTDGQDEDDR PARHKRKAKVSFTHLKNNGDDGDDETFIKKIINNLTGNQGGLVPGLAPISSDNEDGKN DIEKNNRNEEIPLSDLADASKIVDVHEGDNKEKLEALKLENDGNYTSDGETLGSSSKN SFLAPAVDHFDDYAENNSSDDNEGFIETSTYVPPPSQVKSGVLGSLLKLYQNDDLNAS SIYSDSQAPTTDEEGVSSAAAGRKDVPVAKRSRLQNLRGKARKGKIPKLKKRLKTEAK ITVHIADILQRHRFILRMCRALMMYGAPTHRLEEYMIMTSRVLEIDGQFLYLPGCMIV SFGDATTRTSEVQLVRCNQGLNLWKLHQVHAVYKRVVHDTLGADEGNALLDQILADTN LYPPWVCVLLYAFCSAMVTPYAFGGDWVNLAISFFMGLCVGSLQFILSQKSYMYSNVF EISASIVVSFCGRAFGSIPRSQICFGAVTQGSLALILPGYIILCGALELQSRSLVAGA VRMFYAIIYSLFLGFGITLGSALFGWMYHNATNEISCPQLISPWFRFLFVPAFTISIS LLNQAHISQLPVMVFISCTGYVVTYWAGKHFANSTEFTAALAAFVIGVLGNLYSRIWK GLAVSAMLPAIFVQVPSGIASQNSLLSGLQSANTIVNGNETTTTSTSDPSSSMSFGMT MIQVCVGISVGLFASSLFVYPFGKKKTGLFSL SPAR_J01000 MVEKRCRQSSSSGSEFSVPPDVDNPPLSIPLKTLSDRYQLIEKL GAGSFGCVTLAKAQFPLSNILGEQQQDMRGTLMDQPKNGHQNYITKTQGVVAIKTMMT KLHTLQDYTRVREIKFILAIPANDHLIQIFEVFIDSENYQLHIVMECMEQNLYQMMKH RRRRVFSIPSLKSILSQILAGLRHIHQHNFFHRDLKPENILITPSTQYFEKEYMNQIG YQDNYVIKLADFGLARHVENKNPYTAYVSTRWYRSPEILLRSGYYSKPLDIWAFGCVA VEVTVFRALFPGANEIDQIWKILEVLGTPIKRSDFANTNHITAPPPGGFWDDASNLVH KLNLKLPYVEGSSLDHLLSSSQLSDLSDVVKKCLRWDPNERATAQELCEMPFFENTVA SQVDTRADVTNTEQALIFAGINPVAANTKPIYFNSSTKLPIETEPNGIDGSNNDRSSD AMCSPTLNQEKLTLVEFLNEFVEEDNDDHSIPDVGTDSTISDSIDETELSKEIRNNLA LCQLPDEEVLDHSLSNIRQLTNDIEIINKDEADNMEQLFFDLEIPEKDEFHRKQPFND HADIDEDIVLPYVNNSNYTHTDRPYHKGDNFLADASLGDSFNSMPDFTPRSFLIPTLK KSREKFEPHLSNPNQHFGNVTF SPAR_J01010 MTSPESLSSRHIRQGRTYSTTDKVISRSSSYSSNSSMSKDYSDH TPLSVSSAASETLPSPQYMSIRTFNTMPTAGPTPLHLFQNERGIFNHHSSSSSSKTAS TNKRGIAAAVALATAATIPFPLKKQSQEDNSKVPVTRNEPSKQNKVAPSVAAEGIKPK NGCICGSNESKDELFIQCNKCKTWQHKLCYAFKKSDPIKKDFVCKRCDSKKEVQANQV KPMIFPRKMGDDRLFQFSSIVTTSALSANQSVSNIGEQPKKRQLHCTTPTTENSNNIR KKLKHERQVVSSHFLKPLLNGISSSKEAEFKPITISEYKDKYVKMFIDSHYDDDWVVC SNWEDSRSVDIEIRKSSNGRDFGVFITDSCVKGELIQEYLGKIDFQKNYQTNANNDYR LMGTTKPEVLFHPHWPLCIDSREAGGSTRYVRRSCEPNVELITVRPLNEKPRGDNDCR VKFVLRAIRDIHKGEEISIEWQWDLRNPIWEIINSSKDLDSLPDPDKFWLMGSIKTIL TNCDCACGYLGHNCPITKIKKFSEEFMRDTKESLSNKSYFNTIMHNCKPQTKF SPAR_J01020 MAHRAFIQVIITGTQVFGKAFAEAYRQAASQSVKQGATNASRRG TGKGEYGGITLDESCKILNIEEPKGDLNMDKINDRFKYLFEVNDKEKGGSFYLQSKVY RAAERLKWELAQREKNAKAKAGDTSTAKPPPNSPNSTGANNSASRNQ SPAR_J01030 MTKKLPSELKQTRKSIQTACEFCHTKHIQCDVGRPCQNCLKRNI GKFCRDKKRKSRKRHEKNGTQTHSALGKRLALHDVSSKTVSPSSVHLQRDFLPSDQKK TDETPSHNTNIQYTYNINDNFQSAVSIPRITNFNTNNGQAVLEEASNNISAPQAVHLV NDPIIPTVRKSTLNLKSHFLEQHKAMQQPLATNGLVATSNIPVHSGIDASNESGDDVD DEANIHFDSMWCNDEYMKLKDIVDISTPFLPNNSQIFSLQESEFPNRSVATGVDSSIH LTNLLNSTKSANSEKESSMGQPTSTFNTYDEIVSRPYISLDMLHSNCGGNVNTHPSNN ARMERERDSSRRSDSDLEKHDSDFISPSKFRELVKTPQDLYDNKCLIKPHNYKLAYTK LLISLRKKFLEEAEVDRSASVKDAHSSQKLDLRHDLEVIIRSILERYAPIFISLTSNM IEEDLLLQEVTLQRALLDLENMAKLVSCTPMCIWRRSGEICFVSNEFYSLTGFNKNLL LDRTSFIFEFLDHKSVSNYFQIFNELLAFGYNDINKRKKLLMLNASSSTLSKITEGFS FTTDGKAIFTKCNLLLSNGLYLKCACCWTVKRDSFNIPILVMGQFLPIFDID SPAR_J01040 MWKWSVRRWAGARVNTSRKQLTIISVGSKHLSTARISLSKVRNI GIIAHIDAGKTTTTERMLYYAGISKHIGDVDTGDTVTDFLEQERSRGITIQSAAISFP WRDSFAINLIDTPGHIDFTFEVIRALKVIDSCVVILDAVAGVEAQTEKVWKQSKSKPK ICFINKMDRMGASFNHTVNDLINKFMKGTTTKPVLVNIPYYKKQPASNDYIFQGVIDV INGKRLTWNSENPDEIMVDDLDSTSLEQCNRCKESMIETLTEYDEDLVQHFLEEAEGD YSKVSAQSLNTSIRKLTMKNMIVPILCGASFKNIGIQPLLDAVVNYLPSPIEAELPEL NDKNVPMKYDPKVGCLVNNNKNLCIALAFKVITDPIRGKQIFVRIYSGTLNSGNTVYN STTGEKFKLGKLLVPHAGTSQPVNVLTAGQIGLLTGSTVESNISTGDTLITHSSKKDG LKSLNKKKELTLKINSIFIPPPVFGVSIEPRTLSNKKSMEDALSTLITEDPSLSISQN EETGQTVLNGMGELHLEIAKDRLVNDLKADVEFGQLMVSYKETINSETNVETYESDNG YKFSLSVFPNADALPNCIRYPIGINDNYLIMEKNGKWDKEWKCQVSLESILNSIIASC IVGLQRGGKLANFPLYACSIKINSDWSVPPDIETPQEILKITRNLIVKALNDLPPDKY VLLEPIMNLDLTIPQSDVGTVLQDLTGARKAQILSIEDESSPAISGTPSFNSSENNDR IYIPSDVITTLHATQDKRKTQDTNCNIRKIIKAKVPLKEITAYTNKLRSLSQGRGEFN IEYSGMEKVTNDRLQSILHDL SPAR_J01050 MGLLALGTPLQWFESRTYNEHIRDEGIEQLLYIFQAAGKRDNDP LYWGDELEYMVVEFDDKEKNSMLDVCHDKILTELNMEDLSLCEANDVSFHPEYGRYML EATPASPYLNYVGSYVEVNMQKRRAIAEYKLSEYARQDNKNNVHVGSRSVPLTLTVFP RMGCPNFINIKDPWNHKNAASRSLFLPDEVINRHVRFPNLTACIRTRRGEKVCMNVPM YKDIATPETDDSIYDRDWFLPEDKEAKLASKPGFIYMDSMGFGMGCSCLQVTFQAPNI NKARYLYDSLVNFAPIMLAFSAAAPAFKGWLADQDVRWNVISGAVDDRTPKERGVEPL LPRYNKNGFGGIAKDAQDKVLEIPKSRYSSVDLFLGGSKFFNRTYNDTNVPVNEKVLG RLLENDKAPLDYDLAKHFAHLYIRDPVSTFEELLNQDNRTSSNHFENIQSTNWQTLRF KPPTQQATPDKKDSPGWRVEFRPFEVQLLDFENAAYSVLIYLIVDSILTFSDDINAYI HMSKVWENMKTAHNRDAILSGKFHWKKSFRNDTDVETEDCSISEIFHNPENGIFPQFI TPILCQKGFVTKGWEELKHFSQHRRLYCYLKLISDRASGKLPTTAKFFRNFVLQHPDY KHDSKVSKSINYDLLSMCDRLTHLDDSKGELTSFLGAEIAEYIKNNKPSIGSKP SPAR_J01060 MSDEAYQHDHTVNPHQKVAVNSYDWLQYRDEQDHFKSKNPITHM SPEISLNTHNSEIAAVPQAFSPSYQSLANVLSESPRPDQASGSNPAVGLLHNAEDKAS RQEDDGSRYEIQYSVFRPLHAYPTKDLAYEQLRKREEQEQREHFEHLVSDCIEAVETF GRELERIQAGSSGSYFVYGTQANESVPVGVFKPKDEEPYGPFSPKWTKWAHRTFFPCL FGRSCLIPNLGYICESAASLLDRRLETHLVPYTDTASIESFNFYDNRKKWVLGYNFQK KKQKKLGSFQLFLKEYINADEFFHKYPLPGMYSDVKHPFHRKPSGEDINHKPEAPKNL IEEIEQPKQINSSPISTESEENMEFEWTESILSQFRLELEKLIILDYIMRNTDRGLDN WMVKLIKLPNNGWKLKLAAIDNGLSFPWKHPDEWRLYPYGWLYLPLQLLAKPFSEQMR SHFLPILTSTKWWEESYQEFLTLFSRDQDFNVRMWKKQWAVLKGQAFNVVETLKDPRQ GPLELVRRTRCQVIDEKMQVPCCPPPVSIFKNAIDEPIGLYSTSPMVLPSTPSTIPFH AHSRNDSNPVYYDSTLHPFANKTVIAERLQIVNSTPVFTWC SPAR_J01070 MNLFWPSETKKQNETPGGDYTPRNLPPAQEAGRFLNRDIFRSCP RVLERQFGECLHNRTHLIKDLISSGNVGLGPIEIVHMSYLNKHEKEEFGEYFYVTGVE VSDPSIPVEFLKVLKSNQRISKNISNNIISTYCCFNFFSNLDIRIRYDADNTFQTMAI DCNKETTDLTMTEKMWEETSVSSIIRAITTNINPELKPPGLLECPFYIGKDTVSSCKK IIELLCGFLPRSLDCGWDSTKSMQITIVNNYLMYSLKSFIATTPGLVDFTIDHLKGLA KKDPIHDIYYKTAMITILDHVQTNEIEMITILNETLDPLLSLLNDLPPRDADSARLMN CMSDLLNIQTKFLLNREDYELALSVSDTSTELALDSFEAWYNLAKCHIKKEEYDKALF AINSMPRLRKNDEYLETTYGRFLTSNYYKKPLNGTRQHCDLNSTEFTNLCGTLRNWKE DELKQQIFGRIAMINEKKIGYTKQIWDGIAIKLGPICGPQSVNLINYVSPQEVKNIKN INLIARNTIGKQLGWFNGKIYGLLMEIVNKIGWNGLLNIRTEAFMMETEFYQMSNNIT DENGHIPMETRKKRFCEKWLDDLFLDLYQDLKLSKISLNNKDEKHSGLEWELLGLIML RTWHWEDAVACLRTSIVAQFDPVSCQQLLKIYLQPPNNIQEVTLLDTDIVISLLIKKI SYDCRYYNYCQIFNLQLLEKLCNELGMHILRNKILVQPSIGDEIMVMIDTMLAWIADL HHAAQP SPAR_J01080 MSGSFWKFGQDFSSQSPLSKLLNRAFIKLDDKRTSTEAKEKIDS NSTDENLESNSLKSEDEEEEECDLPSREEDYKAYKPNLSLLNDLLDDEELYTELMCSN FKLLIYLKYPEVLSKLIDYVRNNTILDSSIDRVISEESDLVHDEDKYIAEDFENGNAK TKSIGGISERKERTHSDEEEQLESEENDNASEDTRVTLPHEIEEHDDIRRARIAAEIL SADVWPISSALIENEGLLTKLWSILRHPSPLSIEASTYFMKINERLLDMNMDGIIEFI LKKEHIVDDFLAHIDNPPLMDFLLKVISTDKPEISNGAIQLFKEQNLVPKLINLLDPV FDSCTQSAAGDFLKALVTISGNCPNEITSSIGPNELTRQLVSANMVKQLMDIMLKGGN SLNNGVGIIIELIRKNNSDYDAIQTNYTTIKSHPPTDRDPIYLGYLVKMFSERMADFN KILTEKKIPLLQTSYGTIEPLGFERFKICELIAELLHCSNMTLLNEPNAYDVVRERDI ERERIFNSQDCANSNDCSESKENEDVNGGDANDEEEDDTNQVESAGTSIDGEEVIDKL NSLQIESNKVNQKMNNEEQSSSMPDFSNGDLDDEENENPFEPQYSDVILDSSDMEKKF RISPNIGDQLKIALQDTGVVDTMLEMFFHFQWNNFLHNVVYDVVQQIFNGPLKIGYNR FLLGDLLINIRLTDMIIKGNNECTEYEKAHDTRLGYMGHLTLIAEEVTKFTAYIEEMN ISFENTEVMSSLFESKWITYTEDVLEDLKEKYNAILGDIAEEGDMLENEEEDTVYGKG AHPMGTVDDYINDIMQMDNVRCQPVEDDEGEGYVSFDEDEPQEYCNDDSVRNKESDSS KGERDHQEHLYYEYVSEDGTKTRLNFDSGCDSTEQATDEVDGDDKIPLKLKRSFTDAC KSEIILNNTVHTKEESEFQFSTEFSDGWESSPSNSIPKRVSPSKNDMNSPVFQHQFEL HSPTDERSGHKGEISSAGGHDYDVDEYDELSDDSDEEYDNCEDEDSLDYADSNAYALC RSKSKDKISWDEEEQARLMGVVKFNTEHYRD SPAR_J01090 MSKKLASPLSFLPLYNLFSAVGWSYLLYLVISLYPKIGQPAFFY QTKNIATVIQCGATIEIINSLLGIVRSPLLTTVAQVSSRLLVVLGIFQLLPNTSGVQS IVYITLLLAWSITEIVRYLYYFFTLVFKNGAPKIVVLLRYNLFWILYPTGVASELRII YCALNAAETQYSLLYKRLLVAAMLTYIPGFPMLFLHMVTQRRKVMKNLRSSFGKKLI SPAR_J01100 MLQFKFIRPVAKIAPIYRPFSSYPFRNLATSSSISRTKTKAPKV DTTPLKLSNELYATFKIHNRPYLVTEGDRVILPFKMKQAEVGDILNMTDVITLGSRNY KLVGHPINTSLYTLKATVVGKTKRAFETREVTKRRNRRVRHANSKGDLTILRISELSI N SPAR_J01110 MPFLRKIAGTAHTHSRSDSNSSVKFGHQPTSSIASSKSSSKSPR ATSRKSIYDDIRSQFPNLTPSSTTSQFYESTPATEQSFNWTTEDHISAGTLETPTSLT NTSYKNENAPGSLSDSRKSSGGNSLNSLSFDKLILSWDPTDPDEWTMHRVTSWFKFHD FPESWILFFKKHQLFGHRFIKLLAYDNFAVYEKYLPQTKTASYTKFQQLLKKTMTKNV TNSHIRQKSATKFKGSRSSSESIKSKLKNSKSQEDISNSRSTSESALSPTKSGPSKSD EKNFLHSTQTHQKTKSASSLYRRSFISLRGSSSSNASSVKSPSNIKLSIPARPHSIIE SNNTLTRSATPPASPSYPSIFRRHHKSSSSESSLLNSLFGAGIGEEPSTKPNPQGHSL SSENLAKGKSKHYETNVSSPLKQSSRPTSDDKGNLWNKFKRKSQIGVPSPNTVAHVAS QAAPSLKSNSSTATLTVQTGDVAVPSPSSSPPLMPKISNKSLEVTNTEGTPELSSTTT SSKETYLGGGNTDKTVPAPVNNQKYSVKNFLLEQKFYPMKKTTLNDNENKYILVTKDN INFIPVNLKSVKRLSNFKEAALTKLGVNHKNVTFHMTDFDCDIGAAIPDDTLEFLRKS LFLNTSGKIYVKDQMKLQQKPKAAPFSSENNVPLKSVKSKSSMRSGTSSLIASTDDVS IVTSSSDITSFDEHTSGSGRRYPQTPSYYYDRVPNTNANEELNYWNIKEVLSHEENAP KLVFKTSPKLELNLPDKANKLNIPTPITENESKSSFQVLRKDEGTEIDFNHRRESPYT KPELAPKREAPKPPTNTSPQRTLSTSKQSKPIRLVRASTKISRSKRSKPLPPQLLSSP IEASSSSPDSLTSSYTPASTHVLIPQPYKGANDSKRRLKTDQDSTSTSPSLKLKQKVN RSNSTVSTSNSIFYSPSPLLKRGNSKRVVSSTSAADIFEENEITFADAPPMSDSDESS DNDSSSSDDIIWSKKKTALETNNESKKDEKSDNISTHSDEIFYDSEMQDKVERKMTFR PSPEVVYQNLEKFFPRANLDKPITEGIASPTSPKSLDSLLSPRNMSSSRAEPSTPSRP VPPDSSYELTQDGLGGKNKPLNQTKTPKRTKTIRTIAHEASLARKNSVKLKRQNTKMW GTRMVEVTENHMVSINKAKNSKGEYKEFAWMKGEMIGKGSFGAVYLCLNVTTGEMMAV KQVEVPKYSSQNEAILSTVEALRSEVSTLKDLDHLNIVQYLGFENKNSIYSLFLEYVA GGSVGSLIRMYGRFDEPLIKHLTTQVLKGLAYLHSKGILHRDMKADNLLLDQDGICKI SDFGISRKSKDIYSNSDMTMRGTVFWMAPEMVDTKQGYSAKVDIWSLGCIVLEMFAGK RPWSNLEVVAAMFKIGKSKSAPPIPEDTLPLISQVGRNFLDACFEINPEKRPTANELL SHPFSEVDETFDFKSTRLAKFIKSNDKLNSSKLRITSQENKTA SPAR_J01120 MANTVGGVLSGANPFHYNSSSPLTLFLFQACLILLVCNLVHIPF SMMRQPKVISEVIAGVILGPTVFGQIPNYTNTIFPTSSIPGLNLVANLGIILFMFFLG LEVDIAFIKKHLKKALVIGIATLAVPFGFGCLLAIPLFHTYANRTEGERHIKFSVFMV FIAVSISVTAFPVLCRILNELRLIKDRAGIVVLAAGIINDILGWILLALSIILSSAEG SPVNTVYILLITFAWFLIYFFPLKYLLRWVLIRTHELDRIKPSPLATMCILFIMFISA YFTDIIGVHPIFGAFIAGLVVPRDDHYVVKLTERMEDIPNIVFIPIYFAVAGLNVDLT LLNEGRDWGYVFATIGIAIFTKIISGTLTAKLSGLFWREATAVGVLMSCKGIVEIVVL TVGLNAGIISRKIFGMFVLMALVSTFVTTPLTQVVYPDSYRDDVRKSLSTPGEDDGAA NGLDSEGVDNTEINTQLNSLADVAKYRIGELTTVINTTEAISPSLKLLNYLSLGVSPK PKSNRQRNETSLSRMTTATDSTLKSNTFKIKKMVHIWSKSVDDVDTNLSVINEKLNAF EGVGALRAIHLRLLTERTTDLLQSSSLYNDDPDFTANTDSLLQIFDIFSNLSKIPFSS EVIFSTIREKAANIATMKMDSSDLILLPLKGASYEYRGSPVFIDEKYANFDHIYSHLL GLNELSSTFFKSIFQSLKANFAVQISNTYGRLNADRFKRKRFNLLLPKPYLTQSDYLG LYLLLLICYRDGYNNDNASCSIFINSKNSDFAKDLSTAFAEHDWLNESTVKIVDIPFE TKSPKEAIERSSFIETVLDIGLSDTALADIEETTFIIGEDLLDESEPFSEEVRNVIFE GSNRRFDTLIVHHFSSE SPAR_J01130 MDNFAENRLGYGNMARVEQEGSAQAVESHSNNTANEAKGALEEE LKDALRFRDERVSIINAEPSSTLFVFWFVVSCYFPVITACLGPVANTISIACVVEKWR SVRNNSVVTNPQSNDTDVLMNQVKTVFDPPGVFAVNIISLILGFTSNIILMLHFGKKL TYLKSQLINITGWTIAGGMLLVDVIVCSLSDMPSSYSKTIGFWFACISSGLYLVCTII LTIHFIGYKLGKYPPTFNLLPNERSIMAYTVLLSVWLIWGAGMFSALLHITYGNALYF CTVSLLTVGLGDILPKSVGAKIMVLIFSLSGVVLMGLIVFMTRSIIQKSSGPIFFFHR VEKGRSKSWKRYMDGNKAFSEREAFDLMKCIRRTASRKQHWFSLSMTVAIFMGFWLLG ALVFKFAENWSYFNCIYFCFLCLLTIGYGDFAPRTGAGRAFFVIWALGAVPLMGAILS TVGDLLFDISTSLDIRIGESLNNKVKSIVFNGRQRAISFMVNTGEIFEESDTADGDLE ETTTSSQSSQISECNDDTPEENGSGVTSPRGSPQESFSSLSKASSPEGILPLEYASSA AYSLQDSGTFNLRNLQELLKAVKKLHRICLVNKDYTLSFSDWSYIHKLHLRNITDIEE YTRGPEFWISPETPLKFPLNEPHFAFMMLFKNIEELVANLVEDEELYKVISKRRYFGE HRKTL SPAR_J01140 MSSNNDLLLHLVSQLNTQQRAAALFDYTRGLQVIAGPGTGKTKV LTSRVAYLILHHHIHPRDIIVTTFTNKAANEMKERLQGMLRGAGVNISELLIGTFHSI CLRILYRFGHLVDLQKEWRIIDEKEIDTILDDMIEKVPDQIRDYASSITRKVNLCMPK KNGDEWTIHPKLIKKQISKLKSNAILPEEYILDSNHDAALAYFYQIYQSELSKKNTLD FDDLLMYTFRLLTRERVLSNVKHVLVDEFQDTNGIQLDLMFLFAKGNHHLSRGMTIVG DPDQSIYAFRNALAHNFLEMGRKCPIEYSTIILVENYRSSQKILNTSEILITQQNKGR QNRTPLRAQFDLDFPPVYMNFPAYFLEAPSLVRELLYLKALPNLFTFNDFAILVRQRR QIKSIESALIEHRIPYKIIRGHTFWDSKETRAMLNLLKLIFSPNDKHAILASLLYPAR GLGPATGEKIKNALDTLATDASCFQILKDISSKKTMLDIPTKGRSVIADFISMIENCQ LLLQSTLLGGLSDLFDKLYELSGLKYEYLYKDGKKKTDQLEQSEPNLLNARHKNIELL KNYFLALLNKSESPDREKNEGMKAAADEADPVESKVISPREYLRNFFNSLSLHSDAVE EEESESNKNAKMNREKNGFVTISTIHGAKGLEWPVVFIPGCEEGIIPCVFNDDKKDES EEDEDEDSEKAKKDASPKKTRILSVEDSIDEERRMFFVAQTRAKYLLYLSNTTTVEDV DRPRIASRFLTTDLVKGMSDSQKLFESTNSIKKLYRILNKKPPAQNDKLFSLDQLRND YNQFIENRRERMIWQGIQMNDIYGIQLSKNKLSGSVSDFTSAADQLRLETNSSIFPQK KFTERPHPSKTTGSYAPKSRVKSPEKRYAPETTSHHSPTKKKVFAPQYISTNNVPSRR EFHSATGKNIPFLRREDRSITDISPRSSTRSLRGASPNKTSHMPDDLIGPSPTRPSRD KVVSNIHFPTAGTFRIETQSNVDELYPSGFPNKSGHSLTSSEFSGFSSVCSNSDQSNN LIRDIKNELDLSDEELLNDISIERRRELLGSKKKEKTKPKSRNRKSKRSDKVKNEEVI DLKSEFEEDDSRNTTAAELLHNPDDTTVDNRPIISNAKFLADAAMKKTHKFSKKVKNE PASSQMDIFSQLSRAKKKSKLNNGEIIVID SPAR_J01150 MSTLKQRKEDFVTGFNGGSITEINAVTSTALVTYISWNLLKNST LIHSEKSNVQYMIDFALNWVALLLSVTIYAGDPYLLNTLILLPCLLAFTYGKLSSSNK PSNSTYNKKRTNSQGFQLEKKPYITAYRGGMLILTAIAILAVDFPIFPRRFAKVETWG TSLMDLGVGSFVFSNGIVSSRTLLKNLSLKSKPSFVRNAFNALKSGGTLLFLGLLRLF FVKNLEYQEHVTEYGVHWNFFITLSLLPLILTLIDPITRIIPRCAIAIFISCIYEWLL LKDDHTLNFLILADRNGFFSANREGICSFLGYCSIFLWGQNTGFYLLGNRPTLNNLYK PSTQDLVVGSRKPSTWDYWTSVTPLTGLCIWSTVFIVISQLVFHCHPYNASRRFANLP YTLWVITYNLLFLTGYCLTDKIFNNFSENYKVAESLESINANGLFLFLLANVSTGLVN MSMVTIDSSTVISLLVLLAYCSFIAVVSILLYRRRIFIKL SPAR_J01160 MKPFQGITFCPTAINNEILSKKISKKIIKLGGIFSKDLTRQVNV LVVGSTTNTNKFKFAVKHRFDIIFVDIQAIDDIYQLWLSGENILPDPSMLKMTGSTHE MLKILYQRFSYKYMQNFNIFIGRINDTKTTSIDSLVRSIRKLGCSNYNYQNFVIKDTS SHNDDDQDQNGQISIFVTDTLSGARVNAAIDQNLPIVHFKWVLDCQRRNALLPYDPYY LLPKIKDLPFDSIGSNSCDCWDKINTTFPTNIDVQSFLLPQQSSSTLTPSLPKTSFLL NKFKPKGEKIWDKAMSLQQHSKTNFSVLGQSSLSINNNQEDLNDKVTLIFNNCTFVIH HNFPANHRSILTKIIVQNGGKIEASYLGGVYEHSYYIIPSDKALDSFNDLPDFIDDND GLVTEFFIERCLYYQKLLHPIDSWSKPFLSTTDFQVSSSSKLLHHDISPSPFLNVTIT GFSGVELLHLTKILSLLKPMGINYVEYLNKSTDILLINLAALPSIPKTHPLWSNEFTD LFTQFYNNNTNDDLDNNNKKDFQNNPILRNSMKRKIEYIKKFHSIPVVTPAFIFKLLS AASGENNEIFLNNIKWCIICPRGHKDDFKCKIKKSHHISFSSEKKYQNNDPKIDKTIL LKRNNSSLSEHSIKDTKNELLQKIRETDSERKKRSVSSTVVDISPEAQMSDPKRIRLE SLPKNFVPKQIKRTTSWGTIMSENVPTDQPTANPKPEEMPITEEPSHTQVTYGSIKDK KRAASLEKPIRRHTRNQTKELDP SPAR_J01170 MAKRKYGRSYSLDDTDASSNKVLIVPTGQSSSNAITDFSVRKAH ACDRCRLKKIKCDGLKPKCSNCAKIDFPCKTSDKLSRRGLPKGYTELLEKEIVRLTNI NASSSANANSNLPFINDTFYCFNNYNTQSENQRFLGHLTWNILTNTFPTSKAIASSND HKQVDLQLQVLTDFLNLNGDFNHLPNFLLLKYDYNLQFLKNLLSIVIKDFFKRQNSLL LLLYPSNLWKNLLLDKINSTAIMDEPITLLTLLYIIQFTWSCFDDFRLFKVTKLVVSL TTNNTLDLKVLQLVNLSIFYFMGGSVESCKSKSSPTANLNVNSVIWTNDLLNLNFTNI LNMGLYINPKNLIPISGNTSSSKLDGEDNRIATFWCFQFLNSWWSLIQGLPKSNFLAE EFQPKSISVLEIPKLKPFEILLNFIINSLDGCNLLNISSLSISDPNFQFFQNELENFK KTLLLWNLYHNLSDHDNFRFLASNPNTKLTTNLLLKNLMSLSHKLNQPDFVEIQLTLF YLSLKLITLKEEDQDLKKEDISLEILTLYFLILTDNSNNDDNQQLQPQQLNLYHFTPF NSIDIINLCLNNLNNWSASLKYENGQNQPHLSKTKFEKFQNFLNHWCPIWYYDEFSTN SFLQILRVNFKLLSFETIHSPQQEQRLLISLNKLRYLDTVSSFNSNAVKSDSASKVNT QLNLLQHSSSNSNFLDASPYDFNKIFMNNFENYDYETDEGYAEDDDEEDSDSDNSLPL EIPFKKNITRGKSKNKELQQRLSLFQNSDSSSVNFNTNSNSNLNPDSPSATSSKKKYL DHIILDNRDIVNNHDSSKQKFKIQNILNSTF SPAR_J01180 MSTTASTSSSLRHLISIKDLSDEEFRILVQRAQHFKNVFKTNKT NDFQSNHLKLLGRTIALIFTKRSTRTRISTEGAATFFGAQPMFLGKEDIQLGVNESFY DTTKVVSSMVSCIFARVNKHEDILAFCKDSTVPIINSLCDKFHPLQAICDLLTIIESF DISLDEVNKETNSKLKMAWIGDANNVINDMCIACLKFGISVCISTPPGIEMDSDIVDE AKKIAKRNGATFELTHDSLKASTNANILVTDTFVSMGEEFAKQAKLKQFKGFQINQEL ASVADPNYKFMHCLPRHHEEVSDDVFYGEHSIVFEEAENRLYAAMSAIDIFVNNKGNF KDLK SPAR_J01190 MPSPYDGKRTVTKLVNELENAEKLSGRGRAYRRVCDLSHSNKKV VSWKFNEWDYGKNNISLPCNARGLFISDDASNPVIVARGYDKFFNVGEVNFTKWEWIE ENCTGPYDVTIKANGCIIFISGLEDGKLIVCSKHSTGPREDVDRNHAEAGEKQLLMQL VKMNIDPSDFARMLYTHNVTAVAEYCDDSFEEHVLEYPLEKAGLYLHGVNINEAEFET WDMKDVSQLGCKYGFRCVQCTSLKTLDDLKKFLDNCSATGSFQGQEIEGFVIRCHLKS TGKPFFFKYKFEEPYLMYRQWREVTKDYISNKSRVFKFRKHKFITNKYLDFVIPILES SPKICEDYMKGFGVIKLRNRFLGSYGMSGLEILNHEKVAELELKNAIDYDRVDEHTKF LIFPISVIGCGKTTTSQILVNLFPESWGHIQNDDITGKDKSQLMKKSLELLSKREIKC VIVDRNNHQFRERKQLFEWLDELKEDYLAYDTNIKVIGVSFAPYDKLSEIRDITMQRV IRRGNNHQSIKWDELGEKKVVGIMNGFLKRYQPVNLGKTPDNMFDLMVELDFEGADTS LTNAKQILNEIHKAYPILVPLVPKDDEIDTAFRRSLDYKPTVRKIVGKGNGNQPKTPK LIKPTYFSANINNYDEIIDLVKESVASDADLSEQFKYLLTSGKVQKELHITLGHVISS REKEAKKLWKSYCNRYTDQITEYNNHRIGNTPAPGTNQDKQLKTTDTLKFRLEKLCWD EKIIAIVVELSGDKDGCIINANNENVKGLRCQNKIPHITLCKLESGVKAVYSNVLCEK VESAEVGENVKVVKLNSSKEFVANVYLNFYAAL SPAR_J01200 MPAEIDIDEADVLVLSQELQKTSKLTFEINKSLKKIAATSNQSS QLFTPILARNNVLTTLQRNIESTLNSVASVKDLANEASKYEIILQKGINQVGLKQYTQ VVHKLDDMLEDIQSGQANREENSEFHGILTHLEELIKRSEAQLRVYFISILNSIKPFD PQINITKKMPFPYYEDQQLSALSWILDYFHGSSESSTIQDILVGERSKLILKCMAFLE PFAKEITTAKNAPYEKGSSGMNSYTEALLGFIANEKSLVDDLYSQYTENKPQVLSQIL SPLVRAYTKLFSSNLKIVRSNLENVGFFSFELVESINDVKKSLRGKELQNYNLLQECT QEVRQVTQSLFRDAIDRIIKKSNSISTIPSNNGVTEATVDTMSRLRKFSEYKNGCLGA MDNITRENWLPSSYKEKEYTLQNEALNWEDHNVLLSCFISDCIDTLAVNLERKAQIAL MPNQEPDVANPNSSKNKHKQRIGFFILMNLTLVEQIVEKSELNLMIGGEGHSRLERLK KRYVSYMVSDWRDLTANLMDSVFIDSSGKKSKDKEQIKEKFRKFNEGFEDLVSKTKQY KLSDPSLKVTLKSEIISLVMPMYERFYSRYKDSFKNPRKHIKYTPDELTTVLNQLVR SPAR_J01210 MPMDQSISSPLFPMEKDIDVPLDATPLAQSSSLQIFIHLAEPVV FLQGFDPQRTEYPSVVLRGCLVVRVLKPTKLKSINLSFKGYSRTEWPEGIPPKRQEFV EIKDIVDHTWALYPPTEQKSKKKMGASAPNDSNNAANNFLMKESGASLYRTLSDNETL TSRKNSISGLSSLNLSPLGTAGNSSVNVRDRESRQRSRSSSVTSSNGPSRNLSPINLL KRATSPSVSHHNYKPTTTSIFSDLLSNTFTHNDGASHHNHHTPASSSHLAMTSNNFTS GSGGEFFVFQPGDYVYAFEELIPQVFPESIKADFGFVEYFLFASIERPGAFKSNISAR QVVNIVRTQAHNSVEESEPIIISRDWENQLYYDIVIASKDIILDAFLPITFKFAPLDK VTLHRIRIYVTETMEYYCRDKKVHRMEPTKKFLLTEQKGPKLPNLPNDANLSKAKNMG NLLQDPKNGDLVNKEYEYQIFIPSRFNNHQQLHPDTSYENIKANHWIKICLRLSRVVD NKRKHYEISIDSPIHVLHRLCSHANTLLPSYDGRTTAPPKEIDSSISSILESSDDNVS LYHNSNIFFPKEVLSSPVLSPNVQPLDILIPHLPSTSLTRNSKQFSRNSKSHPNDSTI FNSAKLKSNIYQPETLQRELASPQAIPLSPITSPMSNMEMPPPDFDFSSDFISDAASG TTTTEVSSSESSILPRDPPSYKDTVLHDNNQKRRPNSKHPTPPILKASYSHKHLDNGT PESMNRKELTSKVEENRHKRESTPKKRECRDVKRLSTPQHEESKDSTSNGNQSKEKVR KRVLSLSSSLHSSPNNNGFAHSTLGNLSNESLRSLNRRESAQDNLPSTVRHDNPFFTD LNQILIDDELKNHDKNELNRNPTNTSSTPASARSSFDYSGVNISKDKLNMEPLLSKTE TLTNKINDDSFLKSNDSYVDLLEPSVNNTIDITAPYARNSSAWHPSQNDNDNNQFSPL LGGNENFLNAASAQNSAESDHNNDIFTQGSGLTESSRNSDSEERFISRLSSPEKVLIN TLDDESGLQSINESTL SPAR_J01220 MLFPKKKHSGNLAVVELPKEALQDSLTAAQITFKRYTHPNGRAG STERPGHLKVESAPVLKSEVSSPRMRQPEPRSINHQYSRETLSGHSEAVSVPTTPLGT IHYDTRNRASNSPSSIAAAEAAAYLAHTNSFSNRPSGIGSRDSVMDVETKPPRAPSAL KNELQLNRMRLPPPPYDNTVRSRSISPQVSYSTSLSSSCSISSDGEETSYKERSTDEI FPPEPSISSYSLASKASAKASQADASQRQQESDYTAMNKLNGGNIIYKGTLPDLIPRS QRKASKPRFKRKLLRSLDDNGQQQENLSRVYSDQKQNGRAIINTQQNVKLKTTMRRGK YAITENDETFPYDRKSVSSDSDTDEDSNIMEVKDKKKKSRRSKIKKGLKTTAAVVGSS TSVLPFPHHHHHHHQLHNPNSHHLHAHHYTNPHKFNEDKPWKSHRDLGFITEQERKRY ESMWVSNRYSYLRLLPWWPSLTNEDDESRPQPLNLPQDGLMLNLVVKDIWYRSNLPTD LLVQIYNMVDTRKDGTLDRKSFIVGMWLVDQCLYGRKLTNELDQRVWNSVDGYVLGTI NVKPSIPDPYHNANNPIDKPSKLSVRQELKNIKRDLRNVRI SPAR_J01230 MFRVFGSFGSKSTQSSGEEQSTKTKQVLKQANDFEIALKAMDFV LDDRTDEGLNLLKKAEMETGSDQTILTLARGVIEFLQATLSFETEEMKKAAITLGKAE QMSWKSKQNAEKTNFKSSSIYPPGTVYAVTYTESCLLHALLMLFSESMMEAAKALLKL RRAYTMLQDIMVTVKKAERSKNSSSPSPSEKSQESCGSFVSAETTFVSVDIPYKLTSE DKSNPMLLEFAEKIYTMRMGRLSGAHIGNTPSFHRLRDDLGLQTTPSRASDRHSVSDD FDLEQATIDEFIHSGANLCYGILQVVLSLLPPAIGAVLSIVGFKGSREEGLRLVWKAT KERNVHGCIGLLGLMFYYDGPFQFTDADFDIPPNDNASRTLNKSRTNDSSLLPGYMDS ATLLHPGKILENALLKARALFPNSALWLLNEAKMLAGKGRLRDSLALMDSIDVNSIRM RQVKSLMVFERAILLVNLHEYNRAADDLISLLDISDWSHALYTYFAGCCYLENWRMTQ IGLLNDGKEQFYKERARELIFDAPSLLGKKTFKSKNLPLDRFMLRKVQQFNNMQKKLN LQEPLDSIATSPVHELAYFYNGYNRMTEDDLVITKKMLTEYHNPAIDSEDPDQELIRN LLLSLTLRRLGDAETGLALLDDIVLPKIFYIQNGKVKYFKKTEDPWAYPAALYERALF CWKLGGMESLDECREWLLRAQNYAADYELSTRIGMKIKAALDRVENALA SPAR_J01240 MSNAALQVYGGDEISAVVIDPGSYTTNIGYSGSDFPQSILPSVY GKYTADESNKKIFSEQSIGIPRKDYELKPIIENGLVIDWDTAQEQWQWALQNELYLNS NSGIPALLTEPVWNSTENRKKSLEVLLEGMQFEACYLAPTSTCVSFAAGRPNCLVVDI GHDTCSVSPIVDGMTLSKSTRRNFIAGKFINHLIKKALEPKEVIPLFAIKQRKPEFIK KKFDYEVDKSLYDYANNRGFFQECKETLCHICPTKTLEETKTDLSSTTKRSIETPWNE EIVFDNETRYGFAEGLFLPKEDDIPANWPRSNSGVVETWRNDYVPLKRTKPSGVNKAD KKVTPADEKEQDIVSKSTSPAAAGADTPNESGKRPLEEEKLTKNNNELIGLADLVYSA IMSSDVDLRATLAHNVVLTGGTSSIPGLSDRLMTELNKILPSLKFRILTTGHTIERQY QSWLGGSILTSLGTFHQLWVGKKEYEEVGVERLLNDRFR SPAR_J01250 MSEEQIAIDSPPSSIEGSVETVTTIDSPSTTASTIAATAEEHPQ LEKKPAPLPSLKDLPSLGSNAAFANVKVSWGPNMKPAVSNSPSPSPSAPSLTTGLGAK RMRSKNIQEAFTLDLQSQLSITKPELSRIVQSVKKNHDVSVESTLSKNARTFLVSGVA ANVHEAKRELVKKLTKPINAVIEVPSKCKASIIGSGGRTIREISDAYEVKINVSKEVN ENSYDEDLDDTTSDVSLFGDFESVNLAKARISAIVKEETKNATIKLVVEDDKYLPYID VSEFASNEGDEEVKVQFYKKSGDIVISGPREKAKATKASIQDYLKRLASNLDEEKVKI PSKFQFLIDAGELKEKYNVIVTFPSTPDDELVSFVGLRDKVGEAITYARSSSKSYVVE SLDISKAHSKNLTHAKNLIMYFTKYSVLKGLEESHPNVKISLPSIQSLPTAETVTIHI SAKSDEANDIKVVRKELISFVNNIPPSETLTITDLDYELFGGSIKHCLLASESSVAFV QFGDYYPNDDTILLVALTEDEDFKPSIEEIQAFLNKANESLDSLRSKQNNMETKTYEF SGEVQDSLFKPSSASWKLIMEDISEQEGHLQIKLHTPEENQLTVRGDEKAVKATNKIF ESILNSPSNKSKMTVNIPANSVARLIGNKGSNLQQIREKFACQVDIPNEENNNAAKDK TVEVTLTGLEYNLTHAKKYLAAEAKKWADIITKELIVPIKFHGSLIGPHGIYRNRLQE KYNVFINFPRDSEIVTIRGPSRGVNKAHEELKALLDFEMENGHKMIINVPAEHVPRII GKNGDNINDIRAEYGVEMDFLQKSTDPKAQETGEVELEITGSRQNIKDAAKRVESIVA EASDFITEVLKIDHKYHKSIVGSGGHTLRDIISKAGGEEIRNKSVDIPNADAESKDIT VQGPQKFVKKVVEEINKIVKDAENSVTKTLDIPAERKGALIGPGGIVRRQLESEFNIN LFVPNKDDPSGKITITGLPENVEKAEKKILNEIIRENFDREVDVPASVYEYVSERGAF IQKLRMDLFINVRFGNTSKKANKLARAPIEIPLEKVRGSTEGENAEKTKFTIEEVGAP TSSEEGDITMRLTYEPIDLSSILDDEEKEVKKDTSNDSAKKEEALDTAVKLIKERISK APSATYAGYVWGADTRRFNMIVGPGGSNIKKIREAADVIINVPRKSDKVNDVVYIRGT KAGVEKAGEMVLKSLRR SPAR_J01260 MKLSKLSILTSALATSAFAAPAVVTVTEHAHEAAVVTVQGVVYV ENGQTHTTYETLAPASTATPTSTATALVAPPVAPSSASGNSNAALSALKNLASVWGKT TDSTTTLIPSKSTSQSQPQASTTSTPVAASTTSQAAATSSASASDADLSDFASSVLAE HNKKRALHKDTPALSWSNTLASCAQDYADNYDCSGTLTHSGGQYGENLALGYDGPAAV DAWYNEISNYDFSNPGFSSNTGHFTQVVWKSTTQVGCGIKTCGGAWGDYVICSYDPAG NYEGEYADNVEPLA SPAR_J01270 MLEFPISVLLGCLVAARAQTTFPNFESDVLNEHNKFRALHVDTA PLTWSDTLATYAQDYADQYDCSGVLTHSDGPYGENLALGYTDTGAVDAWYGEISKYNY SNPGFSESTGHFTQVVWKSTAEIGCGYKYCGTTWNNYIVCSYNPPGNYLGEFAEEVEP LISAVSSYSSSSSSSSSSTSSTSHTVSTVSSSIMPAVAQGYTTTISSGTTSSSTSTTI NPAKTAGHTASSVVSSASTSSSRSVTTLGATSSSTTTSSNPTSSSATSSNPTSSSATS SNPTSSSATSSNPTSSSATSSNPTSSSATSSNPTSSSATPSEAASSSSTSSSSTSSDT PSSISTSSDTTSSIASASSSSATSSTTSIVAGSLSGTSSTPAKSSGRVASSSANSVRF ANTTMYSAETTSSVDASLSSSVSADAILMSTSKKATSSASKSTSIVTSATNAAQYATR SGSSSGTSSQAITSSPGVSHTSAKTTIAVNAAESVTSVSSLAQTIKYTTTTSMASSEA ITSETVQASSSAKNDNSINDATSSRTNSNSASVLASSVTYAAEDAIKSESSSASTASS ISVSATNSSSISETASLETSSAATSTAITRSTTTVATSAYNSTSSTATVTTDHTLDPT QNSVSSADTAKHPTTSVSTPRNTTLIVSTSTSESDYSDKTHLTVSTITTTESNLITNT ITASCSTDSKITISTASTADEMVITSTITAPCSTLNGATTQTNEVTTSPSKTNTAVQT TTNYLENDDTAFSSIYTDVNAATTINPGETSSPANGLTTSEKPNEPASVKFTANEDGA SASTTYQQTPATSYAKPSSKSLGTRTTTSSNGRSTTGQQKGSATHQPTSSIYTQLKQG TSTTAQLSAYEGAAAPPSIFQCNTLPGTIAAFVVAVLFAF SPAR_J01280 MYKLQVVLVPPSLQASTPVQFGYGPTIAESSQLLPNRTSIAQSA GDASLQYANLRSANVSFTPSYFNQSRFRKFLLFTKPTNTLLNLSDEIIDKCEKMYPSL QEDIEILSLQDNSGCDLDPDFLIKDVFNVNNIVRVILKNEIDLDDSAPVSLYRSVKRS KLNNGSPQSVQPQQQIPSSSGVLRIAKKRPPTGTTTTTTTTTIRSGTNGSMRISTPLA RQIYPPPSSKIVSNNSDDEDEDIGERSFLPPPTQPQSPPIRISSGIDVGKKIKSSIVE EDIVSRSATVDPDKSKQQRLLSGTPIMSAMTPNRVTLTGQRVVSEHAHKNELVFSASA SSSSFANGGTAAVTSQDPNRKPPVTTPRITSGMLKIPEPRISEIEKELKEGPSSPASI LPAKAAKIPMKKPYLENGENYESDDSSSSENQETPETEPHSKASLQRSQSSIADNNGS PVKNSPLGDAMPHNVHLAELPKANNTSITKSLNGESSGKQQEHQPPLRKSSLETIVEK KSQAEPSGMVEPKRMTNFPDSLGREKEDSNDKLLQKENFPTIPHDNQPILASSNKSNG TENPLAKKVSTDRHVSQEDGATIDGVIEDDDNDDDNEADTTVRIVPQDSDSSSFPKSE LFKMIEGDDTDLPQWFKGKNSRKIFTSGNSKNSKPYTTVLNKDIDNSKPDPRNILPQR TPRSAAKRAAQLLAGAKKNEAPEKATEDSSSAVSTDDESESGIETDVSSDDDLKRKNL SVTNNGPKDISLHSLKGSVVPVTDAKLINKEGDEERNDKKTVQRKTEVSETSKTSQSS AADSKISEQMAKSFYPNSNKKQNERTRVQTKSASPASSFPNVNNAPSVAKKTITSSTE EANPKNVLTKPTNESTQADKQQKETPPNVRDLKRANAEVDDLTSKIDQQTEESKEPEK APASIQDTNNTNKLKENEDSKSKQLTQKKVKLPNRSKEDKIQLPKPSANDKLKDLKAK FTNSKTFVPPGIISNENNNSTSNNDDSGSSGSSTEEESSSSSSSSDEETSTSRKARRV VVNTPREPVRSSSSKMETVSPSLSQRINATPDKVPVTQLMDMSSPPSVKSKNATKPSS ILHDLPRKVRPSLSSLSDLVSRGIPDVKEKTSKSNEKAQSKAPSSSEDESSPDSDSNS SSDSVSDSSSDSSRSESDSDDSGNSSDDGKSFISAKSASAALGKKKKPSGGFASLIKD FKKK SPAR_J01290 MYIKRVVIKGFKTYRNETIIDNFSPHQNVIIGSNGSGKSNFFAA IRFVLSDDYSNLKREERQGLIHQGSGGSVMSASVEIVFHDPDHSMILPSGVLSREDDE VTIRRTVGLKKDDYQLNDRNVTKGDIVRMLETAGFSMNNPYNIVPQGKIVALTNAKDK ERLQLLEDVVGAKSFEVKLKASLKKMEETEQKKIQISKEMDELNSKLGEMEQERKELE KYNELERNRKIYQFTLYDRELNDVINQMERLDGDYNNTVYSSEQYIQELDKREDMIEQ VSKNLSSIQASLKIKNATDLQQAKLRESEISQNLTNVNVKIKDVQHQIESNGEQRNLD SATLEKIKSIIQQRKQKLSKILPRYQELTKEEAMYKLKLASLQQKQRDLILKKGEYAR FKSKEERNTWIHSEIEELKSSIQNLNELGSQLQMDRTSLKKKYSNIDEEIEELIDSIN GPDIKGQLEDFDSELTSLKQKLGESLDTRKELWRKEQKLQTVLETLLSDVNQNQRNVN ETMSRSLANGIINVKEITEKLKISPESVFGTLGELIKVNDKYKACAEVIGGNSLFHIV VDTEETATLIMNELYRMKGGRVTFIPLNRLSLDSDVKFPSNTTTQIQFTPLIKKIKYE PRFEKAVKHVFGKTIVVKDLGQGLKLAKKHKLNAITLDGDRADKRGVLTGGYLDQHKR TRLDSLKNLNESRSKHKKILEELEFVRNELNDIDAKIDQVNGNIRRVSNDRESVLTNI EIHRTSLNTKKNEKLILEESLNSIVLKLEKQNTNLTFAQEKLNTFENDLLQEFDSELS IEEKEKLLSLTKEISAAHNKLNITSDALEGITTTIDSLNAELESRLIPQENDLEAKMS EIGDAFILGLQDELKELQLEKESVEKQHESAVLELSNVQREIESLLAEETNNKKLLEK ANNQQRLLLKKLDNFQKSVEKTMIKKTTLVTRREELQQRIREIGLLPEDALVNDFSDI TSDQLLQKLNDMNTEISGLSNVNKRAFENFKKFNERRKDLSERASELDESKDSIQDLI VKLKQQKVNAVDSTFQKVSENFEAVFERLVPRGTAKLIIHRSSDNANDQGENVDVDMD ADSNEGESGKDGEIMYTGVSISVSFNSKQNEQLHVEQLSGGQKTVCAIALILAIQMVD PASFYLFDEIDAALDKQYRTAVATLLKELSKNAQFICTTFRTDMLQVADKFFRVKYEN KISTVIEVNREEAIGFIRGSNKFAEV SPAR_J01300 MILITGYCLLVYSVILPVLISASKSCDLVGLQRLNKNLKVGTES LTKYQWIAGQLERNCMAAEPGSEDMSDVIQLANQIYYKIGLIQLSSDQHLRAIGIFEK IISNGTYKDSFGKLAEKRLQELYIDFGMWDKVHQKDEQYAKYLSLNGTIGKKILSKDI SVEEDISELLRITPYDVNVLSTHIDVLFHKLAEEIDVSLAAAIILDYETILDKHLASL SLETRLSIHYVISVLQTFVLNSDASFNLRKCLSIDMDYDKCKKLSLTISKLNKVNPTK RQILDPAMYAFESKGFGNWDRAIDFYLKDKKPFIAPVKILNKDITFKNNYLFLEEIIK QLIQDVQLSRPLATNLFEEPSKTDGFDKPKSFYHTDYLVYIDSTLCQASSMSPDVKRT KLAAPFCKKSLKHSLTLETWKHYQEAKSEQRRLPETILDEVWNSNPHLLMYMINSILN KSRSKPHSQSKKQLYDQINKFFQDNGLQESTNPYVIKNFRLLQKQLQTYKEQKHRNFN QQHFRHQQQQQQQQHHQAPPQGSNYDSKKDYYKILGVPPSATSKEIRKAYLNLTKKYH PDKIKANNNDKKESIHETMSQINEAYETLSDDDKRKEYDLARSNPRRSTYAQGPRPNN MFKNPGNGFPFGNSFKMNFGF SPAR_J01310 MSLPAHLQQTFSPEEIQFIVENEPIKIFPRITTRQKIRGDDRGA GNHTRWQLITTDDKALNNMVAMRSTEVVLWIALLLKQQSKCSIIAPQWLTTKELDRKI QYEKTHPDRFSELPWNWLVLARILFNKAKDDFHDPIHELRGKIQDLREIRQIKVLKGL KYLNESHLQLDNLSLLEINELRPFITEIMDKLREIHSASLTVGTEIEEEEFNI SPAR_J01320 MWKRIFAHGLKYDQPNASSKNLILSVLNTTATKREAKDYLSKYT NGSQEHNYCLFFIRDLHKIAPAILSQFSSVLKRLGMLGLRPMFVIPPSPSHVNIQAEL LDSIVTEADLRPLHLKEGLTKSRTGLYHSAFSQQSRFFNTGNSNFIPIVKPYVYNEET ASEFMTKDVVKFMDCLCQGDIPHIDKFFILNKTGGIPSGERNDNAHVFINLSQELNHL SSSLSHNISTLSKREPRSQNLLHRMEVYVKKDEISSLECEYHDHLEDLLLMDTVLSNL APTATGLITTIKAAALSSDRKNPLVYNLLTDRSLISSSLPRFKKKGSERDSTGNLLDD HAWYELPSQPVNATTSNSDAVLVTTVLKKGVHIKTYDYKTLTQFNSVGLPREFHVPET GENFSGNVPKLDISKFKSIIDQSFKRSLDLRDYLNRINGKIATIIVIGDYEGIAILTY EGSKENPFVYLDKFAVLPHLKGSLGISDIIFNLMFKKFPNEILWRSRKDNVVNKWYFQ RSVAVLDLSIDLNPEHSDKKQSQFKLFYYGNPQYAKKTLRDKTRLREFMKSVRDIKPS WENE SPAR_J01330 MQAVERRPSLLFDEYQNSITKPNETKNKKVEALSENDGNIPSFV LKQKDISVDDMDMISLRTAFDRQMVLGSPMFFELEDEENKIDPVPSISHHYENSESDS FVSSYAPSNLKMDEETKDLFVNPFELVSQMRKRYIAASKQDGVSNIKNDTEKWFLYPK PLPKFWRFEDDKRFQDLSDSDLNDDGDGTGTGAATPHRHGYYYPSYFTDHYYYTSSNL KGKGKMKVPYTGEYFDLEDYKKKYIFQLSNQEDTQNPLSPHYSKEESLEEEYLTDVPT FQEFRDDFAYIIELIQSHKFNEVSRKRLSYLLDKFELFQYLNSKKEILANKNVPYRDF YNSRKVDRDLSLSGCISQRQLSEYIWEKINFEPGRIVYQDPETSKKLTLRDIFQFGCS SNDQPIAIGLKLIDDEFLDWYRNVYLIDYHLTPDKVAKLAGKEMRFYLLAKVFLEFDN FIEGEYLAEIFIKYVIHILEKSKYQLAQVSVNFQFYSNGEDWYKKFSQWLLRWKLVSY NIRWNIQIIRIFPKLFKENVVSNFQEFLDLIFNPLFTLEKEEVIDSSVDTDTIGLQFF LSNVCSMDLVIKESDEYYWKEFTDMNCKPKFWTAQGDNPTVAHYMYYIYKNLSKVNFL RSQSLQNTITLRNYCSPLSSRTSQFGVDLYFTDQVESLVCNLLLCNGGLLQVEPLWDT ASMIQYLFYLFQVPILAAPLSSVSLLNSQKSSFLKNKNVLLEHDYLKDQATAKINPSR DITVGEQRSYETNPFMKMFKMGLKISLSSKSILYNSSYTLEPLIEEYSVAASIYLLNP TDLCELSRTSVLSSGYEGWYKAHWIGVGIKKTSYFKENVGGIDNWYDTAEDTSIKHNV PMIRRRYRRETLDQEWNFVRDHFGVINSIW SPAR_J01340 MATTAMNVSILPPDEEEQLLAKFVFGDTTDLQENLAKFNADFIF NEQEMDIEDQEDEGSDSDNSEDGETQNGDLDHVNNDQLFFVDDGDNEGNQGNNQDAMD VDDEDDSSSEDYSDDDEEAAWIDSDDERIKVPILVTNKTKKLRTSYNESKINGVHYIN RLRSQFEKIYPRPKWVDDESDSEIDGEEDDEEEGPNNVINGDINALTKILSTTYNYKD TVSTSRLLPPNKLDIVRLRDANASHPSHSAIQSLSFHPYKPLLLTGGYDKTLRIYHID GKTNHLVTSLHLVGSPIQTCTFYTSLSDQNQQNIFTAGRRRYMHSWDLSLQNLNHSQT AKIEKFSRLYGHESTQRSFENFKVAHLQNAQTNSVHGIILLQGNNGWVNILHSTSGLW LMGCKIEGVITDFCVDYQPISRGKFRTILIAVNTYGEVWEFDLNKKGHVIRRWKDQGG VGITKIQVGGGTTAISPARQISKIKQNRWLAVGSESGFVNLYDRNNTVTSSTPTPVAA LDQLTTTISNLQFSPDGQILCMASRAVKDALRLVHLPSCSVFSNWPTSGTPLGKVTSV TFSPSGGLLTVGNEQGKVRLWKLNHY SPAR_J01350 MKVVKELSVCGGRLIKLSHDSTSTKTKMNVNVYLPKQYYAQDFP TNKRIPTVVYLSGLTCTPDNASEKAFWQFQADKYGFAMVFPDTSPRGDEVANDPEGSW DFGQGAGFYVNATQEPYAQHYRMYDYVHKELPQTLDSYFNKNGAIKVDFLENVSITGH SMGGYGAICGYLRGYSEKRYKSCSAFAPIVNPSNVPWGQKAFKGYLGDERAQWQAYDP CSLIKNVRRVGGDRILIHVGDADPFLEEHLKPELLLEAVKSTPWQDHVKLKKVHGFDH SYYFVSTFVPEHAEFHARNLGLT SPAR_J01360 MGFYQGNDNDASTKAFNDNYIKDQKFATAPFWNLFPKLRDIDEH DDALLPLPFKFNFRDFGDSAFAMASGIPTVKQFDKCEELEGQSAWTTQGIWKCLVPSK AIPPLPNLDFLLPLEEVQSDKSHSRGLFFNDFNLFLKWRSHMNKLQKQRSKTRTTAVE SLARTPEDLMLSWDDLHLGNDAEYVSADGSKKIVGRAQSISTTKDSNDAKRSTVKTEK IYFDDGTVDVTTTTTSKGSAPKVKHEVVSVDEDN SPAR_J01370 MSNEASGKETVSTPLYSPKLPVEKVQRIAKNDPEYMDTSDDAFV ATAFATEFFIQVLTHESLQKQQQQQQHVPPPSDELTLSYDDISATIVQSSDGHLQFLN DVIPTTRNLRLLVEENRVRYTTSVMPPNEVYSAYVMNDMAPKPNIVEIDLDNDEDDDE DVTDQE SPAR_J01380 MTVGIARKLSRDKPHRDALLKNLACQLFQHESIVSTHAKCKEAS RVAERIITWTKRAVTTSSSVSQAELRSQIQSQLFLAGDNKKLMKRLFNEIAPRYMERP GGYTRVLRLEPRANDSAPQSILELVDSPVISESHAVNRGNLKMWLLIKTVINDDANGL PHNPLTLQNLHKLAKFKPEAQLHGEITLIKQILLKEMSMPYDEALENEKTQALLKEVN SSSLPKKMRKPSSYVMVSRP SPAR_J01390 MVLNPSKYQDTRTWKMTPAMIRARKPFFKGNMLGLTLLLGVTGS VYYYTYHFLHKDNDFADVPVPPIDPQELEALKKEYEAKKKT SPAR_J01400 MNLKQFTCLSCAQLLAILLFIFAFFPRKIVLTGIAKQDPDQDRD LQQNRPFQKLVFVIIDALRSDFLFDSQISHFNNVHQWLNTGEAWGYTSFANPPTVTLP RLKSITTGSTPSFIDLLLNVAQDIDSNDLSEHDSWLQQFIQHNNTIRFMGDDTWLKLF PQQWFDFTDPTHSFFVSDFTQVDNNVTRNLPGKLFQEWAQWDVAILHYLGLDHIGHKD GPHSKFMAAKHQEMDSILKSIYDQVLEHENDDDTLICVLGDHGMNELGNHGGSSAGET SAGLLFLSPKLAQFAKPESQVNHTLPINAGPDYDFQYLETVQQIDIVSTIAALFGMPI PMNSVGIIIHDFLQLLPNKLASMKENFMHLWKLSDHHDGVALDELTIDDIYTKMYNIQ ETLTKSATNYNYPLLALAFFGFLIITAIAVYGLQRYSGPNFWQLRISSFSVLLLSIIL GISTFASSFIEEEHQLWWWIVTASSVVPLFAYQLNVFIIVRWVIMMACVRLIKFWNNS GQKFIYSNVMSNILNQNPFWKWCLNMLTFLALIMASARSQALHFIVTTILVGLCFTYK ISWEIVNGNQAEIPPFMHDLLMKIDFVPTENNLIVLARVFFQAWAIVVISRLVLTKLK VLNKNYLIEDMKVYITILLILQTSSQNIGQFIVFQILESQICYFFENLPTSSLTSPTK IYLSNLVSLILQNFTFFQFGGTNSISTIDLGNAYHGVSSDYNIYVVGILMSVANFAPA IYWSMLPWSINYGSISSQAKLQTFIRSKLPAFTYHCIFGTCLMTACIFLRFHLFIWSV FSPKLCYFLGWNFVMGLLNGWLPELTVLFALD SPAR_J01410 MSQSNSLAASPIFKASLSASQSPRYIFSSQNGTRIVFIQDNIIR WCNMLTDSLYHSLNFSRHLVLDDTFHVISSISGDLLCFFSHQEIFVMEVPWGYSNIED VSMQEVFQIFYYSIDEIEVGPKSLIKKVLFHPKGYRDSCIVVLKEDDTITMFNILDQQ EKPIILNKPSNSFGLDARVNDIADLEFSKDGLTLYCLNTTEGGDIFAFYPFLPSVLLL NEKDLSWILKKSLVMYESLDSTTDVTVKRNIIKQLQFVSKIHENWDSKLGKVDIQKEY RFVKMQGPFTINPFPTELYDFTATNIATIPIDHGENELVCVSFDDGSLVLLFKDLEMT MSWDVDNYVYNDSLVLIERIKIQREIKFLKALPEQLGKLYIFSENFLQQVNSTNWTST LSKCINESDLNPLAGLKFESKLEDIATIEGIPNLAYINWDDQSNLALMSNKKLTFQII TNDQKVQSALVETDTCIEKSDMVRDIYKVSFTQPINEILILNENFQKICISPCEQIIS SADRQIPLKNEASENQLEIFTDVSKEFLQKIVKAQTLGVSIYNRIHEQQFELTRQLQS TCKIISKDVDLRKKFEVQNKKWDAQASRQSELMKRFSKLTKKLSQIAESNKFKEKKMS HGEMEWFKEIRNQILQFNCFVHSQKSLQQDLSYLKSELTRIEAETIKADKKSQDEWDE LRKMLETDSKIIKECNEELLHVSQQLTTKTQ SPAR_J01420 MKKSFVRQFTNLMSTSKPKVVANKYFTSNTAKDVWSLTNEAAAK ATNNSKNQGRELINLGQGFFSYSPPQFAIKEAQKALDIPLVNQYSPTRGRPSLINSLI KLYSPIYNTELKAENVTVTTGANEGILSCLMGLLNAGDEVIVFEPFFDQYIPNIELCG GKVVYVPINPPKELDQRNTKGEEWTIDFEQFEKAITSKTKAVIINTPHNPIGKVFTRE ELTTLGNICVKHNVVIISDEVYEHLYFTDSFTRIATLSPEIGQLTLTVGSAGKSFAAT GWRIGWVLSLNAELLSYAAKAHTRICFASPSPLQEACANSINDALKIGYFEKMRLEYI NKFKIFTSIFDELGLPYTAPEGTYFVLVDFSKVKIPEDYPYPEEILNKGKDFRISHWL INELGVVAIPPTEFYIKEHEKAAENLLRFAVCKDDSYLEKAVERLRLLKDYL SPAR_J01430 MSDKSREVYCYFWLFGLINNVLYVVILSAAVDIVGPTLPKSLVL LADIFPSLTIKLCSPFFIDRIKYSYRIWSLITMSCLGMFLVSFKNLFVCLLGISFASI SSGFGEVTFLQLTHYYKQISLNGWSSGTGGAGVLGGASYMILTSIFKVPVKLTLLLFS VLPFAFLFYFKLDSNNVNLTYQSVQIDEAEDDLLVPFPVAFTHTNASQSLYSTRQHIL QTFKRLRRLVFPYMLPLTTVYLFEYLINQAVSPTLLFPINGDERSKSMPFFFHKYRDI YVTYGTLYQLGVFISRSFGHLIRMRGLYILAFLQGINLCMTVLQSWFYVTHSPWVVMI LIFYEGFLGGASYVNTFLNILEKEDPEETEFSMGAVSIADSFGVFLAALLGLGLEPKL CEHQIADNRPWCRLE SPAR_J01440 MIAEGPLPRETTTTTQHPINTEQYLNVQSATAPIKNFPTTSEIS RQTLVDTTRDDVYSIKNLKGSRNPISPSVSNVGFQSIFQTVDHPRSKLSVASNHSLRS NDEASAVTPNSNSSQIGESQSVKMVECSNNLSKKLSSDAISITQKSLHSTPSGRYIKG KASGFFKRRNRAHTTISSDPASFLTDSSTLHNSSHSFRNVIKNFFQNKSHRHIGQDTI EPAIPNSLSKFLHSSYGRHKSPSQFIHTNAGQLVDSGTSVYSLNVNPSGVNPNIIVED PLSGADPSSPNPVSMLHDLLRNLPSLEANYKHFNSQELTTLTNNIWNIFCSNVAELFR TQRIWKLRAKIENFNEVLEFYCILKTDPRVTNSGMNRIIGDLKEFLVGSLYNLENQIV FNYSNEDTINNALKRLGVIWRIFYQEVYYDLAAVLLPLDQSIREDGNSTVLKSGNESR TNIAGKYSIGFLLLMCFRDSIVLPCYENFVNSHDGISKSFQLYIFNQEEESNVTEIDK LTLLQCFGILSTIQSNDRNQRIVDELLTGIRMSI SPAR_J01450 MSLVPYEEGSLILDDPNSKSVVVVNPTSGTLSFFQQDNGNDDPE GSEDQTASLSALDFSSGVHQYKSPIASYICPQCGTEINPEVINRRQLHRRASGGVESE SSRLGIPENTIPLGFEFANSSFSRRYFQLLERNHRHYALQNDSNNKERQFSKNKYFIP DELFIPGYFRKFFKILSLLGNGARGSVYKVVHTIGNTELGVFALKKIPIGNDMEWFDK CIREVKALSSLTHKSANLITYNHVWLEMDSSVGFVRSIDGSQSDSQEEIPCIFILQQY CSGGNLEDCILRKVFNRFSDTESPEQRKKKFRTRKKNHGKSEEIGLSTEQLVSIIRDI ARGLHELHSIGLIHRDLKPSNCLLLTPFKSDDEDEDVYDRKHSSGEFFPSIVIGDLGE SQLEGESRLGTGCTGTLEFTAPELIIQGRPVSSSTLPSRSSHTYNEYTFASDMYSLGM ICYFIVFGELPFEPQLDIVDLKVRIKNFRFDTEGMIEKHQAMKLKPIDRRIFQLMDAL LQPNNDARPTAKTVEETLDEMLINSKPGRTFWKENIDSTLNFSTISEVNENTNSFSDD YIEGDNLTLSLPAPEGDLSAASSQKLHTYSALNRTIQVCYKLVSVILTVIVFKFTKTG SWLSYISLILLGMVLKSPADERGKHVRALVLLTLIAACKKYIY SPAR_J01460 MDALTPRDSPKRDDSMTTTTAAATSAKPDALTMSKEGIVHGHIH NYNNLTYIHGHLHHSAPVNNSSASGTVAAAAADTAASENASGTSHDMSGNCHANEKCK EYTDCQHFEFLNYHNNSSLAKYNDTATYNSNNHSFANNFHSVASDPTSPQQNPRRDLP RRKDSWFNDDLILLPSSKKNKPNPQPGSDDCYCTPKILEICCEETHPKSETDAKQDEP DESAKKSKPEDGNDVIIFTDVKNDHLMPNFNVHDQYYNDSSHEAHTHNNDAPDSFSQL MSHLSEIDCNLTCDTPCTASTSATSGRSVQDHHSLNNDDIFHKYCKFCEENTDNQPCF KHMHLDSKQPQLPPKCSSLRIPTNTLQGTNNAYHEHILNTDMDLKILEDLCNISSLYE VPFGKHMSHHNHSYTGADSGGDDSSTGDHGNHGNGNQTMNLLLSSINRCNPKNNPNGN NNTTAGVNTTDHQHHHHRIQLHSHKPNRNSSVNNTGTSATNSAADLTNNDLNELISRE YSYERFRNQSEPPLLPKAIHQNQKNRRSWPTKDLDPADFSSLEDSLSSSISPPAQTTS TINFNWCFREEKNNDLKCKWKECPESCNSLFDLQRHLLKDHVSQDFKDPMEPLACNWE DCDFLGDDTCSIVNHINCQHGINFDIQFANPGSLLPGSMSKEKHHLLHCPNPQTHEVS KDGEALDMASANDVSNMPSLKQLEQVVCKWDGCNKSFSNAQELNDHLEAVHLTRGKSE YQCLWHDCHRTFPQRQKLIRHLKVHSKYKPYKCKTCKRCFSSEETLVQHTRTHSGEKP YKCHICNKKFAISSSLKIHIRTHTGEKPLQCKICGKRFNESSNLSKHIKTHQKKYKCS DCSKSFDDLAKLNSHKVKCSLEKKPYL SPAR_J01470 MTMENGGDSSDSCSQAGSKSVCVYCGSSFGAKALYSEGAEQLGA LFYKLGWKLVYGGGTTGLMGKIARSTMGPDLSGHVHGIIPDALVSKERTDEDKEDVNK ALLESVENHKGATPISEDYGNTTIVPDMHTRKRMMASLSDAFVAMPGGYGTFEEIMEC ITWSQLGIHNKPIILFNIDGFYDKLLEFLKHSIQEGFISVKNGEIIQVASTPQEVVDK IKKYVVPEGRFNLNWNDEGHAHENCGR SPAR_J01480 MSSESGKPVAKPIRKPGYTNPAFKALGIPALRLPSRNWMIFWSV LTVSIGGIAYDKYKQRQILSHATDLVKPLAEEVMEVDKVPRKITVFIAPPPNDYLESS LKVWRRYVKPVLYYAGLDYELVQEDRQGIIRTNVANRIRELRKEILASTDEQPVKEPN QIKTIAKPSSSSTSKFSSLLPFSKTISESPAEDESFDPEIGEKFKKNFDWRNVIGIFY TMPKPTHIISEDALTKDPILSGGVICLGRGAYKEYIAGIHEGLLGPIEKIEEPESAEP KITGVDEAKEMESKTSEFSATELVDADKETGLDEKTVQDDLKVDEENTPEDSQIYTKP FITSDQYPELQIASELQTPDGEFIRNPDTNIPLLINQPLLVLPVPNLIGFTTIPRRIH RFYQKRFYVEDVCSSVVNCVRQTHIRPFDISKDIDLAKDEEKDWPHNWVKQGKEKNSE WTHELVCDPRVTKHMFVYEKPSKEEPESNI SPAR_J01490 MSIFFKSPIDIEILFDNEESRKHVDIATRPSNSGYKSMKESLPV YEDGESLGGIVTLRVRDSKKVDHLGIKVSVIGSIDMLKSHGSGNSSSKKVTSNTSSSS SNGSVDVRKNSVDQFLCQSYDLCPAGELQHSQSFPFLFRDLSKRYESYKGKNVDVAYY VKVTVMRKSTDISKIKRFWVYLYNSITTAPNTLSANETQANPNDNTGDENAANSANDN TQRKSTQGGAADGNQVLPVSHSNNEPKPVRLDIGIENCLHIEFEYAKSQYSLKEVIVG RIYFLLTRLRIKHMELSLITRESSGLQTSNVMTDSTAIRYEIMDGSSVKGETIPIRLF LSGYDLTPNMSCNYFNVKNYLSLVIIDEDGRRYFKQSEITLYRTR SPAR_J01500 MIRIAINGFGRIGRLVLRLALQRKDIEVVAVNDPFISNDYAAYM VKYDSTHGRYKGTVSHDDKHIIIDGIKISTYQERDPANLPWGSLKIDVAVDSTGVFKE LDTAQKHIDAGAKKVVITAPSSSAPMFVVGVNHTKYTPDKKIVSNASCTTNCLAPLAK VINDAFGIEEGLMTTVHSMTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKV LPELQGKLTGMAFRVPTVDVSVVDLTVKLQKEATYDQIKKAVKAASEGPMKGVLGYTE DAVVSSDFLGDTHASIFDASAGIQLSPKFVKLVSWYDNEYGYSARVVDLVEYVAKA SPAR_J01510 MCQNSVRRGSKTGFLGIKFGSALLSIATGAIAIALLCKFHDPEA VLIVIVCSTLLYGIPSLISFITETVFAPSKFRIGYFYNVLNFALPLITLGCTVDYFHN TLRKPISVQNESHRVYILTLDSLLIFTLFVNGIQLGFFLKDSNANNFGSSSSNISTDQ YDKEENSVENGRFVPLKNSSQTLTPDLELLHGGPNSMNGVAWLINELSTSSNTNANKP TASDENSNSSVIRHKLGPITAGKYPKKPSHSHFSKLKKYNSFFLGPIENRYKRNAKQA AKAPKEKKSNHRSSQYVSRLSTISDISKSFLNFLALNEKNGNSTSTARTPSDGRVSII INEGNNASKYKNPHDSHTIESPNLELEREAIGRINSALLPACLRVTDKIISRQQSTQN EESYQATPLIPQMEGGDDFYVNDILMADELQDIPQVPRIASDTENDFEQEYTEHVDLP ARVTLEMWEKDQENILRNVTTNLNKSKLLPPFRFTSESDMNPSTSTELEVELHTQNNF SFPFKSEGLHIAASDQINQQEFKTSDTISELDEYLHDASIQEEDASHLIENSLNQNNL SSTTIDNCQKDMARFSTRHSPTKSIISMISGSGSVKHQHSHSTLSNFFTGHSRNNSQI NQLLQGSSNMPSNTSPHSSPTKSLRMRFGKKLSLSNISDTMSPYEGNITDPINYSFGH GHNKNQSIDFSYVRTLQSSHSPTKSTSGNSRRDSLNNDRSHSVVNERALRTASTLFYL QHNNATCTLNGEEPVLEAPQSIRSLSSGSEQESAGSRTGYPEVVFSEYDREKWNVLRN LKQIASETTIGSGPVE SPAR_J01520 MDSTDLFDVFEEAPAELPMDSNGEKNANTSVEDSQDHPGDKKHG LEENEEEDDDGENNGENKKIKSNKSKREDKNKKVVVPVLADSFEQEASREVDASKGLT NSETLQVEQDGKVRLSHQVRHQVALPPNYDYTPIAEHKRVNEARTYPFTLDPFQDTAI SCIDRGESVLVSAHTSAGKTVVAEYAIAQSLKNKQRVIYTSPIKALSNQKYRELLAEF GDVGLMTGDITINPDAGCLVMTTEILRSMLYRGSEVMREVAWVIFDEVHYMRDKERGV VWEETIILLPDKVRYVFLSATIPNAMEFAEWICKIHSQPCHIVYTNFRPTPLQHYLFP AHGDGIYLVVDEKSTFREENFQKAMASISNQIGDDPNSTDSRGKKGQTYKGGSAKGDA KGDIYKIVKMIWKKKYNPVIVFSFSKRDCEELALKMSKLDFNSDDEKDALTKIFNNAI ALLPETDRELPQIKHILPLLRRGIGIHHSGLLPILKEVIEILFQEGFLKVLFATETFS IGLNMPAKTVVFTSVRKWDGQQFRWVSGGEYIQMSGRAGRRGLDDRGIVIMMIDEKME PQVAKGMVKGQADRLDSAFHLGYNMILNLMRVEGISPEFMLEHSFFQFQNVISVPVME KNLAELKKESDGIEVEDEENVREYYEIEQAVKSYHEDVRQVITHPANALSFLQPGRLV EISVNGKDNYGWGAVVDFAKRINKRNPSAVYTDHESYIVNVVVNTMYIDSPVNLLKPF NPTFPEGIRPAEEGEKSICAVIPITLDSIKSIGNLRLYMPKDIRASGQKETVGKSLKE VNRRFPDGIPVLDPIKNMKIEDEDFLKLMKKIDVLNTKLSSNPLTNSMRLDELYGKYS RKHDLQEDMKQLRRKISESQAVIQLDDLRRRKRVLRRLGFCTPNDIIELKGRVACEIS SGDELLLTELIFNGNFNELKPEQAAALLSCFAFQERCKEAPRLKPELAEPLKAMREIA AKIAKIMKDSKIEVVEKDYVESFRHELMEVVYEWCRGATFTQICKMTDVYEGSLIRMF KRLEELVKELVDVANTIGNSSLKEKMESVLKLIHRDIVSAGSLYL SPAR_J01530 MECNVARDNKKIFKLFTNIRQNTTKTSQPVSYCILMKVYLPESR LPSLYRDFRPLKDLNPDGYEANISTWRDFLLQRYINNSNKITFTIGTKILQELTHEVY GVPRSIDIAINVLVNEGNLIPMELFNLGGMYNNNSKSGFWKWIRSWKGSTNMYSSRKD ETSFYLKEDKFIIKANLEKEYQRFHESLKRSIFTEASSITDLVFTKNEFITAGNLKSF FSTYDEETKSVFLYFIENYKHIIVSKDNVIKVIASEVEDVISKFSKDITENDLRIASV KVGILNINKQIARLKKEIDESNIQLRDPEFNELPRRIRIEYKQARLLSEKHLSKLLKF QNNLAQVRTQIETSATNAVLVQTLSESNEVIKSINGYIGSTEKVEDLLDEIREGHDRT EEVNNLLTSYNNSKDEEVEEEIERELERLELDEKNKDREANKIQGSNEPEENSSEDLL KRLDNLKINTNEEPMQDNADQNDGMREVMMEEQPR SPAR_J01540 MTIKVTEIYEMSSIESLFHERVAHDCSNTSEQVIVVYISSAAEE NSWLQKWFKPANLSDEERENILWVKLVNGTKECLLFKSIFPSSSAPSINILQNGLLEC SIQGNSLSREQDPWETFINGLQSVFKGQTTKRKLFSKSDEEYQRVKRMIQNDKLERKY VFQNTNDTKRKVQKWKQLTVTDNVSYKSQKGFLAQNYCTLQLKLPNGYTISNTFPSQT KLHKVRMWLDYNCYDDGTPYLFHRNVPRVTLTQNDELKSLQELDLLPRSTLILEPLEV NNKKFDYMEQSSLLHKVYSGLTSFWAKEPEADASSAHLGYQRLGTNVSHSTNYTLQKL SSLDMVSDGGGGGDSMAPSAYTTPRMYPSNGASQLRQNVSELNLSSNNSASNTKVRTL GYSNNSNNSGNN SPAR_J01550 MIDKSVSKRGEYHGPVTRETSPSNTLGLYHQFNDERNPKYRTMI AELHEFFRLTLSETITETDMKELEFNKEKAAKFRKLMPRMLNNCRELTQRKSYIPYNS EFNGNDEKHKKFQLLHQHQIVLSFQEFCNELTNLIIDAHILNFLTKYNYSYEAIPKNW TSFYKLFEYVMGTLGPIISYVPANYPMIRKELGFENLTVFQYYDSKLFECMKSHFGNK FPVLVTASIHHYIHMFPITNTMLEKEIPMLRIMSNCNFRVEGLSSKDFYMKTLREYYG EESNLGPRLETFKNFKVLLTRNALLASLFFPEWVSDANDLFISHLLLNKKSISEYIEI GKNTYDEEKERYLKTETRFSLLMFRNAFEARNMLSKFKEFCDDAISEKLQAAYGPSHD TEGLFDQAVQLANVDHLKIYSDSIEYHLCDLLGSTSKAIEQYVKYFESHLFNLIKKIK TTKKDLSRDMKIKFLNDNLPILRLKFVNLPTFPNFFERSIFRKTILQSDQNSPFIKDI LPIYKDSLMELFKERIITNVSQEDEMRYRDQYQPYLSQFFQPVEVIADLRIKYASFLS FYENIEAAVKFGKKYNENNNNSFFPLIFDRERIPKVFQQSNEVKKNFILPQEMDDTWN KFLCKYHQENKVQDSDASKKELYPMWNLHHCEVESPFITPDGTNLIFELTLFQTCVLV LFNESDHLTLQIISERTKLAYKDLTLILKSFLNYKILTRDIDNLYSMNESFKPDMRKV KNGKLRVVLPRNASLQNSNASSARSSSAHHEGSNSQWTQELLKACITRSVKSESNGLD YEHLFETVKQQIKGFSVGEFKDALAKLLRDKFITKDESTGTYKY SPAR_J01560 MSMMFANWISSPRYMGQRNLVYCTTLFHTFTRWAKNTGDKYHAI NSMYEDMFTPPSNNALILQDGGESDSTKTRTVSSLQEDISDFNEDLHNFYNIGFAKQI ISASQLENVVKARGRFIIQSLSTSPYFNLALENYVFKNTPRVKKGFDNCRLLFYINDK CAVIGKNQNLWQEVDLVKLKSKNFELLRRFSGGGTVLHDLGNVNYSYLTSREKFETKF FNTMIIKWLNSFNSELRLDLNDRGDIIQDGFKVSGSAYKIAGGKAYHHATMLLKADLE QFSGLLEPSLPDNMEWESSGVHSVKSKIKNVGAISPNQFIGVVSERFQKNFKLDNEIP IYFCDEFKSINDEIKDAMNTLQSDKWKYLSGPKFSVKIKDKNLRIKVEKGMIYDCNRN DLIGLEFKGFLENIDSYT SPAR_J01570 MKWSAIPFKSLYRSIESGEFDFDLFREVLPDLQNLNLNTDNLKN NTSRSQLEKGEVELSDGSTFKLNREFIFEAISLSDELNLDEIVACELILSGDATANNG KVQYFLRRQYILQIVSFIVNCFHEDTDLYQELIKNGALVSNILSAFKFIHTQLSEIKQ QINKAQILENYNALFQQNIKFRRDFLLREYDILSQILYGLVDKGAIMKNKDFILSLLH HVSELDSNDFFIIYYTPAFFHLFASLRVLPDADVKSLHSQFIKDLKDDSIYSKPVKVA LIFIFFAYFIGWCKEDPKRRADVMDFKTDVDEPMTSAVELGAIEQILIFAADTSIVEQ DKSMELFYDIRSLLERHIPRLIPKQLLDDEKIFSQTTNSNYNSAVVTDNMNGSGLWNS SYPGMMSIAGTTGLNSMPSNVNEYSYTTIVLSDQTQEFFLSSFDDVLQTIITDCAFLL TKIKDAEEDSLLSGEDLTLDDISLKADLERFFLSIYFFYASRPDYSSAFWSDKESNAY GFIEWCSRCNDNLMRSCFYLMISSLSFGPENSLNVYHYFGENNSISWKNIAQCVSDYT KKISNFNSSLQKRQQFSETTHNDIDSTAVALEEGLNEEAVIFLSSLLTLVGSVTYQVD EDVKSSLSTIFSDVLFEFTKINTPLVGAAFKVLSNLVPKLESSRTKFWSFLDSLVFKD SSLNYSSESYRTAFTSILTKYSDVLGFLQLFQNLISIHSRESGSEYMVFGKLAFPARL GQGYRKVGIWPYFDYIFNDILAHVDQIADIRNRRAVQLPILKIVHTGLCSFDYSVILN SIPAAANLDALVDCENFFNYVQECPTTPVFNYIFTEKVYKSIFNVVDVGVDQLSIELE GGKNQAELLQVAVKIINKVLDYQETYVEELFPIVKRHGKSDYFLPKNYSLHGLRSFYD AIFFNIPLVAHLGLYVGVDDQILALNSLRILAKLSERSDGSIASISRRNKLLTIFDSV DESARIKDAFITQLETSITDAGVLALKLELLDFLTSNLSNCSRTMSISHLLLGFQVSN VISLGPNLATFISSGTSLLDSLINLLEASLNSINKDNIDYAPMRLATAALEIILKLCR NTLTSGLLYSYLIKENFFERIMILDPQVTRFTTWNGSPFDNSTEEKSKNFAESESVGA FLSFLAYRNYWTQYLGLFIHKMSFSGTKSEVLTYVNYLISNTMYSVRLFSFLDPLNYG NIRESRETLSTFTNVPLNLEQVTLNKYCSGNIYDFHEMENLMRLIRRVCAESLNSNSF SPNVSKDQFLKDADLECIKAKSHLTIIISRNKALELNLSVLHSWVQLVQIIVTDGKLE PSTRSNFILEVFGTIIPKISDYIDFNITFSEELVSLAVFLFDIYNRDRKLIIDKGTVD GRLYQLFKTCIQGINSPLSSVALRSDFYILANHYLSRVLSDQEGSEKVLQDLRLGSKK LVEIIWNDIIYGEGTSRVTGILLLDSLIQLANKSKENFILDSLMKTTRLLLIIRSLKN TDALLNSTTEHINIDDLLYELTAFKATVFFLIRVAETRSGASALIENNLFRIIAELSF LKVDPDLGLDLMFDEVFVQNSNFLKVNVTLDNPLIVDKDANGVSLFELIVPIFQLISA VLVSMGSSNKSVVQTVKSLLNTYKRLVIGIFKRDLLREKEDKKNLTDPNNQSLNEMVK LIVMLCTLTGYQNDD SPAR_J01580 MDSKDTQKLLKEHRIPCIDVGWLVRPSASTASSSRPGKSESKAN SVAPDVQMDTARSPVFEKSVDSSSSILSLNDRARRHSVAASLLMDNQRVNVGATAAST NIPPPRGRSKSVVEANLASVEADAGRHHHHHHHHTEDAPVPKKIGFFKSLFGHRKKDQ EPQEKEREKERERKERSPSPTHVDRNAAIRRERTATISAESPPPLQYNAPPSYNDTVV PLTRSKTESEVYYENHPQSYYHGRMHAYHSPEEGKVDGPSPADDHNYNGSRPDPRLMD FLRYYKSKDCKLAAFKEGNFIKSSASPPIKRNTRASFSLHNDKPLPPKPPAHQKFDAK GRPIPPHPDTPKLPSAFKKKHPSNASIVDTVDSNSDVSSSVQNNNQTPSSHKFGAFLR KVTSYGNNGNGNNNNNNSSSLSANNNNPDASSTSLWSSGSMEFDPSKITTVPGLEHVR PLKHVSFATNTYFNDPPQQICSKNPRKGEVEVKPNGSVVIHRLTPQERKKIMESTSLG VVVGGTGQLKLLNPEEDDANAKSKEEMAPQKQNEVEPRDDEDNNSQRRNIVMAAAEAA AEARAKEAPNELKRIVTNNEEEVTVSKTASHLTIDKPMISRRGASTSSLASMVSSDTN GTNADDEGEIIPPPSLKIPHDIVYTRCCHLREILPIPATLKQLKKGSTDPIPILQLRN PRPSMVEIWSFSDFLSIAPVLCLSLDGVQLTVQMLRIILSSLVYKQHFQKLSLRNTPL DEEGWKVLCYFVSKAKSLHSIDLTMVSSIKTNVQKPSKSSLKSKILRMQSNMENRSDM NWDLLTASIALMGGLEEIVISGAQMNAAQFKNFILVACIATVRLGLAYNGLTKSQCDD LAKWMVQSKVTGLDVGFNDLNGKLSSFTDAVLGKIQKANEKNVFKFLSLNGTNLRVNE HDTFENNEVLKLISVLCYSENLKFLDISNNPAIFPHCVPTLIDFLPVFVNLVRLHIDY NNLSSTSVVMLAEVLPMCSRLNYFSMLGTELDLASSKALAEAVRKSSSLMTLDVDYVY MPENIKEKISLYALRNIQGELKRVHNDDKDIKDTQFSSLQDQLSLLLTEKADNSEHYN KMVENFMAKIALARIKISKVVHDLFDLKLNGQLNLEGKEALIRLCFIEASLERGCDLL KQRHNNTLKTPDLLSKDAKAGSQAHPNSESCQRMLLSSSILQNSDHIALMPFGSAIVE KSSPDAEDAVEFREGDDSNADHEDVTANDQQSRDQIDIKNKYSIIKRELEHEKLVGGG DLPVDKEILNRAAQSLDSDQIKEFLLKNDVSTILGVIDELHSQGYHLHHIFKKQGNQE ETAFRTKDEQQSLQTNDSSTNASPTSHQISTSSNTTKTNDNAHIPPTDAPGFNKFMNN AEENAIDAAYDDVLDKIQDARNMNFNTPQQNKTPFSFGAANNSFNTTNQNTSTGAGAF GTGQPTFGFNNQAANNTNNANSSTTPAFGNNNTGNTAFGNSNPTSNVFGSNNSTTNSF GSNSAGTSLFGSSGAQQTEGNGNVGGNTFGSSSLFNNGTNNNATKPAFGGLNFGGDSN TTPSSTSNATTSNNLFGATANANKPAFSLGATTNDDKKTEPSKPAFSFNSGFGNKTEG QAPTTGFSFSSQLGGNKTGSEAAKPSPSFGSESAASKPAGASQPKFSLGATPAESKVN ETAKPAFSFGTTTAEDKTTNTAPSFSFGAKPEEKKDGDSSKPAFSFGAKPDEKKDNDS SKPAFSFGAKSNEDEQDGTAKPAFSFGTKPVDKKDNETAKPAFSFGAKSDEKKDSDSS KAAFSFGAKPEEKKNGDSSKPAFSFGAKPEEKKDGDSSKPAFSFGAKPEEKKDGDSSK PVFSFGAKSNEDKQDGTAKPAFSFGTKPVDKKDNETAKPAFSFGAKSDEKKDGDASKA APSFDAKPEEKKNGDSSKPAFSFGSKPIEKKESDGAKTPISFGAKPEGQKGSDTDKPA FAFGTQKDNDKKTEDSSAGKSTADVKSSNSLKLNSKSVELKPVSLDNKTLDDLVTKWT NQLTESASHFEQYTKKINSWDQVLVKGGEQISQLYSDAVMAEHSQNKIDQSLQYIERQ QDELENFLDNFETKTEALLSDVVSTSSGAAANNNDQKRQQAYKTAQTLDENLNSLSSN LSSLIVEINNVSNTFNKTTNIDINNEDENVQLIKILNSHFDALRSLDDNSSSLEKKIN SIKK SPAR_J01590 MFVDYSGLERYTDINASFGKLVNTYCCFRRCESISEQLEILKSL VPKCYEIATLSDEDFASGCAAGLTQKLFAMAMTLRQITDCIAILQKCDSIIPIEIANP GSFESGAATAPLRQSYARLLDDWTHYMGPSSIKQMGWANRLQWKFPWRQSRTIIIPML FIGETAMRTRDLSSVLQDCEIRHASEMPLQLLWTSSPELVYATPQADDNDIWSPYESN CNMQIEDEEETSEGRQRKCVVQLEALLGALATTDPLFQW SPAR_J01600 MKDVLQWAIDHYETRDQLVQKGIWKGELYHDSIFKENSRGWLWK VLLLCDENNNCLLTDNFKDLDLNQFGLVPVPILTDGENYDEKHNANVPKRVLHSNVSS SVGIRRLTPVEAVEKHPLSGDSDQTGDSLSKQKDEKPLTLRETLEIIDLDLSRIMLDD IFQEPKVHAQMRQLMYNYLLIHQSEHLQYKQGFHEILSVIYLQLYHGTDLDNTDLQNV LIIFNKLMNQIEPIFYNEENLINWDKRVFTKIFRICLPDLFTKIFYQPPKTGSGRKKN VNHLVHSNLIWLIRWTRLLFLRELPLKNVLIIWDHILTFNYPLEIFIACLIITLLLSI YDELHELVSQDGYEHTNNNDEFVELILHFKKIFHREDVSKDDEKFLELCRITGNLCEL WYGKNHDDMRLICDTFINAKFGIKTSDVLSMETAKLSIDPNRQSLENKLRERVRQTLL KNKKR SPAR_J01610 MLSLKKGVAKSCILQRAFTSSSAVRQVRETKSELDPRAKYHIID HEYDCVVVGAGGAGLRAAFGLAEAGYKTACLSKLFPTRSHTVAAQGGINAALGNMHPD DWKSHMYDTVKGSDWLGDQDAIHYMTREAPKSVIELEHYGMPFSRTEDGKIYQRAFGG QSKDFGKGGQAYRTCAVADRTGHAMLHTLYGQALKNNTHFFIEYFAMDLLTHNGEVVG VIAYNQEDGTIHRFRAHKTIIATGGYGRAYFSCTSAHTCTGDGNAMVSRAGFPLEDLE FVQFHPSGIYGSGCLITEGARGEGGFLLNSEGERFMERYAPTAKDLASRDVVSRAITM EIRAGRGVGKHKDHILLQLSHLPPEVLKERLPGISETAAVFAGVDVTQEPIPVLPTVH YNMGGIPTKWTGEALTIDEETGEDRVIPGLMACGEAACVSVHGANRLGANSLLDLVVF GRAVANTIADTLQPGLPHKPLASNIGHESIANLDKVRNACGSKKTSQIRLNMQRTMQK DVSVFRTQETLDEGVRNITEVDKTFEDVHVSDKSMIWNSDLVETLELQNLLTCATQTA VSAAKRKESRGAHAREDYPKRDDVNWRKHTLSWQKGTSTPVKIKYRNVIAHTLDENEC APVPPAVRSY SPAR_J01620 MRLQLFVHFYFIIVVIAGTNTIQQFRDAGDRLITSLKNLDSNGT YETLTMEKVPVIAVQLQNISAKYEQHNFILKGLEAVLNYKVKSLANNDAESLEIEYEK VERALDAALNVSPFEYIKRFREFSRDKVVDALENLNREQNRVTINGGREDEKEEEAKE KKKRLDRIKRILTVSLLELGLAQGVADLCAVAPFACLLGVTVGSIGFIFWLALIYNAI Q SPAR_J01630 MKIQIIGRILLIQLCLLTIVLASNRAVVEFESTGTKLVNSLRVL ANYSQSSVCADEKISGIERQIGEVKDMYGSHSFILKGLNGILNNKANMLAREIQMETV GNNTFETETGKLTKGLNRAVKISPFKYIKKFKTVSTKKLESLLNKYDLVAKKGGELTE EQKKKKEVLSRISRVVAATTIEAGLAQGVVDLCITVTTSLCLVSASIGGVGFLIWLTI IYQALT SPAR_J01640 MTDKSKNDLTSKAKDKVRGNPEKPPYWFEIIVSDPQKRTGDPGS SSGYVSYQISTKTNNTAFYDNRGNLESIIVVHRRYSDLLLLHDILLNEFPACIIPPLP DKKVFQYIAGDRFSQRFTQKRCHSLQNFLRRVSLHPDLSQSKVFRTFLVSKDWESHRK VLQESLQPNKDEVTDAFMNAFKTVHKQNEEFTEIKEKSDKLDRTVTKIDKLFHKVVKK NDSMSEDYTKLGTNLQELQELVTGENEELAAKLKIFNEGVTQLSYGLQDLTKYLDYEY IVDLKDLEHYIDSMRQLIKLKDQKQIDYEELSDYLTRSIKEKNNLISGYGGSNFFANK LEELAGINQEASRREKINKLEGKITSLTGELENAKKVADGFEQECLKEIDHFESVKTS EIKQSLGSLADHHIEFYERILEAWEKVDDSL SPAR_J01650 MQHVEHMRTAVRLARYALDHDETPVACIFVHTPTDQVMAYGMND TNKSLTGVAHAEFMGVNQIKAMLGSRGVVDVFKDITLYVTVEPCIMCASALKQLGIGK VVFGCGNERFGGNGTVLSVNHDTCTLVPKNNSATGYDSIPGILRKEAIMLLRYFYVRQ NERAPKPRSKSDRVLDKNTFPPMEWSKYLNEESFIDTFGDDYKTYYVNEADLSSNNVD WDLIDSRHDNIIQELDGRCKLFKFNVHKRSKVW SPAR_J01660 MFFNRLSAGKLLVPLSVVLYALFVVILPLQNSFHSSNVLVRGAD DVENYGTVIGIDLGTTYSCVAVMKNGKTEILANEQGNRITPSYVAFTDDERLIGDAAK NQVAANPQNTIFDIKRLIGLKYNDRSVQKDIKHLPFSVVNKDGKPAVEVSVKGEKKIF TPEEISGMILGKMKQIAEDYLGTKVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRI VNEPTAAAIAYGLDKSDKEHQIIVYDLGGGTFDVSLLSIENGVFEVQATSGDTHLGGE DFDYKIVRQLMKAFKKKHGIDVSDNNKALAKLKREAEKAKRALSSQMSTRIEIDSFVD GIDLSETLTRAKFEELNLDLFKKTLKPVEKVLQDSGLEKKDVDDIVLVGGSTRIPKVQ QLLESFFDGKKASKGINPDEAVAYGAAVQAGVLSGEEGVEDIVLLDVNALTLGIETTG GVMTPLIKRNTAIPTKKSQIFSTAVDNQPTVMIKVYEGERAMSKDNNLLGKFELTGIP PAPRGVPQIEVTFALDANGILKVSATDKGTGKSESITITNDKGRLTQEEIDRMVEEAE KFASEDASIKAKVESRNKLENYAHSLKNQVNGDLGEKLEEEDKETLLDAANDVLEWLD DNFETAIAEDFDEKFESLSKVAYPITSKLYGGADGSTAADYDDEDEDDDGDYFEHDEL SPAR_J01670 MAKKNRLNTTQRKTLRQKEDEYIENLKTKIDEYDPKITKAKFFK DLPISEPTLKGLRESSFIKLTEIQADSIPVSLQGHDVLAAAKTGSGKTLAFLVPVIEK LYREKWTEFDGLGALIISPTRELAMQIYEVLTKIGSHTSFSAGLVIGGKDVKFELERI SRINILIGTPGRILQHLDQAVGLNTSNLQMLVLDEADRCLDMGFKKTLDAIVSTLSPS RQTLLFSATQSQSVADLARLSLADYKTVGAHDVMDGSVNKEPSTPETLQQSYIEVPLA DKLDVLFSFIKSHLKCKMIIFLSSSKQVHFVYETFRKMQPGISLMHLHGRQKQRARTE TLDKFNRAQQVCLFATDVVARGIDFPAVDWVVQVDCPEDVDTYIHRVGRCARYGKKGK SLIMLTPQEQEAFLKRLQARKIEPSKLNIKQSKKKSIKPQLQSLLFKDPELKYLGQKA FISYVRSIYVQKDKQVFKFDELPTEEFAYSLGLPGAPKIKMKGMKTIEQAKERKNAPR QLAFLSKANEDGEVIEDKSKQPRTKYDKMFERKNQTILSEHYLNITKAQAQEDEDDDF ISVKRTDHEINEAELPALTLPTSRRAQKKALSKKASLASKGNASKLIFDDEGEAHPVY ELEDEEEFRKKGDAEAQKTEFLTKESAVMADIDNVDKQVAKEKKQEKKRKRLEAMRRE MEAAMEEEISGDEKEGEPVAYLGTGNLSDDMSDDDMSESEGHLKKKARTVDYSHGNNP SSSVDDNIIEVEEPQTLEDLESLTAKLIQG SPAR_J01680 MHGVKRKQWTKELLRQKRVQDEKKIYDYRRLTEDVLNLRDEQVH SVEALKKTSELLEKNPEFNAIWNYRRDIITNLASELEIPFWDKELVFVMMQLKNYPKV YWIWNHRLWVLKHYPTDSPEVWQTELAVVNKLLEQDARNYHGWHYRRIVVGNIESITK KSLDKDEFEYTTIKINNNISNYSAWHQRVQIISRMFQKGEIENQKEYIQTEISYIINA MFTDAEDQSVWFYIKWFIKNDIVCKTLDKQEYLQMLKDLRENVLLINNDEIEFSGKQN IWCLKILLVLEDVLEENEALTEKSSEGYLAQLIDADPLRENRYLHLLGHHE SPAR_J01690 MSQSISLKGSTRTVTEFFEYSINSILYQRGVYPAEDFVTVKKYD LTLLKTHDDELKDYIRKILLQVHRWLLGGKCNQLVLCIIDKDEGEVVERWSFNVQHIP SSSNEQEEIVDLNTTQSQIRGLIRQITSSVTFLPELSKEGGYTFTVLAYTDADAKVPL EWADSDSKEIPDGEVVQFKTFSTNDHKVGAQVSYKY SPAR_J01700 MLEGTVDYDPLEDITNILFSKESLNNIDELINVTRNYKKQLQED ILKEEGELNEHPRESAEMEVSLRKVFQDFKETQDISASTELTISNLTEGISYLDIAKK NLTHSLTLFQNLKILTDSYIQCNELLSQGSFQKMVSPYKIMCSLAENTFISYKSLDEI NYLLSSISRLKADTLSKIKQNYNALFSSGNISEHDTALTIELREGACELLDCDTSTKA QMIDWCLDKLLFEMKEIFRVDDEAGSLENLSRRYIYFKKILNNFNSKFADYFLKDWEM AVRLTTSFYHITHKDLQILLKREFKDKNPSIDLFMTALQSTLDFEKYIDVRFSKKIKE PKLSSCFEPYLTLWVSHQNQMMDKKFLSYMSEPKYPSNEAESLVLPSSADLFRTYRSV LTQTLELIDNNANDAILTSLANFFSKWLQTYSQKILLPLLLPDNIEVQDKQEAAKYTV LLINTADYCATTIDQLEDKLSEFSGNPEKLADSFAKTKNIYDDLLAKGTSFLLNRVLP LDLNFVWREFTNNDWSNTTIEDCSRYMVTLKSVLKVPASADSSIKQQQEQPSTLAFIL SQFNRDVYKWNFLDKVIDIVNTNFVSHIIRLLQPIPPFSLAGSKRRFETKTVVNIGEQ LLLDLELLKEIFHTLPENVSNDSDLRENTSYKRVKRHADNNIDQLLKFIKLLVAPLDS ADDYYETYSKLTNNNPDSAVWSFVLSLKGIPWDLALWKKLWSAYNLETDDTDEGNRPD SNRDLFIFKWDKVLLGQFEINLARIQDPSWSKFVGQDLKISPPAMKRIVSTPQIQQHK EEQKKQSLSVKDFVSHSRFFNRGT SPAR_J01710 MPKETPSKAAAEALSDLEIKDSKSNLNKELETLREENRVKSDML KEKLSKDAENHKAYLKSHQVHRHKLKEMEKEEPLLNEDKERTVLFPIKYHEIWQAYKR AEASFWTAEEIDLSKDIHDWNNRMNENERFFISRVLAFFAASDGIVNENLVENFSTEV QVPEAKSFYGFQIMIENIHSETYSLLIDTYIKDPKESEFLFNAIHTIPEIGEKAEWAL RWIQDADALFGERLVAFASIEGVFFSGSFASIFWLKKRGMMPGLTFSNELICRDEGLH TDFACLLFAHLKNKPDPAIVEKIVTEAVEIEQRYFLDALPVALLGMNADLMNQYVEFV ADRLLVAFGNKKYYKVENPFDFMENISLAGKTNFFEKRVSDYQKAGVMSKSTNQEAGA FTFNEDF SPAR_J01720 MSTFIRGPICGTDNCPSRLWRIIDGRRTCQYGHVMEGDVEFNDD EDDLNGLGAGVITRRLNLTTNATGSFQSSQLTNSQLLQQQQRQSHKKFKKLIGHDAKL LFLKSFQFILKRQIRWLIDEMRFPEEFEHVAKIIWLKILKTINDQPQEDLRLQLHMTS TISILYLASTHLSLPVYTCDYIKWICSAKMPYFQASELLPKSWRTRLPNYYVSILEGS ISPFNGQLYNKIALTCGMIHFKEFFNSQIACQGLLLKLVMQCTLPPEFYFYTKQVIEF EEADIQNLTLWERTDERHTGPISNHAELRVLSYFMLTINWMLSFDRDRQYPLKWILAL TESLTQRTTTSESIDRNIVKVVYPDKPTSNDYFQWSEDETLEFLNWMEKQFLPTQTKS LHNEDESVGMTIDQKIARRKLYKIFPLDRDISNDSELNDLKHQLTFIEDLQERYAKQT PYFDNNNKTLDFSTRQDPHLPTRKEAIGRLLTQVASRLLVDFAISKQQLKDCIFRIKN ACLHRMN SPAR_J01730 MIHAVLIFNKKCQPRLVKFYTPVDLPKQKLLLEQVYELISQRNS DFQSSFLVTPPSLLLSNESNNDEVNNEDIQIIYKNYATLYFTFIVDDQESELAILDLI QTFVESLDRCFTEVNELDLIFNWQTLESVLEEIVQGGMVIETNVNRIVASVDELNKAA ESTDSKIGRLSSTGFGSALQAFAQGGFAQWATGQ SPAR_J01740 MRVFFTLLSKKLKNQLVVRQSTTMHSSDPFSKFIVTKDTKPLSL CDLQRSDSINSAMGLHVGNTVLSTLTDLQKKEEINWDPVQFVASKLRGVISPIQAYVT IGKKFCPHSLVYNSRFFQLHYFPEDHFMSCFRKSKPAITVKSNKKFYLNGKVFNKDQK YFNESRISKADEVELSKIHTVMTRLTSKYRNNIPSEFAYLRRDLKSKVKTTFIKEWCR LNGDKAIWEYSNRNKPSNINPTSIKDTPKKSFLDNSGRSTVGTAKDGYYLYIVSIFPD KDMLSEFNDEVNRSVQKVANLDWDKFLIPKKGAKGKTWVETFNDSINVQTVNKILEIS KFPFELRREQLKG SPAR_J01750 MSESEVPFKVVAQFPYKSDYEDDLNFEKDQEIIVTSVEDAEWYY GEYRDSKGDIIEGIFPKSFVAVLESEGGKEAEVSPIAGSAQQRTAQPEAEPKSLPEPV ASETKKETPPSVPVPVPVPATTTVAAQAQHDNRERNVPMDSPKLKARLSMFNQDVSEQ VPLPKSTRLDLENIPVKKTIVADAPKYYVPPGIPTNDTSNLERKKSLRENENKIVPEP INRAQVESGKMETENDQLKKDQPQMSLKERIALLQEQQRLQAAREEELLRKKAKHEQE HEGSVANKNEPYAETEEAEENERTEPEPEFMPETEHNDELQMEPLAHKESAKTSHEAD EGTDEIEKEQLLDEYTEENQKVQDPQGNEEGRENVPEESRGIVPEESEVGHINEDGEG DNDEEEDEEDSEENRRAALRERMAKLSGASRFGAPVGFNPFGMASGVGTKPSEEPKKK QHRQEEPEQLQEHPRAIPVMPFVDPSSNPFLKKSSQIEKGRLPETKTLDPHAAPEHEQ RQEQGTHAYHNLAVGDDAHPEYSDHDSDEDTDDYEFEDANDGLRKHSMVEKSIQISNN ESENVNSEENTSPQHPLMPHRTTEAPPDIANVSQKSTANALPVSPPRTGVARNGSINS LTGSISGQHRPTSVHEHHDILSTNSFALTETAQDIPTSVPPAPILSQVSDPEDKAPPH PAPSAPPAPPAPPAPPAPPAPPAPPAPPAPSAPPAPPAPSAPPAPPAPSAPPAPPAPP APSAPPAPPAPSAPPAPLEPSILSQRSAPPVPSAPPALPKHSELEEHVSKSSAPPPPV SEEYHPMPKAAPPLPRAPPIPPATSESESEPTVTYTRTAPPPPPLPQNVGGRTHSVAG AQQHAPASVLPRTEKEPRALPPPHVPSSTNRAVDSFHEPNTAPNVASMRRSTTHDVGE MSNNVKVEFNAQERWWINKSAPPAISNLKLNFLMEIDDHPISKRLHQKWVVRDFYFLF ENYSQLRFSLTFDSANPEKTVTTFQERFPSPVETQSTHVLGEYAQRFNAKIVEKSHSL INSHIGAKNFVSQIVSEFKDEVIQPIGARTFGATILSYKPEDENEQLMNGLQKIKPGD ILVIRKAKFEAHKKIGKKEIISVGMDATTPYSSVVTDYDFTKNKFRVIENHEGKIVQN SYKLSHMKTGKLKVFRIVARGYVGW SPAR_J01760 MNNTNEYRREDAEAANERLLYNKTVKSAYADVLKDKMNREQEIS LRAIRKGISTDGGETDNYDLDKENDSTYEMFKKNLDVPIDQHEDEDDDYLYIDDNGGE TDGYSDEDYTDEADKSFIEDSDSNSYDMESDSGFGENWESSDEARKLPWRTYILYGSL FFVFYFFGSFLMTTVKNNDLDSHSSGATSSPGKSFTNLQKQVNHLYSELSKRDERHSL ELDKTVKVIISQFEKNIKRLLPSNLVNFENDINSLTKQVETISTSMSELQKRSHRFTV ENVTQWQDQLVKQLDTHLPQEIPVVINNSSSLLIIPELHNYLTALISEVIESPGIVTA GGSENHWEYDLNHYVKEVLSNELQYIDKDYFIQEMNRRLQSNKQEIWEEITNRLESEQ QQQQKQVHQDYSNAPQQYSSILMKRLIHQIYNSNQHQWEDDLDFATYVQGTKLLNHLT STTWKQGSGVQPIELLTDSKQSSSTYWQCENEPGCSWAIRFKTPLYLTKISYMHGRFT NNLHIMNSAPRLLSLYVKLSQTKETKALQTLANQYGFGQPHKRDRNYIKIAKFEYRLT DSRIRQQIPLPPWFIQLKPLVRSIVFQVDENYGNRKFTSLRKFIINGVTPQDLQIIEN NEFPVLLGDIPEYGVSQNTNEGKRKVLLSTPPYASPSTISTKFHPASNVPSFGQDELD Q SPAR_J01770 MGFLDSLKKPVNIKSSLPKFSRSATSVNLSSHPVSSRSFLDLPP ELFSIAKPIFKLLQAHANKIYFQSSDAEAVWNVKNSSGHVFEAESISLVGSHIIVTES SVRVADVAIIDSPSSINQCEISSVGEFLQFNNGQLSITCSDFGLLERFKRLCMISIFE FISIYKALTGTVISSYGLRMSDMHIILNSPFNFKDWCEVYLDGQGWVKVWCHIDKVSK TNNSKTNGDYDAKGKYQIRFYRDDKSTSSKNCVFFIPDNEYVQDIFFYNIDAAEPSKN MNEFFQGLQMIKLVGNVRFCSDTDFNDVMDNGSIYSSANNGSGDSSSAALNNESPNTT PKSRTFFSPKGHRRNSSHVSSLTSRSTKKPITNFTTRTNGLLIRPLPHHGVHHLEAMI RFIIPLMDCARLYGRPVQFKTERTDINSLMFGLPKLPSVDYFAQEEIAHLMTQEFNPL KEKDTDDTMALTMSRFSSYLQERMMKISKRNTELNFRTFSDVMGVYSNTRDQSKLGCM GDKDNSVKEFSLSDKSNVSSDTTNMMNQLQVNAREYKNSMCERPIVASTSPLA SPAR_J01780 MQAPVVFMNASQERTTGRQAQISNITAAKAVADVIRTCLGPKAM LKMLLDPMGGLVLTNDGHAILREIDVAHPAAKSMLELSRTQDEEVGDGTTTVIILAGE ILAQCAPYLIEKNIHPVIIIQALKKALTDALEVIKQVSKPVDVENDAAMKKLIQASIG TKYVIHWSEKMCELALDAVKTVRKDLGQTVEGEPNFEIDIKRYVRVEKIPGGDVLDSR VLKGVLLNKDVVHPKMSRHIENPRVVLLDCPLEYKKGESQTNIEIEKEEDWNRILQIE EEQVQLMCEQILAVKPTLVITEKGVSDLAQHYLLKGGCSVLRRVKKSDNNRISRVTGA TIVNRVEDLKENDVGTNCGLFKVEMIGDEYFSFLDNCKEPKACTIMLRGGSKDILNEI DRNLQDAMAVARNVMLSPSLSPGGGATEMAVSVKLAEKAKQLEGIQQWPYQAVADAME CIPRTLIQNAGGDPIRLLSQLRAKHAQGNVTTGIDGDKGKIVDMVSYGIWEPEVIKQQ SVKTAIESACLLLRVDDIVSGVRKQEE SPAR_J01790 MASSPSQNVVNFEEIESQKENILPLKEGRSASALSKVIHQPSIE INQFRSRFEQRLIDELPALRDPITLYLEYIQWLNNAYPQGGNSKQSGMLTLMERCLSH LKDLERYHNDVRFLKIWFWYIELFTKNSFMESRDIFMYMLRNGIGSKLALFYEEFTNL LLQTEKFQYAVNILQLGIKNNARPNHVLEDQLYHLLRKLEEKNIQLESQALMDSLEST VLGKTRSEFVNRLELANQNGTPSDINLTKNNVFVDEEEFDVEPFETPRDSVYRDGWEN FDFKAERNKENNLRVSVLEANTNVGELEQYEIPSQKKRQHDEKLPIFRDSIGRSDPVY QMINRGDQKPEKIDCNFKLIYCEDEESKNGRIEFSLEEILAISRNVYKRVRTNKKHTR EAKEGQEEGEDQEKAEVQTKKPKLSRKALVSKTLTPSKEGKSFPDEEYKNCPITPKGR VTEPSDIVSVVKPRRLTPILEMRESNSFSQSKNSEIISDDDKSSSSFISYPLPR SPAR_J01800 MKFGEHLSKSLIRQYSYYYISYDDLKTELEDNLSKNNGQWTQEL ETDFLESLEIELDKVYTFCKVKHSEVFRRVKEVQEQVQHTVRLLDSNNPPTQLDFEIL EEELSDIIADVHDLAKFSRLNYTGFQKIIKKHDKKTGFILKPVFQVRLDSKPFFKENY DELVVKISQLYDIARTSGRPIKGDSSAGGKQQNFVRQTTKYWVHPDNITELKLIILKH LPVLVFNTNKEFEREDSAITSIYFDNENLDLYYGRLRKDEGAEAHRLRWYGGMSTDTI FVERKTHREDWTGEKSVKARFALKERHVNDFLKGKYTVDQVFAKMRKEGKKPMNEIEN LEALASEIQYVMLKKKLRPVVRSFYNRTAFQLPGDARVRISLDTELTMVREDNFDGVD RTHKNWRRTDIGVDWPFKQLDDKDICRFPYAVLEVKLQTQLGQEPPEWVRELVGSHLV EPVPKFSKFIHGVATLLNDKVDSIPFWLPQMDVDIRKPPLPTNIEITRPGRSDNEDND FDEDDEDDAALVAAMTNAPGNSLDMEESVGYGATSAPNSNANHVVESANAAYYQRKIR NAENSVSKKYYEIVAFFDHYFNGDQISKIPKGTTFDTQIRAPPGKTICVPVRVEPKVY FATERTYLSWLSISILLGGVSTTLLTYGSPTAMIGSIGFFITSLAVLIRTVMVYAKRV VNIRLKRAVNYEDKIGPGMVSVFLILSILFSFFCNLVAK SPAR_J01810 MKVLEERNAFLSDYEVLKFLTDLERKHLWDQKSQAALKKNRSKG KQNRPYNHPELQGITRNVVNYLSINKNYINQEDEGEDRGSSDVKDAEKSGVSKMSDES FAELMTKLNSFKLFKAEKLQIVNQLPANMVHLYSIVEECDARFDEKTIEEMLKIISGY A SPAR_J01820 MGKSKTRGRRHQEKQKKDEFEPSSNSTKLHIQQKEPTYNDESED KENQPQMFFGVLDREELEYFKQAESTLQLDAFEAPEEKFQFVTSIIEEAKGKELKLVT SQITSKLMERIILECDETQLKGIFQAFNGAFFGLSCHKYASHVLETLFVRSAALVERE LLTPSFDNDEKEGPYVTMENMFLFMLNELKPHLKTMVNHQYASHVLRLLILILASKTL PNSTKTNSTLRSKKSKIARKMIDIKDNDDFNKVYQTPESFKSELRDIITTLYKGFTNG AESRSDISQSTITKFREYSVDKVASPVIQLIIQVEGIFDRDRSFWRLVFNTADERDSK EESFLEYLLSDPVGSHFLENVIATARLKYVERLYRLYMKDRIVKLAKRDTTGAFVVRA LLEHLKEKDVKQILDAVVPELSMLLNSNMDFGTAIINASNKQGCYLRDDVIAQLIQKY YPEKSDAKNILESCLSLSSSTLGNTRDDWPTADERRRSIFLEQLIDYDDKFLNITIDS MLALPEERLIQMCYHGVFSHVVEHVLQTTRVDIIKRKLLLNILSKESVNLSCNAYGSH IMDKLWEFTAKLTLYKERIARALVLETEKVKNSIYGRQVWKNWRLELYVRKMWDWKKI IKEQEFEIFPNSKPLQPKLEKRSRERDNSKEGSTFKKQKHYR SPAR_J01830 MSLRLPQNPNAGLFKQGYNSYSNADGQINKSIAAIRELHQMCLT SMGPCGRNKIIVNHLGKIIITNDAATMLRELDIVHPAVKVLVMATEQQKIDMGDGTNL VMILAGELLNVSEKLISMGLSAVEIIQGYNMARKFTLKELDEMVVGEITDKNDKNELL KMIKPVISSKKYGSEDILSELVSEAVSHVLPASQKAGEIPYFNVDSIRVVKIMGGSLS NSTVIKGMVFNREPEGHVKSLSEHEKHKVAVFTCPLDIANTETKGTVLLHNAQEMLDF SKGEEKQIDAMMKEIADMGVECIVAGAGVGELALHYLNRYGILVLKVPSKFELRRLCR VCGATPLPRLGAPTPEELGLVETVKTMEIGGDRVTVFKQEQGEISRTSTIILRGATQN NLDDIERAIDDGVAAVKGLMKPSGGKLLPGAGATEIELISRITKYGERTPGLLQLAIK QFAVAFEVVPRTLAETAGLDVNEVLPNLYAAHNVTEPGAVKTDHLYKGVDIDGESDEG VKDIREESIYDMLATKKFAINVATEAATTVLSIDQIIMAKKAGGPRAPQGPRPGNWDQ ED SPAR_J01840 MPNTFETQLFFSRPFLSKRQIQRAQKNTISDYRNYNQKKLAVFK FLSDLCVQLKFPRKTLETAVYFYQRYHLFNRFETEVCYTVATSCLTLGCKEVETIKKT NDICTLSLRLRNVVKINTEILENFKKRVFQIELRILESCSFDYRVNNHVHIDEYVIKI GRELSFDYKLCNLAWIIAYDALKLETILVIPQHSIALAILKIAYELLDNKNWSSKRYS LFETDEKSVNEAYFDIVNFYINSFDMCDLQRHLPAGILPVGVERFMELKKNAGPESGL PQIADHLLNADSFLTITRDNNVQERRYVLSLDLLNGESSIVNSTKHA SPAR_J01850 MSSKPHTGLETSGPQGQQEQEQQTEQSSPTEAHDRGVHDEVPKI KKHNEQNSGHKSRRNSAYSYYSPRSLSMTKSRENSTPNGMDDVNIPNVEHPRPTEPKM KRGPYLLKKTLSSLSMTSASSNHDDNKDHGYALNSSKTHNYPSTHSHHDAHHDHHHVQ FFPNRKQSFAESLFKRFSGSNNHDGNKSGKENKVANLSLSTVNPAPANRKPSKDSTLS NHLADNVPNALRRKVSSLVRGSSVHDINSSVADKQARPKVVAQSENTLHSSDVPNSKR SHRKSFLLGSTSSSSSRRGSNVSSMTNSDSASMATSGSHVLHHNVSNVSPTTKSKDSV NSESADHTNNNKSEKVASGHNDNIPENPSSENKREALVPTIEKPVAYKPSLFRLDTNL EDVTDITKTVPPTAVNSTLNSTHGTETASPKTVIMPEGPRKSVSMADLSVAAAAAPNS EFTSTSDDRSQWVAPQSWDVETKRKKTKPKGRSKSRRASIDADELDPMSPEPLSKKDS HYRKSRHHRRHRDRKDNESLVTGGDSNSSFVDVCKENIPNEGKTAVDSKSVNRLRSNL AMSPPSIRYAPSNLDGDYDTSSTSSSLPSSSISSGDTSSCSDSSSYTNAYTEANGDQD TKAPILNKTKSYTKKFTSSSVNMNSPDGAQSSGLLLQDEKDDEVECQLEHYYKDFSDL DPKRHYAIRIFNTDDTFTTLSCTPATTVEEIIPALKRKFNITAQGNFQISLKVGKLSK ILRPTSKPILIERKLLLLNGYRKSDPLHIMGIEDLSFVFKFLFHPVTPSHFTPEQEQR IMRSEFVHVDLRNMDLTTPPIIFYQHTSEIESLDVSNNANIFLPLEFIESSIKLLSLR MVNIRASKFPSNITEAYKLVSLELQRNFIRKVPNSIMKLGNLTILNLQCNELESLPAG FVELKNLQLLDLSSNKFMHYPEVINHCTNLLQIDLSYNKIQSLPPSCKRLVKLAKMNL SHNKLNFIGDLSEMTSLRTLNLRYNRISSIKTNASNLQNLFLTDNRISNFEDTLPKLR ALEIQENPITSISFKDFYPKNMTSLTLNKAQLSSIPGELLTKLSFLEKLELNQNNLTR LPQEISKLTKLVFLSVARNKLEYIPPELSQLKSLRTLDLHSNNIRDFVDGMENLELTS LNISSNAFGNSSLENSFYHNMSYGSKLSKSLMFFIAADNQFDDAMWPLFNCFVNLKVL NLSYNNFSDISHMKLESITELYLSGNKLTTLSGDTVLKWSSLKTLMLNSNQMLSLPAE LSNLSQLSVFDVGANQLKYNISNYHYDWNWRNNKELKYLNFSGNRRFEIKSFISHDID ADLSDLTVLPQLKVLGLMDVTLNTTKVPDENVNFRLRTTASIINGMRYGVADTLGQRD YVSSRDVTFERFRGNDDECLLCLHDSKNQNADYGHNISRIVRDIYDKILIRQLERYGD DTDDNIKTALRFSFLQLNKEINGMLNSVDNGADVANLSYADLLSGACSTVIYIREKKL FAANLGDCMAILSKNNGDYQTLTKQHLPTKREEYERIRISGGYVNNGKLDGVVDVSRA VGFFDLLPHIHASPDISVVTLTKADEMLIVATHKLWEYMDVDTVCDIARENSTDPLRA AAELKDHAMAYGCTENITILCLALYENVQQQNRFTLNKNSLMTRRSTFEDTTLRRLQP EISPPTGNLAMVFTDIKSSTFLWELFPNAMRTAIKTHNDIMRRQLRIYGGYEVKTEGD AFMVAFPTPTSGLTWCLSVQLKLLDAQWPEEITSVQDGCQVTDRNGNIIYQGLSVRMG IHWGCPVPELDLVTQRMDYLGPMVNKAARVQGVADGGQIAMSSDFYSEFNKIMKYHER VVKGKESLKEVYGEEIIGEVLEREIAMLESIGWAFFDFGEHKLKGLETKELVTIAYPK ILASRHEFASEDEQSKLINETMLFRLRVISNRLESIMSALSGGFIELDSRTEGSYIKF NPKVENGIMQSISEKDALLFFDHVITRIESSVVLLHLRQQRSSGLEICRNDKTSAQSN IFNVVDELLEITKNLKDLSP SPAR_J01860 MISIRRYLRVPNELKPSQIFKQDSLSPSKIGLQIVLLQIFYYTT ATVLFYCWGKLAGYDLDVKGWLFSWENIDFTNAYGLSISLLWLLDSLICVFFLTVIVG RSKLAWDFAITIHAINFIVVFLYTRKFPSFSWFFLQILSSLILIFLGTWTTRWRELRD TFFDGLVDPNEGESRLAASSQQRSDHLISRQSPIQLKDLESQI SPAR_J01870 MSFGGKKFRSRRQQLAYEASLAGRYKKALSKHPFLFFGLPFCAT IVLGSFWLSNFTAIKYEQGDRKVQEINEEDILKLRKNQREFDIKEEYYRLQGLSEEDW EPVRVARLKDESENVW SPAR_J01880 MREVRFSWIVGLFLFFFHVSSAAQYVPPATWENVDYKRTIDVSN AYISETIEITIRNIATEPVTEYFTAFESEIFGKVSFFSAYFTDQAIFLNSQLLANSTM VAGDDGENDIRYGIIQFPNAIFPQEEISFVIKSFYNTVGIPYPEHVGMSEEQHLLWET NRLPISAYDTKKASLTLIGSSSFEEFHPPTDASLLGKASSNSFEFGPWEGIPRFSANG RLAVVYSHNAPLNQVVNLRRDIWLSHWASTVQFEEYYELTNKAAKLTKGFSRLELMKQ IQSQNMGQTHFVTVLDMLLPEGATDHYFTDLVGLVSTSHAERDHFFIRPRFPIFGGWN YNFTIGWTNQLSDFLHISSSSDEKYIASIPILNGPPDTVYDNVELSVFLPEGARIFDI DSPVPFTNVSVETQKSYFDLNKGHVKLTFNYRNLITQVANGQVLIKYDYSKNSFFKKP LSIACYIFTALMGIFVLKTLNMNVTN SPAR_J01890 MNGIQVDINRLKKGEVSLGTSIMAVTFKDGVILGADSRTTTGAY IANRVTDKLTRVHDKIWCCRSGSAADTQAIADIVQYHLELYTSQYGTPSTETAASVFK ELCYENKDNLTAGIIVAGYDDKNKGEVYTIPLGGSVHKLPYAIAGSGSTFIYGYCDKN FRENMSKEETVDFIKHSLSQAIKWDGSSGGVIRMVILTAAGVERLIFYPDEYEQL SPAR_J01900 MPEQEQLSPNGRKRSEVHYISIPLNRGSAFSPDDSASQFQSDGF MTRRQSILDHPVGSFKGVNSLSRFATSLRRANSFRNIELNADNERSFFKESNDETYDP DTLAPAFDGRRLSVTLNNAGRPRVSNSANNDRVSTASMAVHDDDYGSIQNSTIGDSAS ILRPTASLTEMMSGGAGRRYTNNDMESIVVKRVEGIDGKVVTLLAGQSTAPQTIFNSI NVLIGIGLLALPLGLKYAGWVLGLTMLAIFALGTFCTAELLSRCLDTDPTLISYADLG YAAFGTKGRALISALFTLDLLGSGVSLVILFGDSLNALFPQHSTTFFKIVSFFVITPP VFIPLSVLSNISLLGILSTTGTVLVICCCGLYKSSSPGSLVNPMETSIWPIDLKHLCL SIGLLSACWGGHAVFPNLKTDMRHPDKFKDCLKTTYKITSVTDIGTAVIGFLMFGNLV KDEITKNVLLTEGYPKFVYGLISALMTIIPIAKTPLNARPIVSVLDVLMNVQHIDEAA SAIKRRAAKGLQVFNRIFINVVFVLIAINFPEFDKIIAFLGAGLCFTICLILPCWFYL RLCKATIKPWERVACHVTICISVVLSTLGVGAAIIS SPAR_J01910 MSELFRELKFNAGRIILKDPSATSKDVKAYIDSVINTCKNGSTI KKAELDEITVDGLDANQVWWQVKLVLDSIDGDLIQGIQELRDVVMPSSHNLSDGSTSN SSSGEESEREEAENASGEESTFSGEVSEAEEQSYHGFSENDEEPILDGKKTSTKADRE NFVEENKISSRQDEKHSSADPYGINDKFFDLEKFNRDTLAAENSNEAAERSEDEEIDY FQDMPSDDEEEEAIYYEDFFDKPAKGPVENNFEVEDPKEDEELDEKEHDSVMDKVKLD LFADEEDERNAEEMGEASGKNLSTFEKQQVEIRKQIEQLEKEAVAEKKWSLKGEVKAK DRPEDALLTEELEFDRTAKPVPVITSEVTESLEDMIRRRIQDSNFDDLQRRTLLDVTR KSQRAQFELSDVKSSKSLAEIYEDDYSRAEDESALSEELQKAHSEISELYTNLVYKLD VLSSVHFIPKPASTSLEIRVETPTISMEDAQPLHMSNASSLAPQEIYNVGKAEKEGEI RLKNGVAMSKEELTREDKNRLRRALKRKKSKSNSSIVNKRSKRNDVVDTLSKAKNITV INQKGEKKDVSGKTKRGTSGPDSTNIKL SPAR_J01920 MLRSLHCAVTLSNRRLYSLISHPNGKNIIRKLLLHPSFDPIRRH LPEDIATVDPYSLSQNVIESLNKLRIPREDAAMVHNIMIENLSDLDYGVATIHSNNLR DLDLKPSLAAIKKIIKNNPGRVQSSWELFTQYKASTENVPDELMEVVLEKIIKFDKAE EVDGKENLTCQDLVRCLYIINHFSSSYDVSSNLIESILIYTIDNGIPNVLPSVLKYKI PLSFFDKYVNEMTPYQIWELYNFYPLDNIVADSLVLHKCVTVLGENEMVQPTEEQNVI INKLEEEVDLVKSQCHDNWNFEFPNEDARKTETAFKKLFLEIQKKDIDKKDFELALKL LRITGAFKGKISLFFELYHEYLLKFKNNEDDLMFEAFLTLCCQGYKSGNEKMLQYAEA FVREDLDGKLESKIQSVLIVANAKTNIDLSLKIYNCNIAKAKREKDNCTDLADSDLLT ESLILAFLSKNDADFARVIFDGALGEKLISGPTAAKRIKNLLAQYGEALETKESQKVM QSKIEHYMESI SPAR_J01930 MFTFLKITVWLCSLVLAFAAKINDISFSNLEITPLTANKQPDQG WTASFDFTIADASSIREGDDFTLSMPHVYRIKLSDSSQKTTISLRDGTEAFKCYVSQQ AAYLYENTTFTCTAQTNLSSYNTIDGSMTFSLNFSNGNSKYEYELENAKFFKSGPMLV QLGDQMSDVVNFDSAAFTENVLHSGRTTGYGSFESYHLGMRCPNGYFLGGTEKIDYDN FNHNINLDCSSVQVYSSNDFNDWWFPQSYNDTNADITCFGSNLWITLDEKLDDGEMVW VNALQSLPANINTIDHALEFQYTCFDTIANTTYASQFSTTREFIVYQGRNLGTASAKS TFTSSSITGLTSTYTSAHSTESTSTIETGTQSTSEVISHVGTISTKLIPATTTGTSTH NSAYSRESTSTIETGGQTTSEVISHLETVSTEPPLTAVPIVTSTSEWTSGMKTHTPQS TSSASAMINSTSLTSSSAVLETSDVSFVKMHTDTITNTSPVSSEEPTCVTSTRNFLNS FSSRQSSNSSKYTSTPHASSVPASKSLLSSSFVPTTAPILNTSIKTESTEYFEYSALT TSTVASNSLSETVLSSQGTKSDTSSASSLMAYYSSTSGSQPFSTQRNSTSTSIIISIY EGKASMFFSSELCSIFFLVLSYLLF SPAR_J01940 MSDQKVFARYKANEIVTDLQHFGVKKFKSNTTRRKNALRKIIAN LVLGNYGEMSLLFSELLKFWQIEDDLEVKRICHEYIRVIGALKPQQAREALPFIMDDF KSRDERLQMMALRTLVLVPVRELSDQAFDCIISLVNHKSPPEKVTRTAIYALLDLDEI DHERVLGLSSILHEVIKSHSSPPEVIVAALHTLYSIHEKNANMEPFRISLELAFNMLE LLPELNEWNKATVLEILTTSVVPQHYLDTHEMVELALPYLQQVNTYVVLNSLKFIMYL LNYVDVIKETLAEKLSNSVIALLDKPPELQFLVLRNVILLLLSRESSLLRLDISYFFI EYNDPIYIKDTKLECLYLLANKETLPRILEELEQYATDIDIQMSRKSVRAIGNLAVKL DEDSVHDCVAVLLDLLEFGVDYVVQEIISVFRNILRKYPNNFKANVTELVKHTEVVQE PESKNAMIWIITQYSEVIPNYLELFKVFSSNMFSETLEVQFSILNSAIKFFIRCPTKE TEELCMDLLKGCADHANNPDLRDKTFMYWRLLSLTKTSRLSNALTFESLKSVLDGELP LIEMNTKLDPTVLEELELNIGTIVSIYLKPVSHIFRLNKTKLLPQSPILNSNKVLLPV VSNSFPPTGANRDRQNSESQSSTKSRKTAMMDDYDRPAEKINQLKGKRKSSSNNPSKL SRKPSTLLRKLSMKRPFS SPAR_J01950 MHNTGKRYSETAKKVAAGRARKRRQAYEKDQLEKQQLEVQEAQK WEEGARTPNQKKLIMEQKKTEKLRAKKERDQLLAAEEEVLGKGGKGKGY SPAR_J01960 MDALLTKFNEDRSFQDENLSQPQKRIRIVDDNLYNKSNPFQLCY KKRDYGSQYYHIYQYRLKTFRERVLKECDKRWDAGFTLNGQLVLKKDKVLDIQGNQPC WCVGSIYCEMKYKPNVLDEVINDAYGAPDLAKSYTDKEGGSDEIMLEDESGRVLLVGD FIRSTPFITGVVVGILGMEAEAGTFQVLDICYPTPLLQNPFPTPSAASQPRGKIALVS GLNLNNTSPDRLLRLEILRGFLMGRISDEIDDISKIGRLLICGNSVDFDIKSVGKDEL MTSLTEFSKFLHNILPSISVDIMPGTNDPSDKSLPQQPFHKSLFDKSLESYFNDSNKE ILNLVTNPYEFSYNGVDVLAISGKNINDICKYVIPSNDNAKSGEEVAQEESDDFKDDI EHRMDLMECTMKWQNVAPTAPDTLWCYPYTDKDPFVLDKWPHVYVVANQPYFGTRLME IGGRNIKIISVPEFSSTGMIVLLDLETLKVETVKIDI SPAR_J01970 MSTSHCRFYENKYPEIDDIVMVNVQQIAEMGAYVKLLEYDNIEG MILLSELSRRRIRSIQKLIRVGKNDVAVVLRVDKEKGYIDLSKRRVSSEDIIKCEEKY QKSKTVHSILRYCAEKFQIPLEELYKTIAWPLSRKFGHAYEAFKLSIIDETVWEGIEP PSKDVLDELKNYISKRLTPQAVKIRADVEVSCFSYEGIDAIKDALKSAEDMSTEQMQV KVKLVAAPLYVLTTQALDKQKGIEQLESAIEKITEVITKYGGVCNITMPPKAVTATED AELQALLESKELDNRSDSEDDEDESDDE SPAR_J01980 MAIRPATHSGSWYSNRTQELSQQLHTYLIKSTVKGPIHNARIII CPHAGYRYCGPTMAYSYASLDLNRNVKRIFILGPSHHIYFKNQILISAFSELETPLGN LKVDTDLCKTLVNKEYPENGKKLFRLMDHDTDMAEHSLEMQLPMLVETLKWREVSLDT VKVIPMMVSHNSVNVDRCIGDVLSEYIKDPNNLFIVSSDFCHWGRRFQYTGYVGSKEE LHEAIQEETEVEMLTARSKLSHHQVPIWQSIEIMDRYAMKTLSNTPNAERYDAWKQYL EITGNTICGEKPISVILSALSKIRGVSASGIKFQWPNYSQSSHVTSIDDSSVSYTSGY VTIEW SPAR_J01990 MVRVAINGFGRIGRLVMRIALQRKNVEVVALNDPFITNDYAAYM FKYDSTHGRYAGEVSHDDKHIIVDGKKIATYQERDPANLPWGSLNIDIAIDSTGVFKE LDTAQKHIDAGAKKVVITAPSSTAPMFVMGVNEEKYTSDLKIVSNASCTTNCLAPLAK VINDAFGIEEGLMTTVHSMTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKV LPELQGKLTGMAFRVPTVDVSVVDLTVKLNKETTYDEIKKVVKAAAEGKLKGVLGYTE DAVVSSDFLGDSNSSIFDAAAGIQLSPKFVKLVSWYDNEYGYSTRVVDLVEHVAKA SPAR_J02000 MPAPHGGILQDLIARDASKKNELLSEAQSSDILVWNLTPRQLCD IELILNGGFSPLTGFLNENDYSSVVTDSRLADGTLWTIPITLDVDEAFANQIKPDTRI ALFQDDEIPIAILSVQDVYKPNKTIEAEKVFRGDPEHPAISYLFNVAGDYYVGGSLEA IQLPQHYDYPGLRKTPAQLRLEFQSRQWDRVVAFQTRNPMHRAHRELTVRAAREANAK VLIHPVVGLTKPGDIDHHTRVRVYQEIIKRYPNGIAFLSLLPLAMRMSGDREAVWHAI IRKNYGASHFIVGRDHAGPGKNSKGVDFYGPYDAQELVESYKHELDIEVVPFRMVTYL PDEDRYAPIDQIDTTKTRTLNISGTELRRRLRVGGEIPEWFSYPEVVKILRESNPPRP KQGFSIVLGDSLTVSREQLSIALLSTFLQFGGGRYYKIFEHNNKTELLSLIQDFIGSG SGLIIPSQWEDEKDSVVGKQNVYLLDTSSSADIQLESANEPISHIVQKVVLFLEDNGF FVF SPAR_J02010 MSEILQDVQRKLIFPIDFPSQRKTEKFQQLSLTIGAVIACILGF TQQSLKVLLIAYGISCVVTLICVLPAYPWYNKQKLRWAQPKIEINVDQYD SPAR_J02020 MSETEPSESTVSTLQSKLLLNDGISENNKKNVILLNQIVPFILN TSHYMTDLMYVLYYLAQKQEDNLLNVSGTRTSHKKELLELKNDICELIYDLRTGFRLL LDSCELDHFETPGKCRHLIEKVLVNSIYGVNRYIFQELNRLNVDFKDEFTLQMQNCLS GFVNLYKFLNKIPMNKQQSQMNDLQMKILVNVLQNELLPIWKFQLDLLNCKLFNELSK DKDLITIYREATNDSAIDVSKGEPFIRYVNWLKDQIIGEMTV SPAR_J02030 MVAGGELSYEELLDHILNNKPIPNIVEVPNVTLDEGLASTPSLK PRSRPWEGQLQDQSNQGSQDKADISLDIDQENLEGMTSLTKLSECYDIQSKLQINDGG NDDGDYNNDHDKSDGNGNDNDTATVKPKTR SPAR_J02040 MTGEDWGLIVVSFLVRVGFFLFGIYQDANFKVRYTDIDYFVFHD AAKYVYEGQSPYARDTYRYTPLLSWLLVPNHYFGWLHLGKIIFVIFDLVTGLIIMKLL NQAISKKKAFILESIWLLNPMVITISTRGNAESVLCCLIMFTLYYVQKGRYTIAGLLY GLSIHFKIYPIIYCIPIAIFIYHKKSSQGTQAQLTSLLNIGSSTLVSLLGCGWAMYKI YGYEFLDQGYLYHLYRTDHRHNFSMWNMLLYLDSANKGNGGSNLSKYAFVPQLLLVLI TGCLEWWNPTFDNLLRVLFVQTFAFVTYNKVCTSQYFVWYLIFLPFYLSRSYINWRKG LLMATLWAGTQGIWLSQGYYLEFEGKSVFYPGLFVASVLFFLTNVWLLGQFITDLKIQ VQPTGASKKNN SPAR_J02050 MLRGVIYCGICSYPPEYCEFSGKLKRCKVWLSDNHADLYAKLYG ADDNAQEEVEAAANKLAESSIGEAREEKLEKDLLKIQKKQENREQRELAKKLSSKVII KREARTKRKFIVAISGLEVFDIDMKKLAKTFASRFATGCSVSKNAEKKEEVVIQGDVM DEVEAYIHSLLEEKGLKDVKVETIDAKKKKKPAAEGAAK SPAR_J02060 MSSSSSEIEPTAYNNMETDEEYCRRNDIHDLSSVVGDAFSQSVP DIDGQTTDSSKELEPNPKDNRVFPPSSGSFFSPNLQGQRKKVFLKFIFTNCLLAIICF TMFVLFWGALYDTSKYLHKVKLLVVIQEPPLVILDNNSSIVVPSISSALPTFINKIPC DWDIYNASSFQTKFHVNTPQQINDKVIDLIYDEKYWFAINIKPNATETLFESLISDTA PLFNSTLFNQVVYETGRDPANLKSTILPFAQGIEEYYETFYTLNYLPALMTNITQIYR YTLTGNARHITAAGNYNYEYYDHRPFTDRILLAPTQIGVVYCLLLTFFQFLLYGPLHV EMAKVLRPANGLLYRIAMSWFTFFFASLFFCTTTAIFQVDFNKSFGRGGFIVYWMSTW LFMLAAGGANENAVMLVITVGPQYLGFWILSFVILNIAPSFFPLALNNNVYRYGYMMP VHNAIDIYRVIFFDVSKHKMGRNYGILVALIALNTALLPFVSKYAGKKLKKKALAAAK QS SPAR_J02070 MGLLTKVATSRQFSTTRCVAKKLNKYSYIITEPKGQGASQAMLY ATGFKKDDFKKPQVGVGSCWWSGNPCNMHLLDLNNRCSQSIEKAGLKAMQFNTIGVSD GISMGTKGMRYSLQSREIIADSFETIMMAQHYDANIAIPSCDKNMPGVMMAMGRHNRP SIMVYGGTILPGHPTCGSSKISKNIDIVSAFQSYGEYISKQFTEEEREDVVEHACPGP GSCGGMYTANTMASAAEVLGLTIPNSSSFPAVSKQKLAECDNIGEYIKQTMELGILPR DILTKEAFENAITYVVATGGSTNAVLHLVAVAHSAGVKLSPDDFQRISDTTPLIGDFK PSGKYVMADLINVGGTQSVIKYLYENNMLHGNTMTVTGDTLAERAKKAPSLPEGQEII KPLSHPIKASGHLQILYGSLAPGGAVGKITGKEGTYFKGTARVFEEEGAFIEALERGE IKKGEKTVVVIRYEGPRGAPGMPEMLKPSSALMGYGLGKDVALLTDGRFSGGSHGFLI GHIVPEAAEGGPIGLVRDGDEIIIDADNNKIDLLVSDKEMAQRKQSWVAPPPRYTRGT LSKYAKLVSNASNGCVLDA SPAR_J02080 MPSDVASSTGLPTPWTVRYSKSKKREYFFNPETKHSQWEEPEGT DKDQLSEYLRDHPVRVRCLHILIKHKDSRRPASHRSENITISKQEATDELKTLITRLD DDSKTNSFEALAKERSDCSSYKRGGDLGWFGRGEMQPSFEDAAFQLNVGEVSDIVESG SGVHVIKRVC SPAR_J02090 MSASKMAMSNLEKILELVPLSPTSFVTKYLPAAPVGSKGTFGGT LVSQSLLASLHTVPLNFFPTSLHSYFIKGGDPRTKITYHVQNLRNGRNFIHKQVSAYQ HDKLIFTSMILFAVQRSKEHDSLQHWETIPDLQGKQPDPRRYEEATSLFQKEVLDPQK LTRYASLSDRFQDAASMSKYVDAFQYGVMEYQFPKDMFYSARHTDELDYFVKVRPPIT TVEHAGDESSLHKHHPYRIPKSITPENDARYNYVAFAYLSDSYLLLTIPYFHNLPLYC HSFSVSLDHTIYFHQLPHVNNWIYLKISNPRSHWDKHLVQGKYFDTQSGRIMASVSQE GYVVYGSERDIRAKF SPAR_J02100 MVSRGRTDEVSTDVSETNSERSLMITETSSPFRSIFSRTGKVTN TGTLEESDKQILEWAGKLELESMELRENSDKLIKVLNENSKTLCKSLNKFNQLLEQDA ARNGSLGNVKTLIKDLTSQIESQLDKVLTSTLSKSEEKTKPDSSYRHVLVEEISRYNS KITRHVTNKQHETEKSMRCTQEMLFNVGSQLEDVQNVLMSLSKDMHVLQTRQSALEMA FREKADHAYDRPDASLNGTTLLHDTDDAHNKQRKKSVPPPRMMVTRSMKRRRSSSPTL STSQNHNSDDDDNASHRLKRAARTIIPWEELRPDTLESEL SPAR_J02110 MSPTLKEYLNKRVVIIKVDGECLIANLNGFDKNTNLFITDVFNR INKEFICKAQLLRGSEIALVGLIDTESDDSLAPIDEEKVPMLKDTKNRIENEHVIWEK VYESKTK SPAR_J02120 MSSHDVLIHSDDPRHPANLICTLCKQFFYNNWCTGTGGGISIKD PKTNYYYLAPSGVQKEKMTPDDLFVMDAETLEYLRSPELYKPSACTPLFLACYQKKDA GAIIHTHSQNAVICSLIFGDEFRIANIEQIKAIPSGKVDPVTKKPIALSFFDTLKIPI IENMAHEDELIDDLHKTFKDYPDTCAVIVRRHGIFVWGPTIDKAKIFNEAIDYLMELA IKMYKMGIPPDCGIGEEKKHLKMASP SPAR_J02130 MFNTTPINIDKWLKENEGLLKPPVNNYCLHKGGFTVMIVGGPNE RTDYHINPTPEWFYQKKGSMLLKVVDETDAEPKFIDITINEGDSYLLPGNVPHSPVRF ADTVGIVVEQDRPGGENDKIRWYCSHCSQVVHESELQMLDLGTQVKEAILDFENDVEK RTCFHCKTLNYARPQSH SPAR_J02140 MDLFNKEEASFETLLKRLRVVCESHSRYHGSSLDPMVKVGNEMS KISGYLKCILRKHAAARDDASLTQSSENPYKSFLKDAQILDLYHNLLFGCMHLLLDAN MSYFRMNSQKLFTVLLFKVYYKLRDIYYVTNEVRLGSLISAFIYKFKSCYNSISCNSL KYGGVRDIMSREFSLTNHRPIDSKQIIKRAYYRLDVKKLAINNKLVEIFELDNGGIAI FEVLSGEMPYTLQTIGNLFQSLASGNHDLMNVGRLLLFRPFKSGDLKVVRLDDNGAKL KTPIDNGIVLRLTCKDPIQWQEHWRHAIRNLFDSAATNEYKKSEGEISQHFHIRHNRF NHTFPKKNDDMHIGSIRPSDTICNGRTLHRSIPLPGSLSSLIETSSESPEEESMSVMS ERAAVDNDSDLDTSLKDIESLSYEKLIELDKSIQVPLSPKQMDTPTLKNVRTASQTFS LESVSPELIESVASEIDDSDSIISEDGKDKSGKDLFDQDIDFYKPTLYRRKSSSLLSI FTKNKNNLTIDIPKNHSRSLFSLPGDQRLVTPVSASPHDDDVDETYVSFPLSVNTSGG VVFFENDSVKVSLWNGKSWVPLSKDILCLSLILSGDNEILLIIYKDFEREKCKLVVNL EPTWKCNRSTAQDVQLRIPSSDFKASVCGTLHDLTLSVRCTQAAKLVNVLQYQLQNSQ ISSLSPSTTTGTLSTISSSSCYSRNVTRSSTENSELANMKDSSESINSSLLLSSIKVR QHVKTKSNIWKPSRVGFTDIFSQEYKGAIVAIKFVICSDAEGTLYPREYNSRLHDIKR LGRTGLSFTDQKEAYLLEFKNQDVVDHVYKLILPFNASWQSC SPAR_J02150 MYDVAMETVLAVNPATMIVKECINLCSTMNKQSRDKSRTSVAAL LGGGSDIFISQSDSFVDNFHNLSTSNHHDPLISGLVQLRLKINDLKALKALNALELLN PFLQIVNASSVSGYTTSLALDSLQKFFTLKIINKTFSDIQIAVRETVVALTHCRFEAS KQISDDSVLLKVVTLLRDIITSSFGDYLSDTIIYDVLQTTLSLACNTQRSEVLRKTAE VTIARITVKLFTKLKLLDPPTKTEKYINDESYTDNNLKDVIIGTTASDKDLSRTDDDS AVADDCKNENQIEQVTREQENDEEKGEKAEEVESNYGITVIKDYLGLLLSLIMPENRM KHTTSAMILSLQLINTAIEISGDKFPLYPRLFSLISDPIFKSILFLIQSSTQHSLLQA TLQLFTTLVVILGDYLPMQIELTLRRIFEILEDTTTSDDIPKKKPPAIKELIIEQLSI LWIHSPAFFLQLFVNFDCNLDRSDLSIDFIKKIANFSLPAAAVNTSNNVPPICLEGVV SLIENIYNDLQKLEKAEFVKNQKEIDILKQRDRKTEFILCVETFNEKAKKGIQMLIEK GFIDSDSNRDVASFLFLNNGRLNKKTIGLLLCDPKKISLLKEFIDLFDFKGLRVDEAI RILLTKFRLPGESQQIERIVEAFSAKYSADLSNNKIEIEDKKTVNNDPESMTEDDVIH VQPDADSVFVLSYSIIMLNTDFHNPQVREHMSFDDYSNNLRGCYNGKDFPHWYLQKIY TSIKVKEIVMPEEHHGNERWFEDAWNNLISSTSVMTEMQRDFINPISRLALIDILQFE KAIFSNVRDIILKTLFNIFTVASSDQISLSILDTISKCTFINYYFSFDQSYNDTVLHL GEMTTLAQPGAKEGELDVDSIPLVEIFVEDTGSKISVSSQSIRLGQTFKGQLCTVLYF QIFREINDPSIISTKLWNQIVQIILKLYENLLMESNLAFFKNFHSLLKLPELPLPDPD ISIRKAKMSRSLLSTFASYLKGDEEPSEEDIDFSIKAFECIKASRPFSSVFENSQLIT PKLLETLLSSLVVKKTSENLLYFEQELLFLLEISIILISEEAPCKKEFGALIADRVVN ISNSDGLSKETIARLSSYKMFLVPRFNNPRNILSDLVEHDFLIKNEIFNTKYYESDWG KQVLDDLFTYLSQVKYNEQVLKNVKFWNFLRMLMSTKDNQLAVYQFLEKYIQNGEIFL DDGNFMNILSLLDEMSCAGAVGTKWEQNCENSVEDGGETAEANPYRSVIDISSRSINI TADLLSSVKRKNSALNKTEIIAAIQGLAHQCLNPCDELGMQALQALERLLLSRTSQLP PEEVAMDDLIEAGLLPIFELDEIQNVKMKRITDILSVLSKIFLHQLVEGVANNETFLR VLNVFNKYVDDPTVERQLQELIISKREIGKE SPAR_J02160 MTQDPQVYLDISIDNKPIGRIVCRLLRENAPKTTENFYRLCAGD VKSPLKDQQFLSYKGNGFHRVVKNFMIQAGDIVFGTQKDSSPSSIGKGGCSIYANKEE VKADNESFCYGNFEDENLGEFIEPFTLGMANLGSPNTNNSQFFITTYAAPHLNGKHSI FGQVIHGKSVVRTVENCSVDSDGVPKSDVRISNCGVWEKDMGVPLYNASNDQIGGDVY EEYPDDDTHFGEDDFAKALEAANIIKESGTLLFKKKDYLNAFFKYRKSLNYINEYMPE PDVDKERNDQFTDLKMKVYLNLSLVLFNLERYDDSIIYATYLLEMDSVSDRDQAKAYY RRGNSYLKKKRLDEALQDYKFCKEKNPDDKVIEQRIEYVGNLIEEKKEKTRKNISKFF S SPAR_J02170 MSLNFLPGRPNATLQTACQATWQNHTIFAYCSGNNLIILSNKFT RLQTIYTPKDCTAVDINSQNGFIALSFHNRVLIYKPIHQIMQNPKWTQCCQLFHDDTP VNCLRWSSDNELAIGSDFLSFWRIKDNFGVYQPILQWNQKQPKPVYNVIISQDSQLIV SVGKYDCNAKLWKRVSMVGEQAIFNLTMLPHPKPITAMRWKKEPDQVNKNNAASHALY TLCEDKVLRIWSCFEMEKNHTVQIWGEVPLSPTQKFCVIIDNWVIRHTLSKEELGNFN VSDSDIVILGSMTGELEILALNNLSQDPPKPMTKKTISYKKVKKATMLNDTKYLYFPE IQPYDDMKGKLSFLVHDLQGVIRHLVIDILQLISNESEDLSTTLEHKFTGHNKSVQKL VRSSDGEALLTTSRFSENGVWYPQKLSHGVSLRLQNIIQTESPIRFAVVHELGKLVIC LLENGAFQAWECPTNRKEDSEQKQSYLRVETRLEEDKKIHPIVMLNTPEPKHSHERHF TALIFSDGSIKAFEVSLTRGIFEVKSDSLDINGDDIYRISIIDPVHQTFVSNRPLISL ITKKGLTRTYKAIVNYNDRHVQWVKACEINTGIVNSTCIRGSSTGKLCIVDSTGKVMS LWDLNRGVLEYEETFHDTIEDIDWTSTAYGQSIVSIGFTGYALLYTQLRYDYTNNTPS YLPIEKIDITAHTAHNIGDSVWMKNGTFVVASGNQFYIKDKSLDLTDPFTYQSIGSRK ILSNDILHLSSVLNGPLPVYHPQFLIQAIYANKLQLVKELLLRLFLALRKLDFESQDI SNLDSNLGMDPLKYFIAKDRDYPVETFPDPYPCFNKTVSLALTEQLTKTTLPYLTRHQ QITLITVIEAVDEVIKNENVVDYNGVRFLLGVKLFLSHKNVQKSILMRDVSWALHSDN KEILLSSIDRHITSWSRAREYRIAYWIKEQDLVKKFEDIAKYEFSKDDKRDPSRCAIF YLALKKKKILLSLWKMAIGHPEQQKMVRFISNDFTVPRWRTAALKNAFVLLSKHRYMD AAVFFLLTDSLKDCVNVLCKQVNDMDLAIGVCRAYEGDNGPVLGELLTSQMLPETIKE NDRWKASFIYWKLRKQEVAIKALLTAPIDLENNSGIVDKEACVNRSFLVEDPALLYLY NHLRNRNLKYFIGSLNVEAKIECTLILRVTDILCRMGCNYLAVSLVKNWKFIERNSTP VQKLLKSPTKDRAYSAIGALASEPISTARMRPSLFDKFGLSNAPNMEAPNPNAKSPNN LLDDFLPPPSGSPSSVSLAQSSSSAPRSILDEFVSSSSYSQHKENLTPKVLNGSLEKA DSSENKNEKSYKDISDDYSLQKPQKPKKSAITKNLLDDFI SPAR_J02180 MVASCKDQKKAVAICLQRSPCVMIERHNPQECLDNPELNKDLPE LCIAQMKAFLDCKRGIVDMTKRFTGNAPLSTGKYDQQYENLCKGKFDPREEMEKLKLL NSQQKD SPAR_J02190 MADKVQRDGTKLENDESLRDLGVNVLSQSSLEERIANDVTNFSN LQSLQQEETRLERSKTALQRYVSKKNLLTRKLNNATRISVKQNLRDQIKNLQSSDIER VLRDIEDIQSRIKELKGQINQGAENRASKEGFQRPGESEKEFLIRTGKITAFGHKAGF SLDTANTEYVDDEEQKDKDFEVATEQMVENLTDDDDNLSDQDFQMSGRESGDDDEEEN DDEILEDLEDLQSKDQPSEAKDDGDESYYQARLKRWIQQRSAGSQRRPGLPEWRRPHP NIPDAKLNSKFKIPGEIYSLLFNYQKTCVQWLYELYQQNCGGIIGDEMGLGKTIQIIA FIAALHHSGLLTGPVLIVCPATVMKQWCNELHHWWPPLRAVILHSMGSGMASNQKFKM DENDLENMIMNSKPTDFSYEDWKNSSRTKKALESSYHLDKLIDKVVTDGHILITTYVG LRIHSDKLLKVKWQYAVLDEGHKIRNPDSEISLTCKKLKTHNRIILSGTPIQNNLTEL WSLFDFIFPGKLGTLPVFQQQFVIPINVGGYANATNIQVQTGYKCAVALRDLISPYLL RRVKADVAKDLPQKKEMVLFCKLTKYQRSKYLEFLHSSDLNQIQNGKRNVLFGIDILR KICNHPDLLDRETKRHDSSYGDPKRSGKMQVVKQLLLLWHNQGYKALLFTQSRQMLDI LEEFISMKDPDLSHLKYLRMDGTTNIKGRQSLVDRFNNESFDVFLLTTRVGGLGVNLT GANRIIIFDPDWNPSTDMQARERAWRIGQKREVSIYRLMVGGSIEEKIYHRQIFKQFL TNRILTDPKQKRFFKIHELHDLFSLGGENGYSTEELNEEVQKHTENLKNSKSQESDDF EQLVNLSGVSKLESFYSGKEKKENSKSEDDRLIEGLLGGESNLETVMSHDSVVNSHAS SSSSNIITKEASRVANDAINALRKSRKKITKRYEIGTPTWTGRFGKAGKIRKRDPLKN RLTGSAAILGNITKSQREASREAHQENYDDDVNFTSSSELNSNTKMLENIRRYLQQQN NFFSSSVSILNSIGVNLSDKEDVIKVRALLKTIAQFDKERRGWVLDEEFRNNNV SPAR_J02200 MVSFFGKLNAKRDSRDGSMSKELLSHSVAHTRNTVSKSGRRMSE RSLAARVKDGGCPNSKGKRISQACVTEEETKSCLSSLDCLCCGIPLRFPDSIKKFRCS ACQVTVIIKEPTISSNLESSTHISCTLEGLQMVVGRCHDDLQRLKKIGILDKERKGLI FQPVITYLLDRFHDISVLNRSFLVHDAERNVKMINYEALQNFYCILFTLPTRKPYYSM LCCCNDLLKRITVNKGEKLQISQYRWLLIILNIPTIRSCLIRDRKSKNAFETQQIRAV SYELVKRCIGYLSNLSTKTSQQLIQSLRRTPTDNFSYQVEILNLYINFQFSRLLSNEL SNRTANNNVKPEDEMRSRLRRHHTTGHEFLSTRPISALSDEKKESDITHPANNKIKFK IFQYEEDWHICSAAKLMFIYYMANTRRNGHRALSIQSFYNITLDFIDYRQDFDHWRGV AQKTKMNQLIEEWGNSRTKKGFSFCKYPFILSLGIKISIMEYEIRRIMEHEAEQAFLI SLDKGRSVDVYFKIKVRRDVISHDSLRCIKEHQGDLLKSLRIEFVNEPGIDAGGLRKE WFFLLTKSLFNPMNGLFIYIKESSRSWFAIDPPNFDKSKKKNSQLELYYLFGVVMALA IFNSTILDLQFPKALYKKLCSESLSFEDYSELFPETSRNLIKMLNYTKDDFEDVFSLT FETTYRNNNWILNDSKSSKEYVTVELCENGKNLPITQSNKHDFVTKWVEFYLEKSIEP QYNKFVSGFKRVFAECNSIKLFNSEELERLVCGDEEQTKFDFKSLRSVTKYVGGFSDD SKVVRWFWEIIESWDYTLQKKLLQFITASDRIPATGISTIPFKISLLGSHDSDDLPLA HTCFNEICLWSYSSKKKLELKLLWAINESEGYGFR SPAR_J02210 MLAGRIRMIRIAMSDNIIVSEVNGPQEVVKCFVREEDGLEGQSR KLVVIGLEYIDVFEGIEQDEARKVLRLRTYGCTVAAFYYKDHTAPNRRLYILLKATGR LDFIDLDYKLVKSLETGIDQVRSEPKFFFQDPLRAALVFNLSCTEIYEIPTDDILCLV ETDVKLSYITSSPIVSIDECINFNDILDKDVFTLSILTHTYNETEYQLEACVCVFEPK PAKGAKWQRTANLSFAEKAKASQILLKSVANIGHFVFTPWKTYFIKHALSSKQTIDGK TIGKMYQGPGAFEPNSTERMELLQPILADATSNHLTFTFVTNTAFMITCRMNAILSSF EDDTYIWGSALYEKFPINDGTLLDHYLSAFFDEKCWILVCPKGHLTVHSIGNKANGNT VALGSLVCKSTLYSDFIGNFTRSHLSCGSLHNGQGYLSLKYRSYGNIFASASMKLLFK TKDGAPHQVYSTRKGIYWADVNNNIYKDSERIDSEIGGSFIATKDGALLKDNTIVALA PIRKDNECNYVYVTKQGYLRWSCSKAYYRIQNIKTNLTIENCFISAISRKCSFLTVLV LNDEIMVFDRYNRSRSQKAVFHRLSDLASIFLYEYESTVYIFISDTEGNLCIMKLATF EIVEEFKICKKKLQFCEVPNSDYVFIYTTDTTVLFKPCKVKGRFEIQEVYAPYRISYL IPGEKDDSVVMVTLQGKFYDVHVPSTVGMATFGSKFEQVPKTCLKFITLESSSRYVIV AAVPVANQLQDKYSEIYVYDVKHFKNISAFNLSSINNDIKSIKYENVLISDIIAVPIL KRTEALGKKKTSELYKEVIFNSCILVSLNLDSIDDVDSSNMNNLLLFSFDEESGSIDF LFGINTGFPITGLHNYYNGCILVYGESMQAYQLNYSVHDNKFSIEQVSNILNISGVAI TSSIFFDKRKAKMARKQQNIGTWIYLEKMVSLDIRKGMMRFNVIHTTDGNMDKVHLQV QPLDSLERDLINSITDSGKILTGAATVTFKNIRYLLISYGGQKLRLFSLELDGEEEID EGVYHVGAPVTTINSVRTTDSRMSTFLGEPTFTPLFLVTTLSNGCYVIGFIHKESDIS LYMLSEEKAVFARRPGQKFFGFLDPQMDDHIVISGS SPAR_J02220 MPTTYVPINQPIEDGEDVIDTNRFTNIPETQNFDQFVTIDKIAE ENRPLSIDSDRDFLNSKYQHYREVIWDRAKTFITLSSTAVIIGCIAGFLQVFTETLVN WKTGHCQRNWLLNKSFCCNGVVNEVSSVSNLLLKRQEFECEVQGVWIAWKGHVSPFII FMLLSIVFALISTLLVKYVAPMATGSGISEIKVWVSGFEYNKEFLGLLTLVVKSVALP LAISSGLSVGKEGPSVHYATCCGYLLTKWLLRDTLTYSTQYEYLTAASGAGVAVAFGA PIGGVLFGLEEIASANRFNSSTLWKSYYVALVAITTLKYIDPFRNGRVILFNVTYDRD WKVQEIPIFIALGVFGGLYGKYISKWNINFIHFRKMYLSSWPVQEVLFLATLTALISY FNEFLKLDMTESMGILFHECVKNDNTSTFGHRLCQLDENTHAFEFLKIFTSLCFATVI RALLVVVSYGARVPAGIFVPSMAVGATFGRAVSLLVERFISGPAVITPGAYAFLGAAA TLSGITNLTLTVVVIMFELTGAFMYIIPVMIVVAITRIILSTSGISGGIADQMIMVNG FPYLEDEQEEENLEEYTAEQLMSSKLITINETIYLSELESLLYDSASEFSVHGFPITK DEDKFEKEKRCIGYVLKRHLASKIMMQSVNSTKAQTTLVYFNKSNEELGHRENCIGFK DILNASPITVKREVPVTLLFRMFKELGCKTIIVEESGILKGLITAKDILRFKRIKYRE VHGAKFTYNEALDRRCWSVIHFIIKRFSPNRNDNII SPAR_J02230 MIAAYSIDYYCRNFFSVNLTYHWLLFENREHTAFSDNMGDLIEE LPIPDNAQDLSKLLRSTSTKPHQIAQIVSKFDNLEVYFPNKEIFVLDLLIDRLNNGNL DDFKTSEYTWITFRKLLDAIDDPISIKKLIKKLKTVPVMIRTFYLWPKDKLSHGISFM KAFFAINDYLIVNFSVEESFQLLEQVINGLSSCSTTDFAFSYLQDACNLTHIDNITTT DNKIASCYCKHMLLPSLRYSAQIKHPVTSNRSYIRLSNFMGRFLLQPRVDYMKLNKKF VQENASEITDDMAYYYFANFVDFLSKDNFAQLEAIFTILAAKNPSLECRFLTLLSESK KTVSQEFLESLLLETLTSSNENGVLLLIPTILKLDIEVAIKHTFRLLELIQLEHFTDP LFSSRIWDLTIQSHANARELPNFFAKINEYCSGKGPDSYFLINYPAYVKSTTKQLFTL SSLQWKNLLQTLLDQVNHDSTNRVPLYLIRICLEGLSGGASHTTLDELKPVLSQVFTL ESFDNSLQWDLKYHIMEVYDDIVPAEELEKIDYILSSDIFDITSGHLEELFFYCFKLR EYISFDLSGALEKFMKHFEILDNESKSNLSFSIVSKFATLVNNNFTREQISSLIDSLL SNSKNLYLILNSDDIFEEANIMHALINKLASSYHQNFALEALVQIPIQCINKNVRVTL INNLTNESFCSGAAARKCILHLLSSPTFKTNIETNFYELCEKTIISPEMIISETDHEE IKMEDKESIFEKVWTNHLSQAKEPVSQKFLESGYNIIKKSIALSNCDNKLIIAGFTVA KFLKPDNKHRDVQDLVVSYTVEILKKYSEECDSKAVPLFRISMSTLYNIITAGQGDIS KHKAEIMELFSKIMLRYRSEKVHHAPEEQEMFLVHSLLAEDKLEYIFAEYLNINHTDK CDPALGFCLEESLKRGPDAFNRLLWNSAKSFSTISQSCAEKFVRIFIIMSKRISRDNS LGHHLFVIALLEAYTSCDIEKFGYKSFFLLFNAIKELLVSKPWLFSQYCIEMLLPFCL KTLAVIIDRESTIEINEGFVNIVEVIDHILLVHRFKFSNRHHLINSVLCQLLETVAMH DDTLRTDSADAVARLITNYCEPYNVSNPQNGSKNNLSSKISLIKQSIRKNVLVVLTKY VQLSITTQFNLNIKKSLQPGIHAIFDILSQNELSQLNAFLDTPGKQYFKTLYLQYKKI GKWRED SPAR_J02240 MAVDDSDQLLMDVDQLDFLDDGTAQVSDHKIDEEEQLYERDPVS GVILVPMTVNDQPIEKNGDKLPLKFILGPLSYQNMGFITAKDKYSLYPVKIPRVDTSK EFSTYVSGLFEIYRDLGDDRVFNVPTIGVVNSSFAKEHNATVNLAMEAILNELEVFIS GIKDQNGRLNRFYELEESLTILNCLRTMYFTLDGQDVEENRPKFIESLLNWINRSDGE PDEEYIEQVFSVEDSTPDKKVFETQYFWKLLNQLVLRGLLPQAIGCIERSDLLPYLND TCAVSFDAVSDSVELLKQYPKDSSSTFREWKNLVLKLGQAFGSSATDISGELRDYIED FLLVMGGNQRKILQYSRTWYESFCGFLLYYIPSLELSAEYLQISLESNAVDVTNDWEQ SCVDIISGKIHSILPVMESLDSCTAAFTAMICEAKGLIENVFEGDKSIGEYDNEDNEL LEDLFSYKNGMASYMLNSFAFELCSLGDKELWPVAIGLIALSVTGTRSAKKMVIAELL PHYPFVTNDDIEWMLSICVEWRLPEIAKEIYTTLGNQMLSAHNIIESIANFSRAGRYE LVKSYSWLLFEASCMEGRKLDDPVLNAIVSKNAAAEDDVIIPKDILDCVVTNAMRQTL APYAVLSQFYELRDREDWGQALRLLLLLIEFPYLPKHYLVLLVAKFLYPIFLLDDKKP MDEESVATVIEVIETKWDEADEKSSNLYETIIEADKSLPSSTAGLLRNLRKKLNFKLC QGFM SPAR_J02250 MDQKTSSFINEKLFTEVKPVLFTDLIHHLKISPSMAKKLMFDYY KQTTNAKYNCVVMCCYKDQTIKIIHDVANIPPKDSIIDCFIYAFNPMNSFIPYYNIID QKDCLTIKNSYELKVSETPKVVERTKTLEEKSKPLVRPTARSKTTPEEATGKKSKSKD MGLRSTALLAKMKKDRDDKEISRQNELRKRREENMQKVNKKNPEREAQMKELNNLFVE DDLDGVEKVGERSHPNSPEKDISDDKDRNNDILEDLLETTADDSLMDVPKVQQPTPSE IEDSKEPKSEEERSSFIDEDGYIVTKRPATSTPPRKPSPVVKRALSSSKQQETPSSNK RLKKQGTLESFFKRKAK SPAR_J02260 MMDFKVSPLTKIISLSGFLALGFLLVILSCALFHNYYPLFDILI FLLAPIPNTIFNTGNQYRTSDFMSDSSNTGQDLAHFLTGMLVTSGVALPVVFYHCQLI GHLSCIMCMTGGLIIYSSIVIFKWFFKKDFNEDDSLFG SPAR_J02270 MLAAKNILNKSSLSSSFRIATRLQSTKVQGSVIGIDLGTTNSAV AIMEGKVPKIIENAEGSRTTPSVVAFTKEGERLVGIPAKRQAVVNPENTLFATKRLIG RRFEDAEVQRDIKQVPYKIVKHSNGDAWVEARGQTYSPAQIGGFVLNKMKETAEAYLG KPVKNAVVTVPAYFNDSQRQATKDAGQIVGLNVLRVVNEPTAAALAYGLEKSDSKVVA VFDLGGGTFDISILDIDNGVFEVKSTNGDTHLGGEDFDIYLLREIVSRFKTETGIDLE NDRMAIQRIREAAEKAKIELSSTVSTEINLPFITADASGPKHINMKFSRAQFETLTAP LVKRTVDPVKKALKDAGLATSDISEVLLVGGMSRMPKVVETVKSLFGKDPSKAVNPDE AVAIGAAVQGAVLSGEVTDVLLLDVTPLSLGIETLGGVFTRLIPRNTTIPTKKSQIFS TAAAGQTSVEIRVFQGERELVRDNKLIGNFTLAGIPPAPKGVPQIEVTFDIDADGIIN VSARDKATNKDSSITVAGSSGLSENEIEQMVNDAEKFKSQDEARKQAIETANKADQLA NDTENSLKEFEGKVDKAEAQKVRDQITTLKELVARVQGGEEVNAEELKAKTEELQTSS MKLFEQLYKNDSNNNNSNNAESGETKQ SPAR_J02280 MSGAGKYRKKEPLRVPVIDLNRVSDEEQLLPVVRAVLLQHDTFL LKNYANKAVLDALLAGLTMKDLPDTSQGFDANFTGTLPLEDDVWLEQYIFDTDPQLHF DRKCENDSLCSIYSRLFKLGLFFAQLCVKSVVSSTELQDCISSSHYATKLTRYFNDNG NTHDAAEAGATVLPTGDDFEYLFERDYVTFLPTGILTIFPCAKAIRYKRSTMAATDNS WISIDEPDCLLFHTGTLLARWSQGMHTTSPLQIDPRANIVSLTIWPSLTTPIGGGGEG TIANQLLEEQIKAFPKVAQHYYPRELSILRLQDSMKFCKELFTVCETVLSLNALSRST GVSPELHVLLPQMSSMMKRKIVQDDILKLLTIWSDAYVVELNSRGELTMNLPKKDNLT TLTNKSRTLAFVERAESWYQQVVASKNDIIIDVPIFRINKRRSSNNLKTISSSKVQTK SSDTNALSNSRYLANTKENFMYKEKMPDSQANLMDRLRERERRSAALLSQRQRRYQQF LAMKMTQVFDILFSLTWGQPYTETYLSSLVVDSLQDSNNPIGTKEASEILAGLQGILP MDISVHQVDGGLKVYRWNNLDKIRFSKLLEIHKSKQQD SPAR_J02290 MSDEEHTFENADAGASATYPMQCSALRKNGFVVIKGRPCKIVDM STSKTGKHGHAKVHLVTLDIFTGKKLEDLSPSTHNLEVPFVKRSEYQLLDIDDGFLSL MTMDGETKDDVKAPEGELGDTMQAAFDEGKDLMVTIISAMGEEAAISFKEAPRSD SPAR_J02300 MTEFKAGSAKKGATLFKTRCLQCHTVEKGGPHKVGPNLHGIFGR HSGQAEGYSYTDANIKKNVLWDENNMSEYLTNPKKYIPGTKMAFGGLKKEKDRNDLIT YLKKACE SPAR_J02310 MKENDMNNGVDKWVAEEDGRNDHHNNSNLMKKAMMNNEQIDRTQ DIDNAKEMLRKISSESCSRRNSLLNKDSPLANGNVGSGGGTSINGTRGSSKSSNTHFQ YASTAYGVRMLSKDISNTKVELDVENLMIVTKLNDVSLYFLTRELVEWVLVHFPRVTV YVDSELKNSKKFAAGELCEDSKCRESRIKYWTKDFIREHDVFFDLVVTLGGDGTVLFV SSIFQRHVPPVMSFSLGSLGFLTNFKFEHFREDLPRIMNHKIKTNLRLRLECTIYRRH RPEVDPSTGKKICVVEKLSTHHILNEVTIDRGPSPFLSMLELYGDGSLMTVAQADGLI AATPTGSTAYSLSAGGSLVCPTVNAIALTPICPHALSFRPIILPESINLKVKVSMKSR APAWAAFDGKDRIELQKGDFITICASPYAFPTVEASPDEFINSISRQLNWNVREQQKS FTHILSQKNQEKYAHEANKVKNQAEPLEVIRDKQSLRADASKENNNGSDDESDDESEN CEACKLKPSSVAKPSQARFSV SPAR_J02320 MSRNVDKANSVLVRFQEQQAESASGYKDYSRYKRPKNVSKVKSI KEANEWKRQVSKEIKQKSTRIYDPSLNEVQITELNDELNNLFKEWKRWQWHIDHTLME KRTKRKTLEDSHVLANSGKLINGKRYFGRALELPEVQEWLKQSQKQNDVNSVNIKRIP KNKNDFYYHGKVTAVLTEFETNWTPILKAHYNVPVNENGEERAWQTQEIHVPTLADME HWLVQRRKKKLMEELNL SPAR_J02330 MIRSVRRVFIYVSIFVLIIVLKRILSGTDQMSMKQPVVVIGSGL AGLTTSNRLISKYKIPVVLLDKATSIGGNSIKASSGINGAHTDTQQNLKVMDTPELFL KDTLHSAKDKGVPSLMDKLTKESKSAIKWLQTEFDLKLDLLAQLGGHSVPRTHRSSGK LPPGFEIVQALSKRLKDIYSKDSNLVQIMLNSKVVDIELDNHGHVTGVVYLDENGSRQ ILKSHHVVFCSGGFGYSKEMLREYSPDLVHLPTTNGKQTTGDGQKILSKLGAELIDMD QVQVHPTGFIDPNDRENNWKFLAAEALRGLGGILLHPITGRRFTNELSTRDAVTMEIQ SKCPKNDNRALLIMSDKVYENYTNNMNFYMSKNLIKKLSINDLIQQYDLQTTASELVN ELKSYSDVNTRDTFDRPLIINAFDNDISTEAALYVGEITPVVHFTMGGVKINENSQVL KKNSESVLSNGIFAAGEVSGGVHGANRLGGSSLLECVVFGKTAADNIAKLY SPAR_J02340 MYRSRNRPKRGGENEVKGPNSALTQFLREEGISAENIKQKWYQR QSKKHEDATDEKKGNSEDDGLTAQVSPVVEEEEIDDIGTGSGTDTETAQVSYDARMKL VPADSDEEEYETSRVSDTPVSLSTANNRESLAKKRQNTAKIIQSRRRRRKRAADLLDR RVNKVSSLQSLCITKISENISKWQKEADESSKLVFNKLRDVLGGVSTANLNNLAKALS KNRALNDHTLQLFLKTDLTKLTFSDCSKVSFDGYKTLAIFSPHLTELSLQMCGQLNNE SLLYIAEKLPKLKSLNLDGPFLINEDTWEKFFTIMKDRLEEFHISNTHRFTDKSFSNL LINCGSTLVSLGLSRLDSVSNYALLPQYLVNDNFHCLCIEYPFNEEDVNDEIIINLLG QIGRTLRKLVLNGCIDLTDSMIINGLTAFTPEKCPLEVLSLEESDQITTDSLAYFFSK VELNNLMECSFRRCLQLGDMAIIELLLNGAKDSLRSLSLNSLKELTTEAFVALACPNL TYLDLGFVRCVDDSVIQMLGEQNPNLTVIDVFGDNLVTEKATTRPGLTLIGRQSDSI SPAR_J02350 MSIRPLTLTGLEEPETSFEELNTTLPRFQSHETLTLEKNAPPLG TSTYIPTPSSVGTSDTGTVFSNSAGAFWSNKQADDDQDMEVDQDDEFLNDFQEFQNKK DDFDDAIKTNFHLRNRCGTASFKNDVFAEEFDRKLSFEDRPRLKQPRSMMELKPKRKL SNSVTSRNLRTSNSVRFKKSMPNLALVNPAIREEEDDEEHDRDEQRIFGYKNDDHTQD TILAKFSSDDEGDFLTGFEGLKGEAIDETISSNDKRSAEQPPFLQKKSSSSLPLKISP AQYDIVKHDELLTPGLHRRQREWNTQQELDSFREKRPIRHYFNQNVQLNGPAKIKTIK QQIDHNTPMKKGSMIYNPKTMKWEGNENVLNKFSDVDTANKKALLIKNKLQRDADFKK QKYSDLQHSRATSRNQKVVGNMILDEQNLRWVSFSEEEPDPFAGIPEINLPPVGKTMK KRSSSPFLRSQSQVNPPFPSNDNIGGYQSTAAQARLRKYHSMRTLNSTTNGPELNSTF HLSSRALEKFYHEENRWCKKLAPWFIPQDETIISVDEETIMDESTVSSKRKSYMYEIR NMVINSTKD SPAR_J02360 MFNHDWKYSINSKTFADLNIELFRNHKFKTVLNYLIGVVGWNGL KVALFVSDVYTCIKLLAFNSWSNNIIKPYLSFKISKWLFSGCILASIVLLIWEAIAGM RIYRTGNISLTYVNNFSRNLNSVLNYSKFCVYNMIERKGFRQKMTFFTFFQLKDCIRL IFTDTPRQVINGLTLWSVLVTVNKNEDLGDLESFTGLINKIKNIGQTNHEEAVILSLM LFSFIIWALFVFKFLLAIVCSIFVYYKIINDQQYSGLREYICVTVSENVDELVERQRK KENDNTIYKTGLLESQTFDDFKEVENTVESSFNDTSYTSNNDSTIELIERRPECISQD ACGPISTMKKTETMESFVDNSNPQYATRFSAILDSPYINSYNGNNIEKTKTQKRSVVD TPKYEDLSSSGNFNQIPFTTRLLKSTTSTEFRQPLDSIPNTSNNLQKLNSNSSRPRPP RLQTSGLMNLNAASNDNERIYTPMKAYFREADLPRKGLLEDEDRAFFYT SPAR_J02370 MAAALVKCGICHDGDGKYKCPRCGVRYCSLKCYKDATKHVHEES EQPEASIKANAEVLNKDNFIDGTPAMKKTLKTKAFDDIYQNNAQLQELLKYNTVKFHL TKVYRILSSSVKDGSSGKMNSDLQKELAVNYLNTLRYGGIHYNEAIEEFCQILLDRLN TVKK SPAR_J02380 MEQMHSLESSLPPEQPPTKQAIENLNLELSQEFKLAANAVTRLY RVANEKNSLTKHQGYLTCLDDILCALDSNVTTDELRAWCYKRRNDILSNSQDKSSNPV KERERKLNKFSENQHRENDTHKEPSRKDIEVKYNFSFNESNGDLSNINGNVSPKFRLS MPPLSVEHPARNANRIKSWKVRTINHGRGDMRNLNDIIALGNEREREHENLHHEKKPK LDSDSEADVFHQDMEP SPAR_J02390 MGRGKLILIEGLDRTGKTTQCNILYKKLQPNCKLLKFPERSTRI GGLINEYLTDDGFQLSDQAIHLLFSANRWEMVDEIRKALLEGKNIVMDRYVYSGVAYS AAKGTNGMDLNWCLQPDIGLLKPDLTLFLSTQDVDNNAEKCGFGNERYETVQFQEKVK QTFITLLENEMRKGDESIRIVDVSNRGIQEVEALIWQMVEPVLTTHIDHDKFSFF SPAR_J02400 MAVQFILCFNKQGVVRLVRWFDVHSSDPQRSQDAIAQIYRLISS RDHKHQSNFVEFSDSTKLIYRRYAGLYFVMGVDLLDDEPIYLCHIHLFVEVLDAFFGN VCELDIVFNFYKVYMIMDEMFIGGEIQEISKDMLLERLSILDRLD SPAR_J02410 MVGNSKDKEVHKSPSVSTLKLLGKRLFNSSSHTDNSSLLLSAEQ LGNGRSLRKRPTSPSIGGSGSGGNSPSSSAGARQRSASLHRRKNNASVGFSNGPVSSH KSSAALQDLIKHNNNPYLNSPSDILGTGTGISSARDRDRIVLDREKEKERARNKERNT HHAGLPQRSNSMASHHFPNENIVYNPYGISPNHARPDTAFADTLNMNKENDLSFYMHD GNSKIRMLPLPIANPNDFLPEDMKQYSVHLTDNFVFDTDNKPIGSGGSSEVRKVKSSY RQKDVYALKKLNMIYHESPEKFYKRCSKEFIIAKHLSHNVHITKTFYLLKVPTTTYTT RGWGFIMELGVKDLFQLMERTGWKNVPFNEKYCLFKQVAQGIKFCHDNGIAHRDLKPE NVLISKEGICKLTDFGISDWYHVVPHDYTSPVKTCQGMIGSPPYTPPEVMYFDAKKHY PEKFQKPYNPLAMDSYALGIMLITMINNIIPFIDSCNTDARFREFEVSYDNFINHQNP HFRDKGCHKPGPGSEYSLARNFKNTDATRIAWRLADPNPATRYTMDDLFDDPFFQQIE TCVEPSDDDLVRVPELRKSTSTNDFTENSSDTPCDQEVVHTSNPFLKKETLTSKPRSM LEIAESPSLKQKSKVKDNAKTKSHDLADEGGHENIKSKQQDNNENLKKDEVKNLDKNK AIEDVTTTNVDSILEKPTPTSTKVENNQSEDDSTMKELKSMLNSTPTTPTHNGPTPLP AKAGTQLDKRMSDLSLKSETPASPKNYSAPNISSSSNSLRSLGSPSVSSSKKKKAIHH HLDITNSVTNMSSVSAFISR SPAR_J02420 MNSLANNKLSTEDEQIHSARKRAYNEGQNSSDARKKQRDQGLLS QESNDGNIDSALLSEGATLKGTQSQYESGPTSNQNEKEGDENPSVAEAAVAATVNYTD LIQGQEDGSDAHTSKQTNANDERKDSMNGRGATTPSNERVKPNSSLEGMSSSPMESAQ QSKNDMLIPLAEDDRGPEHEQDDEDDDDADIDLKKDISLQPGRRGRKPTTLATTDEWK KQRKDSHKEVERRRRENINTAINVLSDLLPVRESSKAAILARAAEYIQKLKETDEANI EKWTLQKLLSEQNASQLASANEKLQEELGNAYKEIEYMKRILRKGGIEYEDMHAHKKQ ENERKGTRSDNPHNA SPAR_J02430 MMLSLRRFSMYVLRSLRLHFKKIAVILLAVQLLFIIVFVFSGRS PIIDSNWKSFTAPFFEPVTHADKNNNYAAFDLRSKDNVARLYEKMNFDTSGEWIDTYT LRNNLLTVKMGSEKGQVLDSVDELRYYDSDPRLVWSVVLDHLLESDSNEYAFSWYDWA NFDSTNKLIALRHTNISCQFVCEGAFDKEMLERVEGEVQEPLFVTNRNKYDESLWYDR VRKIVDPNLVQQVIHDHCKNNDAYSNGTPFELPFIISGTSERLRPEVYDLQAKNHLLY SNFTPLSLTVLDSDKDAYRIDLKKTDSSKSNIVQTNLLQNYIQRHRNEIVNGDLIFNH TSIFEKFLHHESTKKRKLDVEGLDKTIFAREYLELSPSDFHFDAKEKITELETRLRSE GISLHDTHYLQSLKSSVSTAPALQKKYFAEASDITDATADGHHRDKRFFSIGHNLLND PQEFEARLSSLIRTFQKFVKANGLISWLSHGTLYGYLYDGLKFPWDVDHDLQMPIKHL HYLSQYFNQSLILEDPREGNGRYLLDVGSAITVRVHGNGENNIDARFIDIDSGIYIDI TGLSVSSDAAKQYMSKFVEEESSGKSFSDLIKDYKFDENDRFDEVEDSEGLAKYTIYE LMEWVNSHPDDFTDAERSFVTKTYKKELAISRSDYAEKDLPPKQRYLLNEKYNLYNCR NQHFSSLNILSPLRNTMFNGVPAFIPNRPIATLNNEYKVPQKYGLLSFQGKVYLPEFR YWFSFADMKKFANLQLKEPKITRLESPLNDLKFSDISLLVTNIIKCGFHSVFASLFNS FDSTVYRLKELEIQYDRSLSEEEKSSLLKTLRRGMSKKIKSPEKDPIIYIYERKLWEN VEKLLNASNIYNIASQVEKEKIKEFVEWSQQVYERKFDGFKLPDGGNGNTVTDLNSKG LNLFGDNKKTSNNIFGSDQKY SPAR_J02440 MLIDAIHGAKMTTKLLVSLKVLVIQLNPQIGQVDQTIKRTWSIL DKVMKSATYVKPDIVLFPEFSLTGYSFHSKKDILPYVTKKDEGPSFQLAKSISEKLQC YTIIGYPEKDDEQKLYNSALVINPQGEQVFNYRKTFLYDTEMNWDCEENPEGFQTFPM NFSKCAKLPNEDSYTRDVTLKTSIGICMDLSPYKFKAPFNHFEFSSFCVDNNVELILC PMAWLNSTSITDKQTLHNNSLLESAKNKIAFDLKEQGLPLTGSQGVYQLKIGDSQRTA RVPSDESTSEYKDMDEPDMSNVNYWILRFFPFLYYKPRTDWFNNSSLLENILIKTRMP PDHEYYKDGKHKEDTMDLLNSEDMVRDAILEKTFLGASIRKPWKFQGKNAVLVVANRC GTEDGTTIFAGSSGVYKFNGKEPGDLQNDDDIPLDSLNESVELLGNLGKGLEGAILRE VHFEVLR SPAR_J02450 MSVVGSLIFCLDCGDLLENPNAVLGSNVECSQCKAIYPKSQFSN LKVVTTTADDAFPSSLRAKRSVVKTSLKKNELKDGATIKEKCPQCGNEEMNYHTLQLR SADEGATVFYTCTSCGYKFRTNN SPAR_J02460 MAARPQQPPMEMPDLSNAIVAQDEMGRPFIIVKDQGNKKRQHGL EAKKSHILAARSVASIIKTSLGPRGLDKILISPDGEITITNDGATILSQMELDNEIAK LLVQLSKSQDDEIGDGTTGVVVLASALLDQALELIQKGIHPIKIANGFDEAAKLAIVR LEETCDDISASNDELFRDFLLRAAKTSLGSKIVSKDHDRFAEMAVEAVINVMDKDRKD VDFDLIKMQGRVGGSISDSKLINGVILDKDFSHPQMPKCVLPKEGSDGVKLAILTCPF EPPKPKTKHKLDISSVEEYQKLQTYEQDKFKEMIDDVKKAGADVVICQWGFDDEANHL LLQNDLPAVRWVGGQELEHIAISTNGRIVPRFQDLSKDKLGTCSRIYEQEFGTTKDRM LIIEQSKETKTVTCFVRGSNKMIVDEAERALHDSLCVVRNLVKDSRVVYGGGAAEVTM SLAVSEEADKQRGIDQYAFRGFAQALDTIPMTLAENSGLDPIGTLSTLKSKQLKEKIS NIGVDCLGYGSNDMKELFVVDPFIGKKQQILLATQLCRMILKIDNVIISGKDEY SPAR_J02470 MSYLNNPAVVMDNGTGLTKLGFAGNDSPSWVFPTAIATAAPSNT KKSSGVGAPSAASSEASYFGNSTSATNFNGATGGLLSNNLSGKRGTEDLDFYIGNEAL VASQGPSYSLSYPIRHGQVENWDHMERFWENSIFKYLRTEPEDHFFLLTEPPLNPPEN REQVAEIFFESFNCAGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPV AEGYVIGSAIKNIPIAGRDITLFIQSLLRERGEADTSLRTAEKIKQEYCYVCPDIVKE FNKFDRDPSKFAQFVVENQEKTRRKVVDIGYERFLAPEIFFNPEIASSDFLTPLPTVV DQTIQACPIDVRKGLYNNIVLSGGSTMFKDFGRRLQRDLKSIVNNRIAQSELLSGTKS TGVDVSVISHRKQRNAVWFGGSLLAQTAEFKGYCHTKKDYEEYGPEIVRNFSLFNMV SPAR_J02480 MKPHEEQIWKRSVLKAANNDMDMDRNVPLAPNLNVNMNMKMIAS RNGDETGLISSRLGGVVIGSNGDVNFKPILEKIFRELTSDYKEERKLASISLFDLLVS LEHELSIEEFQVVSNDINNKILELVHTKKTSTRVGAVLSIDTLISFYAFTEGLPNETS RLAGYLRGLIPSNDIEVMRLAAKTLGKLAVPGGTYTSDFVEFEIKSCLEWLTASTEKN SFSSSKPDHAKHAALLIITALAENCPYLLYQYLNSILDNIWRALRDPHLVIRIDASIT LAKCLSTLRNRDPQLTSQWVQRLATSCEYGFQVNTLECIHASLLVYKEILFLKDPFLN QVFDQMCLNCIAYENHKAKMIREKIYQIVPLLASFNPQLFAGKYLHQIMDNYLEILTN ASAKKIPHLKDDKPQILISIGDIAYEVGPDIAPYVKQILDYIEHDLQTKFKIRKKFEN EIFYCIGRLAVPLGPVLGKLLNRNILDLMFKCPLSDYMQETFQILTEKIPSLGPKIND ELLNLVCSTLSGTPFIQPGSPMEIPAFSKERAREWRNKSILQKTGESNDDNNDIKIII QAFRMLKNIKNRFSLVEFVRIVALSYIEHTDPRVRKLAALTSCEIYVKDSICKQTSLH SLNTVSEVLSKLLAITIADPLQDIRLEVLKNLNPCFDPQLAQPDNLRLLFTALHDESF NIQSVAMELVGRLSSVNPAYVIPSIRKILLELLTKLKFSTSSREKEETASLLCTLIRS SKDVAKPYIEPLLNVLLPKFQDTSSTVASTALRTIGELSVVGGEDMKIYLKDLFPLII KTFQDQSNSFKREAALKALGQLAASSGYVIDPLLDYPELLGILVNILKTENSQNIRRQ TVTLIGILGAIDPYRQKEREVTSTTDISTEQNAPPIDIALLMQGMSPSNDEYYTTVVV HCLLKILKDPSLSSYHTAVIQAIMHIFQTLGLKCVSFLDQIIPTILDVMRTCSQSLLE FYFQQLCSLIIIVRQHIRPHVDSIFQAIKDFSSVAKLQITLVSVIEAISKALEGEFKR LIPLTLTLFLVILENDKSTDKVLSRRILRLLETFGPNLEGYSHLIIPKIVQMTEFTSG NLQRSAIITIGKLAKDVDLFEMSSRIVHSLLRVLNSTTNDELSKVIMNTLSLLLLQMG TSFAIFIPVINEVLMKKHIQHTIYDDLTNKILNNDILPTKILEANTTDYKPLEQMDAA DASVTKLPINQSVLKSAWNSSQQRTKEDWQEWSKRLSIQLLKESPSHALRACSNLASM YYPLAKELFNTAFACVWTELYSQYQEDLIESLCIALSSPLNPPEIHQTLLNLVEFMEH DDKALPIPTQNLGEYAERCHAYAKALHYKEIKFIKEPENSTIESLISINNQLNQTDAA IGILKHAQQHHSLQLKETWFEKLERWEDALHAYNEREKAGDTSVGVTLGKMRSLHALG EWEQLSQLAARKWRVSKLQTKKLIAPLAAGAAWGLGEWDMLEQYISVMKPNSPDKEFF DAILYLHKNDYEDASKHILNARDLLVTEISALINESYNRAYSVIVRTQIITEFEEIIK YKQLPPNSEKKHHYQSLWTKRLLGCQKNVDLWQRVLRVRSLVIKPKQDLQIWIKFANL CRKSGRMRLAKKALNMLLEGDSDPRLPNTAKDPPPVVYAQLKYIWATGAYKEALNHLI GFTSRLAHDLGLDPNNMIAQSVKLSSASTAPYVEEYTKLLARCFLKQGEWRIATQPNW RNTNPDAILGSYLLATHFDKNWYKAWHNWALANFEVISMVQEETKLNGGKNDDDDDDD TAVNNDHARTDGSILGSGSLTINGNRYPLELIQRHVVPAIKGFFHSISLLESSCLQDT LRLLTLLFNFGGIKEVSQAMYEGFNLMKIENWLEVLPQLISRIHQPDPTVSNSLLSLL SDLGKAHPQALVYPLTVAIKSESVSRQKAALSIIEKIRIHSPILVNQAELVSQELIRV AVLWHELWYEGLEDASRQFFVEHNIEKMFATLEPLHKHLGNEPQTLSEVSFQKSFGRD LNDAYEWLNNYKKSKDVNNLNQAWDIYYNVFRKITRQIPQLQTLDLQHVSPQLLATHD LELAVPGTYSPGKPTVRIAKFEPLFSVISSKQRPRKFSIKGSDGKDYKYVLKGHEDIR QDSLVMQLFGLVNTLLKNDSECFKRHLDIQQYPAIPLSPKSGLLGWVPNSDTFHVLIR EHRDAKKIPLNIEHWVMLQMAPDYENLTLLQKIEVFTYALDNTKGQDLYKILWLKSRS SETWLERRTTYTRSLAVMSMAGYILGLGDRHPSNLMLDRITGKVIHIDFGDCFEAAIL REKYPEKVPFRLTRMLTYAMEVSGIEGSFRITCENVMRVLRDNKESLMAILEAFALDP LIHWGFDLPPQKLTEQTGIPLPLINPSELLRKGAITVEEAANMEAEQQNETRNARAML VLRRITDKLTGNDIKRFSELDVPEQVDKLIQQATSIERLCQHYIGWCPFW SPAR_J02490 MSNTWDDVWASDSDVETEGSPDLVKLRENHSKRGYLDGIVNSKE ENLQEGFNDGFPTGAKLGKQVGVIMGILLGLKTRFGDKDEDLSKAYIEALKELRINKV LSKSIFDPNFDLQEKHPLITKWTDIANSYCEKYHVPSI SPAR_J02500 MFEGFGPNKKRKTSKLAAEQSLAQQPWVEKYRPKNLDEVTAQDH AVTVLKKTLKSANLPHMLFYGPPGTGKTSTILALTKELYGPELMKSRILELNASDERG ISIVREKVKNFARLTVSKPSKHDLENYPCPPYKIIILDEADSMTADAQSALRRTMETY SGVTRFCLICNYVTRIIDPLASRCSKFRFKALDASNAIDRLRYISEQENAKCDDGVLE RILDISAGDLRRGITLLQSASKRAQYLGDGKNITSTQVEELAGVVPHDILIEITEKVK SGDFDGINKYVNAFMKSGWSAASVVNQLHEYYITSDNFDTDFKNQISWLLFTTDSRLN NGTNEHIQLLNLLVKISQL SPAR_J02510 MGNNEIVFVTGNANKLKEVQSILIQDVDSNNKTIHLINEALDLE ELQDTDLNAIALAKGKQAVAVLGKGKPVFVEDTALRFDEFNGLPGAYIKWFLKSMGLD KIVKMLEPFENKNAEAVTTICFADSRGEYHFFQGITKGKIVPSRGPTTFGWDSIFEPF DSNGLTYAEMTKDAKNAISHRGKAFAQFKEYLYQNDF SPAR_J02520 MSTNFEKHFQENVDECTLEQLRDILVNKSGKTVLANRFRALFNL KTVAEEFATKPEEAKKAIEYIAESFVNDKSELLKHEVAYVLGQTKNLDAAPTLRHVML DQNQEPMVRHEAAEALGALGDKNSLDDLNKAAKEDPNIAVRETCELAINRINWTHGGA KDKENLQQSLYSSIDPAPPLPLEKDASIPELQALLNDPKQPLFQRYRAMFRLRDIGTD EAVLALATGFSAESSLFKHEIAYVFGQIGSPAAVPSLIEVLGRKEEAPMVRHEAAEAL GAIASPEVVDVLKSYLNDEVDVVRESCIVALDMYDYENSNELEYAPTAN SPAR_J02530 MSLSTIICIGMAGSGKTTFMQRLNSHLRAEKTPPYVINLDPAVL RVPYGANIDIRDSIKYKKVMENYQLGPNGAIVTSLNLFSTKIDQVIKLVEQKKDKFQN CIIDTPGQIECFVWSASGAIITESFASSFPTVIAYIVDTPRNSSPTTFMSNMLYACSI LYKTKLPMIVVFNKTDVCKADFAKEWMTDFESFQAAIKDDQDLNGDNGLGSGYMSSLV NSMSLMLEEFYSQLDVVGVSSFTGDGFDEFMQCVDKKVNEYDQYYKQEREKAMNLKKE KEEMRKQKSLNGLMKDLGLNEKNSAAANRAASDNDSIDAISDLEEDANDGLVDRDEDE GVEREYTFPGEERTKGEVNENSAPDLQRRYQEAMQQVGKTASSETAENIAKYIRN SPAR_J02540 MKDSVQEIIQQLIHSVDFQSSKFQLAIMCTMFNPIFWNIVARME YHKHPLTKMCGGAKKGCYMLAATIFSLGIIRDMVYESALREQPTCSLITGENWTKLGV AFFGVGQVLVLSSMYKLGVTGTYLGDYFGILMDERVTGFPFNVSNNPMYQGSTLSFLG MALYKGKPAGLVVSAIVYFMYKIALRWEEPFTAMIYANRDKAKKHM SPAR_J02550 MNNKEVELYGGAITTVVPPGFMDASSLREVPDTQEVYVNSRRDA EEFGDGLATNESIIVDLLETVDKADLKKAWEFHVEDLTELNGTTKWEALQEDIIQQGT KLTGLVMEVANKWGKPDLAQTVVIGVALIRLPQFDTDAVISINVPLTREEASKASNKV LPLRCHAVYQLLQEMVQKFHVVDTSLFA SPAR_J02560 MGKTTKRASSIRRLMVFAIVALISLALAVRYLFHNSNATDLQKI LQNLPKEISQSINSANNIQSSDSDLVQHFESLAQEIRYQQEVQAKQFDKQRKVLEKKI QDLKQTPPEATLRERIALTFPYDSHAKFPAFIWQTWSNNEGPEHVQDIKGMWESKNPG FAHEVLNHDVINALVHHYFYSIPEILETYEALPSIILKIDFFKYLILLVHGGVYADID TFPVQPIPNWIPEELSPSDIGLIVGVEEDAQRADWRTKYIRRLQFGTWIIQAKPGHPV LREIISQIIETTLQRKKDDQLNVNLRNDLNIMSWTGSGLWTDTIFTYFNDFMRSGVSE KVTWKLFHNLKEPKLLSDVLVFPKFSFNCPNQIDNDDPYKKFYFITHLASQFWKNTPK VEQK SPAR_J02570 MSGIIDASSALRKRKHLKRGITFTVMIVGQSGSGRSTFINTLCG QQVVDTSTTILLPTDTSTEIDLQLREETVELEDDEGVKIQLNIIDTPGFGDSLDNSPS FEIISDYIRHQYDEILLEESRVRRNPRFKDGRVHCCLYLINPTGHGLKEIDVEFIKQL GSLVNIIPVISKSDSLTRDELKLNKKLIMEDVDRWSLPIYNFPFDEDEISDEDYETNM YLRTLLPFAIIGSNEVYEMGGDVGTIRGRKYPWGILDVEDSSISDFVILRNALLISHL HDLKNYTHEILYERYRTEALSGESVAAESIRPNLTKLNGSSSSSTTTRRNTNPFKQSN NINNDVPNPTSDMHGQSTGENNETYMTREEQIRLEEERLKAFEERVQQELLLKRQELL QREKELREIEARLEKEAKIKQED SPAR_J02580 MSLSAAPVIPQYSVSDYMKFALAGAIGCGSTHSSMVPIDVVKTR IQLEPTVYNKGMVGSFKQIIAGEGAGALLTGFGPTLLGYSIQGAFKFGGYEVFKKFFI DNLGYDTASHYKNSVYMGSAAMAEFLADIALCPLEATRIRLVSQPQFANGLVGGFSRI LKEEGVGSFYSGFTPILFKQIPYNIAKFLVFERASEFYYGFAGPKEKLSSTSTTLLNL LSGLTAGLAAAIVSQPADTLLSKVNKTKKAPGQSTIGLLAQLAKQLGFFGSFTGLPTR LVMVGTLTSLQFGIYGSLKSTLGCPPTIEIGGGGH SPAR_J02590 MNNTYTTGLQVLHRTKMRPLPVLEKYCISPHHGFLDDRLPLTRL SSKKYMKWEEIVAELPSLLQEDSKVRGVIDGLDVLDLDDTILGDVRELRRAYSILGFM AHAYIWASGTPRDVLPECIARPLLETAHILGVPPLATYSSLVLWNFKLADKCKKTETG CLDLENITTINTFTGTVDESWFYLVSVRFEKIGSACLNHGLQMLRAIRRGDKEDAAVI GAVVIDGLKNLAATIERLSKTLMEMELKCEPNVFYFKIRPFLAGWTNMSHMGLPQGVK YGAEGQYQIFSGGSNAQSSLIQTLDILLGVKHTANAAHSSTGNSKVNYLDEMKKYMPR EHREFLYHLESVCNIREYVSLDPSNQPLQEAYGRCISMLKIFRDNHIQIVTKYIILPS NSKQHGSNKPGILSPIEPNTKTSDCSRSKAASSKTIGTGGTRLMPFLKQCRDETVATA EIKNENKN SPAR_J02600 MISSRVNTRVWQRSISLLSPQAAKTESTVVSREKTYIENLSKDI ATSRFKLVDENGKIASITVQPDIPICIKKDCLVSIHNLNHLSLSYKWLNFWSNLIKFR SFKSSLFHRIIGSSSLEILAAPNFETSGRPFNSSRSLSVLNLTGTKDWNVFGKDSIIA FEQNSSLEIKSPIFPTARSLVSSSAKSRLPRKFQILNGRGNVLVCGGGSVYSIELIDE SDKILVNSRNILAINGQSQLDIANSVERQELHVESAYVGDTSNDKVVPKFIKNQTLKS AYGHSVRFFKRMGSWIRNQYEKRYIYGIDSYFMKVKGPRTILIQTHEMTTSKDNILTK LTSKGHVKKSNGNDNDVNLEEEVANDVNSKIIELANRPSLFIATVSQNGKVDFQSTSK FI SPAR_J02610 MADELKCYEALKAELKKSLQDRREQEDTFDNLQQEIYDKETEYF SHNSNNNHSGHGGAHGSKSHYSGNIIKGFDTFSKSHHSHADSAFDNNDRIFSLSSATY VKQRHGQPQND SPAR_J02620 MSEDQRVISQPIELHKLSIVDKHSQDQQQQSHQQQQQVQSGSQS PRVTTPLKPKRLAIPISSPQRSTTNQSPVSDHASPISTDQDLIYKLAAKHREISELNF KLEVAQKELKQLEFQFKDTLPRNEQQKLSNQNTSEYLSTFTRKIQQTFVDVNNSPNML KGKKSINDFFNKTNRNVNSNINNPLPNRRPNLSPNRSQRMQNAAPGRSSESNLSPAPP SLPPRNPAKNTNTTATAEANTPFLQRILNKFNQMNMEEDEFDDLLDKGKSKKDNYYIK ENLGYEYDEVRSEDEDDEEFEPMGDIPVHLFKR SPAR_J02630 MPEESLHGNRKVQQRLIQPTMDVDIGCYFKEKRYDDKLLDFIRY DIKTPKKTKYTLQRSSGTDEESVRLQRFYQLGIDLKLKYFKRRSLKKQGRIKNATEEL LQLANEQLKLFNRIVERETSWIIYPLWVMAKQLILLANESRELNKESIEGCGRTIHRS FTICLNDRNPRLNENKKVGCYMFANLEFSIYHLLKNKDMIKNLVKVLESRVNALDIPP LNKSLAMEHKSQVVLYNYYLGQYYGCLENDHERGFFHLNEALLQCPMLYVESTGKFVL QSQMEKIMILLIPLALLTKRLYPNWDHPVIVGIIARSKRLSQVYPALVRSVITGNLPL YDATAANHERFFLKQGLHVVVTLLREVVFTRLVQRCWQWGNDRKSIMPLKILLAIQQH HSSVNEDEEEQLNALECRLASAIASGLLRAYLSHSNRCIVLSRKDPFPHSK SPAR_J02640 MEHPAYTLSFLTTAGGLMGYYRKGSIPSLVSGLVFGSVYGIAGY LLHMNRDGGLEMALGASTLLLGAGVIRGMPSRFTKPVPVVLTALGGLGSYYYYNKYKE FYP SPAR_J02650 MSSAQNSPRLQESQEQQQQQLSLKIKQLKLRRINELNNKLRKEL SRERITASNACLTIINYTSNTKDYTLPELWGYPTAGSNHFIEGLKNAQKNSQMSSSNT ACCTLM SPAR_J02660 MLKDLVREKLLTIMNTKAYTQFNPEQLLQLENEVKIYMKSGDSA LTEGNYFFLMEMLFYVLVYRNQDVDAQVVYNTLRDRLGEISYKMVIMKATLLQINGDD KGAIEYLENLLKDDLEYETDFVTYVSIAKKLIAIKTSSRNLSQESILKELVALTDKFP LDAELWWYASEIYFEMGQFEKARYCLEQVLCLTPFNYACFGRLSETLYYEALRSKKQV KAELLNKALKNALRSVELSELYLKGWALVNIISREMGRTKQNDLIKLSASKLTEISTK SNNRDKITAELILNKI SPAR_J02670 MNGQIDKKEKRYSMTKLEDRFRTFQDGVALEKKKLKWSFKVIPY QAMAKLGFYFDPVIDPKTSKLKKDSVGCCYCHRRTFNVRDCRSKRKDVIETLSNIMRK HLTDPDNKQVCLLIYLRNKLLTDYSFHMGVSDWKNDKYFSDPDNEDVVSLRKFTFEDN WPHDDSQNEHPLGIEKMVNAGLIRYDSSIEGLSNPNMDKTLMNDACYCIYCKQLLQGW SVNDDPMRRHYKVSQNGNCHFFQTCNRFEGVRNDNGSTGISNAIGEDCEVSLTQEEKR EGEVIDVKSTSQSQYSLFDSPSSNSTPQINDDDDEQTNNSVIQHNMSVLNGTKAENGK QNIVEEKGQINSKNWGITLDGGDINCDIAVDKKDIISTPTAIEAKRSNVQLTQLSSPI RKKRKFKRISPRKIFDEEDSQNSLSNNTVSADNKDKDLVIDFTSHIIKNRDVGRKNAI LDDSTDEFSFSNQGHSTFDIPIPTSSHLLKGIDSDNNNVIQEDNIGERTDTKGAFFEG ENSPVNSEADVYFSGMKPIGRDNNTNILIRTQTVGQKVGDVDTDKVPYSGSPELSETH ELIEDNSGKEEKRIGDFRHQNESNIRQPSDMLHSDKLSGNSSNITVPPKGERNISDGE TSNISADVLPRPKKNSEEPRRLSLSGKAVSTQRKLDNININLSFSSSDFSPSSQSEHT SKSSSAISTPIESPKINLTPSLHAVEEISGLKKDKINDTYLINKQETIKAPEYVSVKN ETSGDEGLFFETGTPIASQENKSRKLFDEEFSGRGLDIPIDSSTVEIKKVLKPGFEPV PPVADNLAPNTDLRPEHSRLKEQQKEINSNLVIGSKKVSSSNEDNRKKEADTGEWFKI DENRLLVKNYFHDLLKYINNNDATLANDKDGDLAFLIKQMPSEELDMTFSNWVNVKVK SIKREFIDDCDKKLDILRKNYYTATNFVETLEDDDQLINIAKEMGIL SPAR_J02680 MEQDNNNNSDSNRLRAPNMHSNLGPQVWLNSGDDFDSNNNNSNN NDNNNTRPQMPSRTRETAILERNANEISDQTLNNIFRFDNVQRETFSPNNNGQPLNQR FSLTFQPQQQTALNGIDINTVNTNLMNGVNVQIDQLNRLLPNLPEEERKQIHEFKLIV GKKIQEFLVVIEKRRKKILNEIELDNLKLKELRIDNSPQAISYLHKLQRMRLRALETE NMEIRNLRLKILTIIEEYKKSLYAYCHSKLRGQRVENPTDNFIVWINSIDTNESSDLK EGLQDLSRYSRQFINNVLSNPSNQNISTSMTRRTSVFALNMLPSEILHLILDKLNQKY DIVKFLTVSKLWAEIIVKILYYRPHINKKSQLDLFLRTMKLTSDETVFNYRLMIKRLN FSFVGDYMHDAELNYFVGCENLERLTLVFCKHITSVPISAVLKGCKFLQSVDITGIRD VSDDVFDTLATYCPRVQGFYVPQARNVTFDSLRNFIVHSPMLKRIKITANDNMNDELV ELLANKCPLLVEVDITLSPNVTDSSLLKLLTKLVQLREFRVTHNTNITDNLFQELSKV VDDMPSLRLIDLSGCENITDKTIERIVNLAPKLRNVFLGKCSRITDASLFQLSKLGKN LQTVHFGHCFNITDNGVRALFHSCTRIQYVDFACCTNLTNRTLYELADLPKLKRIGLV KCTQMTDEGLLNMVSLRGRNDTLERVHLSYCSNLTIYPIYELLMSCPRLSHLSLTAVP SFLRPDITMYCRPAPSDFSENQRQIFCVFSGKGVHKLRHYLVNLTSPAFGPHADVNDV LTKYIRSKNLIFNGETLEDALRRIIIDLNQDSAAIIAATGLNQINGLNNDFLFQNINF ERIDEVFSWYLNTFDGIRMSPEEVNSLLLQVNKTFCEDPFSDVDDDQDYVVAPGVNRE INSEMCHIVRKFHELNDHIDDFEVNVASLVRVQFQFTGFLLHEMTQTYMQMIELNRQI CLVQKTVQESGNIDYQKGLLIWRLLFIDKFIMVVQKYKLSTVVLRLYLKDNITLLTRQ RELLIAHQRSAWNNNNDNDANRNANNIVGIVSDAEANDVNSNETNSGNDDNETENPNF WRQFGNRMQISPDQMRNLQMGLRNQNMVRNNNNAIDESMPDTAIDSQMDDASGTPDED ML SPAR_J02690 MDKSKQMNINNLSNIPEVIDPGITIPIYEEEYENNGESSSGQLQ QQPQKLGSYRSRAGKFSNTLSNLLPSISAKLHHSKKNGHGKNGAEFSSSNNSSQSTVA SKTPRASPSRSKMMGSSIDGVTMDRPGSLTPPQDMEKLVHFPDSSNTFLIPAPRGSSD SFNLPHQISRTRNNTMSSQITSISSIAPKPRTSSGIWSSNVSATDPMQQHLLQQLQPT TSNNTNNSNTLNDYSTKTAYFDNLVATSGSQMVDNKMSANNLAIPNSLWSNTRQRSQS NASSIYTDAPLYEQPARASVSSHYTIPTQESPLIADEIDPQSINWVTTDPTVPSINQI SNLLPTNTISISNVFPLQHQQPQLNNAINLTSTSLATLCSKYGEVISARTLRNLNMAL VEFSSVESAVKALDSLQGKEVSMIGAPSKLSFAKILPMHQQPPQFLLNSQGLPLGSEN SNLQPQPLLQEQLFNGSVTFQQQGNVSIPVFNQQSQQPQHQNHNSGSAGFGNVLHGYG NNNNMHGNNNNSANEKEQCPFPLPPPNVNDKEDSLREIIELFNAKADEYQINSLIKKS LNHRGTSDTQNFGPLPEPLSVREFDPPKLRELRKSIDSNLFSDLEIEQLAIAMLDELP ELSSDYLGNTIVQKLFEHSSDIIKDIMLRKTSKYLTSMGVHKNGTWACQKMITMAHTP RQIMQVMQGVKDYCTPLINDQFGNYVIQCVLKFGFPWNQFIFESIIANFWVIVQNRYG ARAVRACLEAHDIVTPEQSIVLSAMIVIYAEYLSTNSNGALLVTWFLDTSVLPNRHSI LAPRLTKKIVELCGHRLASLTILKILNYRGDDNARKIILDSLFGNVNAHDSSPPKELT KLLCETNYGPTFVHKVLAMPLLEDDLRAHIIKQVRKVLTDSTQMQPSRRLLEEVGLAS PSSTHNKTKQQQQQHHNSSISHMFATPDASGQHMRGLSVSSVKSGGSKHTTMNTAATT GSSASTLSPGQPLNANSAMGYFSYPGVFPVSGFSGNANNGYAMNNDDLSSQFDMLNFN NGTRLSLPQLSLTNHNNTTTELLNNLGSSQTHTNNNNNNNNNNNNMNYNNDSAVFETM TLHSAN SPAR_J02700 MHDAETTVDSLLKEIDNEMEQTKSNAVQNGSENAPNNWKLPLQE IGDDTMEMLVKHNTRSNATEKSRGRSPSRRSVISNESFSLGLLGVNSELEESPVAVHQ ERIKNSVSNGSLYHASSPKVLNNLKNMAQDIDKLACDEEKPAKLSSSPLKFTLKSTQP LLSYPESPIHRSSIEIETNYDDEDEEEEDDAYTRLTQSPQILHSPSRIPITNAVSINK LNLDFTLNSNESDKGLLSDTSVDSTEQELNTKTIPELPCYMSSTPEMTPVDGKCSLSS KLLNINSKSHSDSKSPTASVEDLNISMNLPETGFGQDNPLTTDTDALIENDVVQELQQ NMEHVNDIFDEEKVLSECYNKEPVDFLGENDTTSVIYRNNEPNTNVEDFSRKASLGHN ESKFKDVDTKSDDIWKDEKGTDADVSTSTKSEEGYIADYKVMRQENRGIKKLDQESEH ENKQPVITHQKDASEERYTGLNIENESYGNCGDEVEHEAVQAEENQPRQVANSLEDNR IYDRESDFDHKGIAKVNNPLAKSCAEEHFPSSLSENLSVIDNYREDRVEEREAEIKDE NIENEKNENEYNKIEEKGEQDHVPLLPPLPRWEAIQFNEPFTDENDTSNDSIDLTRSM KPSDYISIWHIQEEEIKSTSPESIANSQFSQQSTVTTASTIDSKRENGPTSFKFKPRI VSRSRIYNPKSRVSSLNYYDSEDYILNNSEWNALDPMRRNTLISKRIQDNIRTQKGLT PFIRPSVMKLHGEDSGFQNHILEEEQSQESENIPLNTQLSEQEITTEVSPDEQKLSTN TQDETRVSIREIESAGDITFNRSDLLSLSIDGELGQDFANFLDALDHDSTSFNYGPDD SASFQKDSSKKSFNSLWESNYELKPPPSIRKQPIAPDVLQKLLESDTKNDTELEKNKE ERINEPRTGLGIGTLKTPVKDVSIALAASIRGYEASFSDTDSPPEGMTNSDAITLNMF DDFEEDEMTPCTPTRSISISPVKRHISSPFKVVKAGNKQTNDGINVKSEEEVEPVKRG ETDDLKQATPPPLTQAKANAETKEEINTQLEEPQDSKEDFPDMGTLYLSIKAISTLAL YGTKSHRGSYAIVFDNGENVIQTPWESLPYDGNIRIDKEFELPIDFIKKGDSSSASSE RDGYKKCVITLKCKYERPRHELVEVVDKVPVGKSFFGKTKYKFEKKYVQKKAKQDEWD YLFAQDGSFARCEIEIDDEFLNNVAFNNNHMRYDMINKWSRIADKIHGSKKLYELPRR APHKVASLNVEACFLGRTSAFEQFPKQFSLVRKIISKYKLQQNIYKEGYLLQDGGDLK GKIENRFFKLHGSQLSGYHEISRKAKIDINLLKVTKVLHNEDIQADDGGQRNFTDWVL FNECFQLVFDDGERITFNAECSNEEKSDWYNKLQEVVELNVFHQPWVKKYCEKLAIGE KEKTTSYQLK SPAR_J02710 MSSSEDEDDKFLYGSDSELALPSSKRSRDDEAEADAVNNPDIVK RQKYDSPEEEIPATAKDDLSDEDIYSDLSEDDSDSDLEVIISLGPDPTRLDAKLLDSY STATTFSSKDIISVATDVSTTITKASDESLITEGEANQGVTTTTSKATESDGNVPKAM VGSIDLDKEGIFDSVGITTIDPEVLKEKPWRQPGANISDYFNYGFNEFTWMEYLHRQE KLQQDYNPRRILMGLLSLQQQGKLNSANDTDSNIGNMIDNNNNVNNANMSNMNSNMGN SMSGTPNPPAPPMHPSFPPLPMFGSFPPFPMPGMMPPMNQQPNQNQNQNSK SPAR_J02720 MQAGVRGKLHAALEDGFSLFPFEQQPQQPQQTNIYYDTTINQED RPCFSFGSTISPRSWHFEKSDNIPSSQLQHLVHTQPIHLINPQILFNEEFLNLENIDS QPLSKEKKTTKGSISTAAPGKRKKSSGSTRSSSLSSLFSNDETASTFNSSFNNHDNFQ KNNRNDNDIDMSDTMKYETNTNLQKNIKIFQENFEFNEFLYAQDFYPYTTNYTYSKPT NIHDSINSKNTDLYSKYQDHSLPHIENIHSFNNRYYSNNKSTNCNYNNNTNNNINASN NGYEADPFIDEPQVPSYYYPLEIAFDVEKSPPPSLQKLNSEELEFLKKLNSKLSRYAA AYSFSASNDQDYYDKVRFQEISYKFSKTYS SPAR_J02730 MTTSNQLLGKAKRTKKVGITGKYGVRYGSSLRRQVKKLEIQQHA RYDCSFCGKKTVKRGAAGIWSCSSCKKTVAGGAYTVSTAAAATVRSTIRRLREMVEA SPAR_J02740 MSQKKKASHPAINLMAGGTAGLFEALCCHPLDTIKVRMQIYRRV AGIEHVKPPGFIKTGRTIYQKEGFLALYKGLGAVVIGIIPKMAIRFSSYEFYRTLLVN KETGIVSTGNTFVAGVGAGITEAVLVVNPMEVVKIRLQAQHLTPSEPNVGPKYNNAIH AAYTIVKEEGVSALYRGVSLTAARQATNQGANFTVYSKLKEFLQNYHQMDVLPSWETS CIGLISGAIGPFSNAPLDTIKTRLQKDKSTSLEKQSGMKKIITIGTQLLKEEGFRALY KGITPRVMRVAPGQAVTFTVYEYVREHLENLGIFKKNDTPKPKPLK SPAR_J02750 MVPKFYKLSNGFKIPSIALGTYDIPRSQTVEIVYEGIKCGYRHF DTAVLYGNEKEVGDGITKWLNEDPENHKREEIFYTTKLWNSQNGYKKAKAAIQQCLNE VSSLKYIDLLLIHSPLEGPKLRLETWRAMQEAVDEGLVKSIGVSNYGKKHIDELLNWP ELKYKPVVNQIEISPWIMRQELADYCKSKGLVVEAFAPLCHGYKMTNPDLLKVCKEVD HNPGQVLIRWSLQHGYLPLPKTKTVKRLEGNLAAYEFELSDEQMKILDHPDAYEPTDW ECTDAP SPAR_J02760 MSLANSLTHYEILRIPSDATQEEIKKAYRNRLLNTHPDKLTKNV HDNVNSVTINKIQDAYKILSNKKTRGEYDRLILENYKLQGFHNCGDGLDEFSLDDFSF DEDKLEFMMNCPRCQFADGFHFSESLLGECIDNVNVAEQNHSGYQLLTQCSACSLWLK VNFEVEEE SPAR_J02770 MMETPIGDLISQDDTNNKLCPPDSMEIESDESFSSVNESEGGLD TMEKVDTLIGGARVISNKVKRNDDEQSATKTEANQPNNYHNLEKDQASAISLDPDDED LDEIISYSHDGNYDSSHKTFSFSLPFGNTNFRSSSPLAIFKTVLPKTPDEFIKKNLRK NEIRQKLKKSTSISSLEEIELFKYERGIDNSRLRAVKESLEMDALKNSIKQITADPFD KTHDGYYRSRLESIWHELEGDIVIMGGYRGSVLRDATTHKRIWIPLRAGLNMTKVDLL IGPDDEDELKTQKEIVPDGMLTHIGPLDISKRLIKKLEANPNLNVQQFGYDWRLSLDI PARHLRTKLEEIYNKQKNKKGVYIIAHSMGGLVAHKVLQDYTHLIRGIIYVGSPSQCP NILGPVRFGDDVMWNKTIFSKETNFFMRSSFYFLPLDGRCFVDKTTLERYDFDFFDTE VWKNLGLSPLVNEKREESAQEKSKLLPKKTKSSLSLKATLNATTKFVLNAPVVRSVAG NNKQSPRDMPFEEVFHTSYKDSCEYLTRTLKRTKNYLDSLDYDPNKEYPPLAVVYGNK VPTVRGAKVNGIQDIKDGNYEDFYYGPGDGVVHHKWLLPEQRGFPVVCKIASSSGHVS LMTDLKSMAKAFISIIDTEKQRKVTHI SPAR_J02780 MSRGDRAVVPIESNPEVFTEFAHNLGLKKEWAYFDIYSLTEPEL LAFLPRPVKAIVLLFPINENAKTSANQQLSNSFDVIWFKQSVKNACGLYAILHSLSNN QSLLEPGSDLANFLKSQNDTTGSKNKFDDDTADQFVLNVINENTQTFSTGQSEAPEAT ADINLHYITYVEENGEIFELDGRNLGGPLYLGKSDPTATDLIEQNLVRLRIASYMENA NEEDVLNFAMLGLGPTWE SPAR_J02790 MNKTIMFFINRSCMRNFATLSKTLTNSPSRIIRNGSFRRVIREN NQITNTPPVYSYKENNNSSIIKVHDPVATTILNEPTIIIERQIEFMNVFLGFEQANRY AIMDVNGNKIASMMERDFSITKAIMRQFYRLHRPFLVDVFDNWGNVIMTIKRPFSFIN SHIKTIVPPSAYVDNGSGSSHYHDGKEGTIVGETIQNWHLWRRRYELFQKNGTEGSTF DQFGKIDAPFLSFDFPVTDADGKIMASVDRNWVGLGREMFTDTGVYVVRFDSQRCFND IYPTELLSSQVLTLDQRAVLLANAVSIDFDYFSRHSRQTGGFLSFGGGYDE SPAR_J02800 MLVFKRGIHVVPKLPNSMALLQNGVPKILSSSGFKTVWFDYQRY LCDKLTLATAGQSLESYYPFHILLKTAGNPLQSNIFNLASSIHNNHLFVENILPSAIE SGTTDSNAVVKTEPSRLFLSRIQDSFNGSDWQVVKEEMIYRAENEVLGQGWLFLVENS EKKLFILTSNNNGTPYYFPRNQSFDLNSAISIDEFSTLKQMKELIGSSTKSHGKVQDW TMPVICVNLWDHAYLHDYGVGNRSKYVKNVLDNLNWSVVNNRIFSGVSK SPAR_J02810 MTALPPVYSFPPLYTRQPNSLTRRQQISTWIDIISQYCKCKKIW YMSADGAVVNDNSLDSGNTDNDDSKKVSKNLFNNEDIQRSVSQVFIDEIWSQMAKEGK CLPIDQSGRKSNNTTTTRYFILWKSLDNWASLILQWFEDSGKLNQVITLYELSEGDET FSWEFHGMPENLLYYCLKPLCDRNRASMLKDENDKVIAIKVV SPAR_J02820 MKYVVVSGGVISGIGKGVLASSTGMLLKTLGLKVTSIKIDPYMN IDAGTMSPLEHGECFVLDDGGETDLDLGNYERYLGITLSRDHNITTGKIYSHVISRER RGDYLGKTVQIVPHLTNAIQDWIQRVSKIPVDDTGLEPDICIIELGGTVGDIESAPFV EALRQFQFEVGRENFALIHVSLVPVIHGEQKTKPTQAAIKDLRSLGLIPDMIACRCSE ELNKSTIDKIAMFCHVGPEQVVNVHDVNSTYHVPLLLLKQHMIDYLHSRLKLSEVPLT LEDKERGSRLLTNWENMTKNLDDADDVVKIALVGKYTNLKDSYLSVTKSLEHASMKCR RQLEILWVEAGNLEPETQEVDKNKFHDSWNKLSSADGILVPGGFGTRGIEGMILAAKW ARESGVPFLGVCLGLQVAAIEFSRNVIGRPNSSSTEFLDEKLLASEDQVVIYMPEIDK EHMGGTMRLGLRPTIFQPNSEWSNIRKLYGEVDEVHERHRHRYEINPNLVDDMESRGF IFVGKDETGQRCEIFELKGHPYYVGTQYHPEYTSKVLEPSRPFLGLVAAASGILGEVI EDINLTDGNENK SPAR_J02830 MVQAVAVLKGDAGVSGVVKFEQASESEPTTVSYEIAGNAPNAQR GFHIHEFGDATNGCVSAGPHFNPFKKTHGAPTDEVRHVGDMGNVKTDGNGVAKGSFKD SLIKLIGPTSVVGRSVVIHAGQDDLGKGDTEESLKTGNAGPRPACGVIGLTN SPAR_J02840 MSAPLVVLGNPLLDFQADVTAEYLAKYSLKDNDAILVDAKSGDA KMAIFDDLLQMPETKLVAGGAAQNTARGAAYVLGVGQVVYFGSVGKDKFSERLLNENE KAGVKSMYQVQNDIGTGKCAALITGHNRSLVTDLGAANFFTPDHLDKHWDLVEAAKLF YIGGFHLTVSPDAIVKLGQHAKENNKPFILNFSAPFIPHVFKDALAKVLPYATVIIAN ESEAEAFCDAFQLDCANTDLEGIAQRIVKDSPVEKTVIFTHGVEPTVVVSSKGTSTYP VKPLDSSKIVDTNGAGDAFAGGFVAGLTKGKDLETSIDMGQWLAALSIQEVGPSYPSK KISYSK SPAR_J02850 MDWAINVAHPRLLYKDPKLSVTFIVPSLFHIIIAFVLLGICASD FLCPNVAHISDPNSLRSNGSLVSKTASHASHTGALMAVLLSWCNSSPDLFSNLMSWAT STRETRSTSVSLSIGEVLGACGIILCIVEGSIFIIMSRTHIEISQIQKLSIMRDLLFS LVAMFVMSYVSFMNQVTVLNCLLMAFIYAFYLVVKLTFKINHFPETSAETAADTSLRD NSVSPFLDDSLMASGLLPPIQPGFDVSNSITHGIKPSLLSAMDFNSFLSMLENSSLEE DDPRNEMAELNTLRSMTPAQHWSASATIAAGATTSAERPFSEPTNAFTEYTDSERAIN SSPAMFAAYHDNPHDEESQEQVLLETPAQGQFGAQVMRKFSKRSLGWIIRIFVPHLSN FSQKSISDSIFSVITVPFFIIFKLSCPQPPSDILSYDPTLNKYSLTTLPIVLLFIQSV TAPFLLCSILSVLLASHLGFLVYLFPLTLSMGLILLLTAFITKVNLHNKFTLSLDSSN ILQEKLQKRKLLERLNTSIQVIFLAIGIINIIIWISLLANSLIEMMEIYQRILGLSKA ILGLTIFAWGNSIGDLISNISMCRLYKTQTHYQDRVHLATKFFMISCASCLGGVMLNS MGGIGFSGLVSMLFMGAFNDNEWWFLRKVKLQESSQLDDRLNYKFIVSCVFIILQIIL LLLFFGGPKNIKRRLTNEMKLVGVCMCGLWALATLINVFLELFS SPAR_J02860 MMSARLFILYLFVAFTPVVRCSSNLPITPYIYERLVYFIKASSI SSCISDNLLLVNKTFNDGGCPPHINFCNDEIINPTAGQTVVELVLSAKKGELGSGYLA VDHGKKVVILAFRGSTTRQDWFSDFEIYPVEYSPLCVKEYRKLIEEGKIRECEGCKMH RGFLRFTETLGMDVFKKMESILESYPDYRIVVTGHSLGAALASLAGIELKIRGFDPLV LTFATPKIFNSEMKQWVDELFETDAIEKESILKNEIQFRKGYFRVVHTGDYIPMVPPF YHPAGLEMFINKVGLPQNAEDIEYRGKNNRLTLKDGFREGMSGLVEDWLHVYEHRAYF IDVVGCSGL SPAR_J02870 MCDGSNDAVEEYYFNKSVAGTGGQENWNKQLATQVYSRSLQPEI LPTLKPLSCNKERTNSEKRISEGEQINGKRKRRD SPAR_J02880 MTSIYTSTEPTNSAFTTEHYKPQLVEGVNSVLVIGSGGLSIGQA GEFDYSGSQAIKALKEDNKFTILVNPNIATNQTSHSLADKIYYLPVTPEYITYIIELE RPDAILLTFGGQTGLNCGVALDESGVLAKYNVKVLGTPIKTLITSEDRDLFASALKDI NIPIAESFACETVDEALEAAERVKYPVIVRSAYALGGLGSGFANNAGEMKELAAQSLS LAPQILVEKSLKGWKEVEYEVVRDRVGNCITVCNMENFDPLGVHTGDSMVFAPSQTLS DEEFHMLRSAAIKIIRHLGVIGECNVQYALQPDGLDYRVIEVNARLSRSSALASKATG YPLAYTAAKIGLGYTLPELPNPITKTTVANFEPSLDYIVAKIPKWDLSKFQYVDRSIG SSMKSVGEVMAIGRNYEEAFQKALRQVDPSLLGFQGSAEFGDQLDEALRTPTDRRVLA IGQALIHENYTVERVNELSKIDKWFLYKCMNIVNIYKELESVKSLSDLSKDLLQRAKK LGFSDKQIAVTINKHASTDINELEIRSLRKTLGIIPFVKRIDTLAAEFPAQTNYLYTT YNATKNDVEFDENGMLVLGSGVYRIGSSVEFDWCAVNTAKTLRAQGKKTIMINYNPET VSTDFDEVDRLYFEELSYERVMDIYELEQSEGCIISVGGQLPQNIALKLYDNGCNIMG TNPNDIDRAENRHKFSSILDSINVDQPEWSELTSVEEAKLFASKVNYPVLIRPSYVLS GAAMSVVNNEEELKAKLTLASDVSPDHPVVMSKFIEGAQEIDVDAVAYDGTVLVHAIS EHVENAGVHSGDASLVLPPQHLSDDVKIALKDIADKVAKAWKITGPFNMQIIKDGEHT LKVIECNIRASRSFPFVSKVLGVNFIEIAVKAFLGGDIVPKPIDLMLNKKYDYVATKV PQFSFTRLAGADPFLGVEMASTGEVASFGKDLIESYWTAIQSTMNFHVPLPPSGILFG GDISREYLGQVASIVAAIGYRIYTTNEPTKTYLEKHIKETNSQVSLIKFPKNDKRKLR ELFQEYDIKAVFNLASKRAESTDDVDYIMRRNAIDFAIPLFNEPQTALLFAKCLKAKI AEKIRILESHDVTVPPEVRSWDEFVGFKAY SPAR_J02890 MEYIKIAKVSNVVLHRRGTATQGTLHLTTHHLIFESPQLSTEFW FPYPLIYGVHKNPGSTLLSKLTSTNQVQLEGTDSQNYKLYQGKDLWSFVNIKIIGKDY AVFSLDFGGDLHLQARKVYDSILNLTVLTNITQLYAFIYISNNLEKKLSPPDSWDIYD PINEFRRQGLDDKDETCPWRLSTVNEQYDFCPTYPSKLFVPRSTSDILLKHASKFRSQ KRIPVLTYHHKATDCNILRSSQPLPGLINQRSIQDEKLVWESFSSFCNKDIKRMKHVI VDARPRTNALAQMALGGGTENMDNYNFFLADNNLGVDKSLKLPTVTRLFLGIDNIHIV SNTAAYMTEVICQAGDLNLPLEQNLIKSQKFSNWLKLNTLILKSVDMLLKSIVFNHSN VLVHCSDGWDRTSQVVSLLEICLDPFYRTFEGFMILVEKDWCSFGHRFLERSGHLNSD IRFHDNTMSSLFNDVDTNGDGPDIGANTQDDYGEEDDGGEDDTNLINLSRISKKFNEN FKLNKKSLKFVSPVFQQFLDCVYQLLTQNPDLFEFNERFLRRLVYHLYSCQYGTFLSN NEKEKFQQNLPNKTKSVWDYFRSRRKQFVNPNFVQRKRSSMKEDDQISEEEEKVEWIS PDLKKVQWWWQLYGRKDSELNDELRHKRESVPMSVDNKGKQHSNSDGGKGLNLSIFGF DMFNRK SPAR_J02900 MNQILNAQRLIQLSQFHPKLKNIWYLVAAATFSVCNEPQEIPKL YHYAMLLSNDNAHMYRFTLASQTIDLLRSELPIRKNLINENFQQPTFFQKQLTAKFRE VILKTGPLAGLPRAINGLTVLKETTPDILLPHLDPIDPWEAAMGNSSPLSETSMRRKH DKTIQERDHTIQDGLRHWNSIYNKVSTRVVNNLNSSYPDLWYYTLVHVYGPLFAFDEI LSAQETSLVIIASLVPQDVNPQLRGHLKGALNIGCDKETVEAVRGLAILISQWCGVKW KSGVVKL SPAR_J02910 MVNSHGIRYIRLKQVFNRALDQSISKLQSWDKVSSCFPQYVNSK QGAINVANCQRQLTEFWTELCQREFKEIMEERNVEQKLNDLDELILEAKERYKGQDQE EVNKGPAIDELSSKELVECHIYSQRVHAIQEIDERLTKVNEMNDQLAQELKDLETQVE VEKKEIDKMYDEYLGSHTDQPVNVLLVQSLNDMVLELKENY SPAR_J02920 MWESWLFVKIFAEDIVTVRKTQVSKYVLGVLICSLGASVTSEFA QSVLSRGQRVFDVKDIVCNFWGSLLGVGIAFYQDR SPAR_J02930 MLHSARRYMLFRPRLLCQSGPWAARFQSSVRTPASEPLAENQVD EWLEAINELREEFSAKDYLPETSLAPPGQSKVDLLQGSQAGSKIRPTAEQLAQWEALK SVPIPPRKNATLDHITNMIMRHGKKEKAQSILSRALYLVYCQTRQDPIQALEKSLDEL APLMITKTFNTGVAKASVIPVPLNKRQRNRIAWNWIVQSANQRVSGDFAVRLGEELTA ITKGTSSAFEKRDQIHKTSIAHRAYIQLK SPAR_J02940 MNANSTATAIGLTSPFEKLSFFPNSSNLILAHLHEIIFSFVFYQ LSFSIFAPFLNRVVFRKHYTTIRDPLLKIDFDVHTVSMIQAVVSNTVLLPTLTTPMHY NVVTYTDSYSSMVSSLSAGYFIWDLTMCMRYFKLYGIEFTGHAIGSVYVMLLSLRPFC QPWIGRFLIYEASTPFVNINWFIMQCNAKSKNSIPLWFNVVNGLLLMTVFFIVRICWG TIASALLFKQMWKVRDELPKLSAVTMMSLNIFMNFLNVLWFKKMIKIAKKLAKPAPIS KLD SPAR_J02950 MFDLKTILDHPNIPWKLIISGFSIAQFSFESYLTYRQYQKLSET KLPPVLEDEIDDETFHKSRNYSRAKAKFSIFSDVYNLAQKLVFIKYDFFPKIWHMAVT LSNAVLPVRFHIISTVAQSLCFLGLLSSLSTLVDLPLSYYSHFVLEENFGFNKLTVKL WITDMVKSLTLAYAIGGPILYLFLKIFDKFPTDFLWYIMVFLFVVQILAMTIIPVFIM PLFNKFTPLEDGELKKSIESLADRVGFPLDKIFVIDGSKRSSHSNAYFTGLPFTTKRI VLFDTLVNSNSTDEITAVLAHEIGHWQKNHIVNMVIFSQLHTFLIFSLFTSIYRNASF YNTFGFFLEKSTGGFIDPVITKEFPIIIGFMLFNDLLTPLECAMQFVMSLISRTHEYQ ADAYAKKLGYTQNLCRALIDLQIKNLSTMNVDPLYSSYHYSHPTLAERLTALDYVSEK KKN SPAR_J02960 MAQALNSTNVAFFRVAFLFTIAFFCLKNVNSILQNTYFLVLTEA MNLPQLTLSRYNGQLGLFALLFALNGIQDLIPLLENNVKYFQSIVPFRLLIFFILTGI SYLWESNFYVHNNSVFIYCFAEVWINFLLYNAIREEKNEDFKRLNQFMVSEEDIEEPQ PFTVKTETTEVIEIINDEENNDDGEEDNDDKDEKK SPAR_J02970 MEEIPALYPTEQEFKNPIDYLSNPHIKRLGIRYGMVKVVPPNGF RPPLSVDVENFTFQPRIQNLENLDLANRCRLFFMKQLNNFNRSIKDPSKSILKNPYTV VEYPDSAHTSEIIKKKVYLYDVFSELIKDNRVPADTTQSPRRKLEFRDISQLRGDSSL WRTISKKFSIPTGLLKDIFEKYIASYYVFLHSLNESVHTALNTDQYPKSLLSDDEDDL DLGPDSNSASDFEEDDDDACIVCRKTNDPKRTILCDSCDKPFHIYCLTPPLEGVPPGD WICNTCIVGNGYYGFTQDTHDYSLPEFQRYCKHQNSRLLPARKMSIDELEEMFWSLVT KTHRNVLTTVKYGADIHNELPGQITGFPTRDFIPKNINGDELKDYFKYCDHPMNLTNL PMAHNSLLPLFKRNISGMTIPWIYIGSLFSTFCWHMEDQYTLSANYQHQGDPKVWYSI PESGCTKFNDLLNDLSPDLFIKQPDLLHQLVTLISPYDSNFKKSGIPVYKAIQKPNEY IITFPKCYHAGFNTGYNFNEAVNFTIDFWLPYGFGAIEDYKSSKKACVFDMFDLMINI LKKYNKDTLSFNDAFARQCYSSLIVFYNTELKRIRKIQAIVPRTTLLEVDADPNDEDE EYDIFCSQCKTICSIAFVLHKLNSPDSIRTYKRHKKNHLSIKQWNELSTTDSKLSVLC TQDYLKTIQILNNDDSEEPCIDDELYFTKSLEDVDSLIKQVGVKLDR SPAR_J02980 MVLPRLYTATSRAAFKAAKQSAPLLSTSWKRCMASAAQSTPITG KVTAVIGAIVDVHFEQSELPAILNALEIKTPQGKLVLEVAQHLGENTVRTIAMDGTEG LVRGEKVLDTGGPISVPVGRETLGRIINVIGEPIDERGPIKSKLRKPIHADPPSFAEQ STSAEVLETGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGFSVFT GVGERTREGNDLYREMKETGVINLEGESKVALVFGQMNEPPGARARVALTGLTIAEYF RDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLATDMGLLQERITTTKK GSVTSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRLLD AAVVGQEHYDVASKVQETLQTYKSLQDIIAILGMDELSEQDKLTVERARKIQRFLSQP FAVAEVFTGIPGKLVRLKDTVASFKAVLEGKYDNIPEHAFYMVGGIEDVVAKAEKLAA EAN SPAR_J02990 MFISTRCRIKGFTLKKLPWAKSSSTRFVSTEPLNASTITKPDGI FSYSPLSSRTYIRIRGPDTVKFLNGLVTSKLLPHFIKKNLTTVEESEIATEKGTKKAD PIVPVPEFDARLGNWGLYNETGIQGPYISRFGLYSAFLNGKGKLVTDTIIYPIPAKLT GQVPDYPEYLLEFHENVVDKILHVLQTHKLTSKIKFEKTDHASLKTWDVEVQFPNLPK DMENPWFDNLLDPMTLPKNSVDANNFAINVLESLFNSDPRILGVYVERRTESISRHDS TFPQSFRLVTSEQVDDLSKLFNFNVFDFPFQVNKKVPAQIREIRFQKGLVDSTEDYKP ETLLPLELNFDFLPNAISTNKGCYVGQELTARTYATGILRKRLVPVKLDNYQFLDTDS EKKYAEIHIDAVEEKGLAENEPAPNPFANKAPVRIKRKQRPAGLLIANERQYGVALLR IEHFSSAFSSDEPVGFYITTANGENVKVTPQTPFWFRDWKSNDSLRK SPAR_J03000 MSDTEAPVEVQEDFEVVEEFTPVVLATPIPEEVQQAQTEIKLFN KWSFEEVEVKDASLVDYVQVRQPIFVAHTAGRYANKRFRKAQCPIIERLTNSLMMNGR NNGKKLKAVRIIKHTLDIINVLTDQNPIQVVVDAITNTGPREDTTRVGGGGAARRQAV DVSPLRRVNQAIALLTIGAREAAFRNIKTIAETLAEELINAAKGSSTSYAIKKKDELE RVAKSNR SPAR_J03010 MALEIFVKFKCASRDIKLLWASVFLRLLSYGLTNQVLTLFLNAI NMTEDKIGLFMSLTLAGDVICSYILTWYADSWGRRKVLVYGCAMMLLSGLVFSFSENF TLLLIFAIFGVISPSSDEVGPFKSIEEAMIAHLSPHNARPEIYAIHALVGTIGSALGA IVCGIFVDLLKKTGVAATDLQCYKLVFLLYAFFAFCKMVIMLLLSDATELDGHYGHTD HGNEETPEPLDVNDETAPLMRQATHPEERTNKLSKETVSVLMKLLIIFMVDSLGSGFM TSGWMVYYYSKQFLMGSLALGTLFFVTQLVMASSTIPSSIIARCFGPVRATLLVQIPS GIFSILIPMAKDYLPLSILFLNLHFATTAMDVTPRQILLTNIIKPRDLTKVMGVVNIG KTFARCIGPIFTGVLANNSYLWLCYIISGSLVITADLILACMFLGVDAKIKEQMNRR SPAR_J03020 MSLEDTLANMSLYDAKKYFRKAQNVVFNYTEMEGKVREATNNEP WGASSTLMDQISQGTYNFREREEILSMIFRRFTEKAGSEWRQIYKALQLLDYLIKHGS ERFIDDTRNSINLIRILETFHYIDSQGRDQGINVRTRVKALIELLSDDNKIRAERKRA RETARKYKGVAGGSASADGSLNSKAGFTSTKVHGISVSADFDSDNEDNEDGSFRGNGY NDNGSRATSAPEQVKQEPEDFVDFFSNDSSQPSKELIQENDKNANEEENDDDEFSEFQ SAVPVTNPANSFDLLNTNPIGAVPATASSMSFYNSSTTNQSKITPAIAEPKKVDPFSS LFSTAKASSEAPSTPKASQANVPASNPVSNSTTALSTDQDDDDEFGEMHGGAVQQEPN TTNNDKSSKEVDLLSF SPAR_J03030 MREEGQQKERTGVKMADPDDNEAEATGLQQYSGETTRDGNEESM NDSFTLTSRHRGRSNTISSIVSGYEIMKEHMDKEKFIYLILASLLLYMGFVAAFAPRT SLSRDFRRFHSSRLTNAEVYRIYLNSLQQENRAKEHVYKYAGHMSNALSDSSTFKYTL DEFLDMGYKPKVEKYYPWIGDPVDTKVALLENGKVVYQASMIEDKIKGDPVSHAKKRQ KGFHQYSKNGNVTARYVFCNYGSIGDYKLLLKKNIDIEDKIHIVRSGKIVSGLKVKNA ELYGASSVIIYTDPFDDGKVTEKNGFLHYPYGPARNPSYIMRDSVNYFSETPGDPTTP GYPSKDSDTEHMSPVGRVPRIPSVPMSARDVQPILEKLNGRGFQIGPGSNIKDFGSFT GPSSPIDKVHLHNELTYNIKEMSSIEVSIPGIFTEGEIIIGAHRDSLASSSAGDANSG SAILLEIARGMSKLLKHGWKPLRPIKLISWDGERSGLLGSTDYAEAHTAILRRRALVY LNLDNAISGTNFHCKANPLLQDVIYEAAKLTEFNGHEDWSLYHHWKYTSNATISLLDG LSSYTSFQYHLGVPAAHFQFNANDTTGAIYHSNSVFDSPAWLEKFTSSDYKLHNTMAM FVGLTTLMLSENELARFNTHVYLKKIYNWYVAWYSELSSAFPQDYEVNRLAKRVLDLL KVATWEDSIQFDQQNDILYKECREALPVWAFYKKIKSYIKLQRSNSKSKQIDQLFITH RGLKDRGWMKYSLLAPSKLQGSVGEVLPGLHEGLADIDRNEVIQWLTVLLSQFSNVRY LLQ SPAR_J03040 MFVNGNQSNFAKPAGQGILPIPKKSRIIKTDKPRPFLCPTCTRG FVRQEHLKRHQHSHTREKPYLCIFCGRCFARRDLVLRHQQKLHAALVGTGDPGRMTSA SNSNSSFAPKRRHSVAADDPSDLHIIKIAGNKETILPTPKNLTGKTPEELKEAVVALA KSNNVELPAPAPIINNKQVKTPPSKAGSLGFKEFKFNAKGVPAHSASSDAVIDRAYTP SSMHKTKRHASFSASSAMTYMSNNNSPHHSITNFELIEDAPHQVGFSTPQMTAKQLME SVSELDLPPLTLDEPPQAIKFNLNLFNNGPSGQPQPQQSSTSSTIVNSNNGSTVATPG VYLLNSGPSLTDLLTMNSAHAGAGGYMSTHQSPFDLGCFSHDKPTTSEFNLPSSFSHT MVSNSTTASNSYSNLANQTYREMSNEQPLLSLSPKNPPTTVSDSSSTVNFNSSTNNLL ESSMQTNDKDSNVDPAAIDDKWLSEFINNSDPKSTFKINFNHFNDIGFIYSPPSSRSS IPTKSPPNLSAVSLSPHLNLGLSGSTDLPVTPQNQLKEPSYSDPISHSSHKRRRDSVM MDYDLSNFFSSRQLDISKVLTETEPDNSNVNDDILTLSFSNETDSTETQKQPPVLTPS DLLSPFSVPSVPGVLFTDELRSMMLVDNNIDSEAFPTTSQLNGYVTYYREEFHPFFSF VHLPSIIPNMDSYPLLLSISMVGALYGFHSTHAKVLANVASTQIKRSLKASEKNPETT ELWVIQTLVLLTFYGIFNKNTTVIKGMHGQLTTIIRLLKSSRLNLPLESICQPPIKSD HMMEYENSPHMFSKIKEQYNAPDQMNKNYQYFVLAQSRIRTCHAVLLISNLFSSLVGD DCCFHSMDLKCGVPCYKEDLYQCRNAIEWSDLLSQYKITLDSKFSLIELSNGNETYEN CLRFLSTGDSFFYGNAKVSLSTCLSLLISIHEKIFIERNNARISNNSYNSNNIELDDI EWKITSRQRIDTMLKYWENLYLKNGGILTPTENSMSTINANPAMRLIIPVYLFAKMRR CLDLAHVIEKIWLKDWSNMNKALEEVCYDMDSLREATEYALNLVDAWTSFFTYIKQGK RKIFNTPVFATTCMFTAILVISEYMKCVEDWARGYNANNPNSVLLDFSDRILWLKAER ILRRLQMNLIPKECDVLKSYTDFLRWQDKDALDLSALNEEQAQRAMDPNTDINETIQL IVAASLSSKCLYLGVQILGDAPIWPIILSFAHALQSRAIYSVTKKRNTRI SPAR_J03050 MNEDLFYDRLHQRCPRKYLLEELETSEPNVVLHASRFVFEMERV QKTNAYYCKSIIKTLLDNECIFAKALTIINDGEDEIEISDYLYEKYIELLSVGKPNPM MKDVVRYRFDEEVKVKIEETPNLISAASTTGFRTWEAALYMGHFLIHKPLQELALIQG QEKNKKKLNVLEIGAGTGIVSLVLLQRYREFVNKMYVTDGDSDLVETQLKRNFELNDG LRENKPDIKLQKLWWGSDRIPEDIDLVVGADVTYDPTILPDLCKCLAECLAINRCKMC LLSATIRSESTVKLFSQECNKLGLKCIIITSTEFDENNEARMKKALQFKPLIAPIRIY KIMKQ SPAR_J03060 MISRTIGESIPPNTKHAVSVCLPTWEATVGYEEGESDIINSLTT GYPRFFVHKSIKKLCEVLCAKYSMEDETCLCFPSYRVANRCREFIKVKTGLSTKVRIL QLCTPKPVNQEEKLWKRECKITVVFVDREIFPVMKQYWQHSGEIVSSRMAEYILHELQ VKDNLKKMETVADGRKFMAEDESRVNEEYVETRFGRNLNFLAADKAKYLIRKRIATKV VEKLDSESLSDLFSFEHYNENNGPFNIGNGESLNGDQLNSDVPAETINSMGESSANST FENMATDDLKFHVNPDTDVYLFPSGMASIFTAHRLLLNFDANRLSRSSTRQDKLIGYG PPFKKTVMFGFPYTDTLSILRKFNHTHFLGQGDSTSMDALKNILHSGEQILAVFIEAP SNPLLKMGDLQELKRLSDLYSFYIVVDETVGGFVNIDVLPYADIVCSSLTKIFSGDSN VIAGSLVLNPRGKIYEFARKFMKTEDGYEDCLWCEDALCLERNSRDFVERTIKVNTNT DILLTKVLLPQVGKLFKKIYYPSLTSGETKRNYDSVMSTKDGGYGGLFSLTFFNIEEA KKFFNNLELCKGPSLGTNFTLACPYAIIAHYQELDEVARYGVEKNLVRVSVGLENSDV LCKVFQRAIEKALEE SPAR_J03070 MKNFIGILVATVVAIIAIAYYVPRYELFERKSPEAGEMRDQIES VFLASWRDYYKHGWGYDVYGPIEHTFHNMPRGNQPLGWIIVDSVDTLMLMYNSSTLHK SEFEAEILKSEEWINNVLDFDIDAEVNVFETTIRMLGGLLSAYHLSDLFEVGNKTVYF NKAVDLGDRLALAFLSSQTGIPYSSVNLRSGQGIKNHADGGASSTAEFTTLQMEFKYL AYLTGNRTYWELVERVYEPLYKNNDLLNTYDGLVPIYTFPDTGRFGGSTIRFGSRGDS FYEYLLKQYLLTHEKLYYDLYRKSMEGMKKYLLAQSKPSSLWYIGEREQGLQGQFSPK MDHLVCFMGGLLASGSTEGLSIQEARRRPFFSLFPERKSDWDLAEEITNTCYQMYNQS SSGLAPEIVVFNDGNIKQSGWWQSSLGDFFVKPLDRHNLQRPETVESIMFMYHLSHND KYREWGANIANSFFENTCIDCSDPKLRRFTSLSDCITLPTKKSNNMESFWLAETLKYL YILFLDDFDLTKVVFNTEAHPFPVLDEKKMKSQSLTTGWSL SPAR_J03080 MDITELLQCFACTLDHNAAVRTNAETHLKNASKVPGFLGACLDI IAADEVPENIKLSASLYFKNKITYGWCADARQGSNELLDSHVDPDEKPVVKDMLIKTM VSVSKTSPRCIRVLKSALTVIISEDYPSKKWDNLLPSSLELLSNEDITVTYVGLLCLA EIFRTYRWKNNDERQDLEELILNYLPALLNYGADVLFQDGKYMNNEQIGELVRLIVKI YKFVSYHDLPFTLQRPESFTPWACFFVSIIQQPLPQEVLAISDIEIRSKNPWVKCKKW ALANLYRLFQRYASTSLTRKFQYDEFKQMYCEQFLTQFLQVIFEQIEKWGTGQLWLSD ECLYYILNLIEQCVVQKATWKLVGQHYNVILQHVIFPLLKPSPETLETFDNDPQEYIN RNMDFWDVGYSPDLAALALLTTCVTKRGKTTLQPTLEFMVSTLQNAVGDYNNITLENA LQIESCLRIFSSIIDRLITKDSPFASEMEKFILTFVLPFFKSQYGFLQSRVCDICSKL GSMDFKDPIITSTIYEGVMNCLNNPHNSLPVELTAALALQTFISDDQFNMKLSGHVVP TMQKLLSLSNDFESDVISGVMQDFVEQFAEQLQPFGVELMNTLVQQFLKIAIDLHEAS NLDPDSFANVDNIPDESDKQMAALGILSTTISILLSFENSPEILKNLEQSFYPAAEFI LKNDIEDFYRECCEFVENSTFLLRDITPISWKILELIGECNRKTDSMVSYYLSDFMLA LNNILIYGKDELRKNEFYTKIIFEIYQKAVIAEENALDDLRVVFDLSQELVLALDENL PQQYRERLLTDVVNAILTQKNELKTNVVFSVTAFNVVISNLITEPLVTLQYLKQQGCL EIFFQTWVTDYIPNYKRCYDIKLSVLALLKIILKLESNDYSMLNLENLVPELGSTVTQ LASRLPAALRQLANQRKEFSSSGLEGDAKWDEKFLDVGDDDENDDEGDLAEKYLELIK NRSDSLDFVDGYDAKETFDDLEEDPLTGSILDTVDVYKVFKESIMNLQHVDSNRYQGI MRHLTPADQELFMGIMNA SPAR_J03090 MAENEKMYISYNNIHKLCQGVAKHILARNERPDIIIAITGGGMI PARIIRSFLKTKGQKNIPIQAIGLSLYEDLGLDDSVETIGKEVIRTQWLDFGALNQHF DSLIGKKVLIVDEVDDTRTTLHYAVSELEKEIAEQQKVLNRMSEQTVFSIFVLHNKDK PKRAGLPDSMMNSGRYIAAQTVPDKWLCYPWDAEDIEEHTILAKAQGHD SPAR_J03100 MSKKLSLEERLSLATKKGRKKNKRSTSNLSSPSPVVLPNSEQES ISISADDPAPDVGSIDNTEDVDDSTVRSESTVESDTRKPDAIPVNDVADADHSRTDSS NDTVVSLPKWLPENYTELTVKELVKEISPEYLRLNKQINDLTNQVSRKSQIETTDSSF FKLIKEKDDLIDQLKKEGTKLAETELRQSNQIKALRTKVKNLEYEVSVLNEDSAQNVE NYNELQSLYHNVQEQLTEATHKLKDTDKQNELVETLEKNLREKDDLITSLQQSLDDMR ALLEKEKNEFQTEKKALQEATVDQVTTLETKLEQLRIELDSSTHSLNAKSSRDIVDDQ HSSEEKQHASSKYNRLKEQLESSKANWDSIEYALNTKIVDLENRFESTMREKNNIEER YQTVSRSSETLSVQLEKERENHSKAVLEVKELEKQVETLKSSLQSISDDYNLLKKKYD IQRSQLEKNENELKPHQENSNEKIIDKIPVELTSRLNSMEGNIEDEWTLPQENSMLSL SMSKLGELEGDSPLKPIDDESHETTCSEESQHFDRKNVDFSIDDIPEEAADLQAIREG ESMKSLNNTSIPYRRASVQLSNSNGHISAHLVNKLSTELKRLEGELSASKELYNNLLK EKAKANDEILRLLEENDKFSEVNKQKDELLKRVEQMQRKLETSLQLLGEKTEQVEELE NDVSDLKEMMHQQVQQMVEMQGKMR SPAR_J03110 MDTEKDILDVYIKNLENQIGNKRYFLKQARSAIDEITKKSLDTE GKPLDFEIFAELLRKPMFLSERADPISFSLSSNFLSLRAQSSSEWLSVMDDQSVDKKA MLSLQNNINSDLKELLRKLQRQVCIIDDTKQDRAHVRTRKARNKELWNLLEDFLKSYL VPNLDDNDQPIDNLTSEVTLLLKRLIEHDLSLTLRDFSSKTMPIYRLLLRANIITVTK GSSNPETKYIKLINFNETSLT SPAR_J03120 MSSLSTSDLASLDDTSKREIATFLEGENSKQKVQMSIHQFTNIC FKKCVESVNDPNLSSQEEQCLSNCVNRFLDTNIRIVNGLQNTR SPAR_J03130 MTVISDIIDELNDSCFTPTRLPDLCFKLREKANGACAITVADEI KLIESLSYRSISPGLDIQINKDVLETIDQYFQRSKSRHDEIMGALISTLQPLLLKGKS HSELKEQHNLGLKPTLGMSLKEDNFIQTWVSQGGLKSIPLFYVILLHLKRKDISTNLS WIIPGILNILDDTTDIRKIKLRGVLLLQTLLNHTFMNEINDSKWIQFSNTGLFPLFEK TLINMCYFLPPSYNADETIAIWRIVFPTIHSLYRVEFFDDYTRYQYHLEKFMSEILLQ SIIPRASVTYENLTLYALETATNILKLQKEGSVVHLQRLIFVLGEYIVRNPFYSTFPK LVSKTLSVIGTLINICPNERIVAHKFDILSLILVTYDKCSQEDALNESVLEQCKETIK RLLDCDCGMEDQLSTLSKQPRFQLLFEFS SPAR_J03140 MTASDLLTLPQLLAQYCSSASQNKVFYTTSTKNSHSSFKGLESA ATDATHLLNNQDPLNTIKDQLSKDILTTIFTDETTLVKSIHHLYSLPDKLPLVITVDL NLQDYSVIPALKDLSFPILISSDLQTAISNADSSYKIATSSLTPVFHFLNLEKIGRST AIEQDIDVPTLEIGSEETKVALLEATDSLTNFELVEGEDSTTTVIVNLSPYDAEFSSV LPSNAGLVKIKVYRPWNFSNFLEILPSSVTKIAVLQGVSKKSQSNEFQPFLLDFFSNF NELVSRNIEQVVLTNIGTVDDYQNVINTVISNINKKEPDTNLFLGKPNDKAEEQAEVT QLISSVKKVVNLEDAYVKVLKQLFSSNLQILNQFSSETIEPSNPEFGFGRFLKQEAQR EELINLAKSSLDPSLYLSEDANKIVQLLSKWLSFNGHDLDEVQLQEANETGLEIFQLL QSNQDSSTALRFLKIAPTSDSFIFKSSWLIGSDAWSYDLGHSGIQQVLSSRKNINVLL IDSEPYDHRKQNQDRKKDVGLYAMNYYSAYVASVAVYASYTQLLTAIIEASKYNGPSI VLAYLPYSSENDTPLEVLKETKNAVESGYWPLYRFNPIYDDPSMGKEAFSLDSSVIRK QLQDFLDRENKLTLLTRKDPYLSRNLKQSAGDALTRKQEKRSKAAFDQLLEGLSGPPL HVYYASDGGNAANLAKRLGARASARGLKATVLSMDDIILEELPGEENVVFITSTAGQG EFPQDGKSFWEALKNDTDLDLATLNVAVFGLGDSEYWPRKEDKHYFNKPSQDLFKRLE LLSAKTLVPLGLGDDQDADGFQTAYSEWEPKLWEALGVSGAAVEDEPKPVTNEDIKRE SDFLRGSISDNLKDTSSGGVTHANEQLMKFHGIYTQDDRDIREIRKSQGLEPYYMFMA RARLPGGKTTAQQWLALDHLSDTSGNGTLKLTTRATFQIHGVLKKNLKHTLRGMNAVL MDTLAAAGDVNRNVMVSALPTNAKVHQQIADMGKLISDHFLPKTTAYHEVWLEGPEEQ DDDPSWPSIFENRKDGPRKKKTLVSGNALVDIEPIYGPTYLPRKFKFNIAVPPYNDVD VLSIDVGLVAIVNPETQIVEGYNVFVGGGMGTTHNNKKTYPRLGSCLGFVKTEDIIPP LEGVVIVQRDHGDRKDRKHARLKYTVDDMGVEGFKQKVEEYWGNKFEPERPFEFKSNI DYFGWIKDETGLNHFTAFIENGRVEDTPDLPQKTGIRKIAEYMLKTNSGHFRLTGNQH LVISNITDEHVAGIKSILKSYKLDNTDFSGLRLSSSSCVGLPTCGLAFAESERFLPDI ITQLEDCLEEYGLRHDSIIMRMTGCPNGCSRPWLGELALIGKAPHTYNLMLGGGYLGQ RLNKLYKANVKDEEIVDYIKPLFKRYALEREEGEHFGDFCIRVGIIKPTTEGKYFHED VSEDAY SPAR_J03150 MFARLHGKKQRPISSINSQTPRTSNTTHANSISLSSGNLIVGSN RNLREPKEQFGSQQRASNRNLILDKENDNNINNSGDNNYDNREQVHRHHIAGLKIRAY QAELGYHESRFSENLVMLNMIEFPDIKPGDLVELKTYHKNPSASNGDKKIYFIAKDFD EETKRRAKTSNVSILSGQLQSLLDLPSRSRIWIKLKPNKADLQADVVEFNIKDCLLNR GDMWVLSSKLVDTCVFMEQRLAFLDSIRGTIKGIYRNGKKIVSGYIGEQTRIIFRSES ARLIFLIQITDEMWNFEETGEQLFQKMVNSFFPKIFKKWKDIDTHHTITIAFAISMDL SDTSFKDLTPGESLKNSKDYFRIVVDQVSIIHWVDIMETLREEFMEIRKDLLNKQTDK GYSVANGRFSPVIKSNFLELVNFATTILTDPFKQLDLRHTTTHVMIISPGSGLFDVDY SLLRLTGKKLLSLEMTMDLICLSKAPLHIVPLFRYRDFENRLHHCVPLWLSVFFWNDH AKKSNSEWTPRCKIYDLQMMGITENELIQEVDVEYLQLNKKIKSLSEFMNDYDKNAFE VKILPAGSNTKLPNKLNSKFDTVFEDDVVVRAKKIPATATTTHGNTKFIWRGPKVAIP AIKDVQKPNVIPDLSIKTIEASFYDDCNTTNDKISTPITSNNDNLEINDSLVSVRSTD NQNTSLALDSLKGLSKRNSLKDFTQRVITKFISNIDTSKNKEIKATFVRDDADNSPLG SNTPLPSSESKISNIKLQQKGLADYSVISNGGNLIIKKNLSIFGLPGKEIISGSPSSY LGSSHTRTSSKFSSMSDKAAFITEGQKLKPDGSNTHTFVKQFKHPINETWVDIKSPSI PVSNEFANELLPIRWKDVWPKYVAKKYSKWRSFTTPAELPITISDFPSKDDFERNFIF RNHSVTLNTDREQYNQTYKDLLRDMIYMRLLTGFQICVGRQVEKIELSRESGDSETVV NKYLDFSQNSTFKLYLMIDSEIHRITCSSSGIIDVERYLRNDETNLFDQVPSYIPLVK TRYESTFRDAMIDPLHVKRESLNWNQIDQVLAGYGDYLIDRKWHGFRAKYVVLPTDIP PNTYSMVINGKSETLNPEEIRVEGLRRLIGSITRSRLRTEKEKKGRKTKREEIQPEVM FYTGPLYNFINEQQTSLESSTINFKDSIFVNDNNFLNKNVELSKLAYQIQRGEDRITL VNRKWHWKKHEKCFVGSEMVNWLIRNFSDIDTREDAIKYGQKVMKEGLFVHVLNKHNF LDGHYFYQFSPEYAMDTNRLEKTNSHRSTLSDPKQMLRKVSSGSSNDPGAMTPFSSVI PAMSASNTSVADAKEPSRPILMLSNSLVIDVDPAGKSSKQESCTVHYDRVHNPDHCFH IRLEWLTTTPKLIDDLVGNWSRLCERYGLKMIEIPWEELCTIPSVNPFHSFVEIKLAI NPWEDPEFKDRELFAKSKFYYHVYLLKASGFLLDNRASKFLQNQDIEFDIMYSWGKPQ FKYVQYIHHTGAYVAELRENGCLFLAPNNIYISRVNPGNIIGKIHSASSSALDAQKVI LNFKSTCLDYRKLRSIFLDAKEMWITGKIVED SPAR_J03160 MTTKVVNVAVIGAGVVGSSFLDQLLAMKSTITYNLVLLAEAERS LISKDFSPLNVGSDWKAALAASTTKTLPLDDLISHLKTSPKPVILVDNTSSAYIAGFY TKFVENGISIATPNKKAFSSDLATWKALFSNKPTNGFVYHEATVGAGLPIISFLREII QTGDEVEKIEGIFSGTLSYIFNEFSTSEANDVKFSDIVKVAKKLGYTEPDPRDDLNGL DVARKVTIVGRISGVEVESPTSFPVQSLIPKPLESVKSADEFLEKLSDYDKDLTQLKK EAAAENKVLRFIGKVDVASKSVSVGIEKYDYSHPFASLKGSDNVISIKTKRYTNPVVI QGAGAGAAVTAAGVLGDVIKIAQRL SPAR_J03170 MSMFNALNSNIEGEQYEAEEHSRELQIEQSFNILQDALIDLKNK DFEKSDSKFQELFQIDVVKPDRWGMYRNSSPTLDNLRYLCYRNRGMYYHLYLENYYES LNSQELVNCILKAVENLVESIQHSDADFAVTDLLARIFKSFNSVKLERLISEYEFTKE ENLSLLLGRHRKFLLNDLTLMMNNYIDLTNKLLVPNLSDNTIFERYHLEKYKDIKPEP LAFGPILSRISEMKKQDEEIMKKLDVFNVTLNEESWDEVAKALKNLLPSFKISSLIGR YLDPYNEIEEPIEAVKFELSEPINNTPSLDKDFERQEEEQDKEGVRADDKSGNLAPLE IQADEEARPIKRLDEHVDTTKPLQRSSKRFKEREQENTKELVMDVHKKFFAEFNTLLS YVHILPFCDFETFSSKFIMGPGGKQPEKFIPYTDLYECLKSWSSRYTDIFNQNDYLSS GSNENEELFQLNALLKSNAFDDKESFPRYLSDLDSEHIRSFISEVNTGNLHFHQVRLK LLFKLLGTYDEGNERRLIIDYLWEPQLLKNVLWFVFGIESNVFALIDKNKKKCKYLAL SIYELLVNHLGNIVEEITNKRIQGHKSADLKSQRNKVEKRIRSWHTLLEQIAEEKDTK LYVHFHWTHYCFLQYTCDIVDSRLSETLTSLENTIKDSDSSLDIAYPNYRHIPALNLN TVQSQKRKIRIIQNITVEDISEDANSDSHSENHLETLERVLLHILYPSTNQSTIDEEM VSFIFNSPFLLKIRLWGVLFSSYVKKSSIQDVQRIYFHVLDFMKGALTSPIYKESNPH ARHQMLLTVLTAIGYFSSQLIKILNSNRWEGSDFMLEDRMFEKLLQTFFFFYTVLFYE SSAANDVSNKSFFKRASKSSGKMKDIMIDLATLILYYYDIQAKCRTPAEQGIETTEVI WSLHTLFGYFHFCDASNGKFLDLAEKLLCQFINNDSFLQLKQILWCRYHYTIASDNFS PDLHDTKAVEMEKIHSLPLGTYLIKLQYQNKNPYLSSSKTTLKQIMDNIIEKIGDPST LDNHIISRNSFLLNEYLSRPITADLLKHAFSGATSLYLTTPHDELQQGMTAGLFYVSS LQSLGLYKMRKKSMQARPSELDSIIRMLKNDIIYNTNRFESWILLGKCYSYIVEDDLI WTSDKITVPEKKDVIALTQRKAILCYLMAISIYYSKSGRTIDDKKIILEALDDLGSML ISGCFNPMNKLCFSWKSCAENTMRLSETGEVVMEKTKKITTISDFNIEQSIFLCFNKA CSLSGDIKLQDDAFVLNWSSFYSLAKFFFKTDYGNNCKLVARYIIQSCQIAYESSPAK DPIIEPHYLLVNACYKWVKRGVIGVNEAFALLSKDNQFFQEQGEFWLNDEGLAWDYQE KVFFDKIIRLLHHLLSVDKKKWQHRPRYRIARILFDDFGDVDGALKEMDSLISAKSIN KNLVNIWKPDFERPGKHFIYTYQYLVLYLDLLFAIKDFNTTGLVIKKLRRFGSGTVNI NELLERAINVYTQSAKIKLQLQDKSYVEQILPTLNYQDFLKISEQLNRVFDQGKHPEE ISSGLKLAFQLKKGHSGIAFDSVCLGIYFEYLYFPLARQHQSLTDANDEGSPVPPSSG SVTSKSTPDSTSKASAIKKRVTKKEVFDRVRLLVDKIT SPAR_J03180 MVQYVVEWLPRIQSISVVIEGWKWVEIKNLEGTFLRISGDEGQV EDIILPTEVEEEMDIPYKFKNRGKGLEWMMKLRSKRSKKYDKSIMSLPDGKWTKEELR SDPGFSIECLNCKQQIISKNNCQVLNNMPSEFWFELMDYWHCHKPDMEKGGSYSRFET LKPSENEILIGSSYFQGTPATLKNVAIGKNSDRVLCKKCSVVLGQVTADSLYKLHKWK LQLIHSGNTHKFPPECDITLSLINIVKANSCRYALVKSGEESLLVWIFSVDIGVTLTG NKNFKRTMKLLYTKNVSTINQCLDRQVVEELDFQEIPFNAFYSSLQNGNALLPSNMKQ LGEWAISYTSLI SPAR_J03190 MRVEESSNSLEALVRTQEDDLEGFSFLEIMDRVDPLPVNFENYG NFKEAIYYMCTHDGTKIGFVLKFAINEMKTVCSEVFEETFQLDESSHELRFKSEDFNH RNNLVDQLAHKMYLESSLNGVKGWRNEKYAVWVNKRPYVLIERAVAGVLGIITYGIHI NGYVLDPKSKKIQFWIARRSKTKQTWPLMLDNIIAGGLGYPYGIYETVLKESIEEANL EKSIIEDNIKATGTVSYLYFTGDISVTKFDNESDFIVGEVQYVYDLKLSKDIIPKPND GEVEGFNLFSLQETINALRNKEFKPNCALVMVDFLIRHGYITPENEPNYLELVTRMHR RLPFPTLN SPAR_J03200 MSVPKKRNQGKLPPSTKDVDDPSLKYTKTAPKCEQIAEHWLLQP LPEPESRYSFWVTVVTLLAFAARFYKIWYPKEVVFDEVHFGKFASYYLERSYFFDVHP PFAKMMIAFIGWLCGYDGSFKFDEIGYSYETHPAPYIAYRSFNAILGSLTVPIMFNTL KELNFRAMTCAFASLLVAIDTAHVTETRLILLDAILIISIAATMYCYVRFYKCQLRQP FTWSWYIWLHATGLSLSFVISTKYVGVMTYAAIGFAVVVNLWQLLDIKAGLSLRQFMR HFSKRLNGLVLIPFVIYLFWFWVHFTVLNTSGPGDAFMSAEFQETLQDSPLSADSKIV NYFDVITIKHQDTDAFLHSHLARYPQRYEDGRISSAGQQVTGYTHPDFNNQWEVLPPH DSDFGKGQAVLLNQHIRLRHVATDTYLLAHDVASPFYPTNEEITTVTLEEGDGELYPE TLFAFQPLKRSDEGHVLKSKTVSFRLFHVDTSVALWTHNDELLPDWGFQQQEVNGNKK VTDPSNNWVVEEIINLDEARKVYVPKVVKSLPFLKKWIETQKSMFEHNNKLSSEHPFA SEPYSWPGSLSGVSFWTNGDEKRQIYFIGNIIGWWFQVISLAVFVGIIAADVITRHRG YYALNKMTREKLYGPLMFFFISWCCHYFPFFLMARQKFLHHYLPAHLIACLFSGALWE VIFSDCKSLDLEKDEDISGASYERNPKVYVKPYAVFLVCASVAVAWFFVYFSPLVYGD VSLSPSEVVSREWFDIELNFSK SPAR_J03210 MKSIFRIRGCASHAAQCCQKRTVVTSGTSSTATAGAVKKSFNSA ETKPVFATKSEVGNGNNMKEYTSGINSKLGGTPLETRSTSDDSLNNSYKPTRGDIDWY TSWYGLGMKPFEAKVQKELVEPLDPKDIEIKPDGLIYLPEIKYRRILNKAFGAGGWGL VPRSQTIVTSKLVTREYGLICHGQLISVARGEQDYFNESGIPTATEGCKSNALMRCCK DLGVGSELWDPVFIKKFKVDHCTEKFVEHVTTKRKKKIWLRKDRQVEYPYK SPAR_J03220 MARGPKKHLKRLAAPHHWLLDKLSGCYAPRPSAGPHKLRESLPL IVFLRNRLKYALNGREVKAILMQRHVKVDGKVRTDTTYPAGFMDVITLDATNENFRLV YDVKGRFAVHRITDEEASYKLGKVKKVQLGKKGVPYVVTHDGRTIRYPDPNIKVNDTV KIDLASGKITDFIKFDAGKLVYVTGGRNLGRIGTIVHKERHDGGFDLVHIKDSLDNTF VTRLNNVFVIGEQGKPYISLPKGKGIKLSIAEERDRRRAQQGL SPAR_J03230 MDATSRMEQPDVFVSKLFHLLQGNAYSSIIHWSPDGSRFVIWNP DQFTKVILEQLFGISSFAAFVKQLSKYNFQKTKRPHCVEFFNVHFQRDNTISLPLVKA HQSATAPNTTAINNMNKQCTFHWDPFKVNTILSKAVGKPSFEKLVKKVDRLQDNLDEL NSTNAESLRIVKEINASLQTISHHQFHAYQTANFLQANFEAIKRVLCPDSCIQHQQRQ PKCPKRYSLLLLITDASELSETPLMRFASVFEYMNCSVDTATQWNPQLRLEAYDLLFI TVSPNMQQEHLIYFKRLRNLLPSFPVIAIINRPVSPQDTNIAPYNYSRYYFHHFLQLG FSDILVSPFTPTQLITLLSKHLRT SPAR_J03240 MTLAPLDASKVRITTTEHPSKPKPNGELVFGKSFTDHMLAVEWT AEKGWGIPEIKPYQNLSLDPSTIVFHYAFELFEGMKAYKTVDNKITMFRPDMNMKRMN KSAERICLPTFDPEELIALIGKLIQQDKYLVPEGKGYSLYIRPTLIGTTAGLGVSTPD RALLYVICSPVGPYYKTGFKAVRLEATDYATRAWPGGCGDKKLGANYAPCVLPQLQAA SRGYQQNLWLFGPNNNITEVGTMNVFFVFKDNETDKKELVTAPLDGTILEGVTRDSII NLAKERLNPNEWTISERYYTIGEVAEKSKNGELLEAFGSGTAATVSPIKEIGWKGEKI NIPLLPGEQTGPLTKEVAQWINQIQYGEVEHDNWSRVITDLN SPAR_J03250 MCKAFQKCLNLKYPIIQAPMAGVTTVEMAAKACIAGAIGSLPLS HLDFRNVNDIEKLKSIVSQFRDHVADKSLENNLNLNFFCHDIVEEPTDLQTANWAKLY RKSMNVPIDINEISFHNGNVSFKAFERENALQNFFQYLSDDFKPKIISFHFGHPSKST TEYLQKIGILIFATATSVKEVRLLASLGVNGIVCQGYEAGGHRGNFLINDPKDDEDLS TVQLVKRTVGELAEMKHKGLVHDTPFVIAAGGIMDSKDISYMLSQQADAVQLGTALLG CSESNASRIFSSLFARESTTKMVNIVSGKSARTISTPFIEKLLANFQGEELPPYGYIY NAFKQIRNKYPELANFILAGQGFQSVQSGITTDKKIATMGERLKKIDRK SPAR_J03260 MSKISTLAVAAALVAGAAATSATTTLSPYDERVNLIELAVYVSD IGAHLSEYYAFQALHKTETYPPEIAKAVFAGGDFTTMLTGISGDEVTRMITGVPWYST RLMGAISEALANAGIATAVPTSTSETSATSTSEASSAVTETSSSSASSVETSSKATST QATVSSAESSSVASSAESSVVSSASFANTTAPVSSTASVSVTPVVQNGTDSTVTKTQA STVETTITSCSKGVCSTLTTPVSSKAQSTATSVASSASHVIDVTTNGAHKFNNGAFGA AAIAGVAALLL SPAR_J03270 MVKISIVASIAALAASAAAITATTTLSPYDERVNLIELAVYVSD IRAHMFQYYSFQNQHKTETYPSEIAAAVFDYGDFTTRLTGISGDEVTRMITGVPWYST RLKAAISSALSKDGIATIIPTSTSTTSTTSTTSTTPITTITSIKSTTSTTSAVPTTST AFTTPTSSTSSTTPTSSTSSTTPTTSSAVIVSTTPITSTTSTTSTSPTTSTSSTTPTT SSTSSTSAIPTTSATSTASSIPTTSITPITSTTSTASTTSTTSTTSASPTTSNTSIIY TTPTKSTAPTISAISTSTTTPPTTSTTSTTSTSPTTSSTSTASTTSTTSTTSTTSTSS STSTISTTPTTPTASTTPTTSITSDIYGTSSSPSQITSSVEPTTVRAVTSSVEPISSS QVISSTEPTSSTIEVTSSVLISSSRSTSSESATSSEITTSSEITSSSRITSSSEITSS SGIATSSGITSSSGITSSSGITSSSGITSSSGITSSSGITSSSGITSSSGITSSSGIT SSSGITSSSGITSSSGITTSSGITSSSGIASSSGIATSSGITSSSEIATSSEIATSSG IATSSEIATSSEIATSSEITSSVKSTSSSKVTTSTEPISSSQITTSSQPIFSSVVTSS AEPTSSTELIASSGIISSAEPTSSNEFVSYTERLSTSTFTTAVVSSSRAADFFTKSTD SAKLNVSGSSPIQSTTFFATPSAPFAVSSTGVTTSTEATSSNASSSETSTSVSEFSVS VMSTLTGSIAGRTSSVELLSSNVLNSTFGNSMVVQPTASLSFVYSASSVASFSSASSL SISPTSNYNVTRSSNTVISGTAIPIGNVRNATSTIMNLSTDEIVITSCKGGCSKTLAG QNATGLETDGAVSGAITTSCKDRGTKEDSVLTRTQVSTVETTITTCSIGVCATFTTPI VTDNSFTSTLTTTELSTVKSTITTCPGGVCSTLTVPVATVTGKTTKIIDVATSSCKDS KEDVTSTKAELLTIETTVTSCSAGICTMLVSPLISVHTKATISSKAESPILQTTKVTC SAGVCTTLASTMTTVNAGANMFTRSGLSTIQTTITNCSGGTCTTLTAPIATATTKLIS EIPETSSAASIVSSASYTVSINTNGAYRFDKDSIFSAAIVAGAAILLL SPAR_J03280 MSADASTNSNASLDEKNLNITSEAEIKNEDVTAEPVLSTVLSPN GKVVYIGDKVDEAMKLAEEAKEIEVTPEEDRRLRWKIDYCMFPLMCILYAVQFMDKIS TGSAAVMGLRTDLKMHGDQYSWVTSAFYFGYLFMNLGPVQYIFQRTSHMSKMLAVFIV IWGMLLALHAAPTVKYPSFIVLRVLLGCAESVVTPCFTIITAQYWKTEEQFTRVSIWF GMNGLGSILINAIAYGVYIHQDSYAIKGWRTLFVVTGVITIFIGILIFLWIPDDPSKA RFLSKREKLMVVQRIRSNQQGFGNHEIKRYQIIEALKDVRTWLYVLFTVSSNIPNGGI SSFMSILLNSDFGYSSKETLLMGLPTGAVELVGCPLFGILAVYAANKKIPFWKYKLSW AIFAAVLALIASCMLGFATNSKKARLAGAYLWYISPVSFICVLSNISANSSGYSKKWT VSSINLVFYAAANLAGPQTFISKQAPKYHGAKVAMVVCYAVMIVLLSAILIINLRENK RRDKIAAERGFPEETENLEFSDLTDFENPNFRYTL SPAR_J03290 MISASSLLLSTLCAFAVATPLSKRDSCTLTGSSLSSLSTVKKCS SIVIKDLTVPAGQTLDLSGLSSGTTVTFEGTTTFQYKEWSGPLISISGSKISVVGASG HTIDGQGAKWWDGLGDNGKVKPKFVKLALTGTSKVTGLNIKNAPHQVFSINKCSDLTI SDITIDIRDGDSAGGHNTDGFDVGSSSNVVIQGCTVYNQDDCIAVNSGSTIKFLNNYC YNGHGISVGSVGGRSDNTVNGFWAESNHVINSDNGLRIKTVEGATGAVTNVNFISNKI SGIKSYGIVIEGDYLNGKTTGTATGGVPISNLVMKDITGSVNSTAKRVKILVKNATNW QWSGVSISGGSSYSGCSGIPSGSGATC SPAR_J03300 MTTNSHDVYESYKMSGQKYVNMTKKGDLGICRPGLTQEAFTVND KFDYKTIIEKLEVYGLCVVKNFIEPSTCDVILNEIEPHFYRYESWQGSPFPKETTVAT RSVLHSSTILKDVVCDRMFCDISKHFLNEENYFPAGKVINKCTSDIQLNSGIVYKVGA GAGDQGYHREDIVHHTTHQACERFKYGSETMVGLGVAFTDMNKANGSTRMIVGSHLWG PHDSCGNFDKRMEFHVDAAKGDAVLFLGSLYHAASANRTSKDRVAGYFFMTKSYLKPE ENLHLGTDLRVFKDLSLEALKLLGLGISEPFCGHIDYKSPGHLINSSLFENDIEKGYY GETIRVNYGSRQ SPAR_J03310 MSDAFGPAPEPPTELGRLRVLSKTAGIRISPLIFLGGGGMSIGD AWSGVLGSMNKERAFELLDAFYEAGGNFIDTANNYQYEQSETWIGEWMASRKLRDQIV IATKFTTEYKGYDVGKGKSANYCGNHKRSLHVSVRDSLRKLQTDWIDILYVHWWDYMS SIEEVMDSLHILVQQGKVLYLGVSDTPAWVVSAANYYATSQGKTPFSIYQGKWNVLNR DFERDIIPMARHFGMALAPWDVMGGGKFQSRKAMEERKKNGEGLRTFVGGPEQTDVEV RISEALAKVAEEHGTESVAAIAIAYVRSKAKNNVEALSIKLTPEQIEYLESIVPFDVG FPNSLIGDDPAVTKKVSFLTAMSAKISFD SPAR_J03320 MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDMAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEGKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLNAIKKATDYVLADPVKAWKEYIDFKPQLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRKEGTFK RLALPA SPAR_J03330 MSEFKVKTGLAQMLKGGVIMDVVTPEQAIIAERAGACAVMALER IPADMRKSGQVCRMSDPHMIKEIMEAVSIPVMAKVRIGHFVEAQILEALQVDYIDESE VLTPADWTHHIEKNNFTVPFVCGAKDLGEALRRINEGAAMIRTKGEAGTGDVSEAVKH ITKIKAEIQQYRETLKDESDFAAKSAELRVPIELLKTTLSKGKLPVVNFAAGGVATPA DAALLMQLGCEGVFVGSGIFKSSDPEKLACAIVEATTHYDNPEKLLKVSSDLGDLMGG ISIQSINEAGGKNSARLSEIGW SPAR_J03340 MSQYGFVKVPREVEKAIPVVNAPIPHTVISPPNSEIATLVREYA AKELTGPVLNHSLRVFQYSVSIIRDQFPEWDLDQEVLYVTCLLHDIATTNKNMGATKM SFEYYGGILSRELIIDATGGNQDYADAVTEAIIRHQDLTETGYITTLGLILQIATTLD NVGSNTDLIHIDTIKAINKQFPRLDWLSCFAMVVDTEYSRKPWSHTSSLGDDFSKTVI NNTFGYD SPAR_J03350 MAAIKDYKTALEFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LAGVITSRDIQFVEDDSLLVQDVMTKNAVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_K00010 MAAIKDYKTALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFVHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNAVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_K00020 MVKLTSIAAGVAAIAAGASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGISPDQVTRMITGVPWYSTRL KPAISKALSKDGIYTIAN SPAR_K00030 MKNTELKQKDNLGKQSRRKPAKSCHFCRVRKLKCDRARPLCGSC YSRNRKQCDYKENTSAKEDQLRAKYRRCSKLEMARRIEELESQLTKQSEPDIHEGQNP LYNMRYLSSKHNRHILYGPTSYRAILATQTDTFAKYREEIWKVLKLSRNNWKKEHHYS TLSEISSIETAPPQAGSPSVIEHLCESLPTYEVLRQHLADFFASDFYDSYQIVRKEKV LKDLNDCFVKGPRNQKTGQHTVIALNLDSKKNYYKVGVMTAIMCLASHPKEVPEAIEV FHKVLTSFVSAKVFYIERVEFLFLRYLYVNVAGLDGGDQSHCIFIHGLTIDTAIHMGL NEDLRRLFRDENHPIEEIPYLERLWLWILFTDVKISLSTGIPVRINDDFVNKVRLENY SSSGDILLYKTTLKLRNIMKQIHARETSPDIPLIIEDLKKFTMKMFKPLPFYLNTSNL VGNEFTELQLWHTTLHMIGSLSNLYTLTHKDLNPRIFNFSVLAPLNSLHLCFKVLEGY FELDDNKVSSNSSCFLKKWPHLNNALFLVYVDAFRALIQIYTIFLQYMENKDIQFFIQ RNTSTLTQPTCPGDFEGPYNSCISLKIAFKEMENIFDHVHQEKLKPLTQIWQNSYYFS IIISMEKIGRSAFGKVMKRIDEGPKTEKDAAENNLTTILNDLEGPSIDFSGNFIDNIL GSSSDFFDTAISGWSNFEDFFS SPAR_K00040 MSEEQNGDRHNDIETKLDLIGKDDIDGNISTSIDVPDGGYGWFI LLAFILYNFSTWGANSGYAIYLAHYLEDNTFAGGGKLDYASIGGLAFSCGLFFAPVIT WLYHIFPIQLIIGLGILFQGAALLLAAFSVTLWEIYLTQGVLIAFGLAFIFIPSVTLI PLWFRNKRALASGIGTAGSGLGGIVFNLGMQSILEKRGVKWALIAQCIICTSLSTIAL MLTRTRHQGLCQHKKSYKFELLDHDVLSNFAVWLLFGFVSFAMLGYVVLLYSLSDFTV SLGYTSKQGSYVSCMVSVGSLIGRPIVGHIADKYGPLTVGMILHLVMAILCWAMWIPC RNLATAIAFGLLVGSIMGTIWPTIASIIARIVGLQKLAAAFGITWIFIAAFALVAPII GLELRAVNTNGNDYYRTAIFVGFAYFGASLCQWLLRAFIIARDEIAVRGGYSADQNEL HLNVKMTQMSKCLFRYKQLPRKV SPAR_K00050 MHWTSLLSAILLFCLSGARASPAKTVIRNKVPLLVTNACTRIFQ KVTWEYTSKSKRSSPVCSYKPAFQSMLNCIYETLDEKGYSNKTLEKTFGTIKKSCASY TDALQNMTNSEFYDALNNGTSHMVPYVKGSANLTYPVEMDAQLRKAYYHAWHGFYANL DVGNIYGGIICAYFVAIMISAGILHYMNYTPFKTVLFKQKIVRYARGYLTLPTIGRKH ASDFSYLKIFTGYLPTRFEGVIILGYLVLHTVFLAYGYEYDPENIIFMSRRVQVARYV ADRSGVLAFAHFPLIVLFAGRNNFLEYISGIKYTSFIMFHKWLGRMMFLDAMIHGAAY TSYTVAYKTWATSKHRLYWQFGVAALCLAATMVFFSFAVFRKYFYEAFLFLHIVLGAL FFYACWEHVVGLSGIEWIYTAIAIWIVDRLIRLFKVSHFGFPKASLQLFGDDLIRLTV KKPARLWRAKPGQYVFVSFLHPLYFWQSHPFTVLDSVTKSGELVIILKEKKGVTRLVK KYVCCNEGKASMRLAVEGPYGSSSPVNHYDNVLLLTGGTGLPGPIAHAIKLGKTSAAA GKQSVKLVIAVRGFDVLEAYKQELICLKDLNVQLHIYNTMQVPLLTSNDSLDITQQDE KADEKGIVVATTLEKSPNPFEFDGAVFHHGRPNVKELLHEAAELSGSLSVVCCGPPVL VDKVRNETAKIVLEKSSKAIEYFEEYQCW SPAR_K00060 MLVPTYNDVLDAGERIKGYVNETPVLTSRTLNDQLGAEIYFKGE NYQRVGAFKFRGAMNAVSKLSDEKRSKGVIAFSSGNHAQAIALSAKLLNVPATIVMPE DAPTLKVVATAGYGAHIIKYNRYTEDREEIGRQLAAKHGFALIPPYDHPDVIAGQGTS AKELLEEVGQLDALFVPLGGGGLLSGSALAARSLSPDCKIFGVEPEAGNDGQQSFRSG SIVHIDTPKTIADGAQTQHLGEYTFAIIRENVDDILTVSDQELIECMHFLAERMKLVV EPTACLGFAGALLKKKELVGKKVGIILSGGNVDMRRYAALISGREDGPMT SPAR_K00070 MSSSITDEKISSEQQQPAGRKLYYNTSTFAEPPLVDEEGNPINY EPEVYNPDHEKLYHNPSLPAQSIQDTRDDELLERVYSQDQGVEYEEDEEDKPNLSAAS IKSYALTRFTSLLHIHEFSLENINPIPELRKMTWQNWNYFFMGYLAWLSAAWAFFCVS VSVAPLAELYDRPTRDITWGLGLVLFVRSAGAVIFGLWTDKSSRKWPYITCLVLFVIA QLCTPWCDTYEKFLGVRWITGIAMGGIYGCASATAIEDAPVKARSFLSGLFFSAYAMG FIFAIIFYRAFGYFRDDGWKILFWFSIFLPILLIFWRLLWPETKYFTKVLKARKLILS DAVKANGGEPLPKANFKQKMVSMKRTVQKYWLLFAYLVVLLVGPNYLTHASQDLLPTM LRAQLGLSKDAVTVIVVVTNIGAICGGMIFGQFMEVTGRRLGLLIACTMGGCFTYPAF MLRSEKAILGAGFMLYFCVFGVWGILPIHLAELAPADARALVAGLSYQLGNLASAAAS TIETQLADRYPLERDASGAVIKEDYAKVMAILTGSVFIFTFACVFVGHEKFHRDLSSP VMKKYINQVEEYEADGISITDVVEQKTECASVKMIDSNISKTYEEHVETV SPAR_K00080 MTASLTTKFLNNTYENPFMNASGVHCMTTQELDELANSKAGAFI TKSATTLEREGNPKPRYISVPLGSINSMGLPNEGIDYYLSYVLNRQKEHPDAPAIFFS VAGMSIDENLNLLRKIQDSEFNGITELNLSCPNVPGKPQVAYDFDLTKETLDRVFAFF KKPLGIKLPPYFDFAHFDIMAKILNEFPLAYVNSINSIGNGLFIDVEKESVVVKPKNG FGGIGGEYVKPTALANVRAFYTRLRPEIKVIGTGGIKSGKDAFEHLLCGASMLQIGTE LQKEGVKIFERIEKELKDIMEAKGYTSIDQFRGKLNSI SPAR_K00090 MQKGNIRIAIDKGGTFTDCVGNTGTGKQEHDTVIKLLSVDPKNY PDAPLEGIRRLLEVLEHKKIPRNIPLDISNVRSLRMGTTLATNCALERNGERCAFITT KGFKDSLLIGDQTRPNIFNLNIKKVAPLYDTVVEIDERVTLEDFSEDPYFTKSSPNEE EGILEGNSGEMVRVIKRPDESGVRSILQVLYASGIKSIAIAFLHSYTYPDHERIVGDI AREIGFSHVSLSSEVSPMIKFLPRAHSSVADAYLTPVIKKYLNSISAGLNHAEDTHIQ FMQSDGGLVDGGRFSGLKSILSGPAGGVIGYSSTCYDRNNKIPLIGFDMGGTSTDVSR YGDGRLEHVFETVTAGIIIQSPQLDIHTVAAGGSSILSWKNGLFRVGPDSAAADPGPA AYRKCGPLTITDANLFLGRLVPEFFPKIFGPNEDESLDLETTTLKFKELTDIINKDLN SNLTMEEVAYGFIKVANECMARPVRAITEAKGHVVSQHRLVSFGGAGGQHAIAVADSL GIDTVLIHRYSSILSAYGIFLADVIEENQEPCSFILGEPETILKVQERFLELSKNSSE NLLSQSFSREDIVLERYLNLRYEGTETSLMILQKYDDQWNFREWFSEAHKREFGFSFD DKRIIIDDIRIRAIGKSGVRKEKTVDEQLMEISHFKKADVSKDASFTQKAYFDNKWVD TAVFKIGNLSAGTIIDGPAILADGTQTNIILPNCQATILNSHIFIKIDQKHVKMLSKK KYELDIDPILLSIFSHRFMDIALQMGTQLRKTSVSTNVKERLDFSCALFDSKGNLVAN APHVPVHLGSMSTCISAQAKLWEGKLKPGDVLITNHPDIGGTHLPDITVITPSFSSAG ELIFYVASRAHHADIGGILPGSVPPNSKELYEEGTAIYSEFVVKEGVFQEELIYKLFV EDPAKYPGCSGSRRFSDNISDLKAQVAANTKGIQLIESLTKEYDLATILKYMAAIQSN ASESIKKMLAKMVEHFGTTKFSGEDRLDDGSLIKLQVNISPEKEEYVFDFKGTSPQVY GNLNAPEAITNSAILYCLRCLVGEDIPLNQGCLKPLTIKIPAGSLLSPRSGAAVVGGN VLTSQRVTDVILKTFNVMADSQGDCNNFTFGTGGNIDKKTGKQIKGFGYYETICGGSG AGADSWRGCGWNGSDAVHTNMTNTRMTDSEVFERRYPVLLKEFSIRRGSGGKGKYTGG NGVIRDVQFRKAVTASILSERRVIGPHGINGGQDGSRGENLWVRHSTGALINVGGKNT IYAQPGDRFIIKTPGGGGFKEYKD SPAR_K00100 MDKAFDEIIGNNNTDSSSNHKVTRYRRRDLRNELGPRLGFAPSD PAVRSKDRLYREKEEPPLPKRIRISKIPLDVSDYTLDDMIKDFGSPIFSKIFDSKDDR TCIYEFEDPEVMDKIVERYNGHELHNAKIEVEIYQPQRKHSRMNAHNRRKQAVQEHGR GRPGSHYRQKPNRVSKKNKGRENNTSTSVEALDAELDAYMKG SPAR_K00110 MGYQLSATLKGHNQDVRDVVAVDDSKVASVSRDGTVRLWSKDDQ WHSTVVYTGERFLNSVCYDSEKELLLFGGKDTMINGVPLFATLGEDPLYTLIGHEGNV CSLFFQDGVVISGSWDKTAKVWKEGSLIYDLQAHSASVWDAKIVSLTENKFLTASADK TIKLWQKDKVIKTFSGIHDDVVRHLAVVDDGHFISCSNDGLIKLVDIHKGEVLRTYEG HESFVYCVKLLPNGDIVSCGEDRTVRIWSAENSSLKQVITLPAISIWSVDSMPNGDIV VGSSDNLVRIFSQDKSRWASEDEINELSKQVEKSTISSKTIEFDESKLSPYEILQSPG HKEGQIVVVKSPQGTIEAHQFSNSSWKKVGDVVGADATGNDKKVEFEGKTYDYVFDVD IEDGKPPLKLPINVSDNPYTAADNFLARYELPTSYRDQVVQFILKNTNGVSLDEEISN DNAPSSALPPPKTSVMKVLPVKQYLVMDSFNPDTIFNGIVKINSNEKTFDDEILAQIG GALHDIDESWELLLSFANTIRSNWEVKTPAYDIVRLIVKDLPYSSDINDYIEEGLGNK SITLTMLTVRILVNCFKNKNWGVKLLESNQVYKSIFETIDTEFSQASAKQSQNLAIAV STLIFNYSALVTKENSDLELLPIIADAINTKYGPLEEYQECEEAAYRLAIAYGNLATV EPTLKQFANSVTWLSNIKRSYGNVSRFKDLFDDLS SPAR_K00120 MTGPLVYVQNGDGIFFKLAEGKGTNDAVIHLANQDQGVRVLGAE EFPVQGEVVNIASLLGFIKLKLNRYAIIANTVEETGRFNGHVFYKVLQHSVVSTKFNS RIDSEEAEYIKLLELHLKNSTFYFSYTYDLTNSLQRNEKVGPAPSWKTADERFFWNHY LTEDLRNFANQDSRIDAFIQPVIYGYAKTVDAVLNATPIVLGLITRRSIFRAGTRYFR RGVDNDGNVGNFNETEQILLVENPESEKTHVFSFLQTRGSVPIYWAEINNLKYKPNLV LGENSLDATKKHFDQQKELYGDNYLVNLVNQKGHELPVKEGYESVVHALNDPKIHYVY FDFHHECRKMQWHRVKLLIDHLEKLGLSNQDFFHKVIDSNGNTVQIVKEQHSVVRTNC MDCLDRTNVVQSVLAQWVLQKEFETANIIDTGSTWEDNAPLLTSYQNLWADNADAVSV AYSGTGALKTDFTRTGKRTRLGAFNDFLNSASRYYQNNWTDGPRQDSYDLFLGGFRPH TASIKSPFPDRRPVYIQLIPMIICAALTVLGATIFFPKDRFTSSKNLLYFAGASIVLV LSTKFMFKNGIQYVNWPKLVDVGFLVVHQTHDKEQQFKGLKYAQSPKFSKPDPLKRD SPAR_K00130 MSVHAATNPINKHVVLIDNYDSFTWNVYEYLCQEGAKVSVYRND AITVPEIAALNPDTLLISPGPGHPKTDSGISRDCIRYFTGKIPVFGICMGQQCMFDVF GGEVAYAGEIVHGKTSPISHDNCGFFKNVPQGIAVTRYHSLAGTESSLPSCLKVTAST ENGIIMGVRHKKYTVEGVQFHPESILTEEGHLMIRNILNVSGGTWEENKLSPSNSILD RIYAQRKIDVNEQSKIPGFTFQDLQCNYDLGLAPPVQDFYAVLSSTHKRAAVLAEVKR ASPSKGPICLKAVAAEQALKYAEAGASAISVLTEPHWFHGSLQDLVNVRKILDLKFPL KERPCILRKEFIFSKYQILEARLAGADTVLLIVKMLSQPLLKELYCYSKELNMEPLVE VNSKEELQRALEIGAKVIGVNNRDLHSFNVDLNTTSNLVESTPKDVLLIALSGITTRE DAEKYKKEGVHGFLVGEALMKSTDVKKFIHELCE SPAR_K00140 MSSNNSGVSAAAGEIDESLYSRQLYVLGKEAMLKMQTSNVLILG LKGLGVEIAKNVVLAGVKSMTLFDPEPVQLADLSTQFFLTEKDIGQKRGDVTRTKLAE LNAYVPVNVLDSLDDVTQLSQYQVVVATDTVSLEDKVKINEFCHSSGIRFISSETRGL FGNTFVDLGDEFTVLDPTGEEPRTGMVSDIEPDGTVTMLDDNRHGLEDGNFVRFSEVE GLDKLNDGTLFKVEVLGPFAFRIGSVKEFGEYKKGGIFTEVKVPRKISFKSLKQQLSN PEFIFADFAKFDRTAQLHLGFQALHQFAVRHNGQLPRTMNDEDANELIKLVTDLSVQQ PEVLGEGVDVNEDLIRELSYQARGDIPGVVAFFGGLVAQEVLKACSGKFTPLKQFMYF DSLESLPDPKNFLRNEKSTQPINSRYDNQIAVFGLDFQKRIANSKVFLVGSGAIGCEM LKNWALLGLGSGSDGYIVVTDNDSIEKSNLNRQFLFRPKDVGKNKSEVAAEAVCAMNP DLKGKINAKIDKVGPETEEIFNDSFWGSLDFVTNALDNVDARTYVDRRCVFYRKPLLE SGTLGTKGNTQVIIPRLTESYSSSRDPPEKSIPLCTLRSFPNKIDHTIAWAKSLFQGY FTDSAENVNMYLTQPNFVEQTLKQSGDVKGVLESISDSLSNRPHNFEDCIKWARLEFE KKFNHDIKQLLFNFPKDAKTSNGEPFWSGAKRAPTPLEFDIYNNDHFHFVVAGANLRA YNYDIKSDDSDSKPNVDEYKSVIDHMIIPEFTPNANLKIQVNDDDPDPNANAVSGSDE IDQLASSLPDPSTLAGFKLEPVDFEKDDDTNHHIEFITACSNCRAQNYFIETADRQKT KFIAGRIIPAIATTTSLVTGLVNLELYKLVDNKTDIEQYKNGFVNLALPFFGFSEPIA SPKGEYNNKKYDKIWDRFDIKGDIKLSELIEHFEKDEGLEITMLSYGVSLLYASFFPP KKLKERLNLPITQLVKLVTKKDIPAHVSTMILEICADDKEGEDVEVPFITIHL SPAR_K00150 MNFRDFKAARHYHIFRNVNIRSDYKLLTIMVIGTVATGLVPAIT SILTGRVFDLLSVFVISGSHHVLYPQLVRRSMAVMALGVASVPVMWLSLTSWMHIGER QGFRIRSQILEAYLEKKPMEWYDTNEELLGDFTQINRCVEELRSSSAEASAITFQNLV AICALLGTSFYYSWSLTLIILCSSPIITFSAVLFSRMIHMYSEKENSETSKAAQLFTW SMNAAQLVRLYCTQPLERKNFREIILNCNTFFIRSCFFVAANAGILRFLTLTMFVQGF WFGSAMIKKGKLNINDVITCFHSCIMLGSTLNNTLHQIVVLQKGEVALRKILTLLEDG PKRNPLNKIVAPQYPLEYATSDLTFDNVSFSYPSRPSETVLENVNLNFPAGRFTFIVG KSGSGKSTLSNLLLKFYDGYDGSISINGRNIQTIDRKLLIENITVVEQRCTLFNDTLR NNILLGSTDSIRNADYSTSENRDLIKDACRMALLDRLILDLPNGLETLIGSGGITLSG GQQQRVAIARAFIRDTPILFLDEAVSALDIVHRNLLMKAIRHWRKGKTTIISTHELGQ IESDDYLYLMKEGKIVESGIQSELLSDPTTTFSTWYHLQNDYSDAKTIVNTEIEEKSI QTVESVNSQLETPKFEAGLSNLGYDEADQLSFYEAIYQKRSNCKTRRIKIEEENTEYV LEQQNNIESATGPQLLSIIQIIRRMIKSIRYKKTLILGLLCSFIAGATNPVFSYTFSF LLEGIVPLTDGDTGSSYYLAKWSFVVLGVAAADGIFNFAKGFLLGYCSEYWIMDLRNE VMEKLTRKNMNWFSGENNKASEISALVLNDLRDLRSLVSEFLSAMTSFITVSTIGLIW ALVSGWKLSLVCISMFPLIIIFSAIYGGILQKYETDYKTSVAQLENCLYQIVTSIKTI KCLQAEFHFQMSYHELKIKMQQIASKRAIATGFGISMTNMIAMCIQAILYYYGLKLVM IHEYTSKKMFATFTLLLFTIMSCTSLVSQIPDISRGQRAASFIYRILDEKRDTLEVEN NNARVIGIAGRTYYEKEKIPIVSIQNLTFAYPSAPTAFVYKHMKLDMFCGQTLGIIGE SGSGKSTLVLLLTRLYDCEIGKIKIDGTDVNDWNLTSLRGEISVVEQKPLLFNGTIRD NLTYGCLGEIPEIEMYDALKYVGIYDFVTSSFQGLDTRIDTTLLSGGQAQRLCIARAL LRKSKILILDECTSALDSVSSCIINEIVKKGPPALLTIVITHNEQMMRSCNSIAVFKD GKVVEQGNFDALYNNRGELFRIVSNRSS SPAR_K00160 MVNYGNHSSEVLKVLKTPKFVLRHGNVTGKQRFALKRKINDKLC EDKYQEYLNEYNTFVLYDWESSGAGSLVDSSYNLPSLWKEFIREGVSKGAINDKLPTV FMKRKLANSALGRCLGLDFLTDPSESRHEYRCMFQTVQDIPSLSQLILFNSMPNVPVR LKVHTVGININSGSKRSVVSNASGGDTGMSKAVTYIQPLLEESSRMYRNLSYWKLLKI ARSNKGDGPLDESMRIKSQVKFLLTQLAMNRITSPSITDHGGQNWLIFTRRLS SPAR_K00170 MVINQRLRQLSFKDIHKTRGNIQQASSPQMLLDDQLKYWVLLPI SIVMVLTGVLKQYIMTLITGSSANEAQPRVKLTEWQYLQWAQLLIGNGGNLSSEAFAA KKEFLIKDLTEERHLAKAKQQDGSQAGEMPNPFNDPSMSNAMMNMAKGNMASFIPQTI IMWWVNHFFAGFILMQLPFPLTSKFKEMLQTGIICQDLDVRWVSSISWYFISVLGLNP VYNLIGLNDQDMGIQAGMGGPQGPQGPPQSQVDKAMHAMANDLTIIQHETCLDNVEQR VLKQYM SPAR_K00180 MSCLVLPLVSVGNIPQLSIDWLLNSQANEWEYLEALDSKYLVEF VGPLDRPEDGSDSLYKDVDMKYSSALEVFYNKKRGIYAIQQRTPLVSVNYLNNFIVEI ILPFLSKYNISEICIWDSLCAMEDENGVIVRPHEVFSLGEFYFDDEADLLSNLHLNDQ ESMVNDWLHFTPASFQDKISVDQPIFKILFQILNASQRPQALRSIKYCSCLANEGDNS LDSQKFLQWIISQKTINNLPPIAKFIRPISWQGAYGMPDSRDKFVDLYN SPAR_K00190 MLERIQQLVNAVNDPRSDVATKRQAIELLNGIKSSENALEIFIS LVINENSNELLKFYGLSTLIELMTEGMNANPNGLNLVKFEITKWLKFQVLANKETKLP DFLMNKISEVLTTLFMLMYSDCNGNQWNSFFDDLMNLFQVDSAISNSSPSTDGNILLG LEFFNKLCLMINSEIADQSFIRSKESQLKNNNIKDWMRDNDIMKLSNVWFQCLKLDEQ IVSQCPGLINSTLDCIGSFISWIDINLIIDANNYYLQLIYKFLNHKETKISCYNCILA IISKKMKPMDKLAFLNMINLTNELNYYHQAISMNPQIITFDNLEVWESLTKLITSFGM EFTIIIEQVNDDPKLDTLYKQSVISNVDTILLEKIIPILLEFMNNEFDSITGKTFPFW SNYLAFLKKYKASSPNFIPLHKDFLNNFQQICFKRMKFSDDEITQDDFEEFNETIRFK LKNFQEIIVVIDPSLFLNNITQEISTNLMNCKNENWQVFELTIYQIFNLSECIKNNYF GLNKNEIMTSQPSLTLVRFLNELLMMKDFLLAIDNEQIQILFMELIVKNYNFIFSTSA NAAIATDDDEKYLLILNIFMSSFAMFNKRENVRLRSWYLFTRFLKLTRINLKKILFTN KNLVNEITSKISPLLHIKVTSINAQGTDDNDTIFDNQLYIFEGIGFIITLNNSSQELT AATANTTMDYDILDQILTPLFTQLEGCITQGASPVVILECHHILMAIGTLARGLHIGL VPENQVNNMMVNKKLINDSLIHKFSNIAEVILVTFSFFNKFENIRDASRFTFARLIPI LSNKILPFINKLIELILSSTDLKSWEMIDFLGFLSQLIHMFHTDTDCYQLFNQLLTPL INKVHSIIEEIDEQHHQQSSINKPIDTSVTATSSVNKNIVVTDSYRDKILLKKAYYTF LQSFTNNSVTSILLSDINRAILPVILNDLVTYTPQEIQETSMMKVSLNVLCNFIKCFG NGTCLDNEDINKDPNLKIDGLNEYFIMKCVPIIFEIPFNPIYKFNIKEGNFKTMAYDL ARLLRELFIVSSNPTTNENECVKYLTQIYLPQIQLPQELTIQLVNMLTTMGQKQFEKW FVSNFISVLKQDQ SPAR_K00200 MELNDPSIISTTQFSGELSDSDAAAATHKSQQAISNLFQKLAKK DREEKPIGSVESSTDSSNISIATSTNNKESNRKKNKKTTMLNFSSLTDPITNYKPMEL QYKTYAYSMNELYHLKPSLASPSYEEDPLISELVKSLPKRKFWRLRMGPPDQKHANNH HFNGSNGGGSWKAGYKNGKSDERRMSRTKNMQGGKRRSQQDDEDKKIDQEMLEMDKNL QLGGDVGHSIADFEDWKAKMKELELKKVSKSKGISNSAAVAPREGAAHETPIDLRPAM PKGSSSITDFLNLKRQDKKEEPLQQTTGIPIGQPNLSKASIEQVNDLETNSDLGKSSS SRFSSFFNKSATSLPSLDTNNQAPSPNVSVVNNDGNGTPHQSGSRLMSFFKESRSSTP NAESQLSSTSEKDTGKMHTLPQFQQQPQQMQPMVFPQHPPNNNAFFNGLLNKGKSETS TPPPPPGLIPHQGPQFPMMGVPPNFPQHMMPPPPGLVQFQKDSKDVNKKVDKQPRQNK NANGSRNSKGKQAATVTSDLPQQQYMPPPPPPGFFPMHPNFPNGPMPPLPQGFPMPPN GMLPVAGQQPQPPYPNMMLQGNFPPNFQQGFSSNSRMPIPPIINGNGKNITNQLPPGL NSKKNIKRNA SPAR_K00210 MNKYINKYTTPPNLLSLRQRGEGKHRTRKKLTHKSHSHDDEMST TSNTDSNHNGPNDSGRVINGSAGHIGKISFVDPELDTTFSTLNLIFDKLKSDVPQERA SGANELSTTLTSLAREVSAEQFQRFSNSLNNKIFELIHGFTSSEKIGGILAVDTLISF YLSTEELPNQTSRLANYLRVLIPSSDIEVMRLAANTLGRLAVPGGTLTSDFVEFEVRT CIDWLTLTADNNSSNSKLEYRRHAALLIIKALADNSPYLLYPYVNSILDNIWVPLRDA KLIIRLDAAVALGKCLTIIQDRDPALGKQWFQRLFQGCTHGLSLNTNDSVHATLLVFR ELLSLKAPYLRDKYDDIYKATMKYKEYKFDVIRREVYAILPLLAAFDPAIFTNKYLDR IMVHYLRYLKNIDMNAANNSDKPFILVSIGDIAFEVSSSISPYMTLILDNIREGLRTK FKLRKQFEKDLFYCIGKLACALGPAFAKHLNKDLLNLMLNCPMSDHMQETLMILNEKI PSLESTVNSRILNLLSISLSGEKFTQSNQYDFNNQFSIEKARKSRNQSFMKKTGESND DITDAQILIQCFKMLQLIHHQYSLTEFVRLITISYIEHEDPSVRKLAALTSCDLFIKD DICKQTSVHALHSVSEVLSKLLMIAITDPVAEIRLEILQHLGSNFDPQLAQPDNLRLL FMALNDEIFGIQLEAIKIIGRLSSVNPAYVVPSLRKTLLELLTQLKYSNMPKKKEESA TLLCTLINSSDEVAKPYIDPILDVILPKCQDASSAVASTALKVLGELSVVGGKEMTRY LKELMPLIINTFQDQSNSFKRDAALTTLGQLAASSGYVVGPLLDYPELLGILINILKT ENNPHIRRGTVRLIGILGALDPYKHREIEVTSNSKSSVEQNAPSIDIALLMQGVSPSN DEYYPTVVIHNLMKILNDPSLSIHHTAAIQAIMHIFQNLGLRCVTFLDQIIPGIILVM RSCPPSQLDFYFQQLGSLISIVKQHIRPHVEKIYGVIREFFPIIKLQITIISVIESIS KALEGEFKRFVPETLTFFLDILENDQSNKRIVSIRILKSLVTFGPNLEDYSHLIMPIV VRMTEYSAGSLKKISIITLGRLAKNINLSEMSSRIVQALVRILNNGDRELTKATMNTL SLLLLQLGTDFVVFVPVINKALLRNRIQHSVYDQLVNKLLNNEGLPTNIIFDKENEAP ERGKYEDELQVTKLPVNQNILKNAWYCSQQKTKEDWQEWIRRLSIQLLKESPSACLRS CSSLVSVYYPLARELFNASFSSCWVVLQTSYQEDLVQALCKALSSSENPPEIYQMLLN LVEFMEHDDKPLPIPIHTLGKYAQKCHAFAKALHYKEVEFLEEPKNSTIEALISIDNQ LHQTDSAIGILKHAQQHNELQLKETWYEKLQRWEDALAAYNEKEAAGEDSVEVMMGKL RSLYALGEWEELSKLASEKWGTAKPEVKKAMAPLAAGAAWGLEQWDEIAQYTSVMKSQ SPDKEFYDAILCLHRNNFKKAEVHIFNARDLLVTELSALVNESYNRAYNVVVRAQIIA ELEEIIKYKKLPQNSDKRSIMRETWNTRLLGCQKNIDVWQRILRVRSLVIKPKQDAQV RIKFANLCRKSGRMALAKKVLNTLLEETDDPDHPNTAKASPPVVYAQLKYLWATGLQD EALKQLINFTSRMAHDLGLDPNNMIAQSVPQQSEKVPCHIEDYTKLLARCFLKQGEWR VCLQPKWRLSNPDSILGSYLLATHFDSTWYKAWHNWALANFEVISMLTSVSKKKQEGS DASSVTDINEFGNGMIGVNTFDAKEIHYSSNLINRHVIPAIKGFFHSISLSESSSLQD ALRLLTLWFTFGGIPEATQAMHEGFNLIQIGTWLEVLPQLISRIHQPNQIVSRSLLSL LSDLGKAHPQALVYPLMVAIKSESLSRQKAALSIIEKMRIHSPVLVDQAELVSHELIR MAVLWHEQWYEGLDDASRQFFGEHNTEKMFAALEPLYEKLKRGPETLREISFQNSFGR DLDDAYEWLMNYKKSKDVSNLNQAWDIYYNVFRKIGKQLPQLQTLELQHVSPKLLSAH DLELAVPGTRASGGKPIVKISKFEPVFSVISSKQRPRKFCIKGSDGKDYKYLLKGHED IRQDSLVMQLFGLVNTLLQNDAECFRRHLDIQQYPAIPLSPKSGLLGWVPNSDTFHVL IREHREAKKIPLNIEHWVMLQMAPDYDNLTLLQKVEVFTYALNNTEGQDLYKVLWLKS RSSETWLERRTTYTRSLAVMSMTGYILGLGDRHPSNLMLDRITGKVIHIDFGDCFEAA ILREKFPEKVPFRLTRMLTYAMEVSGIEGSFRITCENVMKVLRDNKDSLMAILEAFAF DPLINWGFDLPTKKIEEETGIQLPVMNANELLSNGTITEEEVQKVENEHKNAIRNARA MLVLRRITDKLTGNDIRKFNDLDVPEQVDKLIQQATSVENLCQHYIGWCPFW SPAR_K00220 MLQRISSKLHRRFLSGLLRVKHYPLRRILLPLILLQIIIITFIW SNSPQRNGLGQEADYLLPNYNEIDSDDDSWYGLLTSSFKNDRRIQFAKTLYENLKFST NPKWVNEYTLQNDLLSVKMGPQKGTKLESVDDLKFYDFDPRLTWSVVLNHLQNNSADK PEELPFSWYDWTTFHELNKLISIDKTVLPCNFLFQSAFDKESLEAIEAELDEPLFLYE RPKYTQKLWYKAARKQDRIKDTKELKKHCSKLFTPDGHGTPKGLKFSTQFQIKELYDK VRPEVYQLQARNYILTTQSHPLSISIIESDNSTYQVPLQTNKSKNLVQSGLLQEYIND NINSTNKRRKKNKQDVEFNHNRFFQEFITNDQVNSLYKLDIKETDKSTFNRDLVHLSP SDFKFDASKKIEELESQRKLNPDKFSAHNENYLNSLKNSVKINPALQRKFFYEAGAVK QYKGMGFHRDKRFFNGDTLINDKQEYQARLNAMIRTFQKFTKANGIISWLSHGTLYGY LYNGMAFPWDNDFDLQMPIKHLQLLSQYFNQSLILEDPRQGNGRYFLDVSDSLTVRIN GNGKNNIDARFIDVDTGLYIDITGLASTSAPSRDYLNSYIEERLQEEHLDINNIPESD GETATLPDKVDDGLVNMATLNITELRDYITGDENKSHKRVPTDTDLKDLLKKELEDIP KSKTIENKLNPKQRYFLNDKLKLYNCRNNHFNSFEELSPLINTIFHGVPALIPHRHTY CLHNEYHVPDRYAFDAYKNTAYLPEFRFWFEYNNLKKCSNINSWYPNIPSINSWNPNL LKEISSTKFESKLFDSNKVSEYSFKNLSMDDVSLIYKNIPRAGFIEIFTNLYNSFNVT AYRQKELEIQYCQNLTFIEKKKLLHQLRINVAPKLSSPAKDPFLFSYEKAMWEDLSKS MNQTTLNQIAKMVHEEYVGKIIDLSVGLKYRNFSLFNITFDETGALPDDNTNDYTPAN TVDINPVDFRSNLNFSSNAFLNLNSYGLDLFAPTLSDVNRKGIQMFDKDPIIVYEDYA YAKVLEERKKREKKKKEEEEKKKKEEEKKKKEEEKKKKEEEKKKKEEEEKKKKEEEEK KKKEEEERKKKEEEEKKKKEEEEKKKKEEEERKRKEEEEKKKKEEEEKKKKEEEEKKK KEEEDKNNQNLETQIENS SPAR_K00230 MTASHNHSTKISQQPISSVSAFKFFGKKLLSSNHGSKLKKKASL PPNFHSASTNDSQSSSSKLPSSLKTSRRANSFVHTTNNKSTSSPASAKILRPGGSSTS ISRGNRHSSTSRNASNSKFSSERLVYNPYGVSTPSTSLSSVSTSMKKDPDLGFYLHDG DSKIRMLPIPIVDPNEYLPDEIKEASVQLSDNFVFDDENKTIGWGGSCEVRKIRSKYR KKDVFALKKLNMIYNETPEKFYKRCSKEFIIAKQLSHNVHITNTFLLVKVPTTVYTTR GWGFVMELGLRDLFAMIQKSGWRSVALAEKFCIFKQVACGVKFCHDQGIAHRDLKPEN VLLSPEGVCKLTDFGISDWYHTDPHDLSSPVKKCAGMIGSPPYAPPEVMYYDSKKHYD AELQQPYDPRALDCYGLGIILMTLVNNVIPFLESCSFDTGFRDYCDAYENFTRLHDRA FRDRGNYRPGPGMEYHLARNFKNGHASRVAWRLADPEAVTRYTMDDLFEDPWFQGIQT CVDADDKYVCKKPVIKTTTYENPRGFHIATDVAATTPTSNPFLKSRVPIRSMVDIAAH PSPTAAVAAPPPPPAHSCANGEALFTLRETPPPQLATLTLSEEPPATAATPVHGHARA NTPHRVVHHHLNIVNSMVHSSSAASSQVPAST SPAR_K00240 MTATKSLKFENLRIQFSNDIVGNFLRLPHSIINVLESTSYAIQE FGIAVHYHNSDTPIVHLGWDGHDSGLNENTVLINPVLATVYNFNQRSPLVDLYIQRYD HTHLATEVYVTPETSDDWEIIDANAMRFQNGEILHQTRIVTPGETLICYLEGIVTKFK IDRIAPSIRSARITDGSLVIVAPKVNKTRLVKAEHDHNNNTMPKSDTFQLLKKVILRS TVCKMDRPKNNLFVVYVNDGMQLPSQKGYASIVKCNPMQSKKGDSDNKIVGMHPKKIG VSIKCDSQIPENHIALSAYLWEAFFTYPINGAKIKLEFLQVSQKNMISGRNVIVNIKY FGKDISTKSGNQYIKLLGGSFLTNNLILPIEQIMVEIRKGNSVQQLCNLNGIANDSVQ WKISQLGKDEAKDIIEGHLPKVYHIEETGKVLHTSKDEDDFIIVNNIKQEMVEYLTSP IIASPAVILDGKQGIGKTRLLKELTNELKKEHHIFVKYADCETLHETSNLDKIQKLIM EWCSFCYWYGPSLIVLDNVEGLFGKPQSSEGDPSNNGQWDNASKLLNFFINQVARIFS KDNRRIRVLFSGKEKTQINPLLFDKHFASESWSLRAPDKHARAKLLEYFFSKNQLMKL NRDVQFSDLSLETEGFSPLDLKIFTEKIFYDLQLQKNCDDVVTRELFLKSLGGFTPSA LRGVKLTKETNIRWGDIGALASAKGVLLETLEWPTKYEPIFANCPLRLRSGILLYGYP GCGKTLLASAVAQQCGLNFISVKGPEILNKFIGASEQNIRELFERAQSVKPCILFFDE FDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLDGVYILAATSRPDLIDSALLRPGRL DKSVICNIPTESERLDILRAVVNSKDKDTGLKKFALEENADLTLIAEKTAGFSGADLQ GLCYNAYLKSVHRWLSAVRQPEEVPANDNIEYFTINENGRREENRLRLKTLLQQDVVH ETKTSTSGASERTAVVTINDLIEACQETKPSISMSELVKLGEIYDRFQKDRNGEMPNG ENSIDIGSRLSLM SPAR_K00250 MRIYYIGVFRSGGEKALELSEVKDLSQFGFFERSSVGQFMTFFA ETVASRTGAGQRQSIEEGNYIGHVYARSEGICGVLITDKEYPVRPAYTLLNKILDEYL VAHPKEEWADVTETNDALKMKQLDTYISKYQDPSQADAIMKVQQELDETKIVLHKTIE NVLQRGEKLDNLVDKSESLTASSKMFYKQAKKSNSCCIIM SPAR_K00260 MLRNLVVRNACRNRPSIQVARGLCRHQTRRLMASSPQFGRNSNQ EKTAGFIMGILSMAGALYFIAPNRKPLFASRKVESDKTAEEELSSGGEQSPENGNDND SNNDEIEAGAQLGGDKIGASKVAEDGELVVLAEEDDKSSGDKETDESQVNVPSEDGEQ SNEGNDASEEEKDKNASAESSEENTNDRALNNGTESSEENDFKHPDDKKLQQKQSDDR ATTQEDNGEDKSAEQNPSDSEKLTKQTESSDEDKEELRKQEEKQMGPTEEEVQHEGAY NPDTGEINWDCPCLGGMAHGPCGEEFKSAFSCFVYSEAEPKGIDCVEKFQHMQDCFRK HPEHYAEQLKETSDDEESQDKAKTNTIESAPNVSSAKENAAKKAEQSDVKKEPLNEES KS SPAR_K00270 MKRQLARCRCSRNVSWNRAFYSTKKTTKNSSLATPATMTSMVSQ RQDLFMTDPLSPGSMFFLPNGTKIFNKLVEFMKLQQKFKFGFNEVVTPLIYKKTLWEK SGHWENYSDDMFKVETSDEEKEEYGLKPMNCPGHCLIFGKKDRSYNELPLRFSDFSPL HRNEASGALSGLTRLRKFHQDDGHIFCAPSQVKSEIFNSLKLIDIVYNKIFPFAKGDA DTESNYFINFSTRPEHFIGDVKVWNHAEQVLKEILEESGKPWKLNPGDGAFYGPKLDI MVTDHLGKTHQVATIQLDFQLPERFDLKFKDQDNLYKRPIMIHRATFGSIERFMALLI DSNEGRWPFWLNPYQAIIIPVNTKNVQQLNMCSALQKKLRNEQEVNNMEPVTLNDWHF NVDLDIRNEPVGYRIKSAILKNYSYLIIVGDEEVELQKYNIRERDNRKSFEKLTMSQI WEKFIYLEKNYK SPAR_K00280 MDKASVNKDIEEKDKGNKIEVLDDTHPDFISADSELTQDLPDDI EVIDLVHLKIKSLEDLNLYRFKNLRQLCLRQNLIESISEVEVLPHDKIVDLDFYDNKI KHISSNVNKLTKLTSLDLSFNKIKHIKNLESLTELENLYFVQNSISKIENLSTLKSLK NLELGGNKIHSIEPNSFEGLSNLEEIWLGKNSIPRLINLHPLKNLKILSIQSNKLKKI ENLEELTNLEELYLSHNFIAKIEGLEKNLKLTTLDITSNRLTSLENLNHLPNLTDIWA SFNKIDQSFESLGKNLSNLSRLETIYLEGNPIELENKTSYRRKLTMNLPPSLQKIDAT YIKG SPAR_K00290 MFRSVCRISSRVAPSAYRTMMGRSVMTNTILAQRFYSSNLSKDQ VSQRVIDVIKAFDKNSPNIANKQISSDTQFHKDLGLDSLDTVELLVAIEEEFDIEIPD KVADDLRSVGETVDYIASNPDAN SPAR_K00300 MEVAPALSTTQSDIVFEKVETREIDRSSYLGPCYNGDELVQLIS TYYNVDALVEYLQQHHEYQSVTLQFPDHLIKDSSLIIRLLQSRFPHGTIKFWVLADTA YSACCVDEVAAEHVNAGLVVHFGDACLNAIQNLPVVYSFGTPFLDLALVVENFQRAFP DLSLKICLMANAPFSKHLSQLYSILKSDLHYTNIIYSQVKTSVVEEDFVTILDTFHVP EDVDQVGTFGNNSILFGQHDKAEDILPEEYHLFHLTTPQDPRLLYLSTMFQSVQIFDP ALPGIVTGPFPSLMRRYKYMHVARTAGCIGILVNTLSLRNTRETINGLVKLIKAREKK HYLFVVGKPNVAKLANFEDIDIWCILGCSQSGIIVDQFNEFYKPIITPYELNLALSEE VTWTGKWVVDFKDAIDEIEQNLGGDDTVSASTATDEPEFDVVRGRYTSTSRPLRALTH LELEAADDDDSKQLTTRHTASGAVIKGTVSTSAAALQNRSWKGLGSDFDSIEVDDTGA DIEEGISGVARGYGFDRKDAIDKEDK SPAR_K00310 MGAAPSRIVDGLLEDTNFDRDEIERLRKRFMKLDRDSSGSIDKN EFMSIPGVSSNPLAGRIMEVFDADNSGDVDFQEFITGLSIFSGRGSKDEKLRFAFKIY DIDKDGFISNGELFIVLKIMVGSNLDDEQLQQIVDRTIVENDSDGDGRLSFEEFKNAI ETTEVAKSLTLQYDI SPAR_K00320 MFKKYKNQDLDMAFWWKKNPKTPSDYARLIIEQLNKFGSPSLTQ DNKRKVQEECTKYLIGTKHFIVGDTEPRPTPEAIDELYTAMHRADVFYELLLHFVDLE FEARRECMLIFSICLGYSKDNKFVTVDYLVSQPKTISLMLRTAEVALQQKGCQDIFLT VGNMIIECIKYEQLCRIILKDPQLWKFFEFAKLGNFEISTESLQILSAAFTAHPKLVS KEFFSNESNIIRFIKCINKLMAHGSYVTKRQSTKLLASLIVIRSNNALMNIYINSPEN LKLIMTLMTDKSKNLQLEAFNVFKVMVANPRKSKPVFDILVKNRDKLLTYFKTFGLDS QDSTFLDEREFIVQEIDSLPRIISSTTETSNNNASSSNVANMNSPSSVMNNQSSILTH STSPDSR SPAR_K00330 MISTASAFYHKHRVNLLRSSYIILLLATLYNSNSGSSNNRTDKK DSESTVLDNKKVGEGKEAEADKEENESSKEELTIISKHSTDLEEGTITIDKESKTNQK DVQRKGKVDFLFKLLLHDKKCLILFITQAILLNIRTLLSLRVATLDGQLVSTLVRAQY ANFAKILLGKWMILGIPASFINSLISYTTKLCAVTINRKVSDFLLSKYLSNHHTFYSV ASAESVSEIQDNLTRDIYTFSMNSSLLLNQLLKPMLDLILCSFKLLTSNTSVMGEGTL ALGLIVYASNSLLKLIQPNFTRLTMASASLESWFRSLHSNLHSSNEEIALLRGQKREL ENVDYSFYRLVLFLNREIKARAIYDVATAFVIKYTWGAAGLVLCSIPIFFKNNPSEDT LELKEPKNDMTADFITNRRLLVTASSSIGRFVELKRNIQQLRGIRLRLNKFNDLLDAN KGDGDKEPSDEGCIVKYDDSRIKFENIPLITPANQVLIPELSFDLEHGNHLLIIGPNG CGKSSLFRILGGLWPIRATPNKNHQSKLIMPRRTVDRDCAIFYLPQRPYMGNRSTFRE QIIYPDSIEQFEEKYHNDYDLGDADLVKILQLLDLEDLVTENMSLLLAQRTSKNDAQQ LSTEDNQSPCTIKIRDAFSIVRNWSEELTIGVQQRLAMARMYYHKPKFAVLDECTSAV APEMEQRMYENAQKFGISLISVCHRTSLWHFHNYLLKFDGKGGYQFGPFKPEERLSNE EKLLELNAILDQQVPLWERKLKDLTIAKESNIIRKSETNLNLFEKVEDPKTSKSNALF NLNKEQRITSPTGQETSKRLPLFPKSSPSASSNLLRNNKIMNKKVKNKKEQGKER SPAR_K00340 MKIAKHRTPLTKGIIWTILSVCLLFMFATLILVIVATAGSTANY KPLTNIYIGEADIKHINVSKVIPQIGPILTILGSALTAPNSSLDDIFGAMKNIADTPA LTPLLTLLSNADNTTVTIESLTDLAPLAISGNPASSTRQLSEINGLLKYSDNATETLD GLSRLVSTSLSSGSSNSSSDSTTMVLDLLKDSDNPQNSTDALLTLNNLTMSEKAQLLP VFRLFALSTNQTATMTALATLMNTTISSSLAQTLLTQLQNTISNGGSLNNTFSTLQPL VPQASTPAFSAVELLLNETTSTNQTLSTLSDLLEKNVTQSSSAKTAFAALSQLMDNSN NSTMVVTSVQSLAAVTNTTQSTQQLIGLDDVISSSSNTNETLSILSELQSGLSGNSST TQYIPYLFNLLGASTDPKTTFSSLVTLTSWAQENPQTFLPILDILADAKSVQPISPEE LNAMTPNILEYLKIPIYYRLSIFTLCHANLENKILDCNSPHAVQNLDFRSIIYDALVT SDFQPYLNALNITANDLYLEGKLLHREHQYVPAVKSVLALNLLAIIFSFFTMIFIILL YFNRYMFKQPLWLIALALHVCVGVATILAAIIISVMIAIIKSGTADDKYGVVFKAGPA YAGLIWTAFALSFIATGLIIYTWWRNRRSGRYMPGTVMNNKGKNYTYGDGATISADQN LDDDDADVEKHVNRNEITAIDGSSSANNTDVTGSTSDRTELDHPDVPPNVSNGPVVNN NAHLVA SPAR_K00350 MNTNSNAMVMNDANQAQITATFTKKILAHLDDPDSNKLAQFVQL FNPNNCRIILNATPFAQATVFLQMWQNQVVQTQHALTGIDYHAIPGSGTLICNVNCKV RFDESGRDKMGQDATIPIQPNNSGNRNRLNDMNKPRPLWGPYFGLSLQLIIDDRIFRN DFNGVISGFNYNMVYKPDDSLLKIQ SPAR_K00360 MSSLYIKTPLHALSAGPDSHSNGSYYDNLLLPSFSNLSRTVNKN NITTDNNINSASPRKYSFHSLNVSPILPPMTLANEILGKKSNTAPASPHHMAYNPISS LTPGNSPEFNKASLSQISFTNPLNYGSGSGLSSYSQPRLPLLDRLSTVSLSKRPEHPQ QILPSLRHLQLLPSPLLQENAARFPDTSKRTSNWKTDLTHWCKDTNYQDYVKIREEVA HFKPLSIPNLINQNNDCLEYGDKPESTKSSKYHSPSNGTFARTRLIPSILEAKDQFKD LSNNAWSITPPVTPPMSPPTNRTMERTTFRGVDASFFEGKSSNDDTIFNPIISEKLVQ EVKQQRQLRGSSFPIPNASHKKTNSFKALQIKKLLANRDILSNNSKSSIRKPSKNKIS KQAPNVFGNTARQLVMKLDNTNYSSVSASSSPPPSTPTKSGKARSRSSSPVRPKAYTP SPKSPNYHKFALDSPPQSPRRSSNSSMTKKGSRRSSGSSPTRHNTRVCVSCHSSDSPC WRPSWSPRKQDQLCNSCGLRYKKTHTRCLNDLCRKIPTKGEINIMKSNGIDKEFVPER NCEIEGYRCLFCNYITETVEN SPAR_K00370 MSSTQVGNALSSSTTTLVDLSNSALIQRKQYSKNEKALNDLLLG LKNNRDLELLPHEQAHPEIFQALKARIGKINNETCDPGEENSFFICDLGEVKRLFENW VKELPRIKPFYAVKCNPNTKVLSLLADLGVNFDCASKVEIDRVLSMNISPDRIVYANP CKVASFIRYAASKNVMKSTFDNVEELHKIKKFHPKSQLLLRIATDDSTAQCRLSTKYG CEMDKIDILLKAIKELNLNLVGVSFHVGSGASDFTSLYKAVRDARKVFDKAANEYNLP PLKILDIGGGFQFESFKESTAVLRLALEEFFPVNCGVDIIAEPGRYFVATAFTLASHV IAKRKLSENEAMIYTNDGVYGNMNCILFDHQEPHPRTLYHDLEFHYNDFESTTAALDS INKTRAEYPYKVSIWGPTCDGLDCIAKKYYMKHDVVVGDWFYFPGLGAYTSSAATQFN GFEQTADIVYIDSEID SPAR_K00380 MLEKKLKCQIARTKPSVENVIPYNQFKKTQPRFNGNFSTLNNEE YIILFGGGRDLILGSLTPCSSTHLSNQADSQDPSEYGTDLFILNSCIIIWFNGLGYGL EIPYSSVLYHASRQLPDGREGLRLEILLTLERDEVLDMLYQSLAPQASEFDGEEAHAF TVRSVELTIRPKYSIYDRHYNNEIETLFTFENFGVNRGDDLVNNCNEALAVCMDLHGG DVQDQDQEQYQDPSMAFEGAQDLNATYSGLGDTLHGPPVYQNDGLADDLDGDLVMDNV VARGGPEASMSMEFYANQNLAGRKNARDE SPAR_K00390 MDAYSTRPLTLSHGSLEHVLLVPTASFFVASQLQEQFNKILPEP TEGFAADDEPTTPAELVGKFLGYVSSLVEPSKVGQFDQVLNLCLTEFENCYLEGNDIH ALAAKLLQENDTTLVKTKELIKNYITARIMAKRPFDKKSNSALFKAVGEGNAQLVAIF GGQGNTDDYFEELRDLYQTYHVLVGDLIKFSAETLSELIRTTLDAEKVFTQGLNILEW LENPSNTPDKDYLLSIPISCPLIGVIQLAHYVVTAKLLGFTPGELRSYLKGATGHSQG LVTAVAIAETESWESFFVSVRKAITVLFFIGVRCYEAYPNTSLPPSILEDSLENNEGV PSPMLSISNLTQEQVQDYVNKTNSHLPAGKQVEISLVNGAKNLVVSGPPQSLYGLNLT LRKAKAPSGLDQSRIPFSERKLKFSNRFLPVASPFHSHLLVPASDLINKDLVKNNVSF NAKDIQIPVYDTFDGSDLRVLSGSISERIVDCIIRLPVKWETTTQFKATHILDFGPGG ASGLGVLTHRNKDGTGVRVIVAGTLDINPEDDYGFKQEIFDVTSNGLKKNPNWLEEYH PKLIKNKSGKVFVETKFSKLIGRPPLLVPGMTPCTVSPDFVAATTNAGYTIELAGGGY FSAAGMTAAIDSVVSQIEKGSTFGINLIYVNPFMLQWGIPLIKELRGKGYPIQFLTIG AGVPSLEVASEYIETLGLKYLGLKPGSIDAISQVINIAKAHPNFPIALQWTGGRGGGH HSFEDAHTPMLQMYSKIRRHPNIMLIFGSGFGSADDTYPYLTGEWSTKFDYPPMPFDG FLFGSRVMIAKEVKTSPDAKKCIAACTGVPDDKWEQTYKKPTGGIVTVRSEMGEPIHK IATRGVMLWKEFDETIFNLPKTKLVPTLEAKRDYIISRLNADFQKPWFATVNGQARDL ATMTYEEVAKRLVELMFIRSTNSWFDVTWRTFTGDFLRRVEERFTKSKTLSLIQSYSL LDKPDEAIEKVFNAYPAAKEQFLNAQDIDHFLSMCQNPMQKPVPFVPVLDRRFEIFFK KDSLWQSEHLEAVVDQDVQRTCILHGPVAAQFTKVIDEPIKSIMDGIHDGHIKKLLHQ YYGDDETKIPAVEYFGGESPVDVQSQVDSSSVSEDSAIFKATSSTDEESWFKALAGSE INWRHASFLCSFITQDKMFVSNPIRKVFKPSQGMVVEISNGNTSSKTVVTLSEPVQGE LKPTVVLKLLKDSMIQMEMIENRTMDGEPVSLPLLYNFNPDNGFAPISEVMEDRNQRI KEMYWKLWIDEPFNLDFDPRDVIKGKDFEITAKEVYDFTHAVGNNCEDFVSRPDRTVL APMDFAIVVGWRAIIKAIFPNTVDGDLLKLVHLSNGYKMIPGAKPLQVGDVVSTTAVI ESVVNQPTGKIVDVVGTLSRNGKPVMEVTSSFFYRGNYTDFENTFQKTVEPVYQMHIK TSKDIAVLRSKEWFQLDDEDFELLNKTLTFETETEVTFKNANIFSSVKCFGPIKVELP TKETVEIGIVDYEAGASHGNPVVDFLKRNGSTLEQKVNLENPIPIAVLDSYTPSTNEP YARVSGDLNPIHVSRHFASYANLPGTITHGMFSSASVRALIENWAADSVSSRVRGYTC QFVDMVLPNTALKTSIQHVGMINGRKLIKFETRNEGDVVVLTGEAEIEQPVTTFVFTG QGSQEQGMGMDLYKTSKAAQDVWNRADNHFKNTYGFSILDIVINNPVNLTIHFGGEKG KKIRENYSAMIFETIVDGKLKTEKIFKEINEHSTSYTFRSEKGLLSATQFTQPALTLM EKAAFEDLKSKGLIPADATFAGHSLGEYAALASLADVMSIESLVEVVFYRGMTMQVAV PRDELGRSNYGMIAINPGRVATSFSQEALQYVVERVGKRTGWLVEIVNYNVENQQYVA AGDLRALDTVTNVLNFIKLQKIDIIELQKSLSLEEVEGHLFEIIDEASKKSAAKPRPV KLERGFACIPLLGISVPFHSTYLMNGVKPFKSFLKKNIIKENVKVARLAGKYIPNLTA KPFQVTKEYFQDVYDLTGSEPIKEIIDNWEKYEQS SPAR_K00400 MRKCKIFVGNSHPELGNMVCQRLGIEPAPCTLKKFANGETSVQI GVSVRDEDVYVIQSGSPSINDDIMELLILVSACRGGSARKITAVIPQFPYSKQCKMKK HRGAITARMLANLLVMAGADHVVSMDLHASQMQGFFTKPVDNLYGGPSLAKWIRENVE DYEDAVVVSKNPGGTKRVTALADSLKINFAMIHTDRRRSKDLYSQNKDLQQLKLRKQS MLRKNRPIVRQGDHPNEEENIILSNGIQTARIRNGHVIGDDEADDDEDAILESDSELH SIDGLDSHGLGGTYDAVDSEDEEEIPVLYREQLITLVGNVRGRSAIILDDMIDRPGSF ISAAEHLVQNCGAKKVYVVATHGIFTGDCLEELEKSDAIDTIVVTNTYPISGERLAGS KKLVTIDVSPIFAECIRRDHYGESISVLFDSLAAL SPAR_K00410 MARYGATSTNPAKSASARGSYLRVSFKNTRETAQAINGWELTKA QKYLDQVLDHQRAIPFRRFNSSIGRTAQGKEFGVTKARWPAKSVKFVQGLLQNAAANA EAKGLDATKLYVSHIQVNQAPKQRRRTYRAHGRINKYESSPSHIELVVTEKEEAVAKA AEKKVVRLTSRQRGRIAAQKRITA SPAR_K00420 MDTSIYSHALDIWAKADLTNLQKELDADVIEIKDKETLSLNSRK SLATETKKFKKLEPEEKLNSVNKIIKQYQHEIDNLTQRSKFSEKVLFDVYEKLSEAPD PQPLLQSSLEKLGKVDDSKELKEKINYLEDKLAKYADYETLKSRLLDLEQSSAKTLAK RLTAKTQEINSTWEEKGRNWKEREADLLKQLTNVQEQNKALESKISKNIDIEGNGNKD GYPENNQKEVSTRIAEYNLVTQELETTQARIYQLEKRNEELSGALAKATSEAEKETEL HAKELKLNQLESENALLSASYEQERKSTSHAINELKEQLNSIMAESESYKSELETVRR KLNNYSDYNKIKEELSALKKIEFGVNEDDSDNDTGSEEKNDNTIESSLLSANKKLQAT LAEYRSKSTAQEEERTELKKSVDQLKQQITILEEANEKLETDLEKVENVGPHFNDAAS MMSGVTRQMNNRTSHKISPTSSIIGIPEDGELTGNQSTILPIVTKQRDRFRSRNMDLE KQLRQGNSEKGKLKLEVSKLKGDNTKLYEQIRYLKAYNNSNTSTNQNPEGIDVESQYS RVYDESLHPMANFRQNELKHYKNKKLSALEKLFSSFAKVILQNKMTRMVFLFYCIGLH GLVFMMSMYVINISGYMTPEVGIVQSAKSSANTNGVLGGAENFAAGAGSVHGIN SPAR_K00430 MSYKSTIIGLCLLAVILLVPPLAWHSHTKNIPAIILITWLLIMN ITCIVDAAIWSDDDFLTRWDGKGWCDIVIKLQVGANIGISCAVTNIIYNLHAILKADS VLPDLSSWTKIVKDLVISLFTPVMIMGFSYLLQVFRYGIARYNGCQNLLSPTWITTIL YTMWMLIWSFVGAVYATLVLFVFYKKRKDVRDILHCTNSGLNLTRFARLLIFCFIIIL VMFPFSIYTFVQDLQQVDGHYSFKNTHSSTIWNTIIKFDPGRPIYNIWLYVLMSYLVF LIFGLGSDALDMYSKFLRSIKLGFVLDLWKTFVDKNKEKRVGKLLTKLSPGKEFHNPF STDSENYISTYTENYSPCAGTPISQAHFYVDYRIPDDLGRSQNKSRKNLFASKETDDI LDDIELKESRHIPYLTQGQSFDDEISLGGFSKVTLDYSEKLHNSATSNLEGESLCYSP AFKEEDSSSNEHSSESTAGP SPAR_K00440 MLGNLLRNKTSSSGSEKNSEHSDFSSVVPNVPVYCKAASTGTTK TAVGALLDTAVNVEKHSEMLSTTSPPILDHISDDLKLKLFGSRDIPYSRPIDTLQKNG GLSTDKVTSINEKTYVFRILIIEEAGQMACRNNYRDIFDYTTSKVSNSMEQIRPSELK EYIFGSPVRASDLTQCDKIRTIPNSDLVLITRIFYYTHQYNRIAISLCIPKILLPVVA ESWSCISSWLTQTQKMLIGFLTKNRIMQENTGNYGNNSVIKLSNIDIRTHYPKEIEIM VQTLQKRVIPCLRSMSEIPRLFLYPEKFKEFVHVWFKSIFNWIEIKDGPKLGFLPLLM AMVISDYRHTIKELKTSKIVILSGNMVVANKLLFILSALLEPKYEGQITIRREDITID SSAVSRNKSNNNFVDKSETELSTLTSTDNLLSRAENNSNHNVYNSNVSTNSIGSPNFH SLRKGWQIPNRRNSNTSVSVSSSESLAEVIQPSSFKSGSSSLHYLSSSISSQPGSYGS WFNKRPTISQFFQPSPSLKHNESWERLQTTAGNLQRTSSSSSLQQATSRLSLTTPQQS PSISEYDEYPWMGTPGSPNVGDASHGLPLVKNISYKFPLKNVELKRDCQRISQDDLLD EAFEKICQPSLSDLGSTYEIFPGNSSYADILTTDSDVGDGLMNKPLELLPKYTMYLTH FNNFFQLQACPVGQESESRITNSMKIDLLKADYTRSLLVSLRSRDIRDVALKREFTGN NNNNSNCSIYDENFIGKRKYVLKQKTKKIFSCGKIGKLSTSLENCVNFVESSIKSAMM LYDDNGIDGELRDSEALRIFSSLIHYCSTG SPAR_K00450 MERIPRWLLFSLISSVLCILGALCVPLLSVAFDSKRNSQSKLVN YGLSLSAGSMITTSLYMLLPRIEKSNRFKVFPGLLLGICLSFFLNYLVHAFASESLVH CADKSDHSPDSHVHPQSHSHSHSHSHSDSDSHFGNNCDIENGPSDHDYLTSTSSVSEN DPLITKDSNRPKMKKKMSLIDLLTRRKSEGECCDLNKCTPLLQSEQPEYIACVPPVIK SSQSERNVPRGGDEPEDNVQSDNKDHRGLVCMENNVGYDLENLSLYRKNFLSSHHHHS PESSENYGFNQQSRSSASSLGSDITENPALLAETQRHPDNVSLYPHHHHLETPFSKLL SIGMQTCLVLALHKFPEGFIIFYTNRSDSSKSLGFSIFLSLTIHNFVEGFAMTLPFYT AFESKWVAILITTVLGGGSQPLGALIGYFIFRGSTPRDHEPNMDLLLSITAGFLLVIG LQMFQTGIGFSDGHHHHQGEGDEEMKQSHSSGTTCLKWCCTGVLLILASALFT SPAR_K00460 MPEYTLLADNIRENIVHFDPNDLFDNLHTIVHEDDSQENEEAEH FNYDQVLDKSLLSRSSIVGLGLGLMSPVLGMCTSMAIGLINGGPLTIMLGFLISGVCI WFSSLSLGEIVSKFPMELHVGSAMLAPEKLKLVCSWYTGWLMLIGNWTMSTSITFAGA QLTISLILMTNSDLISEAHLIFYTVIVFYLVVTVVGLVNLKFARFIETINKVCVYWII YAIIFIDILLLVFHKGKFRSLKYALFHFDNNLSGYKSAFLSFIIGFQQSNFTLQGFSM LPALADEVKVPERDIPRGMSNAVLLSAFSGVIFLIPIMLILPDNDLLFTNHKVLPIVN IFTKSTDSLVLSFFLVLLILGNLLFSGIGSITTSSRAVYSFSRDQAIPYYDKWTYVEP NSQSKVPKNSVVLSMVISYFLGLLALISTAAFNAFIGAAVLCLCSATFIPLVLVLFTR RRAIQSAPVKIRYKFGWFINIVSIAWLLLSMVSVCLPTQMPVTFKTMNYALMVYIFCI LVITGLYFRWGKYNFRLPLADDIKAPIPSDPEETVFELEDSNVEHTLNSGATVKEPAG ENSEESFMKIHPRDSSENPFEENEENVITDYSDEHYTGEQGFDLADDRRYDI SPAR_K00470 MEGDDLFDEFGNLVGVDPFDSDEEESALDEQEEYETGTLEESGK NNEIESRQFTSSEGYNEVGTALDHPYGKEVEVLIETENKQSAKTPLVEPVAERTKLQE HTIFTQLKKNVPKTRYNRDYMLSMADIPERIINVGVIGPLHSGKSSLMDLLVIDSHKR IPDMSKKIELGWKPLRYMDNLKQEIDRGVSIKLNGSTLLCTDLKSKSNMINFLDAPGH VNFMDETAVALAASDLVLIVIDVVEGVTCVVEQLIKQSIRNKLAMCFVLNKLDRLILD LKLPPTDAYLKLSHIIADINSFTKGQVFSPIRNNIIFASTKLGFTFTVKEFVSYYYSH LMPPSKMDDFTARLWGSVYYYKGNFRTKPFSNVEKYPTFVEFILIPLYKIFSYALSME KDKLKNLLRSSFRVTLSQEALKYDPQPFLKHVLQLIFREQTGLVDSITRCYEPLELFD NKVAHLSSSGKNTSEETLWAHVLKTLDYGGAEWSLVRIYSGLLKRGDTVRILDTSQSE SRQNRQLHDSETETLDEGENDDDDEAPVCKVEELGLLGGRYVYPLHKAQKGQIVLIKG ISGAYIKSATLYSATGKEDMKQLKYFKPLDYINQAVFKIVLQPLLPRELPKLLNALNK VSKYYPGVIIKVEESGEHVILGNGELYMDCLLYDLRTNYANIEIKISDPLTVFSESCS NESFASIPVNNSISRLNDENSVGLSISVTAEPMDFKMIQDLSKNTLGKGQNYLDVDGI MSNPRKLSKILRTEYGWDSLASRNVWSFYNGNVLINDTLPDEISPELLSKYRQQIIQG FYWAVKEGPLAEEPLYGVQYKLLSITVPSDINIDVMKSQIIPLMRKACYVGLLTATPT LLEPIYEVDITVHAPLLPIVEELVKKRRGSRIYTTIKVAGTPLLEIRGQIPVIESAGF ETDLRLSTNGLGMCQLYFWHKIWRKVPGGVLDKDAFIPKLKPAPINSLSRDFVMKTRR RKGISTGGFMSNDGPTLEKYVSAELYVQLRENGLVP SPAR_K00480 MAKGFKLKELLSHQKEIEKADKLENDLKKKKSQELKKEEPTIVS ATDLKKLDKQEKKVGAKKEVATATATEGYQSQALSKKEKRKLKKELKKTQEDVAIKAQ KDKFGDKDESGDDEEEEGEDEGRLDLEKLAKSDSESEDESESENDSEQQEDADIVAEE EEEEEKEEEQDVPLSDVEFDSDADVVPHHKLTINNTKAMKHALERVQLPWKKHSFQEH QSITSETNTDEQIKDIYDDTERELAFYKQSLDAVLVARDELKGLKVAFKRPLDYFAEM VKSDEHMDKIKGKLIEEASDKKAREEARRQRQLKKFGKQVQNATLQKRQLEKRETLDK IKSLKNKRKHNEIDHSEFNVGVEEEVEEKRSDRGRPNGKRAAKNAKYGQGGMKRFKRK NDATSSADVSGFSSKKMKGKTNRRGKSRRTRRF SPAR_K00490 MFTSQRQLRQNGSPMSSPRSSQHSSGMVSPISGSPASNRSYGRD LRGLVGIDIPASEPVFNRVNSSDTLYFRPKKIYKMEHEHPSRTTLVQLHARSQPEDTA SIQVNPEEGPGGLELGDPCGKQSLYAMGAEYVPDLDFTKLVNDWQKSSDDLYEFRSSA TPQVQIKNNDKSNYELWSSPDAILTRNKLRRDSFSQENNDSLSPDDSLLSRGLHSKVK PIPLPRNGQPIFTPLSNLEAERRSSYTTNSNNNSITQNNKFSLAKLKYSLPTQSSAVP ASFDSNASSLNFLPTTTLSTLSELQISPNDMMDLIQKLPRNFLNLPYTQRKKVIIEHA PSHDYKAVMSLVKKFMLTSSRSNFSLAGFANNASVSEATVNDSNINSRNASNNSNDNH VNARPLQRSRHGSIASQFLSSFSPSMTSIAKMNSNPLSGSAGGSGRPDDKGMEILGHR LGKIIGFGAWGIIRECFDIETGVGRVIKIVKFKGHQNIKKHVLREVAIWRTLKHNRIL PLLDWKLDDNYAMYCLTERINDGTLYDLVISWDEFKRSKVPFAERCRMTIFLSIQLLS ALKYMHSKRIVHGDIKLENCLLQKEGKKSDWKVFLCDFGMSCHFDEKCIYRNDTSDEN ISRSNGHRKRKNSEQTNLVKYPTTNFLPDELSNDFDASEDLKYQFENRKHQSFTPKGM VSSSSHSLKHLNQLPSSSSSNLFHKPASQPQPQHRIPFHGRHKTTVFSNLGPEPSKYI GSLPYASPELLKYSDARRSKSIEMHIYDSPDSSQSEISAASSSSSDLSSISSSAKASA VTKSGVTTDSPFDSSTDSPSIVSPLGPASDIWALGVMLYTMLVGKLPFNHEFEPRLRS LIKVGEFDRFSLAQVCKFDRKRTEGTIGQGLYDTVIGCLTIDLDTRWKLERIEEVLQN EMKLTEAIHDDNDL SPAR_K00500 MIFLKSVIKVIDNSGAQLAECIKVIRKGSPKSPAMVGDRIVCVI QKAKPLTQNITGTANTNRVKKGDICHAIVVRSKQRNMCRKDGSTVAFGDTACVLINKN TGEPLGTRIMANDGCVDRTLKDKGYNKICSLASRVI SPAR_K00510 MVTQEEKTRHRPVTRRVRSFSESFKCLFKSPHSRGSSPINVTRL PYRSSSTSPKRSSEPPRRSTISAQLDPLNSPVRQRSYTLKCCPPGMPHAFKHTGSGAS NSPTRHRSISNREQEIINRLPGNKRSDSSGFQGIESPRSRSSSVSSCDSANGTTSSSD SQWAMDSLLDDSDDDITPYRGSKKDILKSKEWAPYNSINNYNKEALRRATSYPSPLPP KQLYNKGLYTRRSHPDEESLESLPRFAGADVKCIIEQDGFKVYEDGSHEHNIKLSGVI AKLEKGNSLPIHRQGSLSRPKLGITLSGLFKHHRDECDIENALSLLPNVEKSETNHEK RISQSPADGNGSSTMPEGEDYLKIVNPDASLGSDELMLINSLSSKIHKSLQIYLQEKN LKPAECISEQAPTFQKKYGHPVGLVGAGAYGEVKLCARLRNEKDSPPFETYHDSKYIY YAVKELKPKADSDLEKFCTKITSEFIIGHSLSHYHKNGKKPAPNILNVFDILEDSYSF IEVMEFCPAGDLYGMLVGKSKLKGQLHPLEADCFMKQLLHGVKFMHDHGIAHCDLKPE NILFYPHGLLKICDFGTSSVFQTAWERRVHTQKGVIGSEPYVAPEEFVSGEYYDPRLT DCWSCGVVYITMILGHYLWKVASREKDMSYNEFYKEMKRKKQFKAFEELKHVNSELAT TRKIALYRIFQWEPRKRISVDKLLDMQWMKRTNCCLIYDST SPAR_K00520 MSKVTQQLKFLNKISATTKLPQILVDPKKYSGLRLTFQTKNHNG HMGARVFWHNYLPTLQFYNPRLKFDVIRIKNEDKQKSVPCKLEILSHEGSIVETIDMR NKMHEDIMNDLLDKIEHVPLPEKEIIRVGSQESTV SPAR_K00530 MYVDPMNNNEIRKLSIAAKTETTPDDAGQDIPVNAHSVHEECSS HTPVATNGINSEKLKEEATAGSCLARKPMLQYRDTSGKYSLSDFQILRTLGTGSFGRV HLIRSNHNGRFYALKTLKKHTVVKLKQVEHTNDERRMLSIVSHPFIIRMWGTFQDSQQ VFMVMDYIEGGELFSLLRKSQRFPNPVAKFYAAEVCLALEYLHSKDIIYRDLKPENIL LDKNGHIKITDFGFAKYVPDVTYTLCGTPDYIAPEVVSTKPYNKSVDWWSFGVLIYEM LAGYTPFYNSNTMKTYENILNAELKFPPFFHPDAQDLLKKLITRDLSERLGNLQNGSE DVKNHPWFNEVIWEKLLARYIETPYEPPIQQGQGDTSQFDRYPEEEFNYGIQGEDPYM DLMKEF SPAR_K00540 MWNKTRTTLLAVGVLFHLFYLWSIFDIYFISPLVHGMSPYQSTP TPPAKRLFLIVGDGLRADTTFDKVTHPVTGRTEFLAPFIRSLVVNNATYGISHTRMPT ESRPGHVAMIAGFYEDVSAVTKGWKSNPVNFDSFFNQSTHTYSFGSPDILPMFKDGAS DPNKVDTWMYDHTFEDFTQSSIELDAYVFRHLDQLFRNSTLNSTLDYEIRQDGNVFFL HLLGCDTAGHSYRPYSAEYYDNVKYIDDQIPILIEKVNKFFADDKTAFIFTADHGMSA FGSHGDGHPNNTRTPLVAWGAGLNKPVHTTFPVSDNYTENWELSNIKRNDVKQADIAS LMSYLIGVNYPKNSVGELPIAYIDGKESDKLAALYNNARSILEQYLVKQNEVIDSQFF YKEYFKFLEKSHFHYLEEIETLIQRISEGENYLEQEAITLTEELMQITLEGLHYLTTY NWRFIRTIVTFGFVGWIFFSFIIFLRSFILENVTDDQKASPLTHATFGSVGILLNWIL YYQHSPFNFYMYLLFPLYFWSYIFTNRSVLRSGIKEFFKGTSPWKRALITISIISVYE GIVYGFFHRWTFTLITNLLAFYPFICGVRELPANVCWIVTSVLLSTFTLFDAVKIENL NQIHLAGLLIIISAFYALYKIHPNINSYTRGIFTIQISLVAAMLVVTHRSVTSLQLRQ GLPRESQVAGWIIFFVSLFVMPILHYRKPNNDYKVRLLIIYLTFAPSFIILTISFESL FYFLFTIYMIQWIEIENKIKEMKTEKDENWLQVLRVSVIGFFLLQVAFFGTGNVASIS SFSLESVCRLLPIFDPFLMGALLMLKLIIPYGLLSTCLGILNLKLNIKDYTISSLIIS MSDILSLNFFYLLRTEGSWLDIGITISNYCLAILSSLFMLILEVIGHVLLKNVIIQDE TKKTQ SPAR_K00550 MQYKKSLVASALVTTSLAAYAPKDPWSTLTPSATYKGGITDYSS TFGIAVEPIATTASSKAKRAAAISQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTKA AAVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTKAAAVSQIGDGQIQATTKTKAA AVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTTVAPVSQITDGQIQASTLTSATI IPSPAPAPITNGTDPVTAETCKSSGTLEMNLKGGILTDGKGRIGSIVANRQFQFDGPP PQAGAIYAAGWSITPEGNLAIGDQDTFYQCLSGNFYNLYDEHIGTQCNAVHLQAIDLV NC SPAR_K00560 MQYKKSLVASALAASSLAAYAPKDPWSTLTPSATYKGGITDYSS TFGIAIEAVATSASSVASSKAKRAASQIGDGQVQAATTTAAVSKKSTAAAVSQITDGQ VQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAA KSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTA AAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAVS QITDGQVQAAKSTAAAASQISDGQVQATTSTKAAASQITDGQIQASKTTNGASQVSDG QVQATGEVKDANDPVDVVSCNNNSTLSMSLSKGILTDRKGRIGSIVANGQFQFDGPPP QAGAIYAAGWSITPEGNLALGDQDTFYQCLSGDFYNLYNKHIGSQCHEVYLQAIDLID C SPAR_K00570 MRFKHVDLIIASLSNVTAQWYTPGEPWSNSTPSATISCGTTDLT SAFGIAVKTIATASAEVKRDLISQIADGQIQATAVPTNTASILISDNADITTTLTRTF IITVREGATATKVADWSGSSNKKPTSTLSPRLSISSAIPSTSAVIYQTTTAVFLENSS CSSDGILKVTLKGGILTDGKARIGSIVANGQFQSDGPPPQAGGIYAAGWSITPEGNLA LGDEDIFYQCSSGSFYNLYDEKIGEQCSQIYIQAVSLLSC SPAR_K00580 MMKRFHPLRIQVRLKSDYPLLTFEQLLSTNGIKRGQTARISLRD YIEWQNFPNIMKRENFFTQKKPVSITTKGDPFSFDNILDCDPLFSKCLAKWLLVNYKL NDYPYYDLNIVNIYTDLPQAIQICKNLMSYLKSTLSDNMFQKIKYFMIPLYKCDKVPS RLLDGIPGSVSLVQEYPVSPYLLQKNLHIEDPIQILMLNDVIKYTTHDLVRYSSDDDT WQQCFVGVNENGQKTKSFDSAIDYSCKLALEQMFSDQSHVISDKELYIPTKLIEILTT IKNNIPEHRLFIVDAPQRSNPTIISLLKSLIGTRPTGSSKIVQPYSDSIFSDKQNGRI YFMTDFLQLQNIYNEINSSSSSCEVEEIADFVERWISPSERSTRPLSNGNKPQLEDIK NSSLAVLHST SPAR_K00590 MASDTERCIFRAFGQDFILNKHFHLTSKIGRGSHGLICSSTYSE ASEETTVAIKKIPNVFANKQSCKRTLRELKLLRHLRGHPNIVWLFDTDIVFYPNGALN GVYLYEELMECDLYQILRSGQQLEDSHFQSFIYQILCALKYIHSADVLHCDLKPKNLL VNSDCQLKICNFGLSCSYSENHEENNRFITSRIASMWYRAPEILLSYREYTKAVDIWS TGCILAELLGRKPIFDGKDYVDQLNHILQVLGTPSEETLQEIASQKVHNYILQFGNIP GTSFENILPRANPEALALLKKMLEFDPKLRITVDEALEHPYLSVWHDIDDEPSCEKTF RFEFERIENMVELGNQVIKEVFDFREVVRKHPVSGDFSSSSPSSEDAIPQEVVEVHRS RNVLPSYNPENSDVNQLPSLTTAHSYQNFLKISANSFQGVNEKGDTFERDTRHKSREL VDRVTESNKAEMGSASSSPPGHDTDFNNDTNQSANEDDSVFILDLERELEFSLESKFL SPAR_K00600 MGKRKKSTRKPTKRLVQKLDTKFNCLFCNHEKSVSCTLDKKNSI GTLSCKICGQSFQTRINSLSQPVDVYSDWFDAVEEVNSGRGSDTDDADEGSDSDYESD SEQDAKTQNGGEIDSDEEEVDSDEERIGQVKRGRGALVDSDDE SPAR_K00610 MGKVITDTIIITSDKCDIIDNDNVERIQAWLSKSILMKFQINES EPLQLIILKRFKRILLICPNHVISQHVMDASHASEVEKFNFSYSLQDGHKNSTKQYLK VPESEKMFLISPPASPPPEFDFTKCEDAPQRHVQSHIQREQQQQMEASHLLPNNPDKD NNGTFTLLKSKVGAITIDRCPTNGGDGQMQLADHVKTAFPPKSIFDTDDDDEDDGTMC SPAR_K00620 MLIVQRLLLKSAARGSSLVGKVHPCLRSIALHSRHLSNVCSLQA DVSRSLRINKAWKQSKLATPNHLNIISRRPLFTKTSHACAKCQKTSQLLKTTKMTSNT PKREILPDNVVPLHYDLTVEPDFKTFKFEGSVKIELKINNPAIDTVKLNTVDIDIHSA KIGDIKASEITTAEEEQQVITFVFPKGTLSSFKGNAVLDIKFTGILNDNMAGFYRAKY EDKLTGETKYMATTQMEPTDARRAFPCFDEPNLKASFAITLVSDPSLTHLSNMDVKNE YVKDGKKFTLFNTTPKMSTYLVAFIVAELKYVESKNFRIPVRVYATPGNENHGQFAAD LTAKTLAFFEKTFGIQYPLPKMDNVAVHEFSAGAMENWGLVTYRVVDLLLDKENSTLD RIQRVAEVVQHELAHQWFGNLVTMDWWEGLWLNEGFATWMSWYSCNEFQPEWKVWEQY VTDTLQHALGLDSLRSSHPIEVPVKKADEINQIFDAISYSKGASLLRMISKWLGEETF IKGVSQYLNKFKYGNAKTEDLWDALADASGKDVRSVMNIWTKKVGFPVISVSEDGNGK ITFRQNRYLSTADVKADEDKTIYPVFLALKTKDGVDSSVVLTERSKTIELEDHTFFKV NSEQSGIYITSYSDERWAKLGQQANLLSVEDRVGLVADVKTLSASGYTSTTNFLNLVS KWNNEKSFVVWEQIINSISSLKSTWLFEPKETQDALANFTKQLISGMTHHLGWEFKSS DSFSTQRLKVTMFGAACAARDAHVEKAALKMFTDYCSGNKEAIPALIKPIVFNAAARV GGTENYEKVYKIYLDPISNDEKLAALRSLGRFKEPELLERTLGYLFDGTVLNQDIYIP MQGMRAHQEGVEALWSWVQKNWDELVKRLPPGLSMLGSVVTLGTSGFTSVQKIDEIKK FFARKSTKGFDQSLAQSLDTITSKAQWVNRDRDVVSKYLKENGYF SPAR_K00630 MVLVQDLLHPTAASEARKHKLKTLVQGPRSYFLDVKCPGCLNIT TVFSHAQTAVTCESCSTVLCTPTGGKAKLSEGTSFRRK SPAR_K00640 MMRRCLNILPQNVRFNSKLTSVNLRRLDLADFIGTHNGEMNVPP SYRDEAGSSIPGINSKELRLLPKTLQGRSYHDQLELNPDVSKAINNNIMAIHIPNNLR RVATNYYKEIQESNSLHRPCRTKMEVDAHIASIFLQNYGSIFQSLKELQKRVGPENFK PQRILDVGYGPATGIVALNDVLGPNYRPDVKDAVILGNAEMQERAKIILSRQLNEVVN AEEANESTGEEVEINRQNGIIQEDEVIGEVMTRKINIMTNLRSSIPASKEYDLIILTH QLLHDKNQFPIQVDENIEHYLNMLAPGGHIVIIERGNPMGFEVIARARQVMLRPENFP DEFGKIPRPWLKGVTVRDKKDEQLGNIPTNYFLKVIAPCPHQRKCPLQVGNPNFYTHK EGKDLKFCNFQKSIKRPKFSVELKRGKLLATSWDGSQGNSSRLRGSGRRNGRDYEILN YSYLILERSQKDKNTLKEICKLRGENVNSKYDIGSLGDDTQNTWPRIINDPVKRKGHV MMDLCAPSGELEKWTVSRSFSKQIYHDARKSKKGDLWASGAKTQIKGLGDLNVKKFQK LEKERIKQLKKEERQKARKIMESYNELEDSLQFDDHQFSNFEVMKELSTFHGHHFSQH VNKK SPAR_K00650 MLSGTLIIACLLVMVTTIALVAVQKASSKTGVKQKSYQPSVVIA GPQNSGKTSLFTLLTTDSVRPTVVSQEPLSAASYDGSGITLVDFPGHVKLRYKLSEYL KTRAKFVKGLIFMVDSTIDPKKLTTTAGFLVDVLSITESSCENGIDILIACNKSESFT ARPPSKIKDALENEIQKVIERRKKSLNEVERKINEEDDAENGLDILQSANGFKFANLE GSVVAFEGSVNKRKISKWREWIDERL SPAR_K00660 MPKLVLVRHGQSEWNEKNLFTGWVDVKLSAKGQQEAARAGELLK EKKVYPDVLYTSKLSRAIQTANIALEKADRLWIPVNRSWRLNERHYGDLQGKDKAETL KKFGEEKFNTYRRSFDVPPPPIDASSPFSQKGDERYKYVDPNVLPETESLALVIDRLL PYWQDVIAKDLLSGKTVMVAAHGNSLRGLVKHLEGISDADIAKLNIPTGIPLVFELDE NLKPSKPSYYLDPEAAAAGAAAVANQGKK SPAR_K00670 MLAELSHRELIRLAQKRCIPPLLPKFHKGQSGGRVCIIGGCEDY TGAPYFSANATALMGCDLTHVICEYNAGTVIKSYTPNLMVHPYLRMSNTKLDVDMDEQ RKKINSLLDRIHVVVIGPGLGRDPLMLKSIKDIIRYILEEHEGKIPLVIDADGLYLVT QDSEVKEMLKSYPKGRVILTPNVVEFKRLSDAIGKKGDSHAEMGSLIAQELNCIVVEK GQSDKIFSPNSEEDMLTNSEEGSNKRVGGQGDTLTGAISCMLAFSRAMYDFKICEQEE KGESSSDKSLKNWTDYAMLSCYAGCTITRECSRLGFKAKGRAMQTTDLNDRVGEVFAK LFGK SPAR_K00680 MFSRLSRSHSKALPIALGTAAIAAATAFYFANRNQHSFVFNESN KVFKGDDKWIDLPISKIEEESHDTRRFTFKLPTEDSEMGLVLASALFAKFVTPKGSNV VRPYTPVSELSQKGHFQLVVKHYEGGKMSSHLFGLKPNDTVSFKGPIMKWKWQPNQFK SITLLGAGTGINPLYQLAHHIVENPNDKTKVNLLYGNKTPQDILLKKELDELKEKHPD KFDVTYFVDDKQDVQDFDGETGFISKDFIQEHVPGPKEDTHLFVCGPPPFMNAYSGEK KSPKDQGELIGILNNLGYSKDQVFKF SPAR_K00690 MTKLRIAVQGCCHGQLNQIYKEVARIHAKTPIDLLIILGDFQSI RGGQDFKSIAIPPKYQRLGDFISYYNNEIEAPVPTIFIGGNHESMRHLMLLPHGGYAA KNIFYMGYSNVIWFRGIRIGSLSGIWKEWDFNKERPSWNDLENNNWKANIRNLYHVRL SDIAPLFMIKHRIDIMLSHDWPNGVVYHGNVKHLLKFKPFFEQDIKKGELGSPVTWQL LRDLRPQWWLSAHLHVRFLASIKHNKRSHQSPNTSTSRTKKNNNEIDLDLSSDDDERC GIANDQEEDERDSRYDDTRFLALDKCLPRRRWLEIVEVEPDTSHVSWKDKDHRMFWDP EFINNLVTWQKNENLLSSKPFDSIDWMELSQSREREGKAIDWEKFAIPAYTLDIQKDE IGQTKEFISKFMT SPAR_K00700 MLSLKKSALSKLALLRNTRTFASSALVRQTQGSIDGSASRSADG KYHIIDHEYDCVVIGAGGAGLRAAFGLAEAGYKTACISKLFPTRSHTVAAQGGINAAL GNMHKDNWKWHMYDTVKGSDWLGDQDSIHYMTREAPKSIIELEHYGVPFSRTENGKIY QRAFGGQTKEYGKGAQAYRTCAVADRTGHALLHTLYGQALRHDTHFFIEYFALDLLTH NGEVVGVIAYNQEDGTIHRFRAHKTIIATGGYGRAYFSCTSAHTCTGDGNAMVSRAGF PLQDLEFVQFHPSGIYGSGCLITEGARGEGGFLVNSEGERFMERYAPTAKDLACRDVV SRAITMEIREGRGVGKKKDHMYLQLSHLPPEVLKERLPGISETAAIFAGVDVTKEPIP IIPTVHYNMGGIPTKWNGEALTIDEETGEDKAIPGLMACGEAACVSVHGANRLGANSL LDLVVFGRAVAHTVADTLQPGLPHKPLPSDLGKESIANLDKLRNANGSRTTAEIRMNM KQTMQKDVSVFRTQSSLDEGVRNITAVEKTFDDVKTTDRSMIWNSDLVETLELQNLLT CASQTAVSAANRKESRGAHAREDYPNRDDEHWMKHTLSWQKDVAAPVTLKYRKVIDHT LDEKECPSVPPTVRAY SPAR_K00710 MKRKEVLNVSGRMQSNNDEKKNNNTATGGSNGISHASDSPLTDA SDGNSNSNSRSRSRSRKSSGATGGLLKKPPLLVNNEAVHASVPAASHNTANNGTLDVS INNPDPQVVDAVARHLIRNPTNSLHLQGGDITRDLYKWTNEHPSSPSQYQYPNQPALS TSIPSQAPSFSNRKRSMSFSAASIASSSHLNNNPEANANPLAGIGLAPAPMTHEEIRA PGGFRRSFIIQKHRKHNVDAPIPNFFTRNFIEFLTLYGHFAGEDLSEEEEEEETEEEP EEEALETESTQLISREHGRHPHKSSTVKAVLLLLKSFVGTGVLFLPKAFHNGGWGFSA LCLLSCALISYGCFVSLITTKDKVGVDGYGDMGRILYGPKMKFAILSSIALSQIGFSA AYTVFTATNLQVFCENFFHLKPGSINLATYIFAQVLVFVPLSLTRNIAKLSGTALIAD LFILLGLVYVYVYSIYYITVNGVASDTMLMFNKADWSLFIGTAIFTFEGIGLLIPIQE SMKHPNHFRPSLSAVMCIVAVIFISCGLLCYAAFGSDVKTVVLLNFPQDTPYTLTVQL LYALAILLSTPLQLFPAIRILENWTFPSNASGKYNSKVKWLKNYFRCAIVVLTSILAW VGANDLDKFVSLVGSFACIPLIYIYPPLLHYKASIISGTSRARLLLDLIVIVFGVAVM AYTSWQTIKMWSQ SPAR_K00720 MPPKEDWEKYKAPLEDDDKKPDDDKIVPLTEGDIQVLKSYGAAP YAAKLKKTENDLKDIEARIKEKAGVKESDTGLAPSHLWDIMGDRQRLGEEHPLQVARC TKIIKGNGENDDPTTDNTNSGNSNSNSNQQPADADEDDEDAKYVINLKQIAKFVVGLG ERVSPTDIEEGMRVGVDRSKYNIELPLPPRIDPSVTMMTVEEKPDVTYSDVGGCKDQI EKLREVVELPLLSPERFATLGIDPPKGILLYGPPGTGKTLCARAVANRTDATFIRVIG SELVQKYVGEGARMVRELFEMARTKKACIIFFDEIDAVGGARFDDGAGGDNEVQRTML ELITQLDGFDPRGNIKVMFATNRPNTLDPALLRPGRIDRKVEFSLPDLEGRANIFRIH SKSMSVERGIRWELISRLCPNSTGAELRSVCTEAGMFAIRARRKVATEKDFLKAVDKV ISGYKKFSSTSRYMQYN SPAR_K00730 MFILSKIADLVRIPPDQFHRDTISAITHQLNNKFANKIIPNVGL CITIYDLLTVEEGQLKPGDGSSYINVTFRAIVFKPFLGEIVTGWISKCTAEGIKVSLL GIFDDIFIPQNMLFEGCYYTPEESAWIWPMDEETKLYFDVNEKIRFRIEREVFVDVKP KSPKERELEERAQLEKEIEGKNEETPQNEKPPAYALLGSCQTDGMGLVSWWE SPAR_K00740 MSKKFSSKNSQRYVVVHRPHDDPSFYDTDASAHVLVPVSNPNKP STEVDLRKQDVGLKKPKENKAHVGEAALYGINFDDSEYDYTQHLKPIGLDPENSIFIA SKGKEQRVGKKNIEDLFIEPKYRRDEIEKDDALPVFQRGMAKPEYLLHQQDTTDEIRG FKPDMNPALREVLEALEDEAYVVNDDVVVEEISKKAQIQSDDLGEEENEDDIFAQLLG SGEAKDEDEFEDEFDEWDIDNVENFEDEHYVKEMAQFDKIENLEDLENIDYQADVRRF QKDKSTLEKYNSDDEFSNAGLESVNPSEEEDILGELPSIQAKSKTGKKNRRSRQKKGA MSDVSGFSMSSSAIARTETMTVLDDQYDQIINGYENYEEELEEDEEQNYQPFDMSAER SDFESMLDDFLDNYELESGGRKLAKKDEEIERLKEAADEVSKGKLSQRRNRERQEKKK LEKVTNTLSSLKF SPAR_K00750 MSNEIELLQKQVSELQDLVKKQSLIISKTGERVLELQLAKQKHD VSDFDSKFSKSISKKSGSTTQFDATDFATNEDLVELVKELQGELNFIEERSIRRLVNS LKKEEDDVIAPLPNADGDIPAISDGVFPKSLKEFKDISDLKLIRLAKFYERLPPTLKE QEDFENFLEGKVEAFHINETTDEEISKELEKFSKDELDDAFNDVARYLGLSLRRGTEI W SPAR_K00760 MSAMMVKLGLNKSVLLLKPSVFSRAATLSSSRRLLFNTARTSFL STSPLKNVATEMNTKAAIAEEQILNKQRAKRPISPHLTIYQPQLTWYLSSFHRISLVL MGLGFYLFTILFGVSGLLGLGLTTDKVSNWYHQKFSKITEWSIKGSFAYLFAIHYGGA IRHLIWDAAKELTLKGVYKTGYALIGFTAVLGTYLLTL SPAR_K00770 MYFPFLGRLSITDYIIVVLVYIESIISSVLKLIPQPMINLFEWL INFSTCSDDNTIEEKLRAAPTIHEMCAIFDISVEDHLVRTEDNYILTLHRIPPISKNR FNNKVVYLHHGLLMCSDVWCCNIERHKNLPFVLHDLGYDVWMGNNRGNKYSTAHLNKP PKSNKFWDFSIDEFAFFDIPNSIEFILDITKVDRVICIGFSQGSAQMFAAFSLSEKLN RKVSHFIAIAPAMTPRGLHNRIVDTLAKSSPGFMYLFFGRKIVLPSAVIWQRTLHPTL FNLCIDIANKILFNWKSFNILPRQKIASYAKLYSTTSVKSIVHWFQILRSQKFQMFEE SDNMLNSLTRPYQIANFPTRTNIKIPILLIYGGIDSLVDIDVMKKNLPFNSVFDVKVD NYEHLDLIWGKDADTLVIAKVLRFIEFFNPGNVSLKTNQLLPSASLVEELPSTTWKTS HPTHGLSYKTHSADRSPLSVQADEVNENINSDNARFLRRVFSTSAIDEDNGNDHQDDT EDEIHKEQQRRLSAYLESSNDLRQLDANSSATALDGLNKE SPAR_K00780 MSYNNGNTYSKSYSRNNKRPLLGKRSSHPQSLARPPPPKRIRTG SSYQSTTDNISSHRLNSSDQPGATKSRSNNNLSRYNDASFQTNSRYQGSRYNNNTSYE NRTKSMKRDETKAEFLSHLPKGPKSVETSRYNNSSITNNNDIKNGNYASKYYNHKGQE IRSVMTKKVPVSVLRQQRSTSIYQRIMQVGEGTYGKVYKAKNTITEKLVALKKLRLQG EREGFPITSIREIKLLQSFDHPNVSTIKEIMVESQKTVYMIFEYADNDLSGLLLNKEV QISHSQCKHLFKQLLLGMEYLHDNKILHRDVKGSNILIDNQGNLKITDFGLARKMNAR ADYTNRVITLWYRPPELLLGTTKYGTEVDMWGCGCLLVELFNKTAIFQGSNELEQIES IFKVMGTPTINSWPTLYDMPWFFMIMPQQTTKYTNTFSEKFESILPSAKCLQLATNLL CYNQAKRFTATEALQSEYFKEEPRPEPLILDGLVSCHEYEVKLARKQKRSNISTSTGN KGNNSNNNTNDDDDK SPAR_K00790 MNANKQRQYNQLAHELRELHTNLQETTKQLDIMSKQCNENLVDQ LGKVHGSWLIGSYIYYMEQMLGKTQ SPAR_K00800 MFGPFKLTSPAAGGLLWKIPWRMSTHQKCRQRERLRNVDQVIKQ LTLGLHVQRCQEKGLTYQEAMESKKKYKPRSKSLRLLNKPSVFPKENQMSSKDKYWTF DKKAVGYRKGIHKVPKWTKISIRKTPKFF SPAR_K00810 MEQNKDPQMISKHSSRLPIWVLSPREEQQARKNLKTATYEKCAN FVQAMADCAKANGMKVFPTCDKQRDEMKSCLLFYQTDAKYLDDERDKIILEKINKLEE LCQKQNSTK SPAR_K00820 MPPLDKRIKKFLKDSIRIAPKISGKGELSELRTGLVSQYPQTRK DAIKKTIQQMTLGKDVSSLFPDVLKNIATIDVEQKKLVYLYVMNYAETHPELCILAVN TFITDAQDPNPLIRCMAIRTMSMIRVDKILEYIETPLRRTLHDDNAYVRKTAVICVAK LFQLNKDLCVELGVVEDLVNALDDSNPLVIANATAALIEIYNMDMDAVDLSSLIQSHV SQFLLALNECTEWARIIILGTLSEYSAKDSLEAQDIIDRVTAHLQHVNPAVVLATIKV IVRNLPQIEYSSNSLIMKRLSSALVSLMSTPPEMQYVALKNIRIILEKYPELLTKELR IFYIKFNDPLYVKLEKIDILVRLVDPSNLKQCTLLLTELKEYAMEYEPEFVSRAIQAL SQLGIKYAQESFVGKVLDILLELLERQDTIKDDCCISLCDLLRHCPENDKMAKQVCAV FNTWSNPEVLLQSDIAKCNYVWLLGQHPNNFSNLESKINIFVENFVQEEALTQMSLLM TVVRLHTTLTGSVLQSVLELATQQTHELDVRDMAMMYWRCLSMPNNESLISNLCQNKL PMISNTLENFSPEVLEKLLKELGTISSIYFKPNSNRKKGKKYVQNIVKGKHIEELESM AKNEISSKANDDVLLDFDDRDDVTNTNTGMLNASTTLGDLDDLFDFGPSEDATQTNTN GTKTVQGLKELKLGDNSNNIPSSGKNNLDASGSSVVSQDLLDLF SPAR_K00830 MLRMITLKAGSNAFVPSLSRQNKLIRFFATAGAVSKTNPRGIKK IFDDNSYWRNINGQDANNSKISQYLFKKNKTGLFKNPYLTSPDGLRKFSQVSLKQAQE LLEKMRSDFSENGKLSYIMNLDRLSDTLCRVIDLCEFIRSTHPDDAFVKAAQDCHEQM FEFMNVLNTDVSLCNMLKSVLNNPEVSSRLSEEELKVGKILLDDFEKSGIYMNPDVRE KFIQLSQEISLVGQEFINHTDYPGSNSVKIPCKDLDNSKVSTFLLKQLNKDVKGQNYK VPTFGYAAYALLKSCENEVVRKKLWTALHSCSDKQVKRLGHLIKLRAILANLMHKRSY AEYQLEGKMAKNPKDVQDFILTLMNNTMEKTANELRFIAELKAKDLKKPLITNTDEIL KLVRPWDRDYYTGKYLQLNPSNAPSAKEISYYFTLGNVIQGLSDLFQQIYGIRLEPAI TDEGETWSPDVRRLNVISEEEGIIGIIYCDLFERNGKTSNPAHFTVCCSRQIYPSEND FSTIQVGENPDGTYFQLPVISLVCNFSPIPIASKKSLCFLQLNEVETLFHEMGHAMHS MLGRTHMQNVSGTRCATDFVELPSILMEHFAKDIRILTRIGKHYETGETIQADMLQGF MKSTNFLQNCETYSQAKMAMLDQSFHDEKIISDIDNFDVVENYQTLERRLKVLVDDQS NWCGRFGHLFGYGATYYSYLFDRTIASKIWYALFEDDPYSRKNGDKFKKHLLKWGGLK DPWKCIADVLECPMLEGGGSDAMEFIAQSHKS SPAR_K00840 MWRYLHKSVKKEVTMRRLTNPNLVTNHRSKFYSTLKEQNFWRTP FKRRSNFQKWVLSTGIISFITFNIWWVYWPHHTFPKPVAKILRKGLHSEIKKEGANFQ KSLEYYLKALEECKAENMDPLSDEYTGIEIKIGEMYEKLHSYNDAASLYEGMLKRFFD ELSKPTDKSKKRKSMLLKRDLQLLIRFIEINKDSEVNATLLIMHLLLAQREFLQNSPE VKNILSKSGLLNDQQLDWKNFKGLPFIGKSKVDYQKHLNFKSKQDIKIKEHESERCVF MKELLTARELYTRYCLTQTNLLGALNSKITTLEWMLLTDSPLDEVLLAQAELGSIFYL NSEKFEGSLYTIDNQPYEKSENLESIRSKLQENQNACLQYSADCYRSIISFADENQYP KIATESEMDQRILKALSLACYGVGVINLHKGRLKASKKELKRAIRISEMIRFNELIKE AKRELKKADDISI SPAR_K00850 MDGINGRQTFPRINRLLGYVGNPQNSLTILHIAGTNGKETVSTF LTSILQHPEPQRQRILVGRYTTSFLLNAKEEDISINNEAISLTEYSRIERELIEVDRS LNLQCNNLELLTSVALVYFAEKSCQWCIIETGSTGRQDPANIIAGQNRICCAITNVGI SDEAFLCKFLSQITGNRPNKAICLLDGSNDEFVRNTITKRCHDVGCRLKITDPSLNKC NVRTDSWGTLEIHHLPYNEEEYQIFNLRVAIAVLDFLNKEEKISISKDQLSKGLISVD WPRSLHRLDYCYESSSGKKIALLLDNANNAKAARNLACHLRAKYGGTPLTFVIAITTG KKVPPLLDPLIRPQDHVIVTRFGSVAGMPWIQSLEPVNLFAFIKHRYTRNVDMQPDLQ SVWTFLETTGLKTVVPVIVCGSLYICKELLRLHNCHLPV SPAR_K00860 MSADKDIKVTPGTSELVEQILALLSRYLSSYIHVLNKFISHLRR VATLRFERTTLIKFVKKLRFYNDCVLSYNASEFINEGKDGLDPNADSLDKVILPIASM FVKCVETFDLLNYYLTQSLQKEILSKTLNEDLTLTAESILAIDDSYNHFVKFSQWMIE SLRIGSNLLDLEVVQFAIKCADEDGTNIGETDNIFLQEILPVNSEEEFQTLSAAWHSI LDGKLSALDEEFDVVATKWHDKFGKLKN SPAR_K00870 MAVIKRGARRKDVKEPKKRSAKIKKATFDANKKKEVGVSDLTLL SKISDESINENLKKRFKNGIIYTYIGHVLISVNPFRDLGIYTNAVLGSYKGKNRLEVP PHVFAIAESMYYNLKSYNENQCVIISGESGAGKTEAAKRIMQYIAAASNSHSESIGKI KDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIKFNSQFEPCAGNITNYLLEKQRVV SQIKNERNFHIFYQFTKGASDTYRQMLGVQMPEQYIYTAAAGCTTADTIDDIKDFQGT LEAMRTIGLAQEEQDQIFRMLAAILWIGNISFIENEEGNAQIRDTSVTDFVAYLLQVD ASLLMKCLVERIMQTSHGMKRGSVYHVPLNPVQATAVRDALAKAIYNNLFDWIVDRVN VSLQAFPGADKSIGILDIYGFEIFEHNSFEQICINYVNEKLQQIFIQLTLKAEQETYE REKIKWTPIKYFDNKVVCDLIEAKRPPGIFAAMNDSIATAHADSNAADQAFAQRLNLF NSNPYFELRANKFVIKHYAGDVTYDINGITDKNKDQLQKDLIELIGTTTNTFLSTIFP DDVDKDSKRRPPTAGDKIIKSANELVETLSKAEPSYIRTIKPNQTKSPDDYDDHQVLH QVKYLGLQENVRIRRAGFAYRQSFEKFVERFYLLSPDCSYAGDYTWEGDTLEAVKLIL RDAMIPEKEFQLGVTSVFIKTPESLFALEDMRDKYWYNMAARIQRAWRRFLQRRIDAA IKIQRIIREKKGGNKYVKLRDYGAKLLGGKKERRSMSLLGYRAFMGDYLSCNESKTKG SYIRRQVGIKDKVVFSIKGECLHSKFGRSAQRLKKVFILTKKTFYIIGQTREQNAMKY TQDYKIDLGKIKQVCLTNLQDDWMGVILLNSAQPDPLINTPFKTELVTRMKKLNDKII IKIGPTIEYHKQPNKLHAVRSKISDSAPKYGDIYKSSTIYVRRGHPANSKSNKKPKNP GGLSGKPIKSKKSKHKSTHKHTHSHRTHRVTAKKQPLPSQKPTNQLSLAATAAQAAYN PKPDKTVPRKASANPGTKPSSKYNSKSLSKENTILKKASSSHKSSSAKENQVSMPPSK RVNENKEPLKATTADIPTPPPPPPMGQPEDPKFEAAYDFPGSGSSSELPLKKGDIVFI SRDEPSGWSLAKLLDGSKEGWVPTAYMTPYKETRRTVSITATAAVDNATNQKASQINN TISSAQENVQLESTTAESTSNNQTKLMGSFSDGLASALAARANKMRAESAEDDDDNGD GDDDDDW SPAR_K00880 MLLRAVPGYFAAYPNEGFQGLDSTKYDHLQLINHKDWEELYYAM PRNTKTHHYKLLILARHGQGYHNAAILRYGMEKWDAYWSLLSGDEHGEWLDSKLTPLG KDQVRRTGSNVLLPVTKQLGMLPHVFFSSPMRRCLETFIESWTPVLAEMQKVPTGTKI STRIIEGLRETLGSHTCDKRVPHSITVDEYQDFRTESGHTVHWQYVPDYPEEDDLWLV DHRETCAELDKRTQDGLSELFNQLSSEEKFVSLTCHSGVIQSVLRNLQHPPVYNLDTG KVVAVVVEVPVNTAGQGRL SPAR_K00890 MSFLIDIVPTVAYKDQKPGTSGLRKKTKVFMDEPHYTENFIQAT MQSIPNGSKGVTLVVGGDGRFYNDVIMNKIAAIGAANGVRKLVIGQGGLLSTPAASHI IRTYEDKCNGGGIILTASHNPGGPENDLGIKYNLPNGGPAPESVTNAIWEASKNLSHY KIIKDFPKLNLNKLGINQKYGPLLVDIIDPAKAYVQFLKEIFDFGLIKSFLTEQREAK GWKLLFDSLNGITGPYGKAIFVDEFGLPAEEVLQNWHPLPDFGGLHPDPNLTYARTLV DRVNREKIAFGAASDGDGDRNMIYGYGPAFVSPGDSVAIIAEYAPEIPYFAKQGIYGL ARSFPTSSAIDRVAAKKGLKCYEVPTGWKFFCALFDAKKLSICGEESFGTGSNHIREK DGLWAIVAWLNILAIYHKRNPENEASIKTIQDEFWNEYGRTFFTRYDYEHIECEQAEK VVALLNEFVSKPEVCGSRFPGDESLTVIDCGDFSYRDLDGSISEKQGLFVKLSNGAKF VLRLSGTGSSGATIRLYIEKYTDNKDDYDQTADVFLKPVINSIVKFLKFKNILGTEEP TVRT SPAR_K00900 MYSWKSKFKFGKSKEEKEAKHSGFFHSSKKEEQQNNQTTAGGHD ASITRSSLDRKGTINPSNSSVVPVRVSYDASSSTSTVRDSNVGNSETSNPSPNLEETA DIGSTGTPNDATSSSGMMTIKVYNGDDFILPFPITSSEQILNKLLASGVPPPHKEISK EVDALIAQLTRVQLKNQGPADEDLISSESAAKFIPSTIMLPGSSTLNPLLYFTIEFDN TVATIEAEYGTIAKPGFNKISTFDVTRKLPYLKIDVFARIPSILLPSKTWQQEMGLQD EKLQTIFDKINSNQDIHLDSFHLPINLSFDSAASIRLYNHHWITLDNGLGKINISIDY KPSRNKPLSIDDFDLLKVIGKGSFGKVMQVRKKDTQKVYALKAIRKSYIVSKSEVTHT LAERTVLARVDCPFIVPLKFSFQSPEKLYFVLAFINGGELFYHLQKEGRFDLSRARFY TAELLCALDNLHKLDVIYRDLKPENILLDYQGHIALCDFGLCKLNMKDEDKTDTFCGT PEYLAPELLLGLGYTKAVDWWTLGVLLYEMLTGLPPYYDEDVPKMYKKILQEPLVFPD GFDRDAKDLLIGLLSRDPTRRLGYNGADEIRNHPFFSQLSWKRLLMKGYIPPYKPAVS NSMDTSNFDEEFTREKPIDSVVDEYLSESVQKQFGGWTYVGNEQLGSSMVQGRSIR SPAR_K00910 MAFENASKRPPQDFVAPMDPKKRKVQFSDNTGLVTLQSEEIKDE EFSTAMYSRFVKSALDDLDKNDSTQIGIIANQVALPKKNPERIDDKNFNILLDILSSN INRIESSRGTFLIQSIINFEKWWELPPQTLSKYIYFIKILCSSIPKWWQDVSMILVSC FILPIEQTVCHHDMLKYFLRMIPSSMGFIDTYLAKFFPNKNDTRRKLVNYTSNLLKLR GYCSELGFQIWSLLIEKIISIDVELQNELDELDDDVDDDDLEEVDIEDDDDLEDDSRD DNDENCEDSGNEELRSGETDANQSDSEDLDIIEGMDGAEEYNVELTQGIKELSTKLDS ILTLVSTHVEEQVTPESLESGEGVGVFNTLTTLFKTHVLPTYYTRSIQYIMFHVSQQQ LELMDSFLVTLIDISFAANEAAEKKIKSLQYLGSYIARAKKLSRTQIIFVASYLTSWL NRYVIEREEEVDQRGGMERFKHFYAAFQALCYIFCFRHNIFRDTDGNWECELDKFFQR MVISKFNPLKFCNENVMLMFARIAQQESVAYCFSIIENNNNERLRGIIGKADSDRKEN SVQANTASSSWSLATRQQFIDLQSYFPFDPLFLKNYKILMKEYYIEWSEASGEYESDG SDD SPAR_K00920 MYVTFNEALDSSFGNLESPNHDFKVGDPNMVPTPPMDSDSAAIS LAFLISLSITFAILMLILVVIAAYVTFCGDDESEYDEENALGTRTSGTLHSLFGKKHS GILLDSSFASPGGFDDEIVLQERELEELPKMSAYEVELYIRAKEFQMMSPPMVKEFGT YLDNDDQQFIKDRGIQSYFLLPSINDNIDEYGNFLPSFIVQDKLDIQFSKFNKSSSTV MNYPLPHNRKDAVYFEVKIFRHIQKSNSIFSIGLTTVPYPYFRVPGMAKYSIAYESTG KLRINNPFTASTLLPKLEEGDTVGFGYRYKTGTIFITHNGKKLMDVTQNIGIDLFIGI GAFNAAYTRTYTRDGLLEDPDNISFREALSEGKDIEVAKDLQRVHDPHNESDEMTSDE VELHVNLGQVGFVFIEANVKKYAFGSVYGQIGIPPAYNGTEINKDTILQRGEELPPRY ADADNFFGSMKIKEGSSSGIIGQTSRPPRSVGTYERISSNFDRENNVYDDSNANNNAN NNDENAEYSETSPLLEDDSNKRSRNSNTPHELSDGAINKNSKNKSTKKRQKNRGKSSK RKKRSRK SPAR_K00930 MSVKPIDNFIMNSVRLFEVNPSQTLFSISYKPPTPKRDTKVSFR THNSHLSSNYRYTTNKSKDVSRLLSALGPRGVSITPGKIEKIAQLKKKQKNSTIKESG KKAKGKSIQDVVGLATLIVNTDVEKSDPAAKKTATGQKQNANAVQDSNSNSSASKKKK NKNKGKKKR SPAR_K00940 MFKSKTSTLSYNKILNSNEGDRNAVPVNPEETSQMKHLNIPGDR SRHSSIADSKRSSTRYDGGYSADIVPAQLRFIDNIDYGTRLRKTLHRNSVVSNGYNNL NENDRWYFDLFDRKYFENYLEEPAYIKIFKKKEDLEQFDRMFLAQELKIPDVYKNATY QGESAVANSELFKNSICCCTFSHDGKYMVIGCKDGSLHLWKVINSPVKRSEMGRSENS VSASRANSLKIQRHLASISSHNGSISSNDLKPSEQFEGSSKQLHLYAPVFYSDVFRVF MEHALDILDANWSKNGFLITASMDKTAKLWHPERKCSLKTFVHPDFVTSAIFFPNDDR FMITGCLDHRCRLWSILDNEVSYAFDCKDLITSLTLSPPDGEYTIIGTFNGYVYVLLT HGLKFVSSFHVADKSTQGTTKNSFHPSSEYGKAQHGPRITGLQCFFSKVDKNLRLIVT TNDSKIQIFDLNEKRPLEVFKGFQSGSSRHKGQFLMMKSQPIVFTGSDDHWFYAWKMQ SFNLSAEMNCATPHRKKKLSGNMSLKGLLRIVSNKSTNDEALTETSNQSSSHTFTNSS KNVPQAQTVGSQAIKNNHYISFHAHNTPVTCASIAPDVAIKNLSLSNDLIFELTSQYF KELGQYNAESSEKCESKPNYLIKETGGFSSNLSNVVNNVGTMLITTDNQGLIRVFRTD ILPEIRKKIIEKFHEYKLFHLEAAGKINSHKGDNILENRMEEKSSTEDNEFSTTPPSN THNGRSSHDFYEQHSNNSPVISGMPSRASAIFKNSIFNKSNGSFISLKSRSESTNSTV FGPHDIPRSSTTCPKLKCDVCNGSNFECASKNPIAGGDSGFTCADCGTVLNNFR SPAR_K00950 MSSDNSKQDKQIEKTAAQKISKFGSFVAGGLAACIAVTVTNPIE LIKIRMQLQGEMSASAARVYKNPIQGMAVIFKNEGIKGLQKGLNAAYIYQIGLNGSRL GFYEPIRSSLNQLFFPDQEPHKVQSVGINVFSGAASGIIGAVIGSPLFLVKTRLQSYS EFIKIGEQTHYTGVWNGLVTIFKTEGVKGLFRGIDAAILRTGAGSSVQLPIYNTAKNF LVKNDLMKDGPALHLTASTISGLGVAVVMNPWDVILTRIYNQKGDLYKGPIDCLVKTV KIEGVTALYKGFAAQVFRIAPHTIMCLTFMEQTMKLVYSIESRVLGHD SPAR_K00960 MFEIKLNDRITEFLRKFKDSARSNEGIDEDVDLFLQRHAIPIQS LLFYVKEYRKDSDLPCSIRELLRPLEFEFKPKTVRGSHYSEDFKKNLEFLKYQQQELE YQSMVKRSKSVFSLQEEDELTPSQINKQIKEQVTTVFNVLVSVVSVVVAIWYWTGSST NFPVHVRLLLCLFFGILVLVADVVVYNSYLKKLEEAKVKEKTKVEKKKVLSKITL SPAR_K00970 MSDKVINPQVAWAQRSSTTDPERNYVLITVSIADCDAPELTIKP TYIELKAQSKPHVGDEIVHHYQLHIDLYKEIIPEKTMHKVANGQHYFLKLYKKDLESE YWPRLTKEKVKYPYIKTDFDKWVDEDEQDEVEAEGNDAAQGMDFSQMMGGAGGAGGAG GMDFSQMMGGAGGAGGAGGMDFSQMMGGAGGAGSPDMAQLQQLLAQSGGNLNMGDFKE NDEEDEEEEIEPEVKA SPAR_K00980 MDEYSSIYSQPKTPRLKQGGFPASTGNQQEKALTNENGEENQKI ASVEVATSDSHSTPLTVSIPTFENVQTLPTPMTYTPLSPGNLSMSPIDQSSLNIPKRR SHARLLDDMLSAAQPNQRVVSELIAPANLSPQRVVSLPTVTEEASVTDSVESDNCTKE PYLPESDGLTEKHDDDILQGFLLDHWDRPLLWKKVRPIGSGNFSNVLLYELIDQSNSR LKQVAVKRLKYPEELLNVEQINTSLRYKETLSRLENSLTRELQVLKSLNHPCIVKLLG INNPIFVTSKKPLRDFIKKTPRALPPCDMIMSYCPAGDLLAAVMARNGRLEAWLIQRI FAELVLAVKYLHGNSIIHRDLKLENILLKYSFDDINSFRDSPIYHKQNFIELADFGLC KRIENNEMCTARCGSEDYVSPEILMGVPYDGHLSDTWALGVILYSLFEDRLPFDPPPN ASARQRSRATSHRIARFDWRWYRLSDDDTNVGKQIVENTLTRKNQRWSINEIYGSPFV KTIVDTLDFS SPAR_K00990 MRLLVIQDIVTLFYREDRLADEKGKVKYIRVNELRRLSAQSSYG TLFVSIESFLIVIFQRGVCSLSFFFFCTIKQVYF SPAR_K01000 MPSTSSFVRSAVSKYKFGAHMSGAGGISNSVTNAFNTGCNSFAM FLKSPRKWVSPQYTQEEVNKFKKNCETYNYNPLTDVLPHGQYFINLANPDKEKAEKSY ESFMDDLNRCEQLGVGLYNLHPGSTLKGDHQLQLKQLASYLNKAIKETKFVKIVLENM AGTGNLVGSSLVDLKEVIGMIEDKSRIGVCIDTCHTFAAGYDISTTEAFNNFWKEFDD VIGFKYLSAVHLNDSKAPLGANRDLHERLGQGYLGIDVFRMIAHSEYLQGIPIVLETP YENDEGYGNEIKLLEWLESKSENELLKDKEYVEKNKTLQKLGAKSRKEQLDKFEVKQK KRAGASKRKKATVEPGDNDILSQMTKKRKTKKE SPAR_K01010 MGIKGLNAIISEHVPSAIRKSDIKSFFGRKVAIDASMSLYQFLI AVRQQDGGQLTNEAGETTSHLMGMFYRTLRMIDNGIKPCYVFDGKPPDLKSHELTKRS SRRVETEKKLAEATTELEKMKQERRLVKVSKEHNEEAQKLLELMGIPYIIAPTEAEAQ CAELAKKGKVYAAASEDMDTLCYRTPFLLRHLTFSEAKKEPIHEIDTELVLRGLDLTI EQFVDLCIMLGCDYCESIRGVGPVTALKLIKTHGSIEKIVEFIESGEANNTKWKIPEG WPYKQARMLFLDPEVIDGNEVNLKWSPPKEKELIEYLCDDKKFSEERVKSGIARLKKG LKSGIQGRLDGFFQVVPKTKEQLAAAAKRAQENKKLNKNKNKVTKGRR SPAR_K01020 MDKLVVNYYEYKHPIINKDLAIGAHGGKKFPTLGAWYDVINEYE FQTRCPIILKNSHRNKHFTFACHLKNCPFKVLLSYAGNATSSETSSPSANNNTNPSGT PDHIHHHGDNMNNEDNNNNNGNNKVSNDSKLDFVTDDLEYHLANTHPDDANDKVESRN NEVSGNNDDDGDDNNIFKQQDVTIKHDAEDDSRNKSSIDQGLEEANGSATGNDNSNHH HNDEDDVHTQMTKNYSDVVNDEDINVAIANAVANVDSQSNNKHDGKDDDAPNNNDAHD NDNNNDHNNNNNNNNNNVGSHGVSSHSPSSIRDTSMNLDVFDSATDDIPGPFVVTKIE PYHSHPLEDNLSLGKFILTKIPKILQNDLKFDQILESSYNNSNHTVSKFKVSHYVEES GLLDILMQRYGLTAEDFEKRLLSQIARRITTYKARFVLKKKKMGEYNDLQPSSSSNNS NNNDDELSNTNMRNNPIDYTKHQEISSAGASSNTAKNENNNKNDNNDDNNSNNNNDTS NLIESVLDKTSSHRYPPKKIPSVNKWSKPDQITHSDVSMVGLDESNDGGNENVHPTLA EVDAQEARETAQLAIDKINSYKRSIDDKNGDDHNNPSRNVVDENLISDMDSEDAHKSK RQHLSDITLEERNEDDKLPHEVAEQLRLLSSHLKEVENLHQNNDDDVDDVMVDVDVEA QYNKNPTHHHNSHHSQPHHDEEDVAGLMRKAHDEEDLSDENIQPELRGQ SPAR_K01030 MPLVLFTGYPCSGKTTLANHLVQLLKSRIDATPSLSKYSITYHS DESLGIKHSDYITSQDERKLRSEIISAVKRDLSRNKIVIVDSLNYIKGFRYQLHCEVK NLSTTFCVIQTLCPPETIFEWNKTSNPNPWETELLNQLIQRYEEPNSNNRWDSPLFAI LTPQDSITDYIDDICKVVFQTSKSAKSSGHNDPLSKGLQKPNSATVLKPASQSNFIQV LDVETSKIIKTIMNHIKSLTSIGGVSNGTRVIVSEGITDINDDGCFFVDLPIGNVVTL AQLQRLKRQFINFNKLRDIDQGRIGPLFADYLNKNLN SPAR_K01040 MTAKTFLLQASASRPRSNHFKSEHNNIPLAPVPIAPNTNHHNHS SLEFENDGSKKKKKSSLVVRTSKHWVLPPRPRPGRRSSSHNTLPSNNTNNILNVGANS RNNSNNSTASNRKQASKEKRKPRHIQTIDEKLINDSNYLAFLKFDDLENEKFHSSASS ISSPSYSSPSFSSYRNRKKSEFMDDESCTDVETIAAHNSLLSKNHYVDSSSNVHAPPT KKSKLNDFDLLSLSSTSSSTTPVPQLTKDFNVNLNFHKIPHKTSFPDSPADFSPADSV SLIRNHSLPTKLQVKDKIEDLNDIKFFNDFEKLEFFNKYAKVNTNNDVNENNDLWNSY LQSMDDSVGRNIGDSQQVDNDDNMSLLNLPILEETVPSGQDVKIEPDEEDIWNYLPSS QQQDSARVLNKNMNSDKANMQTNNDETYLFLQDHNESTNPHQDGEQGSEITLADNKFS YLPPTLEELMEEQDDNNSRSFKNFMFSNDNGIPCSNIDGGTGNDDDDYTKVLKSKKIS TSKSNANLYDLNDNNNDATVTNEFDQSSFIDDLDEDVDFLKVQVF SPAR_K01050 MFSFELEQLKIELKTWEHDFINKNKREPTRDDIKSLRDVRQMYK QYSILKKKHSLQQQKLLAQESVEVPAHSKDNDEIVEIGPTPQVYGKAISIFDMNLSPI KPIYMTFTNNTDINNDSSKTISNKSSPQKTMSLKSSPEDRTLVAESISNVKRQLNFQI LKASSTRTPISSPCKKCDGQSAEIKKWSPTIKPLLESGKPSRYYGPNSPLKLDEENIH LNIPLNSNTKRRLQMAYPSLQKTPSRDNHVDISVSFSPSPLIRRPLTKSLIELAREHT EIVKEFSTLQGEDIEEDIEEEEEEEGEDDKDGRDEKDGEDESRLEDGLIRRKVVKDIF QEDDDNEDNQARENTFIRKRPKRRKVIRRLRDDDPETEPTAVKRDVHKELMKLKKRKV AEFLGSTSQLSDTEFENNDEATSGVVNLEQKPAAKRKGRKKYNLVSNNFRRLKLPKKN RFPNRRWGRR SPAR_K01060 MFWKKDPTVTWERKHINDIDFSCFNVAIIGGTGGIGRAISRELA QRDARVTVVGQTFRDEDLKDKIKFVKADLSLVSECKRISHSDEIPYEELTHLIFTTGI FASRQRQATSEGLEKDMAVSYLSRYIIFHDVAKRLGISRTKKDDLPKVFVVGFPGNGQ LGDPDDLNSDEKNYSAYGTHMNTVAANESLVLDAKDRYTNIDTFGLNPGLIKTNIRSN LLGSDTYLSRITEWIISWTCQSAETYAKTICALIVSPAIESRSGTMFSNKGDAILPTP GLTKDVVEKFMENSELLVEKALRNQSPFTSSNE SPAR_K01070 MLRTRLSNWGLWRSYYTSSLSRVPRAPPDKVLGLSEHFKKVKNV NKIDLTVGIYKDGWGKVTTFPSVAKAQKLIESHLELNKNLSYLPITGSKEFQENVMNF LFKESCPQFGPFYLAHDRISFVQTLSGTGALAVAAKFLALFISRDIWIPDPSWANHKN IFQNNGFENIHRYSYYKDGQIDIDGWIKQLKTFAYNNRVENNRNPPCIILHACCHNPT GLDPTKEQWKEIIDTIYELKMVPIIDMAYQGLESGNLLKDAYLLRLCLNVDRYPNWSN GVFLCQSFAKNMGLYGERVGSLSVITPATANNGNFNPLQQKSSLQQNIDSQLKKIVRG MYSSPPGYGSRVVNVVLSDFKLKQQWFKDVDFMVQRLHHVRKEMFDRLGWPDLINFAQ QHGMFYYTRFSPKQVEILRNNFFVYLTGDGRLSLSGVNDSNVDYLCQSLETVSKMDEL A SPAR_K01080 MVQQSSPDALAAAAAIGNALSHNGRTVDRSKIPQYNQSFTSRTA SIAGINRYTMISNSRTNTRVSSMNGNIHRYSGRTSSLPNRRHTNTSNNSTVRRQRKAN EDAETTFREFGGHQSSKILNISGLTSQNNKSRTMSLDNSGSITRTIKKYIPGPRGLVA VEVPVQEELPRYSPNSHSHHPSGRAYSLPTRNDDARPMHRKKTTKTAGLHERKAEPEV KSRNNYPSKISPKMHTKSSKQPNNNNVPLIQTTMNEETEQELQEDLHDPFEFKPMTIS DDENSFIESSVLDCSVSGKDKGNLNGREKKEEIEKLLKEVHTLEEKISHIEIAKLNEE EREQSLILELRKVKLDEERRMELLKRELDIAKENADLEAEELKLIESKRKEHFSKEEE VKSKVGSAVIRLPTLLKPEPADSLPKDVEKVSTAVLDQAQNIENSENNDSIATKESLV NTILPDSFNNSALHMNSDSEAGPNEDSNSLIDSELSDYNYIEGSATDLRATAKTSVES EIGRDHTGLKIPEENDLEKQEERAKGNKPDLVDTNCFLVQKEGREAALSDNELESPEA FLDASGINNIRLEDETEGAKEKNDGLNNGSCHGKDDDDKDDDDKDDYDDDDDDDDDDD DDDEEYHDSYDVIMHEPVQMEQTITSVPPLKYPLENSRETKDYKNNEPNSSSAEVSQS GTNMAKYLRGANPYLTSTSSDILDVNSENVNSKSSTESTRVAPDLLKGSPQSAFKTAL KTSAVPSSTSSSIYSVDTSPDIDTHVEDTTASNTRTNSHSPPTSTSEQKYNKPSFQEV PVMSPRRLEDKRKTFNRLCVRTLRGSSNEATPLDKLSHSSSNASSPSYHSKKASIPPT PRGSALQEASKCYLKAPQASKISRQLPDHVPLDIDKKKSALYPNELPAKKSSFEKERP VRDNLGFKSMSLREPLITKNTQIAAAESSEAEERKEKKGHVSRKSWTFGLPSPLKTRT NHSAHSMNETEIVSSMIDSRNKPNENDTPILANKNSYNNDDSSPYTVSSMNTNENVSE AGTEGHRFSLFGNKSQLSNRNISGGTTALDSTNPELSKVLPLSVPVTIIEKNGEIHKL HNDDTAMKDKSHHHHGNHSKFGRKLKKIFGRKLSGKI SPAR_K01090 MCGIFGYCNYLVERSRGEIIDTLVDGLQRLEYRGYDSTGIAIDG DEADSTFIYKQIGKVSALKEEITKQNPNRDVTFVSHCGIAHTRWATHGQPEQVNCHPQ RSDPEDQFVVVHNGIITNFRELKTLLINKGYKFESDTDTECIAKLYLHLYNTNLQNGH DLDFHELTKLVLLELEGSYGLLCKSCHYPNEVIATRKGSPLLIGVKSEKKLKVDFVDV EFPEENAGQPEIPLKSNNKSFGLGPKKAREFEAGSQNANLLPIAANEFNLRHSQSRAF LSEDGSPTPVEFFVSSDAASVVKHTKKVLFLEDDDLAHIYDGELHIHRSRREVGASMT RSIQTLEMELAQIMKGPYDHFMQKEIYEQPESTFNTMRGRIDYENNKVILGGLKAWLP VIRRARRLIMIACGTSYHSCLATRAIFEELSDIPVSVELASDFLDRKCPVFRDDVCVF VSQSGETADTMLALNYCLERGALTVGIVNSVGSSISRVTHCGVHINAGPEIGVASTKA YTSQYIALVMFALSLSDDRVSKIDRRIEIIQGLKLIPGQIKQVLKLEPRIKKLCATEL KDQKSLLLLGRGYQFAAALEGALKIKEISYMHSEGVLAGELKHGVLALVDENLPIIAF GTRDSLFPKVVSSIEQVTARKGHPIIICNENDEVWAQKSKSIDLQTLEVPQTVDCLQG LINIIPLQLMSYWLAVNKGIDVDFPRNLAKSVTVE SPAR_K01100 MEEQREILEQLKKTLQMLTVEPSKNTKTANEKKEERESENSWCI LENNYEDIAQEFIDFIYKNPTTYHVVSFFAKLLDKHDFKYLSEKSNWNDSISEDGGKF YTIRNGTNLSAFILGKNWKAEKGVGIIGSHVDALTVKLKPVSFKDTAEGYGRIAVAPY GGTLNELWLDRDLGIGGRLLYKKKATNEIKSALVDSTPLPVCRIPSLAPHFGKPAEGP FDKEDQTIPVIGFPSPDDKGNEPPTDDEKKSPLFGKHCIHLLRYVAQLAGVEVSELVQ MDLDLFDVQKGTIGGIGKHFLFAPRLDDRLCSFAAMIALICYAKNVNTEESDLFSTVT LYDNEEIGSLTRQGAKGGLLESVVERSSSAFTKRPVDLHTVWANSIILSADVNHLYNP NFPEVYLKNHFPVPNVGITLSLDPNGHMATDVVGTALVEELARRNGDKVQYFQIKNNS RSGGTIGPSLASQTGARTIDLGIAQLSMHSIRAATGSKDVGLGVKFFNGFFKNWRSVY DEFGEL SPAR_K01110 MTGHVSKSSQVPKGRPSSLAKKAAKRAMAKVNSNPKRASAHLER VVQSVNDATKRLSQPESTVSVATKSSKRKSRDTVGPWKLGKTLGKGSSGRVRLAKNME TGQLAAIKIVPKRKALVHCSNNGTVPNSYSSSMVTSNVSSPSIASREHSNRSQTNPYG IEREIVIMKLISHTNVMALFEVWENKSELYLVLEYVDGGELFDYLVSKGKLPEREAIH YFKQIVEGVSYCHSFNICHRDLKPENLLLDKKNRRIKIADFGMAALELPNKLLKTSCG SPHYASPEIVMGRPYHGGPSDVWSCGIVLFALLTGHLPFNDDNIKKLLLKVQSGKYQM PSNLSPEARDLISKILVIDPKKRITTQEILKHPLIKKYDDLPVNKMLRKMRKDNMARG KSNSDLHLLNNVSPSVVTLHSKSEIDESILRSLQILWHGVSRELITAKLLQKPMSEEK LFYSLLLQYKQRHSISLSSLSENKKSVKESIINEPKTEYTSEISNIINVRSDNDVKTL HSPEIHSGRASELSRNNTITSINPKVNAPVLTQKSQFSINTLSQPRNNKPEAESVTLP PAIPIFNASSSRVFRNSYSSISSRSKRSLRLSNSRLSLSASTSRETVHNNEMPPPQLP KSPSRYSLSGKAIHVSPSNKSIHKSLSRMNIAPTATVRRTLQNSASKRSLYSLQSISK RSLNLNDLLVFDDPLPSKIPGSENLDKSEPHSLESDSDFEILCDQILFGNALDKILEE EEDNERDSDTPRQRRNDIKTATDTVTISGSSMDKENEGPEYPTKTEKKSFNMSYKPSE EMSGISSFSIFQEENTLTSSYLEEQKPKRAALSDITNSFNKTNKQFFKNQGMRMEKKI HKEQPLKKNDRSPPLRPAQPQELRVHSLPNDQGKASLSLDPRRNVSQPVNSKVESLLQ GLKLKKESPSHWTHERGSLFMSGDAEDEKPVKTSDDSIESSYGHFTTVATSSRDPSVL AESSTIQKPLLSLPSSFLNTSMTFKNLSQILADDGDDRHLSVPHNQSRSVAMSHPLRK QSAKISLTPRSNLNANLSVKRNQGSPGSYLSNDLDGISDMTFAMEIPTNTFTAQAIQL MNNDMGNNKPSASPKVSSFSKGKGIKQADYVTKEKGVDNSETNHIPDYTVPNTYDEKA INIFEDAPSDEGSLNTSSESDSQASVHRKAVSIDTLATTNVLTPATNVRVSLYWNNNN SGIPRETTEEILSKLRLSPEKPPNPYVQKRFSSARGSRDSNALGISQSLQSMFKDLEE DQDEHTSQADMLESSMIYPNRRQSEESANPKQRVTMLFDEEEEESKKVGGGKRKEEQT RLGSSIAEEPSQLVLPVVQKKDNADITENINLKVPKALSQIKATKDIAMKSGPPAHTK TPVNTVKSAKKFEVEEAPANDKKNWFVKLFQNFSSHNNATKASKNHVTNISFDDAHML TLNEFNKNSIDYQLKNLDHKFGRKVVEYDCKFVKGNFKFKIKISSSPNASTVITVKKR SKHSNPTSSKAFEKFNNDVERVILNAGRS SPAR_K01120 MSKYLNSFIDHVSDWSSRAFGANSSSASQGASNKELEQVFEQIN AIVENHNDNLATTFDKISYRVAHKIIHLVESHSLVFNYATLVLIASALVAIGSFTSIS SIPFTALPPTREHPLFDPTDFDVDHDCHVIYSEDDEEKKKKKKSKRFFDMMDEKHAII LPLTSGCTLLALYFVIKKLHLNWLKYVAKILNFNITLLNIPAATFVYSYFLNSLFRNL SHFASWNPLVVLPRYRVTIADDNEDLNKIGGFVTNLNYEDVLTNSVVHKKTLDEIEKD HWMKHFYRRELLEPKDIKSKRQISNMYLNNVLIVSFVLSVISTAYFYLSPNDWLISDA VSMNMAIWSIAQLKLKNLKSGALILIALFFYDIYFVFGTDVMVTVATNLHIPVKLSLP VKFNTAQNNFNFSILGLGDIALPGMFIAMCYKYDIWKWHLDHDDTEFHFLNWSYVGKY FITAMVSYIVSLISAMVSSSIFNTAQPALLYIVPSLLISTTLVACWNKDFKQFWNFQY DTIEVDKSLKKAIENKEDSITYSTFILSEYYDDADKYALLVDDVTENFNDDEEFVQEE DFNDSSEEELSEEELSEEDPLDDESS SPAR_K01130 MAKLVHDVQKKQHRERSQLTSRTRYGFLEKHKDYVKRAQDFHRK QSTLKVLREKAKERNPDEYYHAMHSRKTDTKGLLISSRHGNEEDESLSMDQVKLLKTQ DSNYVRTLRQIELKKLEKGSKQLMFKSSGNHTIFVDSREKMEDFAPEKFFNTTSEMVN RSENRLTKDQLTQEILNNKNASSIMPKESLDKKKLKKFKQVKQHLQRETQLKQVQQRM DAQRELLKKGSKKKIVDPSGNTSFKWKKQRKR SPAR_K01140 MGDHNLPDFQTCLKFSVTAKKSFLCMYRDGVPKEKLASSMPSSC NIQLKRAINDAYPDGGIKVTVMSSTTVSIDSLATTHVQDFEIVIIPDINSLSQPDQAK LVKIMRDSKMTSEKAQPRRIFIGVVHWNNPVPPSSAANDEDDASKAAPKTRIFLPTSL HIGAWLKHKFWFACAPPYLDFESSTESSIHTRADSGAGIAREEEQEPEGKKSVILNEE ANLNDIFVGSTVKRYILDIMVHLRTHRLTYNAKAGGVYTNSLDDVVLLSRLIGLHSGK MFVSPSHVKEASKWYFPMHLELVQRSSMDSSLLYGSDPSLVDEMLEKLAKIKYEEVNE FENPLFLESLVVKNVLSKVVPPV SPAR_K01150 MQFSTVVSVAFVALANFVAAESAAAISQITDGQIQATTTATTEA TTTATPSSTVETVSPSSTETISQQTENGAAKAAVGMGAGALAAAAMLL SPAR_K01160 MKFSTTLSVALFTLAKMVIADSEEFGLVSIRSGSDLQYLGVYSD NGTLKLGSSSGSFEATITDDGKLKFNDDKYAVVNEDGSFKEGSESDAATGFSIKDGHL NYKSSSGFYAIKDNSSYIFSSKQSDDATGIAIRPTGKTGSVVADFSPSDSNSSSSSSA SASSSTESAKTSSAAAVSSASSAAASVISQITDGQIQAPNTVYEQTENGGAKATVGMG AGALAAAAAFLL SPAR_K01170 MSERKAINKYYPPDYNPLEAEKLSRKMARKLKTMNKSHASIRLM TPFSMRCLQCNEYIPKSRKFNGKKELLKEKYLDSIKIYRLTISCPRCANSIAFRTDPG NSDYVMEVGGVRNYVPQKPNDGRNPKAAAESIDETLQRLVREKEIEQNGTMGIKDQVG DKMDLLEKRLAKIQQEQEDDEELENLRKKNLEMSQRAEMISRSNHLQQEKAVTADDLD NIAEQAFDNHRRRSSKSDKNNDEKRAPLFNPTSNKGKIQKKSSPRTNPLGIVVKRGKS LK SPAR_K01180 MAPYPYKVQTTVPDLQYENFDGAKFGYMLWPVQNGTNVVKGRVL LIHGFGEYTKIQFRLMDHLSLNGYESFTFDQRGAGVTSPGKSKGVTDEYHVFNDLEHF VEKNLSECKAKGIPLFMWGHSMGGGICLNYACQGKHKNEISGYIGSGPLIILHPHTMY NKPTQIIAPLLAKFLPRVRIDTGLDLKGVTSDKEYRAFLGSDPMSVPLYGSFRQIHDF MQRGARLYKNENNYIQKNFAKNKPVIIMHGQDDTINDPKGSEKFIQDCPSVDKEVKLY PGARHSIFSLETDEVFSTVFNDMKQWLDQHTTAKAKP SPAR_K01190 MRMEKPRDKPLSAGDMNDYCSQGSMDDIDCLNFFERAVQDPCCE ACDTEDADEELRAKLSNFNFQSDSSPCSGKCQPTLNPLCKIDEALPARSELAPSRNGS VSEANSDTNSIASSIHDPTDSKYGGMPSLRKAKTTSYFTSSSSNKTTMRNPLKKCSTN VNGLLVNGRSSSSSRQSIPELFSGACTKKKNSVLLKSETPHSEFSSNSLQHSNTRSFS LPRSRSRSSAIAIPTHLYGLEKYVSPELDTLTADPEDSIGSSLNNRHRKASSCCPNDA GVTNSSLPHSNNSSSLNFPLGTNTNQYHQPRQSLQQQQFSKPGFGAGRKKSFIEMSLA SSFAG SPAR_K01200 MSSNNEPAPSRKSYFKLNEFLSNVKHYKNTFKGEIQWCNNLSLN DWKTHYLQITNTGALTHSIDELTADSTNIQPIIKHLQQCEIEIIRDTQSTFKDINANC NFITQVNTLGKDNKVYLRVKSWNDFKKLLTCLIWWSSMKTNGIFNKFQVSPPREFKKK KMGKPESLLVYKLNVFGPIVKNIALPPATNTLGNHDLINNDNNGVGWFSAMGVLKSDG TLDLLLQSDGSLIYSLNINQLLKSEIRILDSSVLQNENCLFLGELPLLRNQLDLEKFR IENISSSNNNSIDIPQEIIIEFPLRIDLEDCFVALQSYARSEYLSVIGSDKSNDMKIS NSFKISILEANFQSINLNDKNNTPWSIFTDITAWGHTWARTSMVPNSPNPFWREEFKF NELLRLTDSYLEIKQLFQDPNNKKRLRLIGKIKITQEIINDTKYNKETRLPVMDIDNQ NFQIGTICIKISSNLNFILPSTNFIKLEKLLINANLSMVSNLIYKSSSSMENDNKLTQ TSIIFLDIFQSLSRIEEWLHVLIDKELAKIDGTVSRINQKNLDSRHIFNSLFRGNSIL TKSIEQYFFRVGSEYLNKALSGILKEIIESNKSCELDPTRIKEKDEAKKGKIIGDNYK RLYSWVTKIWKRLYVTSNDLPIEIRNVLKIFRQKLEIICIDDTLQIILNGISGLLFLR FFCPVILNPKLFKYVSQNLNETSRRNLTLISKVLLNLSTLTQFANKEPWLMKMNNFID KRHNELLDYIDKMTQKKLDFNSKILNLSSTISRPKLAIDQVTLNDLPQIPYLIDKNLR ETEFVNLIVNFSQENMIRMEKYNHTDSDGKRDLKEEEGLLNGSSINLSMDKKDLDSPV EVKPEIGELEFEKITENNTEVFGDDLMNLLKSDDVGPKNKGLDSGTNSSVIFNSIIPK TEEENYMMKELEQESCLLYNRIDHVRKRLSDYECASSTLFEDKKYSISLSHKIFYEEV KEGKKIVLKLLSKPASEYSSARLQKFFTKGVPSKSNNSIGDSHSRFLSIDVHDESPKS KNRTSLHGAPSDNGAKDDHLILPTSQGKGSLGNRFSPTKLSRIMRKPPNADVPKEQSS RKLTRWFKKKKETEGT SPAR_K01210 MTTSILDTYPQICSPNALPGTPGNLTKEQEEALLQFRSILLERN YKERLDDSTLLRFLRARKFDINASVEMFVETERWREEYGANTIIEDYENNKEAEDKER IKLAKIYPQYYHHVDKDGRPLYFEELGGINLKKMYKITTEKQMLRNLVKEYELFSRYR VPACSRRAGYLIETSCTVLDLKGISLSNAYHVLSYIKDVADISQNYYPERMGKFYIIH SPFGFSTMFKMVKPFLDPVTVSKIFILGSSYKKELLKQIPIENLPVKYGGTSVLHNPN DRFCYSDIGPWRDPRYIGPEGEIPNIFGKFTVTS SPAR_K01220 MDNNDDFDSKLSILMDMFPAISKSELQVHLLENNNDLELTIGLL LKENDEKSADDDELHQLYDMFSQLDCEVIKDQFVTNKKSVESTISDLLNYETLQKLKD NQATFPNNVQQNGKKNNWKSTNDHIESIIKFTDSPKNIAQEYFVENGFDPVRAIIKIV LDYYDKSDFKENVNAVKVKRNPNTTVRGGRVQSSMGLAHALKMDKESTNVAQESLKRP RSYKHSLNSPQMVELNELVAGNHDLKAINHDFLQKCLQFYDGDVVKVLNISSLLVEDD KNITKTWNFDEGFTLTSKDNCKQHSNKLSTPQIPHRNEVGSTYKLPLHDKETHEGAVS VINGLFQTYRLDFHGFLPNEAVSILKLALNKWWSKEVAERELNSHNINSYGSKVQFVS PLIVVTGRGIHSVGGISKVRLQVKSFLEKNHYIFWEESSFFRIEGKKKK SPAR_K01230 MDYMKLGLKSRKTGIDVKQDIPKDEYSMENIDDFFKDDETSLIS MRRKSRRKSSLFLPSTLNGNTNNVLPPFLQSYKSQENEIVQSPSRNNDGSRRSSLLSH QSNFQSPANDFEPIEEEPEQEENGNRSNDFATPTTKKLSKPTFKRKYSTKYSLDTSES PSVRLTPDRITNRNIYSDVPDLIADEDDEDRGNTSLNTSDNAVLEDELEDDGFIPESE EDGDYIESDSSLNSGSESPSDVDGDDTYQEVEEEAQVGANDNEDDYIRRQANDVVRTD SIIDRNGLRKSTRVKVAPLQYWRNEKIVYKRKSNKPVLDIDKIVTYDESEDEEEILAA QRRKKQKKKPTPTRPYNYVPTGRPRGRPKKDSNTKENLIPEDPNEEIIERIESGGIEN GEWLKHGILEANVKISDTKEETKDEIIAFAPNLSQTEQIKDTKDENFALEIMFDKHKE YFASGILKLPAISGQKKLSNSFRTYITFHVIQGIVEVTVCKNKFLSVKGSTFQIPAFN EYAIANRGNDEAKMFFVQVTVSQDANNDNDKELDSTFDTFG SPAR_K01240 MTDEKVNSDQNMNGKQGVNLVSSLPTTQVPVSILTNKERRKSVH DESNFERTDGHNDQSRSNSNRKNIYKNDYSTNLRDFSFANLKQNNERAKDGHEIQINT NMSPNTNGQQNSFSPSLPSAVSFTVPEVERLPYHRYSISNKPGKQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQKVKLQE QHQRAKQQEREVKQIKGQAQKKQNERQQLIDKKERIANTIFMENTTTDGADTRKYPAS NGTSNSEDEIDSPSMEKNSIVHMPGDFIYFNPKSNTSKPMAAKAAASSANNSIHKNKE VITAPTGPRVPFTEFFQKEDDKKFHILIGATGSVATIKVPLIIDKLFKIYGPEKISIQ LIVTKPAEHFLKGLKMSTHVKIWREEDAWVFDAVNRNDNSLNLNLILHHELRKWADIF LIAPLSANTLAKLANGICNNLLTSVMRDWSPLTPVLIAPAMNTFMYINPMTKKHLTSL VQDYPFIQVLKPVEKVLICGDIGMGGMREWTDIVEIVRRRINEIRKARDEETGDKEQE QEEQEGIDNEDDDGDDDEEEEDEEEDAALNETASDESNDEEDEDEDEDDENDVKTEVQ MH SPAR_K01250 MISSDQQGKCPVDEETKKLWLREHGNEAHPGATTSSNQLECSAN PQENDNNPQYETDVNLSQSREVSTIPRTNSDRNWIYPSEKQFYEAMMKKNWDPNSDDM KVVVPLHNSINERVWNYIKGWEDKQGGEACGGIKLTNFKGDSKKLTPRAWFRSRILHL AKPFDRHDWQIDRCGKTVDYVIDFYSTDLNDTKQQQQPLIYLDVRPKLNSFEGFRLRF WRSLGF SPAR_K01260 MSLQSNSVKPTEIPLSEIRRPLAPVLDPQKIDAMVATMKGIPTA SKTCSLEQAEAAASAKELPPVDVLGVRAQGQTLYYAFGGCHRLQAYDRRARETQNVAF PVRCRVLPATPRQIRMYLGSSLDIE SPAR_K01270 MLSRVAKRAFSSTVANPYKVTVLGAGGGIGQPLSLLLKLNHKVT DLRLYDLKGAKGVATDLSHIPTNSVVKGFTPEEPDGLSNALKGTDMVLIPAGVPRKPG MTRDDLFAINASIVRDLAAAAAESAPNAAILVISNPVNSTVPIVAQVLKNKGVYNPKK LFGVTTLDSIRAARFISEVENTDPTQERVNVIGGHSGITIIPLISQTNHKLMSDDKRH ELIHRIQFGGDEVVKAKNGAGSATLSMAHAGAKFANAVLSGFKGEKDVIEPSFVDSPL FKSEGIEFFASPVTLGPDGIEKIHPIGELSSEEEEMLQKCKETLKKNIEKGVSFVASK SPAR_K01280 MIETAIYGKTVDDQSRCVHWHLPKDVIAIKFKCCDRYYACFECH QELNSHPIERYDLRDDANKYLIICGVCRHEMTFAEYHDNNSNLICPSCASPFNPGCKL HYHLYFRNPPSVMC SPAR_K01290 MSNSLEERLRANSSAFDGLLALIPAKYYYDEKSQEQWKAKKKTK EQSKNDKLKKLDPEQRDDETSSTLEVMKKKEKDAKPVVLPGEKFKQMKMQKQKKAIVK GERDSDLKPVQDGPMMIAPDEEEEEEEDIKVIFDDEGNEIPLESKKDTTEADKGVEKK SNTEEEKLQRKKNLEALRSKLQARITDMKTKRKAPGSREAILAQRKRKEELKKRKRLE TEQDQDQDENGSDSDVDDVDSDLENNPKKKFKKAKKDSEINADGVIFQNIIFDDGAKA TSDLQRLRKAGRTKGPAKNDVKSHLKLLEAKKNKMDAKDELEQIKLKEKEKWQKAMLQ AEGIKIRDDEKLLRKAIKRKEAQKRKSAIEWSERKRVVEDTISERQKRREENLRIRKD NKGKKRNKQEKMKRKYVGSAVPKKRAGFEGRLKTGNKRGGPK SPAR_K01300 MSQGTLYINRSPRNFTAEALISHFKLDVKIADLEQSSEFASLFP LKQAPAFLGPKGLKLTEALAIQFYLANQVADEKEKARLLGSDVIEKSQVLRWASLANS DVMYNIARPFLSFKGLIPYNKKEVDACFVKIDHIAAIFDARLRDYTFVATENISLGDL HAASSWAFGLATILGPEWRAKHPHLMRWFNTVAASPIVKTPFAEFKLADKALTYTPPK KQKAEKPKAEKPKAEKKKEEAKPADEAAPAKKPKHPLEALGKSTFVLDDWKRKYSNDD TRPVALPWFWEHYNPEEYSIWKVGYKYNDELTLTFMSNNLVGGFFNRLSASTKYMFGC LVVYGENNNNGIVGAVMVRGQDFAPAFDVAPDWESYEYTKLDPTKEEDKEFVNNMWAW DKPVVVDGEAKEIVDGKVLK SPAR_K01310 MATALYTANDFILISLPQNAQPVTAPGSKTDSWFNETLIGGRAF VSDFKIPEFKIGSLDTLIVESEELSKVDNQIGASIGKIIEILQGLSETSTNAYRTLPI NNMPVPEYLENFQWQTRKFKLDKSIKDLITLISNESSQLDADVRATYANYNSAKTNLA AAERKKTGDLSVRSLHDIVKPEDFVLNSEHLTTVLVAVPKSLKSDFEKSYETLSKNVV PASASVIAEDAEYVLFNVHLFKKNVQEFTTAAREKKFIPREFNYSEELIDQLKKEHDS AASLEQSLRVQLVRLAKTAYVDVFINWFHIKALRVYVESVLRYGLPPHFNIKIIAVPP KNLSKCKSELIDAFGFLGGNAFMKDKKGKINKQDTSLHQYASLVDTEYEPFVMYIINL SPAR_K01320 MHWNIISKEQNASSVSLPTLDNSEPCHIEVILRAIPEKGLQNNE STFKIDPYDNTVLFRTNGPLHDTTGVTHSTFQFDKVFDANATQEDVKEYLVHPVINDV LSGYNGTVITYGPSFSGKSYSLIGSKESEGILPNICKALFETLEKNAETKGDNYSVSV LAFEIYMEKTYDLLIPLPQRKPLKLHRSSSKMDLEIKDICPAHIGSYEDLRSYIQAVQ DADNRMGCSGKTERSKSHLVFQLHVEQRNRKDDILKNSSLYLVDLHGSEKFDKRTENT LSQDALKKLNQSIEVLKNTVRSLSVKDRDSAYSAKASHSSAYRDSQLTEVLKDSLGGN RKTKVILTCFLSNVPTTLSTLEFGDSVRQINNKVADNTTGLNLKKKMDLFIQDMKIKD DNYLAQINILKAEIDSLKNLRSEPIYEDDAKTMLENSKKENSKLKLQLDSITQLLSSS TNENPNNRIDEEVAEILTKRCEQIAQLELSFDRQMNINSKLQQELEYKNSKEEALESM NVRLLEQIQLQEREIQELLTSNAILKGELETNVKLTETRSERIKSLESSVKELSINKS TISSPRRGSISSSSGNTMLHIEEGSEVSNSPWSANTSSKPIGWGVRKVSSSSIATTGS QESFVSRPFKKGLNLHSIKVTSSTPKSPASGS SPAR_K01330 MAANTNISTGSKYVSKKPKVRKNVHPFTSNTRTKRASKVIKFND SDEGDHVSEQLLKERNTVSYKSLKSRANDLLKMRETLPVYQHKSEIMSYIESNPVTVL IGETGSGKSTQIPQFILEQLYDTKKHGTIAVTQPRRVAAINLATRVAQEHGCKLGDQV GYSVRFDNTTTTRTRLKYLTDGMLLRELMMNSDLREYSVIIIDEAHERTVLTDLILGF LKSLMQGPRQDLRIIVMSATLQAEKFSEFFNNAPILFVEGRKFDVKQYYLKAPTDDIV DAVIRCCIQINQGEELGDILCFLPGQEEIDKAVTIMEKIAKYVSDETPVPLIVPYPLY AALPPVQQALVFAPIKGFKRKVVFSTNIAETSVTISGVKFVVDSGLRKVKVWRHQLGL ATLLTVPISQASAMQRSGRAGRESEGKSFRLYCESDYMKLPKQSEPEIARSDVTSPVL MLKRYGVDDLLNWTWFENPGKEAIVMGLQELYELGALDTRGKITKRGQQMALLPLQPH LSSVLIKASEIGCLSQVIDIVSCLSVENLLLNPSPEERDEVNERRLSLCNAGKRYGDL IMLKELFDIYFYELGKSQDASSERNDWCKGLCISIRGFKNVIRVRDQLRVYCKRLFSS TSEESEVKKIGEDGEVISKILKCFLTGFIKNTAIGMPDRSYRTVSTGEPISVHPSSML FMNKSSPGIMYTEYVFTTKGYARNVSRIELSWLQEVVTNATAVAKQKISDSK SPAR_K01340 MRFHDSILIFFFLASLYQHVYGARQVVRPKEKMTTSEEVKPWLR TIYGTSKELVTPTVIAGVTFSAKPEETPNPLKPWVSLEHDGRPKTIKPEIKKGQTKKG RPDYKTYFKTAVTHTYSYEDLKAHNMDPNQKHVEEEYIDEDDTYVSLNPVVRCTPDLY FNKGLAKDIRSEPFCTPYENSRWKVDHTYFVSWYTRFFEDVNSGEVADQVRVHLSYVK ENPREKGNYKRDLPGTFFSSEWIDNLNGLMAIEVDEDWLQNEFERRIVVSVQPKYIPD EDFNPLQYGVLLHITKGSRVFKPTKEQLALDDAGITNDQWYYVALSIPTVVVIFFVFM YFFLYVNGKNRDFTDVTRKALNKKRRVLGKFSEMKKFKNMKNHKYTELPSYKKTSKQN SPAR_K01350 MAKDLLPKQAANEPSSKDCTCKRCLKLSTSKEKKIRRKKKNGRH YGNRRKSMFNFLKHTNLENTNYDVITSVGYLNEKYGLKKSHYIEKFIKCIHRKIDVDV SKITDAYVNSLNPWVKVKLFLLLVTLSEKGGPEYWLDKTEGDKNSESSSSDDGLENSA KGGDRAEFNTLKDEMVKTHKNLFPTLTEQIIQHNINQDFTESTYDEDYVFSSIWANFM EGLINHYLEKVIVPYSEMKVCQQLYKPMMKIISLYNEYNELMVKSEKNGFLPSLQESE NVKSEKSEKESKDDVVSQERLERAQKLLWQAREDIPKTISKELTLLSEMYSTLSADEQ DYELDEFVCCAEEYIELEYLPALVDVLFANCGTNNFWKIMLVLEPFFYYIEDVGGDDD EDDDNVDNSEDDEDSLLNKNVEGDDNVAEHHFKPDPRVITLEKICEVAARQKWI SPAR_K01360 MADEKRLEDLRSKIMESIGKSDRDLVPIENKRHITDNAVMDAHV KRQKNDSELPKAPKSRDAPHSHIRGISSSTTMSANRTTYEQTRAGPYRRGYRDASGRS YNRENRFNSHTAGPQWSNNSYGRQRDERRGRNERSDRRGRNGNGNYDHSNYQRKNEAS NFNGDRDKRQLQTNKHDMNYNSQNVMYPGSSFDSPAYYNMASSKANSRLVISGLSHSS NPKIVANLKDLLENFVLGLQETESNAEDFKIANYFIGEGRPDHIIVEFSSQICSTMVL ACRSFFSMKLGTTDLKWGRPNDYIQQLDHLVGFCRGTVIALEESENMGEGGEGKMEEF LSSFNVKKGTAKPLFYKSSSSANNTGKDFEFTKCALILFEVVTQDVLDKLKPYKWFKP NDGKTSQVTSWITFQSLPNLVTQSVRVESRVLLLLNCLDPLDLKDETFITEIKETLKY SITGIDTIKICQPGVDYRLNFENLASGAGNIYVKFKTLEAAKHAMEELPGTQFNDRTV LCTYIDEDDFDMLEVTLLS SPAR_K01370 MRNILRLLFFTAFIAIGSLAAVLGVDYGQQNIKAIVVSPQAPLE LVLTPEAKRKEISGLSIKRLPGYGKNDPNGIERIYGSAVGSLATRFPQNTLLHLKPLL GKSLEDETTVTLYSKQHPGLKMVSTNRSTIAFMVDNVEYPLEELVAMNIQEIASRADS LLKDRDARTEDFVDKISLTIPDFFDQHQRKALLDASSITAGIKETYLVSEGMSVAVNF VLKQRQFPPGELQHYIVYDMGSGSTKASMFSILQPEDITQPVTIEFEGYGYNPHLGGA KFTMDIGSLIENKFLETQPTIRTDELHANPKALAKINQAAEKAKLILSANSEATINIE SLINDIDFRTSITRQEFEDFIADSLLEIVKPINDALTKQFGGNKTNLPEINGVILAGG SSRIPIVQDQLIKLVSEEKVLKNVNADESAVNGVIMRGIKLSNSFKTKPLNVVDRSIN TYAFKLSNESEMYDVFTRGSAYPNRTSILTNATDSIPRDFTIDLFENDKLFETITVDP GAVKSSYSSDKCSSGVAYNITLDLSSDRLFSVQEVSCVCQSENEAGSSKQTKNKGSRL AFSSEDVEIKRLSPSERFRLHEHINLLDKQDKERFQFQENLNVLESNLYDARNLLMDD EVMQNGPKSQVEELSEMVKVYLDWLEDASFNTDPEDIVSRIREIGILKKKIELYMNSS KEPLNSQQFKGMLEEGRRLLQSIETHKKTVEEFLSQFEAEFADTIDNVREEFQKTKQP AYVSKALSTWEETLTSFKSAISEVEKLLAKNLFGEDLREQLFEIKLKFDMYRTKLEEK LRLIKSGDESRLNEIKKLHLRKFRLQKRKEEKLKRKLEQEQNRGKNETESIVNNSADD RTTLLNDKTTESNLSSKEEILHDEL SPAR_K01380 MLETNTFASEKTQPEASNQKESRLSPLASFIFPDFRALFNIGFN LYSNLNYKEVDINGFEIYIVEQWAAQRKISTLITSYTGNLQDTISAVEVALPEDPEEW PCCLKKYHEELLKFSSPKKTVKGTLFVTNLSSFKSTLNLLHVECGNLKKIWKNFKTNY DLKRLHCGGRSAQLLKKTPSASIAKFAQLYKFPNSAFSHEITSDFQQNLLQNDNSSIS SVENIAVNHCPVVELTTLIQISLSYFALFEYKKERDGLLCNGTKQSLEKWWEIYGKRY HGIDKPKNETILGPTTVASLLSLVLTCYFKLMVEDCMSAKDPFDEEEFYSGLYAFQKK YGLSKNNRQTSLDERTVDKLFEVSSKTSNKDIFKFKKVVKSTVQDMTGKGNFMHLSNE ILTTDLDTLVKNIHGGSLGKLWKGRNASRKETSMVWERKTFLSFKFERGDPSLQLDNN ELFYGTIVPNEPLATPNKEGDDTQSSKRNSIYDTANGSKSSLSISSMFCNYDETRYKS TSNLNRTYKGEYFRRNSIPFCNDGIHDTKKISADLNKIEGMYRCNSYSEVQNAIELWN LPFDSSVIRLARDLLKVQSLMSVQRQLDEIRDGYLGKNSQRSYQKDLRFRQSLNKLQE MCEKCKRGSNEFHWEYSNVQNKQQILESEKKDMTSLSSKLKYNVRILDRRVRDVETSV DHFDRKLEDVRKKLLEQNNSKQISMALESPCNKFEFDSFMDSMVQSEQTKYEGLCFKI LDKRSLRKLKKEFWKWSTWTFDTFLYKNRPNKEKEVL SPAR_K01390 MTSSSEMTYFIIGGNRGIGFNLVKILSGSTDNTVITSIRGSPSL PKNKQVVDLAKIRKNIHIVQLDLTEDESIGNIANEIKKTPSFSGIDVFIACSGISDSY YEVLKTPKSVWLKHYNTNALGPILTLQKVYPLLLLKKARKIFFISSVAGSINGFVPIS VSAYGQSKAALNYAVKALSFELKPEGFTVVAFHPGMVSTDMGQSGIDHFKEKNIDVSG IDIITPEESASALVNVFGKILPGDNGKFFNYDGSESVF SPAR_K01400 MYIPKHFESTELSKQVEIIKKNPLGTLFSSEAGRLGFFRWNYPS NEDDPDFDMCASHIPFVFGEFDSGEYKLIAHLARKNQQVEMLERVQKCLVVFQSVDSY ISPAWYPMKKKTHKFVPTWDFAAVHVYGKPKIIRDDKDWLINMLSTLTDQEEEKRPEG EIYEEKWSVSDAPDSYIDAMLKNIVGLEIDITHIQSKFKFDQNKSQVNVEGVVENLLK EVGGEKGQEMAHLVKDNYPGSL SPAR_K01410 MGSSTKFHHADHVNYSSTLSKEEILEQLLLSYEGLSEGQVNWVC NLSNASSLIWHAYKSLGVDVNWAGFYVTQGSEENTMLLGPFQGKVACQMIQFGKGVCG TAAFTRETQIVPDVNKYPGHIACDGETKSEIVVPIISNDGKTMGVIDIDCLDYKGFDE VDKEFLERLSKLINKSCVFK SPAR_K01420 MQANHSVSYLYEPSASKRPNGFLPQTQKQANLQKALNQTEEEIE DEDLMVDLNTGSLTPVKLKYWTQMSNITEKFGKL SPAR_K01430 MFGNNRPMFGGSNLSFGSNTSSFSGQQSQQPNSLFGNSNNNNNS TSNNAQSGFGGFTSTASSNNNSLFGNNNSAQNNGTFGQSVGTAQNSPFGSLNSSNTSN GNTFGGSNSVGSFGGNTNNAFSNNNNNSNGTNSSFGLNKPNTGGTLFGSQNNNSAGAS SLFGGQSATTTGTFGNTGSSFRTGLNSNGSNVFGAGNNTQNNTTGGLFGNQQSSAFGA NNQQGSLFGQQSQNTNNAFGNQNQLGGSSFGSKPVGSGSLFGQNNNNLGNTNNNSNGL FGQTNASNQGNPSGGLFGQNSMNSSTQGIFGQNNNQMQMNGNNNNNVFGKTNTVTSFG QQPNNNSGSLFGNKPSSGGLFGQQGTSTNTFSNSASGGLFGQNNQQQGSGLFGQNPQT SGSGGLFGQGNQQQQQQQQQPNTFTQSGTGTGTFGQNNNQQQQSTGLFGAKPTGTTGS LFGGNSSAQPSSLFGTTNVPTSNSQSQQGNNLFGATRPTNMPFGGNPTTNQPGSGSSL FGAKPASTTGSLFGNNTASTAVPSTNGLFNNNANNPSSNTNTGLFGAKPNSQSKAALG GGLFGNLSSNSSTIGQSKPAFGGTNQSTGLFGATGTNSSTAGSTAGLLGQNNNTLNIG THSVPPVNNTSQNSLMGTTAVSSLQQSAVTNEQLFSKISIPNSITNPVKATASKVNAD MKRNNSLTSAYRLAPKPLFAPSSGNDTKFQKWGKTTEGGGGESSAINSFTGSGSNFLN SNDLLFDPDRRYLKHLVIKNNKNLNVVSSDDEEASKVKLVTFTTESASKDDQLASSPA ASNITEKAYSSQVDVKDNCVKSISDFQSEATNGPTSIPMVENEKIGNKVPGLLSNDVT FFKNNYYISPSMETLGNKSLIELRKVNNLIIGQRHYGKVEFLEPVDLSNIPLDTLCGD LVAFGPKSCSIYENCSIKPRNGEGINVRCRVTLYSCFPIDKETRKPINNITHPLLKRS IAKLKENPVYKFESYDPVTGTYSYTVDSPVLP SPAR_K01440 MSSQTERTFIAVKPDGVQRGLTSQILSRFEKRGYKLVAIKLIKA DDKLLEQHYAEHVGKPFFPKMVSFMKSGPILATVWEGKDVVKQGRTILGATNPLASAP GTIRGDFGIDLGRNVCHGSDSVESAEREINLWFKKEELIDWESNQAKWIYE SPAR_K01450 MNSNILKLLQRTSKRFVSSKDFEPVIGSNPKKQTSRLVVGSVGV MIPVLLYLFYKNNSNHSEIKKIYQNEKKI SPAR_K01460 MSLYFTTLFLLLTIEMVMLFIFVLPLPFRIRRGIFSTYNQLTAK QQIKTIIFITGCLVGLLFIDSWKRSQIRVSLYHNDNSGSMGSSAVTPIQALASRAYNQ RNMYISGFILYFSICIPTVMSIVKRLVKYQGLINEQKKQKSNKPSPNAKKDSKEADSV KLQEELKKKQISLEGLQKQVKNLEKYFDEKNQPGNVAAAEAAKKGN SPAR_K01470 MSTDNSQKDEGVPLLSPYSSSPQLRKKKRNQKRRKDKFVGHLKS DSRRPTQLLHDNLQHNHGQVTDFDQIDSWGMLHESDSTSNDIIKSEDPSLKGAFIDHR PSMSQPREGPQSASSAVQPQPIMKFSTPSYKKPSGLRPSDPNRSLVSDLSPSELESWL KRRKSVHKSFVDENSPSERRQSNANNDVVIDVDALMNHVNNNATNGVNDNSKRRKKKR GSDDSSNKNSKTTSSDTNDEEDEYNSRPSSSLSSNNSSLDDVCLVLDDEGSEVPKVWP DCTVLEEFSKEETERLRSQAIQDAEAFHFQYDEDEEDGTSNEDGILFSKPIVTNIDVP ELGNRRVNETENLKNGRLRPKRIAPWHLIQRPMVLGSNSTKDSKSRIQSGLQDNLLVG RNIQYPPHIISNNPEHFRFTYFRVDLDSTVHSPTISGLLQPGQKFQDLFVASIYSQDS SAGHIKTHPNSPTPGMKAETVSQLQGLTTKNPSTLSSMSVANIEDVPPFWLDVSNPTE EEMKILSKAFGIHPLTTEDIFLGEVREKVELFRDYYLICFRSFDIVAEKHVRRRKKEK QESATLDHESISRRKSQAYGATMSNESNVNNNNSTSNANRSKWLPSILRSRRRSSANR TTNTSSSSYKRRVKSEKKKMEENEKFKRKSGDRHKPREGELEPLNVYIIVFRTGVLTF HFAPTPHPINVRRRARLLKDYLNVTSDWIAYALIDDITDAFAPMIELIEDEVYEIEDA ILKMHQSDDSSDSDSSDSDSDSGASDEDAFPFDVYSKKTSYSSAKSSVSSRSMSSSEA SFNANLIGWKRKGDMLRRIGECRKRVMSILRLLGSKADVIKGFAKRYNEQWEASPQSE IAMYLGDIQDHIVTMVSSLNHYEKLLSRSHSNYLAQINIDMTKVNNDMNDVLGKITIL GTIVLPMNVITGLWGMNVIVPGQYRDSLTWFIGIVLFMCMLACSAYMYTKRRFGF SPAR_K01480 MQGDIRRKKDLLPRYKTGSKYNSRRKGSYLTTPMKKIIVYILLL CGAYFVINIAYSDLNKETKIELENHSSDISASGNDHTNVVAGGAADATNNKQPQQAKV PKEKFNNEVAKQQEVKNLENDLKPQIDSEKQKQINKDKKEHKQQLQKEKQDLAKEKLA NNEILDN SPAR_K01490 MLAFGPNNNFVRHANKKQEESSMINGPNGLMDPVLNATNVSATS SSNDNSANNSISSPEYTFGQFSMESPHRTDATNTPILAGTTNTTANNSLMNLKDTSSL ATNWKWKNSNNVQFMNEIEKQSGNVNGKKNGGDKIYTSVATPQTLSDELKNLEQLEKV FSPMNSINDSQFNENIELSPHQHATSPKTNLLEAEPSIYSNLFLDARLPNNVNSSTGL NDNDYNLDDTNNDNTNSMQSILEDFVSSEEALKFMPDAGRDARRHSEVVTSSFPSMTD SRNSISHSIEFWNMNHRSSNNGKPTQQIIPEGTATTERRGSTISPTTTINNSNPNFKL LDHDVSQALSGYSMDFSKDSGITKPKSISSSLNRISHSSSATRHQRASLPLIHDIESF ANDSVMTNPLSDSASFLSEENEDDAFGTLNYNSLDAATMSAYDSNVDPFNILKSPPAQ DQQFIKPSMMLSDNASAAAKLATSGVDNITPTPAFQRKSYDISMNSSFKILPTNQAHP AAQHHQQQPTKQATVSPSTRRRKSSSVTLSPTISHNNNNGKVPVQPRKRKSITTIDPN NYDKNKPFKCKDCEKAFRRSEHLKRHIRSVHSTERPFACMFCEKKFSRSDNLSQHLKT HKKHGDF SPAR_K01500 MGEQNKLYYDVEKLVNSLQETFDLDCAQSVSLFTSKSRSNEAWL EELENKFKLKDDVELDDVETLRAEIDIKLSLLEDKVSYYERLCKELEEFQNEMKIKTV VNNRRQSRTPK SPAR_K01510 MGVEQILKRKTGVIVGEDVHNLFTYAKEHKFAIPAINVTSSSTA VAALEAARDSKSPIILQTSNGGAAYFAGKGISNEGQNASIKGSIAAAHYIRSIAPAYG IPVVLHSDHCAKKLLPWFDGMLEADEAYFKEHGEPLFSSHMLDLSEETDDENIATCVK YFKRMAAMDQWLEMEIGITGGEEDGVNNENADKEDLYTKPEQVYNVYKALHPISPNFS IAAAFGNCHGLYAGDIALRPEILADHQKYTKQQTGSKEDKPLFLVFHGGSGSTVQEFH TGIDNGVVKVNLDTDCQYAYLTGIRDYVLNKKDYIMSPVGNPEGPEKPNKKFFDPRVW VREGEKTMSAKITKSLETFRTTNTL SPAR_K01520 MSSTIFYRFKSQRNTSRILFDGTGLTVFDLKREIIQENKLGDGT DFQLKIYNPDTEEEYDDDAFVIPRSTSVIVKRSPAIKSFSVHSRLKGNVGAAALGNAT RYVTGRPRVLQKRQHTATTTANVSGTTEEERIASMFATQENQWEQTQEEMSAATPVFF KSQTNKNSAQENEGPPPPGYMCYRCGGRDHWIKNCPTNSDPNFEGKRIRRTTGIPKKF LKSIEIDPETMTPEEMAQRKIMITDEGKFVVQVEDKQSWEDYQRKRENRQIDGDETVW RKGHFKDLPDDLKCPLTGGLLRQPVKTSKCCNKDFSKEALEDALVDSDFVCPNCETRD ILLDSLVPDQDKEKEVKAFLKKQEELHGNSKDGNQQETKKMKLVDTTGITGLNNNTNL PTSVNTGGTPVPPVPLPFGMPPFPMFPMPFMPPAPTITNPHQTDASPKK SPAR_K01530 MAVPGYYELYRRSTIGNSLVDALDTLISDGRIEASLAMRVLETF DKVVAETLKDNTQSKLTVKGNLDTYGFCDDVWTFIVKNCQVTVEDSHRDASQNGPGDS QSVISVDKLRIVACNSKKSE SPAR_K01540 MACLSRIDANLLQYYEKAEPNNTVDLYISNNGNNNDLKGGDRSS STPVSKPYGSEYSNCLLLSNSEYICYHFSSRSTLLTFYPLSDAYHGKTINIHLPNASM NQRHTLTIKEVEQQLLVNIILKDGSFLTLRLPLSFLFSSGNTLNGEWFHIQNPYDFTV RVPHFLFHVSPKFSVVFLEDGGLLGLKNIDGLHYEPLLFNDNSYLKSLTRFFSKNSKS DYDSVISCKLFHERYLIVLTQNCHLKIWDLTSFTLIQDYDIVSQPGSDPSHFRKVEAV GEYLSLYNNTLVTLLPLENGLFQMGTLLVDSSGILTYTFRNNIPTNLSASAIWSIVDL VLTRPLELNVEASYLNLIVLWKSGTASKLQILNVKDESLKNYEWIESINKSLVDLQSE HDLDIVTKTGDIERGFCNLKSRYGTQIFERAQQILSENKIIMAHDEDEEYLANLETIL RDVKTAFNEASSITLYGDEIILINCFQPYNHSLYKLNTTVENWFYNMHSETDGSELFK YLRTLNGFASTLSNDVLRSISKKFLDIITGELPDSMTNVEKFTDIFKSCLENQFEITN LKILFDELNSFDILVVLNDLINNQMKPGIFWKKDFISSTKFDGFTSIISLESLHQLLS IHYRITLQVLLTFVLFDLDTNVFGQHISTLLDLHYKQSLLLNFYRQDKCLLAEVLLKD SSEYSFGVKFFNYGQLIAYVDSLNFNVYNASITENSFFMTFFRSYIIEDTSHKNIRFF LENVERPFYLRHNEVQEFMFAMTLFSCGKFDQSYEIFQLHDYPEVINDTLPTFLEDLK SKDYHGDSIWKDLLLTFTVPYRHSAFYYQLSLLFDRNNSQEFALKCISKSAEYSLKEI HIEEPKDFKERQHIHYLNLLIHFRMFAEVLDVLRLGHECLSDTIRTKFFQLLLQKDVY SRDFFSTLLRLCNEHSDNGELYLRTIDIKIVDSILSQNLQSGNWECFKKLYCFRMLNK GERAAAEVLYQYILMQADPNVIQKKKCYLMVINVLSSFDSTYDQWILNGSKVVTLTDL RDELRGL SPAR_K01550 MIIYKDIFSNDELLSDAYDAKLVDDVIYEADCAMVNVGGDNIDI GANPSAEGADDDVEEGAEMVNNVVHSFRLQQTAFDKKSFLTYIKGYMKAVKAKLQETN PEEVPKFEKGAQTYVKKVIGSFKDWEFFTGESMDPDAMVVMLNYREDGTTPFVAIWKH GIVEEKI SPAR_K01560 MHYFPVAIVTGATRGIGKAICQKLSQKGLSCIMLGSTKESIKHT AIGKDYLRSASSYQRHCAMAIDFKKWPHWCDYESYDGIEYFKDKPPLKLKYSSMFDPC NKWSNNEYRYHLNLLINCAGLTQESLSIRTTSSEIQDIMNVNFLSPVTMTNLCIKYIM KSQRKWPELSRQCARPTIINISSILHSGEMKVPGTSVYSASKAALSRFTEVLAAEMEP RNISCFTISPGLVKGTDMIRNLPEASKEALENAIGTQNTTTPAEVAEEVWSLYNRTAL DR SPAR_K01570 MSTQFRKSNHNSHSNKKLNPALKSKIDTLTELFPDWTSDDLIDI VQEYDDLETIIDKITSGAVTRWDEVKKPAKKEKHEKKEQQHSYVPQQHLPNPEDDITY KSSNNSNSFTSTKHNSSNNYTQARNKKKVQTPRAHPTGKHVNIDKGKHVPSKPVSNTT SWAAAVSVDTKNDIPQDSNDDNNEELEGQEQEEQEKNQEKEQSEEQQQQESHNNKEER KQIEQPSLPSKKTSKTSASQPKKMSWAAIATPKPKVVKKAESPLENVDELKKEISEIK EDENSEAGEQGNEQEIPVQEQEAETAEPSEESEDRAPEVNEEKSEEAEEKEQEKEEEL EAEELEQEQEQDNVAAPEEEVTVVEEKVEISAVISEPSEDQANTVPQQQQLQLQPQQQ QQQQQQQQQQPQQQQPQQPQQQQPQQQQQQQQQQQQQPVQAQAQAQEEQLSQNYYTQQ QQQQYAQQQHQLQQQYLSQQQQYAQQQQQHPQPQTQQPQSQQSPQSQKQGNNVAAQQY YMYQNQFPGYSYPGMFDSQGYAYGQQYQQLAQNNAQTSGNANQYNFQQGYGQAGANTA AAANLTSAAAAAAASPATAHAQPQQQQPYGGSFMPYYAHFYQQSFPYGQPQYGVAGQY PYQLPKNNYNYYQTQNGQEQQSPNQAVAQHAEDSQQKQSQQPQQQQPQGQPQPEVQMQ NGQPVNPQQQMQFQQYYQFQQQQQQAAAAAAAAAQQGVPYGYNGYDYNSKNSRGFY SPAR_K01580 MGNIMSASFAPECTDLKTKYDSCFNEWYSEKFLKGKSVENECSK QWYAYTTCVNAALVKQGIKPALDEAREEAPFENGGKLKEADK SPAR_K01590 MGSVSKEETLEKLDQEITVNLQKIDSNLSFCFHKITQDIIPHVA TYSEICERIMDSTEWLGTMFQETGLVNLQANAAAPVKNAPVKGVILNNNAGILSTSAE DVSRESQTCNGSDELNSAVGFNRNAHSVFTNDSTDDFHTANITSTGQILKLPDSSDED TGTDVLPSRDQRDLTGEGHDDADNEQDESTIQRQSRKRKISLLLQQQYGSSSSMVPSP IVPNKMRKQLAHEEHTNNGGDDDDENSNNIESSPLKQGQADKDNEGPDEEESTKEVPK PGTIIHFSTNR SPAR_K01600 MLQFKSPGNWLFIVPWIAFIPWYGMLIAMLICWASQGHPIYWFM HTEQFPVYISDIGATNLRPLFISCAGWQGLGYVITVALEFFQRSGYLPFQLKKKDPSI SDSTSYAEKLRSGKYLMPPYYTKDERNLIFAAFVLGGIGELGLLFCSIFSTARYHHVH IAMVSVFVVFMFLSTCCLIAEYFLMGRHYASIHPLASPHFNPQSSEKSFNQGYNTVDE LPWYKWEGHIWNKFTISATLKVIWLTLAVVWAICFGAINDGSKSACFEWLLAFWFGIL FMILSADFYLGGRYRESRYFNHVESFSGYYKYDKALGLYHSEEVLPSDDNAGVITTET ASSNNHNNASSNETFQVVV SPAR_K01610 MSLISPFQTADVESVQTSEQITERETVRVSTLQGPLHSSEMHGV APETPRSISDDVRKLKSIYSTYQHSGQPLSKEAIFHAKQKYGILNTPANYRTLGLGDS GSESADLAARLANKRTKGQPNDTVETAIEQKARNEAFKETFSKIPLTPPEDVPVTVNL GLKGKRDFLTRLAAQKALASSSSSVNSINEASDRSSLKKKRPSGAPLGDEFDANVVNP QHSARFKSLDLSKVLDGAERRAISRVNDRLYPQKVNFKNGLQSGNESGVSKANKEVFK KGTLEKLERSAEQFLNSHTGNERQRLNDRQYVYAKSAADAVKDLDPKTLEDPDFAAKE AQRNVYIQQVSSPMVLHEAQKLANRKLQDIDARDTYMILFGNQAYNKLAVKIALEHYS VNQEQKKKIYLGGGLWITPEEVNVVARKLISPVVNEIDERASKQRDVDKDIERRSRVL DQEYENWNFMERVKEQNDKQLLLAMESKQQREKVAKKAEGGQSYDLLVQNMNIKVQQK ERELENAKKNHEHLRNELQETLSKNLLGENDELKDWNDACERDLKNSNIEHDQAVRSH FSNLRNSEKGYDELMDEHSKLQVEIKRLNASITKHKTAIHDFNARVDADGVLAAERGQ QIRSERHLLDATVNDPLVISAEKAKEEAELATEECMLRELQIDEMAIIRNIKLREYER KLKKERETASRPRKDSEGLTNDSNVSRDVIVNAAATNEKTAHIGESASPKDAIKSRFL STYNTGKDVDSSASARSVTGVSGVLDDRPETPTSNKKTELHGNDVGPHKVHQAADDIA EDSILNDKNKSSRPVADTGGSITIEQFLLSKNANKQGLSKTESGTMKNDSVVNPMGSK KEHDFAHTSDKGRRSFSGFSQGSIENDYSNEVTDDQDDQDESDIRVRDSNDSSTSPKE SFFKEVI SPAR_K01620 MSSKQQWASSAIQSDSSGRSLSNVNRLAGDQQSINDRALSLLQR TRARKNLLPRREERRRYESSKNDLDFETDYEDQAGNLETETENEEEAGMKTKAPISAR THSYALDRYVRQKRREKQRKQGLKRVEKRYSPSELALYEIRKYQRSTDLLISKIPFAR LVKEVTDEFTTKDQDLRWQSMAIMALQEASEAYLVGLLEHTNLLALHAKRITIMKKDM QLARRIRGQFI SPAR_K01630 MSPRQLIPTLIPEWAPLSQKSYMREDDSDSPPITPTSQTSSFGS SLSQQKLTYSTIIGENMDTILDEIRPFVKKITASDQNKKTINQYTLGASAGSGQFGYV RKAYSSTSGEVVAVKIIPKKPWNAQQYSVNQVMRQIQLWKSKGKITANMSGNEAMRLM NIEKCRWEIYVASRLRDNAHIVQLIECLDSPFSESIWIVTKWCTLGELQWRRDHSEDV LPQWKKIITSNCSVSEFAKKILEDMTKGLQYLFSQGCIHRDIKPSNILLDENEQVAKL SDFGSCIFTPQSLPFNDANFEDCFRRELNKIVGTPAFIAPELCHLGNSKRDFVTDGYK LDIWSLGVTLYCLLYNELPFSGENEFETYHKIMEVSLSSKINGNILNDLVIKRLLEKD VTSRIGIQDLIKVLSLDQPEYSRNRNQIPSSNVKPVRNEGPVRRFFDRLLTKKGKKKV SEKVKDKTLVSTNSKATPSIYIDEEPDKERFSTTVLRSSPDSSDYCSSLGEEAVQVTD FLDTFCRSNESLPNLTVKNEKQNAEMKTERSESSSHSSLKIPTPIKAMIRLKSSPKEN RNKGSTNSSQDKLSSPLTDRTGGKSAVNNAGSRRLAHSGNILNFKAYINSADSGTRET VEDVRTYLNFADNGQI SPAR_K01640 MNSEDEEPTIKPKVFNISKLLNSNREKPGIACIFLSQFDMKKGN IIIWSKSVNDAAFDLSNIEFKSLPAGIHEQTDDVVNFVVPKALDASHTGKRTTYDYGI AYFKQNSFDIIENDKQVDRSKVQMFSLGVIIDVQDVSSDSKKQFYNEIYHAYGANRYA SYLKELLSQWIRQHDLDKFDIFERFFEENNQGRMAENSVEVFEHLTKERRHLVEYLPY WTRKLGPLIFPLWKASLFQSRILILVPQGESFELCNSLAYCVFLISMLPKTLIGNHVS DEYIKPLFTVSTSDIPFLESFQKGNGYVATTSEEILLYKPEIYDIVLKLTSNSIMEES LEKEVEIITASGEQNKATPLDLETYENFILGVLQEDASTNTKSRLHGVTEPISWLQYL IDGFFLLTTAGYLVAPYHLAKNFRIPKHVSGPEAHDSEIQIAENLVRYFHLRTSNLYN ILKDVIQKSENIDSEQPITIAASFLTELNLDCFSKQDHQFVKDITRKWFQRSIDISNI PECLGNLC SPAR_K01650 MLVNKVIGLLSVLFATRFTNAVELDLDNYESLQNATSLIAYGLM DYYTGNQYGKTIGMFSDPYYWWEAGGAWGCMLDYWFFMDNDTYNDEIIAAMIHQAGDD NDYIPLNQSTTEGNDDQAFWGIAAMTAAERNFTNPPADDPQWLYLAQAVFNTMALRWD ADSCGGGLRWQIFVWNSGYDYKNTVSNGALFHIAARLARYTGNQTYVDWAEKVYEWMV GVNLISNGTYKYVYDGVSIDDNCTKVTSYQWSYNQGLLLAGSAYLYNFTGSDLWHTRT KEFLNASQVFFHDGIVYEAACQGPNSCNTDQRSFKAYFTRFLGVTAQLVPETRNQIMS WLNTSAIAAAKSCSGGTDGHTCGLNWFNGTWDGMYGLGEQMSALEVMVNTRALDKPAP YTAENGGSSVGDGAAGTQAQPTNLAPLNITKGSKAGAGIITAVIGISIVACALWLVF SPAR_K01660 MFRQSKRRIASRKNFGSYDDIAKSELDVGNTNAANQVILSSTSS EEEKKLYAKLYESKLSFYDLAPHGEITLEQFEIWAIDRLKILLEIESCLSRNKSIKEI ETIIKPQFQKLLPFNTESLEDRKKDYYSHFILRLCFCRSKELREKFVRAETFLFKIRF NMLTSNDQTKFVQSLNLPLLQFISNEEKAELSHQLYQTISASLQFQLNLNEEHQRKQY FQQEKFIKLPFENVIELVGNRLVFLKDGYAYLPQFQQLNLLSNEFASKLNQELLKTYQ YLPRLNEDDRLLPILNHLSSGYTIADFNQQKANQFGENVDDEINAQSVWSEEISSNYP LCIKNLMEGLKKNHHLRYYGRQQLSLFLKGIGLSADEALKFWSEAFTRNGNMTMEKFN KEYRYSFRHNYGLEGNRINYKPWDCHTILSKPRPGRGDYHGCPFRDWSHERLSAELRS MKLTQAQIISVLDSCQKGEYTIACTKVFEMTHNSASADLEIGEQTHIAHPNLYFERSR QLQKKQQQLEKEKLPNNGNH SPAR_K01670 MGYVIMTLSNARISERRTGIIYIYGCISLHIPLFFLFRLLNKAA ILTHNPSLFFLFLFLYRKNSTARTIHQINQAVAPVLLCVPHRLYIPPLSSQPITLWFS SSFSTSSS SPAR_K01680 MYHVPEMRLHYPLVNTQSNAAITPTRNYDNTLPSFNELSHHSTI NLPFVQRETPNAYSNVAQLATSPTQAKSGYYCRYYAVPFPAYPQQPQSPYQQAVLPYA TIPNSNFQPSSFPMMAVMSPEVQFDGTFLNSLPAHTELPPIIQNTNDANGARQNNPKS MATAAVTVTATARTPGVSSTSVIKPRVITTMWEDENTICYQVEANGISVVRRADNNMI NGTKLLNVTKMTRGRRDGILRSEKIREVVKIGSMHLKGVWIPFERAYILAQREQILDH LYPLFVKDIESIVDASKPAGKGSLTPKSSPGPIKQEPSDNKHELAPEIKPKSIDALAN GTSTQGAGEPPHLKINHIDTEAQTSRAKNELS SPAR_K01690 MNGSPTPKRYSSKSSRLYDDYYNIPYQYSNPTPMNRDYNDVGSR INTDKLVPEEYKRNTEFINKAVQQNKELNFKLREKQNEIFELKKIAETLRSKLEKYVD ITKKLEDQNLNLQIKVSDLEKKLSDANSTIKEMNYSKVKDPMVDENFVSENYDKINVP KNRAPDATINPRSTNKASNTSDQDSRLKAIEKTLSVLTNYVMRTEDGNNDRISPLPSP LNTISPINNRLNFQEPNRYNPTLKVNPSDDDIMMYESAELKRVEEQIEELKRKILVRK KHDLRKLSLNNQLQELQSMMDGDDNIKLDSVPKHNHATHRHPSQSSRDYSPSSDACLE CSNDLYEKNRVKQENNMSETFATPTPNNR SPAR_K01700 MDYIKKAIWGPDPKEQQRRIKSVLRKNGRNIDKSLRELTVLQNK TQQLIKKSAKKNDVRTVRLYAKELYQINKQYNRMYTSRAQLDSVRMKIDEAIRMNALS NQMADSAGLMREVNSLVRLPQLRNTMIELEKELMKSGIISEMVDDTMESVGDVGEEMD EAVDEEVNKIVEQYTNEKFKNVDQVPTVELATDKEEQEIPDEKVDEEADRMVNEMRER LKALQN SPAR_K01710 MFKSITKLGRSQCFWLNSQRLIHIKTLTTPNENALKFLSTDGEM LQTRGSKSIVIKNTDENLINHSKLAQQIFLQCPGVESLMIGDDFLTINKDRMVHWNSI KPEIVDLLTKQLACGDDVISKEFHAVQEEEGEGGYKINMPKFELTEEDEEVSELIEEL IDTRIRPAILEDGGDIDYRGWDPKTGTVYLRLQGACTSCSSSEVTLKYGIESMLKHYV DEVKEVIQIMDPEQEIALKEFDKLEKKLESSKNASQNN SPAR_K01720 MRVCQFCRPFQLLTCFLCYLLVFVRANKEKINQNDYQVCAGMYS KEDWKGKKDPFISFNLKKISGMSDDSDPGIVVAIYDFQDFEHLGVELPDGEMYYICDD YAIDIGICEEENRDEFIIQDVVYDPYTSTNRSLANPIMTFPQSEVGLHDTRYPIKETG FYCVTAFRSSTSTKFNAVVNFRNAYGHLAGTEINKLPLYGLLAVAYVVAMALYSFAFW KHKHELLPLQKYLLAFFVFLTAETIFVWAYYDLKNEKGNTAGIKVYMVFLSILTAGKV TFSFFLLLIIALGYGIVYPKLNKTLMRRCQMYAALTYAICIGFLIQSYLTDMQAPSPL ILITLIPMALALIVFYYMIIRSMTKTVIYLKEQRQIVKLNMYKKLLYIIYASFLSVLA GSIVSSFIYVGMNTIEMIEKNWRSRFFVTDFWPTLVYFIVFVTIAFLWRPTDTSYMLA ASQQLPTDPENVADFDLGDLQSFDDQDDASIITGERAIDEDDLNLNFTDEEEGHDNVN NHGHNHEPVPSSPTK SPAR_K01730 MNELNAVSTNSSDSTKNGGTSNSPDDMDSAAAASHAIKKRTKAS RACDQCRKKKIKCDYKDEKGVCSNCQRNGDRCGFERVPLKRGPSKGYTRSTSHPRTNE IQDHNNARSYNTFDNGNNTNNSMSNSGNNAVNSNTVPSTPSRSNSVLLPPLTQYIPQA GGVPPGYSNSAIQPTIPAGNIGQQQFWKVPYHEFQHQRKGSIDSLQSDISVRTLNPNE QLSYNTVQQSPITNKTTNDSGNANGSIAGSGSASGSGGYWSFIRTSGLLAPTDDHNEE QTRRSSSIPSLLRNTSNSLLLGGQPQLPPPQQQSQAQAQQKLQQGQNLYSYSQFSQQQ PYNPSISSFGQFAANGFHSRQGSVASEAMSPSAPAMFTSTSTNPVNVAQQTQRLQGQE VPQFSSDLDVNKRRQSAPVSVTISADRLNGNENNNGDISNHNGSNNSGSSKDPSQHSQ ESVTTPAALEASSPGSTPQRSTKKRRKSYVSKKAKPKRDSSISITSKDSSHPMTTIAY GQISDVDLIDTYYEFIHVGFPIIPLNKTTLTSDLLLVNTQPISNIHEVNSYVILWFRN SLELLVRVALKQKPGGKFFDNIVGMPMSPGNDNNKAGFTTAAARDDTEKTRRDSHNEV QDTLEVQSVFIAALNECFQKIVDIHPKFRENNDQISPKIKVIYLSTFILLNYILAFVG YDNSFVLGMSVTIFNEFKLYKLLLFPEPDINDATAPVDDEVNTRNENTKTSEFEIGSE SAGHMNPSNSPNSMDENMSHYSVLFKRLYVLLSVFDSLQSCAFGGPKLLNISIQGSTE RFFSNDLASKWCLEQSQLRLKSVLQSLKLGELMSELTRNRISMNAARKPGFDIAKSSS LLSEYVETQPISVAQLFCKLLIGKHNFINCLLSLYDSETEVYSELTLDLSSKIADSLC SLISIILQVLTLILRLNPTNSIDFNYRPPNPPTNDSTVQETPSAMSSSPVTGNVDAAP PSEGNPDFYKKLLGLKQDTGTILSDLCRGVISPFAIAILHEVYNITELVKQMPTSLIS IMMTATTTQNTQDTKKSQDLVMKLSNSMNEVVQITSVLTMIKPFKIFEHELNKPIMSL TGGLSPATRNDVMWTRSGQSLRESSVMKALLEERRTLSTQPTTAPAAAVESRLENVAL ENFVSIGWKLLDDSELGWY SPAR_K01740 MSTKKHTKTHSTYAFESNTNSVAASQMRNALNKLADSSKLDDAA RARFENELDSFFTLFRRYLVEKSSRTTLEWDKINSPNPDEVVKYEIISQQPENVSNLS KLAVLKLNGGLGTSMGCVGPKSVIEVREGNTFLDLSVRQIEYLNRQYDSDVPLLLMNS FNTDKDTEHLIKKYSANRIRIRSFNQSRFPRVYKDSLLPVPTEYDSPLDAWYPPGHGD LFESLHVSGELDALIAQGREVLFVSNGDNLGATVDLKILNHMIETGAEYIMELTDKTR ADVKGGTLISYDGQVRLLEVAQVPKEHIDEFKNIRKFTNFNTNNLWINLKAVKRLIES SNLEMEIIPNQKTITRDGHEINVLQLETACGAAIRHFDGAHGVVVPRSRFLPVKTCSD LLLVKSDLFRLEHGSLKLDPSRFGPNPLIKLGSHFKKVSGFNARIPHIPKIVELDHLT ITGNVFLGKDVTLRGTVIIVCSDGHKIDIPNGSILENVVVTGNLQILEH SPAR_K01750 MEIDGNTLVFIIVILFLFFSTPGGDGVSSQYEFNQLQRLKQQFR TEHGAFLNMTYTDSFRNITGFKLSYQDMLNNPLQNATYPLPGKEYDRWFPNQNYMVLP NEIIDAINTEVWNTSNDDTSNLFPPNITSTLLGKVDLVSNKKYEKIRMPVPRFYEPAT DFSEDIPPEGETYWSEWPSYGELHNVSFQHGEIAIQITHMNNLQDSNNYLRRNFINKK NDRWKLLNLQIDFSDKAEKEKHSISSKAVYDIQRGRILSISQSSKFHSLFALPHYMSF QNDYNEKIFNDVKEVVNEFWNFTDYADVLTMKDVQDAYNNANLKCEYLTFLQLEPWNQ YTRDQIKLIDDELNWPLGRPANLSSLPPLNVISGLLYSPDCGVRLEIHNVKGTRYELK IMSIRRHLLFGIALFAAQIYLLLTQMHHTNTPSMVNKISFYCFSMINLVDGSLATLYF VAASVVPELYLPLVISAFSCFILASIFEIRYLISIYASQVNEQNVGIINLLRGNTGTY DENRPRPAFIPDEGSIGGSLYGRFFFMLIIFTFLILSSTSWPRQLRMVFEYILIFILN SYWIPQIFRNAVKGIPSRRERTRSSIGGNRSQNKMPLLWSFVIGTTIIRSLPVVYVFT YSSNVFRHHKDVHFVVFLSLWLLFQISILYSQDILGSRWFLPKHTIPDGYSYFKPLSN EYISEHGGGTAENTVDCAICMSDVPIYIEEIPETHKVDQHSYMVTPCNHVFHTSCLEN WMSYKLQCPVCRSPLPPL SPAR_K01760 MTHPVAVKACLFDMDGLLINTEDIYTETLNETLAEFGKGPLTWD VKIQLQGLPGPEAGKKVIEYYELPITLDEYDERNVALQSLKWGTCEFLPGALDLLKYL KSKNLPIALCTSSNKTKFRGKTSHLGEGFNLFDAIVTGDDPRIPKGRGKPFPDVWQLG LKELNEKFHTDIKPDECIVFEDGIPGVKSAKAFGAHVIWVPHPEAHAVLGDTKALLAG KGELLSSLEKLEKAKYGL SPAR_K01770 MDSDTDAFKDIRISCVDLSRIAFLPTESFDPNSLALLACLKKVE EKLSTYKDDSLSQKFADYVFVPIASLLKQPALGESQTEYVLLIIFHLLRICWSSNGKF SEQLGQHLFPLITFLISSDKENQKLISRSDEFKYAGCLALCQFFKSIRSQQYSNEFFT NSKSKLLPALGHSVTVLLKILEQSPQNNELQLKVLASLEILFQDIISDGEMLSFILPG NVSVFTKILIKPGRQTHYKVCIRTLEVLAKLLVLVYDDFSLQVKINKLTDIRELSDAK LKHELNQPFSFNEPIVLSSTDGKTHRDTSWLRATSGQIKIALEAFIPKLLKRNNEAID EALATFVSILLTRCEKSLHNCENVLVSALVNLQRDPMSKLSSHLVKLKELVNEDLHKL SDMIRFENADKLSSLSFAITILEKNNEKDMVVNGAIKCLFESVNESIEPPNLINHKEK IIEESSQITTMVHFENLENRNAVIALPRLSEDMSLKLEKFTYHIGSLLSERDMLNDVV IELISEQVNSPRTQKIVALWLSTSFLKAVGKPPKRENDYLQFEPNVNDSYSVVEEACL IVLEFCNELSQDISMEIEGKGIKKSDEFAVCTILFSIETICAVMKNEFQPELIDYIYT VIDALASPSETIRHVGQSCALTIADTLYHGSVPNMILSNVDYLVESISSRLNSGMTER VSQILMVICQLAGYETIENFKDVIETIFKLLDYYHGYSDLCLQFFQLFEIIILEMKKK YITDETILKIANQHISQSTFSPWGMTDFQQVLNILDKETHVKDDMVDGNGVDFLKDDN GPSNFQEYFDSKLREPDSDDEEDEVEGSPSGEAELWTSPIPRDSYKILLQILGYGERL LTHPSKRLRVQILFAMRLIFPLLSTQHNLLIREVASTWDSIIQCVLCADYSIVQPACT CVEQMIRYSGDFVSKRFIELWQKLCQDSFILKELRIDPTVHNHRKKSIGKHVKFPPVT ENALVSVVHMVLEGVKITEYLISEAVLEQIIYCCIQVVPVEEISAMSLIMGDIVWKIR NVN SPAR_K01780 MNTGISPKQDDASNSNLLNIGQDHSLQYQGLEHNDSQYRDASHQ TSHQYLNQFQTQPPQQQQQQQQQQQQQQAPYQGHFQQSPQQQQQNVYFPPPPQSLRQP TSQSQQQQQYANSNSNPNNNVNVNAIPQDFGYMQPTINQQQFSELYNSFLSHLTQKQT NPSVTGTGASGNNNSNNNNNNNTSSGNNSTGSNPAQLAASQLNPATAAAAAANNAAGP ASYMSQLPQVQRYYSNNMNALSNLLDPSSANAAGNANSATHPGLLPPNLTPQLTHHQQ QMQQQQLQLQQQQQLHQQQQLQQQQQLQQQHQLQQQQQQQQHHLQQQHQQQQQHPVVK KLSSTQSRIERRKQLKKQGPKRPSSAYFLFSMSIRNELLQQFPEAKVPELSKLASARW KELTDDQKKPFYEEFRTNWEKYRVVRDAYEKTLPPKRPSGPFIQFTQEIRPTVVKENP DKGLIEITKIIGERWRELDPAKKAEYTETYKKRLKEWESCYPDENDPNGNPTTHSHKA MNMNLNMDTKIMENQDSIEHITANAIDSVTGNNSNSTNPNTPVSPPISLQQQPLQQQQ QQQHMLLADPTANGSIIKNE SPAR_K01790 MLRTRLSVSIAARSQLTRSLAASRTAPLKRWPIQQQSRLYSSNT RSHKATTTRENTFQKPYSDEEVTKTPVGSRARKIFEAPHPHATRLTVEGAIECPLESF QLLNSPLFNKGSAFTQEEREAFNLEALLPPQVNTLDEQLERSYKQLCYLKTPLAKNDF MTSLRVQNKVLYFALIRKHIKELVPIIYTPTEGDAIAAYSHRFRKPEGVFLDITEPDS IERRLATYGGDKDVDYIVVSDSEGILGIGDQGIGGVRIAISKLALMTLCGGIHPGRVL PVCLDVGTNNKKLARDELYMGNKFSRIRGKQYDEFLEKFIKAVKKVYPSAVLHFEDFG VKNARRLLEKYRYELPSFNDDIQGTGAVVMASLIAALKHTNRDLKDTRVLIYGAGSAG LGIADQIVNHMVTHGVDKEEAHKKIFLMDRRGLILQSYEANSTPAQHSYAKNDAEWAG INTRSLHDVVENVKPTCLVGCSTQAGAFTQDVVEEMHKHNPRPIIFPLSNPTRLHEAV PADLMKWTKNNALVATGSPFPPVDGYRISENNNCYSFPGIGLGAVLSRATTITDKMIS AAVDQLAELSPLREGDSRPGLLPGLDTITNTSARLATAVILQALEEGTARIEQEQVPG GAPGETVKVPRDFDECLQWVKAQMWEPVYRPMIKVQHDPSVHTNQL SPAR_K01800 MDRPIDDIVKNLLKFVVRGFYGGSFVLVLDAILFHSVLAEDDLK QLLSINKTELGPLIARLRSDRLISIHKQREYPPNSKSVERVYYYVKYPHAIDAIKWKV HQVVQRLKDDLDKNSEPNGYMCPICLTKYTQLEAVQLLNFDRTEFLCSLCDEPLVEDD SGKKNKEKQDKLNRLMDQIQPIIDSLKKIDDSRIEENTFEIALARLIPPQNQSHAAYT YNPKKGSTMFRPGDSAPLPNLMGTALGNDSSRRAGANSQATLHINITTASDEVAQREL QERQAEEKRKQNAVPEWHKQSTIGKTALGRLDNEEEFDPAVTASTLDSINADNEPTQE TPYQNNRTLTEQEMEERENEKTLNDYYAALAKKQAKLNKEEEEEEEEEEDEDEDEEEM EDVIDDNGEAAVENTLEDEFEDVTDAADAAKTETNISSDVKQESIDYKTEETINTNAR ASDSKANGDDDDDDDEMDIEFEDV SPAR_K01810 MTEKDTWKLITATALFTVAVTTIADCAWTSWQTQGKAIAQQKNK NKGGQTKPDMGKYHQYDEQFIRQSLRNNVDFLGEDTIEKLSNQFVVVVGAGGVGSWVV NSLVRSGCRKIRVVDFDQVSLSSLNRHSCAILNDVGTPKVECLRRHMREIAPWCDIDP INELWTLENGERLTLGNGIPDFIVDCIDNIDTKVDLLEFAYNHGIKVISSMGASAKSD PTKLNVGDLATTEEDPLARVVRRKLKKRGILSGIPVVFSAEKPDPKKAKLLPLPDEEY ERGKVDELSALKDFRVRILPVLGTMPSLFGLTITTWILSNISDKPLEPVEGKNRIKVY DGIYQSLAGQMSRVGIPSQRIPLALKDVSYLVEEVFKGKSPISGISTRLTLTKWDPSK PISLQNVVVLTKSEQKVHEDRVLNGNESLQDVYGVKVLELVSQRFKEEAYYSQFR SPAR_K01820 MQEFYSFAPIDEDGNPFPFSSLRNKVVLIVNVASHGAFTPQYKE LEYLYEKYKSHGLMIVAFPCGQFGNQEFEKDEEINKFCQNKFGVTFPILHKIRCNGQK QDPVYKFLKNSVSGKSGIKMIKWNFEKFLIDRNGKVVKRFSCMTRPLGLSQIIEELLN QPPKEEI SPAR_K01830 MDKINPDWAKDIPCRNITIYGYCKKEKEGCPFKHSDNATVTTIN DVSPLIDAGEATTPTMASVPKFNAKVSASFTPMTVGGDSLATATNTTSAATNTTGSIT MAATSVTTSTVNPMTNPVVNGSLLNNNNNSSNISISIPTTASSSNYDPFNAPIFTPSS TSSIHTNTNAHSSFPFPSITNSGGINVSANDDNSNNMSIANNVPPPMQPPPIDSNTLK YPRIYPPPHSLLQYHLYAPEQPSSLKSLLKPNERSADQLFIPNNIREDLTKKNLSILQ VFPPSGKVIPSIVQDYFNLVPLNFNNNDFLNKTTLFKVFSNYDGKAYVLKRLPNIDKS MNPNKISKIYQIWSKVNCTNLIKFRDIFQTTKFGDLSICLIFDYYPNSLSLYDYHFVN FPKFPITNNYLWIYLVQLTNVINSIHSQNLSIGDTLNWRKVLITGDPGRIKLSHCNFI DLLFNDDTDAVVSSSGSTIERQQQLDYKYLGQLLFDLSINIENSNNNTTPREHRLDEI SPQLIDDMRQIDDKFKDVLKYLLSDSGDSKKNVHDLASHFYDKMFMVLESSQTYTEYM ESVLSRELENGRLFRLINKLNCIFGRIESRIDINWSESGTKFPIILFYDYVFHQVDSN GKPIMDLTHVLRCLNKLDAGIQEKLMLVTPDEIHL SPAR_K01840 MTATTSSQPAFSPDQVSVIFVLGGPGAGKGTQCERLVKDYSFVH LSAGDLLRAEQNRAGSQYGELIRNCIKEGLIVPQEITLALLRNAISENVKANKRKFLI DGFPRKMDQAISFERDIVESKFILFFDCPEDIMLQRLLERGKTSGRSDDNIESIKKRF NTFKDTSMPVIEYFETKSKVVRVRCDKSVEDVYKDVQDAIRDRL SPAR_K01850 MNPRYRFILRFYSSKRPTFHNLAPPKTNVNELRTSNSQNKGKHK GKLLVLVGTLALVTSVISVNYQKNKPVEFLE SPAR_K01860 MNKEELLGFLLDDSGDGQKKCVADEQAYSNWLKNDNEEHVAHEE SPSQITVAGAVAGAVAASNKKKQTEAAQEDVEELLNGLEGIIGGADARSFKPKSKSKT KKTRSKPREENVSTEEHEEHIIVLEVEDVSNVSAHDNVNGASPSPNLDCSKKKEKRRK NAKELSNDELKDKLEITTRKSRLESKDLKKKVHRLEKRNVELEQRLEELKIENQTLIE INNRLSKNANEDEDITKSPRNKEKDRKRRERRTARRKEERKQEKKKVPQSFPSSTDMN GQPIEF SPAR_K01870 MSGIGDKNQFRIIVGSYEHNILCLSLDIPTQKENDAAKTPHFIP IFHFQAHSLSIKCLAVSRRYLVSGSNDEHIRIYDLQKRKELGTLLSHQGSITALQFSH PASSSEDATVARGSKNSKWLLSASEDHKIMVWRVKDWETVGTLKGHTARVNDVDIHPT NRIAISVSDDHSIRLWNLMTLRNAAVLKLRKYNTNGTCVRWLGAKGDYFAVGLRDRVL IYETGSAKVFKEITLDRKTLMHIETHILPFDNKEYLSVGVSDGNVHFYSCEELFEKVE ENGKQEDVDNKEKIDPSFSLLGHTNRIKDFKFYTNEFGTYLVTIGSDGKIVVWDMSTK EQVAVYDCGERLNCLTLCDESIEKYSTMKKRDAETAEIGEQSEVESDTEELKKIMFGE KKKLNKKKQKQSKKGKVIVYLNRSRRYEVLSLLLSLLLLFVERPHIIAGRLSKPQQIV KSSNKVSMRNPMSPSEQHSQHNSTLAASPFVSNVSARTQQSLPTDAQSDHLQQPWNRT NTATSPYQSLANSPLLQKLQANIMTPHQPSANPNSITGNPANDNNLLASTSKNSMFGS TIPSTLRKVSLQREYKDSVDGTLDDDDNNDDDDHNNGDTAVNTNNDRESKLGHNGPLT TTTLTTTTTATQLDVSELSAIERLRLWRFDALMQHMYRTAEYIADKVYTISNDPDDAF WLGQVYYNNNQYVRAVELITRNNLDGINILCRYLLGLSFVKLQRFDDALDVIGEYNPF SEDPTTTAAIATNNNGSNSTTSQPITDGGIKMESSLCFLRGKIYFAQNNFSKARDALR EAILVDIKNFEAFEMLLSKNLLTPQEEWELFDSLDFKEFGEDKEIMKNLYKINLSKYI NTDDITKSNEILTKEYKLADNVDVIRSKVDICYTQCKFNECLELCETVLENDEFNTNI LPTYIGCLYELSNKNKLFLLSHRLAETFPKSAITWFSVATYYMSLDRISEAQKYYSKS SILDPSFAAAWLGFAHTYALEGEQDQALTAYSTASRFFPGMHLPKLFLGMQFMAMNSL NLAESYFVLAYDICPNDPLVLNEMGVMYFKKNEFVKAKKYLKKALEVVKDLDPSSRTT ISIQLNLGHTYRKLNENEIAIKCFKCVLEKNDKNSEIHCSLGYLYLKTKKLQKAIDHL HKSLYLKPNNASATTLLKNALELNVTLSLDSSHPLIDKSNLMSQASKDKASINKKRSS LAYDPANMAKRLRTQKEIIDLNNKALKKGAGDSKSGNNNVDDDCDADMELE SPAR_K01880 MMSSTGNVSSLLHSYNANIQRNDESPDLDLLESELLDIALLNPG SSLQDPGLLSLNQEKMTAAITTTPVKEEEEELRDDVASLQGLLDRHVQFGRKLPLRTP YANPLDFININPHSLPFSLEVTGLPMVSRVETQMKLSFRIRNAHARKNFFIHLPSDCI AKDKFFTSSDDPTNLTIPNRDINERTLFLDSFLLCASNSNSNNFKQTYVCNRCINREK RRASRRKSGLNDNSIWQNNENKRAIIFNSKQLFIISNNGLSGNSNSINFDLPTRIVCY CRHHKATNGFVVLFLLKDHNGDILAKTITDPIMIMDKKNASNTTTPTSTNNAQVSPMT NDTRSFSSPQSDLNLPSELSLPSNNKNFIISTNCMLDNNYCKNNNDNDNKNNINANTA MINNNKHFPSPNSSSEDSNHSFSDIHFSGNNGNNLHRSLDSWSSTGFNNSSNPALTTL TSDFSTTSARHTGKRQRSINESFMSTPNTFSRLPQKFIDSSKDTSNHSSVSMALNNKP SIQRVIPAQGSINGGIEVTLLGSKFKQGLIIKFGENIALSSQCWNESTMVTYLPPSSK PGPVLVTIVDPSETSMRNNSNSSNSTSNSTNDILHLNKYTGDKAIFTYVDDTDRQLIE LALQIVGLKMNGKLEDARNIAKRIVGSDSSPSNNSAGLHSQNISSNSYTNMMRNINDE QLIIEVIKSFKRNNNLSTVNLSMCDVRGRTLLHLAAFNNWYSLVSLLIKYGSHLNDQD VFGFTPLHMACINGDLRIIRLLLECNVNIMKKTKNGFIAKQFFLMNYTINKTRYSNYE STLFDDILTKLTKNATGCADNQPFERNLSQSSFNSSLFDNDDDDDDVAGNDYGQERKY LPADSAALISEQSNRNDNTSFAIMDSDSGYDISDCESSDEIALEFFNTHNIKDVSCKP NQQPKTTKANIVPDGSLWNRMLTRLNDELPKYEDLFPKKPRNWELGSKSVDITPDESA QMTVDDSHTSSEDDELEALQIGFNTIFPKKQNFQNDKMLLFFWIPLTLLLLSCFTLSK LGKDDDMFHNLSKIVQEYLRIGLAKVLLGNERMKTSFKMQLSNFQNNNILNDMRVK SPAR_K01890 MEEYDYSDIKPLPIQTGLQDELCRIMYTDDYKRLMGLARALISL NELSPRALQLTAQIIDVAPAFYTIWNYRFNIIKHMNAESEDTVLYLNKELDWLDEVTL NNPKNYQIWSYRQSLLKLHPSPSFKRELPILKLMIDDDSKNYHVWSYRKWCCLFFSDF QHELSYTSDLIRLDIYNNSAWTHRMFYWVNARDVTSEVELADELQFIMDKIQLVPQNI SPWTYLRGFQKFFHDRLQWDSKVVQFATTFIGGVLSLPIGSPQDLPEIESSYALEFLA YHWGADPCTRDDAVKAYSLLAIKYDPIRKNLWHHKINNLN SPAR_K01900 MLGMIRWVVEGTLVAMLLSAIRRETGMIFFYNQYQLGGWIHRYL SWGEMCYSRTVKMVKRSKFFRKQLNEDGFGRINDNSPKGRGRDQSQYSSRFVELD SPAR_K01910 MTTVSINKPNLLKFKHVKSFQPQEKDYGPVTSLNFDDNGQFLLT SSSNDTMQLFSATNCKFLDTIASKKYGCHSAIFTHAQNECIYSSTMKNFDIKYLNLET NQYLRYFSGHGALVNDLKMNPVNDTFLSSSYDESVRLWDLKISKPQVIIPSLVPNCIA YDPSGLVFALGNPENFEIGLYNLKKIQEGPFLIIKINDSTFSQWNKLEFSNNGKYLLV GSSIGKHLIFDAFTGQQLFELIGTRAFPVREFLDSGSACFTPDGEFVLGTDYDGRIAI WNHSDSISNKILRPQGFIPCVSHETCPRSIAFNPKYSMFVTADETVDFYVYDE SPAR_K01920 MNKELASNFLSSIKHERDQDIQTTSRLLTTLSIQQLVQNGLAIN NIHLENIRSGLIGKLYMELGPNLAINDKIQRGDIKVGDIVLIRPSKTKVSTKTKLKAK KASGDSNGEQVECSGVIYKMSDTQITIALEESQDVVATTFYSYNKLYILKTTNIVTYK RMESTMRKLSEISSPIQDKMIQYLVNERPFIPNTNNLQNIESFLNPNLNDSQKTAINF AINNDLAIIHGPPGTGKTFTLIELIQQLLIKNPEKRILICGPSNISVDTILERLTPLV SNNLLLRIGHPARLLDSNKRHSLDILSKKNTIVKDISQEIDKLIQENKKLKNYKQRKE NWNEIKLLRKDLKKREFKTIKDLIIQSRIVVTTLHGSSSRELCSLYRDDPNFQLFDTL IIDEVSQAMEPQCWIPLIAHQNQFHKLVLAGDNKQLPPTIKTEDDKNVIHNLETTLFD RLIKIFPKREMVKFLNVQYRMNKRIMEFPSLSMYNGELSADATVANRLLIDLPTVDAT PSEDDVDTKLPLIWYDTQGDEFQETADEATILGSKYNEGEIAIVKEHIENLRSFNVPE VSIGVISPYSAQVSHLKKLVHDELKLIDIEISTVDGFQGREKDVIILSLVRSNEEFEV GFLKEERRLNVAMTRPRRQLVVVGNIEVLQRCGNKYLKSWSKWCEENADVRYPNIDDY L SPAR_K01930 MSLVKSAANKLDWAKVISSLRITGSTATQLSSFKKRNDEARRQL LELQGQATEVDFSHYRSVLKNSSVIDKIESYVKQYQPVKIDASKQLQVIESFEKHAMT NAKETESLVSKELKDLQSTLNNIQSARPFDELTVDDLAKIKPEIDAKVEEMVKKGKWD VPGYKDKFGNLNVM SPAR_K01940 MVTDQDSRHPILSKQPAYVNKQRQKRQQRSSVACLSCRKRHIKC PGGNPCQKCVTSNAICEYLEPSKKIVVSTKYLQQLQKDLNDKTEENNRLRALLLEKAV NLCGKDKSGAVEKHTNDPPSSDTLEVSSAPAAPIFDLISNSNTASDNEDDENSNRNAN NRSYNHCLEKYYKKAISIFKQPANANSDNDNNANDHDDDEDDEEISTNFAQRSGRLIE SHNGFHYFVGSSSMTLFGLEIQSLVTKYISIKNFRPLPINTKNEILNSNLNPTISSFI NSNNYLFSSYNFLNPISTIVILNSINDNLSPLMFKIILKNDTDGSSGQEDVIQFQLPS YNYTKLLIDCFINYNDGCFYFFNEGLVKCGINKLYLENKWLYYDNTKKALDNENDPIL QAVWFCKILLILAVGEMYLGSINNEMLKNYSNQPKLPGSKFFQMGSKIFNCLFSSERL ENVTKKGGIEVLLLYAFFLQVADYTLASYFYFGQALRTCLILGLHVDSQSDTLSRYEI EHHRRLWWTVYMFERMLSSKAGLPLSFTDYTISTALPADIDDETAEDKNNHYVFRKAE LISNCVTIVKINAQILSKLYQRQPETNIIITLKVVIKQLLEWRNNLSDSLQVDFTQKD EDFKISRLSTNMFTEYFQGINLAIRPLLFHFASIQLKRFKTSNTFVNLQNYSATISSL LTCSLHASVNTIRSLWSLLQNNMLAMFGYMDREYLFTSSCTLLLFNTAFGIHEQTLYH LDHSLEIFTQMRNLGNIPAGLRRAQLLTLMANLDFHGIMNDLITKYNDILKFDSMNCE NDNVMEDSNELKREYEKRKIYKDGDRIDPSIIDCDKSNTNANIIKNESISNIVSMLPE STKPTLIDHSNGNNDVDDINVNNSEPSTFFDIITASLENSYQTTLTEKGSQVMEKNMD QLDSVHNLNDDDLQQLLEDLGNIDHSDEKLWKEITDQAMWLGNTMDPTAAAGSEIDFT DYLGP SPAR_K01950 MSNHSGTYGSRDQRREKYTQGKEFEDGTLETLESIISAVEDENL SKDYQPLIVFFQRGFGAQLVQTWSYYAQVNNHGKFSRTTTLLTKTLWVLSSDTSTLTI GSGLIRLILTDYTKVLYRGLNNMRAQLTNPILRLLKQIVNFNSGQHIEELVSYFDFSL PILPRLLSPTKSELANGNSSSDSSKHDSIRFTFIKFWLALISNASPFVRKELLTENFK IMSNLFKFMNKADSDKLSEHILSVFINDILKEKSFKRTTKTKILNELAASKIHHFYYS SNKNLVKKANEFFLTFGASRDFSVAFPDNCVWFKNSVIDGASHGAPITVNQVEFQIHN KLLFNTLRLFKPWEDILQLGTLIKILENVPELVAPYSIFLTTNGNHDPKMTSYWFGTT LLINKIINLKIPQFMENVDSSIPPATSLVIENILPSLLTKSSLTKSLQFETPIIRQLA CQSIVLAFKKLEKISTLYDKKGWRNEKTILLNEFHTRVPDLPIFVSTLNNTLSTNKNN RILPLSISIIFNYYSKIFPNLFSINLPSSNIYTGVMQKPKVSGIEFAILDNYLQFQEF NSTQTKWWNPSSGANSLFTLLLKLASSKNASNVITTRISNLLDELTRTNVIFNVSLIS PVMALVNSLQGFSLQVSETDGMEKIWKWFDETISRVVKTPYKYVDLAKEYNCISPFIM GLSEQWKYVDKNGNPDFLIKWLLFFFRNMIFIGEDHMGIGKLVKDVFPEVSEDDANLY LKLDSFEENTENTNNSNSLISLMKDSSFFQYISSLPTKKLMNISRLPVNKLDAAGILF RIQLLTENDSIVYDSWFEATVCELAGKIASYMVTDSVFPIVQVLERYIKFALPKLVDE KRNALLMEKSRFMCNLIGAVCLETGHQLVAFREMIQKAAFSGENVEEYASYNRSHREE DINALLTSVSEYLNSSALTSLLMHSTKLECTRNILRKLLNEGKTIKISLVKSILSKTP NEDPVSIKEVTFFLAKFLEEGKVYVGATSAPEGKLSLSETTSLINSVVSSDLNYPVLE AFYKWEHFSFSSFIPNIGKIKDSHLLSIVTTTALFNHMQDRNFSAFAHEIILKYGDDI AKCTYATLKSDIFDKILNMITTYIDFYDETKKKAILKCVLSQSDHRYHAATVRYIAAH NDFAYPGVRTWLNKTLLYVTKYLSERKVISHSFFELLGAVTELLKLEEASKELNLKII NSQLEAILGSEWIKQVKVLEYINVLILCVNQKSIQSQRMVQLLLSNDCYSSIMTKDND EESSYRKFLSTMVLFSLFNIDPVNNSTPIVQERLLTFYSGTISCNDKFIVKMLESIES HTANSWTNMIFSWEFIKDEEEEILEAIGDTRLITKEREGLILTLEKNMIKKSIDGYVL ERPQIPEFCSDSNTNNYDVTTRCNLLKKYYEDTERCGVNMYDPLFLLLLIIHNKELVR MVKDGENNVTYKYEFENFLDSKLFQFIVCSLSDCDTVANISYEHLSNLAVSLEKKNAQ ISLEKQITGKDIEKKEVDYDLIKYNSIYQVLIKRILYQRQQTQDSIKPLIWFSISRIV DLLGSPTAPLHEKAYRWVLSNSTIRSWDIPMVSDVMMSYNKRQQDDNNMREIDMEIYY GELSWVLTTICKGVKTDEDYKMLDKKGVFEWLLNLINMPYLKERLRELIYFIFYKVQR IADDGGLNLISRNGIVSFFEILNNNIKYRLPQDDILNSIDTLQTENKGTLNATLRLVK EQNGIEKLLLGYNELAKSQKRLILWTEGDSDNVVKRLRK SPAR_K01960 MSQSLRPYLTAVRYSLEAALTLSNFSSQEVERHNRPEVEVPNTS AELLLQPMHISRNENEQVLIEPSVNSVRMSLMVKQADEIEQILVHKFTRFLEQRAEAF YILRRVPIPGYSISFLITNKHTESMKTGKLVDFIIEFMEDVDKEISEIKLFLNARARF VAEAYLDEFVY SPAR_K01970 MSVWKEAKDANGRVYYYNTLTKKSTWEKPKELISQEELILRENG WRAAKTAEGKVYYYNPTTRETSWTLPAFEKKVEPIKEEQNDAIPSAQADGNKIGLTDE RKKELSQSIREEGSQYANNSKLLNVRRRTKEEAEKEFITMLKDNQVDSTWSFSRIISE LGTKDPRYWMVDDDPLWKKEMFEKYLSNRSADQLLKEHNEISKFKEAFQKMLENNSYI KYYTRWSTAKRLIADEPIYKHSVVNETTKRQTFQDYIDTLVDAQKESKKKLKTQALKE LREYLSGILTTSSPETFITWQQLVNHYVFDKSKRYMANRHFKVLTHEDVLNEYLKIVN TIENDLENKLNELRLRNYTRDRIARDNFKSLLKEMPIKIKANTKWSDIYPYIRSDPRF LHMLGRNGSSCLDLFLDFVDEQRMYIFAQRSIAQQTLIDQKFEWNNADGDEITKKNIE KVLANDQKFDKVDKEDISLITDGLIKQRNEKIQQKFENERRILEQKKHYFWLLLQRTY TKAGKPRPSTWDLASKELGESLEYKALGDDDNTRRQIFEDFKPESPAPTAESTTASLT LTASKKRHLSPAVELDY SPAR_K01980 MPGPQKAKILQLIDSCCQNAKSAQLKCLSFVIGAANGTTKEAKR TYIQEQCEFLEKLRQQKIRQGRINILSMDAGVSNFAFSKMQLLYDDPLPKVLDWQKIN LEEKFFQNLKKLSLNPAETSQLVFSLTEYLFQSTPIPDIFTIERQRARTMSSRHILEP ILKVNILEQILFSNLENKMKFTNKIQDAPKLQYMVCSSDPHRMTSYWCIPREETPTGS KKSKSNKHSKDSRIKLVKKIVSTSILNNSSISSTKLVEFTGIWNNRIRNALAKKKSFK LCDILEIQDNSGVRKDDDLADSFLHCLSWMEWIKNYESITELLNSTSLIKMQFQQVFE FCENKVQELKCLQNTYNTD SPAR_K01990 MSENNSHNFDEHESHSENSDYMMDTQVEDDYDEDGHERGEYSYY PDEDEDEHMLSSVGSFEAEDDEDDDNDYHHEDNSGLLYGYHRTQNGDDEDRNGEEEGL DHFQDNREFGSNPFHLPDILETFAQRLEQRRQTGREQGQNPAGRTLPEILSMIGGRME RSAESSARNERISKLIENTGNASEDPYIAMESLKELSENILMMNQIVVDRIIPMETLI GNIAAILSDKVLREELELQMQACRCMYNLFEVCPESISIAVDEHVIPILQEKLVEISY IDLAEQVLETVEYISRVHGRDILQTGQLSIYVQFFDFLTIHAQRKAIAIVSNACSSIR LGDFKAVVEVLPTLKPIFTNATDQPILTRLVNALYGICGALHRVEKFETLFSLDLIER IVRLVSIPDTPLENKLKCLDILTVLAISSDVLSRELREKTDIVDMTTRSFQHYSKNSN AGLHETLIYVPNSLLISISRFIVVLFPPEDERILSADKDTGNSDREVISNQERFDSLV QCLIPILVEIYTNAADFDVRRYVLIALTRVVSCINNSIAKAINDQLIKLIGSILAQKE TTFNANGTHSSEAGTLLVGGLSLLDLICKKFAELFFPSIKREGIFDLVKDLSVDFDNI DLKEDETENVSLSDEEGDLHSSIEECDEADDDYDYEFTDMEIPDSVKPKKISIHIFRT LSLAYIKNKGVNLVNRVLSQMNVEQEVITEELHQIESVVSILENPSTPDKTEEDWKGI WSVLKKCIFHEDFDVSGFEFTSTGLASSITKRITSSTTSRFILAKSFLEVFEDNVERF LEILQSALTRLENFSIVDCGLHDGGGVSSLAKEIRIKLVYDGDASKDNIGNDLSSTIV SVHCIASFTSLNEFLRHRMVRMRFLNSLIPNLTSSGTDADREEEENCLDHMRKKNFDF FYDDEKVEMESTVFGVIFNTFVSQNRDLKSLWSDTQTIKFRKSLEDNNTERDPAEEPK EMKKLRDFYKKREFAEIDTGSSADILTLLDFLHSSGVKSDCFINSKLSAKLARQLDEP LVVASGALPDWSLFLTRRFPFLFPFDTRMFFLQCTSFGYGRLIQLWKNKSKGSKDIRN DEALQQLGRITRRKLRISRKTIFATGLKILSKYGSSPDVLEIEYQEEAGTGLGPTLEF YSVVSKYFARKSLNMWRCNSYSYRSEMDIDTTDDYITTLLFPEPLNPSSNNEKIIELF GYLGTFVARSLLDNRILDFRFSKVFFELLHRMSTPDMTTVPSDVESCLLMIELVDPLL AKSLKYIVVNKDSNTVLEALSLTFTVPGNDDVELIPGGCNRSLNSSNVEEYIHSVIDQ ILGKGIEKQLRAFFEGFSKVFSYARMLILFPDELVDIFGRVEEDWSMGTLYTSLNAEH GYTMDSSIIHDFISIISAFDKHERRLFLQFLTGSPKLPIGGFKSLNPKFTVVLKHAED GLTADEYLPSVMTCANYLKLPKYTSKDIMRSRLCQAIEEGAGAFLLS SPAR_K02000 MPRSKRSKLVTLAQTDKKGRENKERIFDEVREALDTYRYVWVLH LDDVRTPVLQEIRTSWAGSKLIMGKRKVLQKALGEKREEEYKENLYQLSKLCSGVTGL LFTDEDVNTVKEYFKSYVRSDYSRPNTKAPLTFTIPEGIIYSRGGQIPAEEDVPMIHS LEPTMRNKFEIPTKIKAGKITIDSPYLVCTEGEKLDVRQALILKQFGVAASEFKVKVT AYYDNDNSTVENTKINME SPAR_K02010 MSTIKPSPSNNNLKVRSRPRRKSSIGKIDLGDTVPSLGTMFETK ESKTAAKRRMQRLSEATKNDSDLVKKIWFSFREISYRHAWIAPLMILVAVYSAYFTSG NTTKTNVLHRFVAVSYQIGDTNAYGKGINDLCFVFYYMIFFTFLREFLMDVVIRPFAI RLHVTSKHRIKRIMEQMYAIFYTGVSGPFGIYCMYHSDLWFFNTKAMYRTYPDFTNPF LFKVFYLGQAAFWAQQACILVLQLEKPRKDHNELTFHHIVTLLLIWSSYVFHFTKMGL PIYITMDVSDFLLSFSKTLNYLDSGLAFFSFAIFVVAWIYLRHYINLKILWSVLTQFR TEGNYVLNFATQQYKCWISLPIVFVLIGALQLVNLYWLFLIFRVLYRILWRGILKDDR SDSESDEESDESSTTPTDSTPTKKDI SPAR_K02020 MSSSKFEEVINKIISDSPPGELREVYDDLIKITSENSKNTILDA IENYNVQNCIPIDVNGSSVIISKYNKEGAKFFDPINSVIFSVNHLERKGLDIEPFEFT HAKLEIEQLKDLHDKLHEYLLQSFPGDVSFAVYPVPEKISKISIIIVSTKYNPSNFWN GHWRSSYIYDLETKELSGEISTQVHYYEDGNVSFQSGKEVSQSNVNDVVCTIKDIETS FENDLDLSFFDLNEKQFKALRRRLPVTRSKINWGSAIGSYRLGKNAAEGK SPAR_K02030 MSNSRYSQIESNNDGKLEGLANKLATFRNINQEIGDRAVSDSSV INQMTDSLGSMFTDIKNSSSRLTRSLKAGNGIWRMVGLALLIFFILYTLFKLF SPAR_K02040 MSTDSIVKASNWRLVEVGRVVLIKKGQSAGKLAAIVEIIDQKKV LIDGPKAGVPRQAINLGQVVLTPLTFTLPRGARTATVSKKWAAAGVCEKWAASSWAKK IAQRERRAALTDFERFQVMVLRKQKRYTVKKALAKA SPAR_K02050 MSVRTSSRSNKGQNKYIEYLLQEESETPKKKRTKKKADSVTKKN KKADSSQEPSKDTEKERTEEAEEADEGYVRCLCGANNENYDAAEYSHGDMVQCDGCDS WQHIKCMTNGKDAIDGLMSEDSKYYCELCDPSLYAHLEKSKEVEISEDDDYDDDDVYK PINDHNDNDADIFLDEESPRKRKRSPDSSKGNLTKIKQVKKSNESKKRKKSTDATNFD TVENRMPTKRDFESEKEHKLRYNAEKMFSTLFSKFIIPETTEAKLYKLPDGKDTVFVS KEFAHNLEDELYKACLNVEFGTLDKIYTEKVRSLYSNLKDKKNLELKAHVIEGKLPLN KLVNMNASELANPDLQEFKEKRDKVTLENFIVEVPDKPIYVKTHKGDELIEDSAEPQE DILYSKDSIRLHNVESSDSDKGKIEQTHAIPKELSPNTIINEESLQCAFLYPGLGLEF TGYLNHVGTSQKLKRDIIKEAIGDGKLYVEGRLPTTTAVPYLKEISCSRAILVYQLFS SNDDESKATFAEVVDSLENKSRIAGIKPKTRYEKDFYIVPSRSGEIPEILKEILENNS ERSERFSPVKSDERTLFAFVVVKQELIH SPAR_K02060 MANPFSRWFLSERPPNCHVADLETSLDPHQTLLKVQKYKPALSD WVHYIFLGSIMLFVFITNPAPWIFKILFYCFLGTLFIIPATSQFFFNALPILTWVALY FTSSYFPDDRRPPITVKVLPAVETILYGDNLSDILATSTNSFLDILAWLPYGLFHFGA PFVVAAILFVFGPPTVLQGYAFAFGYMNLFGVIMQNVFPAAPPWYKILYGLESANYGM HGSPGGLARIDKLLGINMYTTAFSNSSVIFGAFPSLHSGCATMEALFFCYCFPKLKPL FITYVCWLWWSTMYLTHHYFVDLMAGSVLSYVIFQYTKYTHLPIVDTSLFCRWSYTSI EKYDISKKDPLAADSNDIESVPLSNLELDFDLNMTDEPSVSPSLFDGSTSVSRSSATS ITSLGVKRA SPAR_K02070 MLYELIGLVRITNSNAPKLEAKELSSTIGKLIIQNRGVVRDIVP MGIRYLPKIMKKDQEKHFRAFHFLMLFDSSAAVQSEILRTLKKDPRVIRSSIVKVNTD KQLDRASSLHRSLGKKSILELVNEDYQSI SPAR_K02080 MSLFEWVFGKNVTPQERLKKNQRALERTQRELEREKRKLELQDK KLVSEIKKSAKNGQVTAAKVQAKDLVRTRNYIQKFDNMKAQLQAISLRIQAVRSSDQM TRSMSEATGLLAGMNRSMNLPQLQRISMEFEKQNDLMGQRQEFMDEAIDNVMGDEVDE DEEADEIVNKVLDEIGVDLNSQLQSTPQNLVSNAPVAETAMGIAEPIGAGSESHGNPD DDLQARLNTLKKQT SPAR_K02090 MATNITWHPNLTYDERKALRKQDGCTIWLTGLSASGKSTIACAL EQLLLQKNLSAYRLDGDNIRFGLNKDLGFSEKDRNENIRRISEVSKLFADSCAISITS FISPYRVDRDRARELHKEAGLKFIEIFVDVPLEVAEQRDPKGLYKKAREGVIKEFTGI SAPYEAPKAPELHLRTDQKTVEECATIIYEYLISEKIIRKHL SPAR_K02100 MDEHLISTINKLQDALAPLGGGSQSPIDLPQITVVGSQSSGKSS VLENIVGRDFLPRGTGIVTRRPLVLQLINRRPKKSEHAKVNQAANELIDLNINDNDKK KNETGEHQNEGQSEDNKEEWGEFLHLPGKKFYNFDEIRQEIVKETDKVTGANSGISSV PINLRIYSPHVLTLTLVDLPGLTKVPVGDQPPDIERQIKDMLLKYISKPNAIILSVNA ANTDLANSDGLKLAREVDPEGTRTIGVLTKVDLMDQGTDVIDILAGRVIPLRYGYIPV INRGQKDIEHKKTIREALENERKFFENHPSYSSKAHYCGTPYLAKKLNSILLHHIRQT LPEIKAKIEATLKKYQNELINLGPETMDSASSVVLSMITDFSNEYAGILDGEAKELSS QELSGGARISYVFHETFKNGVDSLDPFDQIKDSDIRTIMYNSSGSAPSLFVGTEAFEV LVKQQIRRFEEPSLRLVTLVFDELVRMLKQIISQPKYSRYPALREAISNQFIQFLKNA TVPTNEFVVDIIKAEQTYINTAHPDLLKGSQAMVMVEEKLHPRQVAVDPKTGKPLPAQ PPSSKAPPMEEKSGFFGGFFSTKNKKKLAALESPPPVLKATGQMTERETMETEVIKLL ISSYFSIVKRTIADIIPKALMLKLIVKSKTDIQKVLLEKLYGKQDIEELTKENDITIQ RRKECKKMVEILRNASQIVSSV SPAR_K02110 MSSQKVFGITGPVSTVGATAAENKLNDSLIQELKKEGSFETEQE TANRVQVLKILQELAQRFVYEVSKKKNMSDGMARDAGGKIFTYGSYRLGVHGPGSDID TLVVVPKHVTREDFFTVFDSLLRERKELDEIAPVPDAFVPIIKIKFSGISIDLICARL DQPQVPLSLTLSDKNLLRNLDEKDLRALNGTRVTDEILELVPKPNVFRIALRAIKLWA QRRAVYANIFGFPGGVAWAMLVARICQLYPNACSAVILNRFFIILSEWNWPQPVILKP IEDGPLQVRVWNPKIYAQDRSHRMPVITPAYPSMCATHNITESTKKVILQEFGRGVQI TNDIFSNKKSWANLFEKNDFFFRYKFYLEITAYTRGSDEQHLKWSGLVESKVRLLVMK LEVLAGIKIAHPFTKPFESSYCCPTEDDYEMIQEKYGSHKTETALNALQVVTDENKED ESIKDIPKAYLTTMYIGLDFNIENKKEKVDIHIPCTEFVNLCRSFNEDYGDHKVFNLA LRFVKGYDLPDEVFDENEKRPSKKSKRRNLDARHETVKRSKSDAASGENINGTTAAVD VN SPAR_K02120 MSSKKLTVGSDSHRLSKSSFTSSKSSHSAIKDQPIDTDDIDEDD ESGHNIILNIISQLRPGCDLTRITLPTFILEKKSMLERVTNQLQFPEFLLQAHSEKDP LKRFLYVIKWYLAGWHIAPKAVKKPLNPVLGEYFTAYWDLPNKQQAYYISEQTSHHPP ECAYFYMIPESSIRVDGVVIPKSRFLGNSSAAMMDGSTVLQFLDIKDKNGNPEKYVLT QPNVYVRGILFGKMRIELGDHMIIKSPNFQADIEFKTKGYVFGTYDAIEGTVKDYDGN TYYEISGKWNDVMYIKDSKQSRSSPKVFLDTHKESPLRPKVRPLSEQGEYESRKLWKK VTDALAVRNHPVATEEKFQIEDHQRQLAKKRIDDGVEFHPKLFRRSKPGEDLDYCIYK NIPANEDPEKQIRSILQIAPILPGQQFTDKFFIPAFEKIKSQKMIESKR SPAR_K02130 MNSTLPLCEEFFEKITARLDHHDFRLTITANQPSITVPYYVDEK THSIELIIFKTTFLSLFQEAHTYFNRTFSDQNAISNENIYYMTIGLLLTTPENKTVYN IHEKLLKKYFQDNSILGIPNLLFKEVRLVQRLLCSSNNRINKSSSLWILYRKLLVLSL YAKTSISPDLLFVFYSSGSQHFSNYYCWNTARWLYDNLAFGKRIELFGLTKRFCFQHV KDCSSWSALAYMVCQQEQKKTDNIRDFQRLSCSFNIPIKPDRVDLNFQIQHLDTFIQE LVKWIDRTYAADWPPYLCLLQITKLNITLGIDVDSVLSTWRNEILNFEENSGHIKMNN NIPIVPEQFSNDLLTSENFIHFGYKKLFLNMFLDKYKIKKEQSDS SPAR_K02140 MLGCLSALLVLLAGGGRSILAAVQSKTVTDPNLCPGYNSQLISP FLSSCIHLKKRDLNECIFHYFDEQYAFCRSCVTVNNETMEDLDNCRCLQCALNSLNNS CFHDYCTSKDEYDKLQIFIEQFQITNGVIDSGVILKTKGDKFSTKRLSYFVGQNHTLF RNPLPFEKNQLISALLTSLANNQNTMLSSDASEEGDTSNEIQSLRKRRRGDQTLLEAA GYEDENKSDSSAEDKKQGGNMKYHESKEISGENYSKDPDDESINNEEGYTKTPLFHTT KIDTTRWSSWEQREVFTSYLVDECQDTKMVTTVDTFYETKTETETRTTLITSTKAKRR WFPRTTIVTSTATATSLSITTTTTTISATTTNFLVTVVNPDGLKRKAGIRFGIFSANG EIRSSDESSAQTVVKRNWVSDPDTATTTSQVLPQPELTSGEYVSAASQLDKRIFIFTA ITVSITTLMMLGFSYRSRVSFSDHSIYESEEDDDWSDEEVEFDEEYFYSLPVSIPEKG ISLDKMAQQLGVE SPAR_K02150 MSSLLKVRCITPLPQWSVKLSAGNQKAKLIHTSAANRDFMSWFK RKKQEEHQEPVKDTKQLIKDIEEGNTEASSQSSSKNKNKLELIPENFIGEGSRRYKRQ KELKHAVSTAPFNQWISRNKITSDNELDDMILQVTQKTLGKVDQDVEFPDLVAKFQFT KFLQSKSGYLISDYELTTLSTPSQFKMYIKEKILPSVNNPKLAFKEAEPNAIHPLSDN YTSPNIYVINDITPKEQKSKYDTIMKEIQKLEDEATKKALETARSA SPAR_K02160 MGAVFSCCKHHAGEENEALLREQQAGYGSQGNANDGYDAEQMRL KEHEQKLLAREQELRDIVANTNDKLIDISMINNSGIVIQGTDLQEALDKRQQEEGGDS REDERSAAGDNVSGSSVPSSRSAEGATRQTPPKTNTFTVLASADLAKISKEQLKKLHS SIVKEILSQSQVNKPGPLTVPF SPAR_K02170 MVVKRRKLTTEAAGSDERPKYLPGKHPKNQEETPHVDYNAPLNP KSELFLDDWHIPKFNRFISFTLDVLIDKYKDIFKDFIKLPSRKFHPQYYYKIQQPMSI NEIKSRDYEYEDGPSNFLLDVELLTKNCQAYNEYDSLIVKNSMQVVMLIEFEVLKAKN LKRNYLINGEVKAKLLHYFNKLIDGTEKKINQALLGSSTPKNSDDKIKLSEPFMELVD KDELPEYYEIVHSPMALSIVKQNLEIGQYSKIYDFIIDMLLIFQNAHIFNDPSALIYK DATTLTNYFNYLIQKEFFPELQDLNERGEINLEFDKFEFENYLAIGGGGPAAAGALAI SALDNDIEPELNREDLIDQADYDFNHFEGLGNGYNRSLLTEDYLLNPKNFKKLITKPE TMPSEVKNERSMTSDIEKTNSLESEHLKIPKYNIIKSMHKEMQSLSEQHTMEYKPYKL IQQIYIFSSKNLYSQATKPLPGSRPSCNQNWVEYIFNGSELSQNENAFSFMLQPMQTF LTLQSHLNSSLKDTGTLLTINKEPVKSRTSNANNNLSQPQQQENDVVVNDAKHDIENL ANGSSSNNDVVGNDNDKRNNITEIFDIRLSEGLNHLVFRCEDKTSNETEFMNFWINVL P SPAR_K02180 MSEKLSFKDRVVVITGAGGGLGKVYALAYASRGAKVVVNDLGGT MGGSGHNSKAADLVVDEIKKAGGVAVANYDSVNENGEKIIETAIKEFGRVDVLINNAG ILRDISFAKMTEREFASVVDVHLTGGYKLSRAAWPHMRSQKFGRIINTASPAGLFGNF GQANYSAAKMGLVGLAETLAKEGAKYNINVNSIAPLARSRMTENVLPPHILKQLGPEK IVPLVLYLTHESTKVSNSIFELAAGFFGQLRWERSSGQIFNPDPKTYTPEAILNKWKE ITDYKDKPFNKTQHPYQLSDYNDLITKAKKLPPNDQGSVKINSLRNKVVVITGAGGGL GRSHAIWFARYGANVVVNDIKDPFSVVEEINRLYGEGTAIPDSHDVVSEAPLIIQTAI SKFQKVDILVNNAGILRDRSFLKMKDEEWFAVLKVHLFSTFALSKAVWPIFTKQKSGF IINTTSTSGIYGNFGQANYAAAKAAILGFSKTIALEGAKRGIIVNVIAPHAETAMTKT IFSDKELSNHFDASQVSPLVVLLASEELQRNSSRKVIGQLFEVGGGWCGQTRWQRSSG YVSIKDTIEPEEIKENWNHITDFSGNTINPSSTEESSMATLQAVQKAHSSKESDDGLF KYTTKDCILYNLGLGCTSRELKYTYENDPNFQVLPTFAVIPFMQATATLAMDKLVDKF NYAMLLHGEQYFKLCTPRWPSNGTLKTVAKPLQVLDKNGKAALVVGGFETYDVKTKKL IAYNEGSFFIRGAHVPQEKEVKDGKRAKFAIQRFEAPHGKAPDFEVEISTDKDQAALY RLSGDFNPLHIDPTLAKAVKFPAPILHGLCTLGVSAKALFEHYGPYEELKVRFTNVVF PGDTLKVKAWKQGTVVIFQTIDMTRNVIVLDNAAIKLSQAKSKL SPAR_K02190 MWRLQIVLVPPSAQDIITFLDTSLTISDTSQLAFPMLQCNEGTI MHNNSNNNCNKSSSTSLSSRKSIQPNHDSDFINYLPNCKKFLHFTNGNNTLLQLSNEI LTKFDRLYPNFNEPIEIVSLRDRHGCDLDSEFSIKDVFESDGVVLVILKDELDWSRYQ HISLLQLAKQRKRQNKSSTKSMISEKKKKVSREGLSSTQNKDTLHLIAKSSLKKNFVN KSRVSTPLMNEILPLANKYEALNKEKGSVPLLPTVIVSNVHKHLQDHCHANKGAAVHE SDNNKENIPSSIEQQKNDGVKMHESNGPNDLHNTSEDPNYEPADENSPQISYDSIDTD FQLSTTSSTNSDMHIQDLKTSSAAHSPRKSSLEIKVQNKKRDDLPLNDKDIGENYRRI ETFSDEEDFNDTDNDHADSFVNKSKRASAGFRDINSDLDSVSFNSDIEDAVQSTQSTK NPISPSFFSEKKLNNRLHQGQGKEALFRLVENEFPDKSLSDASSISHAKDVKIQETIR KLNRFKPIEESKTQRSSNVAEPGYGKFETMKKDKPKPINSEGVGLDTKHSNDPNGIVS GTKSAKFGKIKVKRKTDDAESKVIEFKRKRNMGNKSLKDIFANAGKTSNAASSIKVVK LTRDLVDDSKDNAEKIFNRMAQTQISPKVPVKESTPEEKKSGQAIPSSFERTPQFKKV KVTRSHSSFSSSSSVSLESLLESSSSDDSDDDSGSRNVQIKKINFSTRNGPARDSSEQ LMLDVDGNEVNAKKYQTPKYVESDEDDE SPAR_K02200 MPKLETVYLYAGEEQPRVKLTCIKEGLTLPQVIKFVHSIQELYG IELQTSETITENLKIDCAPAYLKPNCIPHFYILEYEEINDTFFIWKSDGRWQLNKLSV LLYVDNDANVVKNTSWKDVFQNDRRFRNYDKRAWLQHCLEKMNEDLSKLNVEQFWSQY DKICQNISRQKKKNDQFNMEVFNNFKNVVSIAVIKTKVLSNKRILTTILRNYHNSMKK KYNVQEQNLKENSLASCSNNDPSTSLESESRHFSPVNSLSPSSLSTDEEATSTDYIYR GPESKPNVDFMHSSATNDLIKSNFESYFKLMAEDYETFDLKAWSRQRPRKFQLVEKKK ITKNSPNNHHPHKNGKISF SPAR_K02210 MKFSKVSLLVASASVALSAPVAVTVTQHVHQAATVVVQGIVRVE NGQTLTTFITKGTQTASAASATATLSAPIVVANAEVDSIATSVIQESAVVAQSATSEA FSTATTITQAVETSESTTQESSENDVTTSLTSSVQPTSTATPTTTTASPTTASPTTAS PTTASPTTASPTTASPTTASPTTASPTTATTTQSTASTTQSSSSDFSTSMVNEHNTKR ALHKDTGSLTWSDTLATYAQNYADSYDCSGNLVHSGGPYGENLALGYGTTGSVDAWYN EITSYDYSNPGFSESAGHFTQVVWKGTSEVGCGLKSCGGEWGDYIICSYKAAGNVIGD FADNVMPLA SPAR_K02220 MLQFKLVLLGDSSVGKSSIVHRFVKDTFDELRESTIGAAFLSQS ITIHPNDGNETKDVVIKFEIWDTAGQERYKSLAPMYYRNANAALVVYDITQEDSLQKA RNWVDELKNKVGDDDLVIYLLGNKVDLCQESPSTETSPESNEGGDEEQKVRAISTEDA KQYAQEQGLLFREVSAKTGEGVKEIFQDIGEKLYDLKKDEILSKQNRQIGGGNNGQVD IHLQRPSTNDPTSCCS SPAR_K02230 MFLDYSGYEALTEINSSFGKYVLLLQQFENCRGLKGRLEMLKDL GKEFTIFEELNMEDFRESKSMVHRFYTMVISLRQIMEIGPLIRRSPAVLVVEFDCPVE DCLDELDPLHPLNRTYIFIHKQWIYYHQYYIVEKVKKVILNMAPVREDDWSILHKVVY SEGFAERRWKNKKYLGDIYIPQPLLKSNKITTISNFSQLTKISKVRVYRFNATAVCDP ENLNKKNLSVKELKDKDLPNLIWTLEPEKFYVDMRPYKEHQERKKRRKESTLRTQGEK QENSITENNKRDINEVRASPGLKNIMKTPLANKVVNIFNNYAAVVTGYVTEVDKNEDD ERRDGNRLEIGNDEIAQKLGSESRDDSETQMDSACIQLELQDNDENNISWNTTMRDID PIYMSERCVRVWRKEQQMLGLEKARTFEKKYHKDFMVMNENQFEEPGKYSGRRIKIRN ARARTKIASSASENNNDSKSSKNCHKEEAHGLVREYFKNKLNLSPHRDRYQEVKDKRK YKNIMKYNSNSFNSINKISGEFQVYGPTTLTDIHFKDVVTVKFKDLKAKFRKLKINA SPAR_K02240 MLRTTASRKIVLRRGLASINTGTTVASNKASRKFRNTFWTIALS ATAFYAGGIIYSQKNDKFGDFFSNNIPFAEDLLETYEHYHDRPTLFLEDSWDNLKAKS SNLASGLAGSSPTRKSNRENIEVKKILSLDPLDIETENSDPQLKEIIGSLNDLINSLN DSNLSIPETKFNSMKKSSQNVLTNISQLNETLKEALSKYMIQRTSEVITELNTQYENS KREFEENLQKNLLQEVDEFKENLTKQKDKELEEKLKANEELLQAKHANEVGLLSITQV KEFNKIIKDKIEKERNGRLAHLEEINSEVNDLSKSIDRSSKILSKNEALVQLTFQVDE IKSRINNNILPDVNIDKELSRLKLLSGLLSTFNKKSCCGDGKSCSCKKGNKSESKDGK VSCKCEPKKNTPSLLSVALNELEFTCSGKKILSNEQIYNRWNLLADDFKTASLLPPNS GILGQLTAKFFSLFLFTKTGNPSDATDFDSVYARVGDNLRVSNLNDAVEEVVSLKGWP HKVCESWIEDARRKLEVQRLVEVLDCEIRTL SPAR_K02250 MSSGGENDHFYSFDESDSSSIELYESHNTSEFTIHGLVFPKLMS VTSQESEFDINEDEDGVDTIYEGMLDAPLTKSNKRILCEGSVPNLNYECLTTKGIFER MLQRVDHLQPIFAIPSADILILLQHYDWNEERLLEVWTEKMDNLLVELGLSTTANIKK DNEYNSHFREVEFKNDFTCIICCDKKDTETFALECGHEYCINCYRHYIKDKLHEGNII TCMDCSLALKNEDIDKVMGHPSSSKLMDSSIKSFVQKHNRNYKWCPFADCKSIVHLRD TSSLPEYTRLHYSPFVKCNSFHRFCFNCGFEVHSPADCKITTAWVKKARKESEILNWV LSHTKECPKCSVNIEKNGGCNHMVCSSCKYEFCWICEGPWAPHGKNFFQCTMYKNGDD SKSKNPEDANKTLKKYTFYYRLFNEHEVSAKLDWNLGQTVGTKVHALQERMGISWIDG QFLSESLKVLNEGRTVLKWSFAVAFYSDASHNLTKIFVDNQMLLANAVESLSELLQIK NPEVIMKRRPEFYNKAGYVENRTTALMECGRELLCKGICKVVE SPAR_K02260 MFKVFGFGAKEEIPELSQEEKTKAILKQAHDFEQALRAMDYVLD DNADEGLALLDESDAKEASDQTINALARGVIEFLEATLGFEAEEMKKASATLAKAETL SLKSREKAQKVGLKSSSLYPPGTVYAVTYTESCLLHALLMIFSESMMEAAKAILKLRK SYYMLQEILETIKAANKAKKLKLSSGSEYKESTPATFITGGDAFNSVDIPYELTPEEQ KDKDLLLFAEQIHSMRTERLSGAHIGNSPAINRLRGELGLQAMEDLPKKEITDHQVLS DDIDLSQATIDEFVHSGVNLCFGILQVVISLLPPAIGAVLSVVGFRGSREEGLRLVWK ATKQRNVHGCIGLLALMFYYDGPFQFTDDDFDIPAAVKDSSHSEDSDDEDMDGPTLLH PGKILEDALLQSRALFPNSALWLLNEARMLSGKGRLEEAVALMDSIDVSKIRMRQVKS LMIFDRAITLIHLHQYDRAAEDILSLLDISDWSHAFYTYFAGCCYLENWRLCEMGIMK SDKKDEYQKKAEEFIFTSVNLLGKKTFKSKNLPLDRFILRKVEQFKAKKEELGVENPL DAIATSPVHEIAYFYNGYNRMSEEHLELTKKMLTEYRNPAIEALDPDQELIKDLLVSL TFRRLGHIQEGCDILDEKVLPKFFSIQNGKVKYTKKNEDPWAYPTALYERALFTWKLK GMDGLPESKEWLVRAQGYADDYELSTRVGMKIKAAIDRVDHSL SPAR_K02270 MRLSFGKQRYHGGTTVTLTERGASDSLHAAQAIFQNHSNEVSSP CPSLTVNSNAQTRLSESSLQRPGRKQEQKKARIRTKQVPKIKTTAPNGVELSKKHSPS PAGKDNVISTAQMAAALAHSQSKLSSDNNHPYSSGLDTLKSLETPNLSGLLGIHSRSC SRNGSNESLTLAQRTPDNRSQENLFTPLSTSRRPSSGSMEPAINKDNNKTQSKRRPSP PLQSSFIGSGVLHESENLSSVSIDSKHSLNLDTSDVISNRSQTSLSQTINQLSLCESE PSIASSSTTTTASNQGSSLPNLVPNYSSDMRKKKLVNKFKRKVFGTKPRHLSSQYEMD ASNEELGQHEQQPSMRFKTTLRKTSVSTNAENDHTSSLHEGNVRFKYNPSNDTYDVYD DTESDSGSDQDQGAIAKPRKRDRIKRKIKNSANKTTHHRPIHRTRNRKFNEDKPWKSH TDITFVTDNERKRYESMWVSNRHRHLNLLNWWPSLKHDSVAINTLPEDGLILNIIVRD IWKRSNLPNSLLAEIYTKVDTRKDGTLDRNSFIVGMWLVDQCLYGRKLPNVVEQCVWD SVDRYASTSVVPVSTLKAMAKQKRKQMKEEIKNIKKENRVVLIDHNSSS SPAR_K02280 MAEQISHKKSLRVSSLNKDRRLLLREFYNLENEPDKDGKDARIG EKVSKAHSEEGQVTGVNVDTEGNTEKPVKKDELSAAEEDPKEGSEDAEEEIKNLPFKR LVQIHNKLLGKETETNNSIKNTIYENYYDLIKVNDLLKEITNANEDQIRTLKQTVESL IKEL SPAR_K02290 MLQSNTENDTVAPVFPMEQDINAASDAVPLVQTTTLQVFVKLAE PIVFLKGFETNGLSEIAPSILRGSLIVRVLKPKKLKSISITFKGISRTEWPEGIPPKR EDFSDVETVVNHTWPFYQADDHMNSFTLEDHSSNKSSNRPSMSDEDYLLEKSGASVYI PPIAEPPKDNSNLSLDAYERNSLSSDNLSNKPVSSDVSNDDSNLLAIQKAPVSSSSRR GSVPANFHGNALSPHTFISDLFTRTFSNSGATPSLDQEDTYLTPSKDSKEVFIFRPGD YIYTFEQPISQSYPESIKANFGSVEYKLSIDIERFGAFKSAIHAQLPIQVVRLPSDGS VEETEAIAISKDWKDLLHYDVVIFSKEIVLNAFLPIDFHFAPLDKVTLHRIRIYLTES MEYICNSNGNHEKARRLEPTKKFLLAEHNGPKLPHIPTGSNPLKAKNRGNILLDEKSG DLVNKDFQFEVFVPSKFTNSIRLHPDTNYDKIKAHHWIKVCLRLSKKYGDNRKHFEIS IDSPIHILNQLCSHANTLLPSYESHFQYCDENGNFAPTADQQNYASHHDSNIFFPKEV LSSPVLSPNVQKMNIRIPSDLPVVRNRTESARKSKADNASKKNAQSDNVFASKQLVAN IYKPNQIPRELTSPQALPLSPITSPILNHQPLSNSPPPDFDFDLAKHGTADVNAIPVD PPSYFDVLKADGIDLPCYDASSSRIPELKLNKSRETLASIEEDSFNGWSQIDDLSDED DNDGDIASGFNFKLSNSGASENVNSHTPILQSLNMSLDGRKKNRTSLHATSVLPSTIR QNNQHFNDISQMLGSGDEDAFAKGQSSNSNKKLPILKINDNIIQPKSNNNRLGDLEDT MDSSVDITAFYDPRMSSDSKFDWEEAKNHVDPTGYSVNVASENRVMDDFKKAFREKRK SPAR_K02300 MAIKKRNKIRLPSDHPEELGVDGSAHRPTQQIKAVTLNDFEDDD NDVCALQPIKFKKVPKRDITFDGEQAIKENDSHYKDLYHSKKNTDTSMGNKDDAVVLN MEDLVEGNHDLLSDSSEASNSFEGEHTTSIPTREEIAKLKAQKSISRRKISKSDVVRE RDYVKLLDSEDKREIMETIKSNGGLKRTNEKEIENFSDDEMQGFQDERLALTDNQIAI QKDSKRKIIEEAINDAPYRVDEEWETQLLSKGNIHKPNEGIITSLPILFPDDDESDNT IEEISEMVSKICLQRKKVEMRLQALEKAKIDLENSKTNLINKLIGN SPAR_K02310 MTRQQAIDYATKKVPQILPLEESDVKALCEQVLSTTSNNPEQIA SKFLEFLGHEDLSFEFVMRFNELLNQTDKREEKKTKNAHLDQIAPIASKKESKLLTNN NVTRVENEQPKKIKEGRKSLTTKPTIQPSNQPTQSKSTKGKKEHKSKEKLQSLQEIDD AIKILELRDSGSSKNCNCQGTRHPVFDIAPNCLHCGKVVCVIEGLNKGKCGHCHELLI SDDERTQMMKILDQEKNELSSSPSSLSNASNDANIPKKKTKTYKITSGMGKNLFAEQD KLFDFIERKRERERKRNEVLKLQEKQEESGTNERQVGEQDHTVEENPELLAAQERLDR LLHFQDTSAERTKIIDNASDFDMNQEIGLWGSARERALALKKQQRNLRKWEKVEKERN GRREKYVVSMNIGSNGKVTMTEVPKDTNNVVAGSDDDESDISDGEDISDLKHINALKG EINRTKAMENLHLQSKAWDFERDKKQFDRPTYVKKNAGTVQQNGRREEKIDDMQGYDL RSKVQVDQNADASVEQNILAVL SPAR_K02320 MSDDDSMLLNFTTNEDATGSSYKQAAKVTGGRWKDRRRMKMKLD GKTASRKRRANSTGDQGSVPGRGENSGRKIHKDNANSSEEQEKHKGRNAHNTQGRILP ADSQFVSSLFTSNREITTAVNTNIHDENVAINPSNAPLKGDLFASLGVSDILVSHLEE KMRIKKPTSIQKQAIPQIIGNAGKNDFFVHAQTGSGKTLSYLLPIISTILNMETRVDR TSGAFALVVAPTRELASQIYHVCSILVSCCHYLVPCLLIGGERKKSEKARLRKGCNFI IGTPGRILDHLQNTKVIKEQLSQSLRYIVLDEGDKLMELGFDETISEIIKIVHDIPIN SDRFPKLPHKLVHMLCSATLTDGVNRLRNVALKDYKLISNGTKKDADVVTVAPDQLLQ RITIVPPKLRLVTLAATLNNISKDFINTDSQSSTLRTIVFVSCSDSVEFHYDAFSGSD GHHRNLTGDSVRLLTKGNTMFPCFSDSKDPDVVIYKLHGSLSQQTRTSTLQHFARENE ATKGKHLIMFCTDVASRGLDLPQVGSVIELDPPFAVEDHLHRVGRTARAGEKGESLLF LLPGEEEKYMDYIQPYHPMGWELLKFDKEILMPAFKDVNVNRNDKFIRKDEKSSKNKD VGDKEYEWDTNATTWHLNIERRVVGDSAFKNLAVKGFISHVRAYATHISQEKKFFNVK FLHLGHLAKSFGLRERPKAMGLQSSKDGNEKKPTKENSKNKMFRMARMAEKQIASEFN Y SPAR_K02330 MSIDNKLFVTEEEEEDRTQDRADVEDESNDVDMIADENGTDSAI ANEQEKGAEEVTAGEDTGEEEEDDPVIEEFPLNISGNDESLHVFQYANRPRLVGRKPA EHPFISAARYKPKSHLWEIDIPLDEQAFYNKDKAESDWNGVNVQTLKGVGVENDGQYA AFVKDMQVYLVPVERVAQLKPYFRYIDDVNVTRKQEDAKRNPNPSSQRAQVVTMSVKS VNDPSQNRLTGSLLAHKVADEEANLELTWAEGTFEQFKETVVKEAEDKTLIALEKQED YIDNLV SPAR_K02340 MSEFNITETYLRFLEEDTEMTMPIAAIEALVTLLRIKTPETAAE MINTIKSSTEELIKSIPNSVSLRAGCDIFMRFVLRNLHLYGDWENCKQHLIENGQLFV SRAKKSRNKIAEIGVDFIADDDIILVHGYSRAVFSLLNHAANKFIRFRCVVTESRPSK QGNQLYSLLEQKGIPVTLIVDSAVGAVIDKVDKVFVGAEGVAESGGIINLVGTYSVGV LAHNARKPFYVVTESHKFVRMFPLSSDDLPMAGPPLDFTRRTDDLEDALRGPTIDYTA QEYITALITDLGVLTPSAVSEELIKMWYD SPAR_K02350 MSFLWGSTKAKKSKNKKVGGSLPSSAISQQRVKPTRKNVPIDYP RTLEKVHGESLIFRTSLLTELVSTGKSGIGPPDLIHCTELDKFHDEKIGEFFYITGID ASSISMPIAFLKLIKWNDGKKLKSVSLKNDNITTYCTFNIFQRLDIRLRYESEDVYQV NVIDCLDGKNEISLSDLIWEETFVSCCIRSMIINSDFERKMPGLVELPFVFENRCPSD YKRVIDSLCKFLPRFLECGWDSTKSVYATILNNYLTESLLVFLSITPGFITDYAIQVL DKLITNDPSNSRYYAIVIISIMEQSSDRDLEMVKRIHAVLDLLLPELYELASDDPYSS DLINCITDVLSIQARFLLDSNDYELSLSIATLATNLSSDNFESWFLLCKSYVFLQQYD KALLSINSMPCLAEYDIVKQAQINAFKLHMNFYKAPLCHSREHCTMTSHELNHLMNTM HYENELELRTIIFGRTVMPNESKYGCIEEIWNKSCLELGPICGPQSDNLINFVSQQEV NTVGDLMLLKRSKETRQTSWFSEQVRLLLMELVARIGWNALLQLRSEVFVMESKFKMI DSSDKLSTELRQKRLCQRWFDSMFLDIYEDLSISTSSQENKATAKYSGLEWELLGLTL LRVSDLPDAVACLRTSILARFDPISCYHLLNLYLSMGFNDEFMRRFDVDIILDLLVKL ISFRIRFYDRFQIFSLQVLRKLEGQLGSEIIKNKIINSLYGQAGITLVIDYMLGCLSE NGDESYLAYERPLPELPSTIRDPVG SPAR_K02360 MSGSFWKFGQDYSIESPVSKILNSAFIKIGKDQDEDVPTGAREG STDDEEDKSSHGYMTSEEKIVIENEEKEEENNLPTTESEYENYRPNLDVLDDLLDDDE LYTELMCSNFKLLIFLKYPEVLSKLIEYVTNEKVLEEGADSVKKPEIIEGVNDRPILI ERDRKGEKEDAEEDNSEEITNDSDHDSGDERSVDSEETSITLPPESEEQVETRRARIA AEILSADVWPISAAIMKNKDLLGRLWSILDHPAPLPIPASTYFMKINERLLDMDITGM LEFILSRDSLVARFLTHVDNPSLMDFLLKVISTDKPDSPTGVIKILKSQELIPKLLDH LNPEYGISTQSAAGDFIKAFVTLSTNSSNELASGIGPNELTRQLVSEEMIEKLIKIML QGGTCLSNGVGIIIELIRKNNSDYDFIQLVYTTLESHPPTDRDPIHLIHLVKLFAKHM PDFASMLNETKLPLMEMPFGSIEPLGFERFKICELIAELLHCSNMTLLNEPNGEIIAE ERDIERAKEFEKEKKTPIANNDAVYYDKDCVQEKEITENLGALQINNQDEGEEGELDD TGISNVKADVKSDAKVVEGLENDASGVELYDETLSDTESVRECLREKPLVGDRLKIAL EDTKILIIILDMFTEFPWNNFLHNVIFDIAQQIFNGPLKTGYNRFLLKDYLVDAYLTK KIVDADEACQDYEKKTGLRYGYMGHLTLIAEEISKFKEYIDEMKLTFCNTAVSDRLEE PFWKEYSETTLADTREKYNTVLGDFGNEQESDDDDVIRNSDSEDIIGDTDGNDNYGNR ENDELLSNGHDTGNMDLYYNFNNNENDENEEDYAEYSDVDNKNYYSNVGTNDDDYDSD DGKSKSAESDFNDKISEDRDNKSLYSEDNDENRSDKWASGTSLFPPDHFPSRSQPSDP KLQDQNIFQHQFDFDGVGDDDDYMDPNDDGQSYARPGNPLYTTPKTPPRPKTVLFNSL SALDNNDEDEEAALSTSVDDRMDNEISSDEDSEDEDGDNDMANDEGYSLYRSRSKEAF SPAR_K02370 MSVPNSKEQSLLDDASTLLLFSKGKKRTEEASKIDSKADIIEHN QCHEREKKGAVAMAATALATAATVPLALKKITEQSTVEAATSTTAKEEIEKQPQWPVP DSYIVDPDAGIITCICDLNDDDGFTIQCDHCNRWQHAICYGIKDIEMAPDDYLCNSCD PREVDIDLARRIQQERINIKTVDPSTPNNSASNKDNGRDRAPSTTISDGGDSLSTNQE NSNYKDKRRKRNPSSNSIDLKNGSTSANSSEGLAIMAKKKEHFLSAKDAYGAIYLPLK KNVFKNDLVEPFLNKHMEDNWVIQYPHKTFKSLSIEVKPYADIAYSRTYPGFTKLGVY LKRDCMKGDFIQEILGELDFYKNYLTDPRNHYRIWGTAKRRVIFHSHWPIYIDARLSG NSTRYLRRSCQPNVELVTIKLQDADNRNDKSNGIKTNRIKFVLRALRDISEDEELYIK WQWDSRHPILKLIEGTTIDSLNDLEKYGLINSVETILSNGECGCGNSSKDCYLLKVKR YSQSIYKSVKSRGKMNNRYKLNEILNQYEHKKRREPPILHRLEEKAQYKIERAPMLLN NFHQQKFFNRNDGTKISQKDIIDNEKDANGIAKPFKFALFAQYSSNISVSKKKEATGK PFIIKKSSDYDESHITNIKELPIPVPLPITKTSRQTANDLENGQSKDEHTLTRTPSLS SFNKELSREAPHNQSKTKETMTEASTNSRQESSPESLRHLSDFSSSQLHSKKKLSFAD YRKKLLK SPAR_K02380 MSYLPTYSNDLPAGQQGQRKRSDGNENSSGRGYGQQSVPMVIKR LFKTPKNLDLETASWEMFHLIFHPRKAYRSIYYQRQTKNQWARDDPSFFIFQIALISL SSIIWSIYNSGFNNESDMGFFGIIGHFFKSLVMMVVLDFFIFGFIMATIFYLLLNRSH FKFKSSQNSVVEWAYCFDVHCNSFLIILLCLYFIQFLLLPIINLQNWISLLIGNSLYC FAIGHYFILTFYGYNQLPFLKNLNFILLPTLGLSIIYLISLFGIDLSKKLSFYNY SPAR_K02390 MSNVSTASGTHFAPPHTDGSIIEEVDGVHSRPDELDDQEVLRQL PENGNLSSSLQRDKRRTPNDKEAERKHALPKSFVDKNLSDVSPNHSLDHLMLSIEHDP RRGSDEENMHRLYNNLHSSNNNIHSKRNSKREEAKNLQRRPSSVAYTQQQFNGWKKEF GHAFKKISAIGRLKSSVNSATPAGSGHRHNQHQHQQVKEEDLYTQRLASDLLDSLLAG CPASLFASTQFLRDEHGKRRAPLLLAKLDVRVSPLKNDNNILDITNSNHNHHDNNNNT SNDSDRRPSIPRRSSIISISSNVAEFMYGRNENSLFKIHLEYGIDESRLKWSIIRSYK DIKSLHHKLKIVAFQQLTISKLYSDNNRYHSLQLPHFPHYKEMVKERNVLERKAENKS SSPASAPHTSENNGNDSASNITSLETLSSSEISEFNINNVKMKHLQDLIDEPDDFSQP IHLRLERYLRLLNIALCLRPHANRLFEFYELSPLGNLLSRESGFQGKQGYLVIRSTAK AQGWRVSHFGKHAFKDMIDRHTTKWFLVRNSYLTYVSDLSSTTPLDVFLIDWKFKVRF SGNKNNILDNENEINWIIHDPNLEINDELEEFNTDNHANNILDKNASSKAHQKKKSNI SSKLLLLTLENSERKLKIICKSESSLKQWMGSIIKMSTSTPWSKPNRFGSFAPVRTNS FCKFLVDGRDYFWSLSEALLMAKDVIYIHDWWLSPELYLRRPVKGNQGFRIDRMLKSC AEKGIKIFIVIYRNVGNIVGTDSLWTKHSMLNLHPNIHIIRSPNQWLQNTYFWAHHEK FVVIDETFAFIGGTDLCYGRYDTFEHVLRDDAESLLDQNYPGKDYSNARIADFHDLDK PFESMYDRKVIPRMPWHDVQMMTLGEPARDLARHFVQRWNYLLRAKRPSRLTPLLTPP SDLTAEELKSLPMFEILREKSTCETQILRSAGNWSLGLKETECSIQNAYLKLIEQSEH FIYIENQFFITSTTWNGTCVLNKIGDALVDRIVKANQENKPWKAFILIPLMPGFDSPV DTAEASSLRLIMQFQYQSISRGEHSIFSKLKKLNIDPAQYIQFFSLRKWSTFAPNERL ITEQLYVHAKIMIADDRRCIIGSANINERSQLGNRDSEVAIVIRDTDLVKTKMNGDEY YAGKFPWELRQRLMREHLGCDVDLVEFVEKKFERFEKLATKNYKTLHTLNNEGDNGNN WNEREMIDSAMIELGYRETFGCKFSSQWQNIYGNSINDESAQCGINEKEGGREVENVY EKLFNSVDHGKSSRKKTPLPKHGFASLGLTFNHRAGIENVGIRDHKVLSTDPRLRKNS EHKKEVDGYGPDGWKKESNEKFKADATEQLKEWALKSMASKVLDNKGVIKSKMPQGFS NYLPDEKDLEMYLTDKTVTNRNKWSMLKRICYLQYLSHKLDERKVQRLKKIKDMRRHL SSSTESTKNGSNSLPLNEKNNEGESTNVEQDSEGDEYHRLHEDILKNQELDDSSLDDL LSQIIPKITNFNSGEIDDAKKEELLKLNFIDPYSFEDPLISSFSEGLWFTIALRNTLL YKLVFHCQPDNAVQNWKEYGEFTELEQEFQINQEKLIDLEAENIIHTTTNVVDKEREK EKMRKAAELRMKLSGSLLYGFNQKVFDKHTAQRILERIHGHLVIFPTEWLAKEVESRN WIFNSDRLSPMEIYN SPAR_K02400 MVLSDSLKLPSPTLSAAAGVEDCDGEDHPTCQNCFTVKTPLWRR DEHGTVLCNACGLFLKLHGEPRPISLKTDTIKSRNRKKLNNNNANTNANTHSNDPNKI FKRKKRLLTTNSGSLPTNNPKVSILEKFMVSGSIKPLLKPKEALPNTKECTTQRGKFS LDPCEPGGKNYLYQINGSDIYTSNIELTRLPTLSTLLEPSPFSDSAVPEIELTWKLHN EEEVIKLKTKISELELVTDLYKKHIFQLNEKCKQLEVELHSRASVQSHPQL SPAR_K02410 MSRNSAAGLENTLFQLKFTSKQLQKQANKASKEEKQETNKLKRA LNENEEISRIYASNAIRKKNERLQLLKLASRVDSVASRVQTAVTMRQVSASMGQVCKG MDKALQNMNLQQITMIMDKFEQQFEDLDTSVNVYEDMGVNSDAMLVDNDKVDELMSKV ADENGMELKQSAKLDNVPEIKAKEVNVDDEKEDKLAQRLRALRG SPAR_K02420 MNSGDARGGNSLVTKPIEIILTKLPHAILGQQQFQKYITSPIYK FLCKLLLFRKLTWTERVNDAQIGQMGIFFFQNNYADSATTFRILASLDKQRYPLPIGA AETNLPSLFEGFKATVSIIQQGLLVDDVKEAINNDEEEYVLLRHINTDFVNKTYSSND LTRLLQDVKTNVENLSIRKTLEMDELTRLDSMINELNSRKLKILERVKNIDSKSTNLE SDVILIKDRIDFIKEYSLEANHEKSVQMKLEEETLFKSSSSTQNKEPVSSLNDDETND TRLKGFYKRPHEKSQAKNNKMNTTTESHSRNSMAFRMTITHGEHGNSITALDFDMPWG TLCSSSYQDRIVKVWDLNHGGQVGELPGHLATVNCMQLDKTNSNMLITGSKDATMKLW DLNLSRELYLDHSPLKQNTEEIITPCIHNFELHNDEITALSFDSEALVSGSKDKKIFH WDLATGKCIQQLDLIFTPTYSDIKMPARSLNNYTRLPGTEAPMIGALQCYNSALATGT KDGIVRLWDLRVGKPVRLLEGHTDGITGLKFDSEKLVTGSVDNSVRIWDLRTSSILDV IAYDLPVSSLDFDGKLITVGANERGVNVFNMERDEHWMTPEPPHSLDGDELSRRIAIV KYKDGFLINGHNNGDINVWTL SPAR_K02430 MAESLDGCIDDINRAVDSMSTLYFKPPGIFHNAILQGASNKANI RKDITRLIKDCNHDEAYLLFKVNPEKQSVSRRDGKEGVFDYVNKRDTDMKRNRRLGKP GEKPIIHVPKEVYLNKDRLDLNNKRRRTATTSGGGLNSFIFDTDLIGSSVISNSSSGT FKALSAVFKDDPQIQRLLYALENGSVLMEEESNNQRRKTIFVEDFPTDLILKVMAEVT DLWPLTEFKQDYDQLYHNYEQLSSKLRFIKKEVLLQDDRLKTMSQYHPSSSHDVAKII RKEKDEIRRLEMELTNLQKQETTSARE SPAR_K02440 MRVSILSKMVNLNTIPPKNGRDYYIALGLEGSANKLGVGIIKHP LLPKHANSDLSYDCEAEMLSNIRDTYVTPPGEGFLPRDTARHHRNWCVRLIKQALAEA GIKNPTLDIDVICFTKGPGMGAPLHSVVIAARTCSLLWDVPLVGVNHCIGHIEMGREI TKAQNPVVLYVSGGNTQVIAYSEKRYRIFGETLDIAIGNCLDRFARTLKIPNEPSPGY NIEQLAKRAPHKENLVELPYTVKGMDLSMSGILASIDLLAKDLFKGNKKNKILFDKKT GEQKVTVEDLCYSLQENLFAMLVEITERAMAHVNSNQVLIVGGVGCNVRLQEMMAQMC KDRANGQLHATDNRFCIDNGVMIAQAGLLEYRMGGTVKDFSETVVTQKFRTDEVYAAW RD SPAR_K02450 MSNTSSYEKNNPENLKHNGITIDSEFLTQEPITIPSNGSAVSID ETGSGSKWQDFKDSFRRVKPIEVDPNLTEAEKVAVITAQTPLKHHLKNRHLQMIAIGG AIGTGLLVGSGTALRTGGPASLLIGWGSTGTMIYTMVMALGELAVIFPISGGFTTYAT RFIDESFGYANNFNYMLQWLVVLPLEIVSASITVNFWGTDPKYRDGFVALFWVVIVII NMFGVKGYGEAEFVFSFIKVITVVGFIILGIILNCGGGPEGGYIGGKYWHDPGAFAGD TPGAKFKGVCSVFVTAAFSFAGSELVGLASSESVEPRKSVPKAAKQVFWRITLFYILS LLMIGLLVPYNDPSLIGASSVDAAASPFVIAIKTHGIKGLPSVVNVVILIAVLSVGNS AIYACSRTMVALAEQRFLPEIFAYVDRKGRPLVGIAVTSAFGLIAFVAASKKEGEVFN WLLALSGLSSLFTWGGICICHIRFRKALAAQGRNLDELSFKSPTGVWGSYWGLFMVII MFIAQFYVALFPVGDSPSAEGFFEAYLSFPLVMAMYIGHKIYKRNWKIFIPAEEMDID TGRREVDLELLKQEIAEEKAILATKPRWYRIWNFWC SPAR_K02460 MSGEDYMTSDDENDAEKRYVRPIFVRKRKGEEDDADTSTDNDHH YHHHPCDRPERPSQRQNENTQSNTIRLVPVTMSTVKCPLKKKKKKSMSTSSHDTTLFE YGESIAGYKCVTTEREIDRLKQRKESESTSESEVDVFAFDQANGSEAKVEAEERYARV IRQYWRMTKDEPAILPLPDTPTLAAVTLDMINEYNVAQFYTMSSALMDASRVDLIRRD RIRWHPDKHRYHKPKVTKLFQAINGLWEQVKTEK SPAR_K02470 MKLSALLALSASTAVLAAPAVHHSDNHHHNDKRAVVTVTQYVNA DGAVVIPAATTATSAAANGKAESVAAATTTLSSTAATTSAAASSSSSSSSSSSSVGSG DFEDGTISCSDFPSGQGAVSLDWLGLGGWASIMDMNGNTATSCQDGYYCSYACSPGYA KTQWPSEQPSDGRSVGGLYCKNGKLYRSNTNTNSLCEEGQGSAQAVNKASGSIAICGT DYPGSENMVVPTVVGAGSTQPINVIKEDSYYQWQGKKTSAQYYVNNAGVSVEDGCIWG TEGSGVGNWAPVVLGAGYTDGITYLSIIPNPNNKEAPNFNVKIVATDGSTVNGACSYE NGVYSGSGSDGCTVSVTSGSANFVFY SPAR_K02480 MPSLTPRCIIVRHGQTEWSKSGQYTGLTDLPLTPYGEGQMLRTG ESVFRNNQFLNPDNITYIFTSPRLRARQTVDLVLKPLSDEQRAKIRVVVDDDLREWEY GDYEGMLTREIIELRKSRGLDKERPWNIWRDGCENGETTQQIGLRLSRVIARIQNLHR KHQSEGRASDIMVFAHGHALRYYAAIWFGLGVQKKCETIEEIQNVKSYDDDTVPYVKL ESYRHLIDNPCFLLDAGGIGVLSYAHHNIDEPALELAGPFVSPPEEESQHGDV SPAR_K02490 MTRDVRAKKLAISLLILSLFLIFQIVAKIYLHNGDQYNTEANPF ARRRSHVTRVPNHDASLSIPYLDKINQFWHVGGATQIRNAQSIKLTQDRDQDKHGLVL SNGIGDNTINDFEIVFKFRVSHNPTTQLTGDGMCFAITPENGFLTQDLKSSYAKKQYM MNSQGVIGDDTDLMGFPKNLPGLFIVLDTYRNRGHDHKEVPFIDVFINVAPESDCYDI NSDGELSTSLRLNSKGHIKLKKKALWNQVTKLRIIYLESISFLKIDIQYAKEGNYWIE LFQTTENLYLPKNMNTGQRYIGCSALNGQLTETAELLDVSTSEFHWNDKDESIEDTYD YAKEAELFLEQEFGEILDREPDEFTKWKMIKAQPNIKTGSQLTEQRVPNNLHSRLFKA VLTLWHYSEILLLIIGIYLISVCIRVFQRRFKKIRYRKRRAGSHSVGLLPM SPAR_K02500 MSNNHHTSQGRRNKLSVWVKKIINNTTTTTNASVPSSKPRHGTR AGPTRAKRTELDSDGTTISSSLRPMIDQNSLQSSESDDEGNHRVAWDEPPTDKMKQQQ QQQHDNASVIPLVSFCSSSVKSSTFSDIHSIQSTRPTIFSNRTLETNSSVLAIPPQSI LDRSRTLPPSNASNTTTRRP SPAR_K02510 MSESSISPSKPSVELPQATWSHLQRYPALSKFLKYAESLPPVER LISFNLVVLGSVNQWVSESSGSPRLVKQVVAAGKEGAFKLDELINLLVFKEGVDGLLY NWKSHSNTPGIWLVWFFVDYVANISNTLLREFLIKPLHLQGSNASKEIGSSGEENKVT DTSSLPHVAELSSTTRGMSQEIQSKVKSNYIDPTKDLAKEKYDAIVKPTTDKLQSVYI DPTKSKLNETYQRFTTVYENNLSKSESVPKAIVSTGLDLGNATIEKLKAAREDQANSK PAAVSTN SPAR_K02520 MSDPIRTKPKSSMQIDNAPTPHNTPASVLNPSYLKNGNPVRAQA QEQDDKIGTINEEDILANQPLLLQSIQDRLGSLVGQDSGYVGGLPKNVKEKLLSLKTL QSELFEVEKEFQVEMFELENKFLQKYKPIWEQRSRIISGQEQPKPEQIAKGQEIVESL NETELLVDEEEDGQKDSEEDQVKGIPSFWLTALENLPIVCDTITDRDAEVLEYLQEIG LEYLTDGRPGFKLSFKFDSSANPFFTNDTLCKTYFYQKELGYSGDFIYDHAEGCEISW KDNTHNVTVDLEMRKQRNKTTKQVRTIEKITPIESFFNFFDPPKIQNEDQDEELEEDL EERLALDYSIGEQLKDKLIPRAVDWFTGAALEFEFEEEEEEVDEDDEDDDGDDHDVED DDGESAEEQDDFAGKPEQAPECKQS SPAR_K02530 MSFWKTLQRQPRTISLFTNDIASNIKSQKCLQLLKGDVSHRFDV EIANRFPTWDQLQYMRTSCPQGPVSLQRQIPKLDSVLKYKHTDPTFGMDLQKCVQKGL WNPKEALWVDWENKLVGNEPADIDEHIIQRK SPAR_K02540 MPTTRRTRSRASLALPFQLRLVHKKSWGHRLRDFISGFLKSCRP IAKYIFPNFIVVHYIYLITLSIIGSILLYPCKNSAFIDVLFLAAGASTQGGLATKSTN DFNLYQQIVVYVITLLSTPIIIHGFLAFVRLYWFERYFDNIRDFSKQNFKLRRTMTLQ QREISGSSGNAARSRSFKDNLFRGKFVSREGPHQPASDVPMGSPDTSASSSISPLNVS SSKDDSTDTQSSLPHFSGKREPSDVDPTDIYKSIMMLQKQQEKSKPNSTDSSLDEANE PAFIVQERHERRPPHYSLEHHSVLPSAEELHRLAQTKSFQKLLRLQRDEGDYDFDGAP GKFMVTKKKKISRTQSCNSPTYVPPPDQETSSQALEKDKNLARSAPSSSADEEMSFSP QESLNLQFQAHPPKPERREGDIDHPFNRTMSTNYLSWQPTFGRNSVFIGLTKQQKEEL GGVEYRALRLLCCILVVYYIGFNILAFVTIVPWACKRHHYAEIIRQNGVSPAWWGFFT AMSAFSNLGLSLTANSMVSFDTAPYPLIFMMLFIIIGNTGFPIMLRFIIWIMFKTSKD LSQFKESLGFLLDHPRRCFTLLFPSAPTWWLFTTLIVLNATDWILFIILDFNSAVVRQ VAKGYRALMGLFQSVCTRTAGFNVVDLSKLHPSIQVSYMLMMYVSVLPLAISIRRTNV YEEQSLGLYDNGKDDDGTTQKTSTKDTEQDGESEERDPVPANFKPKKQSPKSFVGAHL RRQLSFDLWYLFLGLFIICICEGKKIEDVNEPDFNVFSILFEIVSAYGTVGLSLGYPN TNTSLSAQFTVLSKLVVIAMLIRGRNRGLPYTLDRAIMLPSDKLEQIDRLQDMKAKGK LLAKVDEDPMTTYVKKRSHKLKKAATKFWRRH SPAR_K02550 MDNKLLYWWLYWPCVYLSIIATIISLYTITRHLLNYRKPYEQRL SIRILLLVPIFSVSCASGIIKPEIAQFYVDPIREFYEAFVIYTFFTFLTLLLGGERNI ITVLSLNHAPTRHPIPLVGRICKPIDLSDPFDFLFVKKGILQYVWFKPFYCFGTLICS AWELPKFEIFLNIFYNISVTWSLYSLALFWKCLYPELAPYKPWLKFLCVKLIIFASYW QSIIIQALVVTGKLGTGNQDRISGYVYKNGLLCIEMVPFAILHSVAFPWNKYTAISIP YGARMKFIYALRDFLGCGDLIWDFKQTLFVGPLYYNYRNFDPEAMDLLSTRQQSGATM ERLKHGLRFTDNGRNSYWVEYGSIPNKGRVPESIGESWEDDIAGQRTFPEDPNYPVVH DYTMGHRYSRTMNDLRRDIQSRSSMAC SPAR_K02560 MNTSELSIAEEIDYEALPSHAPLHSQLLAGAFAGIMEHSLMFPI DALKTRVQAAGLNKAASSGMISQISKISTMEGSMALWRGVQSVILGAGPAHAVYFGTY EFCKARLISPEDMQTHQPMKTALSGTIATIAADALMNPFDTVKQRLQLDTNLRVWNVT KQIYQNEGFAAFYYSYPTTLAMNIPFAAFNFMIYESASKFFNPQNSYNPLIHCLCGGI SGATCAALTTPLDCIKTVLQVRGSETVSIEIMKDANTFGRASRAILEVHGWKGFWRGL KPRIVANIPATAISWTAYECAKHFLMNN SPAR_K02570 MAITQTVTELSVAEDTIKVQVAASSGKHLLADPGNHPAEHFEGQ MSRLRFQTRQYLTRFTDNQSDFIYSLQEKHRTPFRDVYFKYTSVMGSHMFYVIVLPMP VWLGYRDLTRDMIYVLGYSIYLSGYLKDYWCLPRPKSPPVDRITLSEYTTKEYGAPSS HSANATAVSLLFFWRICLSDTLSWPTKLILLSLVTFYYFTLVFGRVYCGMHGMLDLFS GAAVGAICFFMRIWVVHVLRNFQIGECLWFPLLSVTWGLFILFNHVRPIDECPCFEDS VAFIGVVSGLDCSDWLTQRYGWNLACSTYGSSGPKVFLRPLVGVASVIVWKDVISKTA VYTLLIKLLRFHDDRGEKAHLHNETSEEEECSLYVGVPKVEIIGRFLIYAGIPTTVFL LCPVFFTWTNLS SPAR_K02580 MCKNEGRRTDELIEFVATTVTGIKNSPKKSEQALIDHLHCQYRE RFTSFLGLLDGREHSTLFVLLFEELDRTIVSIDIGEEVVYNDNLANKRYSTLLIIKSR NGIVDTEPIATQINVIYLPGPVNTGNLASIITHGVSSVFSQLVKSDTKAYSAETIDKT RRKLDDISKQFQQLHNSIETPDLLAMVPGIIKLAVSKGATSQDYAHYLSTNDLESMKF LNTLQSIANKWFLVLKQTLAIDRDIKEGSFLNEVEFWSNLQEVLKSLIQQTQSQEFQV CLSVLTNAKRFHNLTNLLNEGSLSDKFKLADKYDQFLSSIPIDEVHQPTSLEDLHGLF AILIPSLKKFRYSGYPVQRFVVLMDKISQEVMDAILNSLSNLFQLDYDSFLALYEKST RIIEEWDDIVQDINLLIREDIRKRAPQELLSQKLTFTSASIKAILGEISNVRKRFFSL AETIKDLSPSTCYEEMQRLYHPFEQIDDISVNLRLKLKQAESEFIKNMFDLETKLQNL LASVIDSDRCPTEKLSYLVKFKPLMEFCPRIKVKVLENQQILLLEIKKDIRQLETGLG VLPKILHVEVLNNIPPTSAKISYFVNVQSRIDNIVQYLETLFGSNWNDTLEGRSISTS ILQLRKDANPQDVFLQWLSNFPEKATANLLATPILKLIRNNEDGYELKVNFDFALAAG YTELRSLTYMAFQVPSHIVRIARTYMYLYPRAINLVELIQTFFSLSKSLSHTFYTNIF LKNNIRTVWLLLQRILVTPWESLRETSSEIPCSAHSLAVLEKSIDGMISDYKILESSE TRFAEEFSGLKCFDGTTDELHKVEERISNIQAILENLSVRGLANVSDHISKFNDLIIN ILLEKLCFNLKELHFPKHVLKLGFNEGRIMPYPSLAAIKGSLLKDIEALLERVVLIKF LHGSEHPVPTTLTFGSLVTKLNDDIQICIEQTQILHCKINSYVREWQKMEFLWQMTED TFLEIADNSAEKCFEILKGLLDSQLKFNLMLSRNNFSKNFVLQTQDAHRHIRSKMDSW ISYVSKHLLSIYERDARKLHEDMSSDREAFEDMDLNFTSLKNATVIIEAINVNKGHLA ERETQIKLLSSVMGVLTKLKVGFPSHLVYIEQLDTDFSSLRESLGYVEQELQKHRVVI AQNLEQGVENINNLSLSLKESWSVRKPISPTLTPSEALNILEFFNDSSVTLKRKIESV AAAAKMLLIPIVLSDQLTHVVEEVKQYELVWKSIKDLWEDVQRTFDMPWRSVNVLLLQ PDLAVFLQRADELPRAVKQFEIYKSLLSQVNMLSSANKTLVELKDAALKPRHWNMIFR DIGKRQIQKNLVNKMEFSLKDVMVLNLTLNETLLTKIIERAQKEFVIEKSLNRIKSFW KEAQYEVIEHSSGLKLVSEWDVLEQACKEDLEELVSMKASNYYKIFEQDCLDLESKLT KLSEIQINWVEVQFYWLDLYGILGENLDIQNYLPLETSKFKSLTGEYKMITTRAFQLD TAIEVIHIPNFGTTLKLTIDSLEMIKSSLSTFLERQRRQFPRFYFLGNDDLLKIIGSG KHHDHVSKFMKKMFGSIESIIFFENFITGVRSVEGEVLKLNKRINLKTSIQAQEWLNI LDTEIKLSVFTQFKDCLSQMKDGTDMEVIISKHIFQAVLLAAQVMWTELVEKCIKSNE FSKYWEEVNIIIRGLLDKLKKSSHNAKKKIEALLVEYLHFNNVIEQLKDCSSKEEAQL LWANVQKFYQKDDTSDDLNSVFISQSGYLLQYKFEYIGIPERLIYTPLLLIGFAALTD SLHQKYGGCFFGPAGTGKTETVKAFGQNLGRVVVVFNCDDSFDYQVLSRLLVGITQIG AWGCFDEFNRLDEKVLSAVSANIQQIQNGLQVGKSHITLLEEEAPLNSHTAVFITLNP GYNGRSELPENLKKSFREFSMKSPQSDTIAEMILQILGFEDSKTLASKIVHFLALLSS KCTSMNHYHFGLRTLKSVLRNCSPLITKFGKEERTVVESLKRVILPSLGDNDELVFKD ELSKVFGSVGAALNSEAIVQCLNDAGLRNGFSMSEEFLKKCLQFYYMQQTQQALILVG RAGCGKTATWKTVIDAMAIIDGHANIVYVIDTKVLTKESLYGSMLKATLEWRDGLFTS ILRRVNDDITGTFMNSRIWVVFDSDLDPEYVEAMNSVLDDNKILTLPNGERLPIPPNL RIVFETDNLDHTTPATITRCGLLWFSTDVCSIFSKIHCLLNKSYEALDSKLSMSVLEK MKDIISGSFNMTSLTNIFKCSNDLDHILGIRTFNKLETAVQLAVHLISSYKQWFQNLD DKSLKNVITILVKRSLLYALAGDSTGKSQTAFIQAINTYFGHESQELSDDSTVVIAND RLNFSSFCSEIPSVSLEAHDVMRPDIVIPTIDTIKHEKVFYDLLNSERAIILCGPPGS GKTMIMNNALRNSSLYDVVGINFSKDTTTEHILSALHRHTNYVTTSKGLTLLPKSDIK KLVLFCDEINLPKLDKYGSQNVVLFLRQLMEKQGFWKTPENKWVTIERIHIVGACNPP TDPGRIPMSERFTRHAAILYLGYPSGKSLFHIYETYYKAIFKLVPEFRSYAEPFASAS VHLYNKCKTRYGTGLQSHYLFSPRELTRFVRGVYSAINNGPRQSLRSLIRLWAYEAWR IFADRLVRVKEKNSFEQLLYETANKYFPNQDLGNISSTSLLFSGLLSLDFKEVNKIDL VNFIEERFKTFCDEELEVPMVVHESMVDHILRIDRALKQVQGHMMLIGASRTGKTILT RFVAWLNGLKAVQPKIHRHSRLNDFDIVLKNAILDCTLKESRTCLIIDESNILETAFL ERMNTLLANADIPDLFQGEEYDKLLNNLRNKTRSLGLLLDTEQELYDWFVGEIAKNLH VVFTICDPTNNKSSAMISSPALFNRCIINWMGDWDMKTMSQVANDMVDRVPMEFTDFE IPEVSEEMVFTKSIQTIRDAVVNILIHFDKSFYENMKIGVYPRSPGFFIDGLRVLQNL VMERYQDLQENQRFVNVGLEKLNESVLKVNELNKTLSKKNAELIEKEKEARSTLDNML MEQNESERKQEATEEIKKILKVQEEDIRKRKEVVMKSIQDIEPTVLEAQRGVKNIKKQ QLTEIRSMVNPPSGVKIVMEAVSAILGYQFSNWRDIQQFIRKDNFIHNIVHYDTTLHM KPQIRKYMEEEFLSDPNFTYEIVNRASKACGPLYQWVNAQINFSKVLHNVDPLREEMK RIEFESLKTKANLLAAEEMTQDLEASIEVSKQKYSLLIRDVEAIKTEMSNVQTSLDRS LSLVKSLTFEKERWLNSTKQFSKTSQELIGNCIISSMYETYFGHLNERERSDMLIILK NLLDKFGVKYDVNYRFIDYLVTLDEKMTWIECGLNKNDYFLENMSIVMNSKDAVPFLL DPSSHMVTVISKYYGNKTVLLSFLEEGFVKRLENAIRFGSVVIIQDGEFFDPIISRLI SKEFNHAGNRVTVEIGDHEVDVSGDFKLFIHSCDPSGDIPIFLRSRVRLVDFVTNKES IETRVFDITLTEENAEMQRQREDLIKLNTEYKLKLKNLEKRLLEELSNSQGNMLENDE LMVTLNNLKKEAINIERKLSESEEFFPQFDGLVEKYSVIGKHSVKIFSMLERFGQFHW FYNISIGQFLSCFKRVFSKKSRKTKAGMTRVDEILWILYQEVYSQFSTTLDKNCKMIM AMTMFCLYKFDIENEQYNEAVLTMIDMLSESSDDVQKLNVDANDDLKHLLEHVTTKSY ISALNWFKNEFFVEEWNIADVVTNSENNFFTIATERDVDGTFKLVELAKALNESLKII PLGSIENLNYAQEEISKSKIEGGWILLQNIQMSLSWVKTYLHKRVEETKVTKEQEKFK MFMACHLTGDRLPLPLLQRTDRFVYEDIPGILDTVKDLWGSQSSPGEFSGVWSVYCSF LLSWFHALITARTRLVPHGFSKKYYFNDCDFQFALVYLENVIATNPTDNIPWAQVQDH IATIVYGGKIDEEEDLEVLAKLCTHVFRGSDNLQIVPGVRIPQPLLQQSKEEERARLT KILCDTIEPADSLSSWLQLPRESILDYERIQAKDVASSTKQLLREI SPAR_K02590 MNTLLFKRKSGHCGKQSDIASQGSLSSTALPESPGTLDEKNLPR LPTPFARSLSTIPSYEQMKRTNKLPDYHLKIVVVGDGAVGKTCLLISYVQGTFPTDYI PTIFENYVTNIEGPNGQVIELALWDTAGQEEYSRLRPLSYTNADVLMVCYSVGSKTSL RNVEDLWFPEVKHFCPSTPIMLVGLKSDLYEADNLSDLVDPSSAESLAKRLGAFAHIQ CSARLKENIDEAFETAIHTLLFDSLYAPREPTHTVKNPFKKNNARSGIDSSTGDTSVS ISGTKRLRKNKCIIM SPAR_K02600 MTENTGTASPTMEHTVDNKRLLPPVTDSNNHRTKKPKLRKYKAK KVDATSPMGVLEFEVNDLLESQNLSREQVLNDVAAILNDKSKTDGPIVVQYHREVRNV KVLEITSNGNGLALIDNPVEPEKKQVVVIPFGLPGDVVNIKVFKTHPYYVESDLLDVV EKSPMRRDDLIKDKYFGKSSGSQLEFLTYDDQLELKRKTILNAYKFFAPRLVAEKVLP PFGTTVASPLQLGYRTKITPHFDMPRRKQKELSIRPPLGFGQKGRPQWRKDTLDIGGH GSILDIDECVLATEILNKGLTNERRKFEKEFKNYKKGATILLRENTTILDPSKPTLEQ LTEEASRDENGIISYVEVEDKKNNIKLAKTCVTNPRQIVTEYVDGYTFNFSAGEFFQN NNSILPIVTKYVRDNLQAPKDGDNEPKFLVDAYCGSGLFSICSSKGVDKVIGVEISAD SVSFAEKNAKANGVENCRFIVGKAEKLFESIDTPSEKTSVILDPPRKGCDELFLKQLA AYNPAKIIYISCNVHSQARDVEYFLKETENGSAYHVESIRGFDFFPQTHHVESVCIMK RI SPAR_K02610 MENDKGQLVELYVPRKCSATNRIIKADDHASVQINVAKVDEEGR AIPGEYVTYALSGYVRSRGESDDSLNRLAQNDGLLKNVWSYSR SPAR_K02620 MYKKLAIATLLYSADYLPGVFALGYQVNKLLEETGKKDSIETCL IVTTPLFNDTLSDLAKNILQSLYAKIVLVNPLEYQEESIQRNSENLALLERPELAFAL IKARLWELTQFEQVLYLDSDTLPLNEEFLSLFDIMSNQTRSQVGAIADIGWPDMFNSG VMMLIPDADTASVLQNYIIENTSIDGSDQGILNQFFNQNCCTDELLKENFSREWVQLS FTYNVTIPNLGYQSSPALNYFKPTIKLIHFIGKHKPWSSWSQKNFIKNEYHDQWNEVY EEFKEEHKLNVEVSKPDFSNFNVTETAQTATPVEAPKTNEPSPNQEVEAISAPVENVH NKNSEPVPNPVPLDFTKWLTTFINKDHLTNQPKSESSEYQKENNNNTNISNSNRDREN SSSCTQESNSSSNDVSVQVRSDEQQNAEGDGGATDNTSNSGQESPLDDIHEADTSNND ACAQPANKSYNTSKENDVSVDSSPINSEHKSSTNDIQEPNDSNNDLPWNVEQHAPIDN NIQYLEKEKEGYEEFLPDVYESDGIDNQEEFFDDDARDATEGGAKLSPVAHTQEDVKP TEKEASKSQQEMPNFRFDWENSDYLSKVERCFPDDIFEYAVE SPAR_K02630 MSEGITDIEESQIQTNYDKVVYKFDDMELDENLLRGVFGYGFEE PSAIQQRAIMPIIEGHDVLAQAQSGTGKTGTFSIAALQRIDTSVKAPQALMLAPTREL ALQIQKVVMALAFHMDIKVHACIGGTSFVEDAEGLRDAQIVVGTPGRVFDNIQRRRFR TDKIKMFILDEADEMLSSGFKEQIYQIFTLLPPTTQVVLLSATMPNDVLEVTTKFMRN PVRILVKKDELTLEGIKQFYVNVEEEDYKYECLTDLYDSISVTQAVIFCNTRRKVEEL TTKLRNDKFTVSAIYSDLPQQERDTIMKEFRSGSSRILISTDLLARGIDVQQVSLVIN YDLPANKENYIHRIGRGGRFGRKGVAINFVTNEDVGAMRELEKFYSTQIEELPSDIAT LLN SPAR_K02640 MVEPSNILKSGLAEKALNALILQCKENASLQNDKDIHIIINMGK KMGIKRDNIPRIIPLTKCKLFKPRDLNILLITKDPSSLYRETLTKDEHTSELFKEIIS VKNLKRRFRGSKLTQLYKDFDLVIADYRVHHLLPEVLGSRFFHGSKKLPYMIRMSKEV KLKRQQMTEKCDPIYVRAQLRSICKNTSYIPNDDNCLSVRVGYIQKHSIPEILQNIQD TVNFLTDRSKRPQGGVVKGGIISIFVKTSNSTSLPIYQFSEAKENTESENLSDIKL SPAR_K02650 MQICKVFLTQSKKLFFVGLLLCLIAQTCWLALVRHQRQLSLDSY FLERSREVSSRYDSTRKRHMNQMLKLSSNTYSDEPLNENKDRENRKENATLLMLVRNW ELSGALRSMRSLEDRFNKDYQYDWTFLNDVPFDEEFIEATTAMASGKTQYALIPAEDW NRPSWINETLFEEALQLMEEKNILYGGSKSYRNMCRFNSGFFFRQKILDQYDFYFRVE PDVEYFCDFPYDPFRVMRQNNKKYGFVITMYEYEDTIPSLWEAVEEYLEETESKDVDM ENNAFGFISNFDFIGKSFGVIDSNSGYNLCHFWTNFEIGDLNFFRSEKYIRFFEYLDS KGGFYYERWGDAPVHSIAVSLFLKKDEIIHFDELGYKHMPFGTCPSAYYLRLQQRCLC DSNHPDNIDLNVISCLRRWWKDGSGKYFLKHDA SPAR_K02660 MSKNRDPLLANLNAFKSKVKSAPVIAPAKVGQKKTNDTVITIDG NTRKRTASERAQENGLKSAKNPVLADIKKEAGSNSSNAITLDDDDDDEDFGSSPSKKV RPGSIAAAALQANQTDISKSHDSSKLLWATEYIQKKGKPVLVSELLDYLSMKKDDKVI ELLKKLDRIEFDPKKGTFKYLSTYDVHSPSELLKLLRSQVTFKGISCKDLKDGWPQCD ETINQLEEDSKILVLRTKKDKTPRYVWYNSGGNLKCIDEEFVKMWENVQLPQFAELPR KLQDLGLKPASVDPATIKRQTKRVEVKKKRQRKGKITNTHMTGILKDYSHRV SPAR_K02670 MIPPRIVPWRDYAELEELKVWFYPRSKGTMEDKRQRAVQRVQSY RLKGSQYLPHVVDSTAQITCAVLLDEKETCSRVHQDSVPIRLSYVMALIRFVNGLLDP TQQSQFAIPLHTLAAKVGLPSWFVDLRHWGTHERDLPGLEMLRWAANEALSWLYNHYW NDEELEDDKDDSDDNDTGYGYGRNDKLERYMESLTKTLDKWKRLRNEFLEHKWVWENA SDSLITSSNFSGDDLVNYDAGKKKGSHACSSETMIRENLGQWQELWKLSIYHNAFLEK FFSNYDPLLLKVLMLNLNNFDWKILEWVARNYRTQQEGSNTTTTLKKKFNVWKELQKR LLDVLINNLNNKNVKNKWQNWEKLIDENASYLILYFCQSMLAKLETEKVGGNSWRNKK RKRQVDSTMEIETKLKENIENLSLRFNEGEIKLYDLIPAEKDPLPMGKEASPTLKADT NDILGDLASLKRRMSSSGRVSKKNKEEEDEDTLIKNWSRAQNWESKPFGVL SPAR_K02680 MGYESQVRAKKRHRITVVCTNCKKRKSKCDRTKPCGTCVRLGDM DSCVYFTDSSGQPETSSTLNDIEPLRKQTSPLERISPGFTKKRRSSPPRQNEGYWQRA QELGRRSSGYYLPVYEETPFFIDLVPNGFYLETKRSADNLFGLFTDRAIENRDPYLQA MVTFRSIAIKKMMGKLGNNGNNVKNGSLPKSFEALSTFDADDELHNSNDILDKGNNFR MHQTIHKSLFNKFAQYRENNATKFSDNSEAISAKDYLPPLKILEREVLVLFEEKIYNM IPIFDMKILRHEITVFYENVVDKGKPISIKNYDHMVFCIILLIIKICRLSVQFSKLTP YIYPVLQEIDTSKFLALVKHYLFETKVLRKCNLLQLQCLILLRFLHWCAPEDGDGAET QYCQVLMGTIISSCKEMGINWYCFSHPEKYSFKINRCTRPSYDIMKPSDYISVFRKIW SYVLFWDRKMCFISGEECQIGKTLQCHFKEEVYSATSYMRMLPLDSLMKKINDTLNDD PGNVDLNLLRLLISDLKRNFHILKNLSRDQKETRGHFNFEMEWIIDLFSLSLLHGEMI FYEYDCNITEFYKSFQDLWDMIIHISQKCHDYFFNSDALEVDSLAKFYTNRIVEIVAN KVLVIVPAFILRADRIKTIQYADKKKMVEFLYGVSSVYFNEFGFEYYRCFRKMFTAKI TYKILNRSCEKDAWRIILKFLLNELALEDNGDSCMDYKDMRLKDICTMILEFQETVQK YDGYRPDILSIWNNEFYPIGKYNDDMTGFNFQMRIKEMQEFLDIEKYSDKFNIFSSFY DHASSQLAKHTEADTNVSIGNEQVAETPQKEPVHQPVAPALPVNDLIVSEFDVIKDIF DPVDFVSFF SPAR_K02690 MFTSAIRLSSQRLLARQPSVTAAALRSTTTALPLRSYSQPASLQ DSTNLTWSDFFKLRKQQRRINVGSSLFTALLGCNVSWAYLSTMEIDPTQMLFGFDPLT VISAGIIASGALGYLLGPIVGSQVFKLSHNQQLAQFNNKNKDFLKHIINNRVDASSQS FSNPVPDYYGEKIGSLKEYKQWLRDCHAYAKKAKEFL SPAR_K02700 MTTAVRLLPSLGRTVHKRSLYLLSAAAAAGAVATFAYSQSHKRS SSHGGGSNNGWNNWGKAAALASATPLVHVASVERGRSYEDFQKVYNAIALKLREDDEY DNYIGYGPVLVRLAWHTSGTWDKHDNTGGSYGGTYRFKKEFNDPSNAGLQNGFKFLEP IQKEFPWISSGDLFSLGGVTAVQEMQGPKIPWRCGRVDTPEDTTPDNGRLPDADKDAD YVRTFFQRLNMNDREVVALMGAHALGKTHLKNSGYEGPWGAANNVFTNEFYLNLLNED WKLEKNDANNEQWDSKSGYMMLPTDYSLIQDPKYLSIVKEYANDQDKFFKDFSKAFEK LLENGITFPKDTPSPFIFKTLEEQGL SPAR_K02710 MSTTAADHNATKSVPHVPQTSRRYRNSYNGFVYNIHTWLYDVSV FLFNILFTIFFREIKIRGAYNVPEVGVPTILVCAPHANQFIDPALVMSQTRLLKTSAG KSRSRMPCFVTAESSFKKRFISLFGHAMGGIPVPRIQDNLKPVDESLEIYAPDLKNHP EIIKGRSKNPHTTPVNFTKRFSVKSLLGLPNYLSNAQIKEIPDDETIILSSPFRTSKS KAVELLTNGTNFKYAEKIDNTETFQSVFDHLHTKGCVGIFPEGGSHDRPSLLPIKAGV AIMALGAVAADPNMRVAVVPCGLHYFHRNKFRSRAVLEYGEPIIVDGKYGEMYKDSPR ETVSKLLKKITNSLFSVTENAPDYDTLMVIQAARRLYQPVKARLPLPAIVEINRRLLF GYSKFKDDPRIIHLKQLVYDYNRKLDLVGLKDHQVMQLKTTKLEGLRCFVVLIIRLIK LSVFAALSLPGSILFTPIFIICRIYSEKKAKEGLKKSLVKIKGTDLLATWKLIVALIL APVLYVTYSILLIILARKQHYCRIWLPSTNPLIQFVYFYALLVFTTYSSLKTGEIGVD LFKSLRPLFVSIVYPGKKIEEIQTTRKNLSLELTAVCNDLGPLVFPDYDKLATEIFSK RDGYDVCSDTESSISRMSAQSRSRSSSIHSIGSQASNALSRVNSRGSLTDIPIFSDAR QGQWKSEGETSEDEDEFDEKISGTVETAQNSDSNKENSRDTDISSKIASLVRQKREHE KKE SPAR_K02720 MVSTTQSRSLKAMGEEIWKNKTEKINTELFTLTYGSIVAQLCQD NERDFNKVNDHLYSMGYNIGCRLIEDFLARTALPRCENLVKTSEVLSKCAFKIFLNIT PNITNWSHNKDTFSLVLDENPLADFVELPMDAMKSLWYSNILCGVLKGSLEMVQLDCD VWFVSDILRGDSQTEIKVKLNKILKDEIPIGED SPAR_K02730 MVRDSVTLHTSLPLITAGFATDQVHLLIGTGSTDSISVCKNRIH SILNAGGNPIVVNPSSPSHTKQLQSEFGKFDKFEMIERGFRLSDLTTLGRVLVCKVVD RVFVDLPITQSRLCDEIFWQCQKLRIPINTFHKPEFSTFNMIPTWVDPKGSGLQISVT TNGNGYILANRIKRDIISHLPSNISEVVINMGYLKDRIINEDHKALLQEKYYQTDMSL PGFGYGLDEDGWESHKFNKLIREFEMTSREQRLKRTRWLSQIMEYYPMNKLSDIKLED FDTSSSSSKKAKQETVSEGAVPPTDENIEKSTQQLQLSETEKEGSKKLGKISLVGSGP GSVSMLTIGALQEIKSADIILADKLVPQTILDLIPSKTETFIAKKFPGNAERAQQELL AKGLESLTNGLKVVRLKQGDPYIFGRGGEEFNFFKDHGYTPVVLPGISSSLACTVLAQ IPATQRDIADQVLICTGTGRKGALPMIPEFIESRTTVFLMALHRANVLITELLKHGWD GDVPAAIVERGSCPDQRVTRTLLKWVPEVVEEIGSRPPGVLVVGRAVNALAEKDLLNF DKSRKFVIDEGFREFEVDTDSLFK SPAR_K02740 MIGKRFFQTASKKIAFAFDIDGVLFRGKKPIAGASDALKLLNQN KIPYILLTNGGGFSEKARTEFISSKLDVDVSPLQIIQSHTPYKSLVHKYSRILAVGTP SVRDVAEGYGFEDVVHQTDIVRYNRDITPFSGLSDEQVMEYSRDIPDITTKRFDAVLV FNDPHDWAADIQIISDAINSENGMLNTLRNEKGGKPSIPIYFSNQDLLWANPYKLNRF GQGAFRLLVRRLYFELNGEALQDYTLGKPTKLTYDFAHHVLIDWEKRLTGTIDQSMKQ KLPLLGTKPSTSPFHSVFMVGDNPASDIIGAQNYGWNSCLVKTGVYKEGDDLKECKPT LIVNDVFDAVTKTLERYA SPAR_K02750 MSQYKTGLLLIHPAVTTTPELVEDTKAQAAFKKVKFVDQFLINK LNDGSINLGKAKYETIQYLTPEALTDIKFPKKLISVLADSLKPNGSLMGLSDIYKVDA LINGFEIVNEPFYYWIKKDFSKLNRTVSIPLKNKKMSSTKLQSGSTLPAFKKAGSSTS NLPSFKKAGDTKEPIVKRTDGFKPPRFEMAAEPKVHRVVDDLIEDSDDDDSSSDSSKA QYFDQVDIGNDSIEEEDLIDEDDSNKPMITMITCGKSKTKKKKACKDCTCGMKEQEER EINDIRSQQDKVVQFTEDELTEIDFTIDGKKVGGCGSCSLGDAFRCSGCPYLGLPAFK PGQPINLDSISDDL SPAR_K02760 MTAVASTNGKEEADHNQSIECPRFSRGQKDILLDHEDTKGKDSI INSPVSGRQSISPTLSNATTTTTKSIMNVTGTSGAVVSNTPEPGLKRVPAVTFSDLKQ QQKQDSLTQLKNDSEKAKSPNSGSASVPTSVSSNPAVIPNHINTSRTTQVSGSPLANE MKDYVPKKDSALKIVDPIKLDKIMASSTPISRDKDKVAAKAPTSVALRKEDVHDEVNN VSVQNNARSTPEETPVKQSVIPSIIPKRENSKNLDPRLPQDDGKLHVLFGATGSLSVF KIKPMIKKLEEIYGRDRINIQVILTQSATQFFEQRYTKKIIKSSEKLNKLSQYESTPA TPVTPTPGQCNMAQVVELPPHIQLWTDQDEWDAWKQRTDPVLHIELRRWADILVVAPL TANTLSKIALGLCDNLLTSVIRAWNPSYPILLAPSMVSSTFNSMMTKKQLQTIKEEMS WVTVFKPSEKVMDINGDIGLGGMMDWNEIVNKIVMKLGGYPKNNEEDEEDEEDEEEEE DEEEEDAEDKNEYNNDDDDDDDDDDDDDDDDDDDDDDEEEDEVETPGIIDKHQ SPAR_K02770 MNSAKRQKMTTTYHDYDLEEPLTSNARPLKNSVITVRIIKSFPY RNVKNIVLHDYDLADKTAKDLFNDILNKIQNDGSLRPFRSVKYDTLKIYTHAHGSKTV NLVINFDHDDDWTLDTENDKKKLFEYGIENETEISIFNRDDYLRFKENPEEKW SPAR_K02780 MTSLDDTIISYQNLMLLDNMTNYSKPAIDYFHHKFNDASLEMPA SWTLLLKMRKHKLLRLPSCSSEDALDYNMYLVRLHHCLWRRWSIDHYGLQNSKSNPLS INWNKETDVTVLYGPDLTNIDSVEDKMWAVQDQVDQKQPKDLKSALKKNTECWIEEEV DEVSTSIESNGALVKLEDISCASSVDSHTSSIFDQRSTCTKVSSIDEDAEGFEHEKKV EFPRKLKFNQAVMKREIDSKGLIRESLVNINDIQHSRHHRHHHRHHHHHHQQSPRADE RIKEAHSEFDSYTFSAIEEDIFYRNQVVF SPAR_K02790 MSKQWASGTNGAFKRQVSSFRETISKQHPIYKPAKGRYWLYVSL ACPWAHRTLITRALKGLTSVIGCSVVHWHLDEKGWRFLDMEKQLEDRESFLEHWHDAA GGIKTAKEDSSKSFAAIKNDSQRFMVDATNEPHYGYERISDLYYKSDPQYSARFTVPV LWDLETQTIVNNESSDIIRILNSNAFDEFIDDKFKKTDLVPAQLEAKIDEFNSWVYDS INNGVYKTGFAEKAEVYESEVSNVFEHLDKVEKILGDKYVKLKAKYGEDDRQKILSEF FTVGDQLTEADVRLYTTIIRFDPVYVQHFKCNFTSIRAGYPFIHLWVRNLYWNYDAFR HTTDFEHIKLHYTRSHTRINPLGITPLGPKPDIRPL SPAR_K02800 MVYTTPKQQQRFNSTPKSSHSLIFSPIRAPSMQTPSSLDYQSPS IVVSSSSMKVHGHSSSFGKFSLSIGQNGKAAILGPINILPTDTSKTEKHVSKKKPISS DRVEKTRILSLLKKMRNKSSTVNKKYSQVPLKSTTGLQAADTASSPLASNTMKPSPKK IASPRTPNANSNLNLNFTSFQIKTGFTPNIDGILLENFTSPNTTTDSHNNSTSNILSN NNGGANNANQFLFNLPLQSSPRQFRSPARLIDPLPISDWNTSLLMSPPRTTNFESTNN HFNSNFAQASMLRRPSLPHIDEVIPQDSNPTNYSDRSEYLSVDQNVNNNNGALSEQAY NNMMKSSMISLPIEKDDATMALRKLVSRQ SPAR_K02810 MEKDKASHASPSIGVNDFVAQGEISIDDSERSIKSISVSISDDE DSKTGVQDNIVTPPARSVFQTDLAIDNKLLDKDSKYRKLFTEKRRRRRSETCSSHANK TGETKQKNGISDQIFRIRILPGSDLNSLKDSLWIINISTQQEIEKTIARAFSDFYWLY HQLQNNHWGKSIPPPTRSNILVEKDEFAINHLFMIRNNEKYDPVFNFKPEYIISLQLM GMIKHIFNDKVLRLDSSFVDFIGWDDTLPENLQMIVDDSNFTGDKILMSSSQFRELKE FHKQSKKVESMTNSHASMIPITELTEIYISPTKLFSRKDYQRLFQPQSTENTFNSNDP LIQEWIPKNKTLFTSLSFGSSAPTYEETSAGIQACHDWVGVCKDQWKQLLYHVLQYIV DETVKVNSVINEFTECLKQISLEEVIRANSELFLKFSKLNESFLQRFKGKSRQDILKL IILFDENVRFCESFESILNQRLKLGKILSIIEMDLDKKKNYLGKLSLGNNNNNNEDPK TCAAGDEYRIVSKRYNRVKQSWDKIMEEILNERKKFEEREAAEISRCFKSMRDLSVDE KKNYLQLWQDFVSNEYRSQ SPAR_K02820 MFTFVPITHPTSDTKHSLLLLQSAHGEKYFFGKIGEGSQRSLTE NKIRISKLKDIFLTGELNWSDIGGLPGMILTIADQGKSNLVLHYGNDILNYIVSTWRY FVFRFGIDLNDHIMKDKEIFRDKVITVKSFNVLKNGGDDTTDVFNSFQKGVLRSIVTK MFPKHAPTDRYDPSSDPHLNVELPDLDAKVEVSTNYEISFNPVRGKFKLEEAVKLGVP KGPLFAKLTKGQTVTLDNGTVVTPEQVLEKERHFAKVLILDIPDDLYLDAFVEKFKDY DCAELGMVYYFFGDEVTINDNLFTFIDIFEKNNFGKVNHMISHNKISPNTISFFGSAL TTLKLKALQVNNYNLPRTDRVFSKDFYDRFDKPLSGGTSMSESQEEPLNTKIEKDNIH IFSQDKTVIFEPFHMNEEPMKCKINGEVSAFSWQKIFEEHVKPLEFPLADVDTVINDQ LHVNNFNNTAEKKRHVEIITLGTGSALPSKYRNVVSTLVKIPYTNANGNTINRNIMLD AGENTLGTMHRMFSQLAVKSIFQDLKMIYLSHLHADHHLGIISVLNEWYKYNKDNEMS YIYVVTPWQYNKFVNEWLILENKEILKKIKYISCEHFINDSFVRMQTQSVPLAEFNEI LKENSNQESKRKLDLDRDSSYRDVDLIRQMYDDLAIEYFQTCRAIHCDWAYSNSITFR MEENNERNTFKVSYSGDTRPNIEKFSLEIGYNSDLLIHEATLENQLLDDAVKKKHCTI NEAISVSNEMNARKLILTHFSQRYPKLPQLDNNIDVMAKEFCFAFDSMIVDYEKIGEQ QRIFPLLNKAFVEEKEQEDDVDDVESIQDFEVKLKKHKKN SPAR_K02830 MSKPGRTILASKVAETFNTEIVNNVQEYKRTHNGQGPLLVGFLA NNDPAAKMYASWTQKTSESMGFRYDLRVIEDKDFLEEAIIQANGDDSVNGIMVYFPVF GNAQDQYLQQVVCKEKDVEGLNHVYYQNLYHNVRYLDKENRLKSILPCTPLAIVKILE FLKIYNNLLPEGNRLYGKKCIVINRSEIVGRPLAALLANDGATVYSVDVNNIQKFTRG ESLKLNKHHVEDLGEYSEDLLKKCSLDADVVITGVPSENYKFPTEYIKEGAVCINFAC TKNFSDDVKEKASLYVPMTGKVTIAMLLRNMLRLVRNVELSKEK SPAR_K02840 MIRTVKPKNARAKRALVKREAKLVENVKQALFIPGQTCNKNLHD IMVDLSALKKPDMKRFNRKNDIHPFEDMSPLEFFSEKNDCSLMVLMTSSKKRKNNMTF VRTFGYKVYDMIELMVADNFKLLSDFKKLTFTVGLKPIFTFQGAAFDTHPVYKQIKSL FLDFFRGESTDLQDVAGLQHVISMTIQGDFQDGEPLPNVLFRVYKLKSYKSDQGGKRL PRIELVEIGPRLDFKIGRIHTPSPDMVTEAHKKAKQLEMKTKKNVELDIMGDKLGRIH MGKQDLGKLQTRKMKGLKPKFDQGTEEGDGEVDEDYGDEASYSDDGQEYEEEFVSATD IEPSAKRQKK SPAR_K02850 MSEKKVHLRLRKELSVPIAVVENESLAQLSYEEESQASLMNISM EQQQLRLHSHFDNSKVFTENSRYVVKTLQTDYNSGFSNGDQLNGYIDMQIGYGLVNDH KKVYIWNIHSTQKDTPYITVPFRSDDNDEIAIVPRCILTFPATMDESPLALNPNDQDE TGGLIIIKGSKAIYYEDINSINNLNFKLSEKFSHELELPINSSGGEKCDLILNCEPAG IVLSTNLGRIFFITIRNSMGKPQLKLGKLLNKTFKLGIWSKIFNTNSLVVSLRNGPIL GKGTRLVYITTDNGNFQTWQLSATNSHPTKLIDVNIFEAILESLQDLYPFAHGTLKIW DSHPLQDESSQLFLSSIYDDSSNETYYILSTIIFDSSSNSFTIFSTYRLNTFMDSIGD TNFKPRIFIPQMENANDTNEVTSILVMFPNAVVITQVNSKLDSSYSLRRKWEDIVSLR SDIEIIGSGYDSNSLYVLTKQMGVLQFFVRENEENDSKANVGFVKSHVDQAVYFSKIN SNPIDFNLPPEISLDQESVEHDLKLTSEEIFHSNGKYIPPMLNTLGQHLSVRKEFFQN FLTFVAKNFNYKISPELKLNLIEKFEILNCCIKFNNITRQSDVLNDIWEKILSNYNLT HNEHLTTEAVVINSPDIFPVIFKQFLNHVIFVLFPSQNQNFKLNVTDLINSCFYDGIL EEGEKTIRYELLELDPMEVDTSKLPWFINFDYLNCINQCFFDFTFACEEEGSLASYKE SLLKIVKILYYLFNQFKIWINTQHAESVNANENFININNLYDDNHLDWNHVLCKVNLK EHCLQIAEFYKDLPGLVQTLQTLNQNDSTTISLYEAFFNEFPEEFSFTLFEYLIKHKK LNDLMFRFPEQHDLLIQFFQQSAPKYGHVAWIQQILDGSYADAMNTLKNITVDDSKKG GSLSECELHLNVAKLSSLLVEKDDFDINSLRKIQYNLDTIDAEKNISSKLKKGEVQVC KRFKNGPISEVFNILVEKLKSTTVINLSDLVELYSMLDDEESLFIPLRLLSVDGDLLN FEIKKFLNALVWRRIVLLDNSKEGDKLLQHIVKRVFDEELLKNNDFPLPSVDLLCDKS LVTPEYISETYGRFPIDQNAIREDIYEEISQLETLNSDNSLEIKLHSIFGSVAEEKNY TINYETNTVEY SPAR_K02860 MDSIDEQITTKRKELQSLQKITSLTDGLKIQLTELNDQIKEMGT NAESVAQLMNNWDSIINNISQASLGLLQYAEGDYEIGPWKDSKKNESERSDEAGIETQ ESDKNNEGNDEDEDLVPLPETMVRIRVDGNE SPAR_K02870 MSYSDYSDGADEMPDFHDEGEFDDYLNDDEYELINEVFPTLKAQ LQDYQGWDNLSLKLALFDNNFELESTLTELKKALKKKKTPKNPAAAANGTAKVTQKLA DTSISEQRPNNGPPDWLNEEDSEDERHGEGANDEKTVQRYYKTTVPTKPKKPRDISAF IESSLPHLSFVVLGHVDAGKSTLMGRLLYDLNIVNQSQLRKLQRESETIGKSSFKFAW IMDQTNEERERGVTVSICTSHFSTQRANFTIVDAPGHRDFVPNAIMGISQADMAILCV DCSTNAFESGFDLDGQTKEHMLLASSLGIHNLIIAMNKMDNVDWSQERFEEIKLKLLP YLVDIGFCKDNISWVPISGFSGEGVYKIGYTDEVRQWYNGPNLMSTLENAALKISKES EEITKEDPFLFSVLEIIPLKKTSNELALVSGKLESGSIQPGESLTIYPSEQSCIVDRI QVGSQQGQSTNHEETDVAIKGDFVTLKLRKAYPEDIQNGDLAASVDYPSVHSAQCFVL ELTTFEMNRPLLPGTPFILFIGVKEQPARIKKLISLIDKDGNLSKKKVRHLGSKQQAL VEIELIEVKRWIPLLTARENDRLGRVVLRKDGRTIAAGKIFEISQ SPAR_K02880 MIGRSLCCRSLHTGGSAWKQFGFPKTQATSIYNKTKSASNYKGY LKHKDAPGMYYQPSESIATGSVNSETIPRSFMAASDPRRGFDMPVQGTKAKQCPNVLV GKSTVNGKTYHLGPHEIDEIKRLRHENPQKYTRKFLAAKYGISPLFVSIISKPSEQHV QDMGSRLQEIQSRWKDKRHVAREDRKRRKLLWYQA SPAR_K02890 MGNLGCEERVKDIFSELTSKELTPGLLLTLQRLARKPNTNLKQF IAGCKALTKLRSNNTITFNELRELLKNSPEEDSTGPKKIAPSINKRKKFKIQLDLDDN EDELDSPIQKKPAPTKTLFKRIDKLKAKQLRQYSPIIKDPSPNNEQQRTENGHKEARE QEPSRNELVEEDREWYDNDDDYGNHVPESLSEIPEDVKTPPVIKNIDNDDALRNTVQL YPIPLKQRMEWIPPFLSKFALENKVPTSIIIGSISETSSQTAALAMVNPFRNPDSEFS VNAKRGSKLVALRRINMEHIQQSRDNTTVLNTAMGEVLGLENNNNAKGESSRKISDDE AVHTPSKNEIKRTKEQLPVFRCRSQLLSLIRENQVVVIIGETGSGKTTQLAQYLYEEG YANSKGKSIVVTQPRRVAAMSVAKRVAMEMQVPLGKEVGYSIRFEDVTDSEYTKLKFV TDGILLRETLLDDTLDKYSCVIIDEAHERSLNTDILLGFFKILLARRRDLKLIITSAT MNAKKFSTFFGNAPQFTIPGRTFPVQTIYTSNPVQDYVEAAVSQAVKIHLANDCSSGD ILIFMTGQEDIETTFDTLQEKFLQVYSKKYGTSNLEEIKDIEILPIYSALPADLQFKI FQDLHGTKRKIIIATNIAETSLTIKGIRYVIDCGYSKLKVYNPKIGLDSLIITPISKA NADQRSGRAGRTGPGTAYRLYTEDTFKEDMYLQTIPEIQRTNLSNTLLLLKSLDVTDE LSRFPFIDKPPLQTFLSSLYELWFIGAIDTKGQLTPLGLQMAKFPLQPSLSKILLIAV QNGCSDEMLTIVSMLSVPQVFYRPKERQREADIARNKFFIAKSDHLTLLNVFVQWRAN NFSSHWCNKHFVQYKSLVRAKDIRDQLLTILKSQKIPVISSGKDWDIIKKCICSGFAH QAAKIKGLRNYVHLKTGVSVQLHPTSALHGLGDLPPYVVYHELLMTSKEYICCVTSVD PFWLMEYGGLLYEIKRVKNDEESGTTGLFGEHYEHAVDKVEDEIDINIKRYKNMRDNV VQKLKMADDSNKGENEQRFQKQNTLNSKENLIKPFKRRKPFF SPAR_K02900 MLRNITRFKGFAKGSIGGFLKPTLSRVQLTRCYNYGNGPSYRRF NNGDYSQKSSFKSLLLDKSSRKYLALLFGGCSLFYFTHLDKAPVSDRSRFIWVSRPLE LTIGNYTYKSIWRQTQQAILPPQHPLSIKIENIFMKIVEAAYKDPSVDNSLLDGIKWE IHVVNDPTASPNAFVLPGGKVFIFSSILPICANDDGIATVLAHEFAHQLARHTAENLS KAPIYSLLGLVLYTVTGAHVINNILLDGFLRMPASRQMETEADYVGLMIMSRACFQPQ ESIKVWERMANFEKQINRGDVVNMEFLSTHPASTRRIENMTKWLPKANEIYERSDCSS MGNYYKSFFSM SPAR_K02910 MSQSYEAGNANMGQEDDDEFNGFFEDFDNDVMPNSNNGQRVGTN AALSFNDEVDVNDDDFLDIYNMSPRERLMHNIRKNVQKIQFYFYSLRLWQQIIIVLFG ILVMIMGILLLVFHDAILHKVVVTSNDLREKMSTHFILMVLIFFVAFPPMIGYSLLST TTGLIYGVSFEGWITLALGSVTGSIASFIVFKTILHSRAEKLVHLNRRFEALASILQE NNSYWILALLRLCPFPYSLTNGAIAGVYGISVRNFSIANILTTPKLFIYLFIGSRVKS LAESESTGSRVFDLISILVTLLILSLTAWLLYFKTKKRYLELQNRDRQVSPDQLPELS FEV SPAR_K02920 MSNKISDLTSTQNKPLLVTQQLIEKYYEQILGSSQNIIPILNPK NKSSRLNKDNANAERVEEDIGKRLQTGRNKTTNTVNFNLDTGNEDKLDDDQETVTENE NNDIEMVEASEGEDEEQRTSLASKCKSFLYNVFVGNYERDILIDKVCSQKQHAMSFEE WCSAGARLDDLTGKTTWKQKVESPLYDYKLIKDLTSRMREERLNRNYAQLLYIIRTNW VRNLGNMGNVNLYRHSHVGTKYLIDEYMMESRLALESLMESDLDDSYLLGILQQTRRN IGRTALVLSGGGTFGLFHIGVLGTLFELDLLPRVISGSSAGAIVASILSVHHKEEIPV LLNHILDKEFNIFKDDKQKSESENLLIKISRFFKNGTWFDNKHLVNTMIEFLGDLTFR EAYNRTGKILNITVSPASLFEQPRLLNNLTAPNVLIWSAVCASCSLPGIFPSSPLYEK DPKTGERKPWTGSSSVKFVDGSVDNDLPISRLSEMFNVDHIIACQVNIHVFPFLKLSL SCVGGEIEDEFSARLKQNLSSIYNFMANEAIHILEIGSEMGIAKNALTKLRSVLSQQY SGDITILPDMCMLFRIKELLSNPTKEFLLREITNGAKATWPKVSIIQNHCGQEFALDK AISYIKGRMIVTSSLKTPLQFADSVIGLIKAPEQTSKEPKDPANSTLLTRTPTKGDNH ISNVLDDNLLESESTNSLLLLRENASTYGRSPSGFRPRYSITSASLNPRHQRRKSDTI STSRRPAKSFSFSVASPTSRILRQSSKINGHPPPILQKKTSIGRLMFPMDVKTYEPES RELIPHSASIETPAMVDKKLHFGRKSRYLRHMNKKWVSSSNILYTDPDKEDHPTLRLI SNFDSDAMIHSDLADNFRRHSIDGRPPSQATKSSPFRSRPSSSMHQKSTTNITH SPAR_K02930 MYNSIYGSPFPKINPKVRYKTALERAGFDTKPRNPFSSQRNAST GSLQPSVRSSPITRQRNVSTAPSVPVTTKSAYTASSKSAYSSVKGESGIYPPPVLEKS ERQSAISSKNSNFRSSRPADISLPISRPSERASQEDPFRFERDLDRREEQYASSRHAS RSPANMDSRVADNSPFNFEQEGAGSSEREQDLSPIERSFMILTQNDTASLVNSMNQTN NRSVLDQEFENEQLKEESSIEYQKAEKEEKENDVESLNFEPDPKLQVNFENESLQKDF PEAQEDEKNTVSNIPEISVTRESTTPSLLIDTIDSRLYPHDNFAGLESSNEEKLPDIS SLSTKVEELSLSGSNEKRLSTTSSENVETPYTATNLQVEQLIAQLDDVSLSRNAKLDM NGNSLNVVDRKASRFKKSSAYLSGYPSMDMPVTQQTSIMQNSDANLSRQTILVDKDDV DKDDADKDVPSASTTNGGTPIFYKFKQSNVQSSNDEGSGLQETFKTKLPTIEALQLQH KSNITDLREEIDNSKSNDSHMPANGGSTGYNSDADYKETEPVEFKYPPGEGPCRACGL EVTGKRMFSKKENELSGQWHRGCFKCIECDIKFNKHVPCYILGDEPYCQKHYHEKNHS ICKVCLNFIEGECLENDKVERFHVDCLNCFLCKTAITNDYYIFNGEIPLCGKHDMEAL LKEGIDNATPSNDKNNTLSKRRTRLINFN SPAR_K02940 MFLKTPNWETVNETPKSRVLTINELISPSLDTESRKLLATPVRR YCKTSVGEAQESPTSEPSPGGNEDPAYQYNAQFHFPGPITPTTPKSKDREMFPSPVLP LISPTTVTEEENNGSVHEFSRTLKSRLNCAMVKLSREHEGDFTTRKLECSGNEQVALI PPPPTEKIRKGSYSNKFASKHRRCHSLDESKKFLSSLEDSSAHAAFLKAISSKHAKNN KVENVNVSPLRWSSHRRTQSTQENSLQEALAIDTLLKMSSSE SPAR_K02950 MGSKKIKVDEVPKLSIKEKDIEEKSSSSSSSSSSSSSSSSESSS SSSSDSDSSSSSSSSSSSSSSSSSSSSDSDSSSESDSSSSGSSSSSSSSSSSSSSESS SESESENETKKRARDSDNEDSKETKKAKTELESSSSSDSSSSESSSSSSESDSDSSSS SSSSSSSESDSDSSSSSSSSDSSSDSDSSSSTSSSSSDSESDSDSDSDSDSSSSSDSS SSSSDSSSDESTSSSDSSDSDSDSDSDSSSETETKEASVGESKAEETPASSNEPTPST SSSSGANKLSIPAGTDEIKEGERKHFSRVERSKIEFEAWELTDNTYKGAAGTWGEMAN EKLGRVRGKDFTKNKNKMKRGSYRGGSITLESGSYKFQD SPAR_K02960 MLNHPSQGSGDIQDEKQGDFPVIEEEKTQAVTLKDSYASDDAAN STERYNLSPSPEDEDFEAPTEEEMQTLRHVGGKIPMRCWLIAIVELSERFSYYGLSAP FQNYMEYGPNDSPKGVLSLNSQGATGLSYFFQFWCYVTPVFGGYVADTFWGKYNTICC GTAIYIAGIFILFITSIPSVGNRDSAIGGFIAAIILIGIATGMIKANLSVLIADQLPK RKPSIKVLKSGERVIVDSNITLQNVFMFFYFMINVGSLSLMATTELEYHKGFWAAYLL PFCFFWIAVVTLIFGKKQYIQRPIGDKVIAKSFKVCWILTKNKFDFNAAKPSVHPEKD YPWNDKFVDEIKRALAACKVFVFYPIYWTQYGTMISSFITQASMMELHGIPNDFLQAF DSIALIIFIPIFEKFIYPFIRRYTPFKPITKIFFGFMFGSFAMTWAAVLQSFVYKAGP WYKEPLGHNTPNHVHVCWQIPAYVLISFSEIFASITGLEYAYSKAPASMKSFIMSIFL LTNAFGSAIGCALSPVTVDPKFTWLFTGLAVACFISGCLFWFCFRKYNDTEEEMNAMD YEDEDEFDLNPISAPKANDIEILEPMESLRSTAKY SPAR_K02970 MTDHGTSMKGIQNGATTDERLNAIASFFDCSLEQVKSIDGDVVK HLNDKLLQFNELKSENLQITVSFDELKTNSLKKIDGLKTEMEKVMRENDKIRKERNDT FAKFESIKNEKTKLSNELESVKRRVDDLTEEKKEIQSNQQRTLKILDERLKELEIAKI ENNRSDSEYKKLRSTIIDLETKQQDYISSDLNSRTELERKTQELTLLQSNNDWLEKEV SSKNEQYLSYRQKTDKIILEIRNELNHLRSDFQMEKTNNDVLKQKNNELSKSLQEKLL EIKSLSDSLNSEKQEFSAEISLKQRLVDLLESQLDAVKEELNSTREANTANVISNDAK NHISENEELLKELQQMKEKLAQCESERLRLSSIIDEADEDSGSLTSKSNSDFILLKKQ LIKERRAKEHLQNQIEAFIVELEHKVPIINSFKERTDMLEKELNNAALLLEHTSNEKN AKIKELNAKNEKLANCENDIQTLVKQRLDLCRQIQYLLVTNSVSNDSKGPLRKEEINF IQNILQKDDSTAAESDSQGIVTERLVEFKNIIQLQEKNTELLKVVRNLAEKLESKENK SKQSLQKIESETVNEAKEAILTLKSEKVELESRIEDLEKELEKSKTSVPNQDASYINS TIRQLTKTKRDLESQVQDLQTHVSQVTRESTENMSLLNKEIQDLYDSKSEISIELGKE KSSRILAEERFKLLSNTLDLAKAENDQLRKRFDYLQSTILKQDSKTHETLNDYVSCKS KLSIVETELLNLKEEQKLKVDLEKNLKQELNKLSSEKTSLRIMVTQLQTLQKEREDLL EETRKSCQNKVDELEVNLCEIKQEASHKDQRIKQLEEDNNSNIEWYQNKIEALKKDNE SIMTSVDGKQTEIEKLQYKVKSLEKEIEENKIRLHTYNVMDETINDDSLRKELEKSKI NLTDAYSQIQEYKDLYETTSQSLQQMHSKLDESSKDFTNQINNLADEKASLEDKISLL KEQMFNLNNELDLQKKGMEKEKAEFKKKILILQNNNKEIEAVESEYESKLSKIQNDLD QQTIYANAAQNNYEQELQKHADVSKTISELREQLHTYKGQVKTLNLACDQLENTLKEN EKSWSSQKESLLEQLDLSNSRIEDLSSQNKLLYDQIELYTAADKKVGDSKSEPGLNNI LITLRRERDILDTKVTVADRDARMLRQKISLMDVELQEARTKLDNSRVEKENHSSIIQ QHDDIMEKLNQLNLLRESNITLRNELENNNNKNKELQSELDKLKQDVAPVESELTALK YSMQEKEQELRLAKEEVHRWKKRSQDILEKHQQLSSSDYEKLENEIGNLKEQLENKTR QGAEAEEKFNRLRRQAQERLKTSKLSQDSLTEQVNNLKDAKIALENSLRDANARIEEI QGAKVAQDNNQLEVIRRLQEDAEKSSSELQAKLEENATSYDSTIKVLNEEITTLKEEI EKQMQIQQQLQTASANEQDDLSEIVESMKKSFEEDKIKFIEEKTQEVNEKILEAQERL NQPTSIDMEEMKKKWESEHEEDVSRRIREAEEALKKRIRLPTEEKINKIIERKKEELE KEFDEKVEERIKSMHQSGKMDMVLQEQLETRVQKKQKELEDEFNRKLQEELKEPPHSS HISLDERDKLRAEIETKLREELNNELQVIKKKSFEEGKQQAMMKTTLLERKLAKMESQ LSETKQSVESPPKLVNNIQNPLLGLPRKIEENSNSLFNPLLSGEKLLKLNSKSSSSGG FNPFTSPSPNKPLQNDNDQRELSTNKIDPPTHLAPSFNIPASRDLMSSSSTLSTDTNE EEFTGSERAQKDSLSGDIQSEAGIEQKKEEELVKTGNAIEEETKSNKRPIDEVGELKN DDDEDTTEFANDSKKIKTDDEEEREEEKGDGEKNHEEGNENMGSEQS SPAR_K02980 MTSKREKSLDHTLELKIPFETERQATIATKVLSPDPILKPQDFQ VDYSSEKNVMLVQFRSIDDRVLRVGVSSIIDSIKTIVEAMDVLS SPAR_K02990 MPETSVQNPLRLSENENTRSMFLSASQQQRPSAIPSFPRLVHNT TTSLNLSDFQVLNPSSKRQNSNSVYDDINSSKRRISRSKFLNIEEKNNDSTHSGRPII KQLGQNPSLRYVRSSKRAPKRENSIGVTQSSALISKPFTENGGNTTHEKWSPEHTIKP LNISKRLLAFVDAGSNGQSKNDIVDSFQHKSNNSEEINDKDNGAQAQDFNSNGNSNNN DNDNNNDNNNNDNNNNSHDNNNNNINNSDDSNEGEENDTCKPSNNKRSGIALIQKLQE LYKVIVKQEIELQERCSQLTNSQTTELKSLWTIYRINTDLVNNYVTFITTALLPSQPP HDLVIGQEIVEIYRIERRLWVYGTITFLDVLKNFSNFMDPEVCCQFITHVFVSLSTMI SDIPSKYSITWLQRLGDLSRMAIALYPSSFIDWKLSAEHWYTEAMKYIYNHGKLYYHM STVQQNTLEAFVNLGKSVFCQETFTPSPQYMQLVIDNIYQRAFVERNNGNLRNSLLIE YLKHSEAMLLPSFLESPDLQNVVLSYFIEKFGIDANGCNIFNAEDMFVQNPDFFKYFF RHGPSFAQSHILQIVGFGEPKNPFAILFDLPKYLKERKDKKERKKSSNNDSSVTESST GNSRNDNEDDDEIMSSTTSISDHDLLAEFFNDIDTLRRPILPSMLTNEAWLETLKFLN MTSLKCGMIVFRKFLHGPLGIALPHVLPWIYFIISICLKSNRLSDPVSKEFWMLIVKR VFPWDTIVTFMNVLIAYLLENQTSNPIIGDLCNEYDKLSLSELLESFNRSEELPEIWG CWGTLWFDTICQKNTHSISSEDNFQEVGIKDYMALDSPTDGIIFDEKDENGEKFWKRA CRTIFLFKELSRTFSIGVIIKNDPLINSSSFQSANILRNLVFKLEPLSNIRSNVPVLS ALESIIDISEARSETNTDLHAEPELSVIEGDNIFHYVGYKKLRADYTCFDKNGEFLSA SLYTSWYVPSSNNNIEASITYNSEKENEALFLECMKSDYPEIDFETTYFVFDATSWLR HSARIFKLAQNRLLRFAICLTTFQELRFLRKSKDENVMEAATRGIITIRQLYYEDKVL PLRFTGNVATHIEENLEFEEQITWRTHVDEFVIESVMKAQEKLENASQPRLSPHRFNY VVLISDDDTMKKKAEEKEIKTLSTRFVFSLCTKLGEQRHLCTD SPAR_K03000 MSPSKMNATVGSTSEVEQKIRQELALSDEVTTIRRNAPAAVLYE DGLKENKTVISSSGALIAYSGVKTGRSPKDKRIVEEPTSKDEIWWGPVNKPCSERTWS INRERAADYLRTRDHIYIVDAFAGWDPKYRIKVRVVCARAYHALFMTNMLIRPTEEEL AHFGEPDFTVWNAGQFPANLHTQDMSSKSTIEINFKAMEMIILGTEYAGEMKKGIFTV MFYLMPVHHNVLTLHSSANQGIQNGDVTLFFGLSGTGKTTLSADPHRLLIGDDEHCWS DHGVFNIEGGCYAKCINLSAEKEPEIFDAIKFGAVLENVIYDEKSHVVDYDDSSITEN TRCAYPIDYIPSAKIPCLADSHPKNIILLTCDASGVLPPVSKLTPEQVMYHFISGYTS KMAGTEQGVTEPEPTFSSCFGQPFLALHPIRYATMLATKMSQHKANAYLINTGWTGSS YVSGGKRCPLKYTRAILDSIHDGSLANEAYESLPIFNLQVPTKVNGVPAELLNPAKNW SQGESKYRGAVTNLANLFVENFKIYQDRATPDVLAAGPQFE SPAR_K03010 MLLNPDQILNLVRNVYEVDIKQFYSQLRLKNLRGLLDHAAHLFN VYLRDLEINQEMEALTAFIIGCYYLYLIIPQSLQFQTRNNLYSSYAKLKNDYQDEQVM SHVLKLVRDESTVIADRHLSDSNGICKTIKRKRAYSLPLRPLPVHMASLSIHNQLEGP IHEMPKELTKPINDTSMGDITSESDKIASGDKLEADFEESDYRNKELVSKPSYLKLST RKDALFKTLSSPATAPTMHSFEISSQIGESSQDSSSLFKVGKHKEDEEEEKEKKEDIK SSALKTYKLPVIEDSNDLLSELSITGLQNPCNTCYINSIIQCLFGTNLFRDLFLTKKY RLFLNTSKYPKEVQLSHSIYVLFKKMYLNGGRAIIPNRFLKMCKKLRPDLNIPDDQQD TQEFLLIILARIHEELSSENVIKYYPDLVSYDANALQVNPSKYRKWYERNVITDGLSP IDHIYRGQMENILKCQRCGNSSYSYSTFYVLSLAIPKISLYSFTSKSKKIKLEDCINL FTGDEELSGENAWDCPNCRITDSKPKTGENISQKKKPTLFSFHSRSRSKSPHHHHHHH HHHQSDDATKNSKKRNPKKLTTVKSLDFIVLPPILVIHLSRFYYDLTKKNSTIITYPL ILNIILKNGKVVRYKLYGTVNHSGNLINGHYTSVVNKERSHEIGLNRQVWVTFDDDYI QQHNKNRNDFEAGKTEMSSSEVYVLFYERMDEGNYEEECC SPAR_K03020 MSNTSTKDIRKSKPKRGTGFDLLEVTESLGYQTHRKNGRNSWSK DDDNMLRSLVNESAKELGYENGLEDVKTIQQSNHLSKCIAWDVLATRFKHTVRTSKDV RKRWTGSLDPNLKKGKWTQDEDEQLLKAYEEHGPHWLSISMDIPGRTEDQCAKRYIEV LGPGSKGRLREWTLGEDLNLISKVKAYGTKWRKISSEMEFRPSLTCRNRWRKIITMVV RGQASEVITKAIKENKNIDMTDGKLRQQPTADSDIRSDSTPNNEEQSQLSQRNNPSLI KQDILNVKENESSKLPKLKENDGASLNDNKPQPLPSLKEISAPPPIRMTQIGQPHTSS SRSKVSLPIDNLSPMNKQSPGGTSDSPQTSLPPAFNPASLDEHMMSGTGISESPKHAY SAMKTREPNSSSTQWKFTLKDGQGLSISNGTIDSTKLVKELVDQAKKYSLKISIHQHI HNHYVTSTDHPVSNNAGLSNTGNVNGNPLLMESFPHMGRQLGNGLPGLSSNSDTFNPE YRTSLDNMDSDFLSRTPNYNAFSLEPTSHNPADNENELGSQSNRETNSPSVFYPQANT LIPTNSTAANNEIIPGNVSANSMSPNFNGTNGRAPSSTASYTTSGSEMPPDVGPNRIA HFNYLPPTIRPHLGSSDATRGADLNKLLNPSPSSARSGSSNTKKKEKKKSESSGHHSS SSLAASKFNHIDQSEISRTTSRSDTPLRDEDGLDFWETLRSLATTNPNPPVEKSAENS GTTPQVVHQGIASHTEDSGLGSHSGGYDFFNELLDKKADTLHKEAKKANEHDMTSGGS TENGSVLPLNPS SPAR_K03030 MTASTSVAVGCAVGIPVGVGIIIAVCFWFNLQKRYKREEQDDRE LERAIYDEGGFVSFDNFGPVRDSKDEAAPTNAELKNPDHTSGSSEGSAHREEKDGKLR DQENPLGKKHSKYYVPAYRRKINLLQVRNNSYGNSAKQKSIVDLPSINNSSNVSLSSS QQHVTKRQISVYDQMVPVIADEGPTFFADPSSDTNTSNDQTKASIIELKHNVRQSSNE NLIRNLQNQDFGSYYPRRASSSFLNGNISNTSFHTRNSSITSVTKHDALEDVFATPKS AALSQLPCTFDKDNEESDDYHSVKDIRSAKADKDNKDLYKLQNNYDVGNIGEIAEEDQ YENEFTNYSQSKREFIESLRPK SPAR_K03040 MVINNIREMLEMNARLAVIDGWLVDVVKRKPINFWSPEIRLLLP NDDDYKKLSQQNLVDWTRLKKDSNSVLVGVRSIELFKHINLVLREFFLLEDGRIILKR IRGKLHYKVIKTFACRCCRLYLPKWGTVYIHPMLKDKEVSLAGVCEFSLDVDPDREYP LININVNHQYIIIEGFLLYLNEMKLCKWDDNNLRAQIGLAGWTYLRRFYNPVSLDILY SLNSNYYFVKDDQLFQLLGKRIFVKFCKVMENGKDGKAPVWYCARTTTAKATHIGYVL PNTATLDSLKNKKNAFRFIVRENPIVDDKTSNLTYPDIRKQLFTEVEVVKREISSYIS QIENQLTQHNDQEEKNNIRVSKVSGEILDQIPNFPVSKVALLLISAGEDRSYIELVEE LARRLEKMTIEKTTQSLEDVRDTFQANSEMQAKFDKEYYQSIEEYKFTLELIKEDLLI VLVKQLKNIRVPEEEWKKEEYVSPRFLVADGFLIDLAEENPINPKDPRLLTLLKDYQR DMISQTNLVEWNDLKKCQDPIPLQAKSLFKFCKQIKKKFLRDADFKLHVLPTEANLTD GRMTVLCSCVPIRFDDNTVRYLYDDSIIPEFETTPPYAPKQSHRTWRECLQKDPELVF QEALKRMRLLTAYEELRRNYIAAFEELSTANYND SPAR_K03050 MPVPSHYIFLTGLFLLTVANVALGTTEACLPAGEKKNGMNINFY QYSLKDSSTYSNPSYMAYGYADREKLGSVSGQTKLSIDYSIPCNGASDTCACSDDDAT TDNASQVVPVKRDVKLCSDNTTLSSATKKRESEDCDQGAAYWSSDLFGFYTTPTNVTV EMTGYFLPPKTGTYTFGFATVDDSAILSVGGNVAFECCKQEQPPITSTDFTINGIKPW NADAPTNIKGSTYMHAGYYYPIKIVYSNAVSWGTLPVSVVLPDGTEVNDDFEGYVYSF DDSVTEAHCSVPNPADHAKTCVSTATSSSSSEVCTECTKTESASSTAPYVTSSTDSAS STSSSSSSEVCTECTETESASSTAPYVTSSTEFASSTAPYVTSSTEFASSTAPYVTSS TESASSTAPYVTSSTESASSSAPYITSSTESASYTSSFSSSEVCTECTETESASSTAP YVTSSTESASSTAPYITSSTESASSTAPYVTSSTDSASSTAPYITSSTESASYTSSFS SSEVCTECTETESASSTAPYVTSSTESASSTAPYITSSTESASSTAPYVTSSTDSASS TAPYITSSTESASSSAPYITSSTESASYTAPYITSSTESASSSAPYITSSTESASYTS SFSSSEVCTECTETESASSTAPYFTSSTESASSSASYITSSTESASYTSSSSSSEVCT ECTETESTSYVTPYVTSSTSSAIPFTASTSNTTTSSVQTETTVSSSLSSTVREYANAL TSSSESSTFTSSNKATVTSYFTPSVHSITPMYPTNQTVTASSVASTLINSKSSESSNL VTIPLSTATSETQFSTAKAKVTSISSSPTNLITSPTTSFADSTVSSSATSVSLTSSVS LPRAHLTSSNLVSSSEHNIHTSSIVSWDDQPSSISSLINFSPSKSYESYSPSLTPSSI SGAESSSAQIGSASTSSSSVHEPPPTSTSVQMSSQFATLLSPIFTAAAHSTELKSQSE TTSSTTGVNSDENNAGVGPFSSVSSSKTEGAISGETSSGFSRDRTTVYKKTSVTSTTN QQTTLVTVTTCKSSSCSNTVSPAIVSTTTTTINGITTEYTTWCPISATESTKLSKLES NEKTTLVTVTSCQSGVCSETASPAIVSTATATVNDVVTVYSTWNPQSTNEQAVSSGIA TSANEASSDSEAANTKTTSSNNRTFSSTASSYIAAYTTTTSNASKNSDIVVPASETVG SKGFTTSGLISVSQQPRSASPSGVVASSTASLEMSSYLGIANHLLTNSGLSVFIASLL LAII SPAR_K03060 MNNTCPFWEHDDISECARREYIEFKFPLFILLTGLINTFCKVFR ALHLKRKNHINEAPEFEEQGNGNHKYARFSILRLKSAWESRSFYNVKNRSTFGKFKKI IEGLLIVSQLTIHLYILFNMPEDKKKFSHRGFLAQISLWFLLLVVITLRLISASQSFR WVLVYKRDLWAVSFFSYASLFALSILPLRSVLIGKINDTIQVKYIIVETSIDLALFLL LSTSSIEGTRYSFLVGNENKRLPPTPTVFGLLTFSRIDQLIWKAYKHYLGNTDIWDLD INNKSITILGNFEMSSKHKRLFSNIVSYFKAVFISQLFLAFVSSFLNFVPSLLLPRIL TYVNDPKSQSWNLMSLYVTFMLVSKIIATTCRGQGLFLGEKGTMQLRTILISNIYSKT LRRTILRDSTTSQQKKAAKSSEENHDSSEEEPRKKFSRKDNSVNNVMSVDAFRVSEAM NTFYLACEAIFMTITALMILYSLLGWSAFAGTFALLAMIPLNFWCATFYGKYQADQLI LTDNRTSGINEALNSIRVIKLLAWENLFYQKIMNARDGEIRLLKKKATIFFFNHLIWF FGPTLVSVVTFSVFIKFQNQMLTPSIAFTALSLFAILRTPMDQIASTISLLMQSFISL ERIQDYLNEPETRKYEILKQSDSKFGFEDANMEWEAAETSFKLKNISIEFKRDSLNAI IGPTGSGKSSLLLGLLGELNLLSGKIYVPTVDSRDDLEIGRDGMTNSMAYCSQTPWLI SGTIKDNVVFGEAFDQQKFDDVMKSCCLDRDIKVMTAGLKTDVGEGGFSLSGGQQQRI ALARAVYSSSRYLILDDCLSAVDPETALHIYEECLCGPIMKGRTCIITSHNISLVTKQ ADWLVILDDGEVKSQGKPSDIIKSNEFLRESIKNDSKSTTHCQIELKKLTTSRDTKNE DPEEENSQDEESAEVEDFEETKMEGSVKFSAYKWLADYFGGLGLVFVFTSSSILIHGI TLSQGFWLRYWLETGSPESKSTWLYKIVEKSHSNVYFLLTYIIIGFVSSFLTAGKVWI AIISGTNVTKKIFARLLSSILYAKLRFHNITPTGRIMNRFSKDMDIIDQQLIPNFEGL SYSVVVCLWIILLIGYVTPQFLLFAIPLCALYYIVGTLYLRASRELKRIDNINISPIH QLFAEAIKGVTTIRALADERRFITQSLVTIDRSNVPFFYLTMATEWITYRVDIIGALI LFSSSLIVIMKASYMDAGLAGILLSNAFSFTETAQWIIKVFSSVELLMSSVERIKEYT DVPSESNGYMTPPANWPQTGEIELKNLSLRYSPHSSKALDNVSFKVKAGTKVGIVGRT GAGKSSIIQAIYRLSDWENGTVFIDNKDIKDIPLKCLRNSVSCIPQDPTLFDGTIRSN LDPCNRYSDVQVYDALSKVGLIGNNDESSLIPEQQQDNSFNHKLRNRFIDLNSVVKSG GSNLSQGQRQLLCLARSMLGARNIMLIDEATASIDYISDAKIQKTIRETMENTTILTI AHRLRSVIDYDKILVMDMGRVKEYDHPYTLISDRNTIFCRLCKQSGEFEILFDLAKAS FNNKRQDT SPAR_K03070 MKETKYSSQPEIEEACDSDASLNAKSSNDPPMRLSLYLCLASLT LVLFITALDILIVGTIIDVVAAQFGNYSKTGWLVTGYSLPNAILSLIWGRFASIIGFQ HSLILAILIFEAGSLIAALASSMNMLIVGRVVAGVGGSGLQTLCFVIGCTMVGERSRP LVISILSCAFAVAAIVGPIIGGAFTTHVTWRWCFYINLPIGGLAIIMFLLTYKGENKS ILQQTKDIMGKISSFEFGKFRKQVNSKRVMNGIIFKFDFFGFSFCSAGLVLFLLGLTF GGNKYSWNSGQVITYLVLGTLLFMFSLVYDFFIFNKFNPEPDNISYRPLLLKRLIAKP AVIIVNMVTFLLCTGYNGQMIYSVQFFQLIFASSAWKAGLHLIPIVITNVIAAIASGV ITKKLGLVKPLLMFGGFLGVIGAGLMTLMKNNSTRSTQIGVLLLPGFSLGFALQASLM SAQLQINKDRPEASMDFIEITAFNTFMKSLGTTLGGVLSTTVFSASLHNKVSQARLEP YVGKTVDDMILYRLQNYDGSHSTIGNILSDSIENVFWMDLGFYALGFLFCIFSSNKKL IIPKKNPTPEDNIEDK SPAR_K03080 MSSGVISSSNDKKCETRQFYEVTEREKHTNDDTYSITSTFFKLK ENEIISAQFDSLKYKILLIITSFLCGIGLSLDYTLRSTYTGYATNSYSEHSLLSTVQV VNAVVSVGSQVVFSRLSDYFGRLKLFSIATIFHIMGTIIQSQATSLTMYAIGSVFYNC GYVGVNLLLILILSDFSSLKWRMFYQYTSYWPYIIIPWISGSIITAANPEKNWSWNIA MWAFIYPLSALPIMFLILYMTYKSSKTPELRSLKEQARKEKISGLFRNLMFLIWKLDV VGIVLITVSLGCVLVPLTLANEVSQKWQNPKIIGTLVVGGCLFVIFVFWEAKFARAPL LPFKLLSNRGIWAPLGVTFFNFFTFFISCDYLYPVLLVSMKESSTSAARIVNMPDFVA ATASPFYSLLVAKTRKLKLSVIGGCAAWMVCMGLFYQYRGGTGSHGGVIAASIIMGLS GLLCSNSVIVILQAMTTHNRMAVVTGIQYTFSKVGAAVGASVSGAVWTQTMPNQLYKH LGNDTLAEAAYTSPYTFIKKYPWGSPERNAVGESYKYVQRIMMTVGLICTVPFFVFTL FMRDPELIDKATHEEFTEDGLVVLPDQDNIFSQIKALFKRSRSNKEVDG SPAR_L00030 MKRISIKKLILEHPQKVLILDGGQGTELENRGININSPVWSAAP FTSDSFWQQSSHERKVVEEMYKDFMDAGANVLMTITYQANFKSISENTSIKTLDAYKH FLDKIVSFTREFIGEDRYLIGSIGPWAAHVSCEYTGDYGPHPENIDYYSFFKPQLNNF NENKDIDLIGFETVPNFHELKAILSWGEDLISKPFYIGLSVDDSGLLRDGTPLKEISA HIKGLGSEINKNLLLMGVNCVSFNQSALILETLHVNLPGMPLLIYPNSGEIYDPKEKT WHRPTDKLDSWDIIVKKYVDNGARIIGGCCRTSPKDIAEIASAVDKY SPAR_L00040 MDEFESTKLSKVQFATSVISTPSTDGNNLIHRFKNSFKRNDSSA IQDGLLYSELSEEEKIQWDLANQPYKKVLDQRHLTMIAIGGTLGTGLFIGLGESLASG PASLLIGFLLVGASMLCVVQCGAELSCQYPVSGSYALHASRFIDPSVGFSIGINYLLM WLISYPSELVGCSLTISYWAPSVNPAAWVAIAFVLSMLLNLFGARGFAESEFYMSIFK IVALFIFIIIGIVLIAGGGPDSTGYIGTKYWHNPGSFAVPVFKNLCNTFVSAAYSFSG TEMVVLTSTEARSVSSVSRAAKGTFWRIVIFYIVTVIIIGCLVPYNDPRLINGSSSED ITASPFVIALSNTGAMGTRVSHFMNAVILIAVFSVCNSCVYASSRLIQGLATAGQLPK ICAYMDRSGRPLVGMAICGAFGLLGFLVVSKNQGTVFTWLFALCSISFFTTWFCICFC QVRFRMAMKAQGRSKDDIIYRSTLGIYGGIFGCVLNVLLVIGEIYVSAAPVGSPSSAA NFFEYCMSIPIMIAVYIGHRIYRRDWRHWYIKRGDIDLDSGHSLEDFEATKLERDEDK KYVASKPLYYKIYRFFC SPAR_L00050 MNVEVFLIRSIHEKRNQEMIIYDTPTGPYPARVRIALAEKNMQS SVEFVRINLRKGEHKKPNFLAKNYSGTVPVLELDDGTLIAECTAITEYIDALDGTPTL TGKTPLEKGVIHMMNKRAELELLDPVSVYFHHATPGLGPDVELYQNTEWGLRQRDKAL RGMHYFDAVLRTRPFVAGESFSMADITVIGGLIFAAFVKLQVPAECEALQAWYKRMQQ RPSVKKQLEMRPPAA SPAR_L00060 MTDIEFGQPLPSNLDYAVSFGIPTWDSAIGYAEKVPEVISKMAT GYPRYFPQPSVQRLCAYFVKRFGRDSEGCRPFPSVNLGLKCLEYVKSVTGPESKAHLE VETVTIKNHAVKKSGEPAELVLTIAAVLASGEEFETVKEYWKLRGECVSSRLAWSVNQ LLDCANHGSDQTWQELEARLISAKKGEEEAKSLIKKRIVENRSSPFGLEKKNPNWKGL SLNSDEDVHLVSSGMSAISTARNLLTFLEEKKSSGYFLNKNSINEKKETLLCDTVGIF GFPFKDTQVIMTKFGKCKFFGFGDSGDVTELQKFLGTRKQRILAVFIETPSNPLLNMP DLKKLRRLADQYGFFIVIDDTIGGLNVDILPYADIVCTSLTKLFNGASNVMGGSVVLN PKSSLYPCAREYFKSAEFEDLLWCEDAIVLERNSRDFEDRTLRANLNTERLLKELLLP EQGKICKKIYYPTVTSEETFENYESVRNEHGGYGCLFSVAFYNEGDAKAFYDSLKVFK GPSNGTNFTLACPYVHLAHHSELEEVSKFGADPNIIRVSVGLEDAQWLLKVFSSALDV VRSRGSQHP SPAR_L00070 MSPPAAETATPFLSSDLPIKIVTNGLKNLNYTSKQGYGNFDTHF YDGQDEVSPSGLLKIRKSYREKSKYPDYLPTWDPTEKYGPLEFHEYHDPALRADTNFS NLFAKEKIGQLKVKKVTPKLGLEINGIQLTDLSDAAKDELALLVAQKGVVIFRNQNFA DEGPDYVTEYGKHFGKLHIHQTSGHPQNNPELHITFRRPDAEEFARVFDDSTSSGGWH TDVSYELQPPSYTFFNVVEGPDGGGDTLFADTIEAFNRLSKPLQDFLSTLHVIHSSKE QAENSQRQGGIQRRAPVTHIHPLVRVHPVLKKKCLYVNRAFSRKIVELKRQESESLLN FLYNLVESSHDLQLRAKWEPRTVVIWDNRRVQHSAVIDWEEPIHRHAFRITPQAERPV EDLKFLNDENYYPSSLTLDV SPAR_L00080 MKVFVTGASGFIGSTVLSELVSSGHEVVGLARSDEAAARINSID SAAKVLRGDLKDLDILKKGAIESDAVIHLGFVHDFKNFEQCCEIDRQATVAMLESLKG TNKPFLYTNGTLALRPSKVAYEQDDIDEDSKSLRGITEQVASSYKNKGVSVRIVRLPP SVHGKGDRGFVPILMNVAQATGKSGYVGQGRNVWPAVHRLDAAHLYRLVLEEGKTGQA YHCISEQGIPVKDIAQVIGETLDLPVVSIPADDAGIHFGFLSRFVTKDGPVSSEATKK ELRWQPQQIGLLEDIRTNYQLN SPAR_L00090 MSKVDVKIGADSISSSDEILVPSRLADVTLAFMEENDAAVPEIT PEQEKKLKRKLFLTIFTFVSAINLLLYMDKATLSYDSILGFFEDTGLTQNTYNTVNTL FYVGFAIGQFPGQYLAQKLPLGKFLGGLLATWTILIFLSCTAYNFSGVVALRFFLGLT ESVVIPILITTMGMFFDASERAAAQPFFFAACMGSPIPTGFIAYGVLHITNPSISLWK IFTIIIGGLTFIMTIVVILWFPNNPADVKFFSVEERVWIIRRVQASTGSSIEQKVFKK SQFREAMKDYITWLFGLFFLLQQLANNLPYQQNLLFEGMGGVDALGSTLVSVAGAGFA VVCAFIATLMLARWKNISALTAIFWTLPALVGSIAAAALPWHNKIGILANICMAGQIF GIPFIIALSWASSSASGYTKKLTRSSVSLFAMGIANIISPQIWREKDSPRFLPAWIVQ IVLSFTLAPAILLLIHFILKRRNNERLKNYDENLQNYMDRIQLIESENPSSVEEGKAI THENNLAVYDLTDLENETFIYPL SPAR_L00100 MNPASRKKVKPSFVCLRCKQRKTKCDKLWPTCSKCKASSSICSY EVEPGRTNKSPTIDNVPYRDLRNLTPASISTSGSSTSMLNSSAKGWEMKNFAMNLWNA HDKLVVMNNTTIVDSPFAFHSILQHDLFAKALTTCIHGRILIDVERHRENVSSNSKKR ELNLPIGEIGPLFFIDKAALKIIENTSKTSKLTPPIDFLYNTYDYEQAHPEESNEKVS VNILLEELSKYLHNKDEVDGLIVDFYKTIYPTYPLLEISLFEDNMKELLQLNEFNGYN IVFTGKDSRRKLETITILTIILAFSHRRLSLSINNPFKESFCVKSNNLALLAHKLLAL MNVFQYVNEHTFSCLLYFFILRYLNPDQVDMYPTHSSLLNLKFLENVAIKLGLNEEPF QYTRYVSEWDDYPRLFNLRRKLWLGVQFLKFEISTPEGDSDILSLEYLRSFIKTDKSL PELFEKNYASTNNLDLSLMATAENIYHLHLSLQVLLTSCYPINGTSYLKEVLNNIDET KDFLNQKFPLILSSFEETTVKSLHINIPSFLANEESFDFSTFEENETFVANIIGYTCT MNVYHSVSLHFEKQSFRNASEFKRYYHRFTFAAIQDYLTLLKLVSEYFNGSLVHLREP FGLATQKVVRFSIHRLFIFQAALLVRLFFKKDTCSRPSVAMGMENDRNGKINRVIEKI IKLMSYHMKLLIEIVTSKLEKSYLGSFISVSIFRYIIYLVDTGALSTFISDYWKSGAI MDERYARIHRIVGLKWGLGRDKSFSFTSKLSNPQILGSLDLEILEELEKLISAQEFSR NFTEQVVDSSQSEADLTNYDNEALNQLMAIDLDKLLEIFPDLTDLEEEYQFERHKL SPAR_L00110 MSTESNDLEKNISHLDPTGVDNAYIPPEQPETKHSRFNIDRDTL RNHFIAAVGEFCGTFMFLWCAYVICNVANHDVALKTEPEGSHPGQLIMIALGFGFSVM FSIWCFAGVSGGALNPAVSLSLCLARAISPTRCVVMWFSQIIAGMAAGGAASAMTPGK VLFTNALGLGCSRSRGLFLEMFGTAVLCLTVLMTAVEKRETNFMAALPIGISLFMAHM ALTGYTGTGVNPARSLGAAVAAKYFPHYHWIYWIGPLLGAFLAWSVWQLLQILDYTTY VNAEKAAGQKKEE SPAR_L00120 MHKPLLLLTWLISLASAFNIKLPHTEKKDRLGSNAVLACASYIN TLKWSFDNSLVPGFYSTICSYSPAFDTWSLCIFNSLTDQVFPTDNSSFEESLGNVRNT CSFVDKKFSNISLEQYYLSLNNASSHALKNYSSVESLSTSVRVDRETRSKWVRAFHAH AYNLDISSVYGAYLSYYFVIVGLIAVFFHMSHYNGLNRALFGCRIVNYIRGRFVLPTF LVDKHANHFNFLNLEVFTGLMPSSLEAWIIIGYSLANIIFLSVSYIIDPYNLIFNSHL SQFTRLLADRSGILAFTQFPLIIIFTARNSFLEFLTGLKFNSFISFHKWIGRIMVLNA TIHSLSYSLFAIVNHAFILSNKQLYWKFGIAGITVLFVLLVLSLGVVRKRHYEFFLYT HIILAILFFYCCWKHVKIFNGWKEWIVLSLFIWGLEKLFRIWNILRFRFPKATLINLN TSNNPHDEMFKVIIPKHNRRWHSKPGQYCFIYFLHPLIFWQCHPFTIIDEGDKCVLVI KPKNGLTRFIYNKILQSLNGKLQLRVAIEGPYGPSNHKLGDFDHLLLLSGGTGLPGPL DHAIKLSRKPDKPTSIDLIITIKNLSFLNGYKSEVLELKNSRSNVNVQIYLTQQAAVA KGANARDQLINYNDIMAELTNFAHIGNARPNFSNAIDNTMKNMPPRDSLAIVCCGPPV LVDDVRNSVSQKLLDYPERIVEYFEEYQCW SPAR_L00130 MSRSGSRKDAKFLCSVAVADESLTAFNDLKLGKKYKFILFGLND AKTEIVVKETSNDPSYDAFLEKLPENDCLYAIYDFEYEINGNEGKRSKIVFFTWSPDT APVRSKMVYASSKDALRRALNGVSTDVQGTDFSEVSYDSVLERVSRGAGSH SPAR_L00140 MSSLELVEALEERYDRLEVLIGTGYSKNSDVSAQLDELYRQLHH LYFQGLKYSQDLLQLLNAFLMEDTENIGAPDDVLIFASCFDDIYTLYSKFDELNNQYM EFCQIRKSSLYQIPSKDGKIVTKHLKELPKLVNNCNMMILRSIATLNRFIDWNIEINE FFQFQKKRLLNLQKVIYST SPAR_L00150 MQQVLNSTRPDHQFWFYDDVTWYGRTKYLNYYTPLVLSMFTALF VTYNIWKHYYYYNVLHLKERNPIDELLYSSTDEDEQSPLINNNTTTTNYVDINCKKDA LKNRHFSLEKLKSVKLNGEPHGEPEIIRRGFIEKSKIILEFFLVLSQVIIHSFILLHY VNKNPEFTQRGTITGLVEWCLLFVIVSLRLANVNQNFKLINKYPGNLWSVSFINYLIL FISMVLPFRSIFIHHINTPILRKYYISQISINLALFLLLFFARIRNNFAIIYKTDSWI TPSPEPVTSIAGFICWAWLDSFVWKAHKVSIKVKDIWGLMMQDYSFFVVKKFRYFVDH KVKKKRIFSLNLFFFFSNYLVLQCFWAFLGSVLSFIPTVLLKRILEYVEDQSSAPSNL AWFYVTTMFVGRILVAICQAQALFFGRRVCIRMKSIIISEIYTKALRRKISTNKTKPT NEDPQEINDQKSINGDEESTSSANLGAIINLMAIDAFKVSEICGYLHSFLEAFVMTVV ALALLYHLLGFAAIVGVLIIVAMLPLNYKLAKYIGDLQKKNLAVTDNRIQKLNEAFQA IRIIKYFSWEENFEKDINTIRENELSLLLMRSIVWSISSFLWFVTPTIVTASSFAYYI YVQGEVLTTPVAFTALSLFTLLRDPLDRLSDMLSFVVQSKVSLDRVQDFLNENDTKKY DQLTVDPNGKRFAFENSTISWDKDNQDFKLKDLNIEFKTGKLNVVIGPTGSGKTSLLM ALLGEMYLLSGKVVVPALEPRQEVVVDANGTTNSIAYCSQAAWLLNDTVKNNILFNSP FNEARYKAVVEACGLKRDFEILKAGDLTEIGEKGITLSGGQKQRVSLARALYSNARHV LLDDCLSAVDSHTASWIYDNCITGPLMEDRTCILVSHNIALTLRNAELVVLLEDGRVK DQGDPIDMLQKGLFGEDELVKSSILSRANSSANLAAKSSTSLSNLPAVKEQQISMNNN SSQFEAKKLQKPLRTEAERAEDGKLIEEETKEEGVVGMDVYKWYLKIFGGWKIVSFLA SLFLIAQLLYIGQSWWVRAWASHNIIAKIVPRAQRAIAFVSKEASHLIDWRGSFQISM ASTENQPTSEHSTMYYLILYLIIGLAQSLLGAGKTILNFVAGINASRKIFNMILNKVL HSKIRFFDATPTGRIMNRFSKDIEAIDQELTPYIQGAFYSLIECLSTVILITFITPQF LSVAIVVSILYYFVGYFYMAGSRELKRFESISRSPIYQHFSETLVGVTTIRAFGDEGR FMQENLHKIDENNKPFFYLWVANRWLAFRIDMIGSLVIFGAGLFILFNINHLDSGMAG ISLTYAISFTEGALWLVRLYSEVEMNMNSVERVKEYMEIEQEPYNQHKEVPPPQWPQD GKIEVNDLSLRYAPNLPRVIKNVSFSVDAQSKIGIVGRTGAGKSTIITALFRFLEPET GHIKIDNIDISGVDLQRLRRSITIIPQDPTLFSGTIKTNLDPYDEFSDKKIFEALKRV NLISEEQLQHGVTREAGNDTSSTNSENVNKFLDLSSEISEGGSNLSQGQRQLMCLARS LLRSPKIILLDEATASIDYGSDAKIQETIRKEFQGSTILTIAHRLRSVIDYDKILVMD AGEVKEYDHPYSLLLNKQSAFYSMCEHSGELEILIEMAKKAFVEKLNSKKD SPAR_L00160 MLIEEIEFYNINGKKTTTVVPENKKLHRRILDEKRTLYVGNLPK KCHKQDLRDLFEPSFGKITINMLKKKQLKKPPKSFAFIEFQDGVNLKKVKEKMNNKIF MDEKIVIENILTKEEKSFEKNQKSKKRSTTDLKPLSTDTLYVKNIPMKSTNEDLAKIF RVAPKNINFVRRELVDLRTNKVFFSDEFHTGEAFIRFDNLGAGDSIQKKCQEFKGQKA RNGRVLLVKIASAKKNEQNQEVEDNANIKQN SPAR_L00170 MAPGKKVAPAPFGAKSTKSNKAKNPLTHSTPKNFGIGQAVQPKR NLSRYVKWPEYVRLQRQKKILSIRLKVPPTIAQFQYTLDRNTAAETFKLFNKYRPETA AEKKERLTKEAAAIAEGKSKQDASPKPYAVKYGLNHVVSLVENKKAKLVLIANDVDPI ELVVFLPALCKKMGVPYAIVKSKARLGTLVNQKTSAVAALTEVRAEDEAALAKLVSTI DANFADKYDEVKKHWGGGILGNKAQAKMDKKAKTSESA SPAR_L00180 MSNPQKALNDFLSSESVHTHDSSRKQSNNRQSSDEGRSSSQPSH HHSIGNNNNSNNYNNSNSNNNNNSNSNGNDGGNDDDYDYEMQDYRPSPQSARPTPTYV PQYSVESGAAFPIQEVIPSAYINTQDMNHKDNGPPSASSNRAFRPRGQTTVSANVLNV EDFYKNADDAHTIPESHLSRRRSRSRATSNAGHSANTGATNGRTTGAQTNMESNEPPR NVPIMVKPKTLYQNPQTPTVLPSTYHPINKWSSVKNTYLKEFLAEFMGTMVMIIFGSA VVCQVNVAGKIQQDNFNVALDNLNVTGSSEETIDAMKSLTSLVSSVAGGTFDDVALGW AAAVVMGYFCAGGSAISGAHLNPSITLANLVYRGFPLKKVPYYFAGQLIGAFTGALIL FIWYKRVLQEAYSDWWMNESVAGMFCVFPKPYLSSGRQFFSEFLCGAMLQAGTFALTD PYTCLSSDVFPLMMFILIFIINASMAYQTGTAMNLARDLGPRLALYAVGFDHKMLWVH HHHFFWVPMVGPFIGALMGGLVYDVCIYQGHESPVNWSLPVYKEMIMRAWFRRPGWKK RNRARRTSDLSDFSYNNDDDEEFGERMALQKTKTKSSISDNENEAGEKKVQFKSVQRG KRTFGGIPTILEEEDSIETASLGATTTDSIGLSDTSSEDSHYGNAKKVT SPAR_L00190 MIPYQEWHTQLQLLYNSQIFQNWALCQEIHLNDEKDALSLRLKP TRQLQKNTERIENKSLDHIQLYLTYSKVYSEPFLLLRIWEDKSTNGISMTKLMLPSNI ESLLGVEDKFQLGLDTIIDLESSVWYSFHPCDTSSIIGDQAEFMSTYLRRWVSVFVFS WLAYEDS SPAR_L00200 MLNVLLRKNAFGLVTKKGMATATTAAAKHTPRLKTFKVYRWNPD EPSAKPHLQSYQVDLNDCGPMVLDALLKIKDEQDSTLTFRRSCREGICGSCAMNIGGR NTLACICKIDQNESKQLKIYPLPHMFIVKDLVPDLTNFYQQYKSIQPYLQRSSFPKDG TEVLQSIEDRKKLDGLYECILCACCSTSCPSYWWNQEQYLGPAVLMQAYRWLIDSRDQ ATKTRKAMLNNSMSLYRCHTIMNCTRTCPKGLNPGLAIAEIKKSLAFA SPAR_L00210 MLESLAANLLNRLLGSYVENFDPNQLNVGIWSGDVKLKNLKLRK DCLDSLNLPIDVKSGILGDLVLTVPWSSLKNKPVKIIIEDCYLLCSPRSEDHENDEEM VKRAFRLKMRKVSEWELTNQARILSSQSENKTSSSSSEKNNTGFMQSLTTKIIDNLQV TIKNIHLRYEDMDGIFTTGPSSVGLTLNELSAVSTDSNWAPSFIDITQNITHKLLTLN SLCLYWNTDSPPLISDDDQDRSLENFVRSFKDMIASKDSTVPKHQYILKPVSGLGKLS INKLGSTEEQPHIDLQMFYEEFGIELDDTEYNDILHVLSSIQLRQITKKFKKTRPSFA VSENPTEWFKYIGACVINEIHEKNKMWTWESMKEKCEQRRLYTKLWVEKLKLKNLEAP LRDPIQEAQLSQLHKDLTYDEIILFRSVAKRQYAQYKLGMTEDSPTPTASSNIEAQIS NKSASKNNGGWLSSWWNGKPADEVDEDLIMTEEQRQELYDAIEFDENEEKGPILQVPR ERIELRVTSLLKKGSLTIRKKKQNLNLGSIIFENCKVDFAQRPDSFLSSFQLNKFSLE DGSPNALYKHIISVRSSSKDQSSIESHASVDEGEEEEPLLRASFELNPLDGLADSNLN IKLLGMTVFYHVHFITEVHKFFKASNQHMETIGNIVNAAEATVEGWTTQTRMGIESLL EDHKTVNVSLDLQAPLIILPLDPHDWDTPCAIIDAGHMSILSDLVPKEKIKEIKQLSP EEYDKIDGNEINRLMFDRFQILSQDTQIFVGPDIQSTIGKINTASSTNDFRILDKMKL ELTVDLSILPKAYKLPTIRVFGHLPRLSLSINDIQYKTIMNLIANSIPSMIDDEENNG DYVNYTSGSEQEMKKQVQLQLKNTLKVLANMQPLQIEQKFLELHFDIDQAKIAFFQCI KNDSKNSEKLIDILCQRLNFNFDKRAKEMNLDLRVHSLDIEDFIEQTDNKEFKNLISS GVEKVTRSQKDLFTLKYKRVQRIVSHDDTLIELFDQDIVMHMSELQLVLTPRSVLTLM NYAMLTFTDPNAPEMPADALRHNKEDREDAPQKINMKIKMEAVNVILNDDSIKIATLV LSAGEFTMVLLPERYCINLKLGGLELTDETNESFSRDSVFRKIIQMKGQELVELSYES FDPATNTKDYDSFLRYSTGSMHVNFIESAVNRMVNFFAKFQKSKVSFDRARLAAYNQA PSIDAVNNMKMDIVIKAPIIQFPKLVGAQENDYDTMRFYLGEFFIENKFSVIDESHKI NHIKLGVREGQLSSNLNFDGSSQQLYLVENIGLLFNIDRDPLPEDDTPELKVISNFES FALDLTENQLTYLLEISSKVSSAFNITDENPGESGGKDTIKSPSPDPASFSSESERTA TATPQSLQESNKSNIKNPDQKYLDFSFKAPKIALTLYNKTKGVTSLNDCGLTRVMFQD IGCNLGLKNDGTIDGQAHVAAFRIEDIRNIKDNKHTELIPKSKNKEYQFVANISRKNL EVGCLLNISMTMDSPKMILAMDYLVSLKEFFDAVMSNSPENSLYYPENTNQKPENKAI VESEENSGGTKIQYSVNIIETALILLADPCDMNSEAISFKIGQFLVTDQNIMTVAANN VGIFLFKMNSSEEKLRLLDDFSSSLTIDKRNSTPQTLLTNIQLSVQPLLMRISLRDIR LAMLIFKRVTTLLNKMTEKEHNEEEEEGTDKIQFSHEFERKLAVLDPSILGERSKASQ SSDTESVEVPSAILKNETFNADLGGLRFILIGDVHEMPILDMNVNEITASAKDWSTDF EALASLETYVNIFNYSRSSWEPLLEMIPVTFHLSKGHSEMDPAFSFDILTQRIAEITL SARSIAMLSHIPASLTEELPLASRVSQKPYLLVNDTELDFDVWIQDKTTEDNKNEVVL LKANTSLPWEFEDWRSIREKLDIDKSKNILGVCVSGQDYKTVMNIDATTEGENLHVLS PPKNNVHNRIVCEAKCDENNVKIITFRSTLVLENTTSTEIELLVESKDPNKPSLNYVI KPHESKSVPVEYAYNSDIRIRPASDDTYDWSQQALSWKSLLGNQMSIFCPSKEEPDQR FHFEIGAKYDEREPLAKIFPHMRIVISASMIIENLLPADINFSIFDKREEKRTDLLKT GKSMEVHHISLDSFLLMSVQPLQDEASASKPSIVNTPHKSPLNPEDSLSLTLSGGQNL SLKLDYKNIDGTRSKVIRIYSPYIIMNATDRELYIQSSLLNIAQSKILLENEKRYTIP KMFSFDKEDDKSNRARIRFKESEWSSKLSFDAIGQSFDASVSIKNKEQESNLGINISE GKGKYLLSKVIEISPRYIISNTLGIPIEVCETGSMDVQQIEPNITKPLYRMRNIVDKQ LVVKFLGGDSGWSQPFFIKNVGVTYLKVLKNASHKLLKVEILLDKATIFIRIKDGGDR WPFSIRNFSDHDFIFYQRDPRRVSDPYKDDQSNESLSRNFKPIFYRIPSKSIMPYAWD FPTAKEKYLVLESGTRTREVRLAEIGELPPLRLDKRSKDKPAPIVGLHVVADDDMQAL VIVNYKANVGLYKLKTASATTTSSVSVNSSVIDGFVQKDEDEKVNTQIVVSFKGVGIS LINGHLQELLYINMRGIEIRYNESKAYQTFSWKMKWMQIDNQLFSGNYSNILYPTEIP YTEKEIENHPVISGSISKVNDSLQAVPYFKHVTLLIQEFSIQLDEDMLYAMMDFIKFP GSPWIMDSREYTYDEEIQLPDVSELKTAGDIYFEIFHIQPTVLHLSFIRSDEISPGLA EETEESFSSSLYYVHMFAMTLGNINDAPVKVNSLFMDNVRVPLPILMDHIERHYTTQF VYQIHKILGSADCFGNPVGLFNTISSGVWDLFYEPYQGYMMNDRPQEIGIHLAKGGLS FAKKTVFGLSDSMSKFTGSMAKGLSVTQDLEFQKVRRLQQRINKNNRNALANSAQSFA STLGSGLSGIALDPYKAMQKEGATGFLKGLGKGIVGLPTKTAIGFLDLTSNLSQGVKS TTTVLDMQKGCRVRLPRYVDHDQIIKPYDLREAQGQYWLKTVNGGVFMNDEYLSHVIL PGKELAVIVSMQHIAEVQMATQELMWSTGYPSIQGITLERSGLQIKLKSQSEYFIPIS DPQERRSLYRNIAVAVREYNKYCEAIL SPAR_L00220 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKI QDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGN SPAR_L00230 MPLLDTFKSFIQSPTESKVKQATNEDETSGATGTLMNEISILTY SPKTVREIIQVIRKRLLLGQNRRNSHRNCIQVMKTLTLVSYLMNNGSNEFIKWLKSNM ILIEILEDFQVQDPRDERKAEDIQKLSRNVLGLLQDDGLLEKQRKDVIQFRSSISTPG RKSTDNSHLKLEEMRNELTRQSLEKKARSPTTSTSLDFQRQRTRNTHEYARFSLDPLA EEDSEDNPCTSGGISKLSFRPKSSNNPFR SPAR_L00240 MFCAISGKVPRKPVLSPRSRCIFEKSLLEQYVKDTGNDPITNQP LSIEEIVEIVPSAQQASLTESTNSATLKANYSIPNLLTSLQNEWDAIMLENFKLRSTL DSLTKKLSTVMYERDAAKLVAAQLLMEKNENSRNLPKSPQQTVVITIDDFLQGLLQSS RDFVARGKPKPFKWPILKNLELSPAQNYSCTVKTFPYKELNNSMYYDKWLSTCLCDGD TLHFTQLKDSRSITTVTTPNPHTGGEHPVIVSRGPCNRLLLLYPGNQIIILDSKTNTV LREVEVNSANKIVYMYGHNEVNTEYFIWADNKGTIGFQSYTDDSQYIVHSAKLNVEYS SGALHKDSLLLALYSSDGILDVYNLSSPDQAGSRFPVEKNAKIKKVEFADNGYWMVVK CDQAVACFDLRKDVGTLAYPTYTIPDFETSTVAYDIDDSGKYMLAYSSKSHSLTVYKF DKKTKSWIRDEADSLDLPSETANFTDIEVTCGDGGVAAILKTNDGFNIVALTP SPAR_L00250 MVTDSKQDLPQYTKDSDSDSDSSSTFNVGSPSIPSSKSATVVLN SEEYEDDEVDDLNGLDAELINNILYTGDEDETMFVRLKEKQKLHLSGVFRLQVVKGGI IYNNVHYNASREILTFWHPLSQSIPTIDFSHFAGWQDTIFMPRNNRFKIGDDELKSFP CVLRVFNSNHTGLLEAGHLYRDVNYLWKPKEPYFPLNAGATYHLLHESDRIQSLSVPG YWSTPLEKLYLSHKNAAYDTRVMVIGGKNSGKSTFLRLLLEKFTQDIRDSTTSQEELV YLDLDPGQPEYSLPDSVSLNKILSSPITLGQHLCQGSNFQTLLQFYTGSSSPQDEPTS YLNCADKLIDYLEEQAFFGTSLLNLPGWIKGFGMQILNHIIRKYKPTHMLFLETANSK RHLDELTIPQSFSTSLRDTYVPEVVRVPAHSLNHALSTRFHASQLRTFKILALFHKMT QFDYDFSPLLKSAPLQISYGEGKDGIQGIQFPMEFQNLNPQDIRSALEGTVIAIHTYS GERLLEVKNMNTFPILQSFTPSPKNFITLGLIHSIDTSKQIMNIYVPPCHTQLLDKQP DDVQWIIVRNKTETPFCDLLPSPRTITWDASIQIPFATFERRKKLEHVWKVRKNVLRR GQFMKR SPAR_L00260 MVKVKSKKNSLTSSLDNKIVDLIYRLLEEKTLDRKRSLRQEPQG EDSESNEGKEDEDVFESMFFAKDLTAGEIFTFCLTKDLSLQRVKKVVLQKTIERMLKD VIESELEEFGSYPGYNKEEEDKASLEEEIAKKNMMIDQDTNEMNKRITSMWSKSGSAS EPIVDTDDPKTEEVKKSKKRSKEGTCKVKRQKVKEDRSPPNSSLKSLGGMDDVVAQLM ELIGLPILHPEIFLSTGVEPPRGVLLHGPPGCGKTSIANALAGELQVPFISISAPSVV SGMSGESEKKIRDLFDEAKSLAPCLVFFDEIDAITPKRDGGAQREMERRIVAQLLTSM DELTMEKTNGKPVIIIGATNRPDSLDAALRRAGRFDREICLNVPNEVSRLHILKKMSD NLKIDGTIDFAKLAKLTPGFVGADLKALVTAAGTCAIKRIFQTYADIRSTPSATAYAS EDKMEIDEAANGDESSLKNTANMIDPLPLSVVQQFIRNYPEPLSGEQLSLLSIKYEDF LKALPTIQPTAKREGFATVPDVTWANVGALQRVRLELNMAIVQPIKRPELYEKVGISA PGGVLLWGPPGCGKTLLAKAVANESRANFISIKGPELLNKYVGESERSIRQVFTRARA SVPCVIFFDELDALVPRRDTSLSESSSRVVNTLLTELDGLNDRRGIFVIGATNRPDMI DPAMLRPGRLDKTLFIELPNTEEKLDIIKTLTKSHGTPLGSDVDFEEIIRNEKCNNFS GADLAALVRESSVLALKRKFFQSEEIQSVLDNDLDKEFEDLSVGVSGEEIIVSMSDFR NALRKIKPSVSDKDRLKYDRLNKKMGLTEEMKDAEEIKQ SPAR_L00270 MGKPSVTITTAKAIITSEYTLIKSHSKYKLPATFEKLDADLPER RSTVVKLFYRRFMRLKPFVSNVKMVKETYGDYLRYKFIKENYELKRYLVFNPDALRSK TKLELLSNTKCSDKVLPVTEMQRTLEFVLKGCSYLPETKVQKWDIARDNTYCRQILKN LLTTQYEKYRSILQRGIGHDELDVKFSHLKTTSSPLTKLNKSDKKKIPLFKVFSDFDT TLIYLNETLGTRL SPAR_L00280 MDNLRIYSTVITTAFLQVPHLYTTNRLWKPIEAPFLIQFLQKRG SSEEIINTKVICRMDPSWVNLNASFIRDDMISIKATANDMELDTIWGISLPLPMHAND ITVELERMKRILLDLSEKFDLELTITKEPAYFTAEPTSEDNELCIYLHALGFRSNLME CEPQLLAFVDLIKKNGMTLPPQHYIVEPMELNSYSVLPLYMGVDMENFKNISRAFKTN IYAPSLLTLSRNFKANPQIFFSSAVHSLSLLAKKTLYESIDLDSKSFFYRRLTNITPG KLLFIQKYYQQKVNQLILKYQSLIRVTDEYIEFQSISTNLLEMVIKNFTIQVLHEIVE VQISLNENCEMSPELIIESLFGDTENQIVLITPKKDSFSQLIVVGNQFSTDEASDTSI LHYLSDFITGSNQVINPNLRQIKAIFEIHPDFEDFISGKKNGKLTRIMELSPCLIQLE MEEEDDNLYLNLVSDSFSDFKESFKNVINEFPAEESFFIPEVCHRPIIGTGGSLIQAT MRKHNVFIQFSNSFNLPQNKISMIRYDNVIIRCPRKNKANICLAKKDLKQIVQEYDNL QSKTLIKFSNGQYRHILHVNGQKNIIGQIEKNENVYIMIPLKEPLGGMSQLSIQGNDE NTPRAASELVNGAFGYEYEFKIDQEIDPHKEYEFYNLIVVPFLQILNIVVTFEKDLIT FTFEKDTNENTLSKAIELLSDYLGTQKTKIIFKKLIKKFVLGSATNKANTNNNNANGR FKSIYNTKSRTTIDNNNSSGVSSQRHKMPVGTVGGAQAIKGYVPNTYYNGYGYGYGYG YEYDYNYANSNQIQTNHRHKYQNGRK SPAR_L00290 MDEKTIKKSILSSSNDEKIIYKSRIKKFQKNHKFYIILLIFIAI LQFISIAFFTRGFLLSRHVLDNISSQNETSNLPPRFNKAVVLVIDALRFDFAIPVNES NSNHNLNYHNNILSLYDSFANHEDASSLLLKFIADPPTTTLQRLKGLTTGSLPTFIDA GSNFDGTVIEEDNLLKQLHLANKTVKFAGDDTWMALFHPFLSNDSFPLESLNVWDLDT VDNGVMDYFHDHLQQDKEWDVMIGHMLGIDHVGHKYGPDHFTMREKQIQVDQFIDWIL KSIDDDTLLVILGDHGMDHTGNHGGDSIDELESTLFLYSKKPDMWRLKDASNYNINNL GHDYRSVRQIDLVSSLSLLLGQPVPFNNLGWPIDEIARNDREWSQFVNSAISQLRLYK DTMQIHHGNDEILEPLAENISNTPPTSNPGKFVELGHEYQKVFLQTCEELWAKFDYYS IATGIILLTASLILLISITKLIPSIVVNQMVPEFVPGIIIMVLVTNLCFHGIFYVYQQ PSFVDQFWGTLLATAIGIIIGCYITIFDRYNFIWIFMRLGETLADYWSRIAVMFMVIH ALLFTSNSFTIWEDRIVAFLLSTFGMLTLYEFVFLPKRQSTTALLTATISEKEGTTSG VNSSTANSNYLPLTRFARLLGGYHSAVLIIFTRLASMITICREEQGEYCIPTFNNQNN SAWWVLGLCFLMIFILPACITGYYNLTSSYQAAAPIWINVFLKGILGLNFVYWSLTSL ENNSAVIAIPFLRDVTIFKFTLARIIAGFSLIASNVGWLMGPLCIKLNIHNTDVKSHE ATILGYTNIYGSEFFLLVINVLMSILLFNKPLAQLSYFLMCNQLLSILEIIDLLKLKE NIIGPIALGLLSYQHFFTTGHQATIPSVQWDIGFLLSEKITFPFTHIAIILNTFGPHI LVSLSVALLTLWSQPPDVLKPQTLLGRIVSNCGILLTYNTILCLSSFIWVTHFRRHLM VWKIFCPRFIFASLSLIVTQVVVTFGTIAFASGRLIKHINDIFWK SPAR_L00300 MTSKPSTSDGRAHSISHVSGMRMRTTSTPHSPRPFRPCADCTCS PGLLSRQGRRASLFLRQLENSRRSSSMLINELKGAGGGSSAGNGSVYSCDSLCAVNRE VNTTDRLLKLRQEMKKHDLCCYIVPSCDEHQSEYVSLRDQRRAFISGFSGSAGVACIT RDLLNFNDDHPDGKSILSTDGRYFNQARQELDYNWTLLRQNEDPITWQEWCVREALEM AKGLGNKEGMVLKIGIDPKLITFNDYVSFSKMIDTKYDAKGKVKLVPVEENLVDSIWP DFETLPERPCNDLLLLKYEFHGEEFKDKKEKLLSKLNNNSSSATTGTNTFIIVALDEI CWLLNLRGSDIDYNPVFFSYLAINKDETILFSNNPFNDDISEYFKVNGIEVRPYEQIW QHLTKVTAQASSAGHKFSIPDGASWQMVRCLNTPGDANGVVYKKMIAQNYVMIHSPID VLKSIKNDIEIKNAHKAQVKDAVCLVQYFAWLEQQLVGREALIDEYRAAEKLTEIRKT QRNFMGNSFETISSTGSNAAIIHYSPPVENSSMIDPTKIYLCDSGSQFLEGTTDITRT IHLTKPTKEEMDNYTLVLKGGLALERLVFPENTPGFNIDAIARQFLWSRGLDYKHGTG HGIGSFLNVHEGPMGVGFRPHLMNFPLRAGNIISNEPGYYKDGEYGIRIESDMLIKKA TERGNFLKFENITVVPYCRKLINTKLLNEEEKTQINEYHARVWRTVVHFLQPQSISYK WLKRETSPL SPAR_L00310 MSDHSPISNKENHLLPSDSSRSSTSDTHSTGTTGTTGVEPVDFT GEGAKYTTATEGNAGGADLAIQRTTTMNSAAESEVNVTRRLTKILTGSVNEPDRIEVD YTNCAPMGGDRPYPPSLPSRELYEVTFDGPNDPLHPFNWPMKKKVLLCLVLCLDCIAI AMCSSIFASAVPQICEIYHVIEVVAILGITLFVLGFAASPVVYAPLSELYGRKGVLVL SAFGFAIFQFAVATAENLQTIFICRFFGGFIGAAPMAVVPAAFADMFDTNVRGKAIAL FALGVFVGPILSPVMGSYIAQRTTWRWLEYVVGCFASAVFVAIVLFFDETHHPTILVN KAKQMRKQSNNWGIHAAHEDVELSIKDIVQKTVTRPIIMLFVEPLLLFVTIYNSFVYG ILYLLLEAYPLVFVEGYGFTENGELPYIALIIGMMVCAAFIWYMDNDYLKRCQAKGKL VPEARLYAMVVAGTIFPIGILWFCWTGYYPHKIHWMVPTVGGAFIGFGLMGIFLPCLN YIIESYLLLAASAVAANTFMRSAFGACFPLFAGYMFRGMGIGWAGLLLGLFAAAMIPV PLLFLKYGESIRKKSKYAYAA SPAR_L00320 MINSGRSRNSVLLARRFLSTGGFWRGGTNGTMSRTINNVNPFKL RFIPKTAPAAADAVSPDSQRSSNKPFKFIVSNQSATNKASKSPKWSSYAFPSRETVRS HEEAIKKQKQAIDEQMAAAVAKNDCACTEPPKKRKRKLRPRKALITLSPKAIKHLRAL LAQPEPKLIRVSARNRGCSGLTYDLQYITQPGKFDEVVEQDGVKIVIDSKALFSIIGS EMDWVDDKLASKFVFKNPNSKGTCGCGESFMV SPAR_L00330 MNDQTQFTERALTILTLAQKLASDHQHPQLQPIHILAAFIETPE DGSVPYLQNLIEKGRYDYDLFKKVVNRNLVRIPQQQPAPAEITPSYALGKVLQDAAKI QKQQKDSFIAQDHILFALFNDSSIQQIFKEAQVDIEAIKQQALELRGNTRIDSRGADT NTPLEYLSKYAIDMTEQARQGKLDPVIGREEEIRSTIRVLARRIKSNPCLIGEPGIGK TAIIEGVAQRIIDDDVPTILQGAKLFSLDLAALTAGAKYKGDFEERFKGVLKEIEESK TLIVLFIDEIHMLMGNGKDDAANILKPALSRGQLKVIGATTNNEYRSIVEKDGAFERR FQKIEVAEPSVRQTVAILRGLQPKYEIHHGVRILDSALVTAAQLAKRYLPYRRLPDSA LDLVDISCAGVAVARDSKPEELDSKERQLQLIQVEIKALERDEDADSTTKDRLKLARQ KEASLQEELEPLRQRYNEEKHGHEELTQAKKKLDELENKALDAERRYDTATAADLRYF AIPDIKKQIEKLEDQVAEEERRAGANSMIQNVVNSDTISETAARLTGIPVKKLSESEN EKLIHMERDLSSEVVGQMDAIKAVSNAVRLSRSGLSNPRQPASFLFLGLSGSGKTELA KKVAGFLFNDEDMMIRVDCSELSEKYAVSKLLGTTAGYVGYDEGGFLTNQLQYKPYSV LLFDEVEKAHPDVLTVMLQMLDDGRITSGQGKTIDCSNCIVIMTSNLGAEFINSQQGS KIQESTKNLVMGAVRQHFRPEFLNRISSIVIFNKLSRKAIHKIVDIRLKEIEERFEQN DKHYKLNLTQEAKDFLARYGYSDDMGARPLNRLIQNEILNKLALRILKNEIKDKETVN VVLKKGKSRDENVPEEAEECLEVLPNHEATIGANTLDYDNEDSMEIDDDLD SPAR_L00340 MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLADYYMFQAAHPTETYPAEIAEAVFNYGDFTTMLTGIPADQVTRVITGVPWYS TRLRPAISSALSADGIYTAVPN SPAR_L00350 MSKAVGIDLGTTYSCVAHFSNDRVDIIANDQGNRTTPSFVGFTD TERLIGDAAKNQAAMNPANTVFDAKRLIGRNFNDPEVQGDMKHFPFKLIDVDGKPQIQ VEFKGETKKFTPEQISSMVLGKMKETAESYLGAKVNDAVVTVPAYFNDSQRQATKDAG TIAGLNVLRIINEPTAAAIAYGLDKKGKEEHVLIFDLGGGTFDVSLLSIEDGIFEVKA TAGDTHLGGEDFDNRLVNHFIQEFKRKNKKDLSSNQRALRRLRTACERAKRTLSSSAQ TSVEIDSLFEGIDFYTSITRARFEELCADLFRSTLDPVEKVLRDAKLDKSQVDEIVLV GGSTRIPKVQKLVTDYFNGKEPNRSINPDEAVAYGAAVQAAILTGDESSKTQDLLLLD VAPLSLGIETAGGVMTKLIPRNSTIPTKKSEVFSTYADNQPGVLIQVFEGERAKTKDN NLLGKFELSGIPPAPRGVPQIEVTFDVDSNGILNVSAVEKGTGKSNKITITNDKGRLS KEDIERMVSEAEKFKEEDEKESQRIASKNQLESIAYSLKNTISEAGDKLEQADKDAVS KKAEETISWLDSNTTATKEEFDDQLKELQEVANPIMSKLYQAGGAPEGAAPGGFPGGA PPAPEAEGPTVEEVD SPAR_L00360 MSSRPANNQGPPNLPARDKSLVQRFMAVARSLQFAWFTGHSVVL ISSIFYLFKMSEFYYRLAYLGVIESFGIIIYQQFFTRNEPLQTQDAATTKASVKSRVA GLLKSEDVLYLVLANFWLFTPRFSFSLIPFFAFAVFHVLIYVEKVILPKVFHLSSKDS SKILSFIDKFVVQYNDLCMHWVGTTELLIFILVLFRAILCFQRSWIILVVYAVFIKLR YENSKYMKAAFAQWRVRMDGIISHPSVPPYVKRAYNAVKMSLVRLSEFRLSGAPQVTK KQN SPAR_L00370 MERQIHSARDLLAQKKFLEAAKHCQQTLDSLPKDGLLPDPELFT IFAQAVYNMEVQNSGNLFGDALIAGHDGSESESDVSNGDEGGENSQTGIPSSRMFQFD QEEEDLTGDVDTGDSEDSGEGSEEEEESVEEEEERSALQEMVDFSPLKEHDDEIEDVS QLRRSGFHVYFENDLYENALDLLAQGLMLLGRSTADGQPLTDNSRLRIGDVYILMGDI EREAEMFNRAIRHYMKALGYYKTLQDTEEITEKVIQAEFLVCDALRWDDQIPAKDKLK RFKHTKALLENYMATSPRDTELQQARLAQIQDDIDEAQEQQQHGSKRPLSQPTTSIGF PTLEKPLDDFNDLSQLVKKKPRRH SPAR_L00380 MGTSSEVSLAHHRDIYHYYVSLKTFFEVTGENRDRSNSTRAQKA RAKLLKLSSSQFYELSTDVSDELQRRIGEDANQPDYLLPKANFHMKRNQARQKLANLS QTRFNDLLDDILFEIKRRGFDKDLDAPPPPLPQPTKQEVGKDSDDRARTSTNSSSVTQ VAPNVSVQPSLVIPKMASIDWSSEEEEEEQGKKKPNELEEEKKSTDEKKEAKPALDSI VTDAALPDSQVLVRDITSMARTPTTTHKNYWDVNDSPIIKVDNDIDSNKALEQSKSPE AQLLSNNNPASDMKDKVKELTDLNSDLHSQIEDLNAKLASLTSEKEKEKEKEKEKDIK NHYTIDESFQKEMLSLNSQIGELSIENENLKQKISEFELHQKKNDNHNDLKISDGFIN KHSSADGLIPVQYILNANNLIIQFTTRLSAVSIGDSTAISHQIGEELFQILSQISNLF SQLLLSADLLQYKDQVILLKASLSHAITSIRYFSVYGPVLIPKITVQAAVSEVSFAMC NLIDSAKIKSDSNGNSITNNEANRQTLEYSSPTTTTPMTPTFPSSSSAINMKKGFINP RKSTSFLNDVEEEESPVKPLKITQKAINSPIIRPSSSNGVPTTSRKPSGTGLFSLMID SSIAKNNSHKEDNDKYVSPIKPITSASNSASSNISEIPKLTLPPQDKISTVIPPSENR VPNIKIENAEEDDKRSDITNKTLSTPTSSIADKLKQFEHSSEKKQSPKENPVPNEEVD SKPVFSNKFISSMNDVSTDDSSSDGNENDDLDDDDDFTYMALKQTMKREGSKTEKKID NKLPANIIEIDLHESPESVKIESPESIKEIGSSEMSPKIANNLPPKRLVENSESSDVV EKVASAESIEKKKSPESAGKAESPGVTEKIKSLGMAGEIVAPESAGKLKSPGVTDKTK SLGVTGKTVAPEPARKMESPGITETIKSLDMTGNIVAPESIKSHETARKLVSPGVVGR IDSPEVVMDSESSEIVSNAIPSEMTMKIESPNVIQKTESEPQEITRDIASSDTIGKIG PPKVLKKIIFPKAINKIGSSEAVEKTLSSGTPKKSELPETNGQIVSPEVAKTSPLVSI KNTVELPESNKSHTESITSVEAANKELNSSWGGVDLNRTIKQEDEDEDFNRVNHNAQT VSAETKYEKNDYDKIPVNHKAKSPGQVHTSQEDIDGAPYVHGKRTDAHVRTAEGGRGF INPVESSQVKKTNHLPVSNDKPVENGDSELNDGDVKIKNTAETTVHDEKHYSDDDDSS YQFVPMKHHEQEQEQEQNATYRSEEEESEDDDDDEGDSDFDVDTFDIENPDNTLSELL LYLEHQTMDVISTIQSLLTSIKKPQVTKGNLRGESNAINQVIGQMVDATSISMEQSRN ANLKKHGDWVVQSLRDCSRRMTILCQLTSDGVLAKEKSDQDYADKNFKQRLAGIAFDV AKCTKELVKTVEEASLKDEINYLNSKLK SPAR_L00390 MSQNIQIGTRKRSRANMNNSTTTGPSENASSNKTFLDNFEETRT NKLLDEMFARQNSFLTDNLRNSLDLNQADNPLRPRQHQHQLFLDNENAIELDEEPRII NTTINNSNNHNSSRVDEDADDDIIFIKEQPIQFSSPLILPSSSSINNNNNTGTSNNAG CGVTATSNSTYITTPKKFKKQRTISLPQLPLSKLSYQSNYFSVPDQSNAIVPRVTQTE NELLHLTGSCAKTLEGNKAVNLTIAHSTSPFYNPPAQVASMPQSNLKRQIGSSLRKFK SNSSSESASSNKSNFKTDKDGHYVYQENDIFGSGGRFVVKDLLGQGTFGKVLKCIDNK YEPNYVAVKVIRAVDRYREAAKTELRILQTILNNDPQGQFQCLLLRECFDYKNHICLV TDLYGRSIYDFMCSNGIARFPGSHIQAIARQLIRSVCFLHDLGIIHTDLKPENILICD ETHIAQKLPLKTVQSLSKRRREASKGKRKILKNPEIKIIDFGSAIFHYEYHPPVISTR HYRAPEIVLGLGWSFPCDIWSIACVLVELVIGESLYPIHENLEHMAMMQRINGTPFPT DIIDKMFYKSKHKLGNSPSDLNSTVIKHFDRKTLSLQWPEKNKRGDTITTEKSMKRVL QSCDRLDIYISKVLKQDYGDSFSINWNLPPEKNWSLINSKLAWKRQIHSSSISTTDEL DKETFLFWYWFIDLLRKMFEFDPTKRITAKDALDHEWFNLGILDDGIATYNNTQG SPAR_L00400 MSGNPGSSLSALRPTPPERGSFPLDHDGECTKYMQEYLKCMQLV QNENAMNCRLLAKDYLRCRMDHQLMDYDEWAHLGLPEDAPSNSGKTSSGTSSGTSSGT SSGTSDNK SPAR_L00410 MSQDENIVKAVEESAEPAQVILGEDGKPLSKKALKKLQKEQEKQ RKKEERALQLEAEREAREKKAAAEDTAKDNYGKLPLIQSRDSDRTGEKRAKFVDLDEA KDSDKEVLFRARIHNTRQQGATLAFLTLRQQASLIQGLVKANKEGTISKNMVKWAGSL NLESIVLVRGIVKKVDEPIKSATVQNLEIHITKIYTISETPEALPILLEDASRSEAEA EAAGLPVVNLDTRLDYRVIDLRTVTNQAIFRIQAGVCELFREYLATKKFTEVHTPKLL GAPSEGGSSVFEVTYFKGKAYLAQSPQFNKQQLIVADFERVFEIGPVFRAENSNTHRH MTEFTGLDMEMAFEEHYHEVLDTLSELFVFIFSELPKRFAHEIELVRKQYPVEEFKLP KDGKMVRLTYKEGIEMLRAAGKEIGDFEDLSTENEKFLGKLVRDKYDTDFYILDKFPL EIRPFYTMPDPANPKYSNSYDFFMRGEEILSGAQRIHDHALLQERMKVHGLSPEDPGL KDYCDGFSYGCPPHAGGGIGLERVVMFYLDLKNIRRASLFPRDPKRLRP SPAR_L00420 MNNAMPYAGMTTTIKDKEGHGVPCLQPIDVVECMHQYFTKSRDK LSLRIGDLIYVLNKGSNGWWDGVLISHSANNSNSLTLDRGWFPPSFTRSILHESRWTP TIGDDLEIFQAGFNLKLELSSNPVILSLEDLLDCCRDIEFKEQLVWSPTPAHGKKNCC ELLYYNQDLDVYCRTLPYLPQDQLETINDSSSFSPISNIIRKKMPITSSPDLFYLNDC DVVYWYDLTRLVCHYVNLTERELLRNDRESFLTSLDLLSVQITYVYTLFRNLGLVEDS FKKTLKKLIYTLSKFSINSNIWFHSASYEERGITVSQEDSREKSPLLQSILGTFQKFH FLLRLLHFLSHPNEFTILPQLTPRFFKDSFNTISWNNPFLRKHFNHRMSHDLPRGMIK AVAGASGFVAENIDEIPVPKRGTSCSQESSHHTPSAPFQRRRRGNTLSNMSRSSSESD TIWSRRKKPYLLNEETLNLLKARKKQLDVKLKQMIQSANEYLSNTANFSKMLNFEMNF KTYEEVSETIPIIDVLENLDLTIFLNLRELGDEDRVFDEDVAIDDEDKEFLNHSLSSL SYILSDYFNMKQYFHDVVVKFIIVAQHLTLEDPFVFSPMQNDLPTGYYEPVKPSSLNL DSAKNNKKNGNQNVENQVEEDEYEVDVDSILLFHNLVNQDSDSNDLKFFNLSHVFKKS CDDYFDVLKLSVELVNQLVLERENLLNYAARMMKNNITELLLQGEEGYGSYGGSDAID KNESDTFYTDSANKVNDEWRDSQVMLPRYLQREYDSELIWGSNNRIKGGSKHALISYL TDNEKKDPCFNITFLITFRSIFTTAEFLSYLISQYYLDPPEDLCFEEYNEWVTKKLIP VKRRVVEIMTTFFKQYWFPSYYEPDLATLNLDYFAQAAAKENIAGSVELLNEICQKFK HDNMQEAAAPLKTLAPQVNQKNHSASLYSTTESILAVDPVLFATQLTILEHEIYCEIT IFDCLQKIWKNKYTKAYSASPGLTEFISFANKLTNFISYSIVKEADESKRAKLLSHFI FVAEYCRKFNNFSSMTAIISALYSSPIYRLEKTWKAVIPQTRDLLQSLNMLMDPKKNF INYRKELKSLHSAPCVPFFGVYLSDLTFTDSGNPDYLVLEHGLKDVRDEKKYINFNKR TRLVDILQEIIYFKKKHYDFVKDRTIIEHISNSLENIPHIEKQYQLSLIIEPKPKKKV VPNSNSTNKLQEKTRGNQTDEGKTPAKKERFPKFPLVKTKKKTPKVSK SPAR_L00430 MSSLKVADGCPYGYRPYPDSVTNALNPCFVSVVSTWQAAFFLLF GSYQLWKLYKNEKVPPRLKNFPISPSKIRSRHLTHLTNVCFQSTLIICQLALVSQSID RVYPSILKKALYLNLLFNLGISLPTQYLTYFKSTFSMGNQLFYYMFQILLQLFLILQG HYHGSSNTKLTVISGQTAMILELLLLFNSVAIFVYDLCFFEPIHELSEYYKKNGWYPP VHVLSYITFIWMNKLIVETYRNKKIKDPNQLPLPPVNLNIKSISKEFKGNWELEKWLN RNSLWRAIWKSFGRTISVAMLYETTSDLLSVVQPQFLRIFIDGFNPESSSKYPPLNGV FIALTLFVISVVSVFLTNQFYIGIFEAGLGIRGSLAALVYQKSLRLTLAERNDKSTGD ILNLMSVDVLRIQRFFENAQTIIGAPIQIIVVLTSLYWLLGKAVIGGLITMAIMMPIN AFLSRKVKKLSKTQMRYKDMRIKTITELLNAIKSIKLYAWEEPMMARLNHVRNDMELK NFRKIGIVSNLIYFAWNCVPLMVTCSTFGLYSLFSDSPLSPAIVFPSLSLFNILNSAI YSVPSMINTIIETSVSMERLKAFLLSDEIDDSFIERTDPSADERSLPAIEMNNITFLW KSKEAIASSQLGDNSRTDEESIIGSSQIALKSIDHFEAKKGDLVCIVGRVGAGKSTFL RAILGQLPCMSGSRESIAPKLIIRSSSLAYCSQESWIMNASVRENILFGHKFDKNYYQ LTIKACQLLPDLKILPDGDETLVGEKGISLSGGQKARLSLARAVYSRADIYLLDDILS AVDAEVSKNIIEYVLIGKTALLKNKTIILTTNTVSILKHSQMIYALENGEIVEQGNYE DVMNRENNTSKLKKLLEEFDSPIDNENESDVQTEHRSESDVDEPLQLKVVESETEDEV VRESELELVKANSRRASLATLRPRPFVGAQLDSVKKTAQEVEKTEVGRVKTKVYLAYI KACGVSGVVLFFLFMILTRVFDLAENFWLKYWSESNEKNGSNERVWMFVGVYSLIGVA SAAFNNLRSIMMLLYCSIRGSKKLHESMAKSVIRSPMTFFETTPVGRIINRFSSDMDA VDSNLQYIFSFFFKSILTYLVTVILVGYNMPWFLVFNMFLVVIYIYYQTFYIVLSREL KRLISISYSPIMSLMSESLNGYSIIEAYDHFERFIYLNYEKIQYNIDFVFNFRSTNRW LSVRLQTIGATIVLATAILALATMNTKRQLSSGMVGLLMSYSLEVTGSLTWIVRTTVM IETNIVSVERIVEYCELPPEAPSINPEKRPDKNWPSKGSIEFKNYSTKYRQNLDPVLN DINVKIKPCEKVGIVGRTGAGKSTLSLALFRMLEPMEGKIIIDGIDISSIGLFDLRSH LAIIPQDAQAFEGTVKTNLDPFNRYSESELKRAVEQAHLKPHLEKMLENKPRGDDSSS EENDNVKDILDVKINENGNNLSVGQRQLLCLARALLNRSKILVLDEATASVDMETDKI IQDTIRREFKDRTILTIAHRIDTVLDSDRIIVLDQGNVKEFDSPSKLLSDKTSIFYSL CEKGGYLKQ SPAR_L00440 MSSNEEVFSQINATANVVDNKKRLLFVQDSSALVLGLVAGFLQI ESVNGFIWFLVLYNLINVIYIVWICQLQPGKFYQSPIQDIFFESFFREITGFVMAWTF GYALIG SPAR_L00450 MEMDMDMDMELASIVSSLSALSNGNNNGGQAGAIVSGSAAGSQQ IGGFRRSSFTTANEVDSEILLLHGSSESSPIFKKTALSVGTAPPFSTNSKKFFGNGGN YYQYRSNDTASLSSTSYSNYHTHHAAGNLGKNNKVNHLLGQYSASIAGPVYYNGNDNS NSGGEGFFEKFGKSLIDGTRELETQDRPEAAANQSQFIPKNVSNASLDTQSTFDQTAQ SDTNFNKLNRNTTNSGSLYHSSSNSGSSASLESENAHYPKRNIWNVANTPVFRPGNNP ALVGAPNVVLPNQQDGPANNNFPPYMNGFPPNQFHQGPHYQNFSNYLIGSPSNFISQM ISVQVPANEDTEDSNGKKKKKANRPSSVSSPSSPPNNTPFPFAYPNPMMFIPPPPPSA PQQQQQQQQQQQQQQQQQQQQQQDQQQQQENPYIYYPSPNPVPVKVAKDEKNFKKRNN KNHSANNANNSNKQANPYLESFAASKNSSKKNTSSKSNEPGTNNHKSHSQSPPQQQQQ QTYHRSPLLEQLRNSGSDKNSNSNMSLKDIFGHSLEFCKDQHGSRFIQRELATSPASE KEVIFNEIRNDAIELSNDVFGNYVIQKFFEFGSKIQKDTLVEQFKGNMKQLSLQMYAC RVIQKALEFIDSNQRIELVLELSDSVLQMIKDQNGNHVIQKAIETIPIEKLPFILSSL TGHIYHLSTHSYGCRVIQRLLEFGSSEDQKNILNELKDFIPYLIQDQYGNYVIQYILQ QDQFTNKEMVDIKQEIIETVANNVVEYSKHKFASNVVEKSILYGSKDQKNLIMSMILP RDKNHALNLEDGSPMILMIKDQFANYVIQKLVNVSEGEGKKLIVIAIRAYLDKLNKSN SLGNRHLASVEKLAALVENAEV SPAR_L00460 MGVSTVLKRARNLLATFIVCCFMAVVLVLALARHFINEHRDTRS SSTQIEVSDESKRNVHHDHVLTRTNAYSTPYLDLEHDKKNGIVYDHTRTVIRKKNREE GPSSLHKNLFHKFLTKLIFRFIEKEKVAEGVTQGKFNNSNNEIANHEPIFEKVPVHSD DPLQNLILSEDLTLVADLNYYFNQYNIQIEEFRLETEDGFVIDLWHLIPKYRTTDSDK KKRPPILMLHGLLQSSGSFASNGRKSLAYFLYQSGYDIWLGNNRCGFRPEWNDAKVPT LASRWDWDLREMVKYDLTLLIDTVLAKTQFEKLTLISHSQGTTQGFMGLVNEDKFFPP GSGSKDSFFTSKIANYIALAPAVYPGPLLNEKLFVKLMTKEIDNPWFFGETSFFEIMM IVRNLCVGESLFSFVCYTIFNYLFDWNDTLWDTALRDRHFLFSPVHVSVKLMQWWLSP DPNKVSFKFGSHKMFPDNVKWFSDASNAPNIYLFVPKQDRLVDGERLINHFVNVESNV NCKIWYIDEYAHIDVLWAHDVIERIGKPILQNLNNYYSKKPSSAFESDCSDTEVETEL EMVAEKA SPAR_L00470 MKIKTIKRSADDYVPVKSTQESQMPRNLNPELHPFERAREYTKA LNATKLERMFAKPFVGQLGYGHRDGVYAIAKNYGSLNKLATGSADGVIKYWNMSTREE FVSFKAHYGLITGLCVTQPHFHDKKPDLKNQNFMLSCSDDKTVKLWSINVDDYSNKSS SDNDSVTNEEGLVRTFDGESAFQGIDSHRENSTFATGGAKIHLWDVNRLKPVSNLSWG ADNITSVKFNQNETDILASTGSDNSIVLYDLRTNSPTQKIVQTMRTNAICWNPMEAFN FVTANEDHNAYYYDMRNLSRSLNVFKDHVSAVMDVDFSPTGDEIVTGSYDKSIRIYRT NHGHSREIYHTKRMQHVFQVKYSMDSKYIISGSDDGNVRLWRSKAWERSNVKTTREKN KLEYDEKLKERFRHMPEIKRISRHRHVPQVIKKAQEIKNIELSSIKRREANERRTRKD MPYISERKKQIVGTVHKYEDPGRGRKRRKEDDKRDTQEE SPAR_L00480 MGFISSILCCSSETTQSNSNSAYRQQQSSSLKKNRSVKHSNTKS RSRDVHQTNSPPSKTNSAATFSSTERSTGKSGISTNDNEKKKPLSPTTAATATTNNNM TKVEKKISKDDLYEEKYEVDEDEEIDDEDNRRGRGIVQEKGAALKDTSKQKKKQQPQP QQQSQQPPQQRGPIVQVSSDHLIQDMNISRVSSSPQASETSNDADDEDDEGDEYIDLT LLQQGQYHAPGYNTLLPPQDESIKGKKCLILDLDETLVHSSFKYLRSADFVLPVEIDD QVHNVYVIKRPGVEEFLERVGKLFEVVVFTASVSRYGDPLLDILDTDKVIHHRLFREA CYNYEGNYIKNLSQIGRPLSDIIILDNSPASYIFHPQHAIPISSWFSDTHDNELLDII PLLEDLSVKTSLDVGKILDVTI SPAR_L00490 MTETDKKQEQENHANCEDKPKPCCVCKPEKEERDTCILFNGQDS EKCKEFIEKYKQCMKGYGFEVPSAN SPAR_L00500 MVVGTKKYSDLDFVPTISDSEEDVPILDSSDDEKVEVKKTTKKR KGKNNKKKAVEADNLDEDVHEDLDAGFKFDLDADDTTSNFQGWNFLGEGESNKDDAEA FVKKDVDLDKIIRRKGGLVKMAHIDSKQEKEKEEEEEEEENDNDDEELAMDGFGMGAP MNNEGEDESEEEEEEEEEDEQEEMTLEKGGKDDEVDEEDDSEEAKADFYAPETEGDEA KKQMYENFNSLSLSRPVLKGLASLGYVKPSPIQSATIPIALLGKDIIAGAVTGSGKTA AFMIPIIERLLYKPAKIASTRVIVLLPTRELAIQVADVGKQIARFVSGITFGLAVGGL NLRQQEQMLKSRPDIVIATPGRFIDHIRNSASFNVDSVEILVMDEADRMLEEGFQDEL NEIMGLLPSNRQNLLFSATMNSKIKSLVSLSLKKPVRIMIDPPKKAATKLTQEFVRIR KRDHLKPALLFNLIRKLDPMGQKRIVVFVARKETAHRLRIIMGLLGMSVGELHGSLTQ EQRLDSVNKFKNLEVPVLICTDLASRGLDIPKIEVVINYDMPKSHEIYLHRVGRTARA GREGRSVTFVGESSQDRSIVRAAVKSVEENKSLTQGKALGRNVDWVQIEETNKLVESM KDTIEEILVEEKEEKEILRAEMQLRKGENMLKHKKEIQARPRRTWFQSESDKKNSKVL GALSRNKKVTNSKKRKREEAKSDGNGARSYRKTKTDRTADQERTFKKQKSANSNKKKG FKSRR SPAR_L00510 MSHDMQKSGESLENIKLLLNSKSGEPAKSLTSAQSKTCYRRLVD NENLNETSDEYEKLLANYILLCDEKYLCRTVIPDFQFWNILCNNCQKLMPETLVSNLT KLFNVALKCQDSNKNEAIVSICHVSKENPQLIGILLLILSQRPIQKSLFADTILCITL FLKCSLTLCETSLSHAVEFVPAILILLFQYNFPASMSELLYIEEFQPLILEEFVPLKQ RLINFLSSVNIDDYSCSLRGDLLRAIKDNSVFQKGLEMEMGDLPSINLLNAYDTFTFL NSANGSFKRLYTEQLLFGENDFPLYEAIFKLSDQFRRLFNLSGKKENPYSDSECDLKL QIATAVLNRQTCFYKTLELFLRFWIESLAKSQNDLISLLNLAIITLKYVCLSSSDLES AIQTKSLLKTQVFALDSMSYKFARTLQLDTIKKEQYRTWSSSIASFDTMLSGQVHDYV RHQRLLQLQKGTWVYAENPLDPEAGTPKVYFLIVSDNHASLLAREFETQTSELPYLFD NKILTSPGSEALANGRTKVVVLKHITSFKSIELTTPSRRTGNNVYIKLDETNVYTEVE LKDRNDRTVLKFYLDTEEGKYIWLDGLKLISPSQHEDISEDTKEQIDTLFDLRKNVQM INLNVHQDIIVPPPEPSDENEDEEFYDLETLKKVTQNFYFD SPAR_L00520 MVNSGNESTETDSLMTFDDYISKELPEHLQRLIMESLKGSIASN DVRQTSNNSEFNFSKNGSFKGLDDAIQVLQMQSVLHPSSLGSLTTSSKFSGWSFAQGF FVGQLSIVLLFIFFLKFFIFSDEPSKSKNPKPAASGHRSKFREYPFISREFLTSLVRK GAKEHHEFNEEAENEHLQELALILERTYYNVDVHPAESLDWFNVLVAQIIQQFRGEAW HKDNILHSLNDFIGRKSPDLPDYLDTIKITELDTGDDFPIFSNCRIQYSPNSGNKKLE AKIDIDLNDHLTLGVETKLLLNYPKPGIAALPVSLVVSIVRFQACLTVSLTNAEEFAS TSDGSSNENDMEGDSGYFLMFSFSPEYRMEFEIKSLIGSRSKLENIPKISSVIEYQIK KWFVERCVEPRFQFVRLPSMWPRSKNTREEKPTE SPAR_L00530 MNATKELTFNLLNKFQDKERFGSAQRHAGISLKGFISGILFSFL YFLFQLSLFIILRSRFKTIYQANVVLKIHSGSKVCFAKKKIKSHWSLLAFLKRLPGRM LNPMENFERNERYGLDNYLFLRFLKLLIFFFAVLSIINIPILIPIHYFSKDILRDSGD ERYEENFRTTSKLDKWTMSNLSPNSSNTLICHLFLSIFVVLWFHVILSSELRFVNRLG YSVLTKSKYQNILYLEGLSGKLITQNISLEAFFQQLHPGCLGSIHFIPKNLKKVHNLE IKLNKLQKSKEQIIFEIILEKYFKRISIHKHLITNYKKFFFSKLKNHLLFQYKKLVYL SQFRISYYWTKIGLHWKRSNAFPLYYPRPSLNKETVLERKYGILDKKICKEKLIKFQI KSLKTTPEINLSSPADKSPVAKIYMDKVFISFKSTLLSNIIGELLSYRLPSQNLKVII GPNVNDIIWGNILDSSTFWKSAKYFSANILRIFVIIGWILPVAFLGLISQIPNISSLI PFTKIIHFQSPFIREVAKNLIPIVTLIIIIEIVPYFFRWLSYLRGLKTGAQIEADVQN WYFVFVFIHLFLVVTISSGFSIIIERLLNNPVSIPALLANDLPKCANFFCSFVLIRGM AYAGGNLLRIKELLFELFYYKWKKSSPHAQFKRLRTSLFFQLGSIYPIFSVLGCIGII YSVVAPIILLLCCVSFSMVFFSFSYLFEYQYNKENYSETFGKLYIQALMQLYAGIYFM EFCLLGLFTLFDQYTLSTIMLVVFALTVITHSKISRQIRSKPQRIPTLRYLSSLTQET KDQFCQESYNFDDIFSVFRHSDKIWLPRDKLGISEEEQSFLEKSYHLEFDLNMYSMNL FGDCHLENNHLP SPAR_L00540 MSDLNQSKKMNVTEFADAQRSHYTVYPSLPKSNKSDKHIPFVKL LSGKESEVNVEKRWELYHQLHSHFHVQVDHIIDNIETDLKAEISDLLYCENTQKRRCF NTIFLLGSDSTTKIELKDESSRYNALIELTPKESPNVRMMLRRSMYKLYSAADAEQHP TIKYEDTNDEDGDLGEQNNDVSYDLSLVENFKRLFGKDLAMVFNFKDVDSINFNTLDN FIILLKSAFKYDHVRISLIFNINTNLSNIEKNLRQSTIRLLKRNYHKLDVSSNKGFKY GNQIFQSFLDTVDGKLNLSDRFVEFILSKMANNTNHNLQLLTKMLDYSLMSYFFQNAF SVFIDPVNVDFLNDDYLKILSRCPTFMFFVEGLIKQRAPADEILSLLTNRNRGLEEFF VEFLVRENPINGHAKFVALFLEDELHITNFNLIELYHNLLIGKLDSYLDRWPACKEHK DRLHFEPIDTIFQELFTLDNRSGLLTQSIFPSYKSNIEDNLLSWEQVLPSLHKENYDT LPGDLDKIMAPVLGQLFKLYREANMTINIYDFYVAFRETLPKEEILNFIKQDPSNTKL LELAEAPDAFGKVALILFMQAIFAFENMGLIKFQSAKNYDLVEKCVWRGI SPAR_L00550 MDKFGAANKSTENLLRDKFVPETSPTNIPTDVLIKQGLVTDSTE SLIHGGAERYIVNALKPIESNKTEDAFEDRPFHLPSPPLDLTNLEYEEVADLSKSGSQ YDLNNTTFEVIEDLYSQIEAFLVHFKLSRSFLLIFKNYVSILIQEGINPLHDEYFKIL EDELKGVFTFNSVIEEVLEIFLIHPRNKFIALSLAEYTYARNKIRRHFNHWRTVYELN EGANRFADQAKMKVKEALFYIWSDRTLKYSQMASDEAESFGNTWLLFRSFQQWITLTQ TLKEQSRLADQAFLNKMFRKILKAQENWKHLETVNTDNIRHIYLRTWLHIWKLKHREI NYLGLKRRVFGGIKQRFINYEYNKSISDKVRSLSLQRVYFSKWRKESAENKDKLGTLY GLGDKFIKQKFFRKLKRLLQYSRQEAIVKSKLDETLLRCVFEKMWLKRFEDHLHLYSI ISLKEANLVKGVFHSWKKLLYTDLKASDYSRTNLLKFSLRNWKLKVKLKNFEQKYKTS IIASAYRSWRKRIQYGKISSDHIKMAFYAKHFDVWKRKILQMKSMDEEASSFYEKDLA SECLTIWKERLIKTKELEDRYNFLSKTHAILTVKRTVMHIDNVHLLYTKLAPSMDKIK LSRTFLKWRKATSSRVRLKLNDILHVYELSKGYKLQSELFNAWRNRYYFYSEEYNVKA SSKKNIQLKKMVLKKFRGRLSEIVKSEELADEVREEFMLIKTFYIWKTHLDELLYMNT LLEQSEANKQFLITSKFLKMWSLRFLKIKRNDETVQVFRHRWDRATVRGLLLLWKNRS DSSPKRRKDFDLKHELKTPIRSDSQSVSTIPGSERIKQHRMEAMKSHYSRARRAIPSP VKSSSVLDSTAKKQIKLESTTDLNGSPTRAKPLRYSPRRTNKNMPSKVDHIDFGRIPA VPFSLNVNSPKVDQDMDYIREHDRSPLSRKRQ SPAR_L00560 MSLNDYLSSVLPVNEQFEYLSLQSIPLETHAVVTANKDDKWIPK STIKTQHFFSLFHQGKVFFSLEVYVYVTLWDEADAERLIFVSKADTNGYCDTRVSVKD ITRILLEFILSIDPNYYLQRVKPATRPYKKISTELINPASTPAETLRILARRLTQSGC TSLKETMSSSFQQDFYLSFTCSREILTKICLFTRPASQYLFPDSSKNSKKHILNGEEL LKWWGSILDRLLIECFQNDTQAKLRIPGEDPVRVRSYLREMKYPLWQVGDIFTSKEHS LAVYSIPLFPDDPKARFMHQLAEEDRLLKESLSSFWVELQERQEFKLSVTASVMGISG HSLTTPILYPSSADVIVPKSKKQFRAIKKYITGEEYDTEEGAIEAFLNISDFLLLRMA RNLQSLTGKKEYRERNQRDPTSNISSMAITTLKPRKKAKALPKT SPAR_L00570 MASLEDLIPTVNKLQDVMYDSGIDTLDLPILAVVGSQSSGKSSI LETLVGRDFLPRGTGIVTRRPLVLQLNNISPNSPLIEEDDNSVNPHDEVTKISGFEAG TKPLEYKGKERNHADEWGEFLHIPGKRFYDFDDIKREIENETARIAGKDKGISKIPIN LKVFSPHVLNLTLVDLPGITKVPIGEQPPDIEKQIKNLILDYIATPNCLILAVSPANV DLVNSESLKLAREVDPQGKRTIGVITKLDLMDSGTNALDILSGKMYPLKLGFVGVVNR SQQDIQLNKTVEESLDKEEDYFRKHPVYRTISTKCGTRYLAKLLNQTLLSHIRDKLPD IKTKLNTLISQTEQELARYGGVGATTNENRASLVLQLMNKFSTNFISSIDGTSSDINT KELCGGARIYYIYNNVFGNSLKSIDPTSNLSVLDIRTAIRNSTGPRPTLFVPELAFDL LVKPQIKLLLEPSQRCVELVYEELMKICHKCGSAELARYPKLKSMLIEVISELLRERL QPTRSYVESLIDIHRAYINTNHPNFLSATEAMDDIMKTRRKRNQELSKSKLSQQENGQ TNTINGTSSISSNADQDSSKNSDYDDDGIDTESKQTKDKFLNYFFGKDKKGQPVFDAS GKKRSIAGDGNVEDFRNLQISDFSLGDIDDLENAEPPLTEREELECELIKRLIVSYFD IIREMIEDQVPKAVMCLLVNYCKDSVQNRLVTKLYKETLFEELLVEDQTLAQDRELCV KSLGVYKKAATLISNIL SPAR_L00580 MTVTIQTIKYIFWVLPILGLIQALLQNPGDDFPFSTVIDILSEN VEFSTFLRIIQKTGHVQYLNELQNFTLFAPINSAFVEGNQTARQFEEHFHIEDFLIHD RVLQVRELENGTYLEKRAAQAPLLLRKYKHRCWVNEVAVVEPDLQPSFQNASVQGINN LLLIQPKINELLVQLDKETQDLKIFSDFINSFSNYNAYTDSSTVLVPLDVNFRKFFNT IEINYLTDRYKKMGKSNTISQGKWATDRSSFLQELIIDDVYGGILPKELALENKNGRK LLIKSNSEGTSVSVNNSNYSSISNRIFEIGVVHGFSDLNFLRKHIQFDAEKYLHGLNC SEFVKELYFRDIEKFIQNGKNITIFVPQASFNEDRGYTKPSLLYHFAEDKIDLEEDFS LFHNIQYVPTQIYDSAFCSSAKRLGGHCQKFKITRSNKGYYINGRFKILNTKPYEIGD TYIYSIDDDLQLPGDLVLSLPPQNHCSISLTLLKDLDILDLPPNHKGYTILLPCMNSW DNNDLTIDYLRSNKTALNLFMRNLIFEDLIYSNNYNISTTVKNLYGNSVSIGVEEVVG SQNLTKISVSNIKESIIVEESSDIFFNQGVVHPINQLDFPVDLEISLKELIETTGTKE IIEFFNLFDDLSSIIRNNEEYSLLVPTASSIPLSGISANSTNLRKFLELHLFPASEAQ NLLDCNGTINTKLGTQLNCRKDHLDNIFVSIQGDWTKEVRVLKTGCTTNLKSSCIFLI DKPISLSWLNNEKYHLHLPGIAVGFGIIIGVTIAISLLFCIIITRGGKVKHSGQGGRV DQATTPLIQHSPIIHNPSYSATAHLSPLSQPTFEGSYSGNAIQRPRDIRRPGSEQSGG RSVSTS SPAR_L00590 MAKRNRSQFRIQERTAKKRKHEDSLLEGNGFHNAPEDINENAIY SIKGASWDEEEQDYEMVPRKNRSDTSNLVEGLPIKVNGKVERKLHKVKEKPKNDEDEE EDSSDSSEDDEALNEEQKEKVKEEEPDTEEKILQLKEDIADLVTKVMEEPEENTAALA RLCKMVESKNPNTCKFSMLALVPVFKSIIPGYRIRPLTETEKKEKVSKEVSKLRNFEQ ALVYNYKNYVERLQSLSKTPSNAAPIQVSLGILAAQAAKELISTASHFNFRADIFTLL LRRICKPRISTDPTSIQIIQTFETLLNEDEEGSISFEILRIFNKILKTRSFNIEESVL NMLLSLDVLHDYDPNTKSEGNVSAPKLKKKDRVHLSKKQKKARKEMQQIEEEMRNAEQ SVSAEERERNQSEILKIVFTIYLNILKNNAKALIGSVLEGLTKFGNMANFDLLGDFLE VMKELISDTEFDNLSSDEVRKALLCIVSAFSLISNTQHMKVNVDLSKFVDGLYALLPY ICLDADIELSYRSLRLADPLNNEIIKPSVNVSTKAELLLKALDHIFFRSKSGTKERAT AFTKRLYMCISHTPEKTSIAILKFVDKLMNRYPEISGLYSSEDRIGNGHFIMEADNPS RSNAEAATLWDDALLKKHYCPVVAKGLRSLSTRSKECSK SPAR_L00600 MSNPDDLDDGLAYDFDAEHEPISDAKVDSPSSNVLQKRSIEEDD GDVDYTDGKKVERNSEDDSGRPVSKRQKKLQKKSKLIEKRKEESQYIVSQRKAIPASS PEKITEYLTTLIREKNPELSALELEELYFKKNDFLSTEKFDAERRLSNFPAFIQKFSV APKKIVFSMSNIRVADVYRSLNGGKNCVKLFSKSKLKDDIATVERLLADKPKKSKNNR DTLYFIVTPTRMQKIIEATDLLFQGKEKLDIILDASYLDPKDNTILSFENAAVLCQVL KTFLNKKSSVKILLY SPAR_L00610 MSQRSMDVEKKAANADSCSVSTSSVNVDDADVALRFLKQNGLDE GSTVDEDDSVAGEEANFYGSHELAPKVLRKVDLFILPFLCCTYLLMFLDKALLNYAAS MGIKDHLKGNEFSNLGTIFSAAYIFMEPVVTYLIQKFPISKILGTFITVWGIVLACHA ACKTYASLMIVRTLLGLFESSSAVGCIAISGMYYTKSEQSARIGFWATQAGTGYIVGG LISFGFLHYHGTAFTSWQIMFLVVGLVTVAFGVLTFLYLPDNVTNAWFLNKEEKIQVV EHIRANQTGLETKKFKKQQVKELFLHDKFTWPMLLLTACSQISTGAIGTFSVTITGTF GFDKYETALLQLPIGAITAMIILITTQMLSRWGHITLITTSMYIPAIIGCIVLISLPL SHKIGNLFSLYLLYSGSCVITNIYIWNSCNTSGYTKRVFRNAITMIVYNVSCIIAPQM FRAYSAPRYIPAKIALLVTQCVCVPLQLYIGYICKKENEKRDKEQEGQEKKKYQFLDL TDIENRNFRYIY SPAR_L00620 MAPVVVSESEEDEDRVAITRRSKRQVHFDGDGDDRVDQQQQHSN HRDRDRHAQRKKKKRLSNRNLQGSNGGYAWEDEIKRSWDLVKVDDEGDMASLVASIVE ARKKRTAKKNITPYQRGIIRSLILTLDCSEAMLEKDLRPNRHAMIIQYAIDFVHEFFD QNPISQMGIIIMRNGLAQLVSQVSGNPQDHIDALKSIRKQEPKGNPSLQNALEMARGL LLPVPAHCTREVLIVFGSLSTTDPGDIHQTIDSLVSEKIRVKVLGLSAQVAICKELCK ATNYGDESFYKILLDETHLKELFNEAVTPLPVNKINKGFTLVKMGFPTRIFEDTPTFC SCHSKLVYGGYFCPNCHSKVCSLPTVCPCCDLMLILSTHLARSYHHLMPLKTFAEVPT TEKFRSEDCFSCQSRFPILKNNKNGKLLTSSRYRCEDCKQEFCVDCDLFIHEILHNCP GCESKPLIT SPAR_L00630 MLNSALLWKVWLRIDNSTDEVNQPISVQFDEIDTVDDLKSRFFQ KLSSTRWREINDNASIAIGLYAPKLENQADNTSSNNNNDTSCRKKSNGAGSCVNLSVN SNTKSSVSPTTGTFGLPKDLAKDRNVLQHPKPTQKRGALYDAFAATPTVAATSNADFP PNEAPMLSPQRPYSTSPKQFSATAKSPLLRFASVSPYPNFHSDNQIMTSTGLAYVSPH NKNKYTRPLIRKGLNCTTESVDDCTYKIIFEPDELAINIYKELFGTMGSQSASQPLLI FSNVNLRQDVPPLDILNVEDYVPTNEEISQQAIQSTDRGVVGVFDLDDQISLGERSPK LRVNDKANLLDKNDNSNLQKFKLITDEEQLRRASQELRDEEKDADSPWQAILLLPKGY KGGVDFRNKPVANTDSSFNNEDTVTHSELEANTGSPLQESGPLNESGIGIMQPIPEGQ RRKDNVTPSSPILTNSQTPHCSNSLYNAPFAVSSPPDPLPNLFTTTSEKVFPKINVLI VEDNVINQAILGSFLRKHKISYKLAKNGQEAVNIWKEGGLHLIFMDLQLPVLSGIEAA KQIRDSEKQNGIGIQKSPNNSHSNIEKGASKRFSQAPVIIVALTASNSQMDKRKALLS GCNDYLTKPVNLHWLSKKITEWGCMQALIDFDSWKQGESRMTDSVLVKSPQKPIAPSN PNSFKQATSMTPKHSPVRNNSNLSPTQIEF SPAR_L00640 MEVHEEQVSTPVTGHITAKRLLQYILSARGICHENALILALMRL ETDASTFNTKWSIQQWIDKLNEYINAINIKLNLLGYKIIRINHGIGRNAVTLKTKQDF GPFEDNTAVLAHDNGSAVLQPIVLPESNRFFVYVNLASTEETKLATRFNQKEIEFIKW AIEQFIMSGETIVEGIASDTSVIVREVNRILIAATGDSNLAKWRKLSTFSVGSTNLFQ FQELTATEIEDLLLRLCELKWFCRTKEGKFGIDLRCIAELEEYLTSMYNLNTCQNCHK LAIQGVRCGNESCRGEDEETGENSPPQIWHVDCFKHYITHVSKNCDLCGSSLITEGVY VI SPAR_L00650 MSSQSNTANSIEAPQLPIPGQTNGSANVSVNEASVNNGVQNGLQ GQKTGMDLYFDQALNYMGEHPVITGFGAFLTLYFTAGAYKSISKGLNGGKSTTAFLKG GFDPKMNSKEALQILNLTENTLSKKKLKEVHRKIMLANHPDKGGSPFLATKINEAKDF LEKRGISK SPAR_L00660 MRIYQCHFCSSPCYPGHGIMFVRNDAKEFRFCRSKCHKAFKQRR NPRKLKWTKAFRKAAGKELAVDSTLTFAQRRNVPVRYNRELVATTLKAMARIEEIRQK RERAFYKNRMRGNKEKDFLRDKKLVESNPELLRIREVEIARKLAKEQERAESGSEQEE SEEEEEEDMEIDSDEEEEEQLEKQKILLKNRRRNTKKIAF SPAR_L00670 MSQLVVDLKCLKNKIAANYDVNYNVDDGSGDKLNNIHPSKRFRT VVRLVDFLFCGSNKELIEGFFCQMVVRNLHCLNLTNGEEEMSLYMSEKLFSAHKDDLM LINGQVLDVRIGVWYGIYQSLPIFEVIDFKILSKNDVRHFCEFVRSPLGEEFLNISNS SPAR_L00680 MKVGIIMGSVRAKRVCPEIVEYVKRTIENNEKLVDEKVKIQILD LQQIALPLYEDDDEPIPAQIKSVDEYADSKTRSWSRIVNALDIVIFVTPQYNWGYPAA LKNAIDRLYHEWHGKYALVVSYGGHGGAKCNYQLQEILHGLKMNVVGGVTVKIPVGIM PLPEDIVSQFSVHNEEILQLLASCIDATENKP SPAR_L00690 MKTVYYKEITYQQYLQLQPEQQEKYLALCQMDFERETARIAFDR QGGVPGIARKFAQEEVAWFDRVTTWSYMNAYIPSYRRKRTYLKIDMLKISNAEEY SPAR_L00700 MNIKTLCHQEYRRISVESLLNPVEEALDCGKSQPHPKPSTKPTN ESLCARTNNTAVQHNVQKRKGVTRRCPQCAVVKTSPQWREGPDGQVTLCNACGLFYRK IFLVFGKSLAKRYFNEIKGVSVKRKIPKSLYGVARTH SPAR_L00710 MKQKKFDSKKSNRTELSKRGDSPNIGISKSRTACKRCRLKKIKC DQEFPSCKRCAKLEVPCVSLDPATGKDIPRSYVFFLEDRLAVMMRALKEYGVDPMQIR GNIPATSDDEPFDLKKYSSVSSLGQEGLLPHNGLLADYLVQKGHSMTSSVSMGKPMGS PQTINIQRKEFLADSKEEDGSALLPEKGSPMTSDARAEELRQCNKEISALGTIRESSF NSFLGDSSGISFARLVFTATNFRQESGDDVLDEDIKLREQKYNEYAEAENNPHFDPLE LPPRHAAEVMISRFFVDTNSQLPLLHRELFLKKYFEPIYGPWNSDIALASDQTNINTA FEIPTASSFSAHTEPKNDNVIEKINVCLSEDVPWYDTWKSLQNDKICSVIELPTKFHI PYFFLNIIFAIGHATQVLKSDITTVATYKRRATKYIASIFSSSDRLEALAGTLLMVIY SIMRPNVPGVWYTMGSVLRLTVDLGLHSEKINKNYDAFTRDIRRRLFWCVYSLDRQIC SYFGRPFGIPEESITTRYPSLLDDSLITLTNQDVDDYSDLPSPNPSSKVIALAMYKIR RIQASIVRILYAPGAELPRRFMDLESWRIETHNELERWFQVDVPKNFDRMNCKYNSIW FDLNYHYSKSILYGLSPKCPTLNDTAFKIVLDSTKGTIDVFYNLCVNKKIGYTWVAVH NMFMTGMTYLYVNFYSKNNINDSQEKVSEYTEKVLIVLKNLIGFCESAKTCYTSYKIL SSVVIKLKFMQLNDDNGIFSGSNTLASQAKRMSGYGKKTNVLGFDDSTFDHKAFNKYN VEEKAPFDIPLEEFFTELEKHSNVPQSDIIDVSETNPVINENVSTNISSAVNGQLNTN NQDIMDILFQVTSRSVWDEFFVRSGNGNEGESSYDFSNGNSSGSGGMF SPAR_L00720 MKLGIIPYQESTDIVYKKVFQDQQEQKRPNLPQLEITHQIKSSV QGTNYEFVRTEDIPLNRRHFVYRPCSANPFFTTLGYGCTEYPFDHSGMSVMDRSEGLS ISRDRNDLISVPDQYGWRTARSDVCIKEGMTYWEVEVIRGGNEKSAPGVDNKENADDL VDEVQSGIYEKMHKQANDTPHLRFGVCRREASLEAPVGFDAYGYGVRDISLESIHEGK LNCVLENGSPLKEGDKIGFLLKLPSIPTQIKQAKEFTKRRILALNSHMDMMNEPWRED AENGPSRKKLKQETTNKEFQRALLEDIEYNDVVRDQIAIRYKNQLFFEATDYVKTTKP EYYSSDKRERQDYYRLEDSFLAIFQNGKYLGKAFENLKPFLPPFSELQYNEKFYLGYW QHGEARDESNDKSITSARKRRQQQKKKKGLILRNKYVNNSKLGYYPTISCFNGGTARI ISEADKMEYLDQIQSGYCVEGCSKVNTLDTLYKEQIGEDIVWDIIDELEQIALQQ SPAR_L00730 MFHRRKRPYNARNYGHDSKKFKSQHVDILPDFSPSGLLELESNN REGIALKHVEPQDAISPDKYMDMLGLQPRDRSVYELVIYRKNDKNKAPWKRYDLNGRS CYLVGRELGRSLDAELDDRKETVVADIGIPEETSSKQHCVIQFRNIRGILKCYVMDLD SSNGTCLNNVAIPAARYIELRSGDVLTLSEFEEDTDYELVFINV SPAR_L00740 MNRIKNTFAVAKRLKLSRVMTNSELPSIFEGTVDLGIIGGTGLY NLDCLEPIALLPPMVTPWGTTSSPVTISQFVGTNSHFHVAFIARHGINHEFPPTKVPF RANMAALKNLNCKAVLSFSAVGSLQPHIKPRDFVLPQQIIDRTKGIRHSSYFNDEGLV GHVGFGQPFSQKFAEYIYQFKNEITNPESEEPCHLHYDKDMTVVCMEGPQFSTRAESK MYRMFGGHVINMSVIPEAKLARECELPYQMICMSTDYDAWRDEAEPVTVETVIGNLTN NGRNANLLASKIIVSMAKEIPEFMHTGDGLRGSIKKSISTKPEAMSKETLERLRYLFP NYW SPAR_L00750 MRTQAGQLGLDDNDVPGPLPDTDSKPSSQAQNDTSMFKLGNFES PVLKELSRRTVNKEMETQRIITNAIAFAFWNLLVKFIKFFGDNTNVGRQFCNRLSRMH LYLLTFHSVKQANIIYHSTFSWLTAELIDYLFHLVISLNILFSLWKLLSTVKVSDLKL TDRQKRLLGVDIQSSIDTGLQPQQPHYVSTFKTRKMAQNKTHIPQTNSKNHPAYLFKG LETPLKARQREMAEEQTKLQSHSLHMKSVFGTLQRGNGTSNTLLSPNNDNNSPHTPVT RKGYIPSSKYAYMMNSQSPRGKI SPAR_L00760 MGFIANILCCSSDTSKTHRQRQQPETNHNRNRNRKHSQNQAQSQ SRKQKATPNGDKMQYSTPEILLSSSDSGSNAGSKVKQANGNSSNGKLGPLSRNHSNDS YDEEKEYEDYNEGDVEMTEVNNAGEEEEDDEAKEKQDHVVHEYNVDADRNSSITDGGP QQSQYQVDQETINPQYVASSPDNDLNLIGTTDEDFSDLTHLQPDQYHAPGYNTLLPPK LQVFQQKKCLILDLDETLVHSSFKYMHTADFVLPVEIDDQVHNVYVIKRPGVDEFLNR VSQLYEVVVFTASVSRYANPLLDTLDPNGFIHHRLFREACYNYEGNYIKNLSQIGRPL SETIILDNSPASYIFHPQHAVPISSWFSDTHDNELLDIIPLLEDLSLGNVLDVGSVLD VTI SPAR_L00770 MVNWVIDGVQRLVSAIILTSFMTGLFILSLWKNYVTVHFQHKND PRDTRSSRTKIQSNDKKKKRPARHSRPLSISSTTPLDLRQDQENNIEYDRTVTSKLSM TSNASLSGDGDGNGNIKMETNVNQAPYAAENPFQNIALAEDTKLVPDLKYYYKEYGID IQEFEVETDDGFIIDLWHFKSRLNDSIEEVKREPILLLHGLLQSCGAFASSGRKSLAY FLYESGFDVWLGNNRCGLHAKWNMKKLGNDPSKKWDWDMHQMVQYDLKALVTYVLDST GYAKLSLVAHSQGTTQGFMGLVNGEKLYASDFKLVDKLENFVALAPAVYPGPLLDEKA FVRLMAKGIDSPWYFGRRSFIPLMMTMRKLMVGTKIFSFLSYIMFNYLFDWNDVLWDR VLRDRNFLFSPVHISVKLMQWWLSPQPNKLSFKKGAEKIFPDKKTWFPIAKNDDDGGT SLNNNNLHLNSKRQNGEDFPHIMMFIPKQDRLVDGERLINHFINHEANAVYKIWYIDE YSHLDVLWAHDVIDRIGKPMIENLRFPNAK SPAR_L00780 MISYEFQTCIPKGTDSSLDALSENKELYIQATHFNNTILLQIRL NGEMDSTYEISLKGLNPLLDIDTVPLAGNLGNTDGDYDDEEEEFVRDHLSDYQVVTKL GDSADPKVPVVCVQIAELYRRVILPEVNGAMAQDDMQFSLLISMSSKIWKAAKGQDAD DNDFGKLVFMLKCIKDMYAK SPAR_L00790 MPINQPSGQIKLTNVSLVRLKKARKRFEVACYQNKVQDYRKGIE KDLDEVLQIHQVFMNVSKGLVANKEDLQKCFGTTNIDEVIEEIMHKGEIQLSEKERQL MLNKVNNEMLTIVSAKCINPVSKKRYPPTMIHKALQELKFSPVINKPAKLQALEAIKL LVSKQIIPIVRAKMKVKVVVSEPSKQSELIEKISRLITSSPGESTNSDSDPWACIGLI DPVNYRDLMTLCDKKGTVQVLDMAVIDNTTHN SPAR_L00800 MMDPSSKSLTQYIPSPMGSLSRLKRKGVDNFQKVKKSSKSIYNY NYSKFVPHPFSAIDESIKHSENGLFDDLEIIDSPKEKKVTSSVYKRNSGKSLNAESQF SLGDSDAVTLVNSVSTFKLNNASTSTSLVSSSSTVCSQVKSSSRSSTNHSIQTRIKEE NDYISSVKDYCGPVKKSMIKTEILIEEPLNPTTDIKSFINSYNHGKAYNLGETQHLHY YQLPFPWRENRYIIHGYRFYNTHSKSLLSIFNWYGWHNETSNIWSHLLGAMYIIYLAI FDFPQSEVWQNSQVPSQARWIVFMFLAAALKCMLSSVFWHTFNGTSFLNLRSKFACVD YSGITILITASILTTEFVTMYSCYWAMCTYMSISLALGVFGVFMNWSPRFDRPEARPL RIRFFILLATMGVLSFLHLIFLTDLRYAARLFSPVTYKSVVWYLVGVVFYGSFIPERF RSDVQVDKTIPTNYELSTDLEIITKQREIHFRKVPTAHSKCKSCPSHAKSFKSLWWVD YFGCSHTFWHFFVVLGVIGHYRAILDMFAKRWVLS SPAR_L00810 MENPDQAITNIRDFLTELPKLAKCEYSETTSYLLWKTLNLRLKN NDNDINWHSLVSILNSEAWTSEKYRDILNGTKWRTLEFEDDHRSVGNVHIGTACTRLC FPSETIYYCFTCSTNPLYEICELCFDKEKHLNHSYVAKVVMRPEGRICHCGDPFAFND PSRAFKCKNKLNNIPISNNNSNATDDENVISLLNYVLDFLIDVTVSYKEEAEAHSGER KESSLMHPNQNTTTDDITDKQECESLVNDENFTFFDNNWSNTEKETHVEWALQIEEEE CNVHYMDLASIITKILNTPVEYAISITKALEDSHDVVTVLQGENFFEMDRIAKEFQKE NIRVHVRKVDDIFKRKLTDDLTDWLYSLCFKSTKSLENKYSLRISMLDVWYSHFSKMR VSPSNTNPDFSKINLLGGFLISNEDSDESWFKPWSLENIEDEKISKILTNYNERLTRA HSPNTVSHFYNFYGSRFQYIIINSINILSKKSKFKMLKIIASLFSVRDESRKFLAAQY IDVYLSVLYDAVASDAKECQVTLMSILGQYTFQDPSIANMTIRSGFIERTIRFAFTLM AFNPEDLMSYLPISLYNGFKLPTETIRNRRTIICFKDLCTVMSANTVPEELLSNDAIF NAIIESFSEFSNVLPLKRETKEHVEVENFDFSAFYFFFSSILIMTDGYTRSISLVKDA AFRKQIVLKLLHVAQTREFESLTNSRKAMSSDNASTNETDANKATLSTVRETICNYVA ETINFQVGVSTQYFFNPMSYLFKFVIQWSQCGRYEPIPAPLTNYINLFEVFQDKQKAL HISESALSTLVLIGQINVGFWVRNGTPITHQARMYTKYSMREFTYISDIFNVQFSMAM CIPDELMVTYLSRWGLKHWANGVPMYDYPDTETTIAVVNECILLLIQLLTEVRSLVMK SSKEGFERTFKSEIIHALCFDTCSYAQIVNCIPEHITKHPSFDIYLEKYANYTSPVSL TDSGVFSLKEKYKDEIDPYYIGLSSSRRYDVEKNIRLNMANLKKMKYEDTFVPAKKVK DMLKNTLFSGLYSISSVNTFGLFLKNTLDHIIKYDYDNLLPRVVHLIHLCVVNNLNEF MAILWHEYAIVDTEFCHYHSIGSILYYCLLKDNFSESHGKIREIFRYLMETAPHVNVN SYLREQTTSYTPGILWPPKEDKGHKDKEFERKKHLARLRKKKIMKKLAQQQLKFMENN SVDTSEISTPRTTSPSLSPPRTDADNSSNTTNSCCDDDCVFCKMPKDDDVFVYFSYQE RNICDHGIDFTNPSDVNRINSLFSGKQTKDSAVQEKIQDDDGTRLKLTSCEPVLRACG HGSHTKCLSGHMKSIRGIQNQTTKNIPLSYGSGLIYCPVCNSLSNSFLPKVNDIDKRT ASEFFKCIEKQMEVEENLDPMSPISIKAAMIIGDLQGKKVTTIKDAYKVVNSVLVNTI SNTELRLRSYKEEGKIVNMGRISSQCILTLRLLCDLKSFLYKKFVQSKKFSNEVSRKI WNWNQFLIDGNNMDLLLYMSQSFDSIDKGKILEPPTLCIYEMFKRRFHQLLLLLARDM MRVNFYKDCRNKIKISPSGSEESSPSFCYLFGSFKKYVDLFKPDDIQFDVASLEKIKG FICLLLLESLTIFCRKTFLLFSIQYDDHNINNCPNHYGITKQYEIELIFQYFKLPNLT HFLKDFFYNELTQNIERYNDGNDNLRIQQVIYDMVQNINTRSYPSPEHIQLIKLPLNL SKFTLDNDEISNKCDKYEIGVCLLCGQKCHIQKSIALQGYLQGECTDHMRNECEITSS YGVFLMTTTNAIYLSYGKRGTFYAAPYLSKYGETNEDYKFSTPVYLNRARYANLANEI VFGNMIPHIVFRLTDGNADLGGWETM SPAR_L00820 MWSSLFGWASNNARNTESPTKAIVRLREHINLLSKKQSHLRTQI TNQENEARIFLTKGNKVMAKNALKKKKIYEQNLSKVEGTMESMEQQLFSIESANLNLE TMRAMQEGAKAMKSIHNGLDIDKVDETMDEIREQVELGDEISDAISRPLNTGANEVDE DELDEELDMLAQENANQEMSKIVNNNVNAAPISDNKVSLPSVPSNKIKQSETSMKDGG EEEEEEDEDEKALRELQAEMGL SPAR_L00830 MNIKDRTSEFQQSVLSYKKRNKNFKDQQRERLQEQENGDSFNHT TGNGKNVSEFQKKASGIAHEISSTAQLLSKLAVLAKRKPMFNDNPVEIAELSFLIKRK IYAIEQSLVQLSQLKKTDVSGNTSNQSSNQPSAVQHSKNVVNLLNTQMKNISGSFKDV LEERQRLEMANKDRWQKLTTDTEHAEADDHTQSNHAVDLTTYNNSNPFMTSLLDESSE KNNNSSNQGELSFPQNDSQLMLMEEGQLSNNVYLQERNRAVETIESTIQEVGNLFQQL ASMVQEQGEVIQRIDANVDDIDLNISGAQRELLKYFDRIKSNRWLAAKVFFVIFIFFL IWVLVN SPAR_L00840 MSAALFNDIELLPPDALFGIKQRYGQDQRTTKVDLGIGAYRDDK GKPWVLPSVKAAEKLIHGDSSYNHEYLGITGLPTLTSNAAKIIFGTQSNALQEDRVIS VQSLSGTGALHISAKFFSKFFPDKLVYLSKPTWANHMAIFENQGLKTATYPYWANETK SLDLDGFLSAIQKAPEGSIFVLHSCAHNPTGLDPTSEEWVQIVDAIASKNHIALFDTA YQGFATGDLDKDAYAVRLGVEKLATVSPVFVCQSFAKNAGMYGERVGCFHLALTKQAQ NKTIKPAVTSQLAKIIRSEVSNPPAYGAKIVAKLLETPELTEQWHKDMVTMSSRITKM RHALRDHLVKLGTPGNWDHIVNQCGMFSFTGLTPQMVKRLEETHAVYLVASGRASIAG LNQGNVEYVAKAIDEVVRFYATEAKL SPAR_L00850 MGKYTKTAILSVYDKTGLLDLAKGLVENNVRILASGGTANMVRE AGFPVDDVSSITHAPEMLGGRVKTLHPAVHAGILARNLEGDEKDLKEQHIDKVDFVVC NLYPFKETVAKIGVTVQEAVEEIDIGGVTLLRAAAKNHSRVTILSDPNDYSIFLQDLS KDGEISQDLRNRFALKAFEHTADYDAAISDFFRKQYSEGKAQLPLRYGCNPHQRPAQA YIAQQEELPFKVLCGTPGYINLLDALNSWPLVKELSASLNLPAAASFKHVSPAGAAVG LPLSDVEKQVYFVKDMEDLSPLACAYARARGADRMSSFGDFIALSNIVDVATAKIISK EVSDGVIAPGYEPEALNILSKKKNGKYCILQIDPNYVPGQMESREVFGVTLQQKRNDA IINQSTFKEIVSKNKALTEQAVIDLTVATLVLKYTQSNSVCYAKNGMVVGLGAGQQSR IHCTRLAGDKTDNWWLRQHPKVLNMKWAKGIKRADKSNAIDLFVTGQRIEGPEKVDYE SKFEEVPEPFTENEKLEWLSKLNNVSLSSDAFFPFPDNVYRAVQSGVKFIAAPSGSVM DKVVFQAADSFDIVYVENPIRLFHH SPAR_L00860 MGAYKYLEELQRKKQSDVLRFLQRVRVWEYRQKNVIHRAARPTR PDKARRLGYKAKQGFVIYRVRVRRGNRKRPVPKGATYGKPTNQGVNELKYQRSLRATA EERVGRRAANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDARYNWICNPVHKHRE ARGLTATGKKSRGINKGHRFNNTKAGRRKTWKRQNTLSLWRYRK SPAR_L00870 MEREQIMEYVQETPIIPRRIIHYSVPKQTIAKPSPHVEMTFAAN TFRDMDLPQHPVIHDCWQNKEYSTQRYSGNLAQQRLSFEEHQNEERPNSVGLIKRVSI LFKKKPSSQKNSIKSIVDEKSDGCSRSESCLSEVDDLKEKNIQENLVDEHEKSPEGDS KRYGLFSFEETPPVQVLEQSYSNSANSSFKNTSSTKNKRSSDSFVSPEPAEALYSPLE AVPCCSSTEREHLHPGEERIDTAVQNLKVASMKEKKKMLQMEQNRLITEIIRLENILK KHRKAKTKKSISKPEKKPSEKDSFISANASSPTDSTTPILNKRISHPDIDFCDNEVSD VLDAFEFEKHDDPLRDKWTALQSLENSFESKFESASNLIHGEELVAIKERNFQLAKIN NLCFRVRESIKRRQDLETKLRSLSQDTDNELLFLMMENKRRQKSSVITQFLSDIIREK SKRFSAEEQGFVNQNEVKPLILDLSARINRLNSILETKNTCIRRLSNQ SPAR_L00880 MSHIEQEERKRFFNDDLDTSETSLNFKSENKESFLFANSHNDDE IVSVNDTPEEERDRSILPAREEIGEESQNQFIAELLRIIPEMATDIAMELDEKFGSQK EGLSLALSHYFDHYNGKSTSNIPSSPNKIHTLSDTSTSALSPPSSHVKRKIIYGFRDQ HRLEDKITWKRFIGALQVTGMATRPTVRPLKYGSQLKLKRSSEEISATKVYDSRGRKK ASMASLVRIFDIQYNREIGRVPEDIAQILYPLLSSNDISFEATLIFCDNKRLSIGDSF ILQLDCFLTSIIFEESNDGQSFIKRRRTERENKRERDNGNFGRTLTETDEELENRSKR LALLRLFDKLELKPVLDEQKALEKHKIELSSDPEVIDIDDDDICSDQATEPHRNFRDT QHEEETMNLNQLKTFYKAAQSSESLKNLPETEPPRDVFKLELRNYQKQGLTWMLRREQ EFAKAASDEEASEMDANMINPLWKQFKWPNDMSWTAQKMQQDHVNVGDDIFFYANLHS GEFSLAKPILKTMIKGGILSDEMGLGKTVAAYSLVLSCPHDSDVFDKKLFDVKSAPVS NDISSTFIASSSDNKKPYASKTTLIVVPMSLLTQWGNEFTKANNSPDMYHEVYYGGNV SSLKTLLTKTKNPPTVVLTTYGIVQNEWTKYFKGRMTDEDVNISSGLFSVNFYRIIID EGHNIRNRTTVTSKAVMDLRGKCKWVLTGTPIINRLDDLYSLVKFLELDPWRQINYWK TFVSTPFESKNYKQAFDVVNAILEPVLLRRTKQMKDKNGKPLVELPPKEVVIKRLPFS KSQDLLYKFLLDKAEVSVRSGIARGDLLKKYSTILVHILRLRQVCCHPGLIGTQDEND EDLSKNNQLVTEQTVELDSLIRVVSERFDNTFSKEELEAMIQSLKVKYPDNKSFQSLE CSICTTEPIDLDKILFTECGHSFCEKCLFEYIDFQNGKNLCLKCPNCRKPIDACRLLT LAQPSGSSENLEFKPYSPDSKSSKITALLKELQLLQDSSAGEQVVIFSQFSTYLDILE KELTHAFPKDVAKIYKFDGRLSLKERTNVLADFAVKDYSRQKILLLSLKAGGVGLNLT CASHAYMMDPWWSPSMEDQAIDRLHRIGQTSSVKVMRFIIQDSIEEKMLRIQEKKRTI GEAMDTDEDERRKRRIEEIQMLFE SPAR_L00890 MTESVSGNKLGDLLVNVQSILNAASVKCHVVDESFPAKFFEKNP DKIYESYCKFIKNRSNSEGSIRNEDKLVLTTINKRFENGDYEPAQGGFYKLYHDIKLV CTILIHFYPQGTRNYQLVDKFYKFSSELLLRECCRIGIALTQTINIKSRSGKSLSGNE TDEYDDDDATELDKTISYDFIKISMNYSVPISQTYQIRTKDMDLFSSIISKSNLDKRP HELPNTNFKINNVLPQTDIENEAPRLGFVGANTSNIPDPTLPPTEMMTKFLHPNWYAL PTTVWLKYGNYNSWAPSFNENGTVVDSTTRGLIWLERIGYMDLYEKNERKVKQEERPD IDEEGTNGKENDENERVDGKSNGVESNSGDNDATITSRSSEDTEDNEQSIIKLQNLYN WTPSNYIGDDEIENFRNGTPDKLVSESLLKLRKLRKERILNRVSKPTTEEREIYFKVK RILKEVILAKKVSKVPINNVRAFPVLQTNYNGSIPVVRAQPGRKRKHKK SPAR_L00900 MRSYMEILQKFVKFIGPGILVSVAYMDPGNYATSVSGGAQYKYT LLFSIFISNIFAVLLQCLCVKLGTITGYDLAENCRHNLPKKLNYTLYFFAEVAIIATD LAEVVGTAIALQILFKIPLTWGVLLTVLDVLVILMFYTPNGQSLKKVRVFEFGVGLLV IGTCICFILELFKISIPDKAELFKGFLPSTIIFKEQQALYISLGILGATVMPHSLYLG SSIVKPRLHDYDMKKYGKVNARPSLNAIKYSLNYAYAELIISLFLIATFVNSAILIVA GATLSGQPEAEDADLLSIYKLLVHYISPAAGLIFALAMLCSGQSAGIICTLAGQIVSE GFLQWSLPPWATRLCTRLIAIVPCLFVTLTMGEKGISDILNFSQVILSLILPIVSAPL IYFTANRKLMVVHDENGVVRAPADVNVIADETTPLNSKHSKIVDFTNSRLLTYSSVFV WALIGSLNCYLVISFLLGADIHF SPAR_L00910 MTIYQLSPESQWKIVSGSFIYGPVAAVRELLDNSIDSGAKKIFI DVDSTTGGCEYISVKDDGSGVDISDRPSMCLEHTTSKMSSLGDISILTTLGFRGEALF LLSNLCNQQGSMQVETKTADDVIGEKWLVDSEGGITNGKRYKVSCPVGTTVTLRKLLG GLRARYLEISSKPRRTFDELTHLINHYSLIHRNVRFYFSLVSLQKNGAIERKQMQETL DSKISRARSLSLLARLKKPVSLNFIVEENFVIDEKLNLDLILPRMVPESDVINIKKRF RFLSVNERALSLNLETGKTISRLLSSVYKNSSLLEPMIWFLNLNCDTKLLDVNIEPEK NDVMIKSFEVILKKIESNLKLLLEEKICIKTNILGDERIQPPIDKKISSALKILTPDA EDEISKDRGAISCKDKADSQQKNPDSIAPTYYDESNLENTTIVAATPSPTKLGENKAL DQQTQQEISSHRTSSSGSMASEDGINWRHNFQQELSESNEIAGAGSSTLPSSLTYNYI ETIPENEDLELSKDASISNPFMITKIRNANRKLSENSFKAKHNCENPDKRKIPKERQL SYAEKEELIKLQQVWDKRNNAVDTTIPQNLKKKNIDEYIKNVSCMRNIKPRLMHFSEY TNNYVFTLKNENLIKHGSDSFAKETLWLRSRDDPTNPSSILLQGLRSHVKKPGRIEAT TSEWCLFTPDSP SPAR_L00920 MRFQSPIFIEKLTKVVFVVSSGVYFMTPPLYNLHEKYPKVIILK IVEMALQSVTILLLIIFFFSCWKNGHFRNENKEKPKRCSKMICSSCAARRRNPKWFQL KYLLLTLLLFAFGLYPLIKIQGFFEIDQSINLYRLSQLFGWQVSWISSAVLLSFFCNE LSLHSGPVEEDGYVNEKEAIFKSTTGQQV SPAR_L00930 MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLADYYMFQAAHPTETYPAEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYS TRLRPAISSALSKDGIYTAIPN SPAR_L00940 MADQENSPLHTVGFDARFPQQNQTKHCWQSYVDYHKCVNMKGED FAPCKVFWKTYNALCPLDWIEKWDDQREKGIFAGDINSD SPAR_L00950 MHLWPVSPPQMLRIPPRNAELGGGTKMDDRNILQSMTLPQANVL IMLTPTRVLIYNFKPMALVASHERTMASLQEFGDNRSMKRSAPYNDIIEGLISKKDSQ YLLWHQGKLIFYVMTDKNFLLTYQILKNCTNETIFKEYGIPVIEPLLMNEEEANSAEY DYNTDDDTLTVFDKNNSSRIIQNGFGITKEKGFLHFLSNQENIDELPVKKLELRLKVV LKFDYEIIDMIGIKTFSKVGDGRYEEVLIVLFPHGLQILTISDFKVSKSSLVEVKNGS KTIVCNKQLMVLSHDPVEKQTILSIIDIEKQAVEAITLPDTPDKLLTCLEVNGYLVIV YKEKIIYFDSRIKKISHFWKPPFVIKLCDRINDNILLLVSEDSTNIHFYTEFGNLLFA TYFDEDDYNDDNNNDSSKDDSEKKKVAQYEISDFVFLDKSLITVSHSGKYQVWKLWEE IKQTQFDFRNPKCYVLTNTNNDVVIYSPVTSSSLNNDNLQVIKLPTKTFNNHIAFVKI NSSLRLLATYVSNKNILLIHNLETNTWSSFADQNVLDLHWLGDNYLICHMKKDDGSTS LKCLQIPLQEANSDVELSDYVIWEYNVPENTIVFSLHVNTLFRYKLLKIKSKDHKASE KQAEALLKTAEIILVTDTQTIVFDVISTVHPCGLNIIKKFYQYLKINIPIDVLPNKIE WITNMKEGLLFFADRKFIKFGKLDGGGWQTLTLLNNIEKIVDVIRDEIFVIQGHNYVV YSLEDLWDDKKPLISIPIEEDLYPISTTPETATTHTLHCIFNSRFSKLVVKHQIYLDQ LILAKLRDNINLEEISNDYRSLRPYKFALEKILSTKILQSDSLDDILKLIKMYDNTDS EHNISVPTHGGMLEIISNCLRKIETKYWNHLFTNLKMTPRDLLALCIEENEAKMLGVL LLVFLNYDEKDLGDDLHFKKTDLETKESKTVNDDSTKTSGKSVTNLLKDEELMLKVLE LLVTSAANATDTIKATDSWDMCFQLIRLLKELDRENNTQLVQKALERFK SPAR_L00960 MIFTPSFHFIKNVFLVSLLVSHSYGAKTITSTSADDTFARSAAA DADMAFFMEFLNDFDTAFPQYTSYMMQNHLTLPQAVADYYYHMVDLTSTADLQSDIAQ SFPFTQFQTFITGFPWYTSLLNKASATTIYLPQHFITDETEATMTNSSYISQTSSASN SIPSSTANASQSIIPKANNKNSTATLTSTSNSSSASSTSQSQNGAHAKSLYLPMALFG ILAAAL SPAR_L00970 MKISQFGSLAFIPIALLQILIVQAQLLTDSNAQDLNTAFGQKVQ YTFLDNGSSNDQLLHLPSTTSSGIITSSLAATNNTGFSLSSSLPKVTSSVVSSINYQS SNSTVVTQLTSLPSSSGNQTTSPKTTNTISSSTSTGGVGSVRPCFYFVLMLETITYLF S SPAR_L00980 MVTQFKTASEFDSAIAQDKLVVVDFYATWCGPCKMIAPMIEKFS EQYPQADFYKLDVDELGDVAQKNEVSAMPTLLLFKNGKEVAKVVGANPAAIKQAIAAN A SPAR_L00990 MSEITLGKYLFERLKQVNVNTVFGLPGDFNLSLLDKIYEVEGMR WAGNANELNAAYAADGYARIKGMSCIITTFGVGELSALNGIAGSYAEHVGVLHVVGVP SISAQAKQLLLHHTLGNGDFTVFHRMSANISETTAMITDIATAPAEIDRCIRTTYVTQ RPVYLGLPANLVDLNVPAKLLQTPIDMSLKPNDAESEKEVIDTILALVKDAKNPVILA DACCSRHDVKAETKKLIDLTQFPAFVTPMGKGSIDEQHPRYGGVYVGTLSKPEVKEAV ESADLILSVGALLSDFNTGSFSYSYKTKNIVEFHSDHMKIRNATFPGVQMKFVLQKLL TTIADAAKGYKPVSVPAKTPANAAVPASTPLKQEWMWNQLGNFLQEGDVVIAETGTSA FGINQTTFPNNTYGISQVLWGSIGFTTGATLGAAFAAEEIDPKKRVILFIGDGSLQLT VQEISTMIRWGLKPYLFVLNNDGYTIEKLIHGPKAQYNEIQGWDHLSLLPTFGAKDYE THRVATTGEWDKLTQDKSFNDNSKIRMIEIMLPVFDAPQNLVEQAKLTAATNAKQ SPAR_L01000 MSGEEEVDYTTLPLEERLTYKLWKARLEAYKELNQLFKNSVGDV RRDDNIQMYWRDPTLFAQYITDANVVAQEQAIVALSSLIDAFAPSSLKNSHNVTLIST WTPLLVEKGLTSSRATTKTQSMDCIISLCGLDTSITQSVELVIPFFEKKLPKLIAAAV NCIYELMAAFGLTNVNVQTFLPELLKHVPQLAGHGDRNVRSQTMNLIVEIYKITGNNS DLLEEMLFKKLKPIQVKDLHKLFAKVGDEPPSSKMLFQWEKRELEKKRSQEEEAKKRK SILSNDNGEYRMDRDGDTLMGMETDMPPSKQQSGVQIDTFSMLPEETILDKLPKEFQE RITSSKWKDRVEVLEEFWDNVLSQIKKLKSSSQNYSNLLGIYGHIIQKDANIQAVALA AQSVELICDKLKTPGFSKDYVSLVFTPLLDRTKEKKPSVIEAIRKALLTICKYYDPLV SNGRNEDMLKDILEHMKHKTPQIRMECTQLFNASMKEQRDGYSTLQRYLKDEVVPIVV HIVNDTQPAIRTIGFESFAILIKIFGMNTFAKTLEHLDNLKRKKIEETVKTLPNFSIA SGSGHSTIEVNKQTGSMENKFLLKKSSVLPSKRVASSPLRNDNKSKVNPIGSIALAAK PSMVAGTNKSRVLLTSKSLATPKNVVTNSIDKNEKLVEEYKYRLQKLQNDEMIWTKER QSLLEKMNNTENYKIEMIKENEMLREQLKEAQSKLNEKNIQLRSKEIDVNKLSDRVMS LENELRNMEIELDRNKKRNDTNLQSMGTISSYSIPSSTVSSNYGVKSLSSALPFKEEE DVRKKEEVNYERRSSESIGDLPHRVNSLNIRPYRKSGTGIGSVSDDLDLDFNDSFASE ESYKRAAAVTSTLKARIEKMKAKSRREGTTRT SPAR_L01010 MADYEGFYAYKPHKGAAIAFVVLFGILIPYALVQITMAIHRSRR CMYLCKCNELSEENSTVTYFSARNLMWTYFPFVLGIILQFVGYVLKIVFINRPEISTF IAQSVLLLIAPSLYALTIFMLFSKMARLLLMERHMIIPAKYSTVSFLVSDMIGRVLQA VGGGLLSSWNSRNTGRVLIIVGLFIQIFCYGVLMLNQLFLDYKMKRATSKILRDSDAW FQFNYILLSGIVLVNARTIVRVVQFLMGLKSYISQHEWCLYVFDTVPMFLLPLIFLVC FHARNLFKLQEKSVDIQLAKILTKESASEN SPAR_L01020 MDLKSIISWFKEHLPSFDVDVDKHFRTLKVRKYSQICLLISFII ICVIIPLMNCLLLTNKFFKICHHLKHHVFNRRSWIHKTHMYHNQSLQLCLMCFVFTSI FVVQGANGDLLEITKRMGRISVALMPPLLFLTLRPSPLPHTLYLALLPLHKWISRIVV LESVLHTWFYLYYMYINDTLYVKMKKLPNIYGVIALGLFLLIAITSIRYARRWSYRVF YYVHYVSTWLILVLLHYHARPGISYYTTLNVLILTGQIVYRLHISNVTRVTIVPISSS LSLLEFPLTDLPKRPILPGGHLRINIYHRNFLRRLLSHLIPFQHPFTIASIPSDNLVR LIIRNGHFPLRTNEKYYITGAFEPELSFISKPSSPFDITTRSSKNPFRNNSSALINSP LNFIIKAQRVFMCVGGSGISFGLPLLRILNFNGVNVRLLWVSRDYKDLEVLNHFRNNF EGMEIYISGTEGNEQDIEIDYIDYHDSSADIDDEGSSISSSERLAQLGDNSMLSDGNS QPTEPNENTALLSKKSTLRTHQSHKTNDIPDINADDEIDFTYAFSRSKSTKNTAQGTL TTHSSFNGSSVFRQPKVIEPPAQDPSLEAPLRKIRIPAGVKVFFGRPTLGDKDYEWCL QTECDAETDSIQCCRWANQGRDHAEYLSQVWVLAAGPRGLIESTRRWATDGGLHFHGE SFAL SPAR_L01030 MSLPATFDLTPEDAQLLLAANTHLGARNVQVHQEPYVFNARPDG VHVINVGKTWEKLVLAARIIAAIPNPEDVVAISSRTYGQRAVLKFAAHTGATPIAGRF TPGSFTNYITRSFKEPRLVIVTDPRLDAQAIKEASYVNIPVIALTDLDSPSEFVDVAI PCNNRGKHSIGLIWYLLAREVLRLRGALVDRTQPWSIMPDLYFYRNPEEVEQVAEEAA AAEEGEEEEVKEEVTEGQAEATEWAEENADNVEW SPAR_L01040 MHEYVPPSQRLFHTRHRITRDDLKEEALHSGTTDWTTILDTTID KDTNLISYAVPIIDNFAIPRANSQGSPAAPLPNNRSTIYSSSSSSASSVFSDGLFTPN NNRNSSGSSSLVIRPQKNLSVDSLIQENKRKINSEKESLSLITNNNSETLCTHTDPSI QNLIKSETKRNILNLKFQNRNLFRRELKLEKFWSNLRSCHTSDDESDLLLVIRKHNLF WFGIPNDFRLPIYKRCLYHYSELDETASFNQYANNPLYLAIRKCCNNEEQETLSRSIF INLTKNVTWLNSRFNDNKDYRDGYTVTEGKFYQDFPNLYYHLKDKLKLNVIKDFIKPV IRNFMINALNKHKLDGIGLELLDILIVTAYYGPNKINVFLMDTFILNLLKQCHYKFFV SSISELVIQISKIDCDLVILLEDLRSRVNLD SPAR_L01050 MKLGHREQQFYLWYFIVHIPITVFIDSSVVIPAKWQLGIAQKVV SDHIEKQHDFLLSEKPEWLYWFVVLELVLQLPLFGYFVNKLWNLSELQINTDSRLQKW LRIYGWNASLTTLICIIVIFKRGYIPYDVLKTSLSMTQKCQLASVYLPTFLIPLRLCF A SPAR_L01060 MDQSVEDLFGALRDASASLEAKSTAKEQGSFQQEDVLQIDNNDD EVNIESKFQEIEASLKKLPKLETGFDALAKKKKKKSELPSVETEDERKSNKGDKDDND WFALPKPDDNMRREVQRDLLLIKHRAALDPKRHYKKQRWEVPERFAIGTIVEDKSEFY SSRMNRKERKSTILETLMGDEASNKYFKRKYNEIQEKSTSGRKAHYKKIKEMRKKRR SPAR_L01070 MKFEDLLATDKQVQFAHAATQHYKSIKTPDFLEKDPHHKKFHNA DGLNQQGSSTPSTATDANAVSTAPSHTNTSTFKRHIVAVDDISKMNYEMIKNSPGNVI TNANQDEIDISTLKTRLYKDNLYAMNDNFLQAVNDQIVTLNAAEQDPETEDFDLSDDE RIDILTKIQENLLAEYEELSQKERKWFILKELLLDANVELDLFSNRGRKASHPIAFGA VAIPTNVNANSLAFNRTKRRKINKNGLLENIL SPAR_L01080 MDMLDDKCSDAIGSISNIGLDNEVGKHKFQYDDFGSSAFSEPFE MGSQDNNDNIEDFLFFNINLSQEIKFESQEQYENTKKTKKHNPFYVPSEVVREMVRKQ AFNDKI SPAR_L01090 MKSKLILDPKKMALKMWELLIPKISLNILSIIYEQFDYEKMLEN KSTEIIFKDLVKELLGICFAQCGSKMTRFLQVKSQGEEEINFGKIVEYCKSKKLQLIN STANEHPEYLSLPFEPYCFYHRFEYPAQILLHQPIILAKKYGVSCSNLNFLYGFYTRL LTLSGLSINGGRCEHALSMLDGRIVGGMNVGSGINNGQDFNDGDSKDEDEDINRVDKN AKEGSFISLSQRFSMTSPLGANDPALPADLEKLYLGAEYISNCDTNTSGGQKRAKSPT KADTGYDDKHLPDNIIHTFEDEYLADEDDFSCLGIDKRSSTKPIKPLEKFGVVAVPHF IRRFSVKRSSKDKSNDMKRPYTTSSLELQLRSNHFMFAKEYQDLHRQLYYEVEPKTQS ALDARRRNYAELESQMWKIKHRFNIHRGALPRPRTNPYEQLLDHIDVLNRGNTGDILR FTTSRYGGVDTYDSILRDQTTIMSLLDKRCAYSPPVLVDNEGQERDHDRDYHQDYDHD HRGH SPAR_L01100 MEENQLTCLIDGNINFLFFDSWRNTSSTISRMEIKFKQLFYNIG FAICVQ SPAR_L01110 MDEVDDILINNQVVDDEEDDEEMLSGLENDSKQDLERNDDGGED EEDDDDDDDDDDDEDDEQEEEDGEDDAAKADKTATPMNDQQADQKPTAGDSVDSVTKI GSEDVKLIDVDGGVGSREASSSTHEGSTNGEVYEYYKHMLHAAQIADSYNIYPTAAIP IQTHVNALAVSRGLKYLFLGGSDGYIRKYDLLNTLEGKLSLTILQKHSLAESIQNAGI LQSYWENEIPQKRSEMKLSANKTDYEPKVSPVHSLEVQSECLFILSGLQNGGITMQGV RYMEGSIAHYFKGRNGHNQIVNILRLNGQEDRFLSGSWDKRLLEWDLQTGDIVNEFKK SRSELSSLEMRPLYSSVDVSSNVNSGKENGNVDDDMDSLFGDEDEDEKQDVGKEPVDT GDSSRGEENKEQISQESLNIVYDESVFMTSGLNGSMYIWDRRMTQSPALSLERGPGVP PWCLSACWSVDGDHVYAGRRNACVEQFDLKMPSKPIHNLKLPSISGPVSCVKAMPNNK HLLCASRDNIRLYNVEVAVAVSNPTTKSSKVPFLIVPGHHGGIISNLYLDPTSRFIIS TSGNRGWQGNSTDTTLIYDVDLE SPAR_L01120 MDLVLEVADHYVFDDLYAKVLPTTLAANIPAKWQNLLGLNGGFS NSTILQETLNSKNAVKECRKFYGQVPFLFDMTTTSFASLLPRSSILREFLSLWVIVTI FGLLLYLFTASLSYVFVFDKSIFNHPRYLKNQMAMEIKLAVSAIPWMSMLTAPWFVME LNGHSKLYMKIDYENHGVRKLIIEYFTFIFFTDCGVYLAHRWLHWPRVYRALHKPHHK WLVCTPFASHSFHPVDGFLQSISYHIYPLILPLHKVSYLILFTFVNFWTVMIHDGQYL SNNPAVNGTACHTVHHLYFNYNYGQFTTLWDRLGGSYRRPDDSLFDPKLRDSKETWDA QVKEVEHFIKEVEGDDNDRVYENDPNTKKNN SPAR_L01130 MSIARLVYSLFRRVRSVLLLFITISLLFYYTFQNEIDILNSYAL NDSLPSINNYEHNIEDSSKLDSPDPYSVSSDRIATDKENSNVVVDLSDPATLREKNKY FPLLLRESSDQIGSNLPISSLLTYKEKYPVLFEYSSPSLAPISQNDIHKIQPTMQLPP DSDMIKQIKDIFMKSWDQEQLLLKSNLRRESTWPIELTDSLDTLYLCGETKLFQDSVN IIEDFDFRVPPSAMEIIDIPDITTRVLEGLLSAYELSMDKRLLNKAKQVADFILRSFD TPNRIPILKYFWKSDLRNRFPDRTAPSGQLTTMSLAFIRLSQLTRLSKYFDAVERVFT TIRQSYNEFDVDFMLPDVVDASGCQLLTQEEIENGAHIKGSSIMKSINENFKFVHCQQ LGKFLKPPINENNLQTQSQYQAYGINEKTVPILENLFKINDLFQSSYDILDGSSINTN AATSDPNINSDAEAGGKIIEKRNFKDGTKKDSTKNILSDKSVIDSQMFFTNFISHIFK FMTFQPMFPKQSGNKRVKFLNSILTKSQFMPATNELDVTIRRSYDVSSNSCRLGGILG LSSRIPHHGGTNSKYILPSSLLEMSEIITQSCFALMKEFDGLIPQRFELDPCADGTNE NCEFDGEAKSRMIVNGEYETFENDQVVGIKVSNYGRGGSGEKAKRNLIVRNGVTETQN TKDDTVENAKSVTAIDGGEITQIRRVFTLGKNIKPHVTTDDIVDSQWKNHPDWPFWVN KVESRRLLDSNLIESIFYMYRISGEQKWRSMGKQSFGILIQELMKLNSGAKGLWQVKE FYENGEKVNSYLPSYWFSRTLKYYLLLFSDGDEVCLDKFILTQGGHIIKKK SPAR_L01140 MPYTLSDAHHKLITSHLVDTDPEVDSIIKDEIERQKHSIDLIAS ENFTSTSVFDALGTPLSNKYSEGYPGARYYGGNEHIDRMEILCQQRALKAFHVTPDKW GVNVQTLSGSPANLQVYQAIMKPHERLMGLYLPDGGHLSHGYATENRKISAVSTYFES FPYRVNPETGIIDYDTLEKNAILYRPKVLVAGTSAYCRLIDYKRMREIADKCGAYLMV DMAHISGLIAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRRGVRSINPKTGKEVL YDLENPINFSVFPGHQGGPHNHTIAALATALKQAATPEFKEYQTQVLKNAKALESEFK NLGYRLVSNGTDSHMVLVSLREKGVDGARVEYICEKINIALNKNSIPGDKSALVPGGV RIGAPAMTTRGMGEEDFHRIVQYINKAVEFAQQVQQSLPKDACRLKDFKAKVDEGSDV LTTWKKEIYDWAGEYPLAV SPAR_L01150 MKWLLFPARTIARTRPGLLLLYKRSISQYLRPRTIENLQSMAQT PEPKTKLFKPLVWIDCEMTGLDHVNDRIIEICCIITDGHLTPVKAADGQGESHYESVI HYGPEVMNKMNQWCIEHHGDSGLTAKVLASDKTLAQVEDELLEYIQRYIPDKNVGVLA GNSVHMDRLFMVREFPKVIDHLFYRIIDVSSIMEVARRHNPALQARNPKKEAAHTAYS DIKESIAQLQWYMDNYLKPPQETESVESIGPEQPESPSSSTSSLKRQRTDF SPAR_L01160 MPTVSVNKQQLFDLLGKNYTSQEFDELCFEFGMEMDEDTTEEAL KTGEEPELKLDISANRYDLLCIEGISQSLNEYLERKERPDYKLSKPSTKLIIDKSTEQ IRPFATAAVLRNIKLNEKSYASFIALQDKLHANLCRNRSLVAMGTHDLDSIEGPFHYR ALPPKDIKFVPLNQAQEFTGDKLIEFYKSPEQKNNIGKYVHIIEDSPVFPVIMDSKDR VCSLPPLINSEHSKISVDTRNILIDITATDKTKAEIVLNILTTMFSRYCDEPFTVEPV EIVSEHNGQSRLAPNFNNRIMDVSIKYINSCLGLDQSADEIAHCLKKMSLHAVQAKED KDILHVDIPVTRPDILHACDIMEDAAVGYGFNNLPKGEKLSNANFIAKPLPINKVSDI FRIASSQATWVEVLPLTLCSHDENFKFLRQSDNNDLAVKLANPKTLEYQVVRTTLLPG ILKTVKENRKHSLPIKVFETGDVVFKDEKLERKAYNERHWAAIYVGKNSGFEIIQGLL GKIMQTFRTEWIADYGAAASGRGYWIEEDDSVKTYFPGRGAKVMFRSKEGAEPKQIGH LGVLHPEVMMNFDVPFAASFVEVNAEVFL SPAR_L01170 MAPNTSRKQKIAKTFTVDVSSPTENGVFDPASYAKYLIDHIKVD GAIGNLGNAVTVTEDGTVVTVVSTAKFSGKYLKYLTKKYLKKNQLRDWIRFVSTKTNE YRLAFYQVTPEEDEEEDEE SPAR_L01180 MNPKRVAQLPVHNESTLSPQEIIDLFKITFLEELYPKDQDDEES PLIEQIQVVKSDLYNRDYNAAFNSVSKRIAYCCRWSPSRATGYASVFAHFPELLKIIR CEIADKDSNVLCIGGGAGGELVALASIFTLSRDFSSKFASALKIDNEVNRKPRALNIE LVDIADWSTVVERLTATIKSKWLYDGSEAESFNVNYTHKDCLQMTEPQDIKTYQSLDL ITLLFTTNELFTQKKVESIKFLQRLNENCAPGCHLLILESAGSYSHITINNKKFPIQF LIDTILIGNRKDKRTTGPWSLVSENDSIWYRMDPKIDYSIPLENMRFFYRLYVKN SPAR_L01190 MTVPRNRPMAPFGTIIKSRIKQPQFYWFIGHFLTIFNFIQFHLS ITSKQNQLSCYRRSLFYISITYAIVLYQFFKSDQLKFNFTLLRQEIKKLDNLQYFAML FILFILSQFNIIISGSLYSPVIFSIFHFLNYFKENLLPFLPLIPLNLKNLLNSKITVF IQNYNGFFLQMAQVFEIICGLRVGLFLVPFNLFLLLARRASVSFEVVGTTLAGLTYVW FFKLRYLQSESMRQIFKQYVLRLDAYVSRTLPPYCARLWNGYKNLVMAVFWKIPV SPAR_L01200 MAGKAGKKQASSNSKIIQGLYKQVSLFLGMAIVRLLISRKATIG QWIKLVALNVPMFVAIYIIVISGKPKYDGNRVVKQGIDLNDDTNLISYFFDLIYLSLF GNIGIIAFRTFKFWWCLLLCPIYAGYKLYGLKNVFMPGAQQTQADNRSKTANEGQSKS KRQMKREKRGDGDSKIKYKYR SPAR_L01210 MFSLVQRFQNVSNQAFSMGILMVVFIMASSYYQLINNNAFSIPS NIDNVKTLINVRTSRYFGSQRGKAKENMKIKFDLNTDLTPLFNWNTKQVFVYLTAEYN STEKITSEVTFWDKIIKSKDDAVIDVNDLKSKYSIWDIEDRKFEGKDLVFKLHWNVQP WVGLLTYGESVGNYTLTVENKDEV SPAR_L01220 MKRCAPAVLRNYNYKKGIWSTGVPDHIRKLLRDKSTSPLCSQDE RNLVSFFMARGSVPLKSVGTALTKKATTSITTNSATTTFERQYLIKYLYRHQAYGNVI KIAQHFLYTTIGSQRLLKQDASLPELKKFFLSLLVLQRGIQLDRAMSDVISRFLLTQK AMVVDLINSIFSRMVTMNMHGEAVYKWVKWMKLVNGHCEFTNYMENRVILRNFLSFMR QSNVHPDYLSYLKAIQLTQGPAIASQFATTLMFLLTYIRKFSPAEAVWNYKCEHNLPV VNSDLTCILKTYCHMQKFSLVPSTYWKYPDAQHDQNQFDYLLVAHSKLHNWDALQQQF NALFGIGKLPSIQHYGILMYTMARIGELDSVNKLYTQLLRRGMIPTYVVLQSLLYAHY KVGDFAACFSHFELFKKYDIAPSTATHTIMLKVYRGLNDLDGAFRILKRLSEDPSVEI TEGHFALLIQMCCKSTNHLIAQELFNLMTDHYNIQHTGKSVSALMDVYIESNKSTEAI ALFEKHSKNLSWRDGLISVYNKAIKAYIGLKNVSKCEELFHKITDSNLAVDSEFYKMM IKFLVTLNQDCETALSIIDQLIKHPVIKVDASHFEIIMEAYDKIGYRDGIINLYKTMS QNKVPANSKILYFILKAVAKKSLQNNEKIRETISMVEDIMENAANGTLDVTYNKLHPS VMAWPMRMIVKHDSPQRALELYNRYNELFFKKHDWVSNNNKFVMMRSLLVLLAQIEQW KDFETLFTKYMDRIENIENLPSSTTPNIKLRSLFSGLFPFKVNQLVAMNKIDELPLLW KRLREKGFILDNISWNSAVEALFKDPRTLSYGMKIVDDTLIHGYNLIHKFRLLTKLSE DTTHSSDKSWPTLEMREKDTNKFQPRLYLKSDTYNSIKRQLDTYLNSIDDLKTLEDQV RDFISNYKYFMKDYLLLPRDEISKWEQIEMRHPSYFKELRKSKRVLPASKF SPAR_L01230 MGTAKQSQNRKKFTREYKVKEIQRSITKKTRLRKEYLKALKDEG YTIPEKEPRTVAKESVRKIKEARAMEGKKKLDEKKEIKKQRKRMQRDELNKQKSEQLE RIRVSKEKFQVREDRKKKLTQKTRTGQPLMGPKIEDLLDKIKTDDTYTS SPAR_L01240 MSVQTMMWVPRRVVRGSIPFFTCSKLGLGFSRRSFHGSSLTRST YEEEKALVDEIKQKLTPDDIERCNKLRNIGISAHIDSGKTTFTERVLYYTKRIKAIHE VRGRDNVGAKMDSMDLEREKGITIQSAATYCSWDKQGKNYHFNLIDTPGHIDFTIEVE RALRVLDGAVLVVCAVSGVQSQTVTVDRQMRRYNVPRVTFINKMDRMGSDPFRAIEQL NSKLKIPAAAVQIPIGSESSLSGVVDLINRVALYNKGGNGEIIEKGPVPEGLKPLMEE KRQLLVETLADVDDEMAEMFLEEKEPTTQQIKDAIRRATIARSFTPVLMGSALANTGI QPVLDAIVDYLPNPSEVLNTALDISNNEAKVNLVPAVQQPFVGLAFKLEEGKYGQLTY VRVYQGRLRKGNYITNVKTGKKVKVARLVRMHSSEMEDVDEVGSGEICATFGIDCASG DTFTDGSVQYSMSSMYVPDAVVSLSITPNSKDASNFSKALNRFQKEDPTFRVKFDPES KETIISGMGELHLEIYVERMRREYNVDCVTGKPQVSYRESITIPADFDYTHKKQSGGA GQYGRVIGTLSPVDDITKGNIFETAIVGGRIPDKYLAACGKGFEEVCEKGPLIGHRVL DVKMLINDGAIHAVDSNELSFKTATMSAFRDAFLRAQPVIMEPIMNVSVTSPNEFQGN VIGLLNKLQAVIQDTENGHDEFTLKAECALSTMFGFATSLRASTQGKGEFSLEFSHYA PTSPHVQKELISEFQKKQAKK SPAR_L01250 MTESITQEAVVLEQPGKITLMDVSIPTISDPNDVIIQIKATGIC GSDIHYYTHGKIANYVVKSPMVLGHESSGIVALIGENVKTLKVGDRVALEPGLPDRFS PEMREGRYNLDPNLKFAATPPFDGTLTKYYKTAKDFVYKLPDHLSFEEGALMEPLSVA VHANKIAKMKFGARCVVFGAGPIGLLAGKVASVFGATDVVFVDLSETKLERASQFGAT HVVNSGGLPHGVTVDSVIKKEIGKKGADIIFECSGAQACIRTGIEVCKAGGTFIQVGM GPEEIQFPISMIPTKELTFQGCLRYCQGDYSDSIELASSGKLSLKPLITHRYKFKDAI DAFDENRDHPLNNVKTIIEGPA SPAR_L01260 MTTTIGSPQMLANEERLSNEMHALKNHPDQNGQEQRGPVKNTQL HSPSATVPETTATQKEPLEMVPKDTSAVAMTSTPPPALPHVEINQVSLALVIRNLTVF TMKELAQYMKTNVHTQINEPNSAKKIRFLQLIIFLRTQFLKLYVLVKWTRTIKQNNFH VLIDLLNWFRTTNMNVNNCIWALKSSLNSMTNAKLPNVDLVTALEVLSLGRPNLPTHN FKLSGGSDSMDMVDGMAKVPIGLILQRLKDLNLTVSIKIALMNIPKPLNNYHIKNGRI YFTVANEFEIQLSTVNRQSPLFFVDLKLLFNTEAEQSVSTVTEANSPNGDSENNDENS NSNGNNLPLNKPRLEKLINELLLKSNDPLLSLYNFLHKYVLTLQLYMVHREFLKLANG GKFSKSNLIHNYDSKKSIITVRYWLNGKMDSKGKITIGIQRTTESLILKWDNQSASRA KNMPVIYNNIVSNIEGILDEIMFNHARIIRSELLARDIFQEDEENPDVLLFQLPTTCV SMAPIQLKIDLLSGQFYFRNPTPLLLKYASKINRAEGPEELARILQLLKLDKIIHVLT TMFENTGWSCSKIIKIDKPIRTQVNTNGESVVKKEGDKYAVAGNNTTNSDASLLLQRD LFIRLPHWPLNWYLILSIISSKTSCVVEKRIGKIVSQRGKWNLKYLDNSNVMTVKLES ITYQKIMILQKTILNRIINHMLIDSLNQLEIRNKICSSEMINEQKLPQYIIQGSNTND NISIITLELESFLEGSKALNFILESSMFLRIDYSNSQIRLYAKFKRNTMMIQCQIDKL YIHFVQEEPLAFYLEESFTNLGIIVQYLTKFRQKLMQLVVLTDVVERLHKNFESENFK IIALQPNEISFKYLSNNEEDDKDCTIKILTNDDSIKNLTVQLSPSNPQHIIQPFLDNS TMDYHFIFSYLQFTSSLFKALKVILNERGGKFHEGRSQYSTMVNIGLHNLNEYQIVYY NPQAGTKITICIELKTVLHNGRDKIQFHIHFADVAHITTKSPAYPMMHQVRNQVFMLD TKRLGTPESVKPILASHAIRLGNGVACDPSEIEPILMEIHSILKVDSNSSSC SPAR_L01270 MLNFRMWGDNMRELGDPMDSELNAVKPVVEEDSVDDARRLIKGK GFQKSSTEHMLISPGRDGSVPLNGLKSSPADPHLSDVNSILDNHRGGDETALTSVNNI IMATSANGDSDGVNGDIKRPSISNCSSRSSFFDTVLSTFSLKSNSQDTVTNEVKNIEV QFASEEANKKFRQMFKPLATNTKLIADYFCYFHREFPYQGRIYLSNTHLCFNSTVLNW MAKLQIPLNEIKYLDKVTTNSSAISVETLTNKYTFSGFIARDEVFQLITRVWSKENLT NINDVLEVDERISKTKGISSTPSSIFNNVSTNAYNDFISTTTTEPTSRASYMSENDML IEEAIRSVDDYMGTPKGSPSSSSPSSSSSSSSSSLGSSTTYYCRPVYRLKPNAPFQYD GPFHEEETMDFPYKPEANNEYVLLERQFNVPPGLLFIMMFNEDNPAFELSFLKTQDSS NISHIGTFEKVNKDGQHYREFQYTKQLHFPVGPKSTNCQVAEILLHCDWERYINVLSI TRTPNVPSGTSFSTRTRYMFRWDDQGQGCILKISFWVDWNASSWIKPMVESNCKNGQI SATKDLVKLVEEFVEKYVELSKEKADTLKPLPSVTSFGSPRKVAAPELTLVQPESKPE AAVEVSEIGSDRWRFNWVNIVIMVLLILNLLYLMKLNKKMDKLTNLMTHKDEVVAHAT LLDIPAKVQWSRPRRGDVL SPAR_L01280 MKSSKQLVQDAKDYRFNPSIPLRIYLKTCIGILEKAQCAFQAND LSLAFIYYFRYVDLLTNKLSKHPELSLMDAPSSSSIYKREYLQLIKLEVPAVCKIIES LRKQIDSQYSKLQTSLANNIAKPNTNANTTPMHVEQQSLPKKSFDDYSFNQSISFFQK ISNAQLNTATSSQSQDTARDETYRLNYPELPRLTFST SPAR_L01290 MGRYSVKRYKTKRRTRDLDLIYNDLSTKESVQKLLNQPLDETKP GLGQHYCIHCAKYMETAIALKTHLKGKVHKRRVKELKGVPYTQEVSDAAAGYNLNKFL NRVQEITQSVGPEKETNEVLLKEHLDNTLANVKTTEPTLPWAVADAEGNSVAATEADS ATSVTAEP SPAR_L01300 MARRPARCYRYQKNKPYPKSRYNRAVPDSKIRIYDLGKKKATVD EFPLCVHLVSNELEQLSSEALEAARICANKYMTTISGRDAFHLRVRVHPFHVLRINKM LSCAGADRLQQGMRGAWGKPHGLAARVDIGQIIFSVRTKDNNKDVVVEGLRRARYKFP GQQKIILSKKWGFTNLDRPEYLKKREAGEVKDDGAFVKFLSKKGSLENNMREFPEYFA AQA SPAR_L01310 MSFRLLTRTSQCLPRLNWFIPVRRYAKQPQYDEAELFAENINHG AYKAKKRPSDEHFQWPEKSPDQISKESELQWERMAKLSAVGQGILILLVVGGLGTAYL RWPELKSWWLIKMNGGRIDATQEQSGQDSLEKLIRQKAKNLLREIPQVPAFQLGIDHP GVYIWGRCHSKDSLFPIRVPNLDDRKFRDVLLAPSDDFNTNFAIDEKGDLISWDDLGQ TKTLLPDQNLTSMKYSSDFLYALNKKGEILIIPIRAPDLMASKLSLRRSKLLPWKRKL KYDWKLQTSKVFNGKKGENRIVQFDAGCHHLVLLSNLNKAYSCATGNDKKQAQVSRGQ FGIPTFSQFDEFPPNNELFEIELLNKFKHEGDDFIQKREIKKIACGSYHTLAVDQNGE MYAFGWNRFGQLALPISYNLEYVSFPRSVTHAFRPHFPGMTNWKCVDVHCDDETSFVT IRKPGSTPDHHYFAFGNGLFGELGNNTFKNSQCDPIKIKLDDKELTNWSCGSHCVFGE TGQENEIIAWGNNDHGQLGIGKKTMKCAKPINIPNVLKPGQDTTDLDSIYNSRLHLEK QQRIVTNGNKSCIYWRV SPAR_L01320 MNALYNHAVKQKNQLQQELARFEKNSVTAPISLQGSISATLVSL EKTIKQYAEHLNRYKEDTSAGEIDPKFANRLATLTQDLQDFTTRFKDLKQSYNESNSR TQLFGSGASHVMDSDNPFSTSETIMNKRNVGGASSNGKEGSSNGGGLPLYQGLQKEQS VFERGNAQLDYILEMGQQSLENIVEQNKILSKVQDRMSNGLRTLGVSEQTIASVNKRV FKDKLVFWIALILLIIGIYYVLKWLR SPAR_L01330 MTPSTPPRSRGTRCLTQPSGNTSSNTIMQPQRTPQKPSQNLVPV TPSTTKPFKNVPLLAPPNSNMGMTSPFNGLTSPQRSPFPKSSVKRTLFQFESHDNGIV REEQESLGRVNRILFPTQQDADIDEDEEVLLPPSRPTSARQLRLSPERDELDQSYRKK IIKDIPGTPSDKVITFELAKNWNNYSPQNASSQESEDEEDIIIKPAQVGKNPFVSDEL VTQDIRNERKKAMLRENPDIEDVITYVNKKGEVVEKRKLTDEEKRRFKPKALIFYITT LTGFFNFFLTVSFYSGFLVFRIIAHFIVVSFHQSELGEDAYKKVIFLSSAIREKQKSK RAKAETTQNKMHPISGVIWLYICVWIVHAKVTPKDELKWNKGYSLPNLLEVTDQKKEL SQWTLGDKVKLEEGRFILTPGKNTKGSLWLKPEYTTHDAMTIEWTFRSFGFRGSTKGG LAFWLKQGNVGDGTELFGGSSKKFDGLMILLRLDDKLGESVTAYLNDGSKNLDIKSSP YFASCLFQYQDSMVPSTLRLTYDPLDNHLLKLQMDNRVCFQTRKVKFVGSSFKIGTSA INDASKESFEILKTKLYDGVIEDSLIPNVNPMGQPRVVTKVINSQTGEESFKEKTPFS EKGESITSNELFEKINKLEGKIMANDINPLLHKMDKIVENERELIQRLRSVLDLKKTD RRPAINDDSFQDFLSMNSNLDKLIKEQERIRLDAKLHSERTNGHDEIFSKISVWLVLL IFIMITLAYYMFRINQDIKKVKLL SPAR_L01340 MAVEDNNMPVVSQQPQAAEDVASSLSKDSHLSAQSQKYSNDELK AGESGPEGSRSVPIEIPKKAMSEYVTVSLLCLCVAFGGFMFGWDTGTISGFVVQTDFL RRFGMKHKDGTHYLSNVRTGLIVAIFNIGCAFGGIILSKGGDMYGRKKGLSIVIFVYI VGIIIQIASINKWYQYFIGRIISGLGVGGIAVLCPMLISEIAPKHLRGTLVSCYQLMI TAGIFLGYCTNYGTKSYSNSVQWRVPLGLCFAWSLFLVGALTLVPESPRYLCEVNKVE DAKRSIAKSNKVSPEDPAVQAELDLIMAGIEAEKLAGNASWGELFSTKTKVFQRLLMG VFVQMFQQLTGNNYFFYYGTVIFKSVGLDDSFETSIVIGVVNFASTFFSLWTVENLGR RKCLLLGAATMMACMVIYASVGVTRLYPHGKSQPSSKGAGNCMIVFTCFYIFCYATTW APVAWVITAESFPLRVKSKCMALASASNWVWGFLIAFFTPFITSAINFYYGYVFMGCL VAMFFYVFFFVPETKGLSLEEIQELWEEGVLPWKSEGWIPSSRRGNDYDLEDLQHDDK PWYKAML SPAR_L01350 MSNFKNFTLNSFEDYYGKASETPKMEEEKLEASNVNVPISKKVH KSKKNTSKYDQKNVFRNSMTGIAQILPTKPVKIIEQNIDFANSRSFDLLQSTHTICFN KKIGATNSKLNVETQTSSDIDNDILHVGASTDLGGNSNDEAETRQLRKFRWSNNKEKC LCEKLTVIYWALLLNTTKRASKRRPILCHQMIAEFFNRVYKEKSRVPITSRYIRDNLV TWVTQGKELHEKGWVGDAKTGDLQEQFNIATIKLYESAEDGRLVMGKDKVFREENAGS DSLVRVEEDSASITDENGQVALEKNLKEDRRESIRNQILALDVNEEDFFQKIMKILSA IDEPELKQYVVMISELVSMEIDDGKTVREKLRDVEMSINRLQVDIKEIKEILVTLVNK SPAR_L01360 MAYLQLLLLYFFVSTARAFYLPGVAPTTYKENDEIPLLVNHLTP SMYYQHKDEDGNNVSGDKENFLYSYDYYYNRFHFCQPKKVEKQPESLGSVIFGDRIYN SPFELNMLQEKECVSLCQTTIPGNDAKFINKLIKNGFFQNWLIDGLPAARNVFDGRTK TSFYGAGFELGFVEVAQGTDTKAAPKEEETTNQGVKLETRDDRNMVKTYEFPYFANHF DIMIEYHNRGEGNYRVVGVIVEPVSIKRSSPGTCETNGNPLILDEENDNEVYFTYSVK FNESATSWATRWDKYLHVYDPSIQWFSLINFSLVVVLLSSVVIHSLLRALKSDFARYN ELNLDDDFQEDSGWKLNHGDVFRSPSQSLTLSILVGSGVQLFLMVTCSIFFAALGFLS PSSRGSLATVMFILYALFGFVGSYTSMGIYKFFDGPYWKANLVLTPLLVPGAILLVII ALNFFLMFAHSSGVIPASTLFFMVFLWFLFSIPLSFAGSLVARKRCHWDEHPTKTNQI ARQIPFQPWYLKTIPATLIAGIFPFGSIAVELYFIYTSLWFNKIFYMFGFLFFSFLLL TLTTSLVTILITYHSLCLENWKWQWRGFIIGGAGCALYVFIHSILFTKFKLGGFTTIV LYVGYSSVISLLCCLVTGSIGFISSMLFIRKIFSSIKVD SPAR_L01370 MFVHRLWTLAFPFLVQISKASQLQNIKSFLDIEDNVLPNLNISQ DNSNAVQILGGVDALSFYEYTGQQNFTREIGPETSSHGLVYYSNNTYIQLEGASDDTR IDKITPFGADSFILSGSGTINNMSVGNQILYNLSTLSMVPIFNQSLGSVETVLVNDTS IYFGGNFSYNNGSMTGHSALIWDSISNTTQLLPFGGFGENSNVNSIVKLNDDSILFAG KFYTLDDSSVLVTSFNNGTNSTSPLNVTTLELGQRIPLRYASWDSQGSTTFASNSLVC PDSNNNGWLYPATSGSLVCNLPYEVSPTKIRLYNSQSSDSEISLFQILTNPSSSIMNL TYLDPLSGKLKNCDEFCPLYSRATLQSASQNVSSSMDMITFIDNNNTDVKWSSNFQDF AFVNELPVSSLKFTALNSYGNGVGLSGLELYQDTFSTYANDSLNEYGCSALANDSSSS TLSSNDWYNGLTDESYIATKYVPDQNEPTPRVKFYPNIIHPGHYIINMYTPGCLHDNT CSSRGIVNVTMWNQQNNTMMKTYMIYQNNDNLKYDQIYSGYLDFSPEIVLEYVSGIYT SNTATVLVADQVNVITVSLDAFDTLSESTNAKRQTLLNGILQYQKSNFTGAKSNETKI GNTTLNLFPVNNYPKNSSLFADIYDNKLIVGGVSNRISIIDLNDDFEVTSSKNQTIQG DVHGMTKTNEGLLIFGDILSSDNQSTVFLYNGSFENILNHSKTVASAINISLENNDLI VLNNDYMVNASSNVQIWNSTSFSLSLWAAGNNGNGDVLFSGAVSHMQYGNLNGSVRFL NENKVEALNLEGGIVPYLGAYLNESATAYAYEIDSLNKIYFSNKVYPSWNWSNSITQM LYANNQTLLAVGSESSATAELSVFNLRNLTTIANETLGSNAKINALVNFEKNCSILVG GDFQMTKPNCSGLCLYNYESKTWSTFFNNTIFGEVTQLSFTNASELIISGLFETKEYQ SIRLGSFNLTNSTMIPLLTGSEGKLNSFIVIKDSVVAWNDTSLFIYRNQEWNITSLPG NGSSISSVSAINTNIESDTLSKRTTNDADNGSILLLNGNFSTSQYGNLQSLLFDFQKW TPYFISETTNTSNHNPIFFINRDVSTEFNSQIPLANLNITVTSPQSTSSQSPSSSASG ESTSKSKKKKIDRGFVVLIGLALALGTVSVLGIAGVILAYVFKDPEGDYKPIKPRIDE NEMLDTVPPEKLMKFV SPAR_L01380 METPPIVIDNGSYEIKFGPSTKKEPFRALNALAKDKFGTSYLSN HIKNIKDISSITFRRPHELGQLTLWELESCIWDYCLFNPSEFDGFDLKEGKGHHLVAS ESCMTLPELSKHADQVIFEEYEFDSLFKSPVAVFVPFTKSYKGKMKTISGKDEDADIV ASSSDGTTFTSSESKDAQNPNSDYYDFQLVIDSGFNCTWIIPVLKGIPYYKAVKKLDI GGRFLTGLLKETLSFRHYNMMDETILVNNIKEQCLFVSPVSYFDSFKIKDKHALEYVL PDFQTSFLGYVRNPRKENSPLPEDAQTITLTDELFTIPETFFHPEISQITKPGIVEAI LESLSMLPEIVRPLMVGNIVCTGGNFNLPNFAQRLAAELQRQLPTDWTCHVSVPEGDC ALFGWEVMSQFAKTNSYQKARVTREEYYEHGPDWCTKHRFGYQNWI SPAR_L01390 MCDSPLSKRQKRGAAGRSELSLDHGDIEQESQVENRVNRTEKTP DPNIPALEASYTKSHTPRKLVLSSGENRYAFSQPTNSATTSLHVPNLQPPKTSSRGRD YKAYSQSPPRSPGRSPTRRLELLQLSPVKNSRVELQKIYDSHQSSSKQQGRLFINELV LENFKSYAGKQVVGPFHTSFSAVVGPNGSGKSNVIDSMLFVFGFRANKMRQDRLSDLI HKSEAFPNLQSCSVTVHFQYVIDGSSGTSRIDEEKPRLVVTRKAFKNNSSKYYINEKE SSYTEVTKLLKNEGIDLDHKRFLILQGEVENIAQMKPKAEKESDDGLLEYLEDIIGTA NYKPLIEERMTQIENLNEICLEKENRFEIVDREKNSLESGKETALEFLDKEKQLTLLK SKLFQFKLFQNNSKLASTLEKISSSNEDLETEKMKFQESLEKVDEVKAQRKEIKDRIS SCASKEKTLVFERRELEGTRVSLEERTKNLVNKMAKAEKTLKSTKNSISEAEHMLEEL RGQQTEHETEIKDLTQSLEEERRILDDIKLSLKDKTKDISADIIRHEKELEPWDLQLQ EKKSQIQLAESELSLLEETQAKLKKNVETLEEKVLAKKTHKQELQGLILDLKKKLNSL IDERSQGEKNFSSAHLKLKEMQKVLNTHRQRAMEARSSLSKAQNKSKVLTALSRLQKS GRINGFHGRLGDLGVIDDSFDVAISTACPRLDDVVVDTVECAQHCIDYLRKNKLGYAR FILLDRLRQFNLQPISTPENVPRLFDLVKPKNPKFSNAFYSVLRDTLVARNLKQANNV AYGKKRFRVVTVDGKLIDISGTMSGGGNHVARGLMRLGTSQSDKVDDYTPEEVDKIER ELSERESNFRVANDTVHEMEEELKKLRDHEPELESQISRAELEADSLASELTLAEQQV KEAETTYVRAVSDKAQLNIVMKNLERLRNEYDDLQSETKTKKEKIKTLQGEIMKVGGT RLQMQNSKVQSLCQRLDILVAKLKKVKSGLKKSGGDVLKFQKQLKNTEGDVELSSNEL KIIEEKLKHTKLALSENDINMTETLNLKRELREQNEQLKEKVDEMEENIDEFKSLEIE MKNKLEKLNSLLAYIKSEIKQQEKGLNELSIRDVIHTLEMLDNNQMDIMKEDIEDDQE VDREYRSCETQVEGKIQDDEDSCDNKHSMNVDETSDEVSRGIPRLSEDELRELDTELL ESEINELTYYVEETNVDIGVLEEYARRLVEFKRRKLDLNNAVQKRDEVKEQVEILKKK RFDEFMVGFNIISMTLKEMYQMITMGGNAELELVDSLDPFSEGVTFSVMPPKKSWRNI TNLSGGEKTLSSLALVFALHKYKPTPLYVMDEIDAALDFRNVSIVANYIKERTKNAQF IVISLRNNMFELAQQLVGIYKRDNRTRSTTVKNIDIFNRN SPAR_L01400 MEAISQLRGVPLTHQKDFSWVFLVDWILTVVACLTMIFYMGRIY AYLVSFILEWLLWKRAKIKINVETLRVSLLGGRIHFKNLSVIHKDYTISVLEGSLTWK YWLLNCRKAELIDNDKSSSGKNVKLPCKISLECEGLEIFIYNRTVAYDNVINLLSKDE RDKFEKYLNEHSFPEPFSDGSSADKLDEDLSESAYTTNSDESIINDRDYQETDIGKHP KLLMFLPIELKFSRGSLLLGNKFTPSVMILSYESGKGIIDVLPPKERLDLYRNKTQME FKNFEISIKQNIGYDDAIGLKFKIDRGKVSKLWKTFVRVLQIVTKPVVSKQKKKNAGP SDDNFYHKWKGLSLYKAAPGDAKASDLDDVEFDLTNHEYAKFTSILKCPKVTIAYDVD VPGVVPHGAHPTIPDIDGPDVGNNGAPPDFALDVQIHGGSICYGPWAQRQVSHLQRVL SPVVSRTAKPIKKLPPGSRRIYTLFRMNISIMEDTTWRIPTRESSKDPEFLQHYKETS EEYRPFGWMDLRFCKDTYANFNISVCPTVQGFQNNFHVHFVETEIRSSVNHDILLKSK VFDIDGDIGYPLGWNSKAIWIINMKSEQLEAFLLREHITLVADTLSDFSAGDPTPYEL FRPFVYKVNWEMEGYSIYLNVNDHNIVNNPLDFNENCYLSLHGDKLAIDVTVPRESIL GTYTDMSYEISTPMFRMMLNTPPWNTLNEFMKHKEVGRAYDFTIKGSYLLYSELDIDN VDTLVIECTSNSTVLHCYGFVMRYLTNVKMNYFGEFFNFVTSEEYTGVLGAREVGDVT TKSSVMDLASTVDSGYQNSSLKNDFEDKGPVKRSDLKRTTNETDIWFTFSVWDGALIL PETIYSFNPCIALHFAELVVDFRSCNYYMDIMAVLNGTSIKRHASKQINEVFDLIRRN NGADEQEHGSLSDLTIHGHRMYGLPPTEPTYFCQWDINLGDLSIDSDIEFIKGFFNSF YKIGFGYNDLENILLYDTETIDDMTSLTVHVEKIRISLKDPVMKSQSVISAESILFTL IDFENEKYSQRIDVKVPKLTISLNCVMGDGVDTSFLKFETKLRFTNFEQYKDIDKKRS EQRRYITIHDSPYHRCPFLLPLFYQDSDTYQNLYGAIAPSSSIPTLPLPTLPDTIDYI IEDIVGEYATLLETTNPFKNLFTETPSTIGPSGASFTEDDNDEEADPSSFKPIAFTED RNHERDNYVVDVSYILLDVDPLLFIFAKSLLEQLYSENMVQVLDDIEIGIVKRLSNLQ EGITSISNIDIHIAYLNLIWQETGEEGFELYLDRIDYQMSEKSLEKNRANKLLEVAAL ARVKTVRVTVNQKKNPELSEDRPPALSLGLEGFEVWSSTEDRQVNSLNLTSSDITIDE SQMEWLFEYCSDQANLIQEVFTSFHSIQNTRSNSKTELISKLTAASEYYQISHDPYVI TKPAFIMRLSKGHVRENRSWKIITRLRHILTYLPGDWQSNIDKVLKERKYTSDKDAKN IFMSVFSTWRNWEFSDVARSYIYGKLFPADNEKHKQNLIKKLLKCTMGSFYLTVYGEG YEVEHNFVVADANLVVDLTPPVTSLPLNREETIEITGRVGSVKGKFSDRLLKLQDLIP LVAAVGEDDKSDPKTELSKQFKMNTVLLVEKSELQLVMDQTKLMSRTVGGRVSLLWEN LKDSTSQAGSLVIFSQKSEVWLKQASVILGEAQLRDFSILATTEAWSHKPTILINNQC ADLHFRAMSSTEQLVTAITEIRESVLRIKERIKFKPKSKKKSQFVGQKINTVLSCYFS NVSSEIMPLSPFYIRHEAKQLDIYFNKFGSNEILLSIWDTDFFMTSHQTKEQYLRFSF GDIEIKGGISREGYSLINVDISISMIKLTFSEPRRIVNSFLQDEKLASQGINLLSSLK PLFFSSDLPKKEKQAPSIMINWTLDTSISYFGILVPVASTYFVFELHMLLLSLTNTNN GMLPEETKVTGQFSIENILFLIKERSLPIGLSKLLDFSIKVSTLQRTVDTEQSFQVES SHFRVCLSPDSLLRLMWGAHKLLDLSHYYSRRHAPNIWNIKMFTGKSDKPKEMPINFR SIHILSYKFCIGWIFQYGAGSDPGLILGYNRLFSAYEKDFGKFTVVDAFFSVANGSTS STFFSEGNEKDKYNRSFLPNMQISYWFKRYGELKDWFFRFHGEALDVNFVPSFMDVIE STLQSMRAFQELKKNILDVSENSHTESDNPYASSSVESASNSLAPFLDNIRSVNSNFK YDGGVFRVYTYEDIETKSEPSFEIKSPVVTINCTYKHDEDKAKPHKFRTLITVDPTHN TLYAGCAPLLMEFSESLQKMIKKHSTDEKPNFTKPSSQNVDYKRLLDQFDVAVKLTSA KQQLSLSCEPKAKVQADVGFESFLFSMATNEFDSEQPLEFSLTLEHTKASIKHIFSRE VSTSFEVGFMDLTLLFTHPDVISMYGTGLVSDLSVFFNVKQLQNLYLFLDIWRFSSIL HTRPVQRSSSKEIDMSSLTSTNYSDVGTEIPWCFTLIFTNVSGDVDLGPSLGMISLRT QRTWLATDHYNEKRQLLHAFTDGISLTSEGRLSGLFEVANASWLSEVKWSPEKSKNMH PLVSTSLNIDDIAIKAAFDYHMFLIGTISNIHFQLHNEKDAKGILPDLLQVSFSSDEI MLSSTALVVANILDIYNTIVRMRQDNKISYMETLRDSNPGESRQPILYKDILRSLKLL RTDLSVNISSSKIQISPISLFDVEVLVIRIDKVSIRSETHSGKKLKTDLQLQVLDVSA ALSTSKEELDEEVGASIAIDDYMHYASKIVGGTIIDIPKLAVHMTTLQEEKTNNLEYL FACSFSDKISVRWNLGPVNFIKEMWTTHVKALAVRRSQVANVSFGQTEEELEESIKKE ETASKFNYIALEEPQIEVPQIRDLGDATPPMEWFGVNRKKFPKFTHQTAVIPVQKLVY LAEKQYVKILDDTH SPAR_L01410 MALLEKLHRKIVDMGLVPRIIALLPVISMLCALFGFISIAILPM DGQYRRTYISENALMPSQAYSYFRESEWNILRGYRSQIKEMVNMTSMERNNLMGSWLQ EFGTKTAIYENEQYGETLYGVMHAPRGDGTEAMVLAVPWFNSDDEFNVGGAALGVSLA RFFSRWPVWSKNIIVVFSENPRAALRSWVEAYHTSLDLTGGSIEAAVVVDYSSTEDFF EYVEISYDGLNGELPNLDLVNIAISITEHEGMKVSLHGLPHDQLTNNNFWSRLKILCL GIRDWALSGVKNPHGNEAFSGWRIQSVTLKAHGNSGHDITTFGRIPEAMFRSINNLLE KFHQSFFFYLLLAPRQFVSISSYLPSAVALSVAFAVSSLNAFINNSYASISLFSEYNL VALLVWFVSLVISFIVSQAFLLIPSSGLLMIISMASCFLPLIFSKKVHISEPLSYRLK NVAFLYFSLVSTSLLMINFAMALLIGTLAFPMTFVKTTVESSSEHEVAAQLSIPIKTE PKDEIELIDSHEDTMPAKPQQQRQKLKNLLLLLLTNPFISITLFGLFFDDEFNGFDVI NKLVSAWLDLKCWSWFVLCIGWLPCWLLILASSFDSKPVVVKSKEKQS SPAR_L01420 MLSLSAKNHFTVSNSITHVIKSYHIRTLTSSAEKMPHITTPFTT TASNTKLKAFRKVRPVLQRHSSSWIAAQNHRRSLSGQSSLNDLRHLNRFPHHTLKPSN NEFYPAEQLTLEDVNENVLKAKYAVRGAIPMRAEELKAQLEKDPQSLPFDKIINANIG NPQQLQQKPLTYYRQVLSLLQYPELLNQNEQQLVDSKLFKLDAIKRAKSLMEDIGGSV GAYSSSQGVGGIRKSVAEFITKRDEGEISYPEDIFLTAGASAAVNYLLSIFCRGPETG VLIPIPQYPLYTATLALNNSQALPYYLDENSGWSTNPEEIETVVKEAIQNEIKPTVLV VINPGNPTGAVLSPESIGQIFEVAAKYGTVVIADEVYQENIFPGTKFHSMKKILRHLQ REHPGKFDNVQLASLHSTSKGVSGECGQRGGYMELTGFSHEMRQVILKLASISLCPVV TGQALVDLMVRPPVEGEESFESDQAERNSIHEKLITRAMTLYETFNSLEGIECQKPQG AMYLFPKIDLPFKAVQEARHLELTPDEFYCKKLLESTGICTVPGSGFGQEPGTYHLRT TFLAPGLEWIKKWESFHKEFFDQYRD SPAR_L01430 MSGSDRRDQLYDVLGVTRDASVQEIKTAYRKLALKHHPDKYVDQ DSKEVNEIKFKEITAAYEILSDPEKKSHYDLYGDDNGPASGGGADGFGDDDFMNFFNN FFNNGGHDGSSFPSEYDTYEEDKSTSSKDIDIEISLTLKDLYMGKKLKFDLKRQIICM KCQGSGWKSKKKIHVAHEVECESCGGKGSKERLKRFGPGLVASQWVICEKCNGKGKYT KRPKNPKNFCPDCAGVGLHSKKEVITVNVAPGHHFNDVITIKGMADEVIDKTTCGDLK FHLIEKQEDLEQKQIFLKNFDEGTRNDLYIGATVSLSEALTGFEKFLTKTFDDRLLTL SVKPGRVIRPGDVIKIANEGWPILDDPRGQCGDLYVFVHIEFPPDNWFNEKSEMLAIK MNLPSSSSCASQATVNTEDDSNMINNETISNFRIIHTDDLPEGIKTFNPESQDSTHCK ARSSYCSIQ SPAR_L01440 MVSQVNALLLPIIKSTPLHQITKTALVTTLTGIQSDYKFKEIAV PLTESLQVYEKAQRRQNLRASLKALESIIYQTHFQWNNPRPRHALLFQKHYHFLLTHW PFENHRHLADSISTNNGKLSSTSSRGTWLKSDWTTLFKVKNPWVQTPPSLGHPGGADL DTFTPERTFLINSLGNHYKFLIANSHLSYNHKKYPSPGVQIPIRNALGEVSPAKQIAQ LFARQLAHIYTSLFVENPPLSPANELELMAVFHDESLDRRLRRLYMRACARAYSITNA DSATEPLTFHCTRWED SPAR_L01450 MSRETYPNLEEVEIPDFQETNNTVPDLDDLELEYDQYKNNENND TFNDKDLESNSVAQHNAVNNSKGVKGSKIDYFNPSDVSLYDNSVSQFEETTVSLKEYY DHSIRSHLTLKGARSYLKSVFPIINWLPHYNFNWFTADLIAGITIGCVLVPQSMSYAQ VATLPAQYGLYSSFIGAYAYSFFATSKDVCIGPVAVMSLQTAKVIADVTAKYPDGDSA ITGPVIATTLALLCGIISAAVGFLRLGFLVELISLNAVAGFMTGSAFNILWGQVPALM GYNSLVNTRAATYKVVIESLKHLPDTKLDAVFGLIPLFLLYVWKWWCGTYGPKLNDRY NSKNPRLHKILKWTYFYAQASRNGIIIIVFTCIGWAITRGKSKAERPISILGSVPSGL KEVGVFHVPPGLMSKLGPNLPASIIVLLLEHIAISKSFGRINDYKVVPDQELIAIGVS NLLGTFFNAYPATGSFSRSALKAKCNVRTPLSGLFSGSCVLLALYCLTGAFFYIPKAT LSAVIIHAVSDLLASYQTTWNFWKMNPLDFICFIVTVLITVFASIEDGIYFAMCWSCA TLILKVAFPAGKFLGRVEIAEVTDAYVRPDSDVVSYVSENNNGVSTFEEGGEDEKESS TKYITNSSKKIETNIQTKGFGSPSSSISQPRIKYHTKWIPFDHKYTRELNPDVQILPP PEGVLVYRLSESYTYLNCSRHYNVITEEVKKVTRRGQLIRHRKKSDRPWNDPGPWEAP AFLKNLKFWKKKENDPESMENAPSNAIDGERDDRPLLKILCLDFSQVAQTDATALQSL VDLRKAINQYADRQVEFHFVGIISPWVKRGLISRGFGTLNEEYSDESIVAGHTSYHVA RVPQSEENPEKYSVYTASGTNLPFFHIDIPDFAKWDI SPAR_L01460 MKRFNVSYVEVIKNGETISSCFQPFQKNENYGTITSANEQITPV IFHNLIMDMVLPKVVPIKGNKVTKMSMNLIDGFDCFYSTDDHDPKTVYVCFTLVDIPK ILPIRILSGLQDYDSNATNELLSSHVGQILDSFHEELVEYRNQTLNSSGNGQSSNGNG QNTISDIGDATEDQIKDVIQIMNDNIDKFLERQERVSLLVDKTSQLNSNSNKFRRKAV NIKEIMWWQKVKNITLLTFTIILFVSATFMFFYLW SPAR_L01470 MLLDLNTNHTRMHDTHVHEHCLMKSIRDDGALHSWSDSSKVFYP KSFYATATNKKNNKLASASMNKTIANNRTVSDESYFHSTKPSFDGLANAERTRIPTKR NSFKRTRILKARDDSELLNENRSSLMTPSLSSVMSQVKKTNSAKTISGECPIHEGHLT QSIKRKFSEETQSDCSSLSSSKVHPLTDDIADAPDLQAPTIGDEVLAEAVVPKMKIIN INDLDLFDDWEVKDLVDIFPPVYERRPQSSSAISLVSASSDVKSRPTSVDFQIIDKKG GGASKRKSRSKSTTENMIYENDLVELEQWPSASPSSETDGSTAPSDLLLPNKRIRQKS LNTNFLKLYSIETSCKRKSILPEVEVDDHLLKQLTYSEIWSLEIKKEPDVPTNDIKLA LITRKKLWSDMVHETRNDLFGDSTPWNLHFVATTSNTQPSQGREPTNEHTTADSKSSL VRVHSDVKPWFNNGGTMLKPCGKLNLGKLTNKTTAPTREIQYVVKGWCDSRFL SPAR_L01480 MNQQSMRAKRTRNTRNVGASVPGGGANTDGEDWKEYVSEDVITQ LNKYQLPYPGILHEKIADLANRWHFQYVMAWLSNVCESFTTTIFNADQYGGSSTKCLW KNIKFDEGIFVTDVFSKIDGKDSNYYNDEIDVDGDSQNLYDKIRLQLLHQLAGNKSGQ LKDWNVIVNHHFQNSNTYSDLVTDSPFLELDIARQFDIIYSIIKLIEMKNMIFKNYLA NNLHLFMFSEIILDDDNNGGEEMKSLFSLPNIGVLVKKTIHRVKEDSSSQISQTLNIP IKLQNCTIKESDPNIPDSVELIHLEYSHDIDAYLQSITIDYEVITSDWDSMLEYWSQN SSSKVIDEFITSLIPIYAEHRLYSAKILTNREKERAIAELMTRRKRSSRLVAKEEENK KKDLESEWFEKLDEREQFIRHRNKLVSKEIKKIKDLLWNQLWQLYDQDYRDEKLTRRN EFKDRSGSGTPFFEASSNKEEDSPLNEIDNGVLDHGPNFQSSIVPVESPTPGTVGPLE TADVPELPTDFCITKKELDELANYGIFTPQQEPDSQDSVFQCPGEPELAPMVITEDTE TDLFNNRPLICCDNCYRWQHWECQPPKIIELISLTTKSPQHALSQRDFGVIIMGNSHG NRRSSRRPQSTPEPGTKSSRPTDKRKPLAECATFICAWCIKDLELELRNIFVPELKII RAKQRKQQEDRERRKKMKEEKKRLEEIAKKRELTQSVSPPVFNNAFANMTSSAAPGIT AYGKTNPIFNPGLDLGTIHPVITHSQQIGSKTVPQPSQAPKAPQAQIQLQPHLQPQPQ PQHPKEQNFHFQYPPTN SPAR_L01490 MPNPNTADYLVNPNFKTSRGGSLSPTPEAFNDARIAAPATLRML GKQSGSRNDQQQAPLMPPADIKQGKEQAVQRQNDASRPNGYNNSNTNGGAELRQFHRR SLGDWEFLETVGAGSMGKVKLVRHRQTKEVCVIKIVNRASKAYLHKQHSLPSPKNESE TLERQKRLEKEIARDKRTVREASLGQILYHPHICRLFEMCTMSNHFYMLFEYVSGGQL LDYIIQHGSLKEHHARKFARGIASALQYLHANNIVHRDLKIENIMISSSGEIKIIDFG LSNIFDYRKQLHTFCGSLYFAAPELLKAQPYTGPEVDIWSFGIVLYVLVCGKVPFDDE NSSILHEKIKKGKVDYPSHLSIEAISLLTRMIVVDPLRRATLKNVVEHPWMNRGYDFK APSYVPNRVPLTPEMIDSQVLKEMYRLEFIDDIEDTRRSLIRLVTEREYIQLSQEYWD KLSNAKGLSSSLNNNYLNATAQQTLIQTQITNNQSQSGSNEPDNNFEDPTLAYHPLLS IYHLVSEMVARKLAKLQRRQALVLQAQTQQKQQQKQIPLGTKIALDNNSPDVMTKMRS PQKESVPKADIFQVPAIRTPGASKNFDSSSKPPLHVMVPPKLTIPEQAHTSPTSRKSS DIHTELNDVFKSSPGPVSGEYQQRSASPVVGEQQEKNTIGGIFRRISQSGQSQHPTRQ QEPLSERQPPTYMLKPTENSIKVPKSHTRTISDYIPSARRYPTYVPNSIDVKQRNPVK NTTIAPPIRSASQKQSSELPALPQNAELIVQKQRQKQLQENLDKLQINDNDSNNNVNT VVDDMNNDNSDHYLSVPKSRKLHPSARAKSVGHARRESLKFTRPPIPAALPPSDMTND NGFLGEANHDRYNPVSSNFSAVPEDSTTYSDNTIVSTNNGSLSAYSQELTEKQILEEA SKAPPGSMPSIDYPKSMFLKGFFSVQTTSSKPLPIVRHNIISVLTRMNIDFKEVKGGF ICVQQRPSVATAAIPVITTTGVDFDSGKTMDMQNSLDSQLSSSYHSTASTASRNRSIK RQGSYKRGQNNIPLTPLATNTHQRNSSIPMSPTYGNQSNGTSGELSSMSLEYVQQQDD ILTTSRAQNINNINGQLEQNNAYSNKERPPIRFEIHIVKVRIVGLAGVHFKKVSGNTW LYKELASYILKELNL SPAR_L01500 MTVDYEKDPRAKEAIIIWERGVLKEKDGSMSDAINFYRSALKIH DSVESLYRKKLHDEWMLHKKISELSIVSDDPGEQKETDKDDLLVGDDAELQPCWILEI LPDDILLRIIKKVILISGESWVNLSMTCSTFNKLCFHDSVTFKTFAKYIYSKQIYDQI AIDLNGITDLNTFEKEMWQGDDDRMLKERPYIKFEGVYISVVNYVRYGSNAEGSLSLS NPVHMITYYRYLRFYENGQCLRLLTTDEPSAVVKHFSKESKPRHSDMCYWNLGFDYGF GQLKVTRSDEKYTFIEEFQIKNQGTKRYQRLKWLTSTVVDKEGNASNCSLRNEKSFFF SRVKSFKGTG SPAR_L01510 MLEPSPPPLATTVTPSLPSSLKKPVANNDQNNNNVPKKRKLACQ NCRRRRRKCNMEKPCSNCIKFHTDCVFTQQDLRNKRYSTTYVEALQSQIRSLKEQLQI LKSSSSTIANNSLSSLKNNDDHGDISNGKILKYGEPAPSALPSSESNDENESDAFTKK LPSESPPPVGTNSIYPSNSLSIIKKKTDGNTKYQQQQVSLKNLSRSPLILRSLSLFFK WLYPGHYLFIHRETFLSAFFGDTNTKSYYCSEELVFAIAALGSLISYKSETELFQQSE VFYQRAKTIVLKKIFQLEDSSLAESSSSSKLAIIQTLLCLAFYDIGSGENPMAWYLSG LAFRIAHEIGLHLNPEAWSNVYEDELSIMDFEVRSRIYWGCYIADHLIAILFGRSTSL RLSNSTVPETDELPEIETGIEEYIYDPKVILSTANPLKKLIVLSRITEIFASKIFSPN ETLLQRSEYLAKFNLEVYNWRRDLPPELQWTKRSLMEMTDFNPTIAYVWFHYYIVLIS YNKPFIYEIKQSRELVEGYVDELYYLLKVWKNKFKTFEKATIYMIYSAILAIQCMKSN LIKKDRKQDFLNFLSAPTLNYELARKFIENSEDALHNSETMDLLGTLSHGNDFALEYN FDFTLLNEIDMLIGGNTNDGLTK SPAR_L01520 MWTNTFKWCSKTEKETTTADAKVCASMQGLKALQQQIMDNTTVR GSVNNTMTPGGINQWHFHNKRANKVCTPTVLIHGYAASSMAFYRTFENLSDNVKDLYA IDLPANGASEAPALQVNKTKKVKSLRFKHIEDDVVIPVLEKRPPAEDIKSHLEQYENY FVDRIEQWRKDNKLRKINVVGHSFGGYISFKYALKYPNSIEKLCLISPLGVENSIHAI THKWEPNTTYPLTFTDPSSRYYTRKLNVPRFIFENQLNVLKWMGPIGSKLCSNYISTA YVKVPDQIYKDYLLHSFVGKNQTVQPQTIKVFTHLFERNLIARDPIINNVGFLNPATP VMFMYGEHDWMDKYAGYLTTESMLKNKAKASYVEVPDAGHNLFLDNPHHFTSSLVSFL SK SPAR_L01530 MADMEDTSVILQGIDTISSLEGLEEDGYLSDEDTSLSNELADAQ RQWEESLQQLSKLLNWVLLPLLGKYIGRRMAKTLWSRFIGHFV SPAR_L01540 MNRKVAIVTGTNSNLGLNIVFRLIETEDANVRLTIVVTSRTLPR VQEVINQIKDFYNNKSSRVDDLEIDFDYLLVDFTNMVSVLNAYYDISKKYSAINYLFV NAAQGIFDGIDWIGAVKEVLTSPLEAVTNPTYKIQLVGAKSKDDMGLIFQANVFGPYY FISKILPQLTRGKAYIVWVSSIMSDPKYLSLNDIELLKTNASYEGSKRLVDLLHLATY KDLKKLGINQYVVQPGIFTSHSFSKYLNFFTYFGMLCLFYLARLLGSPWHNIDGYKAA NAPVYVTRLANPNFEKQDVKYGSATSRDGMPYIKTQEIDPTGMSDVFAYIQKKKLEWD EKLKDQIVETRTPI SPAR_L01550 MNQNCDKNEGKQFQLPSLPPWKTPRFNKVNFNNFTTPLRKRSTR IINDDSMPITGEVLEERTGDNIYGISMDVDEVDYLNTLSHIEEEEVYDYSPYCERNTL RESKIDNFLKAERAAHCLVFHKVGHLDGIDSYRPDIDVMCGEEADAYDSNNTESNGSM LLESVPGCSKEDLGRLSRREFVTSSKPSMRRLDDIINHETNALKSFWNDSGLVNSLQS HHLHEEYLLLQEELKNVYKIQCHDRVPIESLRDKCRRHYSNEDSSIL SPAR_L01560 MYYEISQFSEAYNKILRNSSSHSSCQLVIFVSCLNIDALCATKM LSQLFKKQLVQSQIVPIFGYSELRRHYSQLDENINSLLLVGFGGVIDLEAFLEIDPQE YVVDTDEKSGEQSFRRDIYVLDAHRPWNLDNIFGSQIIQCFDDGTVNDALSEQKEAYY KLLELDQESDNGEVSGDDDDDDGGEDEATDADEATDEDEEGEGSGRISNKRSNSSTGS NDPSKRKQRKKQIHEYEAVLEEYYSQGTTVVNSISAQIYSLLSAIGETNLSNLWLNIL GTTSLDIAYAQVYNRLYPLLQDEVKRLTPSNRNSVKTPDTLTLNIQPDYYLFLLRHSS LYDSFYYSNYVNAKLSLWNENGKKRLHKMFARMGIPLSTAQETWLYMDHSIKRELGII FDKNLDRYGLQDIIRDGFVRTLGYRGSISASEFVEALTALLEVGNSTDKDSVKINNDN EDDTDGEEEGEDNNAQKLTNLRKRWVSNFWLSWDALDDRKVELLSRGIQLAQDLQRAI FNTGVAILEKKLIKHLRIYRLCVLQDGPDLDLYRNPLTLLRLGNWLIECCAESEDKQL LPMVLASIDESTDTYLVAGLTPRYPRGLDTIHTKKPILNNFSMAFQQITAETDAKVRI DNFESSIIEIRREDLSPFLEKLTLSGLL SPAR_L01570 MSHSRWSIGLIFTLLLLGSTEVNAFFNFGNHQQQHQQQPQSYED QVLNNPCDGYLCPDTLACVAQQKDCPCPFPKSQLKCVLPNNKFVCVSKPATHNEKLRA IYDDPVKGPKAKNKGFRDCGWVSEAYKSG SPAR_L01580 MSKGRVNQKRYKYPLPIHPVDELPELILHNPLSWIYWAYRYYKS TNALNDKIHVDFIGDTTLHITVQEDDQMLYLWNNGFFGTGQFSRSEPTWKARTEVRLG LNDTPLQNRRGIKSNPESELTLEKVTQQRRLQRLEFKKERAKLERELLQLRKKGGHID EENILLEKQRESLRKFKLKQTEDVNIVAQQQDVSESSLRNEDNDLLDENGDLLQFESL ELMPVEAMFLTFALPVLDVSPAGLAGKLFQSDAKYKDIHFFVRSYVIYHHYRSHGWCV RSGIKFGCDYLLYKRGPPFQHAEFCIMGLDHDASKDYTWYSSIARVVGGAKKTFVLCY VERLISEQEAIALWKSNSFTRLFNGYQVGEVVYRRWVPGRNRD SPAR_L01590 MSQDRILLDLDAVEQRLVLFNSTFPSDSLEAPFNFSSKESTSEN LDRLAGTILHSRSIAGHVFLYKSIFLEIVARWTKNLKKKDYVLVIEKLASIITIFPSA MPLIEDYLDKENDHFITILQNPSSQKDSDMFKILLAYYRLLYHNKEVFARFIQPDILY QLVDLLAEHQESQVIIFLALKVLSLYLDMGEKAITDMLDAYIKSNDSLLGRFEGDFKV DYSFLELNEAKRFSNFSKLPCVPECFTIKKNHSYLIIEPQDLNIKVASICGVIVPKVH TTHDKVAYPLTFVPTQKTVSSLRQLGRKIQNSAPVMLIGKAGSGKTFLINELSKYMGC HDSIVKIHLGEQTDAKLLIGTYTSGDKPGTFEWRAGVLATAVKEGRWVLIEDIDKAPT DVLSILLSLLEKRELVIPSRGETVKAANGFQLISTVRINEDHPKQNSNGSYNLNIIGM RIWNVIELEEPSEEDLTHILAQKFPVLKNLIPKLIDSYKSVKSIYLNTKFISLNKGAH TRVVSVRDLIKLCERLDVLFKSNGINKPDQLIESSVYDSVFSEAADCFAGAIGEFKAL EPIIQAIGESLDIASSRISLFLTQHVPTLENLDDSIKIGRALLLKEKLNIQKKSVNST SFAFTNHSLRLMEQISVCMQMTEPVLLVGETGTGKTTVVQQLAKMLAKTLTVINVSQQ TETGDLLGGYKPVNSKTVAVPIQETFETLFNATFSLKKNEKFHKMLHRCFNKNQWKNV VKLWNEAYKMAQSILKITNSETENESTKKKKRRLNTHEKKLLLDKWADFNDSVKKFEA QSSSIENSFVFNFVEGSLVKAIRAGGWLLLDEVNLATADTLESISDLLTEPNSRSILL SEKGDAEPIKAHPDFRIFACMNPATDVGKKDLPMGIRSRFTEIYVHSPERDITDLLSI IDKYIGKYSVSDEWVGNDIAELYLEAKKLADSNTIVDGSNQKPHFSIRTLTRTLLYVT DIIHIYGLRRSLYDGFCMSFLTLLDQKSEAILKPVIEKFALGRLKNVKSVMSQTPPSP GPEYVQFKHYWMKRGPNEVQEQAHYIITPFVEKNMMNLVRATSGKRFPVLIQGPTSSG KTSMIKYLADITGHKFVRINNHEHTDLQEYLGTYVTDDTGKLSFKEGVLVEALRKGYW IVLDELNLAPTDVLEALNRLLDDNRELFIPETQEIVHPHPDFLLFATQNPPGIYGGRK ILSRAFRNRFLELHFDDIPQDELEIILRERCKIAPSYAKKIVEVYRQLSIERSASRLF EQKNSFATLRDLFRWALRDAVGYEQLAASGYMLLAERCRTPQEKVTVKKTLEKVMKVK LDMDQYYASLEDKSLEAIGSVTWTKGMRRLSVLVSSCLKNKEPVLLVGETGCGKTTIC QLLAQFMGRELITLNAHQNTETGDILGAQRPVRNRSEIQHKLIKCLKTALNVANDKDI DLQELLQLYSKSDDKNITEDVRMEIKKLRDSLNVLFEWSDGPLIQAMKTGNFFLLDEI SLADDSVLERLNSVLEPERSLLLAEQGSSDSLVTASENFQFFATMNPGGDYGKKELSP ALRNRFTEIWVPSMEDFNDVNMIVSSRLLEDLKNLADPIVNFSEWFGKKLGGGNATSG VISLRDILAWVEFINKVYPKINNKSTALIQGASMVFIDALGTNNTAYLAENENDLKSL RTECVVQLLKLCGDNLELQQLEANNIIVTQDELQVGMFKIPRFPGGQPSSFNLTAPTT ASNLVRVVRAMQVHKPILLEGSPGVGKTSLITALANITGNKLTRINLSEQTDLVDLFG ADAPGERSGEFLWHDAPFLRAMKKGEWVLLDEMNLASQSVLEGLNACLDHRGEAYIPE LDISFTCHPNFLVFAAQNPQYQGGGRKGLPKSFVNRFSVVFIDMLTSDDLLLIAKHLY PSISPDVIAKMIKLMSTLEEQVCKKKLWGNSGSPWEFNLRDTLRWLKLLNQYSICDDV DVFDFVNIIVKQRFRTSSDKNKAQLLIEDIFGGFSTKENFFKLTEEYIQINNEVALRS PHYRYPITQNLFPLECNVAVYESVLKAINNNWPLVLVGPSNSGKTETIRFLASILGPR VDVFSMNSDIDSMDILGGYEQVDLTRKISYITEDITNTVREIISTNMKLLPNAAAIIE GLNLLKYLLSDIVTPEKFQGFRSRFNKFSSHLEGHSSLKTINMNIEKMSEIITKEASV KFEWFDGMLVKAVEKGHWLILDNANLCSPSVLDRLNSLLETDGSLLINECSQEDGQPR VLKPHPNFRLFLTMDPKYGELSRAMRNRGVEIYIEELPNRSTAFDCLTLGLEVKKTDT DFVSIDDGIKKIELNESNTPMPLKHFVPSYLSRPCIFAQVHDIILLSDEAPLEESLAA VIPISSLGKVDKWANNILNCMEYSEKNIVEKLYVFIKFFTDMGILEKINNLYAPATLK LQGALGIHDKQLTEETLSLTLNEYVLPTISKYSDKIKSPESLYLLSTLGLLLNSLNAL KSINAKSTHGKIDELTYIELSAAAFNGRHLKNTPRIPIFCILYNISTVISENFKTKSL FCGSNQYQHYWDLLVIVIAALETATTKDEARLRVYKELIDNWIASAKTKSDIEITPFL NINSEFTDVLHLSRGHSITLLWDTFRKNYPTTSNSWLAFEKLIELSKKFDRVRLLQFS ESYSSIQDLMDIFRLLNEDVLDNNLPEFNFLLSKLEDGISELELISSKFLNKRKHYFA TEFDNLIRYTFSVDTVELIKELAPASSLATEKLTKLIINKYNYPPVFDVLWTENNAKL TSFTSSIFSSQFLEDVVRKSNNLKTFSGNQIKQSISDAELLLSSTIKCSPNLLKSQVE CFENMLMTWLRRIIDIHAGRDCSKLSLKEISSLIEEKTASETCVTFAEYILPALELIE SSKSLEALGEAWILFGTGLLLLFVPDSPYDPAIHDYVLYDLFLKTKTFSQNLMKSWRN VRKVISGDEKIFTEELINVISDEDAPQSPRVYRTDMSIDCLFDEWIAFLTSTMSSQQI KELVGAYECNSDQSDRRLEMLQQNSFHFLNRLESGYSKFADLNDILAGYIYSINFGFD LLKLQKSKDRAIFQISPLWSMDPINISCAENVLSAYHELSKFFKKSTVEDSSVEKVLM YFLMLFKFHRNDTILLEIFEATLYTLYSRWSLRRFRQEQEENEKSSMFKFSDNSDDYE TDFKNLFPDYEDTVLVTDEKDASSPENLDEIYFQLADTYISVFDRDHDANFSSELKSG AMITTILSEKLENTKIEELRSGSLSAVINRLDEETQSFKNAKDFGDIDFYHDFSIPEF QKASDIIETVLKSVLKLLEQWPEHATLNELYRVSQEFLNYPIQTPLARQLQKIEQIYT FLAEWEKYASSEVSLNTTLKLITDLIVSWRKLELRTWKGLFNSEDEKTRKSIGKWWFY LYESIVISNLGNEKKETTSNTTLLVSSLNLFFSKSTLGEFNARLDLVKAFYKHIQLIG LRNSKIEGLLHNTLKFYYQFKPVIDERIASGRKSLEKEIDDIILLASWKDVNVDALKQ SSRKSHNNLYKIVRKYRDLLGGDAKTIIEAGLSYTNKNKFKLPTLRQHFYEDLNLEAS KNLVKKISSWSTRPASLKNIDMVTSNMDSYLENISSQEFPNFADLASDFYAEAERLRK ETPDVYTKENKKRLAYLKTQKSKVLGDALKELRRIGLKGNFREDIQKVQSSTTTILAN IAPFNDKYLDSADAFFFKVLDLLPKLRSAVSNPSDDIPVAAIERGMALTQSLMFSLIT VRHPLSVFANDYCKVNDMILDLECFTHLKGDIVHCSLKTDIDNVRLFEKWLPSLLDYA AQTLSVISKYSTTLEQQKVLLDAKSTLSSFFLHFNTSRVFDSSFIESYFRFELFINEL LKKLENAKEAGNAFVFDTIIEWIKTNKRVPIKKEQKRGPSVEEIEQAFRRTFTSIILS FQKVIGDGFESISETDDKWLSTSFKKIMINVKLLRSGVVSKNIEAALSLLKSFDFATT ESIYIKSIISFTLPVITRYCNAMTVVLDRSRNYYTNTSHGTYILSTILHSLAKNGFCS PEPPSEEVDDKNLQDGTGLGDGEGAQNNNKDVEQDEDLTEDAQKENKDQQDKNEREDE NEDDAVEMEGDMAGELEDLSNGEENENDDEHTDSEEEELDEEIDDLNEDDPNAIDDKM WDEKASDNSKEKDTDQNLDGKNQEEDVQAAENDEQQQDSKEGVDEDSKAPEDGDEEIE NDEDAEDENDVGEQEDEVKDEQGENLEANVPEIETLDLPEDMNLDSDREESDGDIDMS DEMPDDLNKEEAENENEEVKQEAGNEDDNEDDESDSQIDVNEAETAFNEEKDAEEDVN MTNDEGKENEENVPEEQVMSDEELKQDDGIEDDKEKGGEQNTEGLDGVEEKADIEDID QEAAVQQDSGSKGAGADATDTREQEDVGGSGTTQNTYEEDQEDLTKNNEESREEATAA LKQLGDSLKEYHRRRQDIKEAQTNDEENDNLEKRNERPDEFEHVEGANTETDTQALGS ATQDQLQTIDENMAIDDDREEQEVDQKDLAEESDNEKMDIDEEDMLSDVDEHDANNGT DSKKSGFIGERKTEKDLENELPNEHFLPDQEDKDELQALIENVEDNTHDASASLTPER SLEESRELWHKSEISTAELVSRLGEQLRLILEPTLATKLKGDYKTGKRLNMKRIIPYI ASQFRKDKIWLRRTKPSKRQYQIMIALDDSKSMSESKCVKLAFDSLCLVSRTLTQLEA GGLSIVKFGENAKEVHSFDQQFSNESGARAFQWFGFQETKTDVKKLVAESIKIFERAR AMAHNDQWQLEIVISDGICEDHETIQRLVRRARENKIMLVFVIIDGITSNESILDMSQ VNYIPDQFGNPQLKITKYLDTFPFEFYVVVHDISELPEMLSLILRQYFTDLASN SPAR_L01600 MGSLLRPVDLVNQPLGFQERYKILQKLFKQLQKAYSHTNRSNID LERLATRLEVHVARNSLSGQSYKFNMSILLRDVLKYKGDLSKIKVNGRPLKGGKPHSY SNSNIGTITTKSKAMEALKALVHDVKALEKNGYTVKETQNETSDDNNTQLYASCLRCS TNFKKTDIMEKTLCRYHPLKRMYNRETKNHQYPCCGETTDSVSFLRLGCKTFFHHVFR GESYDDLCKISKFSSTEDMDGVENVLSLDCEMAFTSLGYEMIRLTIVDFFTGKTLFDH VIQPIGDIVDLNSDFSGVHEIDRTNCPTYKEALNVFLSGNLINKNSILIGHGLENDLN VMRLFHNKVIDTAILYSKTKFKVSLKNLAFEVLSRKIQNGEHDSSQDAIATMDVVKVK IGISPSQNNWDQ SPAR_L01610 MPGEKSETVVYTKQLETTPELLPNNEVFRIKIGQKLFEISGATL NSDAPNFFTQFFNMHDKNTILFIDRSEDVFIIIYRHLQGYFPEIKDEVNFSSLFTDAL YFQLPKLVKIIKEYDYHFTNIGGVSFKVPKSLFHEEGNRLNYFEMISRTFYEEIEKWE AHKKSVFPPLLPPSYIARPPEFFRDILSLLGGAELELSERRTASLIKECRYYRFNRLE QELVKAKVIYNPLTNCQEICIALNNVSKNGVTIERLTTPYTENQPLAVGSCPNKNGGE KTATGIDKTETDLGGNDDSEPPTKKVKHSIERHWSMLKYRRPYIDTVSQDLIFQLHSN QCKIIFNKKNKTVHVDLSREAAELFENKFSDVLLETPEFNVDLSEYKVKLRDSQMQVE SHLIIPACVSICDLMVNGAKCSNIFSLVNDSKCKERVLDCTNLKVLNCVHGLKLHLSK SMWKLGTNDGRIILVAVKAETFSGTKEYCKMIDFL SPAR_L01620 MSDLVNKKFPAGDYKFQYIAISQSDAESEACKMPQTVEWSKLIS DNKKVIITGAPAAFSPTCTVSHIPGYINYLDELVKEKEVDQVIVVTVDNPFANQAWAK SLGVKDTTHIKFASDPGCAFTKSIGFELAVGDGVYWSGRWAMVVEDGIVTYAAKETNP GTDVTVSSVESVLAHL SPAR_L01630 MQFSTVASIAAVAAVASAAANVTTATVSQESTTLVTITSCEDHV CSETVSPALVSTATVTVDDVITQYTTWCPLTTEAPKNGTTSTAAPVTSTAAPKNTTSA APTHSVTSYTGAAAKALPAAGALLAGAAALLL SPAR_L01640 MTTNEEFIRTQIFGTVFEITNRYNDLNPVGMGAFGLVCSATDTL TSQPVAIKKIMKPFSTAVLAKRTYRELKLLKHLRHENLICLQDIFLSPLEDIYFVTEL QGTDLHRLLQTRPLEKQFVQYFLYQILRGLKYVHSAGVIHRDLKPSNILINENCDLKI CDFGLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMIEGKPLFP GKDHVHQFSIITDLLGSPPKDVINTICSENTLKFVTSLPHRDPVPFSERFKTVEPDAV DLLEKMLVFDPKKRITAADALAHPYLAPYHDPTDEPVADAKFDWHFNDADLPVDTWRV MMYSEILDFHKIGGSDGQIDISATFDDQVAAATAAAAQAQAQAQAQVQLNMSAHSHNG AGTTGNNHSDITSGDKVGDRVAANDTITDYGNQAIQYANEFQQ SPAR_L01650 MDEQEEAVIFGICLVDFHHKRGPEIEYWYGLPEGTQSAELWPNL PFQALPDGSHSFEETFTYFTLLYDERRQRSPPNGATNLSDDSINDNTTLFAISCSRQI KSDELVTKDKDVTRSTVQKAIVVISRQPIFGQIKDKLSIVTNAFFLQHDFGDRKIIQS LYENLKSIYTPASLVKNAENRLYIGLCLRKILHDFKKNALVLLKAIMLERKIIVYGND VEALCNLQFGLISLIPDLMSSLQDSGSPQLFQDISKLNVIDSFKSSNRESVLRFLGFP LPIFEKGGLFSPYTPLQQMNDIRSDHTLFFMIGSSNTLLAEQKEELCHIFVNTDNSTV EILDKALNPVLQLSSHDKKWIESISGIVSDTWNENDDETPKNSQFEGSEDFIRWQFED YLTGLLSSVKLSDYLELHKENDQALKTIPEDMLSTNPVHLFNLNWVHSWKETQNFLIF NSRTDDRLFDLFPPKHIYNGADTLSLLQQRFLATFHNLKRSTSNSSSNKNGHQSEEDT KDQESINSKKSVSQIPVNTGRNTEKPATNLWNSWKEYFNKPKNTANDGITESTEDLKN RSKTSNAIQKAMMGLGLHYKPDGGADQQSEEGYSEDGEDDDDDDDGDDDDDDDDAEDD EEDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDEENGQDSSNGYTDATNINTDDDDD DESEQNLEHNVGNFNEDGTVADKDIESGSVSDKSCENKTGMHENDKNVEEQSEKRNTV ENTDEEDGANDAE SPAR_L01660 MTYKYNCCDDGSGTTVGSVVRFDNVTLLIDPGWNPSKVSYEQCI KYWEKVIPEIDVVILSQPTTECLGAHSLLYYNFTSHFISRIQVYATLPVINLGRVSTI DSYASSGVIGPYDTNELDLEDIEKSFDHIVPLKYSQLVDLRSRYDGLTLLAYNAGVCP GGSIWCISTYSEKLVYAKRWNHTRDNILNAASILDATGKPLSTLMRPSAIITTLDKFG SSQPFKKRSKIFKDTLKKGLSSDGSVIIPVDMGGKFLDLFTQVHELLFESTKINAHTQ VPVLILSYARGRTLTYAKSMLEWLSPSLLKTWENRNNTSPFEIGSRIKIIAPNELSKY PGSKICFVSEVEALINEVITKVGNSEKTTLIFTKPSFESASSLDKILEIVKQSERNRK KSPEDGKSFVCDGYISINTIKEEPLTKEELEAFKLQLKEKKKNRNKKILLVKRESKKL ANGNVIIDDSNAERTIRNQDILSENVNGAPAIDHIMRGDEDEEEEEEEEEENDNLLNL LKDNSEKPAVKKNTEVPVDIIIQPSATSKHKMFPFNPTKIKKDDYGAVVDFTMFIPDD SDNVNQNSRKRPLKEGAKSTRLVGEGENKNEEEDDYNMGDPVSKRSKHRTSRYSGFAG TGETENFDNLDYLKIDKTVSKRTVSTTNVQLKCSVVTLNLQSLVDQRSASIIWPSLKS RKIVLSAPKQIQNEEISAKLIKKNIEVVNMPLNKIIEFSTTIKTLDISIDSDLDNLLK WQRISDSYTVATVVGRLVKESLPQVNNHQKTASRSKLVLKPLGGSSRSHKTGALSIGD VRLVQLKKQLMEKNYIAEFKGEGTLVINEKVAVRKINDAETIIDGTPSELFDTVKKLV TDMLAKI SPAR_L01670 MNFRKNNSGYFGNRKGISMEDKKVKVPPNVNLSLWGKNTVESDV HRFNSLPSKISGALTREQIYSYQVMFRIQEITIKLRTNDFVPPSRKNRSPSPPPVYDA QGKRTNTREQRYRKKLEDERIKLVEIALKAIPYFVPPDDYKRPTRFQDKYYIPVDQYP DVNFVGLLLGPRGRTLRKLQEDSNCKIAIRGRGSVKEGKNASDLPPGAMNFEDPLHCL IIADSEDKIQKGIKVCQNIVIKAVTSPEGQNDLKRGQLRELAELNGTLREDNRPCPIC GLKDHKRYDCPNRKTPNIQGIVCKICGQTGHFSRDCTSSSQRMSRFDRNATVNDCSSI PSKDVHYNNNTYPNQAPKRSRYDNNSMDSPSRFPASSRYASTPSPPASHISRQVQNVT PTPPPGLTSSNFSSGVPGMAPPPLQSSPESEQPNFSLPPPPGITTVQSSIVPPPGLSG PPGFSNNTDDDLKKTKPPGLQGPPGL SPAR_L01680 MDTLEPTTVDTHISAEQILRDVYKKGQKARGSTNIDILDVEELR EYQRRKRTEYEGYLKRNRLDMGQWIRYAQFEIEQHDMRRARSIFERALLVDSSFIPLW VRYIDAELKVKCVNHARNLMNRAISTLPRVDKLWYKYLIVEESLNNIEIVRSLYTKWC SLEPGVNAWNSFVDFEVRQKNWNGVREIYSKYVMVHPQVQTWLKWVKFENRHGNAEFT RSVYSLATDTVADLQKLHIWSDAEVVKLVNSFAHWEATQQEYERSTALYRIAIEKWPS NQLLKGGLLDFEKQFGNINSIEETISYKRKMDYETTLNNNAYDYDTWWLYLDLVSESF PNQIMQTFEKAIVDSRPKGLSKTLHWKRYVYLWMRYICYVELELENSLLEDELFQRLI NDIIPHEHFTFSKIWLMYAKFLIRQDDVPRARKILGRAIGLCPKSKTFKGYIELEVKL KEFDRVRKIYEKFIEFRPSDLQIWSQYAELEENLGDWDRVRGIYAIALDENSQFLTRE AKIELLQKYITFETESQEFEEARKLYRSYLELNEYSVQSWIEFAMYQSSTPTEQQLSD LAKLQSENVDEDIEFEITDENKVEARKVFEEAVAFFKDKDDKEGRLSILEALRDYEET YGTELDQETVGKKLPKVVKKVKLQDGVEEEFIDYVFPDDIDDNKPKPSKFLELAKKWK KEQAP SPAR_L01690 MNGLRVAAKIQPARQTIIFLHGLGDTGSGWGFLAQYLQQRDSAA FQHTNFVFPNAPEVHVTANGGALMPAWFDILEWDPTFSKVDSDGFMSSLNSIEKTVKQ EIDRGIKPEHIIIGGFSQGAALALATSVTLPWKIGAIVALSGFCSIPGILKQHKNGIN ANTPIFHGHGDMDPVVPIGLGMKAKQFYQDSCGIQDYEFKVYKGMAHSTVPEELEDLA AFIKKSLSL SPAR_L01700 MDVVPSPSAQERVSKKNKNIPLPEGIHLLSSKEIIDLIQTHRHQ LELYVTKFNPLTEFAEKINAFRDQFKQLEESFKDLHGQRDKVQVLLENCRILESKYVA SWQDYHSEFSEKYGDIALRKKLEQNTKKLDEESSKLETTTRTIASADELDQFIKNYMD IRTQYHLRREKLATWEKQGNLKY SPAR_L01710 MKLATVRSAVLSSLFASQVLGKIIPAANKRDDDSNSKFVKLPFH KLYGDSLENVGSDKKPEVHLFKRADGYEEIIITNQQSFYSVELEVGTPPQNVTVLVDT GSSDLWIMGSNNPYCSSDTMGDSRRRVIDKRDDSSSSGALVNDINPFGWLTGTGSVIG PTATGSGGGSGTATQSVPASEATMDCLEYGTFTTSDSSTFKSNNTYFSISYGDGTFAS GTFGTDVLDLSDLNVTGLSFAVANETNSTMGVLGIGLPELEVTYSGSTASRGGRAYKY DNFPIVLKNSGAIKSNAYSLYLNDSDAEHGTILFGAVDHSKYTGTLYTIPIVNTLSAS GFSSPIQFDVTINGIGISDSQNGNKTLTTTKIPALLDSGTTLTYLPETVVSLIADEIG AQYSYRLGYYVVTCPSDDSTQIVFDFGGFHINTSLSSFILSAGNTCLLGIIPTSDSTG TILGDSFLTNAYVVYDLENLEISMAQARYNTTSENIEVISSSVPSAVKAPGYTNTWST SASIVTGGNIFTVNASQTASFSGNVTSSTASATSTSSKRNVGDHIVPSLPFTLISLLF AFI SPAR_L01720 MKVQLAAVAFLIVLTSSALGRVLPEEKYVKIPFTKRKDGGTGEL SKRSNGHETFVLANEQSFYSVELAIGTPSQNLTVLLDTGSADLWVPGKGNPYCGSVMD CDQYGVFDKSKSSTFKANKSSPFYAAYGDGTYAEGAFGQDKLKYNELDLSGLSFAVAN ESNSTFGVLGIGLSTLEVTYSGKVAVMDKKSYQYDNFPLFLKHSGAIDATAYSLFLND ESQSSGNILFGAVDHSKYEGQLYTIPLVNLYKSQGYQHPVAFDVTLQGLGLQTDKRNI TLTTTKLPALLDSGTTLTYLPSQAVALLAKSLNASYSKTLGYYEYTCPSSDNKTSVAF DFGGFRINAPLSDFTMQTSVGSCVLAIIPQAGNATAILGDSFLRNAYVVYDLDNYEIS LAQAKYGTGKENIEAIKSTVPSAIKAPSYNNTWSNYVSATSGGNIFTTVRTSNGTTTA TTARSSSTKKTNSTTTAKATHKGKRALQRAATNSAASTRSTLRLLLIPSLLILSVFFS SPAR_L01730 MGQVSEPTDKNFKNFKNHLIKNITSQESLKTRIEDENSDVTNPT EDNYSLFNKTGALTDGGITAEEGCLCSHEEEDSPSRSEEDSEEDSEEAEKEAEKEAEK EAEKESARAFSELVAVLQDKDIPLGVLDEPQVKDWLEKYTGVYRSSWHR SPAR_L01740 MTVKKIVILYTDEDNEWSKPWGNFVDMAIKLLEQTRKLECIKED VEYEVFHVQKNLFPQLSNLQKDEYLGVYITGSKYDSFDNKIEWIMKLRSFLNEMLSSK IEYPPVAGICFGHQIIAAALGSSVGRNPKGFEGGVVSLKLNSVGQELFGGPQLNLSEV HSDCVFNVPDGYQNWGSSEKCHNQGFYRQNKVLTFQGHPEFNSDVAQKGLLKSQDKLT LEEFDRYERQCQELDNNGIQAARSIWRLFLQKI SPAR_L01750 MSIQITPTRDLKTITDELQTLSSYIFHTNIADDLNSLLTWMSPN DPKSNHQLRPPSLRIKNIIKVLFPNNASTSPYSVINTSQTNNSIVNEGNTNKELQLQL LSTLKEYYIFQVRYHFFLHFDNINYLKDIQRWENYYEFPLRYVPIFEVHVNGWALELN SLRHYLLNRNIRFKSNLRTRLDKLIMDDDFDLASNLIQWLNSADGSLSSMELIVNALY NKINKFCEDNMSGVWNKRFMIMETFNKFINQYWSQFSKLVGCPEDDHELTTTVFNCFE SNFLRIRTKEIFDICVLAYPDSKVTLLELKKIMKDFKDYTNIVTTFLSDFKKYILNPS ITTVDALLRYVKTIKAFLVLNPTGRCLHSITTFVKPYFQERKHLVNVLLYAMLDLPEE ELKEKINFKVDMKALLSLVDTLHDSDINQDTNIMKRDMNKKSPFLWNLKMKGKREPNG VSTTRHTMIYEHILNYYLTWVPEPNDMIPGSAKSSYIKTNLFEILLDLFESREFFISE FRNLLTDRLFTLKFYRLDDKWTQCLKLIREKIVKFTERSHANYITNGILGLPETTAPV ADADQSNLNSIDVMLWDIKCSEELCRKMHEVAGLDPLIFPKFISLLYWKYNCDTQSSS DLAFHLPIDLEKELQKYSDIYSQLKPGRKLQLCKDQGKVEIELAFKDGRRLVLDVSLE QCSVINQFHSTNDEPLCLSLEQLSESLNISSPRLIHLLNFWIQKGVLSNEKEIYSVIE YCDTDFDQAQKIIPMEIENNNQESHDDSEVDRKYELTLQRSLPFIEGMLANLGAMKLH KIHSFLKTTVPKDWGYNRITLQELEKYLSTLADEGRLKYIANGSYEVVKNEHKN SPAR_L01760 MSSKIKGEDTSPEQEAIESFTSLTKCDFKVSRKYLQRNHWNINY ALNDYYDKEIGTFTDDVSAPVHPVIYPKELIQVFEHYSSNNLFDIDSLIKFIEDLGYN LDDLVTLCLAHLLGYKKLEEPLKREDFLSIWFMQGCSTISEMRECTTRLNVKLHEDLQ YFTQIYNYAFHLILDSNRKDIDTDEAIQYWKLFLQPEYPVCMEPDLLEAWFLFLRDEG KTTISRDTWRMLLLLFKRYPAIQTIMDDYDETAAWPFIIDEFYEYLQNQQ SPAR_L01770 MVRSYQRFEQASAFGVIASNANCVWIPASSRTNNGSGPGQLITS ALEDVNIWDIKTGDLISTLSDGLPPGASDARGAKPAECTYLEVHQDTDLLAVGYADGV IKVWDLMSKTVLLNFNGHKAAITLLQFDGTGTRLISGSKDSNIIVWDLVGEVGLYKLR SHKDSITGFWCQGEDWLISTSKDGMIKLWDLKTHQCIETHIAHTGECWGLAVKDDLLI TTGTDSQVKVWKLDMENDKMGEKLTEMGIFEKQSKQRGLKIEFITNASDKTSFFYIQN ADKTIETFRIRKEEEIARGLKKREKRLKEKGLTDEEIAKSIKDSYTSFILHPFQTVRS LYKIKSASWTTVTSSKLELVLTTSSNTIEYYSIPYEKRDPTSPAPLKTYTIELQGQRT DVRSIDISDDNRLLATASNGSLKIWNIKTHKCIRTFECGYALTCKFLPGGLLVILGTR NGELQLFDLASSSLLDTIEDAHDAAIWSLDLTSDGKRLVTGSADKTVKFWNFEVENTL VPGTKSKFLPILKLHHDTTLELTDDILSVRISPDDKYLAISLLDNTVKVFFLDSMKFY LSLYGHKLPVLSIDISFDSKMIITSSADKNIKIWGLDFGDCHKSLFAHQDSIMDVKFL PESHNFFSCSKDAVVKYWDGEKFECIQKLYAHQSEVWALAVATDGSFVVSSSHDHSIR IWEETEDQVFLEEEKEKELEEQYEDTLLTSLEEGNGDDAFKGDATGENVEDEASDVHK QTLESLKAGERLMEALDLGIAEIEGMEAYNRDMKLWQRKKLGEAPIKPQGNSVLIAVN KTPEQYIMDTLLRVRVSQLEDALMVMPFSYVLKFLKFIDTVMQNKTLLHSHLPLICKN LFFIIKFNHKELVSQKNEELKLQINRVKTELRSALKSTEDDLGFNVQGLKFVRQQWNL KHNYEFVDEYDQQEKEGKSARKRVFGTVI SPAR_L01780 MINLIVRDDSVDSCQASNDYNGHAGLRILAVFIILISSGLGVYF PILSSRYSFIRLPKWCFFIAKFFGSGVIVATAFVHLLQPAAEALGDECLGGTFAEYPW AFGICLMSLFLLFFTEIITHYFVAKTLGHDHGDHGEIASIDTDIPSAGFVIRNTDSDP ASFNNEAAYSIHNNKTPYTTRNEEIVVTSIKEKEPSSNVTNYDLEAVKTQSIANGLIP ISSHATNLASVPGKDHYSHENDHQGASQLGTLIEEEDKEQYLNQMLAVFILEFGIIFH SVFVGLSLSVAGEEFETLFIVLTFHQMFEGLGLGTRVAETNWPESKKYTPWLMGLAFT LTSPIAVAVGIGVRHSWIPGSRRALIANGVFDSISSGILIYTGLVELMAHEFLYSNQF KGPDGLRKMLSAYFIMCCGAALMALLGKWA SPAR_L01790 MDNVVDPWYINPSGFAKDIQDEEYAQHHDNVDPTIPQADNYPSN NENDDGLDNLLGMDYYNIDDLLTQELRDLDIPLVPSPKTGDDPRDKKSIDRTWNFGDE NNKISHYSKKSMSSHKRGLSGTAIFGFLGHNKTLSVSSLQQSILNMSKDPQPMDLINE LGNHTVKHNNHDFDHIREKDGENSYLSQVLLKQQEELRIALEKQKEVNEKLEKQLRDN QLQQEKLRRVLEEQEEVAQKLVSETAKSNSKPGSPVILKTPAMQNSRSKDNAIIVTTN SANGGYQFPPPTLISPPISNTSINGSPSRKYHRQRYPNKSPESNGLNLFSSNSGYLRD SELLSFSPPNYNLSMCGLAYDDHNNTSDKNDNDKRNNTGDNIFRLFEKTSPGGLSISP RINGNSLRSPFLVNADRSKDDRYATSTFTSRTQLSPIHKKRESVVSTVSTISQLQDDI EPIHMRNTQSPMVKNGNALPSSSVLPPIPGSTNNTPIKNSLPQKHLFQHTPIKTLTKD GNSLDPLLNAPDLTDRQLEIKTPIRSNSHGEEESYPQAPPVTHDIHKSPTLDITSPPP GEIIPRTTPMKITKKPTTLPPGTIDQYVKELPDKLFECLYPNCSKVFKRRYNIRSHIQ THLQDRPYSCDFPGCTKAFVRNHDLIRHKISHNAKKYICPCGKRFNREDALMVHRSRM ICTGGKKLEHSISKKLTSPKKSHLDSPYETSPVKETIARDKDGSVLMKMEEQLRDDMR KHGLLDPPPSRATYEQNSSLTPSNESDSL SPAR_L01800 MEFLSADYSSSDGSDTESESGNKAKIEIEHTEETSIQRADSTDL PEIPDSIILKYHITPNLQKYEHQDMNISRFWRSFTYFEWRPTPAIHRQLQKIICKYKE TFMKQGYTNPFQLADFDPLFISHLGAPKPLHISLTRSLLFETEEQRHIFIQEIRNGLQ NHKIAPFTLQICPYPKLYISERANTLYLGLPVSEHSNRTQLSPFKMIIGKALQKSGIS NYQDLIVSRQCLHISIAIASNPSKATLKRYQLLNESIGALLLLDNEFTYQPELLVNSI YCDENRRSIRIPFN SPAR_L01810 MVPESRPGSVRSYSVGYQARSRSSSQRRHSLTRQHSSQRLIRTI SIESDISNITDDDDLRAVDGGVADLELDVSETANKGPRRASATDVTDSLGSTSSEYIE IPFVKETLDASLPLDYLKQDILNLIQSLKISKWYNNRKVQPVAQDINLVKISGAMTNA IFKVEYPKLPSLLLRIYGPNIDNIIDREYELQILARLSLRNIGPSLYGCFVNGRFEQF LENSKTLTKDDIRNWKNSQRIARRMKELHVGVPLLSSERKNGSACWQKIKQWLRTIEK VDQWVGDPKNIERSLLCENWSKFIDIVDRYHKWLISQEQGIEQVNKNLVFCHNDAQYG NLLFTAPVMNTPSLYTAPSSTSLVSQSSSLFPSDSNVIVDDIINPPKQEQSQDSKLVV IDFEYAGANPASYDLANHLSEWMYDYNNVKAPHECHADRYPDKEQALNFLYSYVSHLR GGAKEPIDEEVQRLYKSIIQWRPTVQLFWSLWAILQSGELEKEKAFTATTREEIGPNG KKYIIKTEPENLGEDFAENEDEPETGVSIDTFDYMAYGRDKIAVFWGDLIGLGIITEK ECENFSSFKFLDTSYL SPAR_L01820 MSEITLGKYLFERLSQVNCNTVFGLPGDFNLSLLDKLYEVKGMR WAGNANELNAAYAADGYARIKGMSCIITTFGVGELSALNGIAGSYAEHVGVLHVVGVP SISSQAKQLLLHHTLGNGDFTVFHRMSANISETTAMITDIANAPAEIDRCIRTTYTTQ RPVYLGLPANLVDLNVPAKLLETPIDLSLKPNDAEAEAEVVRTVIEMIKDAKNPVILA DACASRHDVKAETKKLIDLTQFPVYVTPMGKGAIDEQHPRYGGVYVGTLSRPEVKKAV ESADLILSIGALLSDFNTGSFSYSYKTKNIVEFHSDHIKIRNATFPGVQMKFALQKLL GAIPEVVKDYKPVAVPARVPINKSTPANTPMKQEWMWNQLGSFLREGDIVIAETGTSA FGINQTTFPTDVYAIVQVLWGSIGFTVGALLGATMAAEELDPKKRVILFIGDGSLQLT VQEISTMIRWGLKPYIFVLNNNGYTIEKLIHGPHAEYNEIQGWDHLALLPTFGARNYE THRIATTGEWDKLTQDKDFQDNSKIRMIEVMLPVFDAPQNLVKQAQLTAATNAKQ SPAR_L01830 MELQRAQRNLKFLQNEDFMNITDQANLNGESQRAYSLGMETQVP EVQFSLSSDDDSIGTQEEHVTAHKLLVAKETTKKDTESNKDVDISFDPTGISQPDLGE PNIVEENVFINTQIQSRLDDAEEETNLKLKLKQFKYSFKDNNASGCHNNANIVAKRRP AIRKTNPKLKPKTKSKRDPNIIKNITDFNINNYERSRTASLLKQLSGKHKKVLDIIKT QNEGSNGESSKARNSKSEKATFDTYSEQEWKYVMKLFLQKFPHSEETDLNEVQKFLYG CEQSSSSLDNQKTSQQKLWTASQLPPELPDETIQPEQEARIRDTQSAVNFLSLSQVMD DKSEIMKDEESIIMSGGVSTGSQEYGNKLEPQLTVGNVVDENIELTVGTRINGFSLTD YKAYRHMPVEVNTRCENSKSNDYDDISVVSDTTDETSTLFPLDQYRYVFLENDEKPPL TTDTIGSTQFFTPNTSPLDGIIDLTQESFKAVRSLISPLKVENNKTRTTSQALNQVQV PATRTPTIVPQKDLTKALKTEQEMSDIGSFIRVKLLRKNAGMLNPELMKHSCYRVEAN DSEEEETELDDQLCIADIQLADSTKISTEVSIQNPGNNINDVSDASPTTSPEKLREII MSQSMKELRQSLKSVGLKPMRTKVEIIQSLQTASQILSIANPGNNDEHRGVANFSKIE IFDHLTELIQAFPDFLERIYTFEPIPLNELIEKLFSAEPFVSQIDEMTIREWADVQGI CLRNDKK SPAR_L01840 MWAPLSYTRPESQKTDLTSLFSTDQEQNPLNDYQYQINIRELED YYNKTILNEDNIQETSSEISSAVSLSPPKHKNAIQPGLLYDPQLINPFLPSASLNNSA PSTFKKKLEVRINPDYVPKSSQLPLTSQNLQQLSQQKLKDDAPFSSQKESSAQPKVKS QLQETPKQLYKTELCESFTLKGSCPYGSKCQFAHGLGELKVKRSCKNFRTKPCVNWEK LGYCPYGRRCCFKHGDDNDIAVYVRAGTYCNVSSTSKQSDEKRSNGRSSTKKKNLNVK VKALQRMTW SPAR_L01850 MSFKLWLLDEETIYEHVFERYMQLEGQSGKLPQDLGIQDRSGCV LEIAIEPSGLESGKKKKRVRRRNKADAFEEDREVAVDSYHVSVEQSISSLHSSRDNGN STTGYVLWSTTPFFINWLLYNASAAPFRLGTQVEVVRGSPCEGHMLELPKLIDLTGAD HSKRCILELGAGIAGILPVVLGNFVDIYVSTDQKGILNKLKDNIMENLSQLTRKQCIS ESLRLELPTLEPVDNGATATKSALPKSTLNLEVAALDWEKINLQDKKTHSLHPELSLI GETCSSVYVIAMDVIYNEYLIDPFLKTLEQLKHWFRITYSLQFHALVGIHLRSQEVTT LFLEKAIIEHDFTVYDIIDQVLQESRFNFYLIS SPAR_L01860 MAIWEQLEVSKAHVAYACVGVFSSIFSLFSLYVKEKLYIGESTV AGIFGLIVGPVCLNWFNPLNWGNSDSITLEITRIVLCLQIFAVAVELPRKYMLKHWVS VTMLLLPVMTAGWLIIGLFVWILIPGLNFSASLLISACITATDPILAQSVVSGKFAQR VPGHLRNLLSAESGCNDGMAFPFLFLSMNLILHPGNGREIVKDWICVTILYECLFGCL LGCFIGYIGRITIRFAEKKNIIDRESFLAFYVVLAFMCAGFGSILGVDDLLVSFAAGA TFAWDGWFSQKTQESNVSTVIDLLLNYAYFIYFGAIIPWSQFNNGEIGTNVWRLIILS IVVIFLRRIPAVMILRPLIPDIKSWREALFVGHFGPIGVGAIFAAILARGELESTFSE EPTPLNVVPSKEVTKHWQLIACIWPITCFFIVTSIIVHGSSVAIITLGRHLNTITLTK TFTTHTTNGDNGKTSWMQRLPSLDKAGRSFSLHRMDTQMTLSGDEGEEEGGGGRKGLA GGEDEEGLNNDQIGSVATSGIPARPAGGMPRRRKLTRKEKRLNRRQKLRNKGREIFSS RSKNEMYDDDELNDLGRERLQKEKEARAATFALSTAVNTQHNEEIGMGGDDEEDEYTP EKEYSDNYNNTPSFESSARSSSLREGTYIPKNRYDEEETESEIESEDETEDESERSMA SSEERRIRKMKEEEMKPGTAYLDGNRMIIENKQGEILNQVDIEDRNGGKDENASVDST THSSLTTTMTNASSSSGGRLKRILTPTSLGKIHSLVDKGKDKNKNNKYHAFKIDNLLI IENEDGDVIKRYKINPHKPDDDKSKNRPRNDSVVSRALTAVGLKSKANSGIPPPLDEE KAIEGPSKKGPGMLKKRTLTPAPPRGVQGSLDLEDDPSSEEDLGDSYNMDDSEDYDDN AYESETEFERQRRLNALGEMTAPADQDDEELPPLPVEAQVGNDGPGTAEGKKKQKSAA VKSALSKTLGLNK SPAR_L01870 MWKFNRKLARLTYRLYSSSGPSSPLHGKKKLPQNLKFVVLNPTQ SGLVKNDQKLPRHRPSKRRTHKDTGDDNADFGSKLLVFEKQNSLDSALNSIRLKKPTS ASLPSLEYTALLQSLTSSYNRYQLREFISTHHPDSPLHLTHWKKSKLSQYIIEKIWNC QPISTPTSPTGIKSTSLTFQFDTPREIFLLLITQNGKILTNFNKLGLTFIISIQDNEL TVKGSPSLLKYAEISLNKIWSNITHENVRVYSLTPSKDVINLIQKETHTFFEYLPDSQ TYKISALSTKKISMAKVFLLNALASNPRTTQHHHTMASLALKTELYPFNNTLENLDWL NKAQDWARLRSVVPKSCTDLATRTATAASHLTDAQISQYESFLSTNTPSPSASNSISQ SLSITLGYSLQSASSSSIFQPLIHKSFISKLLNLPIHKESSSSAPVPLDQHLITNAHQ SFIQLNFTPVPLTPSSASSPFMQIWFEIDEFDNIVTTSMRPLLKLQENSVILRTPQCQ TDYKITSDYIQDLLPDFDQTKPDAWLSEQKGLQEFLLKSHWKLNRYQNLLKKITISLP DNLVQQYQLTDILTHRVLNLQFPANSSQDDKYIIQYSDISRGFLNNGSYRQLDFINVD PGETSLKTFINDVLDF SPAR_L01880 MEHQQLQKYVDLYNKEVEEFYNGAASGRPREFHPSKVHIKSIHE KASTANSGVEISSLGVDWDSEEKETFFWCLSRYSIHRVDEWRSLLPRKSAMEILGYYR LLRRASLSARSRKAGDDGAPIAYEMSAEWVALETKLSEAVTAITEGAAEVADEEGHSE GLINYESWKRRWVAIYSHSRIAEIRPLPRHALPLSRSATETLERCVRRYTRALLWCTA LAGMASRSVSARAAGSRGCKSLPTVVTRRQVERALCTEARARDLHVLPRRIALTLRKW ELDYPREGKLFRTKEMACLFLQSQLSRRDAPPVHQDENQDENQDKNQEQDTTASESGS EAERNEINEADLFRSALHENQLLKWLSK SPAR_L01890 MIASRSSLLVTKSRLPSICYPLVKRSYVSKTPTHSNTAANLMVE TPASPNTNGNSVMAPPNSVNFLQTLPKKELFQLGFIGIATLNRFFLNTIIKMFPYIPV PVIKFFVSSLYCGGENFKEVIECGERLQKRGISNMMLSLTIENSEGTKSLSSTPVDKI VKETISSVHNILLPNIIGQLESKPINDIAPGYIALKPSALVDNPHEVLYNFSNPAFKT QRDQLIENCSKITKEVFELNQSLLKKYPERKAPFLVSTIDAEKYDLQENGVYELQRIL FQRFNPASSKLISCIGTWQLYLRDSGDHLLHELKLAQESGYKLGLKLVRGAYIHSEKD RNQIIFGDKTGTDENYDRIITQVVNDLIINGEDSYYGHLVVASHNYQSQMLVTNLLKS TQDNSYAKSNIVLGQLLGMADNVTYDLITNHGAKNIIKYVPWGPPLETKDYLLRRLQE NGDAVRSDNGWPLIKAIAKSIPKRLGV SPAR_L01900 MKFIALISGGKDSFYNIFHCLKNNHELIALGNLHPRESEEQELD SFMFQTVGHDLIDYYSKCIGVPLFRRSILRNTSNNVELNYTATQDDEIEELYELLRTV KDKIPDLEAVSVGAILSSYQRTRVENVCSRLGLVVLSYLWQRDQAELMSEMCLMSKDV DGDENDTDSGNKFDARIIKVAAIGLNEKHLGMSLPMIQPVLHKLNQLYQVHICGEGGE FETMVLDAPFFKHGYLKLINIVKCSDGEVHNARLNVKFQPRNLSQVFLQAQLDQLPVP SIFHNDWQELTQVLAKQVETRERRFGDFVSNTIAETSINKINDKLYISNLQSRESETV EEQIENIFAELADIMNSKEIPRNHILSSSLLIKDMSNFGKINKIYNEFLDLAKHGPLP PSRACVGSRCLPENCHVQLSVIVGIKSKFKGKINKNKGGLHVQGRSYWAPCNIGPYSQ SIWLNDDANQVSFISGQIGLVPQSMEILETSLTDQTVLALQHFDTLCKTIGAQEKLLM TCYISDESILNSVCKTWSLYCSNLSHGCGSMNKSNDIERCLILVRISELPRGAVAEFG GVTCKRLIVDDNDSEEKEREETEENEDISHKLRKLNLNSEAFHSITVSLPRSNRNFTT GFVDDREKLELIVENTSKSAQVTLYYNPEETITFHHHIEYYPVEKIFDYRGVEHRFGL HIRS SPAR_L01910 MWYNRQGIPPPVPNRPADTANKGAPSLPPRVNVQRPICSSENPY KPRDSMVADESLHISGSSEPLADTQMSSDNIFQSPVLSNLKAPPSVFNIVQHPVPKPN IDDQTVDPLETNKFYTNMLLEDNTQPIWTHPYSLWFSRDPELFGLAANHTLASQKVFD TTTNPPRFYFNPTNIKSFIFKAREFGSANDIKPEFRDMKHMSMRLLMSLSNSQFIEFP LVQGMGFVTAIYHDLGFELRSAVGFRSLERLSVNERYVKYNIQLEDNRNWSLYLTSPN HSFPQDFQISLPNNNTIISSHKIDVLICQLSGDSVPNIDMAAGCYPVSCDLLGQTVNE QLTNYRFNYTVAGYSQSGTTLMYALPHHKAAFTAEMQEREIASNLDSTVKGLMTGYLT NSFDMQVQVPQELGFEPIALSLNKKANYSQEKLSKIREAAVQEIQLSDPQQESNIDSM YFSGKILAKYAWILYVTHYILRDKNLTRELLSKLTNAMERFISNQQVLPLNYDITWKG VISSGSSSQDFGNSYYNDHHFHYSYHVITAAIISLVDTDLNGVTNNSWLENNRDWVEC LIRDYSGADSDDPYFPQFRSFDWFNGHSWAKGLFPSGDGKDEESTSEDVNSCYAIKLW GLVTGNSKLVDIANLQLGIMRNVFQSYFLYESNNAIQPKEFTSNKVSGILFENKIDHA TYFGMEPQYIHMIHAIPITSASSWVRTPNFVKEEWEEKMQPIIDQVNDGWKGIIMLNM ALLDPKFSYDFFSQPDFNKNFLDNGQSLTWSLAYSGAFS SPAR_L01920 MDEMNNVIRSLEQEYRLILLLNHRNKNQHRAASWYGSFNEMKRN CGQIIKLLSSRRLQAKRLRDVEWVKLHRLLQRALFRQLKKWYWQFNGVIALGQFVTLG CTLVTLLANVRALYMKLWEGNNSEFIRCGCSTKNFPKAKDKQAMSSVEELGNIIDEDI GDTIRENELVITPMPDSVPENGKKKKKRKKKNKSAIDGIFG SPAR_L01930 MVNSSQHPYIKDGWFREISDKNFPGQAFTMAVDSILYEAQSEFQ NILIFHNRVYGTVLVLDGIVQCTEFDEFAYQEMITHIAMFAHSNPKRVLIIGGGDGGV LREVARHTCVEHITMVEIDSSVIELSRKFLPTLSNGAFDDERLDLKLCDGFQFLQDIG ASGIHKKFDVIITDSSDPEGPAEAFFQERYFELLKDALNPNGVVIMQSSENFWLNLDY LRHLKNTAKTVFPNTEYCYTMVPTYTSGQLGLIVCGNNANIPLNIPQRKMTEQEQEEL KYYNPQIHSSAFVLPTWAEKIINE SPAR_L01940 MDREEKKKTTASVEHARMLQNEIQQLFAQLQDMNSQIRCDLNEF EQIKESSTTANSTTNSAN SPAR_L01950 MNGIPVKLLNEAQGHIVSLELTTGATYRGKLVESEDSMNVQLRD VVATEPQGAVTHMDQIFVRGSQIKFIVVPDLLKNAPLFKKNSSRPMPPIRGPKRR SPAR_L01960 MIKTRIEEVQLQFLTGNTELTHLKVSNDQLIVTTQRTVYKINLQ DPAIVNHFDCPLSKELESIMNVYVSPMGSIIFIRTNFGRYMLLKDGEFTQLNKIKNLD LSSLHWINETTFLMGIKKTAKLYRVEWTGKDIITKLWYENKKLSGGIDGVAYWEGSLL LTVKDNILYWRDVTNMKFPLVLPDESEQFERLKHHAIKKFDSYNGLFAWVTSSGIVFG DLKERQMEKEPDSSNNLGKFLSSSKVLLNFELPDYQNDKDHLIKDIVLTAFHILLLRK DTVTMVSQLNNDIVFHEAIPRHQFTNSDTDSNEKFLGLVRDPVKETFWCFSNINVFEL IIENESSSVWNLLVQDNKFDKALSLKGLTVREMETIKFSKAMYLFHTAKDFHSAAQTL GSIKDLSHFGEIALDFLQIKDYNDLNVILIKQLDNVPWKSTQVVLSSWIVWNFMKQLN DIELKINTIKPASADEDSLLNWNLNLKEKSNELTKFLESHLETLDNETVYQIMSKQNR QNELLIFAKLINDMKFLLSFWIDQGNWYESLKILLTINDHDLVYKYSLILLLNSPEAT VSTWMKIKDLDPNKLIPTILKSFTNWQNNSKLITNLSEYPENYSLTYLKWCVKEVPKM CNPIIYNSILYMMITDPKGDMILENDIIKFMRSNENRFDLNFQLRLSLKFKKTKASIF LLTRLNLFEDAIDLALENNLIDDCKIIVNDEVLIEDYKLRKRLWLKIAKYLLLSMKDI DIKQLIRTILNDSNEVLTIKDLLPFFNEYTTIANLKEELIKFLENHNMKMNEISEDII NSKNLKVEINTEISKFNEIYRILEPGKSCDECGGFLQIKKFIVFPCGHCFHWNCLIRV ILNSNDYNLRQKTEKFLKAKNKHNLNDLETIIVEKCGLCSDININKIDQPISMDETEL AKWNE SPAR_L01970 MTIDGTGQSKEALQDERLNTGSDKVYQNYMMPALELYDAKVSIN HWQLRDCIKPGSMNQSKLYYIYDHSIRVLDTDSSALRSPVRRHNSIQPSNSGKNSNER ASTRGSKATGSFISRNLHVPSEKLVEFNFKPRCFTELNGLTVCGGLIGSDDKGFPSNW NRLAQDANIALPPPSQPINISKNISFPINSHYSNPNIWKGIVEFYNQETETMMTFTLG QFINNCVTLYDRASTQFDLFACNNDGHLYQCDVSNRDVTLVKRYADLKFPLNNASLSH DGQMMVVSGDSNKFAVYNQNELTNQFSLHYDNHPTWGSSAHRFRRIPRFALPDESEYT ENIYEAPNSDHGFYNCFSENDLQFATVFQNGTCAVYDIRNMATPMAEISSTRPHSHNG AFRVCRFSYGLDDLLFISEHQGRVHVVDTRNYVNHQVIVIPDKVNMEYINEQKHNTNP KFTTNSNNGNDGNDSKNEFQEADYRSLSRRRFSLPSLPNVSTEPWITMAQRIPKKYLE PQILPFPKVMDKISNESVLFSSQSNSSSDMSHPYKRRCSFRVRRVSTSAPTADSSNND ANTRLGSPATDSTATSSSNSAPQNLIDPLILSHQQANNDVFEDDEYYEAYNDVHSTYR VSSDYHGLSARAFESFLRPPSTPDLPSDNDNFTTNSRSNRGTSNFLRRPVITTQESNE FLEENNISGIDWVEDRNGSSLIIGTDYGIMRWNINSWARRSFSSYDLC SPAR_L01980 MSNPFDLLGNDVEDADVVVLPPKEIVKSNTSSKKADVPPPSADP SKARKNRPRPSGNEGAIRDKTAGRRNNKSKDVTDSAATKKSNTRKATDRHSRTGKTDT KKKVNQGWGDDKKELSAEKEAQADAAAEIAEDAEEAEDAGKQKTTQLSLQDYLNQQAN NQFNKVPEAKKVELDAERIEAAEKEAYAPATKVKNVKSKQLKTKEYLEFDATFVESNT RKNFGDRNNNNNNNNNRNNFNNRRGGRGARKGNNTANTANSANTVQKNRNIDVSNLPS LA SPAR_L01990 MKGELRVLLTKRSRTLRSFSGDVSFPGGKADFIQETFESVARRE AEEEIGLPHDPEVLHKEFGMRLDNLVMDMPCYLSRTFLSVKPMVCFLYKDKLEKGEDK YEVPLDICKFFGKLNPGETSSLFSVPLNDLIVHLLPEGDEDVKNYRAEYFERKEYKLN WGGIRWLIMHYHFHVANINEMPWLQIIEDLSSSDEDGVDGAIFRFRDLWGLTCKMLFD VSCIANGLMNEKLKRELGHEDLIVGLHDYGNQMQPSGRSEWEVGMINGDRKLKYSDVI PEYYMKHLLERRSLW SPAR_L02000 MSLTLGAAIYIALKPIFKIYTIMLVGYLLAKFDIVSMENAKGIS NMVVNAILPCLTFNKIVSNISWRDIKEIGVIILSAFILFVLGATGALFTTFATTVPKK FFWGLIFAGFFPNISDLPIAYIQSMGNGSIFTADEADKGVAYSCIFLFIQSFLMMNFG MWRVVGLDFRDTEESDSENITPSASPANDDYKPTGLTKLPNIARPTNTYQSEDTRNNS DLSCNSITTNEMTPRAYCEDFTGHIKPYKGSTLRGSIGAAFKVENDLPHAEIYRVSST YSSPGALEFSRMDDNSFSYSLISKNSGGRSTIRKRKADMSELISKYSAAEKIRQGELD LSRPLSLTEEVGSRNASIGNVCTDTTDRNSTEEENCTSGYSNEKRGLSVFLEKHNLKW LQYFIINCLRPASLGAILGIFCALIPWVKACFVTTYVHVHKAPDGEPVLNFLMDFTEY IGNACVPLGLLLLGGTLARLEIQSLPPGFIKSALLMTCFRLIVIPIIGVLWVNKLYSI DWLDTRIGKFDMILTWSMPSATAQVYFTAFYTPACGDHIQMNCLSVLFVIQYAILFIT VAFVVTYTLKVDLKV SPAR_L02010 MTIKEHKVVHEAHNVKALKAPQHFYNSQPGKGYVSDMQHYQEMY QQSINEPEKFFDKMAKEYLHWDAPYTKVQSGSLNNGDVAWFLNGKLNASYNCVDRHAF ANPDKPALIYEADDESDNKIITFGELLRKVSQIAGVLQSWGVKKGDTVAIYLPMIPEA VIAMLAVARIGAIHSVVFAGFSAGSLKDRVVDANSKVVITCDEGKRGGKTINTKKIVD EGLNGVDLVSRILVFQRTGTEGIPMKAGRDYWWHEEAAKQRTYLPPVSCDAEDPLFLL YTSGSTGSPKGVVHTTGGYLLGAALTTRYVFDIHPEDVLFTAGDVGWITGHTYALYGP LTLGTATIIFESTPAYPDYGRYWRIIQRHKATHFYVAPTALRLIKRVGEAEIAKYDTS SLRVLGSVGEPISPDLWEWYHEKVGNKNCVICDTMWQTESGSHLIAPLAGAVPTKPGS ATVPFFGINACIIDPVTGVELEGNDVEGVLAVKSPWPSMARSVWNHHDRYMDTYLKPY PGHYFTGDGAGRDHDGYYWIRGRVDDVVNVSGHRLSTSEIEASISNHENVSEAAVVGI PDELTGQTVVAYVSLKDGYLQNNATEGDAEHITPDNLRRELILQVRGEIGPFASPKTI ILVRDLPRTRSGKIMRRVLRKVASNEAEQLGDLTTLANPEVVPAIISAVENQFFSQKK K SPAR_L02020 MTKDAVNLDTYTVNFMPFYTEYEGPTGEFKDYKFEDTVYFRGKE LQREKLVTTANSDSTTDTFSNGAIFSGNTIIGKVVSVNNYEREGTNRNELARLQELIS LIDVINQ SPAR_L02025 MFSRTVSKLRNTRRLLSTVSSQIPGTRTSKLPNGLTIATEYIPN TSSATVGIFVDAGSRAENVKNNGTAHFLEHLAFKGTQNRSQQGIELEIENIGSHLNAY TSRENTVYYAKSLQEDIPKAVDILSDILTKSVLNGSAIERERDVIIRESEEVDKMHDE VVFDHLHEITYKGQPLGRTILGPIKNIKSITRSDLKDYITKNYKGDRMVLAGAGAVDH EKLVQYAQKYFGHVPKSESPVPLGSPRGPLPIFCRGERFIEEKTLPTTHIAIALEGVS WSAPDYFVALATQAIVGNWDRAIGTGTNSPSPLAVAASQNGPLANSYMSFSTSYADSG LWGMYIVTDSNEHNVQLIVDEILKEWKRIKSGKISDAEVNRAKAQLKAALLLSLDGST AIVEDIGRQVVTTGKRLSPEEVFEQVDKITKDDIIMWANYRLQNKPVSMVALGNTSTV PKVSYIEEKLNQ SPAR_L02028 MSLTKFLKPLCGIRSFHSSIARPFTIPFLPKIPQKPGGVSGTAN DSSYMPPESRAQGSYHWIVERGLSLAILPLIAVPLVTTGPISTFTDTFLSLVLLGHCH IGFQSCIIDYISERVYGKVHYYAMYLLSLGSFLSLVGIYKLESQEAGLIGSLKSLWDN KLVLKKEAVVID SPAR_L02029 MSLKRQIPIVFENAHYFIVSKPPGIPSQPPDSRSWGRTHPNLDP TPLLERFKADYYSHKQVELCRTVHRLDHCVTGGMLIAKTKDASVKFSRFLQKGGNKGY QLKRKYVAIVESSAHFNETNNYEVNYGPHYNFLISHGGREITKFKVVDEQCIVLQLVT GKKHQIRHHVSQILNQPILNDQKYGSTAKLPELFKDHIALHSACIMTKVGLQTKTHLI PMEFNNTGELWSRKYVDEEGEFIQSIKDALMENWD SPAR_L02030 MNSLYELDPKWKKLLKTDNFLGGLTVNEFVQELSKDHRNDVLVD TNTKNLTTNEKDQDAIREAIWKQLDPKPYIRTFESTLKELKNLNEETLNKRQYYSEQV ASQEVIHSENVIKLSKNLHTTLLTFDKLDDRLTNVTQVVSPLGDKLETAIKKKQNYIQ SVELIRRYNDFYSMGKSDIIEQSRLSKNWKLNLKSVKLMKNLLILSSKLETSSIPRTI NTKLVIEKYSEMMENELLENFNSAYRENNFTKLNEIAIILNNFNGGVNVIQSFINQHD YFIDTKQIDLENEFENVFIKNVKFKEELIDFENHSVIVETFMQRLINDVETVIKNESK IVKRVFEEKATHVIQLFIQRVFAQKIEPRFVVLLRNSLSISNLAYVRILHGLFTLFGK FTKSLNDYFQLLEIDDSNQVLSTTLEQCFADLFSHYLYDRSKYFGIEKRSLETILVEM TSKFTVNYDKEINKRILLEKYKEKLSTNVDAFMHSPRGNTHSRQDSTSRSKLSQFNSF LKTHLDKDHLSLNRTNTLSDSFNNSSSSTQYDVTNNSSSLINSNIITSDIDNSPNSPA NYSLNDVDSMLKCVVESTARVMELIPNKAHLYILEILRIMFLGIVDSYMEIALEVAYW KVCKVDINKTAGVVNLNFLKFISMSTEILDLLSISIKSIFLPLLNNSPEIKAQIIEMT NSQIQKMEILINIILQETITVISTKFSTILCKQKKKDFVPKSQELLDQDTLPAIEIVN ILNLIFEQSSKFLKGKNLQTFLTLIGEELYSLLLSHYSHFQVNSVGGVVVTKDIIGYQ TAIEEWGVANLIDKFATLRELANLFTVQPELLESLTKEGHLADIGRDIIQSYISNRED FNHDNFINSVKLNFR SPAR_L02040 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIRHKHKKVKLAV LSYYKVDAEGKVTKLRRECSNPTCGAGVFLANHKDRLYCGKCHSVYKVNA SPAR_L02050 MKLFQNSYDFNYPWDQVTAANWKKYPNEISTHVIAVDVLRRELK DQGKVLVTERLITVKQGVPKWIMMMLGGTNMSHVREVSVVDLNNQSLTMRSCNLTMCN LLKVYETVTYSPHPDDSANKTLFQQEAQITAYGSIRKLCNKMEDWSVQRFCENAKKGK MGFDTVLQVFSENWEKHVDDLSNQFVSKVNETMEDVKISAGTLLKGTERSGRTILQQN IDLFRDAYNHEN SPAR_L02060 MTQLKYLMLVSRQGKIRLKKWYTAMSAGEKTKIVKDLTPTILAR KPKMCNIIEYSDHKVVYKRYASLYFIVGVTPDVDNELLTLEIIHRFVETMDTYFGNVC ELDIIFNFNKVYDILNEMIMCDGSIAESSRKEVLHHVTVMDTMESNENLERVLS SPAR_L02070 MLYLIGLGLSYKSDITVRGLEAIKKCSRVYLEHYTSILMAASQE ELEAYYGKEIILADRELVETGSKQILYNADKEDVAFLVVGDPFGATTHTDLVLRAKRE AIPVEIIHNASVMNAVGACGLQLYNFGQTVSMVFFTDNWRPDSWYDKIWENRKIGLHT LVLLDIKVKEQSIENMARGRLIYEPPRYMSIAQCCQQLLEIEEKRGTKAYTADTPAVA ISRLGSSSQSFKSGTISELANYDSGEPLHSLVILGRQCHELELEYLLEFADDKEKYGK DVANDQEYFKPAAWVPPVEDKSDE SPAR_L02080 MHTNSPSEADDQDLETQPLLRPNTQESQLISDEVRINVANEALI KSRRRSMKRLITYLLGITLLSFLGVSIVQFIRGHVPPTEVIEKNLVQVTNFKLVEFQL DGWKDNMRSDLNNDTGKYLQVSIHSQIWFDYNKWPGAENDSDASSQRDWIRYFNEKVL KTICIDLNNVTTFDGDLAFKDKLGDVVGMDPICFNLAHRQINDLQFKVLVKPSIWKIV KVMKKFWNGDFDSLNIKSNLDMTIFKKKFGMRLDILKLNGEILNWKDIIDWEKLSATP LRMLQNMFDAISLQGFTLRDSGSDGFHADMRLNPITILGGLDWVRLPPGASIPFINWE IKLPDCNGKPAIAIPTLSCFNEPINLHHDKDNIFVCLQNEIKGPLPNELLYQECPQNS LTPMSQIVNAVLNQNETVTFAVRGHVIEDGIDNNSLIPVEMLEDVFQEMSFIPITTNA TFNSSELIQEFQINDLQLRWAARKKLSLVGTFLGYFDLSFYETHQRDRVRIDTIRGQI DLYHNDINFLNLPMKQWINSTSRILHDEDTGNTQMKMQFDLENDDMEVVNSLELTRTL NEILFQGFTVIHFNATIDASLTTALGPWVLTGLVGEGDTLVT SPAR_L02090 MTKIKVANPIVEMDGDEQTRIIWHLIRDKLVLPYLDVDLKYYDL SVEYRDQTDDQVTVDSATATLKYGVAVKCATITPDEARVEEFHLKKMWKSPNGTIRNI LGGTVFREPIIIPRIPRLVPQWEKPIIIGRHAFGDQYKATDVVVPEEGELRLVYKSKS GTHDVDLKVFDYPEHGGVAMMMYNTTDSIEGFAKASFELAIERKLPLYSTTKNTILKK YDGKFKDVFEAMYAESYKKKFESLGIWYEHRLIDDMVAQMLKSKGGYIIAMKNYDGDV ESDIVAQGFGSLGLMTSVLITPDGKTFESEAAHGTVTRHFRQHQQGKETSTNSIASIF AWTRGIIQRGKLDNTPDVVKFGQILESATVNTVQEDAIMTKDLALILGKTERSAYVTT EEFIDAVESRLKKEFEAAAL SPAR_L02100 MSKEDFVIKPEAAGASTDTSEWPLLLKNFDKLLVRSGHYTPIPA GSSPLKRDLKSYISSGVINLDKPSNPSSHEVVAWIKRILRCEKTGHSGTLDPKVTGCL IVCVDRATRLVKSQQGAGKEYVCIVRLHDALKDEKDLGRSLENLTGALFQRPPLISAV KRQLRVRTIYESNLIEFDNKRNLGVFWASCEAGTYMRTLCVHLGMLLGVGGHMQELRR VRSGALSENDNMVTLHDVMDAQWVYDNTRDESYLRSIIQPLETLLVGYKRIVVKDSAV NAVCYGAKLMIPGLLRYEEGIELYDEIVLITTKGEAIAVAIAQMSTVDLASCDHGVVA SVKRCIMERDLYPRRWGLGPVAQKKKQMKADGKLDKYGRVNENTPEQWKKEYVPLDNA EQSTSSAQETKETEKEPKKAKEEADSLIKEVETEKEEVKEDDGKKEKKEKKEKKEKKE KKDKKEKKDKKEKKDKKEKKRKSEDGESEEKKSKKSKK SPAR_L02110 MSGDNNIEPTSRGSNDNSNGPSNGSSMNSNRYSLNAPKYSSQPP PASHTYLPPMSVNIPPIATKSSSIYSLLHQSSSRPETPNPILPPLIGNGPSSHKPSPT PAQPPPQLTSQRHSATYSMYPSSISLNRSNSSAYPLSSKSEETLNNNPPAIAKRTNTF PSIPSSAKKQKTSQEKRISSISRRNTQEIIAKQIAENNKSKTIEEYAQIVKHAEIKVL SMDSQNTSKAALQLAEQNRERERQVFALLWLMKNCKSQHDSYVPRGKIFAQYASSCSQ NNLKPLSQASLGKLIRTVFPDLTTRRLGMRGQSKYHYCGLKLTANESSAVTLSNNSAS LSLAHNNDPLSPLSSPSPSSPSPQVPNVPSPSLLKRKSLSRTASPVKQSSYDNPNEPE PESLHQNETETNKPDSSPAAANSLMGTLSSEELTFAHDLIGKVFICNDKLSDSYNTHI LSSSEHPLLTSYKLEFPKIPQNVLPADTDPDVISSLESLYHIHCNSVYECIKFLKSDN ISNALFFSNSNSISPTMFNLFTSEPLIDWVTKCDLITYTELIKFFSQFIIHSNEISDS VIQKLESMIKLLPEQINKAVLELPKPLVQRKLSIINNFTKLVKKLIKLLKFILNFLKS FPIFKNGMSNDWKNIVNLDDILEMMINEDDTNSETNTIMQHLQGFCQVFITKFLNSSM SVSNDPSISIECKSLNEMIKDFCSFISVQGKFSCLKLIDCSTRFRNAIIGDISLKSNE NLLSWLFLNNVMGQLLNYCFEVIKFVNDLKV SPAR_L02120 MELPSISSTTSISDNQELRNYYDKLLFKNNSGKSLADLPGKMAD FNDNGTAAHPRSRVDFINGYIGFREDKQSLLGQKNTKRASFSAFADGSRKQNETNISG KDPNLSLFSFEFNGNPTLGRKPYKQDYLNVMNTSPNNILSPLNNSSQKYYPQHQQQQQ QQQQQQQHGIFDPGRRSSYISDTLIHGNAATQQPQYSQPVYINNDPLLQVPYTAPSKY TQQQQYSSPFNARRNTQPVLDLHPQPAPANDTGLTVVDGKNLASSKELHDLYLDCGSN YFASDKVYKFIDSIKETLRGDGVSVSNSRIIEFLNFLKNCNLNYNPQSDAFVSTAASN VTSSAAAKSNNSTSMHLHYKPLVLVSLKNGKLELLSKPQTATLILKRGDLVIIDGDRG KDLVLVVEPVVDINLALFINFLKKKIHFDSLITNSQQHFPNDQFIKTLIDTTNGKPVA RELNPKLYDIIELTQLIIPSKQVLRFATPWESSTNLHNKFQDELKALHIAQLKLRSLN NNNSGGGLNIKILNAEFQFDRKKLTFYYICQERNDFRDLIKELFKFYKTRIWLCAIPN NLSIDSKYYDSNKFEWEMYQDMMSHYSMDNTGIVVAPELNRLKLDDFQIGVYMELVKV LFG SPAR_L02130 MNQAIDFTQASIDSYKKHGILGDVIHDTSFQPSGILAVEYSSSA PVAMGNTLPTDKAHSKPQFQFTFNKQMQKNIPQASAYVPQDDDLFTLVMTDPDAPSKT DHKWSEFCHLVECDLKLLNEATHETSGTTEFFASEFNTKGSNTLVEYMGPAPPKGSGP HRYVFLLYKQPKGVDSSKFSKIKDRPNWGYGTPATGVDKWAKENKLQLVASNFFYAET K SPAR_L02140 MSSTIFAKLNKEDIIKDTVKDLAFDVLGELSVSYAVTDDVKLGN AMQMEATQTPPTIKFTPFDKSQLSADDKLALLMTDPDAPSRTDHKWSEICHYIITDIP VEYGPGGDIVISGKGVVRNNYLGPGPPKNSGYHRYVFFLCKQPKGADSSTFTKVENIV SWGYGTPGAGAYDYIKQNNLQLIGANYYMVKNTTVDFNYDV SPAR_L02150 MAGTFLFTSESVGEGHPDKICDQVSDAILDACLAEDPHSKVACE TAAKTGMIMVFGEITTKAQLDYQKIVRDTIKQIGYDDSAKGFDYKTCNVLVAIEQQSP DIAQGVHEEKDLEDIGAGDQGIMFGYATDETPEGLPLTILLAHKLNMAMADARRDGSL AWLRPDTKTQVTVEYKDDHGRWVPQRIDTVVVSAQHADEITTEDLRAQLKSEIIEKVI PRDMLDENTKYFIQPSGRFVIGGPQGDAGLTGRKIIVDAYGGASSVGGGAFSGKDYSK VDRSAAYAARWVAKSLVAAGLCKRVQVQFSYAIGIAEPLSLHVDTYGTATKSDEEIIN IISKNFDLRPGVLVKELDLARPIYLPTASYGHFTNQEYPWEKPKTLKF SPAR_L02160 MATNAIRVIATAKDFDKVGLGIIGYYLQLYAVELILSEEDRSQD MTALATELLDTIEAFKKEVGGESEAENSDKSLHVMNTLIHDQEKAKIYMLNFTMSLYN EKLKQLKDGPWDVMLRRSLWCCIDLFSCILHLWKENISETSVSSLQKRTKYCKIYLNK LAKGEIGPGDEKKLDYADFADDSEETKDEEVDRLIDDLESNNNFEVDDLASKNEAKSN ESLEEVPEFIDDSDNTNEEEERADKNEDTIRKDKHLDPKKEMNLTHSSVPSEPATPEH KSYTKDELANIMDKSSKIEQIQKFAKYAISALNYEDLPTAKDELTKALDLLNSI SPAR_L02170 MALEEVVRYLGPHNEIPLTLTRDSETGHFLLKHFLPILQQYHDT GNINETNPDSFPTDEERNKLLAHYGIVVNTDDQGELWIELEKCLQLLNMLNLFGLFQD AFEFEEPETDQDEEDPGHSEEPENKTKNENSKDNISSKRINNLQDMDVDADAHRELGS PLKKLKIDTSMIDAESDSTPNTITGKSNNDINKGPTGDSEHNNDTDNNERTAEPIVTF THDLTSDFLNSPLKIMKALASPVVNDNEQKMKLEAFLQRLLFPEIQEMPTSLNNDDSN RNSEGESSNQQQQLVSFDSVLQEVNDAFPNTQLNLNIPVDEHGNTPLHWLTSIANLEL VKNLVKHGSNRLYGDNMGESCLVKAVKSVNNYDSGTFEALLDYLYPCLVLEDSMNRTI LHHIIITSGMAGCSSAAKYYLDILMGWIVKKQNRPIQSDVSDKESKINDGNGKKKDPI LENLDLKWIIANMLNAQDSNGDTCLNIAARLGNISIVDALLDYGADPFIANKSGLRPV DFGAGTSKLQNSSGGDENSKMVSKGDFDGQNNGKAKKIRSQLLKNPPETTSLINDVQN LLNSISKDYESETVQYNEKLESLHKELNEQREKLANSRDQLANVKQLKDEYSLMQEQL TNLKAGIEEEEESFREESKKLGIIADESSGIDWDSSEYDADEPFKVEFLSDFLENKLQ KDYDGDISKLLESEPKEQIMEQIRNQFPVEKIKSMLPPTVLLKARINAYRRNDKHLSN VLQTISTNQSELENKFKRVLSLCLKIDENKVDNMLDGLLQAISSEDPQDIDTDEMQDF LKKHAS SPAR_L02180 MSSQFPSSPYRTADLHVKDLYSPPNFNQQPNCSSFNYDRDGKVA SESFENFGKADYPTPFPSSSIGRVSSPVRSNKVDAIPSSPAFPPQLAATSSKLSFKLS SPSKHTKVVNTELDPSKISTITVGRNSSQCDVALCKNKFISRVHASITYLPQTNEVKI HCLSMNGLIVIYRKKFDCYQLRDTMDNNERAYRLVSRFSSESCVKEVQDEGESINFTL EKGDTVYMTYYNGIMLDFRQVLLRISLKEANPPSESSRLERKIEGKTEAKHMGGIRKH PLIFTETSVDRPKKILKDTNKFSNGNDSDVAERMLNHFLNSRSSPLSSISSVDHEDQN GRQDPSSYDKTPMIMKKPKLNKRVLPTKAKKSVKENLDELSRRNIDIMHLQHILTNHL AFANVQQTPLFQLQQVNSQISELSRDELRSILSDAKCVGVIYRHGKDAAGKPLDEEYF YDLENDDDYERRNLVSSLKGGRTGLRSCRRTHKQYFWKKPTK SPAR_L02190 MGKGTPSFGKRHNKSHTLCNRCGRRSFHVQKKTCSSCGYPAAKT RSYNWGAKAKRRHTTGTGRMRYLKHVSRRFKNGFQTGSASKASA SPAR_L02200 MVEDSRVRDALKGGDQKALPASLVPQAPPVLTSKDKITKRMIVV LAMASLETHKISSNGPGGDKYVLLNCDDHQGLLKKMGRDISEARPDITHQCLLTLLDS PINKAGKLQVYIQTSRGILIEVNPTVRIPRTFKRFSGLMVQLLHKLSIRSVNSEEKLL KVIKNPITDHLPTKCRKVTLSFDAPVIRVQDHIEELDDDESICVFVGAMARGKDNFAD EYVDEKVGLSNYPLSASVACSKFCHGAEDAWNIL SPAR_L02210 MKRIFSGVKSPKLPAPPKVFKNDESPSTPSSPKFDQGLRSLSAS ASRLFSSSTSTPGSPTLDLPQEHSINGDISPELVPIVTLLSAQSHRRYHHGTFLILHD LKTDGTPAARQWDECYGVLLGTQLALWDAKELSDSKTNRDTSNLKKVASRPTFINFTD ASVRNLDANDQIISAAESKNTKKDLDNVLVVSTTLKNRYFLKFKNSKSFKTWNAAIRL SLFEFTALQEAYTGSFLSSRGVKLGDIKVVMADTKFTYEDWVSVRFGTGMPWKRCYAV ISPQSSKKKKNSKGSICFYENDKKTKKSNIMTTVVDARALYAVYPSSPILIDTSTIIK LEGFVSFEKSEEPQETNIFIMPEKHQGVPGYDTIIRFLIPAMNAFYLYGRPKGLIANR IDPDSLLFALPTLPHIYYLQVDDVLSLTKDKSYLHWNAADWRNNIVKVLQKKLSKGYK GCGNKSVSIASGMMKSPAISSAELFEGYDSLPEKQMESPQKSKIKSSSTLPSIDDISS VPASVSSHATSVKQTELFVADNSSKINDCVSAQSSVTTNFKDTFTTPMTSGMLNQENA DRSFESGLKLKIPDADLKNMEDVESSSANNFSTTPEDKHIPLANAAELSALYDKYSTS PFGKSEAHSNPKPQTLEVEDRPKNETRSPYERYVGTSAESKTFEIGNVRESKSTINTS LSSPLRVEDNTRSKNEDLGSLREFEELSQKISNMGMGNISSEALNDRAENSSLVTDLN LDINNGSSTNLHVEQRMPDFGEENVFDPDYMEQNQMLETESRYTTDEFDFSDNQDAAS SEYSNVQTNRMATEIFPTGDKNDKIPHSSLFTNLNQLTSNGGNYQGSSDLTGDQMNKP LQFQPLHVKGPQSSSFGYKNSSANTSQPQVPYPAGRPLGKIRTGPLTIQPMQQGGDSS MGTFQSPQHQLPTPQQRQIQPLSFRNNAYGSGNNQNTLYPSQQQPQGMRYMNNKAQIN DRSQIPQTQYNVQDDRPSLHINTSNRTKPRTVQGGFSQFMPPSSTSTNPYSN SPAR_L02220 MIVRMIRLCNGPKLLRSQFTSASALYSTKPLFKPLTCQKVGMAL IIPRRKQFFFRSIRLQSSITEGKKPTKPTLKLSDANSKSSGFKDIKRLFVLSKPESRY IGLALLLILISSSVSMAVPSVIGKLLDLASESDDKDEEGSKSNKLYGLTKKQFFTALG VVFIIGAVANASRIIILKVTGERLVARLRTRTMKAALDQDATFLDTNRVGDLISRLSS DASIVAKSVTQNVSDGTRAIIQGFVGFGMMSFLSWKLTCVMMVLAPPLGAMALIYGRK IRNLSRQLQTSVGGLTKVAEEQLNATRTIQAYGGEKNEVHRYAKEVRNVFHIGLKEAV TSGLFFGSTGLVGNTAMLSLLLVGTSMIQSGTMTVGELSSFMMYAVYTGSSLFGLSSF YSELMKGAGAAARVFELNDRKPLIRPTIGRDPVSLAQKPIVFKNVSFTYPTRPKHQIF KDLNITIKPGEHVCAVGPSGSGKSTIASLLLRYYDVNSGSIEFGDEDIRNFNLRKYRR LLGYVQQEPLLFNGTILENILYCIPPEIAEQEVRIGRAIGQANCTKFLANFPDGLQTM VGARGAQLSGGQKQRIALARAFLLDPAVLILDEATSALDSQSEEIVAKNLQRRVERGL TTISIAHRLSTIKHSTRVIVLGKHGSVVETGSFQDLIAIPNSELNALLAEQQDEEGKE RTMNSDSGIAQEV SPAR_L02230 MPITQIISASDGEARSKPSISLVPDKPSKPEISPRHHRLSKSLS KFKRWRGRSNSNLSMGSAEQQELQDSLSGAHSDDDDNGYNNDNADDLAKSKYMMKSIA GLLTTASVYAGMNNAQEMNALSQVDSEESDSSESCQENLGSNEVRSKKEGLNTKSCPE ALRLDRRNPTLFDFSVTREKLSKDNVTKLRQRFCLDEQEPFLNDFPAWLLKDVLVQGH IFITMKHFLFFAYLPKNPRSVKMSGNLNIRTKLIRSTRYWCVLKNHLFSMYTSSTELY FPVLTIDLREVQKIEIQKHTLNGNTTKTFKLSTDESTFKFNADSEFSAKSWVNALKKE QFAAQNSENNSISLKIPLPNIIEIDDQPIVNKALTLRLRALESSQTYAIDDFMFVFMD GSGSHVKDSLCEQLAALQKSGVNTLYYDIPAKKAKSGFEKETPDTAEHKNAQKDDGKD SKYLNVPDSAVPSSENGKRSRFRFRERSNSWFRRAKPLEDSQVEDVEEIYKDAADDID SSVHSTIHIHEQEDNQEQTVGWKPSHLKNFAEMWAAKPIHYRNKSIQFEKDDTYLIKE TEELPANERFRYHFKFNKEKSLISTYYTYLNRNVPVYGKIYVSNDTVCFRSLLPGSNI HMVLPLIDVETCYKEKGFRFGYFVLVIVIHGHEELFFEFSTEVARDDIERILLKLLDN IYAGSGEGSNISSTSLGDVQHNPDSAKLKLFEDKINAEGFEVPLMIDENPHYKTSITP NKSYKFGLLTIGSRGDVQPYIALGKGLIKEGHQVVIITHSEFRNFVESHGIQFEEIAG NPVELMSLMVENESMNVKMLREASSKFRGWIDALLQTSWEVCNRRKFDILIESPSAMV GIHITEALQIPYFRAFTMPWTRTRAYPHAFIVPDQKRGGNYNYLTHVLFENVFWKGIS GQVNKWRVETLGLGKTNLFLLQQNNVPFLYNVSPTIFPPSIDFSEWVRVTGYWFLDDK STFKSPLELQEFISEARLKGKKLVYIGFGSIVVSNAKEMTEALVEAVTEADVYCILNK GWSERLDDKAAKRIEVDLPGNILNIENVPHDWLFPQVDAAVHHGGSGTTGASLRAGLP TVIKPFFGDQFFYASRVEDIGVGIALKKLNSQTLAEALKVATTNKTMKDRAELIKKKI SKEDGIKTAISAIYNELEYARSVTLSRVKNPRKKDEIVDPTKLSSAETTDEAWTMI SPAR_L02240 MNSPTMKSEQLTPKLSPMSFCLDDQKNAGTFQNLLNSPTKLKLD TGSIGNSLLYPTSLSKLSELSRNGRSKQRRGSDTMRSVSPIRFQFLNNTPKMLKPEYL SQTTNNLPLLSALLKNGKKTTSEGQSPNPDPLNIEKNIIKQSIKDKLEQLRSSESVTQ VQKKDRKPASLEVKDCVEEPILRKNREGSVTSCVPASVIPLENTIGHGMQKVEDKGHR VISSGSTQCLSTEVSELPKDLNLDNLPTDNNGFVQYGLKGNNNNNRYSFISSTSTDYE PEWYDGQQHISLHMPSMTNTEEANSRDKSNLDIKIKQLELEITELKLQNEKLLHSMTT NRYIEERFMLEVMKDPSIQTQRSQRDIERKVKQLEKKFFNCKKVLKKLTESPPVVATS TSKTDGSSARIPCPKTRLARVSVLDLKKIEEQPDSSSGISSEEDHLTNDDTDANITEE LNIAFEEEPIPVLSTTASMQSGESKRGFQLNLPVQVEKKEE SPAR_L02250 MSSTAAPRPKPWETGAPLDEPQRNAQSLSAMMTSNQQDSGQAEG NNSSNSSSESAPEVLPRPAALNSSGTYGEPSTIPAIYGNSNYGMPYDNNPYSMNSIYG NSIGRYGYGGSYYGNNYGSFYGGGYGSGAGYGMNNGSGLGESTKATFQLIESLIGAVT GFAQMLESTYMATHNSFFTMVSVAEQFGNLKEMLGSFFGIFAIMKFLKKVLYRATKGR LGTPPKKFAGSEGSKNKLIEDFQKFNNGGSTTGKAARRKISWKPLLFFLMAVFGFPYI LNKFIAKLQTSGTIQASQGNGSESIDPSKLEFARALYDFVPENPEMEVALKKGDLMAI LSKKDPLGRDSDWWKVRTKNGNIGYIPYNYIEIIKRRRKIEHVNDETPTR SPAR_L02260 MSWDDEAINGSMGNDDVVLMDSWDAEIGDDEPVMQSWDAEEEEK KPTPKPKKEQPKKAKKGKESSTDRVLLDIDTLDEKTRKELIKKAEMESDLNNAADLFA GLGVAEEHPRARALQKEQEEQALKRPAFTKDTPIETHPLFNAESKREYQDLRKALSAA ITPMNKKSPLNYSSSLAIDLIRDVAKPMSIESIRQTVATLNVLIKDKEREERQARLAR VRGGTATGGAGKKKVKGKTNLGGAFKKDQDFDLDGADDFEFGDDDFM SPAR_L02270 MVLLHRSTHIFPTDFASVSRAFFNRYPNPYSPHVLSIDTISRKV DQEGNLRTTRLLKKSGKLPTWVKPFLRGITETWIIEVSVVNPGNSTMKTYTRNLDHTG IMKVEEYTTYQFDNATSSTIAESRVKFSSGFNMGIKSKVEDWSRTKFDENVKKSRMGM AFVIQKLEEARNPQF SPAR_L02280 MKACSILVTSLISLAAAQKDTGSLDGQNSKDGSQEKSSNSQEVS SATTKEVQQSTMAVVSTEKSLVQTSNVVSNTYAVAPSTTVVTTDAQGKTTTQYLWWVA ESNSAVTTTSTASVQPTDETSSGIINSASSTTSTDGPITIITTTNSLGEPYTSTVWWL PSSVTTASTASSSKSSSGSSSGSSSEAKSSSKVVSTIKSTYTTTSGSKVETLTTTYKS TVNGKVASVISNSTNGAFAGTHIAYGAGAFAVGALLL SPAR_L02290 MSEEDKAKKLENLLKLLQLNNGDTSKFTQEQKKAMKDHKFWRTQ PVKDFDEKVEEEGPIDKPKTPEDIPDKPLPLLSSFEWCSIDVDNKKQLEDVFVLLNEN YVEDRDAGFRFNYTKEFFNWALKSPGWKKDWHIGVRVKETQKLVAFISAIPVTLGVRG KEIPSVEINFLCVHKQLRSKRLTPVLIKEITRRVNKCDIWHALYTAGVVLPAPVSTCR YTHRPLNWKKLYEVDFTGLPDGHTEEDMIAENALPGKTKTAGLRKLKEEDIDQVFELF KRYQSRFELVQIFSKEEFRHNFIGEESLPLDKQVVFSYVVEQPDGKITDFFSFYSLPF TILNNKKYKDLGIGYLYYYATDADFQFKDRFDPKATKALGKRLRELIYDACILAKNAN MDVFNALTSQDNTLFLDDLKFGPGDGFLNFYLFNYRANPITGGLNPDNSNDVERRSNI GVVML SPAR_L02300 MISATNWVPRGFSSEFPEKYVLDDEEVERINQLAQLNLDDAKAT LEEAEGKSGVEGDAGTGGSDKLKDQLDIDDDLKEYNLEEYDEEEIADDEDGKDVSMFP GLSNDSDVKFHEGEEGEDPYISLPNQEDSQEEKQELQVYPSDNLVLAARTEDDVSYLD IYVYDDGAGFHSSDIPVEEGDQADPDVARGLVRDPALYVHHDLMLPAFPLCVEWLDYK VGSNSEEAANYAAIGTFDPQIEIWNLDCVDKAFPDMILGEPLDNSMASLKSKKKKKKS KTEHITTHHTDAVLSMAHNKHFRSVLASTSADHTVKLWDLNSGNAARSLASIHSNKNV SSSEWHMLNGSVLLTGGYDSRVALTDVRISDESQMSKHWSVMSGEEIETVTFASENII LCGTDSGNVYSFDIRNNENHKPVWTLKAHDAGISTLCSNKFIPGMMSTGAMGEKTVKL WKFPLDEAKNARGPSMVLSRDFDVGNVLTSSFAPDIEVAGTMVIGGVNKVLKLWDVFT NRSIRKSFKSELEDVQARAKQEAKQLGKSSRIARKYTSNDNPDTVLTIDDQGEDEEER EGEDEHDNMA SPAR_L02310 MAPIEYLLFEEPTGYAVFKVKLQQDDIGSRLKEVQEQINDFGAF TKLVELASFAPFKGAAEALENANDISEGLVSESLKAILDLNLPKASSKKKNVTLAISD KNLGPSIKEEFPYVDCISNELAQDLIRGVRLHGEKLFKGLQSGDLERAQLGLGHAYSR AKVKFSVQKNDNHIIQAIALLDQLDKDINTFAMRVKEWYGWHFPELAKLVPDNYTFAK LVLFIKDKASLNDDSLHDLAALLNEDSGIAQRVIDNARISMGQDISETDMENVCVFAQ RVASLADYRRQLYDYLCEKMHTVAPNLSELIGEVIGARLISHAGSLTNLSKQAASTVQ ILGAEKALFRALKTKGNTPKYGLIYHSGFISKASAKNKGRISRYLANKCSMASRIDNY SEEPSNVFGSVLKKQVEQRLEFYNTGKPTLKNELAIQEAMELYNKDKPAADEEEVKEK ESSKKRKLEDDDEEKKEKKEKKSKKEKKEKKDKKEKKEKKDKKEKKDKKKKSKD SPAR_L02320 MLFKQWNDLPEPKHLLDFPEISNNLQSLEVCPVPKVEFPQDLDV SQYSTVVITTKIMNPLFPKKLLQLTSIGEIKTTLTVKNSPPLQPSGEHSWNYDENFPN EVDPDQKSAISDETTYGFSFPIYSFGKTLLFSMEENFISISPIFGNMISRSIISQLVK SSPDIIVIGTSDKINGMKITTKDECTLQPPEFITGFIGSVLTQLIVGANKGMKFKCLV VPSEGPNGFEKLSLSDMGSLVDLCGQWLGFDHSKYADECYRLWRCDSAAIGAQSGLYI SPAR_L02330 MSELGAKYQHLQNELEEFILARQKLETQLQENKIVNEEFDQLEE GTPVYKLTGNVLLPVEQSEARGNVDKRLEFIETEITRCEKNIKDKQGELEKVRSELIK LNNAAAATGPGR SPAR_L02340 MFCRNVAGKGCKLFRLYHSNPIEHVKPIHVKPLTYGKESPQYRV LSLALQKFVPKHGFSERSIVESLNELGYPSSMISSIGAPNSPSFFHSSTAVMELIKFQ LVDKRCRLTEGLNPDVSPQYKLPSLEHLLLKRLDMDKPIGGHLSELMSQLAIPSAFLF ETAIPELHRLSDDMIYFSNEKDHHDSAWYAKRLAVSSTYIGSKLFMAQDNSHNYKETF AFAKDKLHRVMRLGEYYNNTEEFAWYTLMSAVNLIKSQLVRG SPAR_L02350 MTALYAPSGATQLYSHLLRRSSHNRLVVSHQTRRHLMGFVRNAL GLDPPPSPDDPTPENRFHPWDQSPSVDLRERAAKIRTLAHCPVTGKDINYTCPLSGIP THHSREAWEMDKAYHNSKKYEILKKVNIYEHDLRSGRPFPEFDFPQDQGYDKAVNLTN WDLFFYTRSFYSMDTEFQLAAVTKMLSYPITIGSVLHKFSPYSLNPKGPITLEGLKSL AALRYTLYPLENRSLPTTTKNRAMRIFILGARAEAQLPGHVWKQLQFLFPEQSFEIHF IGPECLYKRDKQEYVKSTTPVVQRVDETLKFIYRTDFFEVFHEAQDFFPYDPYMDVFF TFHPGYASPESHGSWMGETMKALLETKCAIFTTGFNKKDLMDDINLVKSKYGKEMDIL MDPVKNVFGSTKWELNDLNPQEVYQFNMYIAGFRGKRYHTIKRQ SPAR_L02360 MLGRALRPGWLGITRTVMRKPICGSCFNRTLQTATNTGMPPIQE GMLNAVMMTATATRITDTVSEPLNGTSIVMQLDSVMRKRKKKMKKHKLRKRRKREKAE RRKLSQGR SPAR_L02370 MEDSSNTIIPSPTDVGALANRINFHTRDAHNKINTFMGIKMAIA MRHGFIYRQGILAYYYVFDAIEQEIDRLLNDPVTEKEIQTSAILKQFWLEDFRRSTQI YKDLKLLYSNMFKSTESLNEFLATFQKPPLLQQFVNEIHENIRKEPCTVLSYCHVLYL ALFAGGKLIRSNLYRRLGLFPNFEKLSQKELVKKGTNFFTFSDLGPTEETRLKWEYKK NYELATRTELTEAQKLQIISVAEGIFDWNFNIVAEIGELNRRELMGKFSFKCVTYIYE EWMFNKDSVNRKVLNTVMLLVLSIIAIWVLYFLVKKSFLSIV SPAR_L02380 MSKQFVRSAKNMMKGYSSTQVLVRDATANDSRTPSIDTLDDLAQ RSYDSVDFFEIMDMLDKRLNDKGKYWRHVAKSLTVLDYLVRFGSENCVLWCRENFYVI KTLREFRHENESGFDEGQIIRVKAKELVSLLNDEERLREERSMNTRNRRANRATRPRP RRQRTRSNPHDSSPSYQDDLEKALEESRITAQEDEQRRRELAQYDDEDPDFQAALQLS KEEEELKQLQELQRLQNQQQSLSQIQAPLQQQQQQPSAYYDIFGNPISQDEYLQYQYQ QDQEQAMAQQRWLDQQQEQQQLAEQQYFQQQQQAAAAANALQQQQTAANMQQQQQQQQ QPANFQQPLPTGSNNPFSMDNLERQKQEQQHAQLQRQQEEARQQQEQLKLQQLQRQQQ EEARLQQERQQEEAQLQQQQAQLLQQQAQFQQQQPLKQTRTGNQSISDKYSDLNTLLG TGTGIDTFGNTGEARIPAQHTKTGTFINSQGTGYKQVTNEPKNNPFLSNQYTGLPSTN IVPTQTGYGFGNQPQISPTNSPQQNSTNIDYSQPQQSQQQPQYIQSYQQQQPQYTQNF QQQQQQQPQYTQNYQQQPQYTQNYEQSSSQLQQQQQQQQQQQQQGYTPDQGVSLIDL SPAR_L02390 MITLLFYLCVVCNAIVLIRADSIADPWPEAQDLLYSLTKSRDPM KEAAMEPNADEFVGFYVPMDYSPRNEEKNYQSIWQNEITDPQRYIYDLLVQSSEQFNN SEATYTLSQIHLWNQYDFPHNMTLAHKYLEKFNNLTHFTNHSAIFDLAVMYATGGCAS SNGRTVLPQNSAKALLYYQRAAQLGNLKAKQVLAYKYYSGFNVPRNFHKSLVLYRDIA EELRNSYSRDEWDIVFPNWESYNVRISDFESGLLGKGLNSVPSSTVRKRTTRPDIGSP FIAQVNGVQMTLQFEPMGRFAFNGNDGNINSDEDDEDASERRIIRIYYTALNDYKGTY SQSRNCERAKNLLELTYKEFQPHVDNLDPLQGFYYVRCLQLLGHMYFTGEGSSKPNIS MAEEILAMSLEISKRVEGPIGRACIDLGLINQYITNNVSQAISYYMKAIKTQANNGIV EFQLSKLATLFPEEKIGDPFNLMETAYLNGFIPAIYEFAVMVESGMNSKSSVENTAYL FKTFVDENEAIMAPELRTAFAELINDRSEVALWAYSQLAEQGYETAQVSAAYLMYQLP YEFEDPPRTTDQRKTLAISYYTRAFKQGNIDAGVVAGDIYFQMQNYSKAMALYQGAAL KYSIQAIWNLGYMHEHGLGVNRDFHLAKRYYDQVLEHDHRFYLASKLSVFKLHLKSWL TWITREKVNYWAPSLPPNTNEDTRNPKTSWYKQLTNILQRIRHKEGSERAAEDSHKHR TVVQNGAAHRDENQEDVSEILGFQMEDLITMGCILGIFLLSILMSTLAARRGWNVRFN GAQLNANGNQQQEQQQQAQGPPGWDFNVQIFAI SPAR_L02400 MVVIANAHNELIHDAVLDYYGKRLATCSSDKTIKIFEVEGETHR LIDTLTGHEGPVWRVDWAHPKFGTILASCSYDGKVLIWKEENGRWSQIAVHAVHSASV NSVQWAPHEYGPLLLVASSDGKVSVVEFKENGTTSPIIIDAHAIGVNSASWAPATVEE DGEHNGTKESRKFATGGADNLVKIWKYNSDAQTYVLESTLEGHSDWVRDVAWSPTVLL RSYLASVSQDRTCIIWTQDNEQGPWKKTLLKEDKFPDVLWRASWSLSGNVLALSGGDN KVTLWKENLEGKWEPAGEVHQ SPAR_L02410 MSDILDVSHQREAIAKAAAYLSGILEPHFKKTAKFEPPRTLIIC GSGLGGISTKLSIDNPPPITIPYQDIPGFKKSTVPGHSGTLMFGSMNGSPVVLMNGRL HGYEGNTLFETTFPIRVLNHMGHVHNLIVTNAAGGINAKYQACDLMCIYDHLNIPGLA GQHPLRGPNLDEVGPRFLALSDAYDLELRKLLFKKWKELKIQRPLHEGTYTFVSGPTF ETRAESKMIRMLGGDAVGMSTVPEVIVARHCGWRVLALSLITNTCVVDSPASALDESP VPLEKGKATHAEVLENGKIASNDVQNLIAAVMEEL SPAR_L02420 MFDGHTVQPSRSTLIGGIEIQDENADHEVESLLHHGVQKGVKRL EKRQGRVALGDVTSQKANKIHNAIHNKFHLARNNFEKQNIRPSALLKEQEDARDDESD YFLIDSSEDSSTDEEQGNEDAIDDLLSRRINDQQLQTNEVYEDSDGEMQDVAEEDIDS VVEPLSPINNDGIQNELDRAFEKYFQSVPNPLDDDTHDVVMVVEYASDIFYYLRELEV KYRPNPYYMQNQVELTWPFRRTMIDWLVQLHYRFQLLPETLYLTINIVDRFLSKKTVT LNRFQLVGVSALFIAAKFEEINCPTLDDLVYMLENTYSRDDIIKAEQYMIDTLEFEIG WPGPMPFLRRISKADDYDFEPRTLAKYLLETTIVEPKLVAAAPSWLAAGAYFLSKIIL GSNDWSLKHVFYSGYTSSQIIPLASLILENCKNASRRHHSIWKKYFDQKHYRCSQIVE EWIVSTEA SPAR_L02430 MSTLAEVYTIIENAEQECRKGDFANAKTKYQEAIEVLGPQNENL SQNKLSSDVTQAIYLLKQDITAKIQELELLIEKQSSEENNIGIVNNNTLIGSVILNNK SAINGINNTRNWDNSVYQDTLNPINDPVLMSILNRLQFNLNNDIQLKAEGGKNSKNLE MKINLRLEQFKKELVLYEQKKFKEYGMKIDQVTKENRKLANEIGRLRERWDSLVESAK QRRDKQQN SPAR_L02440 MGGEIITLQAGQCGNHVGKFLWSQLAKEHAIGTDGLSQLADSGT ERDDDTKPFFRENSRNKFTPRAIMMDSEPSVIADVENTFRGFFDPRNTWVASDGASAG NSWANGYDIGTRNQDDILNKIDKEIDSTDNFEGFQLLHSVAGGTGSGLGSNLLEALCD RYPKKILTTYSVFPARSSEVVVQSYNTILALRRLIEDSDATVVFDNASLLNISSKVFR NPNIDLQHTNQLISTIISSVTNSIRFPSYMYSSMPSIYSTLIPSPELHFLSPSFTPFT SDYIHDDTAHKGHSSYDVMLDLLDPSNSLVSTAMNNPTYFNVYNTIIGNVEPRQISRA MTKLQQRIKFPSWSSSAMHVNIGRRSPYLPSQPNENEVSGMMLSNISTVVNVFENACN TFDKVFAKGAFLNNYNVGDLFQSMQNVQDEFTESREVVQSLMEDYVAAEQDSYLDDVL VDDENMVGELEEDLDADGDHKLT SPAR_L02450 MKISILQLVPVISYVGFTFGELYKPKNSIPCSTNKPCPAEWPCC SPYNECGAGPICLGGCNVRSSFDEESCAPIPALVANLKLEFFSTPKVPKFIVNYQPKP PIREENGPNKANAKVGIVEGELNSKRIIHYAKFLVTPDNKEAEKMLEDFDFTHSGYTS IEASGGNIVLAMPKKTTGSLITSTRSFLYGKASVRMKTARSRGVVTAFDLTSAIGDEI DFEWLGGDLTMTQSNYYSQGHLDYTKMQRFPVGADTSATYHSYEIDWDPDRIIWYIDG KLARTVLKKDTWDPISKEYRYPQTPMRLEIAVWPGGSETNGPGTINWAGGPIDWENSP DIIEKGQFTAHVEQITVTPYQNKFTEQVQFCLKAKKKAPTISQKDLSKVVASYKRQDR VIHYREESLQWDCFVTPKINDWLSSWKQSKWLQQ SPAR_L02460 MIGIRVLLCLFISFVAMVQSSATLVSTSCVSQASLYQFGCSSTK SQSCYCKNVNWLGSVTACAYENAKSNKTLDSTLMKLAAQCSSIKVYTLEDMKNIYLNA SNYLRAPVKSDKKVLVNQPLMANETAYHYYYEENYGVHLNLMRSQWCAWGLVFFWAVV LTVATIFNIVKRVFGKNIIANSVKKSLIYPSVYKDYHERTFYLWKHLPFNFPTRGKGL VVLIFVILTILSLSFGHNIKLPHPYDRPRWRRSMAFVSRRADLMAIALFPVVYLFGIR NNPFIPITGLSFSTFNFYHKWSAYVCFMLAVVHSIVMTASGVKRGVYQRLVSKFYFRW GIVATILMSVIIFQSEKVFRNKGYEIFLLIHKAMNIMFIIAMYYHCHTLGWMGWIWSM AGILCFDRFCRIVRIVMNGGLKTATLSTTDDSSVIKISVKKPRFFKYQVGAFAYMYFL SPKSAWFYSFQSHPFTVLSERHRDPNNPNQLTMYVKANKGITRVLLSKVLSAPNHTVD CKIFLEGPYGVTVPHMAKVKRNLVGVAAGLGVAAIYPHFVECLRLPSTDQLQHKFYWI VNDLSHLKWFENELQWLRERNCEVSVIFTGSSSSVEDTNSDDSTKGFDDKEDSEITVT CLNKRPDLKELVRSEIKLSELDNNNITFYSCGPATFNDDFRDAVVQGIDSSLKIDVEL EEESFTW SPAR_L02470 MRVSREQLSRKLFIMRSQEYATFVDIPVTRAQIEHCSYSFWSSL YPKYVPKSIVMKSLPKKFIQYLKQDGIKLPQEENSRSVYTEEIIRNEDNDYSDWEDDE DTATEYVQEVEPLIDFPELHQQLKDALNELGAVAPKLNWSAPRDATWILPNNTMKCNE VNEVYLLLNASNYIMHDLQRAFEGCADGDDVKGFKYDLVLRQWCDMNPALEFRVFVKN THIVGATQRDLNYYDYLDELSDTFKDLIDEMVHDVVLPKFPDKSFVLDVYIPRPFNEI FIVDINPFARKTDSLLFSWNEIAAIAPAKDVIEDYELRLVTRHNTGRFASKEHSENHV PQDLVEASLNPEAIRELTQKWKELLSQQAEEESSNSENEA SPAR_L02480 MARPKTFFDISIGGKPQGRLVFELYNDIVPQTAENFLKLCEGNA GMAKTKSDIPLSYKGSIFHRVIKDFMCQFGDFTNFNGTGGESIYGEKFEDENFTVKHD KPFLLSMANAGPNTNGSQAFITCVPTPHLDGKHVVFGEVIQGKRIVRLIENQQCDQEN NKPLRDVKIDNCGVLPDDYQVPEDAEATPTDEYGDNYEDILKQDEKVDLKNFDTVLKA IETVKNIGTEQFKKQNYSVALEKYIKCDKFLKEYFPEDLEKEQIEKINQLKVSIPLNI AICALKLKDYKQVLVAASEVLYAEAADEKAKAKALYRRGLAYYHVNDTDMALNDLEMA TTFQPNDAAILKAIQDTKLKTKQQNEKAKKSLSKMFS SPAR_L02490 MSETGETSEYYKQALEEYKEVQEDEDPDAWDTRISKTGCYVENL ALQLCHAETGDWRQCFNEMTLFRKCWEQNGNRERVSTVDVDGTTSKGSEKEK SPAR_L02500 MVFGFSKRDRRVPDLSRYDYYYQNHEDYNKSPQLSAAAASAASA ASPDRTNYSRSHSLVSHAPPISKQRGSVKATGRRLSTSSAVPPSSRGAGKQYSQKTYS LRSQRSGEYHMHPPGYATNGSRMNSMTSGANARRNYGKNKSASGNNNDSRANSITVKT TQVTDPSGRTQSITKKTIRKINGYEYVETTTTTKNLVPVGDSQRHFDEFSENYMLQDD DILEEQTSDNIHDIMEENETDNENPHSPISASHLPDGSEPAVEKPDFPPGSYFHHKYS TDVMPLEEESSLSNFSDALDYIPPTHQSSTKHIHNKRNQTSTTRRKKRPPVMKNVEAE AKKPLTEAEMYLKALEVAKRNVYHTDAPSNIASAPLGSNKPRKSRMGQKMTLRSSNDP PTTTAKFAKSDVEVQPKRFTSSFFNRNARSGPHEVHNHSVVSNSKSDKVVEPVPEPKS ANTGLTDKEMYDQALKIAQARYYNSHGIQPEAIDNGAAAAKPGQIGVSHSVPSGGVSL NKQHYSGESEIPVQSEVHEYEPVPLQKTKTAGSSKNKFKTMFDKVLQFSQENYGYQHK KDQGEQDLVSHNAEESFQAASTSENVPTARSSSDDGVTANPVAADSLSPLQQQTDSTT ASSNGQSQGNIPAPTVASTTRTRSPEQQETIKSSSSLLQDQTPQRQEDATDPTTSTNE LSVAEPTTSHTMPTDTPIISQPTIATSTHTTKTIQAQVIPTKHKKPSFFTKLFKKKSS R SPAR_L02510 MSIVALKNAVVTLIQKAKGSGGTSDLGGSESTPLLRGSNSNSSR HDNLSSSNSDIIYGRNAAQDLENSPMSVGKDSRNDDSSSNNEEANLGFFQSVDPRVIS DLIIGLSDGLTVPFALTAGLSSLGDAKLVITGGFAELISGAISMGLGGYLGAKSESDY YHAEVKKEKRKFYDNTNLINREIEDILLEINPNFSDETIVSFIKDLQRTPELMVDFII RYGRGLDEPAENRELISAVTIGGGYLLGGLVPLVPYFFVSDVGTGLIYSIIVMVVTLF WFGYVKTKLSMGSGSSTSKKVTEGVEMVVVGGVAAGAAWFFVKLLG SPAR_L02520 MSAGDISAITTKSIKKNRRRKKRRTADVSSSDSSSSDSSSENEK EEIQNGPTKESVTGNGKIDHALSKGNDEDRHEDIEMEVSDVELTDEESKDLRLNSKEV IDDFTKISLSKIPEPMKSQKKDSFMNASKIAENIKLAREEYNELAENFTPKGKDKTKV REEYLNLLFENYGDDISHLRSAPDFTNKSLSVLADALQEGIGMFDIGELELVLKNKEM EN SPAR_L02530 MDLKISYKGVSLNPIYAGSSAVATVSENGRILATPVLDEINIID LTPGSRKILHKISNEDEQEITALKLTPDGQYLTYVSQAQLLKIFQLKTGKVVRSMKIS SPSYILDADSTSTLLAVGGTDGSIIVVDIENGYITHSFKGHGGTISSLKFYGQLNSKT WLLASGDTNGMVKVWDLVKRKCLHTLQEHSSAVRGLDIIEVPDSDGPSLQLLSGGRDD IINLWDFDMKKKYKLAKTLPVNQQVESCGFLKNRDGKRIIYTAGGDAIFQLIDSESGT VLKRTNKPIEELFIIGVLPIMNNSQMFLVMSDQTLQLINVEEDLENDDGIIQVTSSIA GNHGIIADMRYVGPELNKLALATNSPSLRIIPIPDLTEAEASLPLDVEIYEGHEDLLN SLDATEDGLWIATASKDNTAIVWRYNENSSKFDIYAKYIGHSGAVTAVGLPNIMPKGY PEFLLTASNDLTIKKWVIPKPTVTKSVQIIKVSEYTRHAHEKDINALSVSPNDSIFAT ASYDKTCKIWNLENGELEATLANHKRGLWDVSFCQYDKLLATSSGDKTVKIWSLDTFS VMKTLEGHTNAVQRCSFINKQKQLISCGADGLIKIWDCSSGECLKTLDGHNNRLWALS TMNDGDMIVSADADGVFQFWKDCTEQEKEQEQEKAKLQVEQEQSLQNYMSQGDWTNAF LLAMTLDHPMRLFNVLKRALGESRSRQDIEEGKSGVIFNEELDEAISTLNDEQLTLLM KRCRDWNTNAKTHTIAQRTIRCILMHHNIAKLSEIPGMVKIVDAIIPYTQRHFTRVDN LVEQSYILDYALVEMDKLF SPAR_L02540 MPGKKSPRKSTVNHSTHSGKLPANIKRLIKKGESDTKSRQSPPT LGTTRPRRFSLIYSSESSLSDVSDSDKNKSTNLHKSKKKAKIISNNSLGKKSKLIQRQ LDNDDEGTESSDYQAVTDGEDSENEEQESEEQDDDEDDDDDDDDDDGSDSDSETSSDD ENIDFVKLTAQRKKRAMKALSAMNTNSNTLYSSRENSNKIDSIKISPKKEEEEQQQKQ QQQQNKKKVNGSGTATSQQALSFKFKKEGDGISFGNGSEDYKEDIGEEVLELKNKESN DNEEEKLDSKAMLGNNDELRFPNISESDESEYDIDQDAYFDVINNEDSHGEIGTDLET GDDDLPILEEEEQNIVSELQNDDQLSFDGSIHEEGSDPVEDAENKFLQNEYNQENGYD EEDDEEDEIMSDFDMPFYEDPKFANLYYYGDGSEPKLSLSTSLPLMLNDEKLSKLKKK EAKKKEQEERKQRRKLYKKTQKPSARTTSNVDNDEYIFNVFFQSDDENSDNKIKKGKH KADKNSLDHKNKGLNLIKPNDNLEPSVHKLGLNSGKYESSDDEYDNILLDIAHMPSDD ERSESEASHDPDTDEELRALDSDSLDIGTELDDDYEDDDDDSSVTNVFIDIDDLDPDS FYFHYDDSDGSSSMASSNSDKENSDGSKDCKHDLLETVVYVDDESTDEDDNLPPPSSR SKNIGSKAKEIVSSNVVGLRPPKLGTWETDNKPFSIIDGLSTKSLYALIQEHQQLREQ HQRAQTPDIKREGSANGNNGTVNGDELTLNELLNMSELEDDSPSHTDDMEKNGNCNDA TNKKSANGHAADWYEVPKVPLSAFRNKGINAYEEDEYMLPTNSNRKVPIGYIGNERTR KKIDKMKELQRKKTEKKRQLKKKKKLLKIRKQRQKAIKEQETMNLQLGINGHDIPGNN NSHSDINTGTDFTTNENTPMNDFPSHASEDASLLPHDADLTVGTNTRKNSTKSVGLDE IHEILGKDENDLLPVGDINDYDTQENHAIEDTDADILASLTAPVQFDNTLNHENSNSM WRRRQSMVEAAAENLRFTKNGLFSESALADIEGIMGNDTNHSFEFNDVLQ SPAR_L02550 MNRSDRSLMDLPLEIHLSLLEYVPNELRAVNKYFYVLHNHSYKE KSLAWIAEDNYIWAVVKNSLCLYVKSLDPLRQHSREIIKGTAEPGTNVPLCMTKYIAD SWYIVYNALQYPGKIINMEWDKYTENQDLTAVDSSSNFGSRPKERTLMQSLTALPVNF WSRRKDEPTPVNVWFYVKNAHVARYIPKIITEIGICNYGPKQIVASAGYINELITSEG IYCVNLGHLPRLYDEQIFEGTGTTHLPLELKAIDRTDSDVCVNGDLVLLGYDFIPYQI SKPWLLFRIEQLNGIEAIFNYSECSFSYQFAWSLACLQSGEKISFPKDTISGHGLPYK PSKLIRIFVYKHPEQKQDLDQEVAFPNWNTPYLRR SPAR_L02560 MAVSIKKEKTKFAPVKEVLSEKDHDNYAKFQDTSKLEWFCRTSN HKKFKSHSLLKAVRNPTETRIETQTLYFTDLTNGKCGFIQLLYSSVMGGIYKGFQLNF KVFKASSGEESEEDIDIWESFKIDNIKDFDTLKVESDNVTFHFVPLKESSSSGFAQLL IKIDIPKGSTSCLLKDLKVDMTVNLQEGFIINPDGSNYYLDKSISLEELAKRDSSSTS RRMIRHVFVPRGFCNGTISYKKKDKLVKLDLKDTPMLYLDAVQGLIPNKAASKWNFLC FNGKKRSMMCIEFTTTKEYGSTTVTIWAVSDKERILEVGSSVNDHAVKFPSTQEDKQN GWKYPTSISFPRGFEESNLRLVNRYDIMSELPAFIRSIAENLANMKPFIYQFCQKSKF DDDEGVSIIESTFIN SPAR_L02570 MSATSSSGDVKKFQVLPKPTNNASPPPASSGFNARTLWPDLIET PENQWVFECKDIIEKIGTNEPIALEMKKNMEKCLMYFYTLKKKLNLFDHTYTASCILF YRYWFIYGIPTTITECIHISQGILVTACKTMENNRPIEAYIKATCEFLVQNIPSLKSR TNIDKLKWEFRDKLVTNEKKILCLFGFDLNISNPKELIEEVFSGYYRFNRDHDLPENF KKAFPKILQESRNFMVQAVTQPVSLLCDGYTFIVLSLIYCGLEYKKLVDKDFRYPKNF FKDRFPIEITPDNFANIFTDYKLLEENFFNLKSNKGAKLQIDSSMILSVIEEGSDVEN EVSEISDPFNYELIKSGEVKEEFLNHIETRVNDLLEKAKQESMKRKAKDPIRTPDSKK PKI SPAR_L02580 MNIDFDYQAFRKSLRKEFKKAVKAILNLQEYDGDLIRDFLALYI PYHVVFYNLAVMKKGSSLRIQTNRLLKEALSKISNFNLAMGPKHIIKIMKKGKVDPET VNKLKLVLYIKLFQGVFGHVDKNYNLAFQSFRWCLQYIAYSKRTRLFASIADVQIRNF YELCGLFVPLLCCHCFLVDLKENETLVGDNLKNFIKRQNPNYSHGLDLNEEKKSLQWH WSLDEIDVIDALYYAAFDAMDKFTLKFSKVNENFVLSQFFEYCAEIEEMLAILRSEIW ECECDVFGPRIGLLVDIDHMNETIQKNILSITFKLKNDPQIISCLNKVLEGLLLSSGV KFKVIQFFYVLKLYYMQNDEYSFETSSEMDKLTIECLSIIENLIDACDNPDEVTDFQL PKVLLTAMQGKLLVAEKISEDNDGSESPDNYHPRTYQFKHPRIIIDKMKSKLKQKLRL DSSKDPETDDYWIEYWKYCYQDNIGNLPNILSRVYETFIDPSD SPAR_L02590 MTSDDGNAGQEREKDAELIEVGGKKVSKTSTGKRKFHNKSKTGC DNCKRRRVKCDEGKPFCKKCTNMKLDCVYSPIQPRRRKDSSSSKFASAVHDRVGRKNL SDNTVILQQLHHQQEQQLRQQQQVQLQQQLLPHVGTDEQSNSPNNVPPSVSNNMENLL LPHLLANLVNNSNSNVNSSTNGGEAHNNITQTAPSTMINNNHPNVAIPGNSPLSIPIT PSFQSTAMNLSSSLNGLLSPGRLNSMTNVMQQPQLPQQQQQLPQQQGTQSPFSNIPFD QLAQLNKMGLNFNMKSFNTLFPYGAANGMASEFQELFGLGKFATSNNRAIKVSTAEEA LANMQQEQENKNKQFTKNPLDNTKTDSINSGNNTLHGNENKVTASDILSNNKSLIIDN TGLTISPTHTLSKPSIDQTIASPSTGVSNGTSTKSLLSIPDHRTSLGNSPTLKTSSMG DLLSNSETLSPRSSNSHTQQQSSPHSNSSSASQLVPELVGLSRKSNLNLIDLKLFHHY CTDVWHTITEAGISGPEVWSTYIPDLAFHFPFLMHTILAFSATHLSRTEAGLDNYVSS HRLEALRLLREAVLEISDDNTDALVASALILILDSLANASSSSPTAWIFHVKGAVTIL TAVWPLSETSKFYNLISVDLSDLGEAVVNQSNHNNDNDSSTNGDNNNNNTISELVCFD ESIADLYPVEIDSPYLITLAYLDKLHREKNQLDFMLRVFSFPALLDRTFLALLMTGDL GAMRIMRSYYTLLRGYTTEIKDKVWFLDSVSQVLPQDVDEYSGGGGMHMMLDFLGGGL PSMTTTNFSAFM SPAR_L02600 MQTLKCVVVGDGAVGKTCLLISYTTNQFPADYVPTVFDNYAVTV MIGDEPYTLGLFDTAGQEDYDRLRPLSYPSTDVFLVCFSVISPPSFENVKEKWFPEVH HHCPGVPCLVVGTQIDLRDDKVIIEKLQRQRLRPITSEQGSRLARELKAVKYVECSAL TQRGLKNVFDEAIVAALEPPVIKKSKKCTIL SPAR_L02610 MEKALELDGEYPESLRDEFNIPTFNSMGLSSDDKPVTYLCGNSL GLMPKSTRDSINAELDAWSNCAVESHFKHPEEAKGKAPWVNIDLPILPLLAPIVGAHE NEVAVMNSLTANLNSLLITFYKPTEKRFKILFEKGSFPSDYYAFYNQCKIHGISEPEN VFIQIEPRAGETYIRTQDILDTIEVNQDELALVCLSGVQYYTGQYFDIGRITSFAHQF PGILVGWDLAHAVGNVPLQLHDWGVDFACWCSYKYLNAGPGGIGGLFVHSKHTKSDPT KEALPRLAGWWGNDATKRFQMLEVFEPIPGALGFRQSNPSVIDTVALRSSLELFAKFN GIGEVRKRSLLLTNYMKELLEASKYYKHPLRMGKLPCFFTILTPTGTDEEHGAQLSLY FDSDIGKEDIMPNVFQYLHDHGVIGDARRPNVIRLAPAPLYNTFSDVYTAVNALNEAM DKL SPAR_L02620 MCNEEVNEEYARLFFKNARAHLDKHLTSSLICDEDAYITFRCFL DGIHYKSTMLLEELLSKQEKMHHNNNHERINDAVIPMLLKLLWLQIHEPTFQWFERWF HDIMRLNNKRKFRVFRKFHKQMINFFKITHRYYYNTIECLCAKYDMCSVIPNALFAKL NLMRLIDGLSTHEKIALDASNPLTFSIVISLQRCIINLGATHFYKTLLDKPSSKSKSV EDFEKAVRYLNIASLYLPAVGDTYFQLAKIYLSTEKFSLYFFELVRGALVRIPSKCAL SNLRDFILTPDFSERRLLMKKLAMLVSKGLKGERLLFESRTVLQFLSIVEHTMFPHSW NVSRAPNCWLLREHLQTVALKYHAGDIKVILENLAATMGSFDLMFASGNSKEKKNKLK FADLSKRQVFFLDLSFDFIVNIIDVVIKPLWQKNMENFQYLAIIRLLICWIKSYRSIL QYTHRHRKFCTSFALLLNDLINSSLNWPKNVYSHKPRRSYYLREDIIFREFSCINFAL TDFNDDSVYNSPDMINNIIGCPTLTEMLSPKEECILRIKSIIFSGVKFLEKNNTGVIW NAGKYKFEVISSDTKMKHKIALSEISLKINVKTQLEKAVSSRKAEGKRDEPQRKRAGE IAVTELEKKFANVRRTKKSSPLLEKEDVFFESVNHVVSREKTITSPLSCNLPSYPDEA VDADGDVTVQVPDTPT SPAR_L02630 MKVLCVAEKNSIAKAVSQILGGGRSTSRDSGYMYVKNYDFMFSG FPFARNGANCEVTMTSVAGHLTGIDFSHDSHGWGKCAIQELFDAPLNEIMNNNQKKIA SNIKREAKNADYLMIWTDCDREGEYIGWEIWQEAKRANRRIQDDQVYRAVFSHLERQH ILNAARNPSRLDMKSVHAVGTRIEIDLRAGVTFTRLLTETLRNKLRNQVATSKDGGKS RGANKNDSQVVSYGTCQFPTLGFVVDRFERIRNFVPEEFWYIQLVVDNKDNGGSTTFQ WDRGHLFDRLSVLTFYETCIETAGNVAQVVDLKSKPTTKYRPLPLTTVELQKNCARYL RLNAKQSLDAAEKLYQKGFISYPRTETDTFPRAMDLKSLVEKQAQLDQSSAGGKTAWA SYAASLLQPENTSNNNKFKLPRSGSHDDKAHPPIHPIVSLGPEANVSPVERRVYEYVA RHFLACCSEDAKGQSTTLVLDWAAERFSASGLVVLERNFLDVYPWARWETTKQLPRLE MNALVDIAKAEMKAGTTAPPKPMTESELILLMDANGIGTDATIAEHIDKIQVRNYVRS EKVGKETYLQPTTLGVSLVHGFEAIGLEDSFAKPFQRREMEQDLKKICEGHASKTDVV KDIVEKYRKYWHKTNGCKNTLLQVYDRVKASM SPAR_L02640 MSFGTKVSNFLRFLEIPVKDRASVSFLRNPDLQPIKSVNQTWGF WSNFAYWGVMSFSVGTWISASSALDVGLSYPETIGTFIVGDVLTIIFTLANSGPGFDW KVGFTLAQRFVFGIYGSAFGIIIRILMSIVNYGSNAWLGGLCINMILDSWSHHYLHLP NTLSSKVAMTTKELIGFIIFHVLTAFCYLMKPYHMNYILIWSCVATFFSMLGMVIYLT KHAHGVGDLFTSTESTATGSTKAWAWVYMISYWFGSVSPGSTNQSDYSRFGSSNWAIW AGTICALLIPTTLIPVFGVIGASTCDKLYGQQFWMPMDIFNYWLTTNYSAGARAGAFF CGLSFVLSQMSYTISNCGFASGMDLAGLLPKYVDIKRGALFAACVSWACLPWNFYNSS STFLTVMSSFGVVMTPIISVMICDNFLIRKRQYSITNAFILKGEYYFTKGINWRAIIA WVCGMTPGLPGIAWEVNNDYFHNTGIVNFFYGDSFFSFLISFFVYWGLCILFPFKITV GHDDKDYYGAFTDEEARRKGMVPYSEISEEEIRAYTLGEGYTTGHEYMPEGSDDEVPE LIKTSSENTNEFEIVHQKNNEKQSSTASEKAA SPAR_L02650 MTASGPEFNKEDQLSSPGKKHIRNNSIPKNAKLIDGSNNASKRP VEKYDKRIIDTTKSYFPHSISRTPRRKYTYILVLTSLNGTFESKHLVVPFKPDGLKLG RPVANSNNNSSSSLRGGKRVDSHTFSQVRCDNGNFDSRVLSRNHALLSCDPLTGKVYI RDLKSSNGTFINGQRIGSNDVEIKVGDVIDLGTDIDTKIEHRKISATVEELFVQPLLE SWIFENEDSGDCGTISEKEEAAAITSYVYGDSNNLELEEVILGSDTEILSGIFINNCI GTSPTLSNVIKTLATEISFCKYDNSKLQSMENFLINHTTHLEYTNKLLVEKNDQQLVK LQNGLRRKLSGKYEKIIEQNRNQIKQLERDHMFFKKSFEVKKRRNNEKQKSMEREIED LKTRLEVERYKNSQMMKKNKQKEQEFSTASKKKNIERDTGSVPGTNPKGTDKFSIKNT LCNHFTLLTFGTISIGLIAIVFKFLSPN SPAR_L02660 MSRCIHQSVYRTFDVYRRQCFSTCVFALKEMTHPIKPSAQTLRH LQFTQRIPFQEGLEIQETLVRANLDIKDIQSKIERKLIQLDEEYKGTAKINDNEKKIL DKVMAMKPNPIILTFEFEPTYTGGKRIKKTMTPDQIAAYESFVPETQKHNLRPKFVQV ERGGQVTFHGPGQIVIYIILDLKTFQGFPAKCLVACIEQATIKTLKNTKMCDNTDKPL NLDALTTNETGVWVENGKKKIASVGIHVRRSITSHGVAINVNTDLSYMNSFEMCGLKD TLTTSIMEQRPDAVVDVQGIAISFVKEMAKLLGIKTLERMQIDDINTLKKNS SPAR_L02670 MSLNNITFCVSQDLDVPLKVKIKSLEGQKPLLKPSQKILNPELL LIGSSVFPSSDLVVSLQVFDKERNRNLTLPIYTPYIPFRNSRTWDYWLTLPIRIKQLT FSSHLRIILWEYNGSKQIPFFNLETSIFNPNDCTLKRGFESLKFCYDVIDHCEVVTDN KDQENLNKYFQGEFTRLPWLDEITISKLRKQWENRTWPQGTFVLNIEFPMLELPVVFI EREIMNTQMNIPTLKNNPGLSTDLREPNRNDPQIKISLGDKYHSTLKFYDPDQPNNDP IEEKYRRLERASKNANLDKQVKPDIKKRDYLNKIINYPPGTKLTAHEKGSIWKYRYYL MNNKKALTKLLQSTNLREESERIEVLELMDSWAEIDIDDALELLGSTFKNLSVRSYAV NRLKKASDKELELYLLQLVEAVCFENLSTFSDKSNSEFTIVDAVSSQKLSGDSMLLST SHANQKLLKSISSGSETSGTESLPIVISPLAEFLIRRALVNPRLGNFFYWYLKSESED KPYLDQILSSFWSRLDKKSRNILNDQVRLISMLRECCETIKRLKDTTAKKMELLMHLL ETKVRPLVKVRPIALPLDPDVLICDVCPETSKVFKSSLSPLKITFRTTLNQPYHLMFK VGDDLRQDQLVVQIISLMNELLKNENVDLKLTPYKILATGPQEGAIEFIPNDTLASIL SKYHGILAYLKLHYPDENATLGVQDWVLDNFVKSCAGYCVITYILGVGDRHLDNLLIT PDGHFFHADFGYILGQDPKPFPPLMKLPPQIIEAFGGAESSNYDKFRSYCFVAYSILR RNAGLILNLFELMKTSNIPDIRIDPNGAILRVRERFNLNMSEEDATVHFQNLINDSVN ALLPIVIDHLHNLAQYWRA SPAR_L02680 MTSYIEKLTSAASYLDTLPDEHHDFRKPTAKVVTTQLTIATLLG IFALLSFSILLKKWPRLYASRRYKDDGNLRLPSWNQSSLFGWLTVLYKIRDEQILEYA GLDAYVFLSFFKMCIKLLSIFCFFSVCVISPVRYHFTGKIDDGNDDDDNENSLMHLLK RIVEGSGDGDNHSAPERTNVYLWMYVIFTYFFTFIAIKMAVAETKHVVSTRQAYLGKQ NTITDRTIRLSGIPIELRDSEALKTRIEQLKIGTVSSITICREWGPLNKLFHCRKMIL KNLELKYAECPRELRTRQPYSENYHLLGNGQSGEVSHGEHVSQSNNNEDDAALYSQIS LGERPKMKIGYRGVFGKEVDAIEYLEQQLKFIDAEITEARKQHYSATPTAFVTMDSVA NAQMAAQAVLDPRVHYFITRLAPAPHDIKWDHVCLSRKDRLTKVYSTTVFIGLSSLFL VIPVSYLATLLNLKTLSKFWPSVGQLLKDHQWAANIVTGLLPTYLFTLLNFGIPYFYE YLTSYQGLVSYSEEEISLVSKNFFYIFVNLFLVFTLAGTASNYWAYLSDTTKIAYQLA TSVKEFSLFYVDLIILQGIGMFPFKLLLVGSLIGFPLVKIKAKTPRQRNELYNPPIFN FGLQLPQPILILIITLIYSVMSTKILTSGLAYFIIGFYVYKYQLIFATDHLPHSTGKV WPLIFRRIIVGLLLFQLTMTGTLAGFEGGWVLSSCLFPLPVVTLCFLYDFEKNYLPLS KYIALSSIREYERDNSTVNSANEEESYAYPYAVSELEGPMLD SPAR_L02690 MICITCMRSVDSLYTVYSNDHIQLTDCPFCKDTVDKYVEIDNVL LFIDLLLLKAGAYRHLVFNSLELHLSKYPRGKALNDCQCLRDYTQALIFNIKNWFCKY DRLNRLWLLLLSFEIYLTWVTEESKYIYYLNRNNNDGKLIMLSKKLPESFKWDSAIMR NTITNKVFTWSPPIQYLYFASYCILDVCLFHTFIQYFILKKLHWRQLSVSSKDVISYT ILLSYGAKIFPILMLIWPYDTLISMSIIKWVANFYIIESLKIVTKLSYWNIIKIFICV SLLRYCIVKPILIVFVAKFNFSIIKNLIHQEFMLLLQKSGTYLFL SPAR_L02700 MSRVGVMVLGPAGAGKSTFCNSIISHMQTVGRRAHIVNLDPAAE ATKYEFTIDIRDLISLDDVMEEMDLGPNGALIYCFEYLLNNLDWLDEEIGDFNDEYLI FDCPGQIELYTHIPVLPNIVRHLTQQLNFNLCATYLLEAPFVIDSSKFFSGALSAMSA MILLELPHINVLSKLDLIKGDINKKKLKRFLNPDAMLLMETEGMNQASNPKFLKLNQC IANLVDDFGMVQFLPLESNNPDSIATILSYVDDITQWAEGQEQKEPNDQIDIEE SPAR_L02710 MATTTTTVTASEQNSDPTKIHCAGLQCGRETSSQMKCPVCLKQG IVSIFCDTSCYENNYKAHKSLHNAKDGLKGAYDPFPKFKYSGKVKASYPLTPKRYVPE DIPKPDWAANGLPVSEQRNDRLNNIPIYKKDQIKKIRKACMLGREVLDIAAAQVRPGI TTDELDEIVHNETIKRGAYPSPLNYYNFPKSLCTSVNEVICHGVPDKTVLKEGDIVNL DVSLYYQGYHADLNETYYVGENISKEALNTTETSRECLKLAIKMCKPGTTFQELGDHI EKHAAENKCSVVRTYCGHGVGEFFHCSPNIPHYAKNRTPGVMKPGMVFTIEPMINEGT WKDMTWPDDWTSTTQDGKLSAQFEHTLLVTEHGVEILTARSKKSPGGPRQRIK SPAR_L02720 MVKQGYKVMKVRSIEDRQLEALKKAALKACELSYSPYSHFRVGC SILTNDDVIFTGANVENASYSNCICAERSAMIQAVMAGYRSGWKCMVICGDSKDECVS PCGVCRQFINEFVIKDFPIVMLNSTGSRSEVMTMGELLPMAFGPSHLS SPAR_L02730 MALVSRRSTRSESTSITKEEHTGGASLTKLFFRWLVTLEGDQDI NDGKGYISIPNVSNYIFFLGGRFRTVKSAKPLWLGVLFVIICPMVLFSIFETHKLWHT QNGYKVLVIFFYYFWAITLISFIRTATSDPGVLPRNIHLGQLRNNYQIPQEYYNLITL PTHSSVLKDITIKYCPSCRIWRPPRSSHCSTCNVCVMVHDHHCIWVNNCIGKRNYRFF LIFLLSAIFSSIILLANCTIHIARESGGPRDYPVAILLLCYAGLTLWYPAILFTYHIF MAGNQQTTREFLKGIGSKKNPVFHRVVKEQNIFDKGSFLKNLGHLMLEPRGPSFVSAR KPHEAGDWRFMNLSPVHSFEKI SPAR_L02740 MSDVGALLAREYNVAAERCDFFLEDGSFDSVIAVLPALNQKQET VTQKISKNGKELLNVASVNIDIPERISLSNDRKQSSKFIVDIEILPCENDKEAILVVS SDSVSLFQIGFQIENDSKFAVDKQLPLILDICAHKSQEKTLRDQLANRKSLEGRPSRK RRKKNSGVNDPEKKLKSRIHEFSLSYKDFECSPVILSYNDSSSTWILSFTLKLKFLNN KFNRFSLEANQILDLTFSDRNENEFERYHKHSHIHSNFIQKQFTSQILEYSKDRLSKI KPFLSQSIPDLKVSLLPFQRESVEWMLIKEGHGFSLSDAPIVVDEIGLRNFMNEYYAY GYELIARSTDEVGPSLLWNKLTGYILTTDDAAYLYNQYRKERLSNDEPVHAKGVLAEE MGLGKTIEILSLILLNKRKLKDSKVTFIDDENRTITKTKTTLIICPNAILKQWLEEIE LHANSLKWYNYKGYNEIMRNCKTVDEAVQQLCQYDIIVTSYNVIATEVHHAEFNRSIR SRRLKSPKYDYSSPLALMQFYRIILDEVQMLRSSSTYSAKCTSLLHRIHTWGVSGTPI QNIYNFRMIMSYLKLHPFCDEVDFIRALQEEIKLRNEVNDYTSNDLICQLKGVRFSIK ECMNIFYRYDLCIRHSKADVASQIHIPQQHNFIIPLEFAPIEWDNYLNLWNNFLELSG YNSDGSGSPRVTNAFLNEWLARLRYICCHALFPEILNTRQKRLHGHLTKISNIDDILI SMRMDAFDNLIGYYRERFHLSIKQAQYELEVFNTPTKALESFIKIRDDLIIHIRRKFN VEDPFDKSLNLSEDEDGQMNEKFDEEGTFSGEESNRRPTAAKNHDNHSDEEILSDHLK KKGLRAMMNLLHDCYFFLGSVYYNLGSRKLEEADDKHHEEKTEKLVYSDIFPKNELEE IENNRLLEQENYRNAEILRKLILSSEARKVDTTIKIARTRFAHTTSNIPLRLMNIEFD HKNDYSSNLAVSRCFKSLSKLIERLNEQTKNFNELLDELLLTIYEPVYSTEDNDTGNK IIDNEEYSTSIDSQDKIFSLLGCLEIILQNRDNILTSESEVKIPKHLVPEGSMISKYQ KQLLNNLHLISGTPLRAIFDEVKNSRIVRRISLNSESESSIQNFEDYLLQYEKESKNL FKYNKQIRESLKSLGSIYNAKTEYYSQLQRISDSLVSLHSLSPPQLGHLTRTINKSLG GTLDAKINNTESRLIYLKNLSKLKDTLNDNQILSCSICLGEVEMGAIIKCGHYFCKNC ILTWLRAHSKCPICKSFCSVSEVYNFKFKNSTERKEKQVQEPQREGADSSQDNLNGNS ILSNMSEVEKLFGNKYKQFHQINEVHQIHIKESFGAKIDFVIKLISYLRLKSEQENDD PPQVILYSQKTEYLKVIGKVLKLYHIEYLACLSNTAGVGETINNFKRQPSVTCLLLNV KTLGAGLNLINAKHIFLLDPILNNSDELQAMGRNNRIGQDRETFVWNFMIKNTIEENI LRYKCILEERKRKEKSKRSDNHDSTQGERDDEENDDVKFEISVGDQEVSNEHLWNCFF HGSD SPAR_L02750 MLKIKALFSKKKPDQADLSQESKKSFKGKTRSSGANNKDVPQNS SPPKQSHQGGNTMQYPSAIADDHHMKSLTDELVTTIDSDSSPSDNITTENVETVTSVP AIDVHESSEDQLSYDPLMSDESLSGQSEVISDIQDGDTDDDNMEDEIPEKSFLEQKEL IGYKLINKIGEGAFSKVFRAIPAKNSSNEFLTKNYKAVAIKVIKKADLSSINGDHRKK DKGKDNTKTSSRDQVLKEVALHKTVSAGCSQIVAFIDFQETDSYYYIIQELLTGGEIF GEIVRLTYFSEDLSRHVVKQLALAVKHMHSLGVVHRDIKPENLLFEPIEFTRSVKPKL RKSDDPQTKADEGIFTPGIGGGGIGIVKLADFGLSKQIFSKNTKTPCGTVGYTAPEVV KDEHYSMKVDMWGIGCVLYTMLCGFPPFYDEKIDTLTEKISRGEYTFLKPWWDEISPG AKNAVAKLLELEPSKRYDIDQFLDDPWLNSFDCLPKEGDSSQKKAGTSERRHPHKKQF QLFQRDSSLLFSPAAVAMRDAFDIGNAVKRTEEDRMGTRGGLGSLAEDEESEDSNNGA RGDEQLEQDMFQLTLDTSTILQRRKKVQDNDVGPKIPISATIRE SPAR_L02760 MSDSQQSIKVLEELFQKLSVATADNRHEIASEVASFLNGNIIEH DVPEHFFGELAKGIKDKKTAANSMQAVAHIANQSNLSPSVEPYIVQLVPAVCTNAGHK DKEIQTVASETLISIVNAVNPVAIKALLPHLTKAIVETNKWQEKIAILAAFSAMVDAA KDQVALRMPELIPVLSETMWDTKKEVKAAATAAMTKATETVDNKDIERFIPSLIQCIA DPSEVPETVHLLGATTFVAEVTPATLSIMVPLLSRGLNERETGIKRKSAVIIDNMCKL VEDPQVIAPFLGKLLPGLKSNFATIADPEAREVTLRALKTLRRVGNVGEDDAIPEVSH AGDVSTTLQVVNELLKEETVAPRFKIVVEYVAAIGADLIDERIIDQQAWFTHITPYMT IFLHEKKAKDILDEFRKRAVDNIPVGPNFDDEEDEGEDLCNCEFSLAYGAKILLNKTQ LRLKRARRYGICGPNGCGKSTLMRAIANGQVDGFPTQEECRTVYVEHDIDGTHSDTSV LDFVFESGVGTKEAIKDKLIEFGFTDEMISMPISALSGGWKMKLALARAVLRNADILL LDEPTNHLDTVNVAWLVNYLNTCGITSITISHDSVFLDNVCEYIINYEGLKLRKYKGN FTEFVKKCPAAKAYEELSNTELEFKFPEPGYLEGVKTKQKAIVKVSNMEFQYPGTSKP QITDINFQCSLSSRIAVIGPNGAGKSTLINVLTGELLPTSGEVYTHENCRIAYIKQHA FAHIESHLDKTPSEYIQWRFQTGEDRETMDRANRQINENDAEAMNKIFKIEGTPRRIA GIHSRRKFKNTYEYECSFLLGENIGMKSERWVPMMSVDNAWIPRGELVESHSKMVAEV DMKEALASGQFRPLTRKEIEEHCSMLGLDPEIVSHSRIRGLSGGQKVKLVLAAGTWQR PHLIVLDEPTNYLDRDSLGALSKALKEFEGGVIIITHSAEFTKNLTEEVWAVKDGRMT PSGHNWVSGQGAGPRIEKKEDEEDKFDAMGNKIAGGKKKKKLSSAELRKKKKERMKKK KELGDAYVSSDEEF SPAR_L02770 MRFLKGFVLSLAFTLYKVTATAEIGSEINVENEKPPEGLSWEEW HMDHEHQLKDYTPETFFALHDVKKKGFLDENDILSLYGLNREEIVGAGDGMGQHDESE KVDNEMAKRVVNFIMKLLDVDDDTKITREEYLEFAKRGNKFPDLGVGVGHHSDFELEY EIHHWNKFHKDKDPDVKVVHKEDIEHELLHHEHEIEHEEEIQRGASRATVITDDELES RIELKNIPEKFKNGIF SPAR_L02780 MRLLHLYEASLKRRPKTTNAIMTGALFGIGDVSAQLLFPTSKID KGYDYKRTARAVVYGSLIFSFIGDKWYKILNNRIYMRNKPQYHWSNMVLRVAVDQLAF APLGLPFYFTCMSIMEGRSFDVAKLKIKEQWWPTLLTNWAVWPVFQAVNFSVVPLQHR LLAVNVVAIFWNTYLSYKNSKVTEEYKVPVHYPPVVE SPAR_L02790 MMTKAFFNKLPFEVFRRYVSTGKSIPQRSPSTRRALLVGGSITT AIVLYNFNGTFHDSVKHTALTAKRVAVVTQATTRCFYHYKRALNKSYESKNEREVALN KCHKMCALITLHALRSNGGIYIKLGQHIGAMTYMLPKEWTDTMIPLQDHCPESTYEEI DELFKEDLGTSIEDMFWEFNKTPIGVASLAQVHVAKLKSSDGKGSSVAVKCQHPSLKE FIPLDVMLTRTVFELLDVFFPDYPLTWLGDELQSSIYVELNFTKEAENAEKTRKYFSK FKKQTALKIPKVIESHKRILIMEYVGGKRLDDLEYIDSHGISRSEVSSCLSHIFNNMI FTPNVGIHCDPHGGNLAIRSVKPAKDNGYHNFEIVLFDHGLYRYPSTRTRRLYAKFWL SLLFDKDQKKMKKYAKGFANITDEQFPLLAAAITGRSIDAALNYDISTSRTQEEMDVM ADGILEGTLLSDLMSILSRIPRVVLLILKTNDLTRHLDECLQNPLGPERTFLIMTQYC AKTVYDEKVEKINLEYGRWSIKWMWENFTNWIVYERRINQLYFYDFVLWWKKIIPKTW LSS SPAR_L02800 MVPNLDLETALQIISSLETQLSELESATKEYENDLEQVISNLKS DLLESQQQNRCNKKQITELEIQVDELENENIQLRNKIETLQLESDRRLERNVLLEHEL LDTKDALQRLKVNKEEATSGETRRNNRPLPSQNKKMKLYKDTIKVSTTCSTLYLQNMT KTNNTARNHCNIPNTQITQSTVIATTSSVQ SPAR_L02810 MSNTPYNSSVPSIASMTQSSVSRSPNMHTVTTPGTNTSSNSPPL HMSSDSSKIKRKRNRIPLSCTICRKRKVKCDKFRPHCQQCTKTGVAHLCHYMEQTWAE EAEKELLKDNELKKLRERVKSLEKTLSKVHSSPSSNSLKSYNTPESSNLFMGSDEHST LVSANTGSASSASHMQQQQQQQQQQQQDFSKNTNANANSSSLSISNKYDNDELDLTKD FDLLHIKSNGTIHLGATHWLSIMKGDPYLKLLWGHIFAMREKLNEWYYQKNSYSKLKS SKCPVNHAQAPPSVAAAANRKCPVDHAAFASGMVAPKEETPLPRKCPVDHTMFSSGMI PPREDTSSQKRCPVDHTMYSAGMMPSKEETLSPFSAKPMIDLNKHTMNPPQSKCPVDH RNYMKEFPSDMMNSSPNPAGRCPIDHSSMKNTTALPASAHNTIPHHQQQSGPHSRSHP LQNRKQDTYMTESEVLAILCEMLPPKRVIALFIEKFFKHLYPAIPILDEQNFKNHVNQ MLSLSSMNPAVNNFGMGMPSSSSLENQPITQINLPKLSDSCNLGILIIILRLTWLSIP SNSCEVDLGEESGSFLVPNESSNMSASALTSMAKEESLLLKHETPVEALELCQKYLIK FDELSSISNNNVNLTTVQFAIFYNFYMKSASNDLTTLTNTNNTGMANPGHDSESHQIL LSNITQMAFSCGLHRDPDNFPQLNATIPATTQEVSNNGNKKANPSTNANSNNSMSANT TNSSSRSGSADSRSGSNPVNKKENQVSIERFKHTWRKIWYYIVSMDVNQSLSLGSPRL LRNLRDFSDTKLPSASRIDYVRDIKELIIVKNFTLFFQIDLCIIAVLNHILNVSLARS VRKFELDSLINLLKNLTYGTENVNDVVSSLINKGLLPTSEGGSVDSNNDEIYGLPKLP DILNHGQHNQNLYADGRAASSSDIDKKLDLPHESTTRALFFSKHMTIRMLLYLLNYIL FTHYEPMGSEDPGTNILAKEYAQEALNFAMDGYRNCMIFFNNIRNTNSLFDYMNVILS YPCLDIGHRSLQFIVCLILRAKCGPLTGMRESSIITNGTSSGFNSSVEDEDVKVKQES SDELKKDDFMKDVNLDSGDSLAEILMSRMLLFQKLTKQLSKKYNYAIRMNKSTGFFVS LLDTPSKKSDSKSGSSFMLGNWKHPKVSNMSGFLAGDKDQLQRCPVYQDALGFVSPTG ANEGSAPMQGLALQGSTARMGGTQLPPIRSYKPITYTSSNLRRMNEAGEAEAKRRRFN DSYVDNNNSDIPRGISPKPSNGLSSVQPLLSSFSMNQLNGNTIPTVPSLTNITSQMGA LPSLDRITTNQINLPDPSRDEGFDNSIKQMTPMTSAFMNANTSIPSSTMNGNMNMNGA GTANTDTSANGSALSTLTSPQGSDLASNSATQYKPDLEDFLMQNSNFNGLMINPSSLV EVVGGYNDPNNLGRNDAVDFLPVDNVEIDGLVDFYRADFPIWE SPAR_L02820 MVDARGSTPCLIGDSIRNINDENSLDFQYSNQFNEEGEASRLLT PQTSSNHALSKMQKDDDIRDRSYTSVAELNREGALLTDEVDLENVDASKVRSSRDDLE AEEKRKKLLLFKKKQRNRSINSDSFSSPSLRASKSNSLITSTDPVEDHISKYSSSGTP ENITGKADDEDEDIIRNSYGQMIKNNSNRPHLAKGESYQSAEQELDHTAPEKSEKRQE RSGRSFDRQKSSAEFLRSLSRSISRGPAKNKTVSPSKGEDSRMYSTSNYSISLVDLEN GPKVIPETLEEEQEDAEKEGVLMEDEGNEEYTKDLEEAANKVQQP SPAR_L02830 MSRDLQNHLLFETATEVANRVGGIYSVLKSKAPITVAQYKDHYH LIGPLNKATYQNEVDILDWKKPEAFSDEMKPVQHALQTMESRGVHFIYGRWLIEGAPK VILFDLDSVRGYSNEWKGDLWSLVGIPSPENDFETNDAILLGYTVAWFLGELAHLDSQ HAIVAHFHEWLAGVALPLCRKRRIDVVTIFTTHATLLGRYLCASGSFDFYNCLESVDV DHEAGRFGIYHRYCIERAAAHSADVFTTVSQITAFEAEHLLKRKPDGILPNGLNVIKF QAFHEFQNLHALKKEKINDFVRGHFHGCFDFDLDNTLYFFIAGRYEYKNKGADMFIEA LARLNYRLKVSGSKKTVVAFIVMPAKNNSFTVEALKGQAEVKALENTVHEVTTSIGKR IFDHAIRFPHNGLTTELPTDLSELLKSSDKVMLKRRILALRRPEGQLPPIVTHNMVDD ANDLILNKIRQVQLFNSPSDRVKMIFHPEFLNANNPILGLDYDEFVRGCHLGVFPSYY EPWGYTPAECTVMGVPSITTNVSGFGAYMEDLIETNQAKDYGIYIVDRRFKAPDESVE QLVDYMEEFVKKTRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLALRRGYPDQFKE LVGEELNDSNMDTLAGGKKLKVARPLSVPGSPRDLRSNSTVYMTPGDLGTLQEVNNAD DYFSLGVNPAADDDDDGPYADDS SPAR_L02840 MLRSSVVRSRATLRPLLRRAYSSHKELKFGVEGRASLLKGVETL AEAVAATLGPKGRNVLIEQPFGPPKITKDGVTVAKSIVLKDKFENMGAKLLQEVASKT NEAAGDGTTSATVLGRAIFTESVKNVAAGCNPMDLRRGSQVAVEKVIEFLSANKKEIT TSEEIAQVATISANGDSHVGKLLASAMEKVGKEGVITIREGRTLEDELEVTEGMRFDR GFISPYFITDPKSSKVEFEKPLLLLSEKKISSIQDILPALEISNQSRRPLLIIAEDID GEALAACILNKLRGQVKVCAVKAPGFGDNRKNTIGDIAVLTGGTVFTEELDLKPEQCT IENLGSCDSITVTKEDTVILNGSGPKEAIQQRIEQIKGSIDITTTNSYEKEKLQERLA KLSGGVAVIRVGGASEVEVGEKKDRYDDALNATRAAVEEGILPGGGTALVKASRVLDE VVVDNFDQKLGVDIIRKAITRPAKQIIENAGEEGSVIVGKLIDEYGDDFAKGYDASKS EYTDMLATGIIDPFKVVRSGLVDASGVASLLATTEVAIVDAPEPPAPAGAGGMPGGMP GMPGMM SPAR_L02850 MTLKPSKRRKGRSRHSRKKQITSAILTEEGIMIKAKPSSPYTYA NRMADKRSRSSIDNISRTSFQSNSDNNSIFETASLISCVTCLSDTDTIDRSETSTTDT SKDDLSANSKLHYPSVNGQLPANTVIPYGRILDARYIEKEPPHYYDANSSPTSPLNSS MSNISEKGDLDDSESLQKKERKGNSLSRWSNSSSSLISSRSPFTKLVEVIFARPRRHD VVPKRVSLSIDYKPHPSSHPKDEEDLVEEILKRSYKNTRRNKSIFVIINPFGGKGKAK KLFMTKAKPLLLASRCSIEVVYTKYPGHAIEIAREMDIDKYDTIACASGDGIPHEVIN GLYRRPDHVKAFNNIAVTEIPCGSGNAMSVSCHWTNNPSYSTLCLIKSIETRIDLMCC SQPSYAREHPKLSFLSQTYGLIAETDINTEFIRWMGPARFELGVAFNIIQKKKYPCEI YVKYAAKSKNELKTHYLEHKNKGSLEFQHITMSKRNEDLDNYNYENEYETENEDEDED QDMDQEEEDDHLAFRDPADSSADLIKEEDFKIKYPLDEGVPSDWERLDPNISNNLGIF YTGKMPYVAADTKFFPAALPSDGTMDMVITDARTSLTRMAPILLGLDKGSHVLQPEVL HSKILAYKIIPKLANGLFSVDGEKFPLEPLQVEIMPRLCKTLLRNGRYVDTDFDSM SPAR_L02860 MSRSGKSLTKYKIVFLGEQGVGKTSLITRFMYDTFDDHYQATIG IDFLSKTMYLDDKTIRLQLWDTAGQERFRSLIPSYIRDSRVAIIVYDITKRKSFEYID KWIEDVKNERGEENVILCIVGNKSDLSDERQISTEEGEKKAKLLGAKIFMETSTKAGY NVKTLFKKIAKSLPEFQNSESTPLDGENANSGNQSKPGVIDISTTEEQEQSACQC SPAR_L02870 MSGRQGGKMKPLKQKKKQQQDLDPEEVAFKEKQKADAAAKKALM ANMKSGKPLVGGGIKKSGKK SPAR_L02880 MEGLKKKIFGVCLKNDLAQPRNETKGINYESVTLETSKQLEEFL HLLLIKREVIQNFELLFHIVNVAVKITDANLSSDDIWHSILKLRFSSEIKIDEDCKVL NYLIEIGIATENPISWKCLAVVSTILSSVPRSKTIIVKLIETEYAKKIGQLFDNIQDL QQGNFLVRILSNCFVKSDSSSKKVERIPQLWQSRSKNKFFFENKFYPLSGKHGSSQTC RFLCNNFISTLLFTGILRQVSYSGSEALKNLRILKKKEDGNESSYFIQCIYNKIYLWL DEEAPLEFERKKIRIIKNLKNKIQIKLRHPFHECVRTTADNIELLFNKTRGFQLEFED EKLGEAFFHNVNNIPKISEVQTFLVLDYIEEEPEDEGEEEEQIGRIDEPKEDEEGESL DELSTPMSYPIKSSISRNEKVQLVTPDRSVSIRSDEWDLKSSTEDGEDNVTLTDLKIN STKDTKRPRNYVHIDSEDQSPVVSAQMRKMRRESTKTLEILRQEFKDKDVQNEERPSE QIQEAFLNTSSLVVGKSRLVNHKEKPNIDQKVIGITELKSNSSIKKRDINILDTIFGQ PPSKKQKQFHKKEKKQQKKLTNFKPIIDVPSQDKRNLRSNAPTKPKSIKVNKLRTHKE VTGEKSITETTAEKVNDQAVHTNNERMASDASEKKGSSMNEEHDKLQENCSPDVNESK EITRDGAKVSLQSEKRKETFTNSSVVENHNLPDKDCNNCNITDILESTTVIDLYSPHG SSVPGQNSFTNKLQEQIYSSINHFSNELVRKISIINQELNTKILKELSEKYQKLFSEL QDNFQNDTNEMLKFMGEIKDMINLPEDQLVHAIRTRKFNNNGG SPAR_L02890 MDSKTPVTLAKVIKVLGRTGSRGGVTQVRVEFLEDTSRTIVRNV KGPVRENDILVLMESEREARRLR SPAR_L02900 MDSEFIGRQLNDSEWFVERINGEGNCLLSFLPMSSSNTVLMIVL ISLKHLVPNVFKLTQTQLTQQCQSQGFTDSMSLNRIKLRLMDILQSPQEINQVQLVDS NLNFSFDVSAEITVSINSVPSDVTKDTRFTILQSLCMLLLKLINISSQYQYIQRDILN EKQKCLDFLLRSLGDLDGGSKIIGQWAPENSKNCESLQPSTDDHVMKTLRYKGKFQHL ELTADSLKSLLSLKQNLQAVSQIEEFAESNKKERAGILGANDLHNDDFELQLDPTDEA QPNICCEINPKTDSKTTAIESGADSQRYLEDVFELESKSPERTKSNSSLVQEYPRKKR KFGKVRIKN SPAR_L02910 MDGSHIRMSPTTEEPVSSGKKGKRRKIIKSCAFCRKRKLKCSQA RPMCQQCVIRKLPQCIYTEEFNYPLSNTELFGQVPNVALVQKIENLQTLLKENDKDNA KPVHYRCSDNPVRSLRTSVLGDNGSMYVFGPTSWKTLSLFEPNKFQTEFQNLWRVLKP LPEGTRSRLTENNIVADLPSFSRMESCIESFFAGPLFDLLHIFNKDDILSLLDKIFIR DATNSDLIILLNLEVSPKDKYNLGIVLQILCLSYFNQNVPPSVSHFIHTLTAASLSSS SSNFVEKLQYFLLSYINVMINCTEGVWDATQGIDLINELCQGCVSLGLNDIDKWYLNE SKVTKQNLRCIWFWALFLDVSTSYDVGKPPSILDDFLDLSVFTAQNFKSPDVDFRRVK LMHDFLNVSRSITREIHKREINGKLITFSLRLIEFIQSNFSPIEHYTNSIHYSGIDSF DILILSRALSMVASLYNIEMIIAQQSRIIDKNRMVQFLLISISVCVNTIVFHFKESVN GQRDILPERLKLSIILINPLLIRIISQVYGLAFNRLIFREKGFLFLIDLDTGKKIQFI KYEEENFDELLTGFDIITDKFLSFSGTIIRFYEIIDSIFASNERNKQLFKAVSNFYQL TSTLAFERVSRVLFDKASQARIETEKVWLKKGINMEHFSDLMIEDFINDVWRTFKDIS KDLWSIDKKKFYKQYHFDL SPAR_L02920 MVAALSYLPTELVQRIFEFTVVETDSQYWLYNLVTLIDFSVFSK GGGPITENFLTNYVKKNLMVLDLTCEATQNSILRSEYGFLKRLLPYIDMNTQYIRVVD LKTNANEIRNFKTEKLVVLFDEFSDLKLIETFFPLANSSSNIIEFVFCARDVKSSFYS PLEKLHTANIVADIDINTLYLDFLDSNVYSDQNFFGIFDPDIFQLINKDYRNFFSKTS EKGKGRPPVCKKICFPFVETLNLDYMALDSFFSSILHKLTTKIKTFERNNEFDVDKNL NLNSTTTVAALIIKSILRQFFNNFDINFPNLVTLNFIKISTYPNSNEITQCCNFIDLS SYVLNKCLSENISINFLFQLHSLKNWSMPKIKEFTGHKFKYDETTSSGSPERYIKSLR GNIKILQEMAINETNDGTCYFRVKLIPEGVEKTQIINWIPFTSSFGDGTAKQRYDLKR PMICLKNNSLRSLTVKIIRIEKCSSIRIQGFYLPNLKELFINNTLCDTAQHQKQASND MSCIEFTSWNELPQCKKLGFAQLKDHSNYVLNISNLQDHLPNLDLRESFSTFFDVRQK FVVV SPAR_L02930 MIKSTLIYREDGLPLCTSVDNENDPSLFEQKQKVKIVVSRMTPQ SATEATLESGSFEIHYLKKSMVYYFVICESGYPRNLAFSYLNDIAQEFEHSFANEYPK PTVRPYQFVNFDNFLQMTKKSYSDKKVQDNLDQLNQELVGVKQIMSKNIEDLLYRGDS LDKMSDMSSSLKETSKRYRKSARKINFDLLISQYAPIVIVAFFFVFLFWWIFLR SPAR_L02940 MSQLPTDFASLIKRFQFVSVLDSNPQTKVMSLLGTIDNKDAIIT AEKTHFLFDETVRRPSQDGRSTPVLYNCENEYSCISGIQELKEITSNDIYYWGLSVIK QDMQSNPTAKLNLIWPATPIHIKKYEQQNFHLVRETPEMYKRIVQPYIEEMCNNGRLK WVNNILYEGAESERVVYKDFSEENKDDGFLILPDMKWDGMNLDSLYLVAIVYRTDIKT IRDLRYSDKQWLINLNNKIRSIVPGCYNYAVHPDELRILVHYQPSYYHFHIHIVNIKH PGLGNSIAAGKAILLEDIIEMLNYLGPEGYMNKTITYAIGENHDLWKRGLEEELTKQL ERDGIPKIPKIVNGFK SPAR_L02950 MEGNKIKRNREDNTDLEDKVLHKKSKRDSTNDKTADKSLRSIMP KGYKMMENMGYKEGETLGKDRCAIKEPIKVEISTKKQGIRAKKSDSSMVKDMQMSEQE FIKRESETKNNKRLEKIWYRIQKVAFEMMGDSDLYNTGEDPRDFNVLWRSYVIQLNKE LTRGGPKDASNDDMETKEVIVPTSEKELEPSPVVKSEKIEHTSSVINCDASIIGSRIT EDTELTELNELSIEKRITKLNIFLRSEKYYCFFCGIKYKDEGDLYEHCPGVNEEDHK SPAR_L02960 MTGFNLSEYLTKFQTTDRESYPHLEDPSRELNIIIDQLAVSPEQ IDSSPESLEALIDLCHDFPHLKPKLQTQLSYLISSSLSNLSKDIKANLSSNVNFTEIS ELIPQWKRYLEEYGYLIQVLLTFLQDELHKVSSQSTNLNRSVKNGKNDSANVELFKRD CNQMENLLESIIKVLEINLSKIFQTTPEKDLFIGLFTRPLFVLLEIEPLAKVSSIKMF IQRILAMCVKNHGQSSSIQSSLMTNLTYFLHLSVFNAELLKLLNDDYNYPQLTEDILK EISTRVFNAKDTTGPKAISNFLIKLSELSPGIMLRQMNLVITLLNNSSITLRCSVVEA CGNIVAELAQDPQTMEHYKQQIAVLIELLEERFQDSNPYVRTKAIQGCSKICDLSSKF NKSKAKFTALAVRSLQDRSSLVRRNSVKLLSKLLLKHPFKAIHGSQLRLSEWEEYLKV SESQLSSTLKKVESQETLDDTIERSLIEEEEEQDEDQCRTELEGSFNNGTELSRIENE VESTNTTNTSVLMKIKLMIVYYKDAISFIKDIHKSIELISNLLFSKNRNEVLESMDFL VLADAFDIELSEFGIKKMLHLVWMKGTNDEGTSISVHLIECYKQLFLTAPDSCNMQEK TAHIAKNLINLSIGASIADLASLEQLLGMMYDQKMIDQHVINILWAIYNSALKTGTQI EPNVNNEPGKSNSEKGFSKEQIHGSIIILGMLSLTDNEIALKGLESLLDIGLGSVGIK DLTLCRYSCLALERMVPKKSTIITKAINQELEDVAVKKLYSMIINYTRDNEYYPMCEQ ALSALFTISSKPDILATDLIREKTMMTFGKPEGEDSILSLEQSSRVVSLSQLLFIVGQ VAIKTLVYLEKCEAEFKKRKIEAETRNGKGKNQDADVTNTTQDNGGDKELEMIGGTNE DDFTDAIQFVKENELLFGEKSILGKFCPIVEEVVSNSSRFSDPMLQRTATLCLEKLMC LSSKYCEKRLPLLITVMEKSPDPTIRSNAVLGLGDMAVCFNNLVDENTDYLYRRLHDE NLMVQRTCLMTVTFLILAGQVKVKGQLGEMAKCLDNPDQGISDMCRLFFTELASKDNA IYNGFIDIFSNLSSDDLLGKESFKKIIKFLLTFIDKERHQKQLNEKLVGRLRKCETQK QWDDIAFVLNNIPYKNEDVTSLLEQGFKVVSAKE SPAR_L02970 MPFNHGKKLKPSLKLSKTTSISSFVSSTPSNSFSPLEDSTSVSS STSSSSSGKSVRFATHLYTVKKFNTKLAPISISEKADNKSIRRITSHLTRHLYNNAIP LTFPLIQGEDHPYSLDILDYSDLEYDDKDDEYDNESDVEDNAMFMHDRSLFIEKDILC LGEDNKFDIAEWKLVSSNLSLFKSDYNMDVAELEYKIFKYLNGQNIKVHSLELSDPVN YEDICCNNFGSCQIWGLIFVNNLNFEKKIEIKFTLNNWTDIHYIDAYYNKSVTSQIDE FKFIIDISALKLNLVSKNLIYADFLERKTTCFLNLQFCCRYDVNSFENKSFYDNNDYR NYEVSISLAVINLNRGVSRLPKYNSNLNPSKIGDSNADVTISKNKESLKKPTRKFIKD TDYFNDSPLKHKFYQSFETKAVCKREYTPQTPQAETNDCKMEPFNYFFEPPGSQTDED ISDSSYDLSLHDFNYWEFSNHGLGKALADSDILQFKNYPKPEPFSRNPIADNTFTLNT DDRTLRLKTQEFEDNLSEEGKSAKTRAAVNRTQLYDDEYNTFFIYSTWNNSTDTLMKE KDEAPVESGSCSRLSIATIKAEGDLVRQEDINSDRECSSQEFSPLNNSTPPPFFSCDN MIDSSREYEDKISLSSNEIHILRDYFSKSPSPSLSPIL SPAR_L02980 MSFDRPEIYSAPVLQGESPNDDDNTEIIKSFKNFILEFRLDSQF IYRDQLRNNILVKNYSLTVNMEHLIGYNEDIYKKLSDEPSDIIPLFETAITQVAKRIS ILSRAQSGNNDNKDTESISTDTDSLLLNSLPTFQLILNSNANQIPLRDLDSEHVSKIV RLSGIIISTSVLSSRATYLSIMCRNCRHTTSITINNFNSITGNTVSLPRSCLSTAESE SSMANESNIGDESTKKNCGPDPYIIIHESSKFIDQQFLKLQEIPELVPVGEMPRNLTM TCDRYLTNKVIPGTRVTIVGIYSIYNSKNGAGSGRSGGGNGGSGVAIRTPYIKILGIQ SDVETSSIWNSVTMFTEEEEEEFLQLSRNPKLYEILTNSIAPSIFGNEDIKKAIVCLL MGGSKKILPDGMRLRGDINVLLLGDPGTAKSQLLKFVEKVSPIAVYTSGKGSSAAGLT ASVQRDPMTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAMEQQTISIAKAG ITTVLNSRTSVLAAANPIYGRYDDLKSPGDNIDFQTTILSRFDMIFIVKDDHNEERDI SIANHVINIHTGNANAIQNQQEENGSEISIEKMKRYITYCRLKCAPRLSPQAAEKLSS NFVTIRKQLLINELESTERSSIPITIRQLEAIIRITESLAKLELSPIAQERHVDEAIR LFQASTMDAASQDPIGGLNQASGTSLSEIRRFEQELKRRLPIGWSTSYQTLRREFVDT HRFSQLALDKALYALEKHETIQLRHQGQNIYRSGV SPAR_L02990 MSSQLIDRPKHELSRAELEELEEFEFKHGPMSLINDAMMTKTPV IISLRNNHKIIARVKAFDRHCNMVLENVKELWTEKKGKNLINRERFISKLFLRGDSVI VVLKTPVE SPAR_L03000 MSSEKKSVEGAYIDDSTTFESFHLDSRLLQAIKNIGFQNPTLIQ SHSIPLALQQKRDIIAKAATGSGKTLAYLVPVIETILEYKKTVDNGEENGTLGIILVP TRELAQQVYNVLEKLVLYCSKDIRTLNISSDMSDSVLNTLLMDQPEIIVGTPGKLLDL LQTRIDSISLSELKFLVVDEVDLVLTFGYQDDLNKIGEYLPLKKNLQTFLMSATLNDD IQALKQKFCRSPAILKFNDEEINKNQNKLLQYYVKVSEFDKFLLCYVIFKLNLIKGKT LIFVNNIDRGYRLKLVMEQFGIKSCILNSELPVNSRQHIVDQFNKNVYQLLIATDDTE YIKEEDDGIEEGHNIDNQEEEKVEAEPENDKKSSKKKKVQVKKDKEYGVSRGVDFKNV ACVLNFDLPTTAKSYVHRVGRTARGGKTGTAISFVVPLKEFGKHKPSMLQTAKKDEKI LSRIIKQQSKLGLELQPYKFDQKQVEAFRYRMEDGFRAVTQVAIREARVKELKQELLA SEKLKRHFEENPKELQSLRHDKELHPARVQQHLKRVPDYLLPESARGNGTKVKFIPFH NPKRRHPHRKGKVSKPKSGKVDPLKNFK SPAR_L03010 MERTNTTTFKFFSLGGSNEVGRSCHILQYKGKTVMLDAGIHPAY QGLASLPFYDEFDLSKIDILLISHFHLDHAASLPYVMQRTNFQGRVFMTHPTKAIYRW LLRDFVRVTSIGSSSSSMGAKDEGLFSDEDLVDSFDKIETVDYHSTVDVNGIKFTAFH AGHVLGAAMFQIEIAGLRVLFTGDYSREVDRHLNSAEVPPLSSNVLIVESTFGTATHE PRLNRERKLTQLIHSTVIRGGRVLLPVFALGRAQEIMLILDEYWSQHADELGGGQVPI FYASNLAKKCMSVFQTYVNMMNDDIRKKFRDSQTNPFIFKNISYLRNLEDFQDFGPSV MLASPGMLQSGLSRDLLERWCPEDKNLVLITGYSIEGTMAKFIMLEPDTIPSINNPEV TIPRRCQVEEISFAAHVDFQENLEFIEKISAPNIILVHGEANPMGRLKSALLSNFASL KGTENEVHVFNPRNCVEVDLEFQGVKVAKAVGNIVNEIYKEESVEVKEEIAAKIEPIK EEKEGDLDSQTRKDLADVEEHKDIVVSGILVSDDKNFELDFLSLSDLREHHPDLSTTI LRERQSVRVNCKKELIYWHILQMFGEAEVLQDDDKVTNQEPKVKQEGAKDQTNSGKLV LQIMGDIKLTIFNTLAVVEWTQDLINDTVADSIIAILMNVDSAPASIKLSSHSCDDHD HNNVQPYARDKIDDEVARVKQISRLFKEQFGDCFTLFLNKDEYASNKEDTINGVITIG KNTAKVDFNNMKILECNSNPLKGRVESLLNIGGNLVTPLC SPAR_L03020 MGRPRKNVSQEKIQQLKRELELAGNRTDVLLQDKKGRSRSCLLC RRRKQRCDHKLPSCTACLKAGIKCVQPSKYSSSTSNNNTTSNTPTAGTVTPSPYPTIK RELQDSSIGAGAATSLNDITIIKPISASNNNVDTGDANEFRKTIKPVRTSNPNQMRQD KDQYTIFLEKKLKSLETLLDLPPECNQYKYELSQYKKVSHLFGNNASDYSRPNSSNMV ILPLPSPANKSLENTNNNGGNVNSATNDTSASTNNINNNHAICQSASLLNDPLETLDF TKCIFAKYNLKKEFLMYDPIFELNEKLSRSFLDTFFTRLQFKYPILDEQEIYTFYDHY LHNKILIPPSSPSSSSTAPPSNSHSYSEIEFHFLSGRMWLVFSISAYLLMTTGKYKGF PPHRYFSTAIRHITKCGLHLNYVQQIELLTLLVLYIIRTDRDSLILYDIIKDVMGISK KKLHLNQWYPNDPFANKKLRLFWCVYLLERMICVAVGKPYTIKESEINLPLFNNDSFY TKGVRTAAPSTNDHGVQFINQSLKLRRIESQFVETLQLLKNDSRSVKQSIDQLPLVRK FFEDLEVWRKSYSTLDVKNFENETLKLYYYRSVRLLIQPYLEFFAPEDRLFRECQAAA GQICQLYKIFHQKTLNGHSTPAVHTVFVAGVTLIYCMWLARNFDDQRRKKLGDASKHT RPLISASLFSTMDDLRACSVCLYVMTERSNFARTFRDTFDQLMNATVGNLIERCGPDS SELIFMASSVNRSSEPKNINDKANKAISSADTLNDSSPAAAANRSNSGDRNISHNGGM PPAVARIFGKGQAEEHAGFVENSQVDLAEQEKFKKKQGVLEKTSVPKSLAHLLTKMDD KSHISNSSMSYTTSSSSSSSSSSSSSTLSFPSSHEKNLKINVSNDNNSMNKNSNSNHN NDHNNNNKSNSRNANNNSCDNRNDSQYVRNNNVAMETDPERSIQDQYIVKKPTNQTEF DWQVFQQQAFLQQQLAQHNLQAYLSSLNTDTMTNRSPSKSSSISTASSHSDPIPITLT QTPTPYPQTSNMLSQQHASQPLPPQQPQLQREQQQQHITSPQRYSENNFTNQLNSSII NNNPLQSAIFSNPSNENKQLRHVEEPNFNTSPIRTDYSDNIISSIPASFTSNSIPVSV KQARNGSSSGDILFSNGAHDMINNISTWTNNSVLDALNSKSILQTVFSQSQDPSSLSM DNQQQQQQQQPSEMCSENSVATNNFQQAQNDPSYNRNLFMMSNQEGVRYNLDETEKNR PKTQVETNAGANLHFDNVIPTVANTGIRKKRSNWDNMMKSGPVEDFWTINDDYGFLT SPAR_L03030 MMRGSSKRSISSTVALLIKKNKLPPRPKFTPEMETQCTEKFLHG GRGPGGQKINKCNSKVQLRHEPTGIVVECQETRSREQNRKLARLKLARELAESCDAIP SREDALLQWHRQQKRSQRRRSIAKYEQREEAARVQKEEREIQDREMLRELFRR SPAR_L03040 MLRFMHALPLRCFKTRIRQRGLLSCLRCFNSYSRPLLQKSMSLK NIQLSDLSSSPLSKNKEKQEKQEKGNEGKHPIGLLDRFSENFITQGNGLKPTTAQNQL DTIKFYQMLRERGNFSDEQCKIIIALLLQLLNDEFYSCYNDLFLRDMELNKQSHLFSS LETELKFAIQNSRDTQLNEHHLQLLKLKRELNSIHDELNEIIIDSLQKDAKLEFNNQK LENTLLYRQINLKLNGCSNKIQTKILGDIRSHIENLRWQTTRSGLLIILVLVCSIMIG VSASKKERSVLQEYGENEILPQEQDIDAVPSQDQLEIE SPAR_L03050 MSKEIRQNEKISYRVEGPFFIIHLANPDNLNALEGEDYIYLGEL LELADRNSDVYFTVLQSSGRFFSSGADFKGIAKAQGDDSIKYASETSKWVSNFVARNV YVTDAFIKHSKILICCLNGPAIGLSAALVALCDIVYSMNDKVYLLYPFANLGLITEGG TTISLPLKFGINTTYERLMFNKPFKYDIMCEKGFVSKNFNMPSSNTEAFNAEVVKELS EKVKGLYLPSCLGMKKLLKSNHIDAFNKTNSVEVNESLKYWVDGEPLRRFSQLGSKQR KHRL SPAR_L03060 MSDMESLGEAAGLFEEPEDFLPPPPKPHFAEYKRSHITKESKSD VKDIKLRLVGTSPLWGHLLWNAGIYTANHLDSHPELIKGRTVLELGAAAALPSVICAL NGAQMVVSTDYPDPDLMENIDYNIKSNVPEVFNNVSTEGYIWGNDYSSLLAHIKKIDS NNGKFDLIILSDLVFNHTEHHKLLQTTKDLLADKGKALVVFSPHRPKLLEKDLEFFQL AKDEFHLVPQLIEMVNWKPMFDEDEETIEIRSRVYAYYLTHED SPAR_L03070 MSLLYAILLFTQFFLLPTDAFDSSANTNIAVYWGQNSAGTQESL ATYCQSSNADIFLLSFLNQFPTLGLNFANACSDTFSDGLLHCTQIAEDIETCQSLGKK VLLSLGGASGSYLFSDDSQAETFAQTLWDTFGEGTGASERPFDSAVVDGFDFDIENNN EVGYSALAAKLRTLFAEGTKQYYLSAAPQCPYPDASVGDLLENADIDFAFIQFYNNYC SVSGQFNWDTWLTYAQTVSPNKNIKLFLGLPGSASAAGSGYISDTSLLESTIADITSS SSFGGIALWDASQAFSNQLNGEPYVEILKNLLTSANQATTTSVATSKTSATLTSSTFT SQKKTTQSTKTTQSKSKVTLSPTTGSADKTSTTQTEKASSSSTKAKSSPATTTTKSTS NPVAITSMKTTLSPQITSATSVTPQTTIISTVSPAAPQTSTTSTLSPTTKSPTTVSLQ TTSTSTLSPTTASTSSDSAARTLAKELNAQYAAGQLNGKSTCSEGEIACSSDGKFAIC DHNAWVYMECASGTTCYAYDSGDSVYTQCNFSYLESNYF SPAR_L03080 MSTGSNDVKDDVKLLQLLNSIEEQFLTPYKKPEDLRNISSTTKL KDSTPIKELDKLASVLKAHCTKIGIVCKPGTFDSNHKVVFTEIQNFSRPLFYLLSLFP LFYSDKNCPKYFADQLDESALQLLDGLRDFIAELQERLKNDENASLDKERLTSVGKVF NACDSLSTCSRAGPHGILATILKDNMAIMDDTLNEIKEWLEEPDFSTNSDDVFLNFED SESETEAEKEEFDQEKVYESIKSFFDGFTRKIKLIRLLVSTFRKTLVSKDFIPKKNQA DTLDSLHISLKEIQLLLDEVVSTVQFEPKNFSTEEVKEEQAALAVVIKKVLALMNKLY EGDTKRKKWVEIWEIKFNELP SPAR_L03090 MKLKLIVNGCEAPDDYKLLRTTINTVASLRKTAILRFNSERLTI ISTPKSSLNSSNNGTILRGDTGQLWCTIPQDVFRLYTVISARELNTITMECNCDSLLS VFKRYDRVMNQGSSSNMTIKLQSMPEWNTNNGTLSGGTAGGVDTTSKPNPICALGITF EEIVHTSGPNDAIVMNGGVDEHNGLPTTVGTGNLLATNKVIMHSFKVPVKLLFRAQDT RIQEPMINYIQLMMYKLPPISGEFGSAFHGFIRRVERYSNVNHIHLMGVKKKEHGNEG DDVELKIIVNELDWHLEICWNGPLDSVIQHQEGNSDNLSQNHNIDTNGQQDEGSLPIV EADKPMSSLYTNTRDREMEENIRYDEDLLRIEDSSVADTRNIYTADTSDDTGFNEVSV MVEKAEQESSSTHEVIIRCKDWKVCSKLYAAFEEVVLAISHDESCVFHCSLDRGSLED SEDVEKPRERGQIIYYIARSKGL SPAR_L03100 MLKFRIKPARQIRYYKRHAYFLRYNHTTAPAQKLQEQIEQIPIE NYRNFSIVAHVDHGKSTLSDRLLEITHVIDPSARNKQVLDKLEVERERGITIKAQTCS MFYKDKRTGENYLLHLIDTPGHVDFRGEVSRSYASCGGAILLVDASQGIQAQTVANFY LAFSLGLKLIPVINKVDLNFTDVKQVKDQIVNNFELPEEDIIGVSAKTGLNVKEQLLP AIIDRIPPPTGRSDKPFRALLVDSWYDAYLGAVLLVNIVDGSVRKNDKVICAQTKEKY EVKDIGIMYPDRTPTGTLKTGQVGYLVLGMKDSKEAKIGDTIMHLSKENETEILPGFE EQKPMVFVGAFPADGIEFKAMDDDMSRLVLNDRSVTLERETSNALGQGWRLGFLGSLH ASVFRERLEKEYGSKLIITQPTVPYLVEFTDGTKKLITNPDEFPDGATKRVNVAAFHE PFIEAVMTLPQEYLGNVIRLCDSNRGEQIDITYLNTNGQVMLKYYLPLSHLVDDFFGK LKSVSRGFASLDYEDAGYRTSDVVKLQLLVNGNAIDALSRVLHKSEVERVGREWVKKF KEYVKSQLYEVVIQARANNKIIARETIKARRKDVLQKLHASDVSRRKKLLAKQKEGKK HMKTVGNIQINQEAYQAFLRR SPAR_L03110 MIPKLIVFGGNGFLGKRICQEAVTAGYQVVSVSRSGKAPQSNEL NDKQWMREVQWAAADIFKPDSYHELLKDASNVVHSLGILLENENYKQTLSKTPTNDSK SYLLSFGAGPNPLKKSSPYFTYEMMNKQSAIILADTFKQEILKKSKKEQTKANERSFT YISADKGFPMIPSGYINSKREAEIELEKMQKYFRPVIMRPGFMFDERRNAIGPRSFIH TALELLYCGNKFLLQNKLQFMNDLIRPTVSTQQVSKSVLTKIKNPDFKGVVTLEEILK T SPAR_L03120 MSSQAFSSVHPNAAASDVNVTIDTFVAKLKRRQVQGSYAIALET LQLLMRFISAARWNHVNDLIEQIRDLGNSLEKAHPTAFSCGNVIRRILAVLRDEVEED TMSATVTSTSVAEPLISSMFNLLQKPEQPHQNRKNNSGSSNMKTKTDYRQVAIQGIKD LIDEIKNIDEGIQQIAIDLIHDHEILLTPTPDSKTVLKFLITARERSNRTFTVLVTEG FPNNTKNAHEFAKKLAQHNIETLVVPDSAVFALMSRVGKVIIGTKAVFVNGGTISSNS GVSSVCECAREFRTPVFAVAGLYKLSPLYPFDVEKFVEFGGSQRILPRMDPRKRLDTV NQITDYVPPENIDIYITNVGGFNPSFIYRIAWDNYKQVDVHLDKKKV SPAR_L03130 MVTLEYNTNSKQITASDAVVAQSTETNIDQINVLTTSLIGETNP NFTPQPNEALSKMIKGLFESGMKNLQQKKLNEALKNVSLAIEMAQRKRAPWEAFAIQL PELHFMLRSKIDLCLILGKHLEALQDLDFLLGTGLIQPDVFVRKADCLLKLKQWEEAR ATCERGLALAPEDMKLRALLIETARNLAEYNGE SPAR_L03140 MSAPAANSEVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIAT IGVEVHPLSFYTNFGEIKFDVWDTAGQEKFGGLRDGYYINAQCAIIMFDVTSRITYKN VPNWHRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFE KPFLWLARKLAGNPQLEFVASPALAPPEVQVDEQLMQQYQQEMEQATALPLPDEDDAD L SPAR_L03150 MFPVASRRILLNASVLPMRLCNRNFATTRISYNVIQDLYLRELK ETKLAPTTLQDAEGNVKPWNPPKKPSLPQLELQGPDALKAYTEQNVETAHVAKESAEG ESEPIEDDWLVLDDAEETKESH SPAR_L03160 MVDGDFLDEQSNVALLSSKSMCDGHHSVKSSIGDEIFKLLTKIL NSDEKASGDVHTLVSGSTDSSNFYLDNEPLENILAVFIISFIIVVVGVLLLGLIGMIF ISFRSNNNNDKKLQFNDEEKQATTENP SPAR_L03170 MTRYYCEYCHSYLTHDTLSVRKSHLVGKNHLRITADYYRNKARD ISNRHNHKRRHIGKKGRKEGEKSSENERLKVTCLSNKEKRRNLHVKKMNQKELAQTSI NTLQSLYDGSPGYSKVFVDANRFDIGDLVKASKLPQRANEKSAQHSLKQTSRSRDETC ESNPFPRLTNPKKFEPPKILSQWSNTIPKTSIFYSTDILQTTIKESKKRMHPDGMRKP LSTNVFKRRRYGN SPAR_L03180 MLLRNTKIPKTLNTCFILHIFILLTLGMLVSGMPSKMVSFASQD ALQRINNLLRGSANRDVDIFAVYSNEDNGDDGSDKDHHNIDIDPLPRRPSLTPDRQLL KVGLHGAISSDLEICSNLTINEVLLKFPGSNAADAAVTQALCKGMVNFFNSGIGGGGY VVFSGKSDEDHLSIDFREKAPMGSHKFMFENCSLCSKIGGLAVGVPGELMGLYRLYKE RGSGQVDWHDLIQPVAKLGSTGWRIGEALGATLKLYEDVFLTLKEDWLFVLNSTQDGV LKEGDWIKRPALSNMLMELAKNGSVAPFYDPDHWIAKSMVDTVAKYNGIMDLQDVSSY DVHVTKPLSMKIRKGANFIPDNDMTVLTSSGSSSGAALLAALRIMDNFQNQEGGDYER ETSYHLLESMKWMASARSRLGDFQGETLPKHIKEVLDPEWALKAVKSIRNNSQDGKFK TLENWTLYDPAYDMNNPHGTAHFSIVDSHGNAVSLTTTVNLLFGSLVHDPKTGVIFNN EMDDFAQFNKSNSFELAPSIYNFPEPGKRPLSSTAPTIVLSELGIPDLVVGASGGSRI TTSVLQTIVRTYWYKMPILETIAYPRIHHQLLPDRIEVESFPMIGKAALRTLKEMGYT MKEVFPKSVVNAVRNVRGEWHAVSDYWRKRGISSVY SPAR_L03190 MLSLKTLLCTLLTVSSVLATPVPARDPSSIQFVHEENKKRYYDY DHGSLGEPIRGVNIGGWLVLEPYITPSLFEAFRTNGDNDEGIPVDEYHYCQYLGKDLA KSRLQSHWSTFYQEQDFANIASQGFNLVRIPIGYWAFQTLDDDPYVSGLQESYLDQAI GWARNNSLKVWVDLHGAAGSQNGFDNSGLRDSYKFLEDSNLAVTTNVLNYILKKYSAE EYLDTVIGIELINEPLGPVLDMDKMKNDYLAPAYEYLRNNIKSDQVIIIHDAFEPYNY WDDFMTENDGYWGVTIDHHHYQVFASDQLQRTMDERIKVACEWGTGVLNESHWTVCGE FAAAMTDCTKWVNSVGFGARYDGSWVNGDQTSSYIGSCANNDDIAYWSDERKENTRRF VEAQLDAFEMRGGWIIWCYKTESSLEWDAQRLMYNGLFPQPLTDRKYPNQCSTISN SPAR_L03200 MPALLKRLLFQVGPHPNERTFTLSSASTDGHYISLRPFVKPSDS EIAFPFEWAFAGTNETVKVNDQGDGIVTQDFNFWLDTNVYLNVPNTHRGEVNTRWKNW DSGCVEETGAVYPFGADKESVSFRELWQPVDPSREDLVIVSPNNEKFSSDAKSIVLKV VDEAYDGLVIVIGRWIQGFLSKKNENTIEGLNFIRLLEKDSGKFESLLSYGKEVKRIP QSYENLKKGSTVTSEGLKWEVIEYHA SPAR_L03210 MPSHFDTVQLHAGQENAGDNAHRSRAVPIYATTSYVFENSKHGS QLFGLEVPGYVYSRFQNPTSNVLEERIAALEGGAAALAVSSGQAAQTLAIQGLAHTGD NIVSTSYLYGGTYNQFKISFKRFGIEARFVEGDDPEEFEKVFDERTKAVYLETIGNPK YNVPDFEKIVAIAHKHGIPVVVDNTFGAGGYFCQPIKYGADIVTHSATKWIGGHGTTI GGIIVDSGNFPWKDYPEKFPQFSQPAEGYHGTIYNEAYGSLAYIVHVRTELLRDLGPL MNPFASFLLLQGVETLSLRAERHGENALKLAKWLEQSPYVSWVSYPGLASHSHHENAK KYLSNGFGGVLSFGVKDLPNADKETDPFKLSGAQVVDNLKLASNLANVGDAKTLVIAP YFTTHKQLNDKEKLASGVTKDLIRVSVGIEFIDDIIADFQQSFETVFAGQKP SPAR_L03220 MLSARSAIKRPIARGLATVSNLTRDSKVNQNLLEDHSFINYKQN VETLDIVRKRLNRPFTYAEKILYGHLDDPHGQDIQRGISYLKLRPDRVACQDATAQMA ILQFMSAGLPQVAKPVTVHCDHLIQAQVGGEKDLKRAVDLNKEVYDFLASATAKYNMG FWKPGSGIIHQIVLENYAFPGALIIGTDSHTPNAGGLGQLAIGVGGADAVDVMAGRPW ELKAPKILGVKLTGKMNGWTSPKDIILKLAGITTVKGGTGKIVEYFGDGVDTFSATGM GTICNMGAEIGATTSVFPFNKSMIEYLEATGRGKIADFAKLYHKDLLSADKDAEYDEV VEIDLNTLEPYINGPFTPDLATPVSKMKDVAVANNWPLDVRVGLIGSCTNSSYEDMSR SASIVKDAAAHGLKSKTIFTVTPGSEQIRATIERDGQLETFKEFGGIVLANACGPCIG QWDRRDIKKGDKNTIVSSYNRNFTSRNDGNPQTHAFVASPELVTAFAIAGDLRFNPLT DKLKDKDGNEFMLKPPHGDGLPQRGYDAGENTYQAPPADRSTVEVKVSPTSDRLQLLT PFKPWDGKDAKDMPILIKAVGKTTTDHISMAGPWLKYRGHLENISNNYMIGAINAENK KANCVKNVYTGEYKGVPDTARDYRDQGIKWVVIGDENFGEGSSREHAALEPRFLGGFA IITKSFARIHETNLKKQGLLPLNFKNPADYDKINPDDRIDILGLADLAPGKPVTMRVH PKNGKPWDAVLTHTFNDEQIEWFKYGSALNKIKADEKK SPAR_L03230 MRFTRGLKASSSLRAKAIGRLAKLSTGALNDQNNNGTTLDLITH TLPIFYSTNTSKIYTIPLTLSEWEVLTSLCTAIPTTLDLVETMLKEIITPYFLETPRQ RISDVLSSKFKLKQMRNPIELLTFQLTKFMIQACEQYPVLYETIGDIISIYFERVLKV FTIKQSGLLSLVGFINAFIRFPNSSELTKFTWKKLAKLVLHGSFLNEVDKILNSSATF TNDSIVQYYDAGNELSGAYLLELISRLQVSLISHLLRTSNVIANLSEFLLSQQYQFYK FDQEPADVNDDTKCIDEFFFNVRSNKQFFTDMCKLSLQFCSESHILDLSTDNRARFSF DTRAYYLQTLCLIPFIEDTESELFESFTNVVSESIDKFFLSDVVTPSLTKAIVASASL LNFFTEKLSLTLIRMFPLLVASPHITTETVNDVAKIFTTGLYPLNEDAIVSTIYSMNN LLAVSEDGSPVPVLRERQLTITSGKNIERDYFPPRNSSSSLNGTGALFGNTTVGQLCS HDVNSGTTMTYHASLISNCVAATTTIASYYNTQSITALTISILTQKVNSMSKEFDSVI LDSLARLAPNTSSTEFSLLLKFFKSRTVFATKINDSTLLKDIIKAKCVISKELLAGHF SSDLYFMYLHDLLDSIIASGEVERLEHHRPQTEISRVADQIATYLEPLAALLPVPGDT PLDINKDEVTTNKFRNAWFNFVIHGYHLGGPIVKQNFSSLLTIAYNSPPLASEFPANN KELSLEMNTILRRGSSNENIKQQKQQITEYFNTNIVQYRTTSSSKIMFLAAAALLETI RCEAGDCSKTLLYFSDPSILSGSIEKCIAVLSVSMIRKYARLIQKGNDAIFNSKMIAQ QLNNLLLCLCHREPTLQDAAFHACEIFIRSIPSSLCHHLSLYTLLDMLTALFDSILDS EAHKFEPRYEFKLKHSQTTILVPSSSSWRTATLSRLHKSAKEWVRIVLNRSNQDTKIL LQSYISDLGEYSRLNSVEFGVSFAMDMAGLILPADKELSRLTYYGPEKPNTISGFISL HSWRSKYLFDTAITSSPEDIKRQIGISTQNIRKNLTLGNKIITKDVTDFLDMATALLI LGNGAPASLIYDIVHIPFEVFTSASLKIATNVWLTIITEKPEVAHLLLVEVCYCWMRS IDDNIGLYSRDHDIKGEEYQKMEYSPYDKAGINRDAKNASQAMQPHLHVIKFFASHFE GTLFQSDSLLKIFTKCVLYGIKNLYKASLHPFARMIRHELLLFATLVLNASYKQGSKY MGRLSQEITNGALSWFKRPVAWPFGSNELKIKADLSVTRDLFLQLNKLSSLMSRHCGK DYKILNYFLASEIQQIQTWLTPTEKIEGADSNELTGDIVEATFAKDPILAINLLQRCY SKKGEDVLVGLVAKHALMCVGSPNALDLFIKGSHLSSKKDIHATLYWTPVSPLKSINL FLPEWQGNSFILQFSIYSLESQDVNLSFFYVPQIVQCLRYDKTGYVERLILDTAKISV LFSHQIIWNMLANCYKDDEGIQEDEIKPTLDRIRERMVSSFSQTHRDFYEREFEFFDE VTGISGKLKPYIKKSKAEKKHKIDEEMSKIEVKPDVYLPSNPDGVVIDIDRKSGKPLQ SHAKAPFMATFKIKKEVQDPLTGKSREVEKWQAAIFKVGDDCRQDVLALQLISLFRTI WSSIGLDVYVFPYRVTATAPGCGVIDVLPNSVSRDMLGREAVNGLYEYFTSKFGNEST IEFQNARNNFVKSLAGYSVISYLLQFKDRHNGNIMYDDQGHCLHIDFGFIFDIVPGGI KFEAVPFKLTKEMVKVMGGSPQTPAYLDFEELCIKAYLAARPHVEAIIECVSPMLGSG LPCFKGHKTIKNLRARFQPQKTDHEAALYMKALIRKSYESIFTKGYDEFQRLTNGIPY SPAR_L03240 MLKLRQLQKKMQKENENSTPVQPHFSAARIRLKRDLDSLDLPPT VTLNVITSPDSTDRTQSPKLEIIVSPDEGYYNHGSINFNLDFNEVYPIEPPKVACLKK IFHPNIDLKGNVCLNILREDWSPALDLQSIITGLLFLFLEPNPNDPLNNDAAKLLCEG EKEFAEAVRLAMSGGSVDHVKYDDIVSHEVKPSVIFG SPAR_L03250 MKIFSTIQSALLAGYFLKQGNCLASNGSTALMGEEDMQTPFPEW LTEFTNLTQWPGLDPPYIPLDYINLTEVPELDRYYPGQCPKISREQCSFDCYNCVDVD DVTSCFKLSQTFDDGPAPATEALLKKLRQRTTFFVLGINTVNYPDIYEHILERGHLIG THTWSHEFLPSLSNEEIVAQLEWSIWAMNATGKHFPKYFRPPYGAIDNRVRAIVKQFG LTVVLWDLDTFDWKLITNDQFRTEEEIFKDIHTWKEQQKGLILEHDGARRTVDVAIKI NELIGSDQLTIAECIGDTDYIERYD SPAR_L03260 MSLRNISMITKNLQTTAKCFVPKSSPTSTTIPVIRDASTTQSRR ITTVVNITSLKGYSPVSKTVHDKPIVICTDNEEVETVSEHVKV SPAR_L03270 MRIQLSRIDLQCVIALSCLGQFVQAEANRRELKQIDFQFPVLER AATKTPFPEWLSAFTGLKEWPGLDPPYIPLDFIDFSQIPDYKEYDQNHCGAVPRESCS FDCHHCTEHDDVYTCSKLSQTFDDGPSASTTKLLDQLKHNSTFFNLGINIVQHPDIYH RIQKEGHLIGSHTWSHVYLPNVTNEKIIAQIEWSIWAMNATGNHTPKWFRPPYGGIDN RVRAITRQFGLQAVLWDHDTFDWSLLLNDSAITEQEILQNVINWNKSGTGLILEHDST EKTVDLAIKINKLIGDDQSTVSHCVGGIDYIKEFLS SPAR_L03280 MFKQLSQIGKNLTDELAKGLADDMNPTSSEQQIEDDKSGLPKEV QAKLRKFEKYEQKYPLLLSAYKNEKLKSEKFEAVEKILAENTPISNIDDAVDTLPAFF QDLNNKNNLLNDEIKRLTKENSEVVPESTSSETLKGKEEEFLRKEQDYKNEIDSLKNK MQALDIEMDTLQKEKNDTVSGLREKIAELENMLKEEREAKKQKEEISISELKEELGIK EHSLKDSQMKITELEQNLASNNNIIEEKSSKLAELDIFLKEKERKISVLEKKMKEPPK AMISNQNVGNNNRRKKNRNKGKKNKGNVTVGDVSEEETVDNLINTEEYDKLKENMEAL QEKHKDCEDWKHKYEEVETELKNVKELENSRLEKSAKEQETLNFELIETKKLLKEKNL ELEEVRDMLRTVGNELVDAKDEIKESSSKQNEEVKAVKLELDDLRHKNATMIEAYEAK NTELKNRIESLSKKVEHLNNLCTEKEKEQTTLKNKVAKLNEEISQLTSEKSNITKELA SLRTSYKQKEKTVGYLEEQVKQFSEQKDAAEKSTEQLRSDHAKISNRLDLLKKENETL HNDIAKNSNSYEAYLKENGKLSERLNILQEKYNTLQNVKSNSNEHIDSIKRQCEELNV KLKESTKKILSLEDELNEYANIVQDKTREANTLRRLVSDSQVDDSSKQKELENKLAYL TDEKSKLEAELNLQTSRKASEVQEWKHTAAELKSEIHALKLREKELKSEVEALNHANN DIKRKTQATSDDSDQLEQITSNLKLTLSKADEKNSELQSTNEKLLNLNNELNKKFDRL LKNYRSLSSQLNAIKERHHSENSGRVSRSGSLGSLANANINSSSASNSNLTKLENIRS SSPLELDSEKNEKIAYIKNVLLGFLEHKEQRNQLLPVISMLLQLDSTDEKRLVMSLK SPAR_L03290 MSDTNTSIPNTNSTRESGNASQTPSISSSSNTSTTTNTDPSSAS LSSSPSTGELTSIRPIGIVVAAYDFNYPIKKDSSSQLLSVQQGETIYILNKNSSGWWD GLVIDDSNGKVNRGWFPQNFGRPLRDSHLRKHSHPMKKYSSSKSSRRSSLNSLGNSAY LHVPRNSSKSRRGSSTLSASLANAHNVETGSGHNNVVSMNNSTFSAPNDASHVTPGSS NFNSNTSLSEEMTKSADGPTETGTNTAMNIGDTNMQTSGEKADPPPIPEETIKILPLE EIEMIINGIHSNIASTWSPIPLITKTSDYKLVYYNKELDIYCSELPLISNSIMESNDI CDSEPNFPPNDHLINLYTRDLRKNASIEDNSTRSKQLESEQNRSSPLIEKQDWKGNDG NNNNNNDDDDNDENNKNEFNEAGPSSLNSLSAPDLTQNIQSRVSPNRSSILAKSDIFY HYSRDIKLWSELQDLTVYYAKTAHKMFLKKNRLNFTKYFDLISDSIVFTQLGCRLMQH EIKAKSCSKEIKKIFKGLISSLSRISINSHLYFDSAFHRKKMDTINDKENDNQDNNGS RIDGDDGKIEVDNVHDLVSVPLSGKRNVSTSTTDTLTPMRSSFSTVNENDMENFSLSG PRNSINSVATPRTSLQNSTLEDFSPSNKNYKSVKSTYEMVDVEFSKFLRHVQLLYFVL QSSVFSDDNTLPQLLPRFFKGSFSGGSWTNPFSTFITDEYGNASKNKAVPSNEVTASS SKNSSISRIPPKMADAIASASGYSANSETNSQADLKASSAASGSIFTPFNRPSHNRTF SRARVSKRKKKYPLTVDTLNTMKKKSSQIFEKLNNATGEHLKIISKPKSRIRNLEINS STYEQINQNVLLLEILENLDLSIFINLKNLIKTPSILLDLESEEFLVHAMSSVSSVLT EFFDIKQAFHDIVIRLIMTTQQTTLEDPYLFSSMRSNLPVGHHEPFKNIANTPLVKGP FHKKNDQLALSLFHVLVSQDVEFNNLEFLNNSDDFKDACEKYVEISNLACIIVDQLIE ERENLLNYAARMMKNNLTAELLKGEQEKWFDIYSEDYSDEDSENDEAVIDDELGSEEY IERKAANIEKNLPWFLTSDYESSLVYDSRGKIRGGTKEALIEHLTSHELVDAAFNVTM LITFRSILTAREFFYALIYRYNLYPPEGLSYDDYNIWIEKKSNPIKCRVVNIMRTFLT QYWTRNYYEPGIPLILNFAKMVVSEKIPGAEDLLQKINEKLINENEKETMDPKQQDSV SVVVPTTKRENKSPIHMSSSSLPSSASSAFFRLKKLKLLDIDPYTYATQLTVLEHDLY LRITMFECLDRAWGTKYCNMGGSPNITKFIANANTLTNFVSHTIVKQTDVKTRSKLTQ YFVTVAQHCKELNNFSSMTAIVSALYSSPIYRLKKTWDLVSTESKDLLKNLNNLMDSK RNFVKYRELLRSVTDVACVPFFGVYLSDLTFTYVGNPDFLHNSTNIINFSKRTKIANI VEEIISFKRFHYKLKRLDDIQTVIEASLENVPHIEKQYQLSLQVEPRSANTKGSAHSS SASGTKTAKFLSEFTDDKNGNFLKLGKKKPPSRLFR SPAR_L03300 MSEEDDHWNVVRLRRLRKGREEEEESSKSEISLDSLHESSFAGE EDEDFDADVLSNTSSEESAQMNRIYDFRTSDGFSNAGANTDETRGPTISESFDTLSGS KIGGKVLPSLEGSKLKDSMIRNSSPRMDHITDKGKSKSVKLKMWHVIMLSSLISMTFS YLALEYSLTGDMLAGFKSQQSLRNNERKLLYDNIDFVDKKSHDSTSDSLSQWAPSGKY YVDFDNHIAYPLKDDDLMGWKRYKTDLVILWYTTKARMKDGWHKRINKINGGRIKLHA FLKNAFRSTQENLRILHKEQKIRWKRLFMLFHDKYKQFSPHVGRFFDHSCKKTKQYWS RSKLQIRKLRFDSIKPFRIFQFKVRKDTNWFIKQLKQFGLKFRYSRIYKAMSECKKKS YSKCKH SPAR_L03310 MLWEALENLHTVRTRARDKTVTVGGKCRVGKLGNTQNSMMLISR SLRRPIAALNCNLQSVRTVIYLHKGPRINGLRRDPESYLRNPSGVLFTEVQEKECQDK VRSILQLPKYGIDLSNELILQCLTHKSFAHGSKPYNEKLNLLGAQFLKLQTCIHSLKN GSPVESRENGQLSLQFSNLGTKFAKELTSKNTACAFIKSHNLSPFIFWKMRDPIKDGH INGETTIFASVLNAFIGAILSTNGSEKASEFIKGSLLDKEDLHSLVSIANENVASAKT RISDKEKTAPL SPAR_L03320 MTCSELTNDQIIDLISNYKTFKRIIEASLPEDDRRRNLNSRNND KNLSKLSNVQFWELATDVNNELMKRLTNSNMDVSQNDLDLKRGKAQSKLSRLNDIKFH KLIFDIFTEIEKRNLHHLDVMTHANTLDKGELNFYLNDSLFESVNINDDFMSVDGIIS IEAFRELRTHFAVYFQNTLQRTHPVDATAKRLPVLLETVIKIAKLIGDLLPLLSSVSL HDSLENEIVYLKSALSHAITSTRYSLAYEDLIPRIVTQSSISEVIFAFCNIAQIVKIK PTSSSHGALSNERELSDTETDMKPLKIIEKVKNEKKGKNKASMGNNTGSPVSISSSDK VIMEKLDIAAIEASPPHSIIEKSNSPVGKSTLARNNASGERDPGFVSLVKNTTDTPRA TTEPSLRDSLALKVPSNLSSSSSQSRALSLIGKFRQDYQSSPPKKATNKPAVGTAKPY TNIPPTVDVLRSPVVAKMRRFREKVQKFGPNSGLGLRISTSEEDVKNSNVKLIAHNAS INNLVEFVEIKAKIVLPLAQEILNDIQACKSKAFKTSGSIPSLCQDSIKIIPILESLV DMTAKVIVQKDFKRDFSRHSEEIVEGLTDCSQRLSELCTNECNSTNFSSKRFYQKLAE ILLDITKRTKKLVKCVEMANEQTLS SPAR_L03330 MSLKEEQVSIKQDPEQEERQHDQFNDVQIKQEPQDHDGVDSQYT NGTQNDDNETFDAAESGVKAESSLGMGITSSQSEKGQVLPDQPEVKFIRRQINGYVGF ANLPKQWHRRSIKNGFSFNLLCVGSNGIGKTTLMKTLFNSDDIEANLVKDREEELTND QEEEEGHENQAQEQKHKVKIKSYESVIEENGVKLNLNVVDTEGFGDFLNNDQESWNPI IKEIDSRFDQYLDAENKINRHSINDKRIHACLYFIEPTGHYLKPLDLKFMQSVYEKCN LIPVIAKSDILTDEEILSFKKTIMNQLIQSNIELFKPPIYSNDDVENSHLSERLFTSL PYAVIGSNDLVENYNGNQVRGRSYPWGVIEVDNDNHSDFNLLKNLLIKQFMEELKERT SKILYENYRSSKLAKLGIKQDNSVFKEFDPISKQQEEKTLHEAKLAKLEIEMKTVFQQ KVSEKEKKLQKSETELFARHKEMKEKLTKQLKALEDKKKQLELSINSASPNVNHSPVP TKKKGFLR SPAR_L03340 MNSEQLLHHYVSDSLLTALVSFQEFKQLLRSYTNDEQQLRRWYN SLQARDAQVASDLQARIKQFFIALRSRLLRFLESDQMSHSLSLETLIDGLYKINDLLQ QRLQILDDAIHEKILELAQFENMVRSPTAGDNAIPGLLQIIQSYINLLEEN SPAR_L03350 MDNKLKSIWIGAKKYQLRDEIKVPEFAPSTKELNNVWSVKYWPL IWNGNPNDQILNDYKIDMLEVRNELSHVSTLSVNMAAAGKQFPVVSVFVDPSRKKDKV VVEDGRNCESSLPIDHSVMVGIRTVGERLREGVDEESNAYLCLDYDVYLTHEPCSMCS MALIHSRVKRVVFLTEMQRTGSLKITSGDGYCMNDNKQLNSTYEAFQWIGKEYPVGQV DQDVCC SPAR_L03360 MRSLYEFTQDKLNIDLETCIIYQENFKCGQFNGLDEILTTCFIL QNSRKVALPYLPGDLSHKAVIDHCIIYLLTGEFYNNVLTFGYKIARNEDVDNSLFCHS ANVNVTLLKGVPWEMFHSLIGTNSFVDLLINYTVVQFNGQFFAQIVGNRCNEPHLPPK WAQRTSSGNTAQIKQLMGPVTNKPFLHRLKINSPSFFPYGKILPLSSSFKKLTDVRET IFPINLVKIPQRLKVRINLTLQKLLKRHKRLNYVSVLNSICSPSEETVSNLSHLSRQS PKEQVLRFIIVILQKLLPQEMFGSKKNKCKIIRNLNLLLSLPLNGYLPFDSLLKKLRL KDFRWLLVSDVSFTKQNFENLNQLVTCFISWLFRHLFPKIIQTFFYCTEISSTVTIVY FRHDIWNKLVTPFLAGYFKKYLVENNVCRNHNSYTLSNFNHSKMRIIPKKNNNEFRII AIPCGGADEEEFMIYKENHKNAVAPTQKVLEYLRNKRQTSFTKMYSPMQIADRIKEFK QSLLKKFNNVLPELYFMKFDVKSCYDSIPRMECMRILKEALKSENGFFVRSQSFFNTN TGVLKLVNVVNASRVPKPYELYIDNVRTIHLSNQDVINVVEMEIFKTALWVEDKCYLR EDGLFQGSSLSAPIVDLMYDDLLEFYGEFKTCPNQDTLILRLADDFLIISTDQQQIIN IKNLASGGFQKYNAKANEDKILAVSSHSDDDTVIQFCAMHIFVKKLEVWKHSSTMNNF NIRSSSSKRIFRSLIALFNTRISYKTVDSNLNSTTTVLMQIHHVVKNISEGYKSAFKD LSINDRESMQFSSFLLRIIEMTVDACPITKCDPLIEYEVRFAILNGFLESLSSNASKF KNNIILLRKEIQHLQPHIYT SPAR_L03370 MKMAADESASGTSKIKRTASSSSSIETTVTKLLMSTKHLLQVLT QWSKGATSGRSVSDAYVQLGNDFKVVSKFFMHAKVDMSDVGDVPMALRRVLEVTLREP PSDETLNKHLPKIREIIVTLLDKLKVKQAILKNMQQEHRISIKSHHQQNPSFTSNLSL GSESTREGTPFSSRKSSIVRDQRQSDAKENIYRERVNSTSTGTPSAQSAQATVTKPTA NSKPILKSNNASSASDDDDALSQLKKGTNLQRRASKRYSAYHMAKLTNQSTTEAAAAA GLMATPSPSMLHLEETVRKSKLYGNNDNENDSNSTSAENKGKSIDNVPRANPLAKMSL PIENSGASPRRLSSVVTTSPDKAMNGASPVFLKIGDKTKKCRIQLPTTKNALRLLFVE RFTYSPGANSFPDIYIMDPQYGVFYELEELNLHDIKEGFVIELKLQEDSNEMIKDFID TVKIEISNSQNDIIRRLKEMSFGSATNGRQTEVLPQSGLEANEHKYPGPNKKEDDKTV KDIQYELGKIKQVHNINRSHINQTIFNILQKVDKFKSLSFSAKDSSNRIYMEKSQTEL GDLSDTLLSKVDDLQDVIEIMRKDVAERRSQPAKKKLETVSKDLESAQAGLLKLQEFV DTEKPHWKKTWEAELDKVCEEQQFLTLQEELILDLKEDLGKALETFDLIKLCCEEQEK NPLRSKSNPILPIMRPGTFNQVREQVMVAVQSLNPDHDGRVEAIDKAEKMWEMERKLK ASNEFDDELENFVGNSNLKKSGGFEEIERIRKQKDEENLRAYFGPGFG SPAR_L03380 MDVDEPNPTVISDSEAADEEISVIYRPEFNEDYFWAEENVQGTS RSQKIVNEGLSLDSTAGESCPPSVIADTQVTTGLRWSLRKRKAIQKMPYSLERIKHRQ LLEGYDITSFDTISNQLILPNNTSTVTHSNNISLTKRTDKPFADQESVTIDSIGSEND SIESQRYDSDEEIPRKRHRNSKGSDENKMFQSDDSIENEQDFASTNLQDAQDDEVIFR GRVLNVKTGYRGVLPRVAWEKSLQKQQSSKVTKRKTRLLNHKGVARRKINKSTRIEDE EQNLLSDLIAPDDEQDIEEDAPPDIYLGNLSEDRKANERELKELQEYYESKYSEDEES ARTSGFNLNGGYRNEPVYELEYDGPESCLPHVSYKDQPIIYLNSQHSDSGFSEQYDIS GEDNRSVISLDAAEEHNDGIIDKMLVKAKRNKSTTDFNALNAKRKKVRRYKYKYHNSC AHPTTRAIKVGKRSAHKSHLITNRNPVSVIPKGNHVIDEYLFEPSDPQSLELDENSSI KSLKKKKKKKKTPVYPSLSTDLELRRKPVFNTVIEIPTNRYAFTKPNVRNKNNINYGM EIEEEDINHELGPIMVVLDSILLKKPFEPPNFVKIQLPGKSYSLSKLNPADIAASLRD IFRAIIDRGITDTELVHFNESLVTFLVHLDMPELFDLIGEFHREFRSKVNSLRKKAKP IHFFQIATCQLMFLEISRYNKIPAAAKLEMDLKLVDHIVSFFKLLSVCYDSVMKNSMQ YLYTSYYILSAVVDIIHKKEALWDLFQKHPFLPQISLLLVNIFPTKHCRWQVLRLDSE FQPLNSAFRFINYCIETCNWNVTNSLILSLDRIFKKRRFSDFEEEAILSQNNKIIFPP TKQPASRLIFNKYLHLLTLCELSSSDTQRVIPMGEISMNDSLSVLKNRLNLLIVLATH FDLNLEKRFQELTRPLYSKEYLDFHAPNTVRTITTLIMQASLSFLEISRDKNHPFSGK FIASLFGKLVLQQPSISGITENFLKEFIPLVSKMKRKSVSMLKLLYPSLVTMSQERVF EASSVLLLEVYLKSLDVLGPAWVQNYLLQFVKTKAQENKSWIEYYCRVGKFLVDADIF TWWTFFTYNGLDAALSFQLAFYSLIIDFCDADSFELLRKPLYSIASDLLLISKDNAFY QFLSNLLKRAHIIVTDLKPVSDENELLRLVSVFSKALKKNAYQDILSDLLSMAKKHYD EGDISKNFLTRYLKFLNKNCLTELRNNQLFISLRRELGISSDENEKCGFWDSFNEAGD MLSKAAFVETGIVQACCTGNEIDGYLENLSTLFTSAMLESPFTFFSDLVIAHIFESRP LFDMNIKNFLLCQFINLFNKVLRMRFEQVSPDEFAELCKVYRALCIEYATDDTFNRNS DLITVKDTFLASVLRIADGFWEYDRLSQLRMLDSDMNIPNQIPHSNIQRSLSAIVIKV IERNIGKIEASEPFKIFKNT SPAR_L03390 MTLQNQLIPQAYVSNFHNRLTNEDDGIPIFTMAQQTRQHKRAKV VNYAEYDNDLFDEFNMNGTNFNNSETHYKDNAVSHENTPALTNGVTMDGSEYNVLENM NSVDNIISNNKYDVGSNMVVESLSGLNSNNNAGNGPNNNKTQAQDIGNAVLPDLQDQH HNPFNILRYPKIRDTFINGKVVSPYRLNTDQETKVNANSGEAIMIPITLDIEHMGHTI KDQFLWNYNDDSISPEEFASIYCKDLDMTSGTLQTQIANVIKEQLKDLENIAATEIMS DLHVIINLTCNLQDRFFEDNFQWNLNDKSLTPERFATSIVQDLGLTREFIPLISQSLH ETILKIKKDWVDGHLIQDHVPNDAAFGYLSGIRLDIDELGSNWCPRVEILTKEEIQKR EIEKERNLRRLKRETDRLSRRGRRRLDDLETTMRM SPAR_L03400 MFRKRLVNKSSSDEKSQKKRQRINFSEEKPVASDEEKGSGDIVG LTKSGNGKKFQPPPANERELQRKDEDLDNYTLTVNDDSTKEDLLNSERKELTEKVKER RPSDGDEPVLNMSGKSTRLAKQINQPTNIRTTVLMDFQPDVCKDFKQTGYCGYGDSCK FLHSRDDFKTGWKMNQEWDVEKEDSKTVTLDLEKIPFKCTLCKEDYKSPVVTNCGHYF CGSCFAKDMKKGTKCFICHKETHGSAKVASDLQKILNKRKC SPAR_L03410 MSDNTANVYETRAKFAETLQPRIGGNTTKVIRAALEKNEAEGGV SKDNDEGSIEKVNVATSPLLTSTPPTISKALVKLYPYLILIDELLNVITWTGKNIWSS VLMLCLFITTVEYFETLVKYFGHLAIIAILWGYSLLDDHIEGTLSSSPTLEDIALLMN RVSLKSDILLSPMVNLGTQDIQRLLYTTVILSPIYVMITWLLLPPRSLMLMVGIFLLT YHSPWSKVARRLLWKFKIVRLLVFYVTGLDLGGINKDQGIFATVQKQVKKLASTENSN GMLSDSKPIRFTYVLYENQRRWLGIGWKPSMLSYERTPWTDEFLNEAPSPENFHLPEE TNSMVWRWVDKTWRLDMTNDGAIQVPNSKARTSADPSPDEGFIYYDNTWKKPSKEDSF SKYTRRRRWVRTAELVKTSDFDESVKNSNRNSEIEQNAEENSTNSLTAEQELENSKQE KDHARKVGEPTTEETKEFAEASNINEGEFERISSTDEEILKSRARDRLAKVLDDSEEK EQSNSTIGRDSKKAV SPAR_L03420 MAREITDIKQFLELTRRADVKTATVKINKKLNKAGKPFRQTKFK VRGSSSLYTLVINDAGKAKKLIQSLPPTLKVNKL SPAR_L03430 MSGFIKSTLLSLGQDYLGDQYQEFAEQHFQPTKDPFYETNKDGK KHRRRLPYYCTKDESKAWKKVQNKAWLHDKSVCGCCCWTNTVGWAPLLALLPVIGPLL MYWVHDKLIELADDRYKLPTEIKVKMHGNIVIDLLISLVPILGSVFAWLHACSTRNAA IVYNFVGKRALERKQEEIMHQTKENEKHYNVNTAGPVVSGNEKKTNINRNNGKVYNRP PAATPPAPAYTRNTNARTQRGYR SPAR_L03440 MTRTSKWTVHEAKSNPKYFTHNGNFGESPNHVKRGGYGKGNWGK PGDEINDLIDSGEIKTVFNKTRRGSNSQNNERRLSDLQQYHI SPAR_L03450 MDPTRAPDFKPPSADEELNPPPDPESKIPKSIPIIPYVLADANS SIDAPFNIKRKKKHPKHHHHHHHHHALKDGNDKKHQHIPLNQDDFQPLSAEVSSEEDD ADFRSKERSGSDSTTESETRGVQKYQIADLEEVPHGIVRQARTLEDYEFPSHRLSRKL LDPNKLPLVIVACGSFSPITYLHLRMFEMALDAISEQTRFEVIGGYYSPVSDNYQKQG LAPSYHRVRMCELACERTSSWLMVDAWESLQPSYTRTAKVLDHFNHEINIKRGGVATV TGEKIGVKIMLLAGGDLIESMGEPNVWADADLHHILGNYGCLIVERTGSDVRSFLLSH DIMYEHRRNILIIKQLIYNDISSTKVRLFIRRAMSVQYLLPNSVIRYIQEHRLYVDQT EPVKQVLGNKE SPAR_L03460 MAKDITFFTVFLESCGPVNNDKTGKLLSSWTSTYVSSFKIETAT MNETLLTIITGRFVILPGKVKIKLSFKMNNQLVTEEQEFFTKLCEIVGSSVHFWEEQL FYKVQDVSTKENCIVLNLKCTILTDAQINTFINKPRELHSHAKGYPEIYYLSELSTTV NFFSKGGNFVEISQVIPHFNEYFSSLIVSQLEFEYPMVFSMISRLRLKWQQSSLAPIS YALTNTSVLLPIMLNMIAQDKSSTTVYQILCRRRSPPIQNFQIFSLPAVKYNK SPAR_L03470 MSSVDVLLTVGKLDASLALLTTQDHHVIEFPTVLLPENVKAGSI IKMQVSQNLEEERKQRNHFKSIQAKILEKYGTHKPESPVLKIVNVTQTSCVLAWEPLK LGSAKLKSLILYRKGIRSMVIPNPFKVTTTKISGLSVDTPYEFQLKLITTSGTLWSEK IILRTHKMTDMSGITVCLGPLDSLKEISDVQISQCLSHIGARPLQRHVAIDTTHFVCN DLDNEESNEELIKAKHNNIPIVRPEWVRACEVEKRIVGVRGFYLDADQSILKNYTFPP VNEEELSHSKENEPVPEVADENKKLGDTTDLEQVASHNDDEDKLSQVKEQEGKNEDEA AQVSPTEDTFHASTALENETTVETVNPSLRSLKSEPVGTPNIDQNKVASSAEGMEEEP TETVAETFSNEEAIDQKIDDADTHINEQASDGLAGTEERAENDINKEVVRENDETTED FPVESEHLEAESETPEVNESAEPASEPVEPASEPAEETNEPVEPASEPAEETNEPVEP ASEPAEETTEPSEETNEPAEGTYASSNEIAAPRYQEEDIELETVPKVATENATVDPCN EPTKPEKIVAGAQDDANDPSIGAASNENIPDQKTDISASIEGSEITEEQETTEADVAA DDVLATEEPKKNNGSSNSNNNNKKKNKRNKKKGKKK SPAR_L03480 MSSFTSKNSLYLLLLILSCISTIQAQFFVQSSSSNSSAVSSTRP SISSVSSSSTFLSSSMVSSSGADSSSSTSSASSRSVVSHTTSSTSVASVSFTSFSFSS VSSTSSSSSASSDFSSSSSSSSFSMSSTSSTSESSTSSTPTSTSSSSSSSSTSSPSSS SSSSPSTITSAPSTSSTPSTTTYNQGSTITSIINGKTILSNHYTTVTYTPSATADSSN KSKSSGLSKKNRNIVIGCVVGIGVPLILVILVLIYMFCIQSSRTDFIDSDGKVVTAYR ANKFTKWWYMLLGKKVSDEYHSDSPLGGSASSAGGLDLDEADDVMDQSSLFDMRIRDS DSVLPTANNANHDNTNSGGEHMNSSVASNDIVEEKFYDEQGNELSPRNY SPAR_L03490 MPPKQQLSKAAKAAAALAGGKKSKKKWSKKSMKDRAQHAVILDQ EKYDRILKEVPTYRYVSVSVLVDRLKIGGSLARIALRHLEKEGIIKPISKHSKQAIYT RAGASE SPAR_L03500 MAKRVADAQIQRETYDSNESDDDMTPSTKLASSAVMNRRKIAMP KRRMAFKPFAPAKSDEAKHASSFSFLKQTNDTPAQVNNNPTTESNSKLKALNLQFKAK IDDLVLSKPLADLRPLFAKYEVYIKNILEASKKSIENPKQTIQGNGDTIAKVEDDQKS SESSSEEEVKVEGPKFTIDAKPPISDSVFSFGPKKGNPKEDESDSENDIEIKGPEFKF SGTISSDVFKLNPNTDKNERKTETNAKPFSFSSTISNSTSEQTTSKNPFSFTETAKTN VDNNNNVKPSFTFGAKNVADSHNNKPSFVFGQTTAKPLPEKSSFTSGSLKSEEKNDET SASNSKTEKSSDSNDTKPSFSFSIPSKNTSDASKPSFTFGVPNSSNEISKPASSFGAA TSSAKETTEKDGNGDVEKPAFKPAFNFMSNAGSEKERESKGDSKPLFSFGASNGSESK DSVKTASPSALDGENDKKEATKPAFSFGGNTNTAETADAKAPTFTFGSSTLADKKEDV KKPFSFSASRSNDTPSFSFGKTAANSPSNSSTSFAPSIPSTGFKFSLPFEQKSNQTTT NDNREESTPEAAGNDSQGASKVDVTPEESTPINLPNGEEDEAALFSQKAKLMTFNAET KSYDSRGVGEMKLLRKKDDPSKVRLLCRSDGMGNVLLNATVVNSFKYEPLAPGNENLI KTPTVAADGKLVTYIVKFKQKEEGRSFMKAIEDAKKEMKKE SPAR_L03510 MQKTDGIRIPGVILDELKTLDYSQDERFSIAEGKKRKRGNGKHL TRKEKRKMERTEKKRKSIPTREINPNRLKSTSTSKKRSANARVKNVDGQANSRHPISS DESESNENWGSDEVFTDEGPEMDADQAMSAEETMKKLELLKRKAKGVKGAEKSGEIEA NPGEMKHVRNKDGIENFVNYPLAPSDRSAFERDEMNMQYYAKKLGLKGEKKAIHAKDE FDAIGGLLEGLEYFENYGKSDEEYGDFATETNSMIKDDEASEKAFSSDDDLSTSDFEN SDRLGESDDDSFVDSDDNEKREKENPYVAPTQSVGSYVPPSLRKKLNDNENNNALSEI SKKVNSSLNKLSDTNITIIITDLNRLYDSLPRQYVTESLSKGILNIISQNQKLLDGFI MNYAAVAYTLSKLRGIEMGAFFIQKTVEAFLQHYEEETENMLKNQQNKIISKICSNIA TLLSYCYNFGFVSCRLIYDIIRIFVADPNEFTTELLLRIISVSGQLIRGDDPSALRDI RSELLKNAKNLKEQSPRLRFLMDTISDLKNNRLKPSILATDHHPLKKNLQNILKSSSS QEPLQVSLDDIKNIHSKGKWWLVGASWRGNMENAFEVSINNGDDTSKSKKAKILIEDD LLDDIPDWNVIARQQRMNTDIRRAIFISIMSAQDYLDAFSKLEKLNLKNKQVLEIPRI VLHCLLADSGSNGYNHYYALVANKICERYSHLSKSFQFLFWDVMKKFEDKEFDSDSDA DEEDELDDKEKLLRISNQGRFFGSLLANDILKLDVFKHVPFMGGLNTEGMLFMEILLF QLLLAVAKKSERKLKMDENGSRRVIYSDDYLRDILTKNIKSENTLFILKGLKWFINKK FRYHNFLAGKKGDKAFDRDERRLAWASKAAKSIIDIELENIDS SPAR_L03520 MAVRLQELQRMVVKLRFLGTFGAEALDNGSGGAADNGALGIGGA AEDGILGIGGAEDGGIPGTAGADDSGALGIGGAATEGIAPGICGAFDDGENLVLASLL TCFNFGIPPAKISPNCGAPIPGTGGADIEGPLLLMVLELPEADETAPPPTIGALLSFV SAFFNFIPFLMSPKRASRPCITDFAGLGALPPPNAGGGGGGGGAGAPAISIIGCK SPAR_L03530 MGGVREKKAEYFAKLREYLEEYKSLFVVGVDNVSSQQMHEVRKE LRGRAVVLMGKNTMVRRAIRGFLSDLPDFEKLLPFVKGNVGFVFTNEPLTEIKDVIIS NRVAAPARAGAVAPEDIWVRAVNTGMEPGKTSFFQALGVPTKIARGTIEIVSDVKVVD AGNKVGQSEASLLNLLNISPFTFGLTVVQVYDNGQVFPSSILDITDEELVSHFVSAVS TIASISLAIGYPTLPSVGHTLINNYKDLLAVAIAASYHYPEIEELVDRIENPEKYASA APAAASAASGDAAPAEEAAAEEEEESDDDMGFGLFD SPAR_L03540 MFRKEDNSNSNVQNNFFLPLECEYTIQDSLPSKKKYGMIESTNF FPLNDSLFTSPKNSESHNSGQPRHGNIKFVTSRCCTDTVPTRIDMREKKSDNIQDDGL FSSNYHDVNRNVSAPSNKDSQSKDYSYIAESIFLRKNENLDNLCSGSTTFVLSSADED VIEFSFDDNVPCVELLSGATLEKSSLTLNEVNKKLFNTLYDFGVSKDNPEENLVESIL PNCVALLNIFDDIELLTSSCDEIFERSTFINTIEFIVHDIWVQTLTKNINLLQTLSAD LKWYKDNYLICKSKIQYPSTNIVEILGSLKHFPNSILQTFKFGIELKEQDQYHDKSPI TNYIVFTRMFCTIVLEIQKCFILIVKFMHSVRFLEEFSNEIFLSFIEVLVKIVFEHQV PQLFLGIDEIIQLWLKDSDIERRQILSAWCNGVIQDIKQSQPRGASNTESGSIASSSE DDDEGLQFNKWDVIEPFIDNINSLQ SPAR_L03550 MNTDQHPYQDQTDYTQGPGNGQGQEQDYDQYGQPLYPSQADGYY DPNMAAGTEADIYGQQPPNESYDQEYTNGEYYGQPPNMAAQDGENFSDFSSYGPPGTP GYDSYGGQYTPSQMSYGEPNSSGTSTPIYGNYDPNAIAMALPNEPYPAWTADSQSPVS IEQIEDIFIDLTNRLGFQRDSMRNMFDHFMVLLDSRSSRMSPDQALLSLHADYIGGDT ANYKKWYFAAQLDMDDEIGFRNMSLGKLSRKARKAKKKNKKAMEEADPEATEETLNKI EGDSSLEAADFRWKAKMNQLSPLERVRHIALYLLCWGEANQVRFTAECLCFIYKCALD YLDSPLCQQRQEPMPEGDFLNRVITPIYHFIRNQVYEIVDGRFVKRERDHNKIVGYDD LNQLFWYPEGIAKIVLEDGTKLIELPLEERYLRLGDVVWDDVFFKTYKETRTWLHLVT NFNRIWVMHISIFWMYFAYNAPTFYTHNYQQLKDNQPLAAYKWASCALGGTVASLIQI VATLCEWSFVPRKWAGAQHLSRRFWFLCIIFGINLGPIIFVFAYDKDTVYSTAAHVVA AVMFFVAVATIIFFSIMPLGGLFTSYMKKSTRRYVASQTFTAAFAPLHGLDRWMSYLV WVTVFAAKYSESYYFLVLSLRDPIRILSTTAMRCTGEYWWGAVLCKVQPKIVLGLVIA TDFILFFLDTYLWYIIVNTIFSVGKSFYLGISILTPWRNIFTRLPKRIYSKILATTDM EIKYKPKVLISQVWNAIIISMYREHLLAIDHVQKLLYHQVPSEIEGKRTLRAPTFFVS QDDNNFETEFFPRDSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVLTPHYAERILLS LREIIREDDQFSRVTLLEYLKQLHPVEWECFVKDTKILAEETAAYEGNEDDPEKEDAL KSQIDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRTVSGFMNYSRAIKLLYRVEN PEIVQMFGGNAEGLERELEKMARRKFKFLVSMQRLAKFKPHELENAEFLLRAYPDLQI AYLDEEPPLNEGEEPRIYSALIDGHCEILDNGRRRPKFRVQLSGNPILGDGKSDNQNH ALIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEELNVEQVNPYAPGLKYEEQTTNH PVAIVGAREYIFSENSGVLGDVAAGKEQTFGTLFARTLSQIGGKLHYGHPDFINATFM TTRGGVSKAQKGLHLNEDIYAGMNAMLRGGRIKHCEYYQCGKGRDLGFGTILNFTTKI GAGMGEQMLSREYYYLGTQLPVDRFLTFYYAHPGFHLNNLFIQLSLQMFMLTLVNLSS LAHESIMCIYDRNLPKTDVLFPIGCYNFQPAVDWVRRYTLSIFIVFWIAFVPIVVQEL IERGLWKATQRFFCHLLSLSPMFEVFAGQIYSSALLSDLAIGGARYISTGRGFATSRI PFSILYSRFAGSAIYMGARSMLMLLFGTVAHWQAPLLWFWASLSSLIFAPFVFNPHQF AWEDFFLDYRDYIRWLSRGNNQYHRNSWIGYVRMSRARITGFKRKLVGDESEKAAGDA SRAHRTNLIMAEIIPCAIYAAGCFIAFTFINAQTGVKTTDDDRVNSVLRIIICTLAPI AVNLGVLFFCMGMSCCSGPLFGMCCKKTGSVMAGIAHGVAVIVHIAFFIVMWVLESFN FTRMLIGVVTCIQCQRLVFHCMTALMLTREFKNDHANTAFWTGKWYGKGMGYMAWTQP SRELTAKVIELSEFAADFVLGHVILICQLPLIVIPKIDRFHSIMLFWLKPSRQIRPPI YSLKQTRLRKRMVKKYCSLYFLVLAIFAGCIIGPAVASAKINGHIGDALKGVVHNLFQ PINTTNNDTGSQISTYASHYYTHTPSLKTWSTIK SPAR_L03560 MSNKQNFCAAIIVAIFLCLQLSHGSSSDNFGNTPAIKIIGNKFF NSHSGEQFFIKGIAYQLQRNEEELSNANGAFETSYIDALADPEICLRDIPFLKMLGVN TLRVYAIDPTKSHDKCMEALSAEGMYVLLDLSEPDISINRENPSWDVNIFERYKSVID AMSSFPNLLGYFAGNEVTNDHTNTFASPFVKAAIRDAKEYISHSKYREIPVGYSTNDD AETRDNLAKYFVCGDIKADFYGINMYEWCGYSTYGTSGYRERTKEFEDYPIPVFFSEF GCNLVRPRPFTEVSALYGNKMSSVWSGGLAYMYFEEENEYGVVRINDNGEVDVLPDFR NLKKEFAKADPKGTTEGKYLTAKELIVSKNIKCPHIAAGVWEANEKLPETPDKIKCAC LDEILPCAVVPFGAESRKYEEYFSYLCSKVDCSDIQANGKTGAYGEFSDCSVEQKLAL QLSKLYYKIGANDRHCPLNDRNVYFNLESLQPPPSESICINVLESIRNITCNNDHCSK SNPARSKESFNAKYPSSEERKNDATIAFKTSGLVILLISMVAAGVAL SPAR_L03570 MAKQSLDVSSDRRKARKAYFTSPSSERRVLLSAPLSKELRAQYG IKALPIRRDDEVLVVRGSKKGQEGKISSVYRLKFAVQVDKVTKEKVNGASVPINLHPS KLVITKLHLDKDRKALIQRKGGKLE SPAR_L03580 MPNVLSDDEELLNGLGSEIMKPSKQGNYMARTVKRWVNNERVTS AELTNVNVDGVHGPVNTESYISPGQLYSTDSGNLFHAGRILVVLVGLPATSKTLLSVA ITRYTRWLGVRTKSYHFSEYKESAKDIPSDYFCVVPTSKEGVAFVEKLRMQMLNDILS FFNDLSGQLAIYDALNIRKIDRKNLEATFSEIGVKVLFIESIVSDQEIMNRNIALVLE SNDYRGLSTDEAIDEYMRRLSVNEPYYEMMTHDEELSYIKYINLGRQIIVKDNIHGYL VNKMVFFLMNLRQKKGCVYFARCGTSDKDNYIHDEELNEEGIHYSKVLKEFVLQRIKQ KRLAKKNSDSLVEVIDGSHDEDLKTSLIVWTGPRKRTHDTGLFFSKEGIKVQQRSELR QLNPGSIADLSDQQIMEKFPSEYKESLKDPYHFRFPRAESYHDLAVRMEPLLLEMEHT SKDILIIAHESTLRVLYGYLMACTCVELPNLNFTRDKLVEISFSPFCNTVELLNIPSS S SPAR_L03590 MQSVSNCPIGLVSKNTINSASNIAEWVACPWKYINVVGSGRYVS NKPDKITRYDLLKAAQEAEMQELLTGSGLKSRHKRKKRSKVALETIAEENSSSESLF SPAR_L03600 MSTAEFAQLLENSILSPDQNIRLTSETQLKKLSNDNFLQFAGLS SQVLIDENTKLEGRILAALTLKNELVSKDSVKTQQFAQRWITQVSPEAKNQIKTNALT ALVSIEPRIANAAAQLIAAIADIELPHGAWPELMKIMVDNTGAEQPENVKRASLLALG YMCESADPQSQALVSSSNNILIAIVQGAQSTETSKAVRLAALNALADSLIFIKNNMER EGERNYLMQVVCEATQAEDIEVQAAAFGCLCKIMSLYYTFMKPYMEQALYALTIATMK SPNDKVASMTVEFWSTICEEEIDIAYELAQFPQSPLQSYNFALSSIKDVVPNLLNLLM RQNEDPEDDDWNVSMSAGACLQLFAQNCGNHILEPVLEFVEQNITADNWRNREAAVMA FGSIMDGPDKVQRTYYVHQALPSILNLMNDQSLQVKETTAWCIGRIADSVAESIDPQQ HLPGVVQACLIGLQDHPKVATNCSWTIINLVEQLAEATPSPIYNFYPALVDGLIGAAN RIDNEFNARASAFSALTTMVEYATDTVAETSASISTFVMDKLGQTMSVDENQLTLEDA QSLQELQSNILTVLAAVIRKSPSSVEPVADMLMGLFFRLLEKKDSAFIEDDVFYAISA LAASLGKGFEKYLETFSPYLLKALNQVDSPVSITAVGFIADISNSLEEDFRKYSDAMM NVLAQMISNPNARRELKPAVLSVFGDIASNIGADFIPYLNDIMALCVAAQNTKPENGT LEALDYQIKVLEAVLDAYVGIVAGLHDKPEALFPYVGTIFQFIAQVAEDPQLYSEDAT SRAAVGLIGDIASMFPDGSIKQFYGQDWVIDYIKRTRSGQLFSQATKDTARWAREQQK RQLSL SPAR_L03610 MSTNAKQSAGKNIKYPWWYGGAAGIFATMVTHPLDLAKVRLQAA PMPKPTLFKMLENILANEGVMGLYSGLSAAVLRQCTYTTVRFGAYDLLKENVVPRDQL TNMAYLLPCSMFSGAIGGLAGNFADVVNIRMQNDSALEAAKRRNYKNALDGVYKIYRY EGGFKTLFTGWKPNMVRGILMTASQVVTYDVFKNYLVTKLDFDASKNYTHLTASLLAG LVATTVCSPADVMKTRIMNGSGDHQPALKILADAVRKEGPSFMFRGWLPSFTRLGPFT MLIFFAIEQLKKHRVGMPKEDK SPAR_L03620 MMDHTTNEPLAVEESPLTPNVSNLKPFPSQNNKISTPVTDHRRR RSSSVISHVEQETFEDENDQQMLPNMNATWVDQRGAWLIHIVVIVLLRLFYSLFPGST PKWTWTLTNMTYIIGFYIMFHLVKGTPFDFNGGAYDNLTMWEQINDETLYTPTRKFLL IVPIVLFLISNQYYRNDMTLFLSNLAVTVFVGVVPKLGITHRLRISIPGITGRAQIS SPAR_L03630 MSASKILSQKLKVALVQLSGSSPDKMANLQRAATFIERAMKEQP DTKLVVLPECFNSPYSTDQFRKYSEIINPKEPSTSVQFLSNLASKFKIILVGGTIPEL DPKTDKIYNTSIIFNEDGKLIDKHRKVHLFDVDIPNGISFHESETLSPGEKSTTIDTK YGKFGVGICYDMRFPELAMLSARKGAFAMIYPSAFNTVTGPLHWHLLARSRAVDNQVY VMLCSPARNLQSSYHAYGHSIVVDPRGKIVAEAGEGEEIIYAELDPEVIESFRQAVPL TKQRRFDVYSDVNAH SPAR_L03640 MPDLKSKTLSNQSLGAAIPPEIVYQILTYQFRDLLRNDHPGTAE KFNENLATFVKSNLTVNKTFSHICQVLIYRYCNLTTAKRFHGLLQTLKGNRCLCNKVE VADFQELTSIGLGRSSEMNKMIKNLTNETLLEFLMLTKANLREFLACENIQDDLDDSI IKYILSPGKVLSVVDFCGCSGTTFTESFIKALDKYYPNKSIDQYRLEPTQQNYQITCL GLNDCIDLPSHVLWKILKMLPELQKLDLSHTSIDDDTLYHGIPHWKNLTHFSLATCLQ VTPRAVLEFFSHHPTITDPDNTSTLEWLNVSVIAHSSSWNEVHTMFLLKKLCQHGHNK TLQYLNIGGLPLHVAPSFSEDPISESTYYYQCRDSLQFIKWNFPKLKSLSIKGNSIPI STLVEFLTPIDQDHPDCVQKLKFLNISGNSYVNKWTIQDSLLYTCSPSLVALEVSFES WQQIEKLNDRREIIAYRYKNPNSVIKDISTAEQVKWKCYIDSSYGRRYWLYKTDQFLN RDDLESKSNLTRYDSEGHKIIEIINQPDFLKFAQSKIMLGCGLVPQSGIRRKLCYRDL KPPVSQFLNRKGAISLGDTPLPIITPTLPRGGWRIIHNEDENNNNYVEISQSHVTATP RRNPLLSRPPLRGNDNSSSANPFAINVSPASQIRDGLYWDRSVHDLRELSLQEQRILE LADEQQELRTIANYEETDDEYLHDPDLQRRRSQLRLFENSRSRSGNKARPSLTGEHSS SGSFLSFSHFSHLHKRKNYYLTHPDEFIYDPKDPLTTQRYRLHFEIVNEYQVFGCIER GMYRYYSLKA SPAR_L03650 MIQSDEDNLDSSETTASTSYSATSSTSSRAQPRASLFLENLDDA HGNPDAGIEMATVAYENTSRGQGFAVYINNERFSQIMGASTSSSSSSASSSITQFHDT HDNNIPRNTTVGPTSLRRDNEETAPSRNVTPSQNVAVRPERVINSPSSQRLSCALTIS TSVLMGEDVEGSPLEQEHSRVVSSLYSSLANRGNDEPKNGTPPRPTSFEANETAEHSF FSYHYDDTLEPDVEEAVRLTKNKNSNVNFISSTGGEGHGEVQDGDRDQYELVSESKFI PHKLKIPEKAGSIKSSSSDDSHSLDAPATLARRIKIPQSPSLIGNILIPSQNSDSSSE NSPKDHIGHNNQENFHSTSMRKSSTSLEEEGPPIGVPSIPVLRSVSGSSKWARTPLRL ESGSSTKSDPFSRYEGHKTPSPLTKINNNKDKALPEHGQFLVLAPIKSQSSESCAEQN PIIEKPARSIRRKNKEKADIRKEDGDDVGNIDLEARMPIQHIDTASIHSFDSGQNGFK DVYSIGSIIVIILCCIMVPPLFFIIGCGSRSKLVSDYRLMRLLMNKEHRAALLQGFIW DVDLRWFRMFCLILGAAETVIVMAGIAIGFAVGITRE SPAR_L03660 MSEPAQKKQKVANNSLEQLKSSGTVVVADTGDFGSIAKFQPQDS TTNPSLILAAAKQPTYAKLINVAVEYGKKHGKTTEEQVESAVDRLLVEFGKEILKIVP GRVSTEVDARLSFDTQATIEKARHIIKLYEQEGVSKERVLIKIASTWEGIQAAKELEE KDGIHCNLTLLFSFVQAVACAEAQVTLISPFVGRILDWYKASTGKDYEGEADPGVVSV KKIYNYYKKYGYKTIVMGASFRSTDEIKNLAGVDYLTISPGLLDKLMNSSEPFPRVLD PASAKKEAGDKISYISDESKFRFDLNEDAMATEKLSEGIRKFSADIVTLFDLIEKKVT A SPAR_L03670 MLRTQAARLICNSRVITAKRTFALATRAAAYSRPAARFVKPMIT TRGLKQINFGGTVETVYERADWPREKLLDYFKNDTFALIGYGSQGYGQGLNLRDNGLN VIIGVRKDGASWKAAIEDGWVPGQNLFTVEDAIKRGSYVMNLLSDAAQSETWSTIKPL LTKGKTLYFSHGFSPVFKDLTHVEPPKDLDVILVAPKGSGRTVRSLFKEGRGINSSYA VWNDVTGKAHEKAQALAVAIGSGYVYQTTFEREVNSDLYGERGCLMGGIHGMFLAQYD VLRENGHSPSEAFNETVEEATQSLYPLIGKYGMDYMYDACSTTARRGALDWYPIFKNA LKPVFQDLYESTKNGTETKRSLEFNSQPDYREKLEKELDTIRNMEIWKVGKEVRKLRP ENQ SPAR_L03680 MSVCLAITKGIAVSSIGLYSGLLASASLITSTTPLEVLTGSLSP TLATLKNAATALGAFASTFFCVSFFGAPPSLRHPYLLYGMLAAPLSSFVLGCASHYQS RKYSKVSKESSLFPEDSKPVASELSDSIIDLGEDTHAPKNKFHDEKPAPTAVSKPAEA LHTGPPIHTKNLIAATAIAIIGFVQAVIGVYGEGQFI SPAR_L03690 MMPDDNANSSTQSSSALYKDLRKEYESLFILKEDSGLEISPIFN VLPPKKDYPDYYAVIKNPVSFNTLKKRIPHYTDAQQFMNDVVQIPWNAKTYNTRDSGI YKYALVLEKYLKGTIYPNLKEKYPQLVYPDLGPLPDEPGYEEFQQKLREKAEEIARAN AARADSNSSMNPNEAARRLRKTRAASVKRESEPGTDTNNDEDYEATDMDIDNSKDADF PDLIRKPLININPYTRKPLRDNRSTTPSHSGTPQPLGPRHRQVSRTQVKRGRPPIIDL PYIQRMKNVMKVLKKEVLDNGIGLTDLFERLPDKHRDANYYIMIANPISLQDINKKVK TRRYKTFQEFQNDFSLMLTNFRISHRGDPESIKISNILEKTFTSLARFELSKPDRSFI PEGELRYPLDEVTVNNISYHVGDWALLRNQNDPQKPIVGQIFRLWKTPDGKQWLNACW YYRPEQTVHRVDRLFYKNEVMKTGQYRDHLVSNLVGKCYVIHFTRYQRGNPDMKLEGP LFVCEFRYNESDKIFNKIRTWKACLPEEIRDLDEATIPVNGRKFFKYPSPIRHLLPAN ATPHDRVPEPTMGSPDAPPLVGAVYMRPKMQRDDLGEYATSDDCPRYIIRPNDSPEEG QVDIETGTITTNTPTANALPKTGYSSSKLASLRYNRSSMSLDNQNAVGQQQIPLSRVA SPGAGGPLTVQGLKQHQLQRLQQQQHQYQQQKRSQASRYNIPTIIDDLTSQASRGNLG NIMIDAASSFVLPISITKNVDVLQRTDPHSQTKRSGREEMFPWKKTKGEILWFRGPSV IVNERIINSGDPHLGLPLNRWFSTNKKRKLEYEEVEEAIEDVTEKDKEDDGLEPDVEN ERESLPGPFVLGLRPSAKFTAHRLSLLRPPSPSS SPAR_L03700 MSDYDNYSTPLSSRYASKEMSATFSLRNRFSTWRKLWLNLAIAE KELGLTVVTDEAIEEMRKHVEITDDEIAKASAQEAIVRHDVMAHVHTFGETCPAAAGI IHLGATSCFVTDNADLIFIRDAYDIIIPKLVNVINRLAKFAMEYKDLPVLGWTHFQPA QLTTLGKRATLWIQELLWDLRNFERARNDIGLRGVKGTTGTQASFLALFHGNHDKVEA LDERVTELLGFDKVYPVTGQTYSRKIDIDVLAPLSSFAATAHKMATDIRLLANLKEVE EPFEKSQIGSSAMAYKRNPMRCERVCSLARHLGSLFSDAVQTASVQWFERTLDDSAIR RISLPSAFLTADILLSTLLNISSGLVVYPKVIERRIKGELPFMATENIIMAMVEKNAS RQEVHERIRVLSHQAAAVVKEEGGENDLIERVKKDEFFKPIWEELDSLLEPSTFVGRA PQQVEKFVQKDVNNALEPFQKYLNDEQVKLNV SPAR_L03710 MKRFLLSRRQRHLREICFHNISLFKANGDSRLIKEHGDGFVPCF FVLESIKGELLYVSEVQSGSLRELCFQELPKLTGASTMIILKLVGLVPNEILCTVSLD KNGIIDDKWCVLCTYTIDLNKLHPVNEDTVLITGTNAPVLHLVDGPYTLPTENTKPLK GPVGSHKRNISQVKIKYSLTYSSLLKLSKLLEYSSQVHEEINEISSKIEEGFLLHKNQ NHWYMRTVQKSIETLEKEVLQRKKNKKNIEMARLENNDTINHSKTEISLMSQDESIND DYGSIYSRFVQIKDRLDQLRFKKLYQLIGIFHSTDLFNSDRGYIYFEKPSSINDVISR LKLKPLNMGILLRQAGESTRHMEYPL SPAR_L03720 MIRLPKLYQRFLLYLSVFVVIALLYFLRAPKIKEYTDIDYIVPI APVAEQWLQNKGLEALSYDDKLVVNIGYDQCFHVGRFYERCFNRHELKLGLTDRNLGF ERRRIHKDLRGSFGRRWFGRSEYLYYDVLYLASLHHFGPKLEKLNIDAVTEISKFPKD KSVQFMDVSVTFEPISIELLQKRSFVSDINILFGVDCVQPIANWTLEKEFPLIQYTYS EPAYLTYRFVGFHPVNTEPQRLQETNEGKFKIVQLADLHLGVGESECIDEYPKHEACK ADPKTETFVQQVLDIEKPQLVVFTGDQIMGDRSIQDSETVLLKAVAPVIARGIPWAMV WGNHDDEGSLTRWQLSEMASALPYSLFKFSPHDTRDNTFGVGNYVYQIFSNNGTEVPV STLYFLDSHKYSTVGKIYPGYDWIKESQWKYIESYHDINLKFKTGLSMAFFHIPLPEY LNIESKKHPGEGNPLIGTYKEGATAPKYNSEGITTLDRLGVDVVSCGHDHCNDYCLRD DSTSNQIWLCYGGGGGEGGYAGYGGTERRIRIYEINVSDNDIHTWKRLNGSPKEIFDY QSMLDSNSLESV SPAR_L03730 MAGNNSNNSSKQRTQNIEEVEQKLGENPKIALKGGGKTKLMDFE QLRKPHCVRPSARLPVENTAGGLLRTGGHRPQLSDEEVSRRHHEQPHGQEDH SPAR_L03740 MELERSPVNEVYMEQTQIPEGTDLLVGDKKANDLPFVELFLGEI DCTQYLDSFVQCNLVTEEEIKYLDKDILIALGVNKIGDRLKILRKAKSFQRDKRIEQV SKLKNLMEKVSSLSTATLSMNSDLIPEKHCVIFILNDGSAKKVNVNGCFNADSIKKRL IKRLPHELLATNSDGEVTKLVQDYDVFVLDYAKNVLHLLYDVELVTICHANDRVEKNR LIFVSKDQTPSDKAISTSKKLYLRTLSALSQVGPSSSNLLAQNKGISHNSADGKLRID STEKDRIRQIFNQRPPSEFISTNLAGYFPHTDMKRLQKTMRESFRHSARLSITQRRPL SAESNNIGDILLKHSNAVDMALLQGLDQTRLNSKLDTTKIPKLAHKRPGDKDPISDQL ELLSVESGEEEEYDFLGEDSDIVSLPTKIATPKNWLKGACIGSGSFGSVYLGMNAHTG ELMAVKQVEIKNSNIGVSTDNNKQTKSDENSQQEEQQDKIEDAGAVSHPKTNQNIHRK MVDALQHEMNLLKELHHENIVTYYGASQEGGNLNIFLEYVPGGSVSSMLNNYGPFEES LITNFTRQILIGVAYLHKKNIIHRDIKGANILIDIKGCVKITDFGISKKLSPLNKKQN KRASLQGSVFWMSPEVVKQTATTAKADIWSTGCVVIEMFTGKHPFPDFSQMQAIFKIG TNTTPEIPSWATPEGKNFLRKAFELDYQYRPSALELLQHPWLDAHII SPAR_L03750 MTQYNFIIDASAFEKGLGNIKRWCSDCTEAVTLNFYIPTFTLNE LDFLQQRRKSFAARESLKFIDRLDDSKYANLKVFIEFPEILDIILWSDVMEHNDSSGK INVAKLPKRLKNLLKSCIYKCYLEGNEGLHWFLISEDPQIREMAMQCNIPSCSIVDVD SILSKDMNDKSFRESERFNNMMLKNGTKEESENGREIIKTNFNKTVYASRGTGELWSP SPAR_L03760 MPQKPLKVTKKAKDPRRVTKKQKNLRKAAPLQLKSKKKSLQHLK KLKKSSSLTETTERLVASKVGHLELLRGTRKELEKSKKNSK SPAR_L03770 MSAFVTKAEEMIKSHPYFQLSASWCPDCIYANSIWNKFNVKDKV FIFDIGSLPRNEQEKWRVAFQKVVGSRNLPTIIVNGKFWGTESQLHRFETKGTLEEEL AKIGLLP SPAR_L03780 MTRSSVLADALNAINNAEKTGKRQVLLRPSSKVIIKFLQVMQKH GYIGEFEYIDDHRSGKIVVQLNGRLNKCGVISPRFNVKIGDIEKWTANLLPARQFGYV ILTTSAGIMDHEEARRKHVSGKILGFVY SPAR_L03790 MTKRRSLFMVGSTFTIDHLPPEIWLCISKLLRTSDLHNLCLINR RLYLTITSDGIWKKRCYDRWINQENLDILTGNDYDPIPVSQWHSYYLRRAKWENKIFS LLWELTEETDPQNFREKYLYILQFRHYKLATFLHRIVKQGYIPDKRPLDLITYANYLL KNVRHKYVFPLFYPTNTAELKNLNSMASKDAEMVYLRLSAIDTAFDDLLDAREFVLNG ICSDLLQKYKKIQEFLKLRPVTRISKLISISTDYLDCFPQFPDIIDQTNDRATGRKLY REDFMLLRVYSREGRGYKTIILAIIQAIAKRYNVESYLARDHLVVSEPDFPDGRAFVT VNEDFQPYIFNKEDLMSVWSSNFPNAANFESSVLPALLEPISIQHLLTEFFRELLRCK PRPFEGYPNRAHGLRNMFPYGKVEVPRDVTMYFAFIYDLFDGMFESGMTNLRGRMLRD LLNYVNANNFGDLNIIIGQNALKEPNDCWSNKRDYVLLDDDSKIGYFYKDIETEDTLC ALNQYEVDGKVFITTIDILGDIRVRLAEGLTPFQGDSDKLWESFSSVVPRTDWGLFFK GYDKQKHRMQLSAYIQEKLSNLVDGEQPLHNL SPAR_L03800 MLKLGRLNCVKLNINSRLRYSTSPQVAKKVIGIDLGTTNSAVAY IRDSNDKKSATIIENDEGQRTTPSIVAFDVKSSPQNKDQMKTLVGMAAKRQNAINAEN TFFATKRLIGRAFNDKEVQRDMAVMPYKIVKCESNGQAYLSTSNGLVQSPSQIGSILL QYLKQVSEEYLGEKVNLAVITVPAYFNDSQRQATKDAGKLAGLNVLRVINEPTAAALS FGIDDKRNNGLIAVYDLGGGTFDISILDIEDGVFEVRATNGDTHLGGEDFDNVIVNHI IDTFIIENPEITRELITKNRETMQRLKDVSERAKIDLSHVKETVIELPFVYKSKHLRV SMTEEELDNMTLSLINRTIPPVKQALKDADIEPENIDEVILVGGMTRMPKIRSVVKDL FGRSPNSSVNPDETVALGAAIQGGVLSGEIKNVLLLDVTPLTLGIETFGGAFSPLIPR NTTVPVKKTEIFSTGVDGQTGVDIKVFQGERGLVRNNKLIGDLKLTGITPLPKGIPQI YVTFDIDADGIINVSAAEKSSGKEQSITVIPNSGLSEQEIAKLIEEANSNRAQDNAIR QRLELISKADIMISDTENLFKRYENLISSEKEFPKIVEDIKALRQAIKDFKANENDMS IDVNEIKKATDALQGRALKLFQSATKNQQKQGK SPAR_L03810 MPAYHSTFPVDPSTDRMVGNFALLPLNTKFRGPAYPSNSDYDII DECLDLFRANSFFKNFEIKSPADRVLIYGILFINDCLAHLKITTSFNEAVKVLTNVAL DNFTLPGTPGFPLNNVYQVPVQDHNSMDLLKTYIQQFRQELAMRLLERVYSSTDSKEH PSKFWLAFTRRRFMNKSL SPAR_L03820 MSETNVDSLGDRNDIYSQIFGVERRPDSFATFDSDSHGDISSQL LPNRIENIQNLNVLLSEDIASDIILAKQRRRSGGQAAINDSDVTSSEMKGKSSNYILS QQTNIKEVADTQSLSSADNTPISSPKKAREATSSPPIVHAKSMSHIYSTSNSASRQAK HHNDHPLPPMSPRNEVYQKNKSTTAFVPKRKPSLPQLALAGLKKQTSFSTGSTSTTPT QARKSPLQGFGFFSRPSSKDLHQQHQHPQHIQHNNVNNHNNSINNNGAHYPVGSNNSN YPQHSHSISSRSMSLNSSTLKNIASSFQSKSSSSRKVPQKYDISSNPFSDPHHHHHSS NGHNSLNNVHASVNSSSTIGSSSNIGLGLKTRVSSTSLALKRYTSVSGTSLSSPRRSS MTPLSASRPVMSASSKKPQVYPALLSRVATKFKSSIQLGEHKKDGLVYRDAFTGQQAV DVICAIIRTSDRNLALLFGRSLDAQKLFHDVVYEHRLRDSPHEVYEFTDNSRFTGTGS TNAHDPLMLLPNSSSFNSGNQSYPSSGIVPSSSASSLNSDQATLTGSRLHMSSSMSHQ KNPAAIHNVNGVFTLLAECYSPTCTRDALCYSISCPRRLEQQARLNLKPNGGLKRNIS MALDDDEEEKPSWTSSVSKEDWEDLPKKEIKRQEAIYEVYITEKNFVKSLEITRDTFM KTLAETNIISADIRKNFIKHVFAHINDIYSVNRRFLKALTDRQKSSPVVRGIGDIVLR FIPFFEPFVSYVASRPYAKYLIETQRSVNPYFARFDDDMMSSSLRHGIDSFLSQGVSR PGRYMLLVKEIMKSTDPEKDKSDYEDLSKAMDALRDFMKRIDQASGAAQDRHDVKLLK QKILFKNEYVNLGLNDEKRKIKHEGILSRKELSKSDGTVVGDIQFYLLDNMLLFLKAK AVNKWHQHKVFQRPIPLPLLFACPGEDMPALRKYIGDHPDCSGTVIQPEYNTSNPKNA ITFLYYGAKQRYQVTLYAAQYAGLQTLLEKIKQEQAAIISKTEMFNVTKMSDRFFDYT NKINSVTSCDGGRKLLIATNSGLYMSNIKRQQNKDHRHKSSAFFSTPIQLVQRNNITQ IAVLEEFKSIILLIDKKLYSCPLSLIEAEGNGTSFFKKHHKELINHVSFFAEGDCNGK RLIVTAHSSSHSIKYFEHEHPLLAEKNGSGGSNKRSLKKKITEVIFDSEPVSISFLKA NLCIGCKKGFQIVSISQNAHESLLDPADTSLEFALRDTLKPMAIYRVGNMFLLCYTEF AFFVNNQGWRKKESHIIHWEGEPQKFAIWYPYILAFDSNFIEIRKIETGELIRCVLAD KIRLLQTSTQEILYCYEDYRGYDTVASLDFWG SPAR_L03830 MNTTTSTVAAAVVDQFQSLNSSSSCFLKVHVPSIENPFGIELWP IFSKVFEYFSGYPAEQFQFVHNKTFLANGYHAVGIIIVYYIIIFGGQAILRALNTSPL KFKLLFEMHNLFLTSASLILWLLMLEQLIPMVYHNGLFWSICSKEAFAPKLVTLYYLN YLTKFVELIDTVFLVLRRKKLLFLHTYHHGATALLCYTQLIGRTSVEWVVILLNLGVH VIMYWYYFLSSCGIRVWWKQWVTRFQIIQFLIDLVFVYFATYTFYAHKYLDGILPNKG TCYGTQAAAAYGYLILTSYLLLFISFYIQSYKKGGKKTAKRESEVSGSVGSGSSTGVK TSSTKVSSRKA SPAR_L03840 MDTQVQSAERGLVLPPMNSTVSSATAATTATNTDTDTDGDRDEE GESLLEDGSEWVPAYMLTRDKSRYLGHFLGANKMLEAVKCKYCDVIIRRQGNSASMAE ASLAHLWSTHKIDPNANYYSGWSGVEAGSTFMARPPLKNHQGGGATTNSIANLLEIDE DFLKRTREREMALPLVQSLAIIIASENLPLSFVDNTAVRLLINQNANSLSFIDHDLIL NTIRSIAYNLDRIIQRTALRNNSDLSLIIDKNFLLMDPTDRSNQLSNRLKNQLYEMQK INFFSLSHSVWNNTISILSIQYYDDFHSQVKTLPLIIQNLHKYNNDPKLSIPAQLLKI SRELPGLQNTVISITLPRSQIIDLLNVMDNQPFFPNTNTNAKNYYHNCIISIINSAIL PLFGTPKSADITHSTQSSSTKEPLTLLDSLIDLSNIDISNSIFSRINSFLDDLQSNSW QLDKFRSLCEKFGFEFVCSKFDLSRYSTATVSLQTFLNLRPIIEEYQSSIQIEKFNEI DFQITDYLLTTLNSINRVLKFFTSSKSLNFTYVLFAIMSIEKHLLSTLSSLQFQRLIA PFEAFLTKIQEFKTILFSDDMNLLAMFLCPAILFEREVLEYSFHTISLSEIVDKLSTL IFSLLKRFLNLHTIGNVNNNNSASNDSNMNIHADSQTNNVNNRNGNTSDNNDNEHDDD NDNNSNSNTPASRIDIDPTGGQSSVLPEQQQQNSNNNLSFGSLSDTHPLSDSTISKEI DTIFLQTIQEDLYDYLSTVNSIVPISYRSYCEQSSFIRDSGRFKKRIITEDSIIGELE QPMNFIEELLDIHVPVCSAFWSQYLDNDAGPIIRILFKIMQCQSSSSIREEYSFLNDF IPRVHPDLTQEIIKIKLFNDQFVASKVDYDLDTLQTASQYLP SPAR_L03850 MSNANNSAMNHITLPPISSFDNLIKAAERQYNGEDSSTSTHPAL PNMNISNGSGSAGASSSMLSYQLLPHSNDVSRSNSSSSFLPSVRQPTEGSASASETSS SASPSRSISPILKVAAPPSVGGAGASTLHSTKINKPRKKKQCPICRNFYANLTTHKAT HLTPEDRPHKCPICHRGFARNNDLLRHKKRHWKDEILSQSGALSNPTDGKGGSVSPND DDTHEKMTPMNSVTDYAQLKSLHQIKGTFKCPFNSTLIQLDMDMYPYKLKPLNFETSN CHQTGVFSRCDTFKNHLKALHFEYPPGTKKKDRNVVPGRCKHCGLKFENVDVWLNEHV GKQCGYKYH SPAR_L03860 MEVLKNIRVYPLSNFITSGKNYITPPNELRNLISTEQESQLGFL HIIESDFKPSVVLQRLVDCTTGEGKILIIDIVSLWSQQKQRQHGVIYMNSLSCINITG LIVFLELLYDSPTEALRRCQIDDFNFQLRGILIDNLSFLNFESDNNYDVINLSKFEKL FKILRKLREFLGCWIITKSFPTDFYNGIENTLADKWSIKRKGGVTQYPTKLPESYMKG MDVIIYKEVVDGRPQYKRIAAVEK SPAR_L03870 MPTLINGPRRDSTEGFDTDIITLPRFIIEHQKQFKNATGDFTLV LNALQFAFKFVSHTIRRAELVNLVGLAGASNFTGDQQKKLDVLGDEIFINAMRASGII KVLVSEEQEDLIVFPTNTGSYAVCCDPIDGSSNLDAGVSVGTIASIFRLLPDSSGTIN DVLRCGKEMVAACYAMYGSSTHLVLTLGDGVDGFTLDTNLGEFILTHPNLRIPPQKAI YSINEGNTLYWNETIRTFIEKVKQPQPDNNNKPFSARYVGSMVADVHRTFLYGGLFAY PCDKKSPNGKLRLLYEAFPMAFLMEQAGGKAVNDRGERILDLVPSHIHDKSSIWLGSS GEIDKFLDHIGKSQ SPAR_L03880 MSSNRVLDLFKPFESFLPEVIAPERKVPYNQKLIWTGVSLLIFL ILGQIPLYGIVSSETSDPLYWLRAMLASNRGTLLELGVSPIITSSMIFQFLQGTQLLQ IRPENKQDRELFQIAQKVCAIILILGQALVVVMTGNYGAPSDLGLPICLLLIFQLMFA SLIVMLLDELLSKGYGLGSGISLFTATNIAEQIFWRAFAPTTVNSGRGKEFEGAIIAF FHLLAVRKDKKRALVEAFYRTNLPNMFQVLMTVAIFLFVLYLQGFRYELPIRSTKVRG QIGIYPIKLFYTSNTPIMLQSALTSNIFLISQILFQKYPTNPLIRLIGVWGIRPGTQG PQMALSGLAYYIQPLMSLSEALLDPIKTIVYVTFVLGSCAVFSKTWIEISGTSPRDIA KQFKDQGMVINGKRETSIYRELKKIIPTAAAFGGATIGALSVGSDLLGTLGSGASILM ATTTIYGYYEAAAKEGGFTKNLVPGFSDLM SPAR_L03890 MSFDRQLTEDQEVVLKQIWTHLFHLWQVPVDGSHIFHNNSLNSS STPPKKKKSSWFSKLQSSDHTQDSSEAAEAAHLYEKGKIHKALANLDPQTTKKQFWHD IKNETPDATILKFIRARKWNADKTIAMLGHDLYWRKDTINKIINGGERAVYENKEAGV IKNLELQKATIQGYDNDMRPVILVRPRLHHSSDQTEQELEKFSLLVIEQSKLFFKENH PASTTILFDLNGFSMSNMDYAPVKFLITCFEAHYPESLGHLLIHKAPWIFNPIWNIIK NWLDPVVASKIVFTKNIDELHKFIQPQYIPRYLGGENDNDLDHYNPPDGSLDIHLKDT ETRSMIEKEREELVDQFLDVTAQWIEHQPLNDPAYIQLQEKRVQLSTALCENYSKLDP YIRSRSVYDYNGSLKV SPAR_L03900 MSLVLDDIVLSLTNANERTPPQTLRTTLHLLYEKSKQYGLSSSQ LQTLVRWLCETSIIDTVTKVYIVENCFFPGDYLTKDLLLEIINHLGTPTIFSRYRIQT PPVLQSALCKWLVHVYFLFPVSSERDHNIFGSIWLHLWQFSFLQKWITPLVIWQATAS IDVKPWKLSIIKKCAMNPGYRDAPATATLILQRFQCLVGESSQIIESIITINCNRKTL KSHRDLKLNVLFLSTLKRILSRAHPANFPADTVQNTIDMYLNEIHQLGTGSTRPLRLQ SFQGYFSSDSTVSLWDITSLEQLAQNWSQLLIPNDVDSMMAPSSSDNVLLPRKVMSRE SLKHLYSSIILIKNCHDESASPYEWCIWQLKRCFAHQIESPQKVISTVISISSMENSL SSRIIRTFCNLKYLKLDEFTLRKICGGILPLWKPELISGTREFFVKFMAGILMWTIRD GHDNNHTFSEICFYVLQMIANWVFDDKWTALGLTLLHDIQRLLTLDKIFNNATSNRFP TMAIISSLDVLTQLSTQTESGYAIQYLIVGPDVMNKVFTSDDPLLLSAACRYLVATKN KLMQYPPTNKFVRMQNQYIMDLTNYLYRNKVLSSKSLFGVPLDFFKLILENVYIPTAD FKNVKFFTITGIPALSYTCITILRRLETTENTKIKFASGIINEETFNDFLRVNHDEIA QHGWIKGVNNIHDLRVKILVYLSDTANPYRDIAVFLFTYLKSLSKYSPQNS SPAR_L03910 MLPRPSSRFLSTQRGPGRAVKKLIAIGEKWKQKTTRGPPKQGTL NNRSKYILCQFPYPSGVLHIGHLRVYVISDSLNRFYKQRGYNVIHPMGWDAFGLPAEN AAIERGINPAIWTRDNIAKMKQQMESMLANFDWDREVTTCDPEYYKFTQWIFLKLFEN GLAYRKEAEINWDPVDKTVLANEQVDAQGRSWRSGAIVEKKQLKQWFLGITKFAPKLR KHLNQLKDWPSNVKQMQKNWIGESIGAELVFKVADSKFENLIVFTTRPETLFAVQYVA LALDHPIVQKYSEVIPDLKEFLQKSDQLPSDTKEGFRLPDIKAVNPLTKEELPIFAAP YVISSYGTAPSAVMGCPGHDSRDFEFWQQNCPGEHIKTCIAPFFDDASKTSEKERQKI IDTVPFTSADGILTKESGEYSGVFTAVARKSIMGKLHSKGLSKNIIRYRIRDWLISRQ RYWGTPIPIIHCDNCGPVPVPESDLPVKLPELKGLDTKGNPLSTIDEFVNVACPSCGS PAKRETDTMDTFIDSSWYYFRFLDPKNTSKPFDREIASEHMPVDIYIGGVEHAILHLL YSRFIAKFLGSINAWDDPTGIFEPFRKLVTQGMVQGKTYVDPDSGKFLTPDELTFVKD PSDGNTTIIKSNGKIPMVSYEKMSKSKHNGADPNECILRHGADATRAHILFQSPIADA LNWDESKIVGIERWLQKVLCLTKNILGLEKNLAISKDYKTPTDLNDAEVKFHNDFQRF LKSITESFEVHLSLNTVISDYMKLTNLLESALKKSEVRKEMMVQNLQKLVTIIYPAVP SISEEAAELISSQMEWNQYRWPEVERTTESKFKKFQIVVNGRVKFMYTADKDFLKSGR DAVIETLLKLPEGRMYLMNKKIKKFVMKYNVISFLFHK SPAR_L03920 MISTTVSGKRPIEQVDDELLSLTAQQENEEQQQQRKRRRHQFAP MTQFNSNTLDEDSGFRSSSDVAAADQDNFLEDSPSGYIKKVILRNFMCHEHFELELGA RLNFIVGNNGSGKSAILTAITIGLGAKASETNRGSSLKDLIREGCYSAKITLHLDNSK YGAYQQGIFGNEIIVERIIKRDGPASFSLKSENGKEISNKKKDIQTVVDYFSVPVSNP MCFLSQDAARSFLTASTSQDKYSHFMKGTLLQEITENLLYASAIHDSAQENMALHLEN LKSLKAEYEDAKKLLRELNQTSDLNERKMLLQAKSLWIDVAHNTDACKTLENEIGGIQ QKVDEITEKIRNRQEKIERYTSDGNTIEAQIDAKVICVNEKDSEHQNARQLLRDVKSR FEKEKSNQAEAQSNIDQGRKKVDALNKTIAHLEEELTKEMGGDKDQMRQELEQLEKAN EKLREVNNSLVVSLQDVKNEERDIQYERESELRTVSRSIQNKKVELQNIAKGNDTFLM NFDRNMDRLLRTIEQRKSEFETPPIGPLGSFVTIRKDFEKWTRSIQRAISSSLNSFVV SNPKDNRLFRDIMRSCGIRSNIPIVTYRLSQFDYSKGRAHGNYPTIVDALEFSKPEIE CLFVDLSRIERIVLIEDKNEARNFLQRNPANVNMALSLRDRRSGFQLSGGYRLDTVTY QDKIRLKINSASDNGTRYLRDLIEQETKELQNIRDRYEGKLSEVRSRLREIDGRLKNT KSEMRKTNSRITELKMNVGKVVDTGILNSKINERKNQEQAIASYEAAKEELGLKIEQI AQEAQPIKEQYDSTKLALVEAQDELQRLKEDINSRQSRIKKYKDDIIYYEDKKKGYLE NIKKIEANVAVLNEGIQRQIQNACAFCSKERIENVDLPDTQEEIKRELDKVSRMIQKA EKSLGLSQEEVIALFEKCRNKYKEGQKKYVEIDEALNRLHNSLKARDQNYKNAEKGTC FDADMDFRASLKVRKFSGNLSFIKDTKSLEIYILTTNDEKARNVDTLSGGEKSFSQMA LLLATWKPMRSRIIALDEFDVFMDQVNRKIGTTLIVKKLKDIARTQTIIITPQDIGKI ADIDSSGVSIHRMRDPERQNNSNFYN SPAR_L03930 MRNLITLNKGKFRPVASTVEDDEDGLSFTLLDSLFDTLSDSITC VLGSTDIGAIEVQQFMKDGSRNVLASFNIQTFDDKLLSFVHFADINQLVFVFAQGDII TATYDPISLDPTETLIEIVGTIDNGIAAAQWSYDEETLAMVTKDRNVVVLSKLYEPIS EYHLEVDDLKISKHVTVGWGKKETQFRGKGVRAMEREALASLKASGLVGNQLRDPTMP YMVDTGDVTAMDSHEVTISWRGDCDYFAVSCVDEVPDEDDETKSIERRAFRVFSREGQ LDSASEPVTGMEHQLSWKPQGSLIASIQRKTDLGEEDSVDVIFFERNGLRHGEFDTRL PLDEKIESLCWNSNSEALAVVLADRIQFWTSKNYHWYLKQELYATDISYVKWHPEKDF TLMFSDAGFINIVDFAYKMTQGPTLEPFDNGTSLVVDGTTVNITPLALANAPPPMYYR DFETPGNVLDVACSLSNEIYAAINKEALVFAAVPSIEEMKKGKHPNVVCEFFKSEFAS EVDSLRQVAFINDSIVGVLLDTDNLSRIALLDIQDITQPTLITIVEVYDKIVLLRSDF DYNHLVYETRDGTVCQLDAEGQLMEITKFPQLVRDFKVKRVHNTSPEDDGNWSAESSE LVAFGITNNGKLFANQVLLASAVTSLEITDSFLLFTTAQHNLQFVHLNSTDFKALPMV EEGVEDERVRAIERGSILVSVIPSKSSVVLQATRGNLETIYPRIMVLAEVRKDIMAKR YKEAFVVCRTHRINLDILYDYAPELFIENLELFINQIGRVDYLNLFISCLSEDDVTKT KYKETLYSGISKSFGMEPAPLTEMQIYMKKKMFDPKTSKVNKICDAVLNVLLSNPEYK KKYLQTIITAYASQNPQNLSAALKLISELESSEEKDFCVTYLCFLQDVNVVYKSALSL YDVSLGLLVAQKSQMDPREYLPFLQELQDNEPLRRKFLIDDYLGNYEKALEHLSEIDK DGNVSEEVIDYVESHDLYKHGLALYRYDSRKQNVIYNIYAKCLSSNQMYMDAAVAYEM LGKFKEAMGAYQSGKKWREAISIAVQKFPEEIESVAEDLISSLTFEHRYVDAADIQLG YLDNVKEAVALYCKAYRYDTASLVAIKAKKGELLEEVVDPGLGEGFGIIAELLADCKG QINSQLRRLRELRAKKEENPYAFYGQETEQADDVSVAPSETSTQVSFFTRYTGKTGGT AKTGASRRTAKNKRREERKRARGKKGTIYEEEYLVQSVGRLIERLNQTKPDAVRVVEG LCRRNMREQAHQIQKNFVEVLDLLKANIKEIYSISEKDRERVNENGEVYYIPEIPVPE IHDFPKSHIVDF SPAR_L03940 MNCPSNIVLLLLQIVLQRQQTLAHRDKSLDLQELLREPIIDNDI LAEFKKHKLVQLYGPQYCCDISLRGLKTMVTDIFASGIPNAAQPSGNDQPVTVVELAN YYYMQRINELQNTELPQLKEVLLTGLEHMD SPAR_L03950 MEKSIAKGLSDKLYEKRKAAALELEKLVKQCVLEGDYDRIDKII DELCRDYAYALHQPMARNAGLMGLAATAIALGINDVGRYLRNILPPVLACFGDQNDQV RFYACESLYNIAKIAKGEILVYFNEIFDVLCKISADTENSVRGAAELLDRLIKDIVAE RASNYISIVNNGSHGLLPAIKTDPISGDVYQEEYEQDNQLAFSLPKFIPLLTERIYAI NPDTRVFLVDWLKVLLNTPGLELISYLPSFLGGLFTFLGDSHKDVRTVTHTLMDSLLH EVDRISKLQTEIKMKRLERLKMLEDKYNNSSTPTKKADGALIAEKKKTLMTALGGLSK PLNMETNDIKLSNTNEADDERHLINHEQLLDSEATSQEPLRDGEEYIPGQDINLNFPE VITVLVNNLASSEAEIQLIALHWIQVILSISPNVFIPFLSKILSVLLKLLSDSDPQIT EIAQLVNGQLLSLCSSYVGKETDGKIAYGPIVNSLTLQFFDSRIDAKIACLDWLILIY HKAPNQILKHNDSMFLTLLKSLSNRDTVLIEKALSLLQSLCSDSNDDYLRQFLQDLLT LFKRDTKLVKTRANFIMRQISSRLSPERVYKVISSILDNYNDTTFVKMMIQILSTNLI TSPEMSSLRNKLRTCEDGMFFNSLFKSWCPNPVSVISLCFVAENYELAYTVLQTYANY ELKLNDLVQLDILIQLFESPVFTRMRLQLLEQQKHPFLHKCLFGILMIIPQSKAFETL NRRLNSLNIWTSQSYVMNNYTRQRESSNFCDSNSDISQRSVSQSKLHFQELINHFKTV SEEDEYSSDMIRLDHGANNKSLLLGSFLDGIDEDKQEIVTPISPMNEAINEEMESPND SSSVILKDSSSLPFNHSMSDKSKK SPAR_L03960 MSSAFFTCNCCVIQFKTSDLQRYHMKTEWHRYNLKRRIASLPPI GAEQFAEKLQISEKEQTENQVDEFGFPVLKPIVMNQSNHHNKALLTKQKKPIKSKRGR KVGANLLKRSDRDSAKEKQNRSVSPSGSISSQLSNLTVGTENTNTDYGEDTVSEYGFT SDSNYEYATSDDELDMADKPIDREEDEKITITECIYCGKDSKEVERNVKHMFSEHGLF IPERSYLIDLNGLLEFLIKMIVIDHNCLCCNFHGSGLESIRAHMDSKRHCRLPYETKE ERQLFAPFYDFTYDDHTISENPQNGRSSTSKLSSICRNKYDEEDAEVDTTLVPPENDI NANYTTVSIDESGLELTLPTGARLGHRAGQRYYRQNLPSQPNPNESRRTVTAADRRMI SGVTEKQYKKGMKKMQQLEKNAINTQIRREIKRVNFQTHYRDELLQ SPAR_L03970 MAHENVWFSHPRRYGKGSRQCRVCSSHTGLIRKYGLNICRQCFR EKANDIGFNKFR SPAR_L03980 MGVALLASSSAYLIRPLLTQLVHFSPISLNFAVRRFKPFTCISH YYTTGPYSMTSNFKTFDLDFLKPDLDERSYRFIELPNKLKALLIQDPKADKAAASLDV NIGAFEDPEDLPGLAHFCEHLLFMGSEKFPDENEYSSYLSKHGGSSNAYTASQNTNYF FEVNHQHLFGALDRFSGFFSCPLFNKDSTDKEINAVNSENKKNLQNDIWRIYQLDKFL TNANHPYHKFSTGNIETLGTLPKENGLNVRDELLKFHKNFYSANLMKLCILGREDLDT LSDWTYNLFKDVANNGREVPFYAEPIMHPEHLQKIIQVHPVKDLKKLEISFTVPDMEE HWESKPPRILSHLIGHEGSGSLLAHLKKLGWANELSAGGHTVSKGNAFFAVDIDLTDN GLTHYRDVIVLIFQYIEMLKNSLPQKWIFNELQDISNATFKFKQAGSPSSTVSSLAKC LEKDYIPVDRILAMGLLTKYEPDLLTQYTDALVPENSRVTLISRSLETDSAEKWYGTA YKVVDYPKDLIKDMKSPGLNPALTLPRPNEFVSTNFKVDKIDGIKPLDEPILLLSDDV SKLWYKKDDRFWQPRGYIYLSFKLPHTHASIINSMLSTLYTQLANDALKDLQYDAACA DLRISFNKTNQGLAITASGFNEKLIILLTRFLQGVTSFEPKKDRFEILKDKTIRHLKN ILYEVPYSQMSNYYNAIINERSWSTAEKLEVFEKLTFEQLINFIPTIYEGVFFETLIH GNIKHEEALEVNSLIKSLIPNNINNLQVSNNRLRSYLLPKGKTFRYETALKDSRNVNS CIQHVTQLDVYSEDLSALSGLFAQLIHEPCFDTLRTKEQLGYVVFSSSLNNHGTANIR ILIQSEHTTPYLEWRINNFYENFGQVLRNMTDKDFEKHKEALCNSLLQKFKNMAEESA RYTAAIYLGDYNFTHRQKKAKLVANITKQQMIDFYENRIMGENASKMILHLKSQVENK ELNENELDTAKYPTGQLIEDVGAFKSTLFVAPVRQPMKNFETSAPTELNNSSELDGNR K SPAR_L03990 MNWLKHITSVVIVNQEKRQIQETPSLVHYAKEHFGYGHYRDRMP CGSIHGHEVLRAHSYR SPAR_L04000 MRATTLLSSVVSLALLSKEVLATPPACLLACVAQVGKSSSTCDS LNQVTCYCEHENSAVKKCLDSICPNNDADAAYSAFKSSCSEQNASLGDSSSSASSSAS SSSKVSSSTKASSSSTSSSTKASSSSASSSTKASSSSAVPSSSNASSTVSSSSSTKAP SSEESSSTHVSSTSEVHSSTAASSSTVSQETVSSSQPTSTAVISTFSEGSGNVLEAGK SVFIAAVAALLI SPAR_L04010 MAPNVSISLNPPYNGEFYSSNDQMSGVVNLQLTKALSIRKISVI LKGFSETLTKIDQEYMFQQNGMMMPGQDNKSFHTLMKFEQRVFPPDNVWNALDGSSKP FKVKPGSYKYSFQFDKFPRKPDCLKNHTAKTIAFVTRSNARLPPTFNSHWQEFNKIDN LDLYFYSFGKVIYMVQVQIELGKSSSWFKPFHKLIREIETFEFIPEPKDLIIEPDEDE DEELIGFSNNSRGNGIGVNNEFFNNSNLKVPSKEVKVVNGVGYIKSDRNFSQASSILI EDGDIRTRPASSAILTSQSSRLANGMKVFPSTYKIGLPDGESNMRIEVRSRDLKQIYR KDYLFRSGSQNFDKVYVVMEGNITSLSKMQIAPLKLQLNLLETTTYLSQGIANGNYSS LKLIEIDLNQVKSSKPLLDLNDIRENSNGSMFECELRLRDHPILRKLVFNEEDYRHRG NRLYSFKTCTIKRIFSLQLLIEWGINGIRKQSEVNVNPVQIFCQVREHIEAEALPRYV PPPTYTEMAT SPAR_L04020 MQLIFKRFYSPTPTRMSFLGKFLKPMMATASPKEYQIKQLIKPI GLTHAPKKNTKYSQGNSLKDMFDSEKTNHRVKELAVEFSKSGLYDVQVFQKTKGKLFI APISYWKDDRALFFPHLVGTSMDSTKQQNIEDLLRGKTSIVRLFSTAAGDKLSSSYFQ ETVSNNKKTNYLTEADARLSLNNNNVQIVEVNLVENAVKSALVKTLARWANPVPSWRQ PFYFECPRAQWPFSIREELFCNNVFSGYVFLVDQHLKIRWAACGEATPSEKEALWKFA KSL SPAR_L04030 MSDSIFEQPFVYCGVCHRRTSHGDPLRLTSCAHILCSQHSPVTS KVCPICHSNDISIIKLIESKQLPTDIRIFFEPLPQLLESLYNVSQFQLKGLSNQCQYY QNHCLKLREKCARQQQLLYQAKIELDSMAILKKRIQELEAVLKHNNVSSMSVGVLPTN ISHQNNYQPPPTVDLTVDDNSLEEFEAKSFIKKLKKNSSLRNSSKNNNGTVTPSTSSR VNKNQPLYMETLNNPNKNSIPSPSLNPNANGNLPNISTIAESTNLNRFSYSPLRVAKD FNSKLPNFDILTNNGSISSKNISRLSSASFQPSSPLSSSSGKLILPNNNIRELRHSNT PLTSTPTQFPSALEKLKITRKRNNTISGSNRITHNLSSHVRSSGIAFSSSSTSLQQSK LPKSNILKRSSSTQQLTNTHLKNDNSQPPRSSNTVLGGSKKNNKFRRIR SPAR_L04040 MLCQQMIKTTAKRSSNIMARPIIMKRSVHFKDGVYENIPFKVKG RKTPYALSHFGFFAVGFAIPFVACYVQLKKSGAF SPAR_L04050 MNRFWNTRKFSLTNADGLCSTLNEISQNDEILIVQPSVLPVLNS LLTFQDLTQSTPVRKIALLDDQLSDDLSSALGNVPQMDLIFLIDVRTSLRLPPQLIDA AQKHNLSSLHIIYCQWKPSFQNTLKDSEQCQKDGCALNSERSHFPNIIESQLKELSNE YTLYPWDLLPFPQIDENVLFTHSLYNMENTNMYYPKLRSLQSATESILVDDMANSLRS LIFETNSIITNVVSIGNLSKRCSHLLKKRIDEHQTENDLFIKGTLYGERTNCGLEMDL IILERNIDPITPLLTQLTYAGILDDLYEFNSGINIKEKDMNFNYKEDKIWNDLKFLNF GSVGPQLNKMAKELQTQYDTRHKAESVHEIKEFVDSLGSLQQKQAFLKNHTTLSSDVL KVVETEEYGSFNKILELELEILMGNTLNNDIEDIILELQYEYEVDQKKILRLICLLSL CKNSLREKDYEYLRTFMIDSWGIEKCFQLESLAELGLFTSKTGKTDLDIATSKSTRLQ KEYRYISQWLNTVPIEDEHAASKLTNDNDDFSEATFAYSGVVPLTMRLVQMLYDRSIL FHNYSSQQPFILSREPRVSQTEDLIEQLYGDSHAIEESIWVPETVTKKVNASIKGKNR RSIDGSNGTFQIAEDIALIVFLGGVTMGEIAILKHLQKRLGKKGINKRFIIIADGLVN GARIMNSIS SPAR_L04060 MAPKSSSSSSKRKSSATSNSADPKVAKFKLPVEFVTRPHPPKDH GKETCTAYIHPNVLSSLEINPGSFCTVGKVGENGVLVIARAGDEEVHPVNVITLSKTI RSVGNLILGDRLNLKRAQIQPPYATKVTIGSLQGCDILECIDEKVVQKLLNDSGILMP GMIFQNLRTNAGDESIDIIITDVSDDSLPDVGQLDLNLDEVYGGLDNQFYLSPPFIFR KGSTHITFSKQTQANRKYNLPEPISYAAVGGLGKEIESLKSAIEIPLHQPTLFSSFGV SPPRGILLHGPPGTGKTMLLRVVANTSNAHVLTINGPSIVSKYLGETESALRDIFNEA RRYQPSIIFIDEIDSIAPNRANDDSGEVESRVVATLLTLMDGMSAAGRVVIIAATNRP NSVDPALRRPGRFDQEVEIGIPDVDARFDILTKQFSRMSSDRHVLDSEAIKYIASKTH GYVGADLTALCRESVMKTIQRGLGTNANVDKFSLKVTLKDVDSAMVEIRPSAMREIFL EMPKVYWSDIGGQEELKTKMKEMIQLPLEASETFARLGISAPKGVLLYGPPGCSKTLT AKALATESGINFLAVKGPEIFNKYVGESERAIREIFRKARSAAPSIIFFDEIDALSPD RDGSSTSAANHVLTSLLNEIDGVEELKGVVIVAATNRPDEIDAALLRPGRLDRHIYVG PPDINARLEILKKCTKKFNTEESGVDLHELADRTEGYSGAEVVLLCQEAGLAAIMDDL DVTKVELRHFEKAFKGIARGITPEMLSYYEEFALRSGSSS SPAR_L04070 MSEGLSTSSIQELYQSLKKITNNAEVELFEDRITKLDFGSADEP EYANGIIKDRFLKPSNVLPWSLLDMVQDVPQMNSLDNRSDKLDYKELLQIPSPINRTS YQFKRTGLEGKISGYKEEVDLKEVANANASNSLSITRSINHNQNSVRGSTAQLPFTPG GIPMKSVKTGLEQNGSSAMANATKLLHKDGQGLFDIPEGMKRGIKPMDALAENEEQNG QLKELKQLNEIDNELDIRMETNEAKVKAEENSAKSLSEEIIEEATEETTASNADDAEI DELLPIGIDFSRTKPASKNVPVKKEWAHVVDLNHKIENFDELIPNPARSWPFELDTFQ KEAVYHLEQGDSVFVAAHTSAGKTVVAEYAIAMAHRNMTKTIYTSPIKALSNQKFRDF KETFDDVDIGLITGDVQINPDANCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVN DQDRGVVWEEVIIMLPQHVKFILLSATVPNTYEFANWIGRTKQKNIYVISTPKRPVPL EINIWAKKELIPVINQNSEFLEANFRKHKEILNGESAKGVPSKTDNGRGGSTARGGRG GSNTRGGRGGRGNSTRGGANRGGSRGAGAIGSNKRKFFTQDGPSKKTWPEIVNYLRKR ELLPMVVFVFSKKRCEEYADWLEGINFCNNKEKSQIHMFIEKSITRLKKEDRDLPQIL KTRSLLERGIAVHHGGLLPIVKELIEILFSKGFIKVLFATETFAMGLNLPTRTVIFSS IRKHDGNGLRELTPGEFTQMAGRAGRRGLDSTGTVIVMAYNSPLSITTFKEVTMGVPT RLQSQFRLTYNMILNLLRIEALRVEEMIKYSFSENAKETLQPEHEKQIKLLQEELQTI EYKSCEICDNDIERFLELMLAYKEATVNLMQEMVKSPSILHILKEGRLIAFRDSNDCL KLGFIFKVSLKDAICVIMTFTKPCRLPNGEPNHLIYFPKADGYRKRNFPKFQKTDFYM EEIPVTAIEVITKRKFAAPLGKVIKKDVAALNEFNTETNNILEGKTLREAINIEKQGL KIHQILLDRTNMRDDIFGLKSIKCPNLSEHIVPRYKAHVIEKKIEELYHLMSDQNLSL LPDYEKRLAVLKDTEFIDQNHNVLLKGRVACEINSGYELVLTELILDNFLGSFEPEEI VALLSVFVYEGKTREEESPIVTPRLAKGKQRIEEIYKKMLGVFNTHQIPLTQDEAEFL DRKRFAMMNVVYEWARGLSFKEIMEMSPEAEGTVVRVITWLDEICREVKTASIIIGNS TLHMKMSRAQELIKRDIVFAASLYL SPAR_L04080 MTDITPVQNDVDVNDSNVNGDVSSNLKRPMDQAQEVNGGSSNGL AEDETPANDELHLKKARLNGNAPISTPAQLAENGIEGATLAANEENGYIATAGGAEKE QHGLKKEGGEQGTKQEDADEDSKAKLPMEAPKEPAPAPPPEPDMNNLPQNPIPKHQQK HALLAIKAVKRLKDARPFLQPVDPVKLDIPFYFNYIKRPMDLSTIERKLNVGAYEVPE QITEDFNLMVNNSIRFNGPNAGISQMARNIQASFEKHMLNMPAKDAPPVITKGRRSSA QEDAPIVIRRAQTHNGRPKRTIHPPKSKDIYPYESKKPKSKRLQQAMKFCQGVLKELT AKKHASYNYPFLEPVDPVSMNLPTYFDYVKEPMDLGTIAKKLNDWEYQSMEDFERDVR LVFKNCYTFNPDGTIVNMMGHRLEEVFNSKWADRPNLDDYYSDEDSRNQADYDDYESE YSESDIDETIITNPAIQYLEEQLARMKVELQQLKKQELEKIRKERRLARGSKKRGKRS KGRSGSKTASSKGRRDKKNKLKTVVSYDMKRIITERINDLPTSKLEKAIDIIKKSMPN ISEDDEVELDLDTLDNHTILTLYNTFFRQYESSSGASNGLDGTSGITRDTSSLSPTSA GSRKRRSKALSQEEQSRQIEKIKNKLAILDSASPLSQNGSPGQVRSTAHNGFSSSSDD DVSSESEEE SPAR_L04090 MEQNADKRSIVGDDDSAVKRQDTSWSKGIAHIKPEYILPLKRNE SQKIAIYDEEMSSDRMVNDLSGSGGTNKKNKNGRGRKRGQNKNRDNRQVKEQNVLCPR LIHGNISKCSFGDTCRFVHDIDLYLSTKKPEIESDIFPTCPVFNSLGFCPMGFKCRFL SSHLNKEENTLISTKEIDPDAQTIWSVKGEVNHISPERKLDLIKRRFPFTKSNEVLEI IDSFQQECRDSVKPEEEVELTPQQKKQDPEVEQPVAPQVEQRTKELSEHRMRQREVYL KYKDTRYFAQEKKLLDLHHKKIVSPLTTVGNLPYRRLMRKLGADVTYSEMALAVPLVQ GTNSEWALPKAHKSEFPGFGVQVACSKAWQAAKAAEALADSVSEISEINLNSGCPIDL LYRQGSGSALLDNPARMIRCLNAMNYVSKDIPITVKIRTGTKEGHPIAEGLVKRLVNE TEVAAITLHGRSRQQRYTKSADWEYVSQVADTLRSAEADFKETEQGKECRDSKNRIQF VGNGDVNNFEDWYRYLNGNENIDSVMVARGALIKPWIFEEVDSQQYLDKTSTERLEIL KDYAQFSMEHWGTDEYGISQCRRFFCEFMSFFHRYVPMGICERYPVKLNERPPNWCGR DELETLMGSTDVNDWIKLSDLFFGKTDENFVFVPKHKSSSFANRDS SPAR_L04100 MDFTTMTMASNMATTTATTATPAHASINSSSNSNIDIDSNQNTP SILINNNSDSSNGKNTDFNGVNNIHQKNITNNTNNVHLYSPNIMDQTLLTPQDIAKLR RESIAHSQGMGGVSWGSISVGSWLRDEIISRRGSIVPASANGAVAAAAATAAATTGAT NTLQIQQPTKRPSVCNPPYHRGYSISPQIAYTAYLPNLEKQYCKDYSCCGLSLPGLHD LLRHYEEAHISTSPNTTNMSQIPMNSAVNAGSSVRMTNNNSTANYNLQNNMAANTKNA AQKTNNMQAHSSNATNNNSINNMHANLHNNMDSNSTIRQSQHPHHQQNIIQQQLQSNS VNHSSAAVPTPSAMGSATASSTTANPNVISITGGPNSNLSMANHSQQLHLNGNLVDAV STNDVFLRTNNSPSRHTPHNKPINSNNNSGININNNNSHNTNNINMGNKNVMVNRPHT FNNYSLNKTSRNPIPHQSRKIDPHQTDLSPLVLVQDIDLSFMDDDILGPGNHNTMNST VNPTANPHNYNTFHSSVHVKSSQNIVDDQDIDDIDDDDDVDDDDDDDDDDDTENGSTS NGKSVHNNNYKMPQQAYIDDPARRLYVMDHEEQKPFKCPVIGCEKTYKNQNGLKYHRL HGHQNQKLHENPDGTFSVIDPDSTDSFGDGMGSAKDKPYRCEVCGKRYKNLNGLKYHR GHSTH SPAR_L04110 MKINISRPLQLLQWTSYIVAVFLIQLLIILPLSILIYHDFYLRL LPADSSNIVPLNTFNILNGVQFGTKFSQSISTIPVGTDLPQTIDNGLSQLIPMRDNIE YKLDLNLEFYCQSRTDRSNLDNLLIDIYRGPGPILGVPGRGDSKDEKVFHTSRPIVCL ALTDSMSPQEIEQLGPSRLNVYGEEWLNTIRIEDKISLDSSYETISVFLKTEIAQRNL IICPESGIKFRMNFEQGLRNLMLRKRFLSYIIGISIFHCIICVLFFITGCTAFIFVRK GQERSKKHS SPAR_L04120 MPIPSGNVVVPKPKLTTKETDPLHIIKTRQKTHGRPVTIAGPMV RYSKLPFRQLCREYNVDIVYSPMILAREYVRNEHARISDLSTNDKDTPLIVQVGVNNV ADLLKFVEMVAPYCDGVGINCGCPIKEQIREGIGCALIYNPDLLCSMVHAVKDKYGDK LRIETKIRIHEELDETVELCRRLCDAGVDWITIHGRTRRTRSSQPANLDAIKYIIENI SDKNVPVIANGDCFKSSDLERITKYTGASGVMAVRGLLSNPTLFAGYETCPWGCIEKF WYWVLEFGGLPFQLTQHHLYCMLENMEVKKSLLKEMMNLKNYISLIDWFDKTFDFKRY GEDGFGKAVEIPYKINGCV SPAR_L04130 MAASTSKTPKKNIKNTLTHTLQKWKETLKKITHETLSSIDDSSG SDEKIEALFTVSQPAVVASKGTDRDSGASMSQVGGGVNSTLEMKLTDESEESSSANNT TTTASHTLSNSKKSVQNFENYNVVEERIKLAQISKAPFCNAEKIWKRRRQLWTQPTEQ SESANNDGATRREIFQAIPQEYYARVYKKLVVDDKPLREPLNLEDALQVINAGWTETR KWANAAKGMP SPAR_L04140 MPNRTEELDRLVDKIINSPHGTEASKTLEEIENNQSYILNVQLK KLVRLHDDSFKNKCVSPVTYMLEKYTPYMGHTEALQKEAELVDRDLRIIEMTYQLIKK NRNSK SPAR_L04150 MSIDLKKRKVEEGVRSKGKNSKVFSPFRIIGNVSNGIPFATGTL GSTFYIVTSVGNTFQIYDANTLHLLFVSEKETPSPIVALSAHFHYVYAAYENKVGIYK RGVEEHLLELETDASVQHLCVFGDYLCASTDDNSIFIFKKSDSQDKYPSEFYTKLTVA EIQGGEIVSLQHLATYLNKLTVVTKSNVLLFNVRTGKLVYTSNEFPDQITTAEPAPVL DIIALGTITGEVIMFNMRKGKKVRTIRIPQSRVSSLSFRTDGSSHLSVGTSSGDLIFY DLDRRSRIHVLKNIHRESYGGVTEATFLNGQPIIVTSGGDNSLKEYVFDPSLSQGSGD VVVQPPRYLRSRGGHSQPPSCIAFADSQSHFMLSASKDRSLWAFSLRKDAQSQEMSQR LHKKQDGGRVGGSTIKAKFPEIVALAIENARIGEWENIVTAHKDEKFARTWDMRNKRV GRWTFGTTDDGFVKSVAISQCGNFGFVGSSNGSITIYNMQSGILRKKYKLHKRAVTGI ALDGMNRKMVSCGLDGIVGFYDFNKSTLLGKLKLDAPITSMVYHRSSDLFALALDDLS IVVIDAVTQRVVRQLWGHSNRITAFDFSPEGRWIVSASLDSTIRTWDLPTGGCIDGII VDNVATNVKFSPNGDLLATTHVTGNGICIWTNRAQFKAVSTRTIDESEFERMALPSTS VRGNDSMLSGALESNEGEDLNDIDFTTYTSLEQIDKDLLTLSIGPRSKMNTLLHLDVI RQRSKPKEAPKKSEKLPFFLQLSGEKVGDEASGREGIAHETPEEIHRRDQEAQKKLDA EEQMNKFRVTGRLGFESHFTKQLREGSQSNDYSLLLATLINLSPAAVDLEIRSLNSFE PFDEIVWFIDALTQGLKSNRNFELYETFISLLFKAHGDVIHANNKNQDIAIALQNWEN VHKNEDRLDDLVKFCMGVANFVTTA SPAR_L04160 MSGIKQELIEPDGVPQQEARSNSPSTPSEMSPLFLNKNTQKAMQ SIAPILEGFSPKTSASENMSLKLPPPGIQDNHSEENLTVHDTLQRTISTELGNGNSAN KVMTSSLKKVDSDSKSETDPEGLPSNSSIDNEAGNMNSASKTGSPHAPQGIMDIEQAN VGTSSAPTSSTSSRKSSTSHPKPRLPKIGKIGVCAMDAKVLSKPMRHILNRLIEHGEF ETVIFGDKVILDERIENWPTCDFLISFFSSGFPLDKAIKYVKLRKPFIINDLIMQKIL WDRRLCLQVLEAYSVPTPPRLEISRDGGPRANEELRAKLREHGVEVKPVEEPEWKMVD DDTLEVDGRTMTKPFVEKPVDGEDHNIYIYYHSKNGGGGRRLFRKVGNKSSEFDPTLV HPRTEGSYIYEQFMDTDNFEDVKAYTIGENFCHAETRKSPVVDGIVRRNTHGKEVRYI TELSDEEKTIAGKVSKAFSQMICGFDLLRVSGKSYVIDVNGFSFVKDNKAYYDSCANI LRSTFIEAKKKMDMEKKNLPIIREEKEQKWVFKGLAIIIRHADRTPKQKFKHSFTSPI FISLLKGHKEEVVIRNVNDLKIVLQALRIALDEKAGNPAKIKVLANALEKKLSFPGTK IQLKPVLNKENEVEKVQFILKWGGEPTHSAKYQATELGEQMRQDFDLLNKSILQNIKI FSSSERRVLHTAQYWTRALFGADELGSDEISIRKDLLDDSNAAKDLMDKVKKKLKPLL REGKEAPPQFAWPSKMPEPYLVIKRVVELMNYHKKIMDNNFAKKDVNSMQTRWCTSED PSLFKERWDKLFKEFNNAEKVDPSKISELYDTMKYDALHNRQFLENIFDPGLPNEAIA DELGSHSLVDRYPINVLAKNNFKIIDSHSMNSSSKNSSNSVGSLGWVLESGKTSTARN PKSSSQFDEPRFMQLRELYKLAKVLFDFICPKEYGISDAEKLDIGLLTSLPLAKQILN DIGDMKNRETPACVAYFTKESHIYTLLNIIYESGIPMRIARNALPELDYLSQITFELY ESTDASGQKSHSIRLKMSPGCHTQDPLDVQLDDRHYISCIPKISLTKHLDMDYVQQKL RNKFTRVIMPPKFTPVNITSPNLSFQKRKTRRKSVSVEKSKLPASSGSSSSTSVNKTL E SPAR_L04170 MNMGGTSSTAAKKATCKISMLWNWYTIDTCFIARSWRNDTKGKF AGSCIGCFALVVVAQWLTRFSRQFDVELLKRQKIKHLASYSPEEYVVKCGDEDAKSDM EELQGFYNEPSWKTTLISLQKSFIYSFYVWGPRRLNEPEDDLLKKVLSCCTLVTPVDL YPTFLDHMIRVTIFVLQWGLSYIIMLLFMYYNGYIIISCLIGAIVGRFIFCYEPLGSL GSSGSAQGTVSYDKESDDRKCCL SPAR_L04180 MDLEATRTKFKKTGKKVLPAKSFEEIVRANRDVLKESVFLSNLF QILQPHVNNIKKIRCLAIGNFREDFPATYQFTLLLEIIDYIKSEDDRDVLISLYDPIF TKEEIQYLKSLGSRWLIEEEFLESDARDYESVLYFLPHAPLDLTENILSSQRPHLWLA NNMISHTDRYTKAKLCEKYPNLGKLVHYLQPNTALEGKKLHDVDDFETFIPKRKRKNR NNSSKLKVKLPEIDYNSIATKFKSCQILTDFDEGKYLKEKPWINSFSDLTLHAIEY SPAR_L04190 MNFKILLPICALLTLTTFLLTIIATAGSTSNYKPITNIFIGDAN ISKINVTKVMPQVGPILTVLGSALTAPNTTVDSIFGALKAIASTEALSPLLHLLSNAA NTSATLSSLTQLAPMALAGSNNATTTAFSALEELLTTSKNTTELLGGFSTLMSSMSAN ASSTSTSLENTVLTLLVDSTNPIGTTESLITLNNMTTEEKTKLSPVFELFAASKNLTA TCDALETIMNSSVPTSTVSSLFSSLKTSIEEGGNATATIIQLGSLVPSTLKPAVQAVI TLFDETTSQNTTLTVLSTMIAENITQSSSAKAAMGALTDLLNYTTNQTELLTSVESLA LSKEAASSTNQLVALDEILSASANASAVVSLIPTLESQLASNTALLKYVPYLFSLLAA SSNPVSSFSSLVNITKWAETNAATFMPMLKILSSAETMTAITPEQLKEMTPSILEYLH IPVMYRLSIFTMCRAHLNKTMYSCSKSHAVQNMDFRSIVYNNIEGSDFKPYMDALDIG KDDLHLDGELQDRQHMYVPAVKAALAMNLMCIITSFFFMVCLLLLSRRSVVSQKLWLG LGFTSCWICIFSGLGSTIFSVILNMMKSGSKKDDYDVIISGSSPFYGLMWSGFVFAVL VFLCIAYCWWTSRKGAAVVEAEKAIQESDSTSRIIEEHESVIDAEKNFAR SPAR_L04200 MRNFFTLFFAAIFSLGALILAIIACAGSTKNYSPINKIYCAELD LSQMKVSTIFPSLSSVTLSSLGLPAYINIGLWSYCTVDSSHNIQSCSSPNGIQKFNLT SLVYDNINDNEALKLIDSVADVVLPEKLRSKMTYYNNLVKCMFITILIGIVLTFVNLV FNILRWIIHIRPLAWGGAFFSFFAFAALLVSIGSCLGTYSYIKYILKHNYSDYGISMS IGRNYQGLMWGAVVGALLNFILWCSVRSRPTVIYANAPIEEKPLI SPAR_L04210 MPFQVSQDSAYEACHSLPFGSQSHTHSPLFQHHQIAAVEWCGTT RPGQTKKERSAPRALFPDRAYYQPSPA SPAR_L04220 MEHWHYVETTSSGQPLLREGEKDIFIDQSVGLYHGKSKILQRQR GRVFLTSQRIIYIDDAKPTQNSLGLELDDLAYVDYSSGFLTRSPRLILFFKDSSSKDE LDKNADTASADIVSTWVCPICMVSNETLGEFTKDTLPAPICINCGVPADYELTKSSIN SSNTTDPNTSSGSRSGVNSENICPACTFANHPEIGNCEICGHRLPNASKVRSKLSKLK TFHDSRIHIELEKNSLVRSKSSHSPSSSSLSTASSTEFVQLSFRKSDGVLFSQATERA LESILTEKNKHIFNQNVVSVNGVDTRKEPNPHEYNNGMPFIETKLSRIGIASLEKSRE NQLLNNDILFNNALTDLNKLMSLATSIERLYKNSNITMKNKTTNFQDESTSSETKTRR PLLILDREKFLNKELFLDEIAREIYEFTLSEFKDLNNDNSNTNYMIITLVDLYAMYNK SMRIGTGLISPMEMREACERFEDLGLNELRLVKVNKRILCLTSEKFDVVKEKLVDLIG DNPGSDLLKLTQILSSNNSESNWTLGILMEVLQNCVNEGDLLIDKQLSGIYYYKNSYW PSHI SPAR_L04230 MASSLERLREHLKKGDKLTLKNSEGQTTDDITKATVVETSSSDG ITQDSFPLNEETEIEIDGSLVQLRIIVHCWMNKDSSAADYVADCQNKQLTNVSFLQRT DLINWLSGNTESSQYLKAPGQNGQTSDKVNTADNETKSSTDGLSRAKSTAAGTVENDL EVSDPVVVETMKHERVLVDHNSALRGAKPINFGYLIKDAELKLVQSIKGSLRGSKLPP GHKGAHGRISKTNGLPGGPRKDPIILIPSAASSILTVANIKQFLLESKYVNPRNLPSV PNGLVNIEKNFERISRPLRFIIVDNTRMFTKPEYWDRVVAIFTTGHTWQFNNYQWNSP QELFQRCKGYYFHFAGDSVPQHVQQWNVEKVELDKNKRFKDVEVVRYFWHSLEKELIS RGYR SPAR_L04240 MAKKTKSNSKNSTPVNDVPVAAGKKKAKGKKGQEQEPEDDKRAK QQSNRAKVTSTASWTGKLPHTILHETCQKRKWNKVEYDMKKIGDKGFIAIAVLSFTDP KTKETLTTRMSDPTYDKASGKGIVIPQETPVEARHIASTIALYRVAYNTNLHMMLPPN HRKTWYALDDFRKDNLKTNEKRINKLFDLDPFKTMVEDRKLKLQREKDQAAQNNQAQK EQVAQTILSSHSGLSSSRKNPKERKSTSHKHSHNPSLVRFPKKVWENSIFVDLDESSR QLIETSLKEKIDWQNKKITHNNNTITEDREDLKAKLLTLQFRPKHVEEAMLYKDPLSF LLFNLPEDDLPPFFHKKKGDTKNKVEISNLPLSTRMIIERLTEIGVSSDEALLALQQN DMNENEAAGFLTRENLPTLNNNTNETVSETESIECWNQELESLESIYEGCVMDTKENS HYTLNLIEKLKIKLKVYRTKNYPASLPGIVVSTFDKNYKLPDYIKKQILTKLLHYLQE GNLIGDMLVYHIYEWLKDNIFEIIDNPGPLVPELDSKSTINKRNIPNGKKNTNNSSSR KFVKNTISEDMLCVLKEEYIKRIKSPEYKNMQLIREQLPAWKKQKVIIDIINKNEVVL ITGETGSGKSTQVVQFVLDFLQREESDFGKTRIVCTQPRRISAIGLAERVSDERCVAC GDEVGYVIRGVNKTKAFTRIKFMTTGVLVRLLQNARTMLEDTIVVIDEVHERSIDTDL IVTLMKNLLHKVRGMKIVLMSATVNVDLFKKFFPGLATCHIEGRTFPITDYFLEDILD DLDFKIKREKALYYGDDDNADERSNDDQYLKPRADSKFFSSGQINYDLLCQVVEHVDK RLKDADNNGSIIVFLPGVGEINKCCNLLANKTNGVDFIVLPLHSALTPEDQKRVFKKY HRKRKVVVSTNIAETSITIDDCVATIDTGRAKSMFYNPKDNTTKLIESFISKAEVKQR RGRAGRVREGLSYKLFSKNLYENDMIPMPIPEIKRIPLESLYLSVKAMGVKDVKAFLS TALDAPPLPALQKAERILTTVGLVDEFDKSLTQLGQFISLMPVMDSKHGKLLIYGILF GCTDICVLLVSILGIGALPFIGGFENREKIKRVLCKYESRGDLFAVLEVVKGYLKIKD PTTKRKYLRDHLLSYNKINEIKSSRAQYYSILKDVGFLPMGYKAGNISDLNRNERNFD ILRAILTGAFYPHVARVQLPDVKYLSTSSGAVEKDPEAKMIKYWIRSEEYQDKLEEYK TNNSQETQKVDLEDLPLPATRAFIHPSSVLFSTNSVNLEDAKLLSEIEGPISRQSKIP TVVRYPFVLFTTSQVTNKLYLRDLTPTTTLSLLLFGGAISYDIGGTIHSPGIIVDNWL PIRTWCKNGVLIKELRTQLDEAIRKKLESPDYAKKSQIDNSDADKTLKIVEKIVASEQ SPAR_L04250 MVQEINLGLTCDMHVHVREGAMCELVTPKIRDGGVSVAYIMPNL QPPITTLDRVIEYKKTLQKLAPKTTFLMSFYLSKDLTPDLIHEAAQQHAIRGVKCYPA GVTTNSAAGVDPNDFSAFYPIFKAMEEENLILNLHGEKPSVHEGDEEPIHVLNAEEAF LPALRKLHDDFPNLKIILEHCTSESAIKTIEDINKNVKKAADVRVAATLTAHHLFLTI DDWAGNPVNFCKPVAKLPNDKKALIKAAVSGKPYFFFGSDSAPHPVQNKAKYKGVCAG VYSQSFAIPYIAQVFEEQNALENLKGFVSDFGISFYEVIDNEVASLDKAILFKSEQVV PQSISDGKEISIIPFKAGDKLSWSVRWEPR SPAR_L04260 MSSTVIKFRAGFCEYNEDSRLCTPIPVQGEIEIKPNEEEELGFW DFEWRPTEKPVGRELDPISLILIPGETMWVPIKSTKSGRIFALVFSSNEMYFFWLQEK SSGNLPLNELSAKDKEIYDKMIEVLNNGGESDNEEESHDEKQKAQDVDVNMQD SPAR_L04270 MSQQDSQRWLPTDRLIYGVLVKSFLPLQRYPELVYENSNYANVY VGAEIYVFEESVDRKWCRAYQCLRPFPEEFISNMNSANDVLPDVKPKVVVFPRKFVHF EPEKAVSTMPFFKAPSADDFKPLISKECESRSFCDSLYVSSTDDISTGKPRKTPRPPF PFFRYQKRSFKDEMGPILSLISSHVYSMYSIGEFSIYRKMIKLYYDLDTIRFRLSMNL TTETEKINLIRAATSLRTKIAKFLSSTYRKNKLIANSTPRNPDPYGFEGIFARDIDTG ELLSYEIDKLRTLVSSSMLCGLTNSFPTIPVVESEDESSSNGIFGTVRSSILVNLKDL AWDPSISNPKYQDLSICVYLRTKEEVLTESFTMTKSSDMEAALDEIPAMLFKNILETI MHKNKVYLVVVLKETIAITTETAPEISSYNISTQESSSHSPFSPFNSSAENKIDHVKK GLAAGVIDISPVFKFYNGPSVANKAQRFNLYLYSSDSSDSHHLNPSKDTDLGWGGLIN KIIKDSSEGVSVNPRAVSLSVTVKEIIGKQEAEKVLSTSLVPIRCIPTYFYDTMFSQA ERIYLSLGRVSLCGLASADTNIENVTVQISCRNKAVKFCKNKLEERSGDWKFVSVRPN ESIGESIRIEGVENMNEDETLRVLVYLNGFLMAKSNIHIKKKNEIIEYRKGTVFQIMS SKSVPLIHLELEASYFGRKYNINPAIANFLILQTKNVEFDQQLKKHYAVTLKNLNNVS FKDLLKHFDTILAHYLLLLELVNEAADKKGLSPSLPSIVFSEFVKFLNLMLTHQENSR YWFNRLYKKVLSKELECPNVAPTLIKHMTIIFDRSHSSWTRTGTAVCRTILYLIVLAI GSSHSDEMPNFREFFRSLHKFLMLPDEPIMADQILLIESFPSMLETMTNHCKVDDLVR FAIELFECCQEKEINQKMYSKTLSIREEEYLNAKFTCLLKLINKKVLRRYLTTTESVD KLRLQFLSKTLEWVLTPYAPGDDKRFHVESLRLVNSVLITIIEDYKLDMLQRNLIRLL PYLCKSFVHLRRYCKKARLMRPRRVFTVLFPKEIPCNYIPVDSIVNDEVVVEVLLELA IIICEIAKIASQTFASYRSFSEIINLCDKDTLFQSSFYSRQITDEHVYTITKTVFLFF KQDWFPGMKWLGVSALLGRSSLILLSLCKDYIIKNNSPSLSKESENRVDMRLWAEYVK VILLVSNHKSASLTKLAITPRKAVYLISGDLKKISAYILNDCWDALATGHYNATYAKK YGLGALSDCQFELFVHNQFLIREIFIFAFHRHIDATRICCKILWGLGLNFWRIFGSLQ PAVNACIPELFSAYQIGKLRLNDYELERFVSCLFFMMHVPDSDTFFPPCMDFLRDLLG FLHIVNEIYKIPNQEEFDDDRTARHIEMFEYLLEANRPELFHKMIYDLFIHFIQKKDF VQAALSLELLAGTYAWDSNDTLEAISFPPLPEQSSFERKEYLLKESARNFSRGQKPEK ALAVYKDLIKAYDEINYDLNGLAFVHDQIAGIYTRLQSIDRLVPTYFKISFMGFGFPK SLRNKSFVFEGLPFEHITSMHDRLLRSYHGSNIVHSQEEVDMLLMNPPMGKYIHVASV EPCLSISDNYNSSDKKSSINNKVRMYIENRNLRTFSNSRRLPGAKGVTDLWVEEYTYH TMNTFPTLMNRSEIVKITKSKLSPLENAIRSLQVKIQELYGLENMCNKTLKDHGDVND LFSELSTNITGTISAPVNGGISQYKAFLESSISKQFSTEDLARLTLAFDELVAVLGRC LTLHAELLPSKELKPSHDLLVRLFEENFAEEIERYSRTISETNPGRNKMITARIISPK NPNKKASFSGRDHHTSGSNHSQFVLEHSDSFGPNSLLFGKYLTRTLSHSSTTSSLDKS GIASGTSSTFLAGPQPNTSAELQHKYDHSHSG SPAR_L04280 MNEGDVTKFVNNARKTLTDAQLLCSSANLRIVDIKKKLSSWQLS ISKLNFLIVGLRQQGKFLYAILREGIGKKLIQKQWNQVILVVLVDEMNYWQHEITSKV KRLDGIVNELIMTDKDNTDPSKLGDYISRDNVDLLHDKLKEVPVIQRQIENIKLQYEN MVRKVNKELIDTKLTGITQRFQSKFGIDKLMETNVAEQFSKELTDLEKDLAEIMNSLT QHFDKTLLLQDKKIDNEEREDLFKVVQGDDQELYNISKTLHEIIDDVDKSILNLGQFL QTKINEKTEIHSEVSEIIDDFNRNLEYLLIFKDISNLIDTFKNSCRQDIQTTKELCEF YDNFEESYGNLVLEAKRRKEVANRMKTILKDCEKQLQNLDAQDQEERQNFIAENGTYL PETIWPSKIDDFSSLYTLNYDVKDP SPAR_L04290 MEESNSNTDEKSFFKKRRVDPYNYSDEEDDESVIGSDTAYANDA LKTSNGSVPMISKLTKTYGIGAKLLSKMGYVAGKGLGKDGSGIATPIETQSRPMHNAG LGMFSKTITNSYDSEDEDRLSSGDEVVEGVEQVKFNKTSTEILGERLLHDSGDMTIVQ TLRELRIAGVQLPDKILKELDLLNTVPKSKKDAFVESLQELLGIERSLETIRQRTSPL EVQIREYDGQQRLLSELEVTLRDESGHISLFDKISTILKLSDDELIDRLTSTLLSKEL LIELDVDHLENSSDVLNELTHIIELLTYRMDTASKFLNRTQTTIFKIIYPKLEKFWKG FDLTKSKIASTITLLLDFEQALNFIECREHIMEEFIYPKLLQALDNWELYDQEGHVSP RVWVLDFMVLIDDKVKEKIVNKIEAKFLTYCKNWYHRESFCITNANIIFIRELICEKR YYRILCKEFLPKFLDELWERHNEPIFELEDWKEKQEEGEKDSGFFYFMKKLRSYTHYF HPKQYELMVRGTFNNINKILYQWHLYSTVKDLHKSKWWLNWLMNTVFEDSLPTGMELL EVRKSYTIFAMSDRLHIDKSTLDEDFDLRLGLRNLMETQVIDDINRLEQVPTYSIQNI PLAKVGSSFKDVVEDYCLEKGYLISKIPNRYTQLPYGRDRDCIVPLFEIRNGRKTVEV ALKHDILWVEDSTGTFKPIYLWALNL SPAR_L04300 MYRYNKSSPLERTPEKRVSRHESQRKSIELPKLPPLNTRNSFLD DSDNGTDNISIGWTPISDTQQFQSPVPQAFAFTSKHHVRGNGTSSSESTPKSTKYVKE RRPPPPPPLLNSTESIRIDSPVASPRSRSRERSPNKLPFVGDLEERHHVDYMSNHSRI LKSPFVNGFSSHSFKSPTDGGKLQAQFSDESDLRCHEREKALPPIPSTTTLLLSPFDE EDSEFFTKPPPPLSTSRNISGHSRVSETLESVYSDSDYTFNNSNARQSSFNSLLGAKP LELAPSITAPTQPFSIQSIDEHKLYQCDNVYQLSAIYEWILKVYFEWYNECVFTKIDL FQIVQLLLEFQMPTNFDQDTIDSNVDNIMASLITQKAVRFDIINDEEVAVVVGGLDIA GIFSELLPCYSFIDNTYGSNNSLICYSNMCTHGQSSGFRKEIKLSEIINKSVGLWTEY WHLTPDDLAEINPREVQRQSFIFDLIILEERSLNMATAAVEIYGKRFDKSLLPDEPEF KALAFDIFEPLIQLHTEFLLTPIFWKLKTRGKFIDGIGKIYSKWCGEAKNIYLNYAKA MATVHEIIMWEKKKKTRFVAWLKEIDNSVEITRSKMYHDVIFFGGFFKSLQNMPVTLR SILKNTDPSMEDYEYLKIVIKDVEKLNFEVNRVHGLAIDHRKLVRFSKQLVLSTNSSN ATSYVNVGGSTNVNDGGAIQDKLALGLTYPERKLVLSGTVYKKRDLWLDPTPVYIALL DNCLLITEEISKGESQKYKLIERPIPIDYLSLEKRRIPETNKQPLRNYSQREHKSPMH NFSTPISSMRPLLKSSGNHMSTTYGDRKTSNTEISNANPNTDEFSFKIRNTATGESFK FFTGSVEVLNQWIDAIMESFKRNAENHDLNAFEFTVLSSEFAYFDKDAPVNLPVAPEG SEIDVALKAYAEKVDQDSCSWSKTTRILCCEDVKFEGRIYLFVATTDGVHVKFRDDYS NGFVKILELNDVKRMEANVKLGLLFVLDNRKLCYFSISTVVSRCLAQKTPADEKFIAG IVIRDKVRFFKIADDFGNSKHLFFERKGKIVILTPEFDQLTNKVKYFKFYKEYKLPSS SNNILNNEIEDIAIFRKSFAVCTKKTVILYQDSFEDNGIVLPSFLNDKEMMAHLRHPH LNNLPFKNVIDSKKRPSIESLTEEAKKDIATCKAIPVNFFQISQSRFFALVYDEAVVK INCYGEMSDWRKDILLLDFCCTGASFHGNHLILVGDNLIQIYDLKNVEQDLGELVPIQ IIKGKKIKLASSERREKTILALSHPNILNRQLLVACNPVTMADHQ SPAR_L04310 MNIDCLCHWIVLPLLRYPLLVSLVLRRSLSDSTSICLTVYTLLI NAFLIANSYIKRSGQITWKSLHEFKNGIVLVTGGSKGLGRAIVFQLLQDYSNLTILNV DICPSPVRDPRVKDLICDLSDDEEVTALLNLLKRKYKSEIRLIVNNAGVRANFTGFNR IKRENLDKVFKINTFAPLQFIQELAPSRRSTRQCYIINIASILGILTPAKVAAYAASK AALIAFHQSYSFELQNEGVRNIRTLLVTPGQLNTEMFAGFKPPRQFFAPVIDIDTLAA RIVSCCESGQRGQLNEPFYCSFAHLLMCIPYSLQRTVRSFTCIDCCLPDE SPAR_L04320 MVEPEMQKKASGSSGGSEMDALNAPSNCGKQAVSSNKGNPVRKK KPVNKVSNGRDNAHNYHREGRRKSNKQQRPRASYKETSTKISDQDIDLSIQEEILGGN FKLRGRKTQVSINHLLNFQLPEVEREKSRSSSSKKSNRRRDEHVHLHGDTFVNVNYRL LVDDRFDYPEQSSDPNVPVDQEKILRVIVPKGQNCSICLSEEPVAPRMVTCGHIFCLS CLLNFFSIEETIKNKETGYSKKKKYKECPLCGSIIGPKRVKPVLYEDDFDVTRLNQKP EPGATVNLQLMCKPHGSLLPLPVALHLDPLKCGNFPPASLGSIKHYAHIMKCGVSYSL ELYQKDIVAIQEQYEIDKAIYNDSGKFVKQSIENINDQISTLLAATTDMSPLSNDINS GLNNFHFDDDLLTKYDDSSAYFFYQTLVASSTKYFLSPLDVKILLTIFHCYSKFPESM ETTIENIHYDTVVTEQLIRRYKYISHLPIGTEIALLDLDWRKIPFLPKNIYEQFVHEL KQRRRKFTMKKQKEDKEKKLYEKRLEQEHAEFYRKENGNSLQFEDSVQMTTHYESIVN SPVPFSSLGISKLGPPTNASSVPQKKLPSHTERTIWGTSIAVTEDEKASKENKEFQDM LLQRMRQEGNSDPTHSTNFPPTSNGKRGKKKKGKVMLFSSNHQALG SPAR_L04330 MSGKFVRASKYRHVFGQAAKKELQYEKLKVTNNAWDSNLLKTNG KFIAVNWNASGGGAFAIVPIEEVGKAPDQVPLFRGHTAQVLDTDFDPFNDHRIASSSD DSKIGIWDIPEEYKFHNHVDEDGEPIDIKPVKFLTGHARKVGHVLYHPVAENVLASSS GDYTVKLWNVETGNDMITLKHPDMVTSMSFSYDGNYLATVARDKKLRVWDIREEKIVS EGPAHTGAKNQRVVWLGNSDRLATTGFSKLSDRQIGIWDAFNIEKGDLGGFYTVDQSS GILMPFYDEGNKILYLVGKGDGNIRYYEFQNDELFELSEFQSTEAQRGFAVAPKRMVN VKENEVLKGFKTVVDQRIEPVSFFVPRRSEEFQEDIYPDAPSDKPALTAKEWFSGKSV EGPILVSMRSIYDGSAPSFHEAKRPEQPTTQEAALEDKEEQAKKVEKPIGESKKEVKQ EALKSPSPVKAASPSSTINDVLKEDKSINNLLRKSSDIDQVNHAEDPSRDTSGWEEAD EEPAPIEIETTVTPTDAKKEQTPFYNNSPASKVLKPEPVSIATDRTQEGSLPQKEKSP EKPKSSEQEKPATHSSSTTAATTTIATSNKEDSSAAKTSPKSLGLKQSVEKLSTLVLQ LEDVVDKLMKANLDKDERLFELEQKISELSKLK SPAR_L04340 MNSTNPDNNNNYNNNKDSKDIVPITNVQLDTVYTKAKSYIPQIE QVYQGTNPNIQEAKLLGELLQVLAEVPKGTHLFCDPILEPISIFSLTIFSFNEEATAT WLKSHFNPILSVCDKCILNFARGKCKMLQHFAIQRHVPHEHVAKFNDIVCQWRVEAIF PILRNISVNDNTDINITKEIEIAMYECLCNPHMLRLNKQLKATFEAIFKFFYNTKHRL LDVTNPSSIKTFISGVIFCWCEGSKEENEWSRAFLMDLYSRDFHISLSNLTPDIVEEV YIHILFLQNPANWTEIVVSQFWSRLLPVFNLFDKDVFIEYFQVPKNVESLKKTFKFPL EPVFKMWYNHLSKSYHDKPLDFLLRGLTMFLNKFGSEFWSKIEPFTFHSILDIIFNRD SFPTKLIKIQDNSIVEHQTEVYFQLTGSVTDLLSWTLPFYHALSPSKRIQMVRKVSMA FLRIIANYPSLKSIPKACLMNSATALLRAVLTIKENERAMLYKNDEFETVLLTKTDSR ALLNNPLIQDIIIRSASNPNNFYPGLGSASASVATSTMMVLAECIDFDILLLCHRTFK LYSGKPISEIPISTNVLENVTNKIDLRSFHDGPLLAKQLLVSLKNINGLLIVPSNTAV AKAHNALNQKFLLLSTRLMEKFADILPGQLSKILADEDASQGFWSCIFSSDKHLYQAA TNILYNTFDVEGRLEGILAILNNNLTVNLKNINVMLQRLINCEFYEPCPRAVRVLMDV VSAFVDPISGVFANFQTLKSQNTEEEFLKFWESSWLFLDTIYKFTLKWASKYDYSELE NFTKDTLDLSRSLVDSFREFSDILHDQAKNLLLNVLETFKNMLYWLRLSDEVLLESCV RLIISTSDLAHEKHVKVDDSLVEMMAKYASKAKRFSNKLTDQQASEILQKAKIFNKAL TEQVATDAENYRKEKELSRLGKVIDLTDSAPASPSLSPSLSSTVGSSSAESRADYLQR KALSSSITGRPRVAQPKITSFGTFQPSASAKLQRTKPVKPLSKMELARMQLLNNRVVH PPSAPAFHTKSRGLVNRNEDSSSEESDNDIESARELFAIAKAKGKGIQTVDINGKVVK KQTAAELAKQELEYMRKRLNVDMNPLYETILQWDYTRNSEYPDDEPIGNYSDVKDFFN SPADYQKVMRPLLLLESWQGLCSSRDREDYKPFSIVVGNRTAVSDFYDVYASVSKQVL QDCGISESDLIVMAYLSDFRPDKRLSSDDFKKAPHTCLAKVRTLKNTKGGNVDVTLRI HRNHSFSKFLTLRSEIYCVKVMQMTTIEREYSTLEGLEYYDLVGQILQAKPSPPVNVD AREIETVKKSYKLNTSQAGAIVNSVSKEGFSLIQGPPGTGKTKTILGIIGYFLSTKNT SPSNVIKVPLEKNSSSTEQLLKKQKILICAPSNAAVDEICLRLKSGVYDKQGHQFKPQ LVRVGRSDVVNVAIKDLTLEELVDKRIGERNYEIRTNPELERKFSNAVSKRRELRGKL DSESGNPESPMSTEDISKLQLKIRELSKIINELGRDRDEMREKNSVNYRNRDLDRRNA QAHILAVSDIICSTLSGSAHDVLATMGIKFDTVIIDEACQCTELSSIIPLRYGGKRCI LVGDPNQLPPTVLSGAASNFKYNQSLFVRMEKNSSPYLLDVQYRMHPSISKFPSSEFY QGRLKDGPGMDILNKRPWHQLNPLAPYKFFDIISGRQEQNAKTMSYTNMEEIRVAIEL VDFLFRKFDNKIDFTGKIGIISPYREQMQKMRREFARYFGGMINKSIDFNTIDGFQGQ EKEIILISCVRADDTKSSVGFLKDFRRMNVALTRAKTSIWVLGHQRSLSKSKLWRDLI EDAKDRNCLEYACSGFLDPKNNRAQSILRKYNVSVPSEQEDDYKLPMEYITQASDDRK SDKDSKKRRVVDEDEKTDKAVKKKKKEKKKEKNKEKKKPKANDKKRKDKEGESPSTSS GTKKKSSIFGGMNVTDAVVPKTFPDVEGKKKAAAIVGKKKNNKHVCFSDDVSIIPRCE EPEIKVTRSLSSVLKEKQLGLKETRPFSPPEISTNEDDDDEDDYTPSISSSSLMKTEA NGRNNRVVSNQQNFSASIYDDPQVPQPEQTHLPATITKHRNANSVISGGSSRILSASD YGEPDQSGQNGTNRSHSQHVGNENQYSTAPMGPDGLHGYMPTHPRESYPAEPEDPYDL NAHSQLQPSAFKVSGSGPAGARNSSRRNASSSPFIPKKRKPRP SPAR_L04350 MELNQILEKKEQISQYLSNLVELHEKALSDVSSASQVTSIRKDI TICLNDLCRINDLLVSHDGLLKREIGSLLRDKQELLELNDREQLLWKERKSWHIEREI DTAPVDYVIDKEAIITISSHHRTSLNKYIESVGAENTILSNTDDSDPRIEEAQNAESS ADQMIRNYRLLQLSHKQAKSEIIKLETLLRDFKKDNKFVEEELKRQSGRIRSEMGNID FHLSKIEESKHQLMKRIGFESPLAHEKSLSEKIFNLRLSDTDEDYSERETINKKNFVH MKDLIELKIDDLQGQLTRNKNESSALVTKRELWLDCQRKVGNLESELITKLRSSSNSK IPRNEMSDMINSTIKYLNNLLDSSDEMLTTTLISNERDVLSKACEELSTENVTAQDDL IAKPSKPINIHKSHKGSNAISNLKQPSTPPFLVASKSPPKIGISESIINANKNDVISK KVD SPAR_L04360 MAAVRDYKTALEFASSLPRLDGLSVQELMDSKTRGGLTYNDFLV LPGLVDFPSSEVSLQTKLTKNITLNTPFVSSPMDTVTESEMAIFMALLGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTIGEAKNMKEKFGFSGFPVTEDGKRNGK LMGIVTSRDIQFVEDNSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGKLLIVDDK GSLVSMLSRTDLMKNQNYPLASKSATTKQLLCGAAIGTIDADKERLRLLVEAGLDVVI LDSSQGNSIFQLNMIKWIKETFPDLEIIAGNVATREQAANLIAAGADGLRIGMGSGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSESDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGHKSLTLLKENVQSGKVRFEFRTASAQLE GGVHNLHSYEKRLHN SPAR_L04370 MSKDLNSSRIKVIKPNDSYIKVEQKKDLTKYELENGKVISTKDR PIASVPAITGKIPSDEEVFDPKTGLPNHSFLREHFFHEGRLSKEQAIKILNMSTVALS KEPNLLKLKAPITICGDIHGQYYDLLKLFEVGGDPAKIDYLFLGDYVDRGAFSFECLI YLYSLKLNNLGRFWMLRGNHECKHLTSYFTFKNEMLHKYDMEVYDACCRSFNVLPLAA LMNGQYFCVHGGISPELKSVEDVNKVNRFREIPSRGLMCDLLWADPIEEYDDARDGSE FDPSEDEFVPNSLRGCSFAFTFKASCKFLKANGLLSIIRAHEAQDAGYRMYKNNKVTG FPSLITMFSAPNYLDTYHNKAAVLKYEENVMNIRQFHMSPHPYWLPDFMDVFTWSLPF VGEKVTSMLVSILNICSEEELDPESEPQTAEESVEANRDATRKISAPPGEKTSSAILE DETRRKALRNKILAIAKVSRMFSVLREESEKVEYLKTMNAGILPRGALARGTEGLNET LSTFERARKNDLINEKLPPSLSEVEQEKIKYYEKILKRAEKKSQL SPAR_L04380 MSTQYIDETAFVQAEQGRTNLMFPNEKQQARFELGVSMMIYRWD ALDVAVENNWGGPDSAEKRDWITGIVVGLFKNEKVVDVALIEETLLYAMVDEFETNVE DDSALPIAVEVINIYNDCFNFNYNKVEKLYLEWQEKQKTKKLKRVVHIEGDDDDDDDG EEWDDEDEDEEMDEIVPDLVSSKPEPIVDDDGFELVQPKGRRKH SPAR_L04390 MGNTDSKSSSILLNHCIALVRPEDADASSPSPTSSPPPSLSASA DPLSLNLSIFKLEAGPEIEALFGDNPDVPLDTVFNDFYLDFISVDVQDFSINSSFKKI LHIIANLNPPNFNNLIVFLSLYIILSANSFPASRTGLPSSRLINAIKTLSILIPIYFD RIKSSTQDHYDIFWATQHEIEGLPLQNIPLGERLLLAILKLAFQENFTTAVTAHPSEL WEIGILTNSNKYHSLLNIHHQWHLFANRLLLLRLLSALFSSDLYTSGNKQDINMFLVY WCTQMPKDKTIQFASSLLNCTMRFVLNNNKDFQSLKTNFFSSDATANNWQTLYFQFVQ SCLHVLNLSMSYKAQDNVITIFLTQLQREYDLKLILSSFIKIFKYPIDLAIEQESNIF NFTNNKPVDASRRRAVSTSAHDSSTSPHASLPNSSSAAYHTKSQTKAQLPDIHPLLIP MTILMTNLIDCNKCFQNYFADKFANRFIIFSIYYLKYYDYSSLSSSSSTIRSNSSTTS NGASKDTSDERSIVELNENSVSQILLPLLDHLLLILTSKKLVLFKMLQTFNLNYYTNN LPNFYKLSNINGDINNLTFRDFTIIQLSNLILDNIKLNLQPNPIFYELIYNLLPINDE ILTSSHKNDDSHDDLILLSAKKKSASPSATASSHTSSSKLSYNAAMSLLYVLSKSSNK VYLTTYATPVFKTKDIPYMISPGFKMDLLSLLLRSITIFFTLYFDDAENLLFAMVRHQ SITHQINDSINSISKALDMNPNLNSNVMNLKQMGFNRKVQWKDFYQFEEITDLPQVNL YSSANQQHQNQQQGQNENRGQNQNEDQGQDNESPIPYLLFNPASLENETSGTVKHISS MNHDKNYQVIAFIDFKSDSNLNLQHQLEYWPHRPQWPTQLTFTHKCKNPKYENFSEVW SGTVYLQILLRVIKQILSKVPEIPRIKSVQYFETLSKLSALRSDILAIIHPRLPLDVR RLTTFQPLSMHTDDKLLMWIHIATWANIFTQTSFKYEETSSRELRQFESLLDVSIDEC DGNDVTKPTTDRLGYIRRSRGQSSVSLERTISAGSGVSTPTMALNRTKSNGSGNLMNY FFQNTAQNHFQHLRSSSSSSSITLEKTISNSSSIRTRPNSHHVASETNNNNNSANNNS NNSSNGGFSFFKWKWGGNNSSGNSNDTNAGQRDENNGASTITDDLNSYMFEEEISPGV VNNIIENNIWVGTNIRLFKIANFRKESFSFLEMTSSFFKKFKFINSDNDNYNNNEFDD NAQLRYTSRGLYR SPAR_L04400 MDAQLEWASSLVPKRQFQQQQQQQQQQQQQDFQKDQLMTVGMRI RQRVDQGYASRTPSTSEASLQPGVIRDYSSVIVPQFTRSPLPTANSLPPMLINQRTMS TEASSLEKWDVPEPAAEHETMVNGSKRRL SPAR_L04410 MSYCNNKYHKTPQQHRTTTLMSEATLSSKQTIEWENKYSAHNYH PLPVVFHKAKGAHVWDPEGKQYLDFLSAYSAVNQGHCHPHIIKALTDQAQILTLSSRA FHNDVYAQFAKFVTEFFGFETVLPMNTGAEAVETALKLARRWGYMKKNIPQDKAIILG AEGNFHGRTFGAISLSTDYEDSKLHFGPFVPNVASGHSVHQIRYGHAEDFVPILESPE GKNVAAIILEPIQGEAGIVVPPADYFPKVSALCRKHNVLLIIDEIQTGIGRTGELLCY DHYKTECKPDIVLLGKALSGGVLPVSCVLSSHDIMSCFTPGSHGSTFGGNPLASRVAI AALEVIRDEKLCQRAAQLGGSFIAQLKALQAKSNGIISEVRGMGLLTAIVIDPSKANG KTAWDLCLLMKDHGLLAKPTHDHIIRLAPPLVISEEDLQTGVETMAKCINLL SPAR_L04420 MDTPLDLLKLNLDERVYIKLRGARTLVGTLQAFDSHCNIVLSDA VETIYQLNNEELSESERRCEMVFIRGDTVTLISTPNEDDDGAVEI SPAR_L04430 MWKRSFHSQGGPLRARTKFTKPKPKQPALPKEKIRPPTQLTHHS NNLRITEPILPTTSNLRCPDDHPLWQFFSNKKFIRSADDLPPSSHIRPWTIPELRHKS FNDLHSLWYNCLREQNVLARENHLLKNIIGSTHEEFSDLSKSIRTTMWQIRHVLNERE LAYTTSREFLKDELERKKYLDTLTNDHFLNKDIPDDEVASMLTRFQLAIFGISETIQD NTVDVSFINGIKFLANLKLQRFKDSNDLISELSQEPITDVGESFILFTSDFEPHSVQE ACVAIKDLRKSPDNKVPKLDELPTVRKYLKQLVRASSMEQATA SPAR_L04440 MLEEQLYLLACIFASRADTHNIKKLSTKLDPQSDYLDILCVLWP ELDDPKNLLFLCEPEEMEQSPEGEETTDEEVVVGLLESDSSLIPLIEIDTTTISSRYR ELQEFINNKLNNKALENFEGWLRERILLCNEMIPETPLFYSVLWETAKSGVLSTKFMG WVEGVLKPLDHLNKRLHLIFKINEWEGMPDSKLFNIIFDGVEDLQDDNNIANVIENEL IPTLSYGKKWDTFITEFFNKERFSLKSDTNYQLFLKIYYSLEKKLKDNSEVSRNLQSN VVDILFNNSENLFNLTNLIHKLDELWSILSGFPDDIRIKEQKTVTALVLKQFMEFFTK CSTKFSFKEIFAITQEEGSAQLAHFTSLCHEEFNKANDISLFLQSMYETVLDTNKDDK IFTRICMDDKLYSILEILLQMNEFVYIEMVIERFHYSNNAQIYELLVKFFWHFFNNAS NGLRKEPEMRKASQTLQILQKYMPQQAGTSLTKLEVLLDLSDKLSHYSINLNKTHNGA RDTAFKPSNILEYKDCPLDIISNLLELNPRLYKDLPTTKGLLFGIYDSLSIGKEGQTG KVEVDLMILHIDYALVNLDFDTAYELGKQVFEFCQERSQQMMKTLGDEHWLTFYQMGK FVDPNWMDNEIPTEIIILQMSILGRLLEVCPLEEVEIVTSQWSTLELELSARDLVRDK YALDGQNGNKSSVGGIAKEIFHSVTNF SPAR_L04450 MAVGKNKRLSKGKKGQKKRVVDPFTRKEWFDIKAPSTFENRNVG KTLVNKSTGLKSASDALKGRVVEVCLADLQGSEDHSFRKIKLRVDEVQGKNLLTNFHG MDFTTDKLRSMVRKWQTLIEANVTVKTSDDYVLRIFAIAFTRKQANQVKRHSYAQSSH IRAIRKVISEILTKEVQGSTLAQLTSKLIPEVINKEIENATKDIFPLQNIHVRKVKLL KQPKFDVGALMALHGEGSGEEKGKKVTGFKDEVLETV SPAR_L04460 MAETLKDLDGWQVIITDDQGRVIDENNRRRSRKRGGENVFLKRI SDGLSFGKGESVIFNDNVTETYSVYLIHEIRLNTLNNLVEIWVFSYLRWFELKPKLYY EQFRPDIMKENHPVEFYKDKFFNEVNKSELYLTAELSEIWLKDFIAVGQILPESQWND SSIEKIEDRDFLVRYACEPTAEKFVPIDIFQIIKRVKEMEPKQSDEYLKRVSAPVSLN KTNRQVIHKIGLERSVKRQQRLAKKPPMKEIKVEPSSDDDTNKENVSYKQGTSEMYGS QSPERKSFFLNSSSASPTALTSPTDSSRIVQKRSISKELIVSEEIPINSSEQESDNEE PREPNIELQRKSSVISAQPESKLENTSTEFIHRQENFVHENNPPRVSDDSELEEETDG FTSESSGEAIIAVNKRRGTHGSKMSRKTKKIHIQETQELPQNDDTETDNEIEGNGKIG MLMGSTKDNSINNKSIPRKGNAKMIDFAALSKLKKKYQMILDRFAPGSQVIDLSQLKR ARDEQSSLDVASLEDKLRKTRSTPGKETILSKLNGKIDLEELIRESLRKRELQKSQVE DFIKLFLPMYESLMCSQNKLFYVANADDSTKSSLVDDVMDELVTSSVQKELPIFDYIH VDALELTGMNALFEKIWSSISKENLSGDISLEALNFYITNVPKAKKRRTLILIQNLDD LLNEKILQYFEKWISSKNSKLSVVCAGGHNVMIKEQINVMPSFKPHFTEIILNKVNKD ELLQMIITRLKSLLKPFYVKVNDKKEMAIYNNIREGQKQNIPDNVIAINHKINNKIIQ LIAKNVANVSGSTEKAFKICETAVEISKNDFLRKGGLQKGKPVAFQEMVPRYFSEAIN GFKDETISKKVMGMSLLMRTFLYTLAQETEGTNRHTLALETVLIKMVKMLRDNPGYKA SKEIKKVVCGAWEPKITIEKLKQFSWISVVNDLVGEKLVVVVLEEPSASIMVELKLPL EISYAFSMDEAFKNADCI SPAR_L04470 MKMSRIRDLIARPFQNLTALEKVVQWLRLGTTLLIISFGLALTV GPLSSPRTLYMSRLDTYSADITTGLFTVLRESMEQSTSTEENNGVGLTTSELYILTAY TESQIKNVPQYITVSLYGRCDSTYTMVEVFDSEGNMHSVKNSTTKSSCSTIGTDYLFD YREVLENLGLDIILDYAYNKIGSQQAESSAYTTYMKSLKHKKANVLHLLYAVISFQVC MLFFMIWYYYIKGRFMNALKERALVHINSLLSLVVFIGGLTSSISLAWVNYTIQSRIN TELEAFGFSYHLGVTWFALLWCFAGLISVSCLAWSGLEWCISDNGTSYGGGIDDKFLG YQAGVFTDADLDDEIPHNQRYPQRQSTSGEAELMRNSDTMATIGKTTDNDLNSADNVN TNLDHGNHIAGVGNSDRHKSFVSREEFELQDIRFRSSNDSEESMQRIIKPSSALQF SPAR_L04480 MSDTTEVPEQKISENDQVNTLSRTDSLKNPDVSNKIPSLFKLAA EWQINNPQENFQNQILENDVLKKINEITSLIRESYKDLSSEDGMMSKQQQDKMDWDLF CTVPVNIIEQYTKDMDEIFEKMEKLAKQQRLWCESAFQIDVERCGDSILNAETWMKKK EHHLEYKNIEMERSANEIKETIQRLTDDK SPAR_L04490 MTIESAIARELENLILPADSIVNVVDQFQEELLSRLQTNTISML PQCLVPDKRSRWNPKDRILAIDFGGTRLKFAIISLPQIVIEYDDAFELTYNIVDSNFF NQIIYTICTRLATNGYINKKNESSEASKFFVSVTFSFPLNSKGEVVAMGKGFVMTNTL QGSTVKQLIQSSFDLIISENIEEFFCAMNVCHVINDAIAVSLTSKFICKNDSISLIIG TGTNACFEVPYGYLPPFKRDGLRETLPSSYNKETLNFKHVLINSEIGFIGKDVISLQP FDIHGGISYEMPLECVTSGKWLPQSLKKILLQYNIIPKNFPVEFNGELVCQLAEDSTN AWFENQHYALICKIARLLIKRAAFYVAAIVQAIDIITGCKNYNFIHIGYVGSFLHNSN FYREQIKYYSSIDIKLQFLNHSNLLGAAIATYLNKSDKQVQ SPAR_L04500 MEGVYFNIDNGFIEGVVRGYRNGLLSNNQYINLTQCDTLEDLKL QLSSTDYGNFLSSVSSESLTTSLIQEYASSKLYHEFNYIRDQSSGPTRKFMDYITYGY MIDNVALMITGTIHDRDKGEILQRCHPLGWFDTLPTLSVATDLESLYETVLVDTPLAP YFKNCFDTAEELDDMNIEIIRNKLYKAYLEDFYNFVTEEIPEPAKECMQTLLGFEADR RSINIALNSLQSSDIDPDLKSDLLPNIGKLYPLATFHLAQAQDFEGVRAALANVYEYR GFLETGNLEDHFYQLEMELCRDAFTQQFAISTVWAWMKSKEQEVRNITWIAECIAQNQ RERINNYISVY SPAR_L04510 MTAQQAPKWYPSEDVAAPKKTRKAVRPQKLRASLVPGTVLILLA GRFRGKRVVYLKHLEDNTLLVTGPFKVNGVPLRRVNARYVIATSTKVSVEGVNVEKFN VEYFAKEKLTKKEKKEANLFPEQQTKEIKTERVEDQKVVDKALLAEIKKTPLLKQYLS ASFSLKNGDKPHLLKF SPAR_L04520 MSDMLPLATYSLNVEPYSPTPALNFETPVTIRITMAAIDPEPFD DDKKPSTLRIIKRNPELADYENDEDYEEDESDSEQEAGVPKKSEKTKKSKRVEQSESE DSEDESEIDDEFEECVLLTLSPKGQYQQALDITIAPEEDVQFVVTGSYTISLTGNYVK HPFDTPLEDNSSESDEDEEDYYSEEESSNGEEEQEEEQEEEDDEELSSGDDDLDDLVD ASDIESRLDELVEKDKKKKNNKKDGKRKHEGDEEETAKPAEKKQTTKKDKKTETIKDS EEGKQKPKTKLLEGGIIIEDRVTGKGPHAKKGTRVGMRYVGKLKNGKVFDKNTKGKPF VFKLGQGEVIKGWDIGVAGMAVGGERRIVIPAPYAYGKQALPGIPANSELTFDVKLVS MK SPAR_L04530 MSLPLKTIIHLVKPFACTARFSARYPIHVIVVAVLLSAAAYLSV TQSYLNEWKLDSNQYSTYLSIKPDELFEKCTHYYRSPVSDTWKLLSSKEAADIYTPFH YYLSTISFQSKDNSTTLPSLDDVIYSVDHTRYLLSEEPKIQTELVSENGTKWRLRNNS NFILDLHNIYRNMVKQFSNKTSEFDQFDLFIILAAYFTLFYTLCCLFNDMRKIGSKFW LSFSALSNSACALYLSLYTTHSLLKKPASLLSLIIGLPFIVVIIGFKHKVRLAAFSLQ KFHRISIDKKITVSNIIYEAMFQEGSYLIRDYLFYISSFVSCAIYARHLPGLVNFCIL STFMLIFDLILSATFYSAILSMKLEINIIHRSTLIRQTLEEDGVVPTTADIIYKDETA SEPHFLRSNVAILLGKASVIGLLLLINLYVFTDKLNATILNTVYFDSTIYSLPNFINY KDIGNLSNQVIISVLPKQYYTPLKKYHQIEDSVLLIIDSVSNAIRDQFISKLLFFAFA VSISINVYLLNAAKIHTGYMNFQPQSKKIDDLVVVQKSATIEFSETQSVPASSGLETS VTARDIAISEEIQNNECVYALSFQDEPIRPLSNLVELMDKEQLKNMNNTEVSDLVVNG KLPLYSLEKKLEDTTRAVLVRRKALSALAESPILVSEKLPFRNYDYDRVFGACCENVI GYMPIPVGVIGPLIIDGTSYHIPMATTEGCLVASAMRGCKAINAGGGATTVLTKDGMT RGPVVRFPTLKRSGACKIWLDSEEGQNAIKKAFNSTSRFARLQHIQTCLAGDLLFMRF RTTTGDAMGMNMISKGVEYSLKQMVEEYGWEDMEVVSVSGNYCTDKKPAAINWIEGRG KSVVAEATIPGDVVKSVLKSDVAALVELNISKNLVGSAMAGSVGGFNAHAANLVTALF LALGQDPAQNVESSNCITLMKEVDGDLRISVSMPSIEVGTIGGGTVLEPQGAMLDLLG VRGPHPTEPGANARQLARIIACAVLAGELSLCSALAAGHLVQSHMTHNRKTNRANESP QPSNGGPPS SPAR_L04540 MEGRSDSVATSQSGSEMSHSETRNRAGMNSRKRKFACVECRQQK SKCDAHERAPEPCTKCAKKNVPCILKRDFRRTYKRARNEAIEKRFKELTRTLTNLTSD EILKKIEEEQEIVLDNSNFTKEKVKQLRKSAFESADIEPRSCKTLRAEPIAYSTNRKH TDSSPLTLLSSTNFDPVHPMNVMTEEQLKCLPKSLGDVYLSSSDIAELFQEFATKYHQ FLPVVDLSKGAERIYHLSPCLFWVILLIGLRRKFGATDLMTRLSVLVKSVLSEITISP IIRYTPSDKDEPVLNVASVYSVQAFLLYTFWPPLTSSLSADTSWNTIGTAMFQALRVG LNCAGFSKEYASANSELVNEQIRTWICCNVVSQTVASSFGFPAYVSFDYLVISSIRMP STKNQADIPNELRQMAQIARFENQIVNTMNSTPASATGMVSQEEKQPLLHVLNQQLSQ LEISLEENSLDDIRKFLLLVAKVHLLTYYFTDVTSPNSGKSNGNIYEGSYSVMELDTS FETKRGLVKVYNAAVNFLIHANSMWEHDPTIIKYFPGLFVLNIWQSACIISKLIHSSL HSMLDINSGKKAYNNAISLTFNASVLKYDMAYRSSGIMRSIWSLFANMYDAWKNDQKE GGGRLENDFNLGITIKSRMSVNVFFDCLYILKEKCGMAKLERETKVSTAYNVDEEEEE DEDEEGEEEEEEEEEELSGKVPENMDNQQLRTRKFTNVRHPEKKARRIIETIPLDPNP INAGSTSSGSSLTTPNSQVANNISYRGILTKMSPREQLKHANLDSSTSTDIKDNEVIN EPLPIETNAEHLANQPPLPITQMQENTQSATQTNSSLLETYPMVQSNPVTTAIKESPN SIMASWDNWESDMVWRDVDILMNEFAFNPKV SPAR_L04550 MVDKNRTLHELSSKNFSRTPNGLIFTNDLKTVYSIFLICLDLKE KKNNSDTKSFLLTPFTKHFHFSFTYQEAIKAMGQLELKVDMNTTCINVSYNIKPGLAR HLLTLFMSSKLLHTPQDRTRGEPKEKVLFQPTPKGVAVLQKYVRDIGLKKMPDILLSS FNSMKLFSFERSSVTDSIIHSDYLIHILFIKMMGAKPNVWSPTNADDPLPCLSSLLEY TNNDDTFTFEKSKPEQGWQAQIENIDINDSERVSPLAHRFFTNPDSESHTQYYVSDAG IRLFEDKAFGTSKKITIKYTFTTKAIWQWIMDCTDIMHVKEAVSLAALFLKMGLVVPV LLQPSRTDKKKFQISRSSFFTLSKRGWDLVSWTGCKSNNVRAPNGSTIDLDFTSKCHM TVRDEKRTLDESEGFSQDILISSSNLNKLDYVLNDPGMRYLFRRHLEKEFCVENLDVF IEIKRFLKKMTILKKLIDSKHCNKKINIGCSKNNIVKTIDSALMKQANECLEMAYHIY SSYIMIGSPYQLNIHHNLRQNISDIMLHPHSPLSEQFPTSLDDSLLECAESKASLIGS TDGNTLDEPPEVILKPSRTLSNENCLFKKQGSKQQLKEYKPAPLTLAEAHSTNSSVEN PHTIVQYGTDDTQNSTRSYGSLPATLKVLRKLYPLFEIVSNEMYRLMNNDSFQKFTQS DVYKDASALLEIQEKC SPAR_L04560 MEHVDSDFAPIRRSERVVDSDKIMKAISDDLEQKNFTVLRKLNL VPIKKSVSSSKVSKASPVKEPMDHAFYQKFKSRALQELSTSYSSISYVPGLSEFLSNK LGNMKNYIVFFNQVKHIHQYAGIDRLVSEILSLVDLNVVIIEMNDYLTEEDLSSNKSK GCIESREQCRYKVHLDFETNEEPLNNTLDLMMTVIKKIDNDQKINHIFYFKFEQLDEM SSSTVIAPSKLREFIKVLSFLEKTKNVAFKFLFYSNNVGISSLLSTTLKKKISTELTV FEMPILTCVQVQEHLKKMIMFTFDPENKLLQSYNSLVTFQLNDRESKLANFFEFLRTF PHPFTYLFNAYTEIIVQSRTFNGLLDKIANGLTVKNYPHHTYNFKKNQRLPLKVTRRV RDR SPAR_L04570 MIFPINILLCKWLIFAVTFFWSCKILVRKLLGVNITWINLLKLE ICGLSMEDGSVKVKSVRFALFERKLFIKGLRIDSNKSYAKYADRELTREKEGTFIRTS KNYSGGFTSKILSLLQSWLNGVTIILEDTHLVNNDITIEKFGFFLSIDNSKGVKSLRF DSFLRKLLWNDQVIIADAIFIVNTNLLIDEIMNPLKDGLQVGLDLKLGDLNVPMNLLN LFINKENVDLMSNEKLLKRLADTTRANEELKEEDITKMKDTLVHTMGKFVDRIKPLKE LNVTVDKLQIKDFPLTSHPELLGMNKYISYNILISNINFNTIRFRNEMPGYTLIFEEL DSPFKFSIVMARFNIYLNLNRKHQKHAKKLKIIEIPNVSIFGETNLFSQKFRHSKNLQ AKKLENAIFNIKGNISSLTIDMDPVNISFIKCFLSNIKVFTSSCPKNKILKENAHVKF LTRRRVLFDYFKCFLPLINMKFTLDDPKFVINNKDNLIIGKFSVFMISHHSKRYTLGN NLVEEKDKTQQIFYESHWNVELLDMKLQHIIKHQKYEHTILRVDSVAIEENIQLLPDI LCSANADIDTLMLDLSELPTMVMLSELVHNLDSQLANVEENYFKEFYEKFAFNLQNMK AECSNMAKCLRQKEILPSDFVFQQLPDFFDYIKINIRDISSTLGARSVFMPRDVFSSV DSQSSKDLIDGKLRKYCNTVEKLQIAFFGDKTQWHNKIGSNHATMVRSGQLTNFGKAS KQNPNYKPSIADLDDISTSDATEVNHLWNVNLLINDITTSIIGETPELSEELSTKTVS KVSNLSIKLFPDSDPFSSSESDSKITLQINHSRGTSVVSLMSIFLAVSGIHTLNQIFG HCVRQKMRQSKTKQYFLALSESKRKSCLKSIKWGQLKELLEVNFSSEYISQIIALPNG LRTKFEPTSTFITMKNCNTISISGQYFRMMVESPTQPNFWERMICINGFKIMVHIDLI KQQMEKLNSLQNWKELESAITLENESWHFSIPHHFEMFKIIDTIPTIFKSIKQMLYSL KTSKNDLIIFPHKVETPLSLPKIKLKSKRWLFSISDDPLEAELNTIFQIGLQEQRERL AKLQEFNKRISEDLLKSRKNVKEMKDDFEAVDNVILKHRTGLWAKDGRKILRKSATDS EIPNTPTPLNANGKGESRSERPQLISPDIENAYNTLLENFSDSWIRRVKEYKVKERRE FDKNFSFLWGFIDYTKLPKDINKKVLPFSTKPFLMNLIIENIDIDIIKPSCGIKNIPN FIHDVGKGVPKNTEYSIMIPMYLDAKFSEVRWHLRDYPLPFVCIPPLSSTQSKETIPM RIYGDFMITEDMLQSDKELRTLFVPLIPSVTVENTDKYYSLFVPRTMTSAKIFTDLNF EINSNHTTRVTWGGSYQPAIQQTMQCLDNFSKPPLDPSVKLGFWDKTRYLFHGKINIV WKKRGKFEISLKGAKSPYMLGGESAGFIVGFDGDVNLRCNEDNDPKKFLSCSADKVHF SIPNYFAKPLLVWSRPSTNTMFIPNQDDTNLQRYASFYYLLNTTPSKNEKADKEIMRK SFIEKTGIKLSGGMTLDMGILFERLGPSLNERTFGSKKHYLTRLCNPIYVQDRSKHDS YAGFRSDFIHMSFGLSSNSNSAYNAMQLSPNGFKAFFVWWKSFSGNFPVRRGPLFGLQ SISPKFGEHLYTISYHADVSPLFINYMYHNADADQILRKNYLEVAEFAGLKAKSSHFV MDLHQRKEVLTEYQAGLNVRRRVMKLKFLAGDVVCQDVDIRTVSGEFSKLNYVEEKED AEYDIFDNDMSWLDITDFQDAFFINPDNYLPKIKIMPFAFSPQFAYQKRASYGDKYQV DPKTCKPITPFDNRVSHGCTLGHNVSLRTDLVEKRVAVLKKFREKLQEESKKNKSAGV SGEGLNDLLSKANSSVKNAELLLKDFQKIFKQHEGGQTEHPFHFDSLNLLKSTKKTMK QFENRFFIFNVLLKWNEDARSAIFKFFYYANLSNEFTSLASGKGLREFEDAIKQREMT DDSTSMEAIPEGAEKENIGKQFHSCDDTEFTTENLLKIFEKNITQLSCDIQNKIHHKF FVQFITPQIQLTSLENAEACVLVSSPFFMLKTLEFDANTTSNTYMQDIFLKRHGILFG NANAFLFKKKDYKEFFELYFGSSSYGQHKKEQWPPWLGLELGFEPSALEKKAVVRNIS ALLHHQKLAPFSARYDSLKDKIEDNICGYVPQVNVEVNSEEYLMLTKMALKLFLYVEP EDEELKKYIEKLIIGYDIYDTAQTRKFVNDLHNGEQILAVVEKELLFKRSLLDDVGKL DLSNIHNERMHQLLRLYILMKVFTSNGNNYINRTLVWNIKVNEIILHLLDENDKPFLD IAVAKLNFHRIQHTMGLRKNTVTVKMMQIFDLGENVNYHCLLGPLISSSGNNTVDLAN DVPLVQITWDVDKPVGGIKVVKNVETTLSSLTVKLEEERLNRLFEWLSLKELIYDGNG DDDDGASSIFDMASSESEEGKIEFSEDISSDFNEMLKRSSDYMIVEDLKLNSFKLCIS YKGKGKMRLANVTNFVFNFPTLRLANQTLRVTDLLLALKKVLIKVLIKHTGRFISNKL KRNSKENKIADDTAPLKQLTTYNSYTEPEELR SPAR_L04580 MTKDIRTGDLVLCKVGSFPPWPAVVFPQRLLRNDVYRKRKSNCV AVCFFNDPTYYWEQPSRLKELDQDTIHNFISEHGKNANQRELVNAYKEAKNFDDFNVF LQEKFEEENRLNDLMAFEKSEGSKIVSGEDPFIGRTKVVNKRKKTSISPRDDAEDKQQ SNEEERKPNIKPAKKKRPMANPEVKSNTSNKKKVKLDYSRRVEISQLFRRRIQRNLIQ RESPPTEHDIKETHELLNRIYENSDNKKPFFDLKALRESKLHKLLKAIVNDPDLGEFH PLCKEILLSWADLITELKKEKLQALPTP SPAR_L04590 MKLNEQIPKDLLRLIKSSKYVHVATCSSNCVPSVSLMHYIFISS TETFHKHEHSIDIDRNDYIIFTVFEKSVTFSNVMSNPNVALLFHDWITAKNLTLRKKS VHDNDDCSLVESESTKLNNFLRDLNQSELNQVSATVNGIADIVDPDCEESTYYRRLLL TANPDADIFILGEETAIIKVKIQKIKVSDMENNTSIYGQTIPPV SPAR_L04600 MLKSVQGLWRDFFGIRNDGRKREYGSLDEVRRRSALRHKRRQMR PTGKSILKRARKVPDRRPEENLYSNRKRTPKRRLTRLFQTIREVFSNDNENMNKMQNV CGDMTRILKKRSQGRPSYMDTNTAKTRILRSDAFKRKITELKYNKQRISELRNGSCDA PTGKDGNQSLYLDREILLQRQIKKRDEKIKSLESKMKLLQDALNYSNEKYRILEDLLD SSNIDPSYTKSRRTMSNLARENDEIKPLKIDLSPSPIRRTNSLFTSSPMKTYNRDGKI PEMQPLQENISPACPTPPHRSRDTEKADETLSPISVDFSSYLS SPAR_L04610 MDSTALKVALGCIAVRLAVNSLFPSLQQQLDQSVEFSTPVTSFR SLQEGIYLLRNNIQVYNHGVVHHPPILIFFLSLFNSDRLISLIYALVDGLIAYQLTEV TKAFKNLKVKSWLPGLLYAVNPLALLSCISRSSIIFTNFAISSSLYCILAEGNVLSSS VMISVSGYLSLYPILLLIPLLGMLKSWRQRMLSVTVSILSLLILLLFSYNMLGGQSWL FLAQVYGSVISFEKVFPNLGLWWYFFIEMFDTFIPFFKAVFNIFIAAFITPFTLRYHK QPFYAFILCIGWIVLTKPYPSLGDAGFFFSFLPFFTPLFGYLRYPIISALLFLHAIVL APIFYHLWVVLGSGNSNFFYAISLVYALAIASILVDLNWAMLRIEYDNGIPNFKLKVT QI SPAR_L04620 MSITIPETMKAVVIENSKAVVKEGIPIPELEEGFVLIKTLAVAG NPTDWAHIDYKLGPQGSILGCDAAGQIVKLGPAVDPKDFSVGDYIYGFIHGSSVRFPS NGAFAEYSAISTAVAYKSPNELKFLGEDILPAGPVRSLEGAATIPVSLTTAGLVLTYN LGLNLEWEPSSPQRNAPILLWGGATSVGQLLIQLANKLNGFTKIIVVASRKHEKLLKE YGADEIFDYHDIDVVEQIKQKYNNIPYLVDCVANQDTLQQVYKCAADKLDATVVELTN LTEENVKKENRRQNVTIDRTRLYSTGGHEVPFGGVTFPADPEARRAATKFVKFINPKI NDGQIHHIPVKIYKNGLSDVPHMLEDIKHGKNSGEKLVAVLN SPAR_L04630 MAAIKDYKTALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNAVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVADKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_M00010 MAAIKDYETALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNAVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_M00020 MGVEEFSIHVSENELKDLKRRLSSARIPKNVERKNWDFGTNAEY LAEVINYWKNSYDWRNIEQKLNGFHHFQTTISNIRIHYIHEKGKSANSIPIILTHGWP DSFLRYTKLIPLLTDPEKFGVSSGISFDVVIPSLPGFGFSDYPAGGSINNDTISDIWL ELMKNKLGYDRFLAAGGDIGSGVTRYLGFKYPQNLIGIHLTDVGIIRDLLNQSQLQSF SSEEQEYCKIASDWLDKEAGYMKIQSTKPQTLAFGLTDSPVGLAAWILEKFHSWRDLQ TNLPLDDIITNIMIYWFGKNIYTATRVYYENSHFLNPIGTIEVPTGICLFPKDILLPP RKWVEAHLNVVHWGNVQKGGHFTSMENPEEYAADLFLFLDKLR SPAR_M00030 MVLAKQWVLKNLPTPGEPFNFDFGDPACTFELVEKELSSEQLKD GELLLETNYLSNDPAQKFWISTLDKNYAKGVQPGEIIPARGIGKVLASRNKAFSPGDY VSAMTGWATHVIVPQQNVKGLRKLDKNKVGRLWWYLSVLGGTSLTAYFIFFTYAQLQE REEDYGKVYLISGAAGAVGTVCIQLALNVFKASKVIAIAGGPEKVAFVESFGDKVVGV DYKDPDFKQKLIEAAGGENTVDYFIDNVGSDVLEAGVLLLKQRAMLIACGAISAYNDP SKFVFKGYSYILAKRLVVKGVLVTDNIDDFPKALDKLSSLVKYGQINLLKSATLEDGT GDKFKNVPLIWKGLFSGVNKGKLITEVNKAE SPAR_M00040 MRLRTAIATLCLTAFTSAASNNSYIAADQTLNAFNDTHFCKVDR NDHVSPSCNVTFNELNTINENIRDDLSALLKSDFFKYFRLDLYKQCSFWDANDGLCLN RACSVDVVEDWDTLPEYWQPEILGSFNNDTMKEADDSDDECKFLDQLCQTSKKPVDIE DTINYCDVNDFNGKDAVLIDLTANPERFTGYGGKQAGQIWSTIYQDNCFTIGETGESL AKDAFYRLVSGFHASIGTHLSKEYLNTKTGKWEPNLDLFMARIGNFPDRVTNMYFNYA VVAKALWKIQPYLPEFSFCDLVNKEIKNKMDNVISQLDTNIFNEDLVFANDLSLTLKD EFRSRFKNVTKIMDCVQCDRCRLWGKIQTTGYATALKILFEINDADEFTKQHIVGKLT KYELIALLQTFGRLSESIESVNMFEKMYGKRVNGSENRLSSFFQNNFFNILKKAGKSI RYTIENINSTKEEKEKTNDSQSHAFDDLKMPKPKRVPRPANRTENKWKKAWNTEISNV LEAFRFIYRSYIDLPRNIWKLSLMRAYKLWNKFIGVADYVSEEMEEPISYKLDIQ SPAR_M00050 MSKYAWYTRVTDTLHRLTVLTLVGGTLYMSGGLAYTLYMNGKKY EQQVTQQKALEEDNQQLQSPTAPPTE SPAR_M00060 MKQFRLVNAVSASFVLIGLVLANSDSIFEKWTQEDLADYLRDNK KSLEKYATDSIEDLKKEASQVWDKHAQPKPWWQVWSSDSSSVSNSGPGWFGNTGSSDH PVSDWLFDTWSTDSLRNFLKKNGVDVDDAKTSKDSLVKTAKENFNKISKSLKSSGYYP SSSYFDSWSTEDLQNWLNDNGIGYDKAVQNKNELVQKVKENIYQTSEKAEQQRLGLLE SLDLAHQQILDTSGQIKDTVFDKWSSDQLTNWLESHKVNIDKNMAKKHDYLVRMAKEN SANLKDDIYWYLDYMKRESSPFLTKTPEYVGSVWDSSKNFLTNLYSKLRGKTDNVIND TFLVGLDSWPKDKLKMFLDARGIKHSMLSTENQLRELVKKSRNEKLKILPKDYQKYFD NSNWSLDDIKNWFADKKDDFQDSQTYSTIMQDFDKVSKNTNDAKDQISKTWSNTFQSW SQEDLLQYLKSFGVPVKQTSTKDDLINLAKQNTQWLFGTVKEPAYKRYLHNVKNWSKS ILGFN SPAR_M00070 MNSLASNTPLNGTPVSEVPVVSSEPVNMFETMVANPIKVSRLQS NGVLTGPAANTKSIHYSLANFNVFQSLPKETARGVDDLTRMEMALLSGIPEEIKWSLK KYLTYSNKAPYMISLRTLPDLLPLFKTFILPLERIVEGLNKSSICDSEAMDSLQMGLN ALLILRNLAQDTDSVQVLVKDVEIKSFILFILKKFQCVATGDSRWQLYEGNATFFNEL THYTLDLMEAISSYIAPAMKDDHYFQTLVSILNYTKDRYMVISILRSLSRLLVRSKAN EESAADNLDHKTLSLIVSFLLVECDSELIIASLDFLYQYILPGSQRIIELFKSKECSL ILEATLPNLLSYNIATPDYNLLQKHKIKLIKRLKPPAPKEPPNLSDDLFQQLFKLNEP LRSTAWLRCCFEPVQEAEFTQISLWRSYESKFGQPVRESGRKLLPAVEFIKNVSNAFN NAAAIVITDPVTGKKRFVIKGIQPRFKALGIADGERESQVPISALKSKFLNDSKEITP ARQNSIPEVKFPQVLSDVSKVACTFLCLLSNDTDDGVGSTFCQRIRPLVLHKLADVPP LTLALSEYMENTSGL SPAR_M00080 MLRQQKQQQLHNTNLQMTELKKQKTAEQKARPQNVGIKGIQIYI PTQCVNQSELEKFDGVSQGKYTIGLGQTNMSFVNDREDIYSMSLTVLSKLIKSYNIDT NKIGRLEVGTETLIDKSKSVKSVLMQLFGENTDVEGIDTLNACYGGTNALFNSLNWIE SNAWDGRDAIVVCGDIAIYDKGAARPTGGAGTVAMWIGPDAPIVFDSVRASYMEHAYD FYKPDFTSEYPYVDGHFSLTCYVKALDQVYKSYSKKAISKGLVSDPAGSDALNVLKYF DYNVFHVPTCKLVTKSYGRLLYNDFRANPQLFPEVDSGLATRDYDESLTDKNIEKTFV NVAKPFHKERVAQSLIVPTNTGNMYTASVYAAFASLLNYVGSDDLQGKRVGLFSYGSG LAASLYSCKIVGDVQHIIKELDITNKLAKRITETPKNYEAAIELRENAHLKKDFKPQG SIEHLQSGVYYLTNIDDKFRRSYDIKK SPAR_M00090 MSQEDIEGTNILDEPVHGIYIPAALFVVGVAITTYMSGELKILW SLPILFMIIFVRAYSAYKRRRSLYPDKWTSLELEDQTIISKNTALYRFKLKTRLESLE IPAGHHVAVRVPIDGKQEVRYYNPISSRLESGYLDLVVKAYVDGKVSKYFAGLNSGDT VDFKGPIGTLNYEPNSSKHLGIVAGGSGITPVLQILNEVITVPEDLTKVSLLYANETE NDILLKDELDEMAEKYPHFQVHYVLHYPSERWAGDVGYITKDQMSRYLPEYSEDNRLL ICGPDGMNNLALQYAKELGWKVNSTTSSGDDQVFVF SPAR_M00100 MKKLTIDPITWGVILCLLQRRIAGIFVIFFSYTVGQAGCQIGNA CWELYSLEHGIKADGHLEDGLSKPKGGEEGFSTFFHETGYGKFVPRAIYVDLEPNVID EVRTGRFKDLFHPEQLINGKEDAANNYARGHYTVGREIVDEVEERIRKMADQCDGLQG FLFTHSLGGGTGSGLGSLLLENLSYEYGKKSKLEFAVYPAPQLSTSVVEPYNTVLTTH TTLEHADCTFMVDNEAIYDICTRNLGISRPSFSNLNGLIAQVVSSVTASLRFDGSLNV DLNEFQTNLVPYPRIHFPLVSYAPILSKKRATHESNSVSEITNACFEPGNQMVKCDPT KGKYMANCLLYRGDVVTRDVQRAVEQVKNKKTVQMVDWCPTGFKIGICYEPPSVIPSS ELANVDRAVCMLSNTTAIADAWKRIDQKFDLMYAKRAFVHWYVGEGMEEGEFTEARED LAALERDYIEVGADSYAEEF SPAR_M00110 MSSVNKDTIHVAERSLHKEHLTEGGNMAFHNHLNDFAHIEDPLE RRRLALESIDNEGFGWQQVKTIGIAGVGFLTDSYDIFAINLGITMMSYVYWHGSMPSS SQTLLKVSTSVGTVIGQFGFGTLADIVGRKKIYGLELIIMIVCTILQTTVAHSPAINF VAVLTFYRIIMGIGIGGDYPLSSIITSEFATTKWRGAIMGAVFANQAWGQISGGIIAL ILVAAYKSELNYADSGAECDARCQKACDQMWRILIGLGTVPGLLCLYFRLTIPESPRY QLDVNAKLELAAVQEQDGEKKIHDTSDEDMAINGLERASTAVESLDAHPPKASFKDFC RHFGQWKYGKILLGTAGSWFTLDVAFYGLSLNSAVILQTIGYAGSKNVYKKLYDTAVG NLILICAGSLPGYWASVFTVDIIGRKPIQLAGFIILTILFCVIGFAYHKIGDHGLLAL YVICQFFQNFGPNVTTFIVPGECFPTRYRSTAHGISAASGKVGAIIAQTALGTLIDHN CARDGKPTNCWLPHVMEIFALFMLLGIFTTLLIPETKRKTLEEINEQYHDEIDPATLN FRNKNNDIESSSPSQLQHEP SPAR_M00120 MTAINITHVGVVVGSYTCSIAPRGRKLATGIDYCSPWFIEISEI GAIMHQILCERLSLSLFSRFCVLIS SPAR_M00130 MSSNNRKKLLLMGRSGSGKSSMRSIIFSNYSAFDTRRLGATIDV EHSHLRFLGNMTLNLWDCGGQDVFMENYFTKQKDHIFQMVQVLIHVFDVESTEVLKDI EIFAKALKQLRKYSPDAKIFVLLHKMDLVQLDKREELFQIMMKNLSETSSEFGFPNLI GFPTSIWDESLYKAWSQIVCSLIPNMSNHQSNLKKFKEIMNALEIILFERTTFLVICS SNGENSNEDHDSSENSNVLLDPKRFEKISNIMKNFKQSCTKLKSGFKTLILNNNIYVS ELSSNMVCFIVLKDMNIPQELVLENIKKAKEFFQ SPAR_M00140 MLSKNLYSNRKLLTSTNTLVRFASTRSTGVENSGAGPTSFKTMK VIDPQHSDKPNVLILGSGWGAISFLKHIDTKKYNVSIISPRSYFLFTPLLPSAPVGTV DEKSIIEPIVNFALKKKGNVTYYEAEATSINPDRNTVTIKSLSAVSQLYQPENHLGLH QAEPAEIKYDYLISAVGAEPNTFGIPGVTDYGHFLKEIPNSLEIRRTFAANLEKANLL PKGDRERKRLLSIVVVGGGPTGVEAAGELQDYVHQDLRKFLPALAEEVQIHLVEALPI VLNMFEKKLSSYAQSHLENTSIKVHLRTAVAKVEEKQLLAKTKHEDGKVTEETIPYGT LIWATGNKARPVITDLFNKIPEQNSSKRGLAVNNFLQVKGSNNIFAIGDNAFAGLPPT AQVAHQEAEYLAKNFDKMAQIPHFQQNLSSRKDKIDLLFEENNFKPFKYNDLGALAYL GSERAIATIRSGKRTFYTGGGLMTFYLWRILYLSMILSARSRLKVFFDWIKLAFFKRD FFKGL SPAR_M00150 MSQSPSVSPRRTLNNKSSYINNSSGLVLPPTQFNLNQQPILSYE QNTTFDSNQQFFYYPESPTKNLRPRFNSVSQINKGVNENHYTGGSNNGNRTSRYPNNT GAANANVNPQPHHQSVSHLNSKSLKFNRTKEVNSINDILFPSRTCTIKRYFTKPIDLD GTRSGTNMAPALTNSPMRSKANFNIKKCILPRSVIITYKLSLPVHETIDDISKKIIIL LISLKFEKNYHFLQPIQLSTNSKTRISKSLDELCRVQLTLTSQQQKQLQSNSKPAKNL PNTNAKQRAGTSVSANANESFDLSFDGKAMDRSDIFRMVDSFSIAISDEDEEDDEEED SFQQRSQNNRILPAEILSNEPLK SPAR_M00160 MNSQIEDGTSASQKETSSISVLGSPSEDNPAPHKVPREQLTVDH IKKIREERAQKRQIRRSSLISQGKDPDFPTPDLQFIERPLLDISHENSKGPTSPTIQA TSNSLDVKIMTYNTLAQTLIRRDFFPQSGPALKWHKRSKVLVHELKKYRPDIVSLQEV DYNELHFWQENFHKLGFDLTFKRHEGKTHGLLVAWNNKKFQLDNEWMLDYDNVLAGNV ISARTRTKNIALIISLNFKDVTDSSSSGVIVANTHLFWHPFGVFERLRQSYLVLQKIQ EIKTCSKYNGWHSLLMGDFNTEPEEPPYLAITRRPLILTGSIRAMVECSLAYQYSKKR NGEESDQDDEECDEKSRGEGHSDQPQNPKPKSFAATKEEKALVNQLVALHNSLNVRGI SLYGLGYGKVHPENANGSHGEPELSNWANTWCGLLDYIFYIEDNGSKGARKREPLTVF EENNRVRVIGYLRMPCAQEMPKHSQPFEGEYASDHISLMCQIRLFWGGST SPAR_M00170 MSNSNSRKPVANYAYRQQQDYNGMNSMVGNPMMYHPVDFVNGAG QYGPSQHPAYYTNSPLPNIPPTPFDTAYGASLLPSHLLMGSPFVSSPNMQNGYNPARS SNLKRKAYSRPVSNHNGYNGNSNNNHNNNNNGMVTPSNYYRVGRNSFSRNNNSTRNVT QNNNKGCDTRNSSGRRTSIRNNIFDDINPEIVLQRPFRINYKVLPTGDDAYRTRSLLI ENVDRSTDLHSIVKHFVKSNTLESAYIIDGKKSDDLKDAKAKNLSILISFLTKGDCLN FYNNILQRLSEFKTFLKSESLNLKFVCLNYDPKCLSTFIENDALTENAEQTEITDDST IISASLHHDVENKDATRSIIIEFKSPLEKSDLFKKKLLFLDRSKNKRYILESIDLVNT DVPSNQFPENYAVLTFLNIFMAIEVLDYLKKYSKNLGISKCFYVSLAPLVVSSARSSV ANIYESRTSTHRLSAPSVPVGNNNDSNNNNHNNSNNNKSNITTHNINSSIGASIYGHS NMSLTSLSSSVSLNEEIDMLTTKLQGIELDGTDLEINYHDYQTPTIEEHSTHLSNVKI SKTTENSRQFSQDIPSPLPLNEQMFMNDSNQSSGAIIPQQLIATPSPASPNLQMNQRV LPNPITRSLEQNFNVSAKVASSMGSDIGNRTIYIGNINPRSKAEDICNVVRGGILQSI KYIPEKKICFVTFIEAPSAVQFYANSFIDPIVLHGNMLRVGWGHYSGPLPKSISLAVT IGASRNVYVSLPEFAFKEKFIHDPQYKKLHETLSLPDAEQLREDFSTYGDIEQINYLS DSHCCWINFMNISSAISLVEEINKESTVKNEYGEVTLKRATEEKFGGRYKGLLINYGK DRCGNINKNLIAGKNSRFYKKVKRPSYNIRLSKLEERRRQNEIDKKEKAFDKPLNLES LGISLDAHKDSGFGGTGTERTTGRENESEVEAENEDNNGVGSLGGLGLGVASSDVKRA ASDETDYEDLFNKSSGSSDSSSDIEVIMHSPSDPEYALKSQTLRSSSQTVINSKRPVK VEDEEDVVDLSQLNRGSSLRQAPPRAPSTLSYNHSKKYETPTQDILTNGETANNKRKK RGSFPRHRTIPGSDVMAQYLAQVQHSTFMYAANILGASAEDNTHPDE SPAR_M00180 MSKQSLVELTESKDEYLELENEETLPVKKSSRDNGNEETLIATA FTHSEDEMVESNQKWQNPNYFKYAWQEYLFIFTCMISQLLNQAGTTQTLSIMNILSDS FGSEGNSKSWLMASFPLVSGSFILISGRLGDIYGLKKMLLIGYVLVIIWSLICGITKY SGSDTFFIISRAFQGLGIAFVLPNVLGIIGNIYVGGTFRKNIVISFVGAMAPIGATLG CLFAGLIGTEDPKQWPWAFYAYSIASLINFALSIYAIPNAIPTNIHHFSMDWIGSVLG VIGLILLNFVWNQAPISGWSQAYIIVILVISVVFLVAFVIYEIRFAKSPLLPRAVIKD RHMIQIMLALFFGWGSFGIFTFYYFQFQLNIRHYTALWAGGTYFMFLIWGIIAALLVG FTIKNVSPSVFLFFSMVAFNVGSIMASVTPVHETYFRTQLGTMIILSFGMDLSFPASS IIFSDNLPMEYQGMAGSLVNTVVNYSMSLCLGMGATVETQLNPDGKNLLKGYRGAQYL GIGLASLACMISGLYMVESFIKDHRARAAAEYDCAMT SPAR_M00190 MGMFLNLRSSIKEKAMDNGLSLPISRNGSSNNIKDKRSQHNSNS LKGKYKYQPRSTPSKFQLTVSVISLIIIAVLSLYLFISFLSGMNIGVSTQNERSLLGS SKSSENYKTIDLEDEEYYDYDFEDIDPEVISKFDDGVQHYLISQFGSEVLTPNDNEKY QRELNMLFDSTVEKYDLSNFEGAPNGLETRDHILLCIPLRNAADVLPLMFKHLMNLTY PHELIDLAFLVSDCSEGDTTLDALIAYSRQFQNGTLSQIFQEIDAVIDSQTKGTDKLY LKYMDEGYINRVRQAFSPPFHENYDKPFRSVQIFQKDFGQVIGQGFSDRHAVKVQGIR RKLMGRARNWLTANALKPYHSWVYWRDADVELCPGSVIQDLMSKDYDVIVPNVWRPLP TFLESEQPYDLNSWMESQEALALAKTLDEDDVIVEGYAEYPTWRVHLAYIRDAEGDPN EVVDLDGVGGVSILAKAKIFRNGVQFPAFTFENHAETEAFGKMAKKMGYRVGGLPHYT IWHIYEPSDDDLKEIAAREREKRRQSE SPAR_M00200 MTSNTSESGSGTQNTVVQLRNLPDLTEINHLEIDAPVVEILKKT VLFQLNSLNICISNFALDELVNLVTVQMDGMFRNLHNLTLLQRRSQASQADLKLLFRE FKLDAASLYQQFQVSEFIKSKHSVEYEKLVSSSSLPAIPRNEEDEEDELNNIEEQQNE INVLLPPSNSLEKQIPSWLPNFPPDHTYKFTPEFNHPITDLKTIKKEIVKESRESEKA LLNLNKSLSHISSASHTPPPPVLDDEDATEQQLEIWGDALQERKPTITAKCLNENNIE QYAKYRVELARERVTKFEVNQLKRTKNPFLKISETLYFSKSPHQSHKTIQKTIDLQFR KSMTLFMHNVPKVQKLKKEKIRVAKEERAKSLKRRQEELVSLRTKREQDEGHDLELLL NNEHARDPTDNNTTSNALNNSTIVINANADDDDDDINLFGILGSSEDENEISSIPVEN LAGESEPPTMTAQDTTNTTPVAHNTTNIDAIASHSIHSTPNENAPTSPPADIATDHDI TM SPAR_M00210 MAKTLAQGRKPGSGRKPGKGKTLREGRKPGSGRRRRQDIGGKET DGAQQDQESRPISSRDMEAVDALRELTHSPSSYSAHNSTPAPPPHAAAASISLPPSLD YTHQTLMDQQQQHQQLQQQRVDVVPPKPFITHKILLSSTGNSGGLVNSNYNADHSINH NSHHNLSNNVNVNMNFTINGSNQDPSSSFLMGPYNYLQRPFLVKPYLDLSTSAAASNQ PRTQPPQATHIPKSSDSTEKNATI SPAR_M00220 MDSLEARLQFIQVLKNLQKTLHKTKDSTTSSSTTTPPSSQQKLN NDPIQFYLRNYRHHYEDFHQCLFDTTMKMDPLDRLDVVIYYVRIIRNLYPHSHSNTNV AKVLNEVLLLDVDLVFELCLPCQDWKSLTNLATCKELFLDLSRLIQYDVTLTPPDATN INATTWYSVKTDRTTQDYKQSLQRTESLLKDRDSKKLAFFQQFNSDTTTINPDLQTQP TNANILLHRMEADRELHKRSKETSWYVERPSNDILDESEFQTLWTHFETTDSGFDKDD YKNIKALNDIAKASYMY SPAR_M00230 MTFTFSTSSRRNGRPPLKSVSTEDNIHLLRKRRQQQLPRNSIDK SHHPNSGQTALASNNQEDDIRSASTTNLDRLRQEREENSLEMDCTQSRLSHRANMLVD VLPSFEMYNALHRHIPQGNVDPDRHDFPPSYQEVRTQRMTILPSNDNSVERSPLTAVP GFENACNNGATSHSLTNLHPLQTQHLTINSTRSGEQSRHSSSDTNVSQIPFEDDLNDS DNIFIDKLYTLPKLSTPIEVDIRITKTASIPHERPEEQSILKEYTSGDIIHGYCLIEN RSSQPLKFEMFYVTLEAYISVIDRQKGKRTLKRFLRMVDLSASWSYTNITPSTGINIV PGERDFDDAIIGLSNSRELKPNTKYKKFFMFKLPTQLLDVTCKQEQFSHCLLPPSFGI DKYKNNCKYSGIKVNSVLGCGHLGTKGSPILTLDMADDNLSINYTIDAKIVGKDKRTS KLNIMKEKEYNLRVMPFPFAGVTNQQNEKTCSRQLNDLDSLIEDRFEALNKIFKKLEL NEAISNVDIHDTDISGTLDGNEDLDSDEILRRKLDQLHINNRIDDTANQSPSYDSKNM APKENLVETELRYKFKNKNKSNSSLFSHFLSSSETGSSSTGPHVYNSGLIVLSVEKPQ SALPYWSPSLLRKTNKFEAKSEQEKENWQRLMNMLPEGVKTPLTKLDVHLTCIQSNNS AAHKPPEISSVTTEFVVITAKSDNSIPIKFSTELLMNENRLNKLKTKFLAYQKKVHEY RKKFEENHAKLNELYNRNRDHSTSKELLFTNFISDQINNDIDSLAGLKVNIIDLHDIF KKQIHTFEEENEDIISKKGSSNPPSTSSSNNNFLQATFSNGASTATKFTQQIVHEWEK VKPLQYKRDVTVNLKLNPNIKETLVPNFETCLCCRFYCVRVNIKFDNHLGSMKVDIPV DVKKLQI SPAR_M00240 MLLSSRIVRSSLVNVPLRLPRFFTQAHTACKEEKATTPLSSTAE QPEQKYTHFGSKTVLKSTKQKLVGDVFSSVANRYDLMNDVMSLGIHRLWKDHFINKLD AGKRPNSTTPLNFIDVAGGSGDIAFGLLDHAESKFGDTESTMDIVDINPDMLKEGEKR AMEQGKYFKDPRVRFLVSNGEKLEEIDSDSKDIYTVSFGIRNFTDIQKGLNTAYRVLK PGGIFYCLEFSKIENPLMDFAYQQWARVLPVMGSMIANDYDSYQYLVESIERFPDQET FKSMIEKAGFKSAGYESLTFGICAIHWGIKV SPAR_M00250 MVLMEDVQNEDGHNTVENSSSGGDSSNNIQMRRMRKTQLSKRKL LEKRKSDVLIAAKSLDTEIQNVKNLKRLSIGSMDLVIDPELEFKVNSRNSYSSDSSKE SLQESLHEKDVVRLEQEEKQGDEDNDAYEEADTTNADDSIDITQTEYLHDEETLEKEK ISRNASSSTSSSARVTSRNRRLSGVKALAHDVVLDVTDDHSSKMVDLTQNLLWVPANQ HPNVKPENYLELIQDTLQNIQISTDQDSDENKLELGNNHVIPNRKRSGSVVRRPSRLK TSYTKFDDELPSAEKPQEGEEEVDKRIPPSETKTVRSVSLKEITEELTKISNNAGLTD SDAVTLARSLSMSGSFTNESLHLNSNNAENDNEFASNMFSETGRTIPERSSLRRSKFN TYKIRLESNGLPQAAKLNNLMNIQASDDRRSASSPASCMQLPQEQASLNDFQEIFDHY RRASTDWSTENEKYADSTNYYSDEEDLTHASISQDSSLLSTDSSNNSVLIKPHNTGSM ISEKLDQHVSSNENENINGSELNHGWSWLNSSNENLNGNDQSYERLIDDKNDHEDVEN EKADFVNLSVSRRAKSTKRASERINHSKNRHSPIFQIHSDESNPVVLTPSVVSSSPSQ SPEPIVPAIAEKNIESPTDTQPSTNKKNSLEKRLARLFKRKQHSGTCKSDVKVTKKSV KKELKKKTSHSSLSKFRKSPKKKPEEAKRNHKCPSSPTKTTSSEDIDTASVIEPTVQS SHASSILSDSNASRSSEFVVETISELDGDDSFDISGGDINYDVEVHSDVGIETTAKPE GSAGEEGENKISSPAPQISTLPPKKLTFEDVVKPEYPNAPIKFTDSAFGFPLPMITNS TVVMFDHRLGINVERAIYRLSHLKLSDPGRELRQQVLLSNFMYSYLNLVNHTLYMEQM GTEDVVFNGDSALGMMDKNDSDGTILIPDI SPAR_M00260 MPKNNTYRMLVLLEDDTKINKEDEKFLKGKPGKMHEFVDELILP FDVDELDELNVWFDKFDAEICIPNEGHIKYEISSDGLIVLMLDKEIEGVVEKVKKFVE ENN SPAR_M00270 MEKDALEVRLRSIRHSLGKSTKPLPGKYKNTLGERLITKWRYKK KSYDESSMLPKKCKKRLQLFDDLVQESSNDFVGFRLHDLQALLERTCSIQNHTRLLLV EWDGRWVNPLTLASKGWKPYRGESQSQVAFKCCCCHAIMTILLFKNGDDAADYSMKLN EKIWYCNVIGNHLQKCPWRKNRFDLNREYYLSPQTLIREIERIHAEIERIASGSNEFS LKRNSSRIFHYLSENEMQKLAFFFDCKDYSLVGLLLLGYTKFEKDDLVQCTACFHRAS LKILEHTEFNGHAPWCRYYNKELLPKMLLELIDGEDNLITKMDVGERLNKLEAVLQTL SPAR_M00280 MPIMLEDYQKNFLELAIECQALRFGSFKLKSGRESPYFFNLGLF NTGKLLSNLATAYAIAIIQSDLKFDVIFGPAYKGIPLAAIVCVKLAEIGGSKFQNIQY AFNRKEAKDHGEGGIIVGSSLENKRILIIDDVMTAGTAINEAFEIIGNAKGQVVGSII ALDRQEVVSTDDKEGLSATQTVSKKYGIPVLSIVSLIHIITYLEGRITAEEKSKIEQY LQTYGASA SPAR_M00290 MPRLEEIDDLNDIDDLDMDLAELDPSLRTPIAPKITPTVVRSQD KENTAFLPGMNDTSNSNNNSSNEKEQLSFINPKTGKVERSEAISKKDLEEVKRFQVLY PCYFDVNRSHKEGRRVPKELAVENPLAKTMADAVRELGILCIFEGEKCHPQDFGNPGR IRVLFKENGQLVGAATKFKGGKRQLMKAVGEYMKTHPTTIESLREIPYGPDFDNIEFK KIPRVKGFKMNEIVPLHSPFLMGHPMTKSVYETPKITAAEKSFKPPKNKYKVVRR SPAR_M00300 MLKFLQQFRFFSVLYCLISMIQWSVVSFSLGFFLSICVFGYFVF FKSLPDLPKPQPRFVGIVPANSNFVEVDKELRTVEGLIHDGNAQIGKELESIVDLIIR DFVEPWFTKIDKNSDAKFLKVIKWRLLQTLLVVKEKLIKNDSASLIVLKLLPIFNKHF STFCDAREAVLSDLNLERQKAANIDLQIAVEFNKNYKLHKSLSLKPNALQKEIEKSIR KTVIGLLPHLFDNDELDSLLVFTLMTEVLTTCIISPLIFKFTDPDSWNLRIVSLSQNY FEEKHKVHKIRRMLSKELQDHRNVMNNIGNKDAGGPSSEKLELNVDFTGKQFEHYLNQ LNSLLDLSDIKYVAYSLALKIYELKGNEHLSKENLKYKKRLLLSLNLIESKLSFPGSE IETASKKLARDGYYSDLNMDNGVVLKEMSTFLASIALKDIIDDTEFLPFFKSFLGSIS ETQGLTFLEYSQTIESFKNPLEDATSEDIISGYSGINTIQLREISAKFFHNNDLQNMK LLDEGLVKNIVLFTNSFQISNDEDTFILARKSVLLLQTEAIKYLDDKFLPLFKKSPFF LQMLSTSHIVSTDIYVHFLSRRNSGANNAEQTKILKDNAKIDFMNPVRIFANPGITDA LDSIVNGSGFKPDKSKVSSNPRYSQLFGSESDNIFRDKLFDDENDNPSEFYVVEDQLD LPRSVEKLSVSSGNSDLNPSQFHGLSNFRDNIASLTISIDQIEKELELLRHLILKADL TNNQMQLKILKKSQRTLLKELEMKELLKQQYMVQENANSLFRKTKIYIRSYFSENSSN GLKEITYYIINIHHFNNGQVSSWDMARRYNEFFELNIYLKKNFRDVMRQLQDLFPSKV KMSLKYHVTKTLLYEERKQKLEKYLRELLSISEICEDNIFRRFLTDPTLFKLNKENTH DGILEEPPRESIGSSNSTSNSSSVADLQSTEDNGDELNFYEDERHFFTDSGYPFYSQN KSFVKQICDLFISLFALNKANAGWLRGRAIITVLQQLLGSTIEKYIKVSIQKLRSEDQ VLEAVITFKNMLWGDNGVFERKRNETIEAARSEGEKLRTEQLALTSLQRLFADTCGRV VGLRDSHEAADRVHAMLQNPYLNASLLLEALDAILLDIICND SPAR_M00310 MATHSIRNSSPQLSFTHVANFINDAVADISAVDASQLAEIRQFL KANKANLIKDLETVKEHGTSSGGDNKLRFTIANILQINVDDDPFFAQFEDPCRAAQFF ISERSSRLHILYSLLVNPDIDLDTYSFIDNDRFNIAAKLISLISSVMQDYDTITASGL AQGYNNDEDTFTIVSLVQLKKFSDLKFVLQILQILNLMILNTKVPINIVNQWFLQYQN HFVEFCRNINSTDKTIDTSSLQLYKFQNFQDLSYLSETLVSRISSLFTITTALILGLN TSIAQFDLQSPLYMDSKTFHIVNAALENDIATNIVNEDPVFHPMIHYSWSFILYYRQA LQSSESFDDSDTTKFALFAESHDIFQELITLSEVLSFDPIYTTVVTVFLEFSLNFIPI TASTSRVFAKIISKAPEQFIENFLTNDTFEDKLSIIKAKLPLLNESLIPLINLALIDT EFANFELKDICSFAITKSSLNDLDYDLIADTITNPSSSSDIIIPDLIELKSDLLVTPP LERENSNCLLSIPKSTKAKILTIKRQQQQSAQQPPTASNLVIFLYKYNGWSLVGRILQ NLLHSYMEKGTQLDDLQHELMISIIGLVTNVVDPKTPIEKSSEILSYLSNSLDTSTST INGASIIQVIFEIFEISLQRKDYASIVQCCEFMTMLTPNYLHLVSSYLNKSELLDKYG KTGLSNMILGSIELSTGDYTFTIQLLKLTKVFIRESLSLKNSHVSKRSKIDIINKLTL HAVHVFESYYNWKFNNFLQKFEIGFHLTSIFYDVLHDVFTINPYQKNQLITSSSAKKL LQLFLTPMDSIDLAPNTLMNILVSPLDTTTKILGDKILGNLYTKVMSNSFKLCKLLIS IRGSNHDLKPSNLEKLLFINSSKLVDVYTLPSYVHFKVQIIELLSYLVEAPWNDDYPF LLSFLGERKSMTFLKEVLSDLSSPVQNWDLLRSLYIFFTTLLESKQDGLSILFLTGQF ASSKKNNDEASIDKKNSILTVLQKNSLLLDSMPEEVSCKLLETITYVLNTWTNSKIFI KDVKFVDSLLNKLKNSKTLFQKKESLTRNGTVSLIKKYKLVSRIVEIFALYIYNSTDS NSKILKFLNQEDLFELAHHFFQIDGFNKTFHDELNLKFREKWPSLELQSFQKIPLSRI NENENFGYDIPLLDVVLKTDRNWYKPTTGETNFKEEITDASLNLQYVNYEISTAKAWG ALITTFVKRNTTPLNDGFVDLVEHFLKLNIDFTSDKQMFTQIYLERIELSFYILYSFK LSRKSLKETKIIELMNKIFTVFKSDEVDFIKNIGNSSKNNFYRPLLRSVLILLELVSS GDRFIELVSDQLLEFFELAFSKGVYLILSEILCQINKCSTKGLSADHATQFINLEDNT QDLLLLLSLFKRITKLNPSKNFNVILASSLKEVGTLKVILNLYSSAHLVRINDEPILG QITLTFISELCSIEQIAAKLINSGLYSVLLESPLSVAIQQGDIKPEFSPRLHNIWSNG LLSIVLFLLSQFGIKVLPETCLFVSYFGKQIRSTIYNWGDNKLAVSSSLIKETNQLVL LQKMLNLLNYQELFIQPKNSDDEEEAVELVIGLDSEHDKKKLSAALSKFLTHPKYLNS RIIPTTLEEQQHLEDESRRLEFVKGISRDIKTLQDSLFKDV SPAR_M00320 MEASHLQIYWHDSQPVYSLTFQKSSSNEKLFTAGGDNKVRIWKL NRDEDEKCGGVRKIESMDFLGSLTHHEQAINVIRFNSHGDVLASAGDDGQVLLWKQED PNTQQDSVIRPFGMDTEAGEADENKEKWVVWKRLRGGSGATAAAEIYDLAWSPDNRNI VVGCMDNSIRLFDVGAGMLVCAQSDHGHYVQGVAWDPLNQFILSQSADRSLHVYEVIL SPAGMVTGLKLRSKIVKAEMPSTGDVLRTNYLFHNETLPSFFRRCSISPCGGLVVVPS GVYKVGGDEVANCVYVYTRSGILNGAGGVKNRPAIRIPSLKKPALMAAFSPVFYETSQ QSVLKLPYKLVFAIATTNEVLVYDTDVLEPLCVVGNIHYSPITDLAWSGDGSTLLISS TDGFCSYVSIDTETQFGSRIEPPALRAEQLETNDSPAAAKNQRESGGIVNMLPVKKVP CNSNDNKKRRIQPTPVDL SPAR_M00330 MDRSTIVFLLTMVCLFVYTVKRKSAKQVPLRTVQDAKPAPTAAT NNPSPEPVPSTSEKRVAQLNRHSVHRKRAVNDDMVEIVMMMAPHVPQEKVVQDLRNTG SIERTMENIFAGKLD SPAR_M00340 MALIVASLFLPYQPQFELDTSLPENSQVDSSLVNIQAMANDQQQ HRTLSNNISQESLVAPAPEQGVPPAISRSATRSPSTFNRASSTTNTATLDDLVSSDVF MENLTANATTSHTPTSKTMLKPRNKASVERFFSPSSNIPTDRIASPIQHEHDSGSRIA SPIQQQQQDPTANLLKNVNKSLLVHSLLNNTSQTSLEGSNNHIVTPKSRAGNRPTSAA TSLVNRTKQAPAPPGSSGSSAPPSIKRITPHLTASAAKQRPLLAKQPSNLKYSELADI SSSETSSQHNESDPEDLNTAPDEEYVSDLEMDDAKQDYKVPKFGGYSNKSKLKKYALL RSSQELFSRLPWSIVPSIKGNGAMKNAINTAVLENIIPHRHVKWVGTVGIPTDEIPDN ILANISDSLKDEYDSYPVLTDDVTFKAAYKNYCKQILWPTLHYQIPDNPNSKAFEDHS WKFYRNLNQKFADAIVKIYKKGDTIWIHDYHLMLVPQMVRNVLPFAKVGFTLHVSFPS SEVFRCLAQREKILEGLTGADFVGFQTREYARHFLQTSNRLLMADVVHDEELKYNGRV VSVRFTPVGIDAFDLQSQLKDGSVTQWRQLIRERWQGKKLIVCRDQFDRIRGIHKKLL AYEKFLVENPEYVEKSTLIQICIGSSKDVELERQIMLVVDRINSLSTNISISQPVVFL HQDLDFSQYLALSSEADLFVVSSLREGMNLTCHEFIVCSEDKNAPLLLSEFTGSASLL NDGAIIINPWDTKNFAQSILKGLEMPFDKRRPQWKKLMKDIINNDSTNWIKTSLQDIH ISWQFNQEGSKIFKLNTKTLLEDYQSSRKRMFVFNIAEPPTSRMISILNDMTSNGNIV YIMNSFPKPILENLYSRVQNIGLIAENGAYVSLNSVWYNIVDQVDWRNDVAKILEDKV ERLPGSYYKINESMIKFHTENAEDQDRVASVIGDAITHINTVFDHRGIHAYVYKNVVT VQQVGLSLSAAQFLFRFYNSASDPLDTSSGQITNIQTPSQQNPSDQEQQPPASPTVSM NHIDFACISGSSSPVLEPLFKLVNDEASEGQVKVGHAIVYGDATSTYAKEHVNGLNEL FTIISRIIEG SPAR_M00350 MGITSKNGPKKMGRAKTFTGCWTCRGRKVKCDLRHPHCQRCEKS NLPCGGYDIKLRWSKPMQFDPYGVPIPQNSPATTTNLSGSVDEPQYQRRNIDFVRYDE EYVYHEDMDDELTMLHTPPIEKISDDKTWIIKKFGVFKGTNKIDKRYAPRKKRNRKRV TKNLESSGSVSLSSSPSSTLSFPIRHIEDKLRNRGHVKTGISSASNAVPPTPNLLDYD WNNLNITGYEWISSELRDDALLSAVTLQGHHLGHLQPQEISFEENSNIVSGEEQINSK EHGRTIEADSQDSSTLPSKGTSANDKLYHQNLKLLFQKNSSNSEEPDPQTLIDDIFVN IEPRSLPASDLNKIALAPPNDESRMPKSMLELTSYSSDLPSELIDIIPKTDLTVHGLA RFLLNHYFNNVADKMTVVVLEKNPWKTLYFPRALMALGDLAGLGQSSNSRNALLNALL AVSCFHLQSKYPRNYKLQKYFLGLGIELRNQASNFLRLCLNTKSSIPEKYKDVLTAIL SMNSIDVVWGTMADCQDHLALCEDFVESRMKLRPNISEKAKTLHRIFSFLKLIQDSTA LDKVRAKEIVILPSEEDDNYKPLDMSNAATANSESRVDVMEEGLFREALNESDGKIHI EFVKEPITNISADSTPSSNTPPIFTNIATESYYNKSDISKLVSKTDENIIGTDSLYGL PNSLILLFSDCVRIVRHNEYYNLIYLPVPRKFNELSLNFEKRLLKWKSEWNFHQESSE GKSFINPTAEALYHHTMSFYFSLIIYYFTMARSLNCQFLQNYVAKVLDHLNAMEELVN QKKVKIVPLIWQGFMAGCACTDENKQQEFRRWAAKLAESGVGSYWGARQVMLEVWRRR KEDEPGDNWYSIYKDWEMNLMLS SPAR_M00360 MSRKLKKTNLFNKDVSSLLYAYGDVPQPLQATVQCLDELVSGYL VDVCTNAFHTAQNSQRNKLRLEDFKFALRNDPIKLGRAEELIATNKLITEAKKQFNET DNQNSLKRYRGEDEEGDEMEEDEDEQQVTDDDEEAASRNSTKQSTDSKATKTRKQGPK NLKKTKK SPAR_M00370 MTEDKKSEILKEFDPFNQLEPTKNGNPDKDVVYQKDDAVKAVAG ETNTDISAQERGDEEPFYDFQLFIKQLQTPGADPLVKYTKSFLRNFLAQRLLWTVSEE IKLINDFKTFIYDKFTLYEPFKSLDNSKTRNAKEGMEKLIMGKLYFRCFSPSLYEILK KTLDDEHMKDLNDDDTLLEKIKHYRFISPVMLDIPDTMPDARLNKFVHLASTELGKIN RFKSPRDKMVCVLNASKVIFGLLKHTKLEQNGADSFIPVLIYCILKGQVRYLVSNVNY IERFRSSDFIRGEEEYYLSSLQAAVNFIINLTESSLTIEDRKGFEEEYQRNLKQIAEE KEEEEKKKQLEVPDDLQSNGALLKPLDEVTNIVISKFNELFSPIGEPTQEETLKSEQS SKEEDVSLLIKKIEENERRDTLKTLQNMFPDMEPSLIEDVCIAKKSRIGPCVDALLSL SE SPAR_M00380 MCGILLHYCPNNNRLENELIEFPEGTEFGDTTCINESSIFNKII PYIAARGPNYSSLRVSKAHQTSWFSSVLSLRQPFTKQSINVDDRYYLQFNGELYNKEI SHWGNDSLYIASLLQDLKEGMSIIDVIQSLEGEYAYTVYDVKSSKFYFGRDPIGRRSL SYSITSDNELYIASATGAVECFQDCIGGVVYEYDTRTKLLNNNQRSHPPYEVTSEIDP DFKSLSKVSKNLYSVLLESVKKRVESIHPTHIENSPIAVLFSGGIDCSAIAVLVCEVL QENDYECGKPIMELLNVSFENPRTGLLPSDTPDRKLSINSAKILQNLYPEIDIKLVEV DVPYEEYLKWKPSVIDLMYPKQTEMDLSIAIAFFFASRGKGFLTSQYGERTPYQRHGI VLFSGLGADELYGGYHKFANKAPHELVEELTRQINNIYDRNLNRDDKVIAYNGVEVRY PFLDEHVIKFSTVEIPINFKVNKLILRKVALQYLKLDGISAEPKRAIQFGAKSAKMTK DGNKHGTDLLKRNGHCS SPAR_M00390 MSSQKIDLTKLNPEQLNAVKQQFDQELQHFTQSLQALTMAKGKF TECIDDIKTVCQAGNEGQNLLVPASASLYIPGKIVDNKKFMVDIGTGYYVEKSAEAAI SFYQKKVDKLNKESVQIQDIIKEKTQYSLSIEAQIRQAAIRQHEAMSKQQQQQKNEAS TT SPAR_M00400 MAKKKSKSRSKSSRRVLDALQLAEREINGEFDDNSDNDRRQDAR RNGTVVNLLKRSKGSTDSGEDDIDSESFEDEELDSDEALGSDDDYDILNSKFSQTIRD KKKENADYEEEEDEGGYTSIDEEDLMPLSQVWDMDGKTAQGNGNDDEDASLQLKLQDT DASSGSSSSEESESESEDDEEEEDPFDEISEDEEDIELNTITSKLIDETKSKVPKRLD TYGSGEANEYVLPSANAASGTSGKLSLADMMNVIDDRQVTENANLLKGKSSTYEVPLP QRIQQRHDRKAAYEISKQEISKWNDIVQQNRRADHLVFPLNKPTEHNHASAFTRTQEV AQTELQEKVDQVLQESNLVNPEKDSRFEELSTAKMTSEEMRKRTTEMRLMRELMFREE RKARRLKKIKSKTYRKIKKKELMKNRELAAVSSDEDNEDHDIARAKERMTLKHKTNSK WAKDMIKHGMTNDAETREEMEEMLRQGERLKAKMLDRNSDDENDGRVQTLSDVEDEKE NGDSEALKSNLGKTGVMNMAFMKNAEARERGANEETLRKLRAVENGDDIKLFESDEEE TNGENIQLNKGRRIYTPGTLESNKEMSELNSHTRKEHEFDESRSLENRLKTKNGSQSK NVMTNREGAIIVEETSDNEPSQDSRKSQHDEEAKNINPWLANESDEENTSKKQSSKVN IVDKDSSKNVKAMNKMNKAELKQKAKKKKCKSNDDEDLLLTADDSTRLMIVDPYGGSD NEQGGNEFMFKQQDVIAEAFAGDNVVAEFQEEKKRVIDDEDDKEVDTTLPGWGEWAGA GSKPKNKKRKFIKKVKGVVKKDKRKDKNLQNVIINEKVNKKNLKYQSSAVPFPFENRE QYERSLRMPIGQEWTSRASHQELIKPRIMTKPGQVIDPLKAPFK SPAR_M00410 MTDRYSFSLTTFSPSGKLGQIDYALTAVKQGVTSLGIKATNGVV IATEKKSSSPLAMSETLSKVSLLTPDIGAVYSGMGPDYRVLVDKSRKVAHTSYKRIYG EYPPTKLLVSEVAKIMQEATQSGGVRPFGVSLLVAGHDEFNGFSLYQVDPSGSYFPWK ATAIGKGSVAAKTFLEKRWNDELELEDAIHIALLTLKESVEGEFNGDTIELAIIGDEN PDLLGYTGIPTDKGPRFRKLTSQEINDRLEAL SPAR_M00420 MAFKSFIYSKGYHRSAAQKKTATSFFDSSYQYLRQNQGLVNSDP VLHASHLHPHPVVVANVNYNNVDDILHLHDLDSCINSTANPLTHEELLYNQNVSLRSL KQQQSANNVNNSNTQHRYYSTGPTLPTNQYDPLNFSNRNFQDLSLKTPQQSAQQQQSV QQQQNEYSLFKDENAPVWKEDAEPCLNKSTYLQTHVDEINRCYEQKKYNKINSLYQSL KRNDIVPPLEIFTKVLDSLCKRPLDNNDLDNKMYELLTCYQDMINNRLKPPDEIYNIV LLSLFKGSILAYQFKNPNGNDFYKIAIELFNTTTNDPRQKSVVKFRNFSKDVLDYNLL AMNIYPGHITLSKAQQVIKSSPAFVKDSFYFIACFSYAKLTNDKFAIKELYEDFRLSL SSGSPNQSLFDDQFEIYSVILSSFIETGEVELATNLLDDLVSKIQSSNGLASNISLLL SSFLISMSKVDSSKAYEIWFKFHELDWIPEFSYEFYLVFMANSFQDWNLTKRIYDYIF PMERNLSPLKKQKLSDYLLYPIGVDSITTSLLDYSLQLKDNEVIMKILEESIVKNFPF DIGIYPFVFNYLREIQCGEDYLMRFIESHAEFIKKSNSISKFQFLNMIVDNFQSQSLL NKISHAKFFKNFVEGFNLENCEMVSYNGLISCINNFVQIPKTIKDFPYILEIHAILIT KLFDFDTYPILQNGNNEVLLKFRDQIEHQFKMLAQNFCRLNLDPNLLAGVVSQAMKMV NLDDTANGQDLLNFFNHPGDWDKSYPLSLGSFIRNSPRGGIREFTKLSKEGYCFDYDT YKELIVKKAINKKVVDKCLEACPDSIELKNIVNLMISKIPGRNLTQLIINNPKFSKVF VPNLRNDSMLKLIKNCESLSSFIRVCNFPENFKSIAIQAENKNAIELIYERMFDGGNY ADILRHNNVVPVLNLELLLKSCIRSGEFKKYENLSEKFNDKISESSKIDIQLEYLINK NDLKEAFTLFEKTPRELRTPHKTMDLYTFALFLDSFNRNIAYYESPENTLQFANILSS QTSFINLLSTYNLIAHSDQLMNFNTGGMATKVKKEILTQMLNNLYDSIRLLSPSMEND KSMKEKLREKVKNYCRFKAYLKSPELDIDELKTLISVESFLNPFTPSMLFNNLIETIY LNEHAPSLVLQNGLIYSLQQKGLNKVLGYLEETFTTNENHASIEKVKEFRSLLNKSKP LQA SPAR_M00430 MERSGLVLQDLPPEILINIFSHLDEKDLFTLQELSTHFRNLIHD EELWKNLFKSRVHTTHFPTFSQSSKFSVEYIERTRGLHHWQHNKAIRTKYTIMPTRNW NQPSIERIVFDYPRVAAYNDGTITILQLQNHKRQKKFKKLIYIPCTTPQGCSTMDFNI NAAVFGRFDGRVFGKLLSNKSYLTPVMEFTGRHSAGVTAICNSESWDTSREDWSVSGS ENGEVIWWCENKLVKMWKVSNRVIWKLAFFKDWTLIMDDEKLYIIYQMQELHSIDIPR DAGEQPMRVRFFKMDFGSMTLVLADLNNVYTISVNPNGNFGNLRKLEMPEQICAIEID AKTSQREQNWQFAGDDGCYISLLTIQNILYIINIRDLSAAGLKVQCKISFDEQVYVSQ VTNLIVVVALPNTLQILNAMTGELIKSVLKTDKFPEFLKVSQDKIIMGSGNVLNYLTF VSGDSKKHHHSSKGKNAISNKWNETLNTELQYYDEDEDLRRKRQSEISRLINAYGGDL EFLGNADEEDDIQLRIALLESQEVQARNQAETGELLGDDEDEQLRRALEESQLIHQAQ MNRPANQNNNTNDEIDEDDEEFLRAIRQSRLEDERRRDLRSHATGRRNGPLSDEAFTT DGADSSEQASTENTIDSAVGVDAGNNVDEDLQLAIALSLSEIN SPAR_M00440 MSIVETCISFALANPLYLFSAGLLMNCVVTPLYLWKTQNVKIVV VSLLQFVVLYATAFITVGTDKSLYRNKWVALPLAKKTRISRNTSLYCFKLKYPFERLN IPMGYHLAVRVTINGERLVRYYTPVNVPNTEGHLELVVKTYKHGVVSKYFDKLKIGQC VEFKGPLGELEYDQDTATELGIIAGGSGITPVLQVLQEIIPSPEDLTHISLIYANETE DDILMKSQLDHMAKEYPHFKVHYVIHKPNGKWNGDVGYVTLEEMKRYLPKQAEDHRLL ICGPPKMNEMVLNYAKELGWSNGFHKGNGSDKVFVF SPAR_M00450 MSTIPFKKNYVFKNWAGIYSAKPERYFQPTSTNEVVELVKSARL AEKSLVTVGSGHSPSNMCVTDEWLVNLDRLNKVQRFVEYPDLHYADVTVDAGMRLYQL NEFLGEKGYSIQNLGSISEQSVAGIISTGSHGSSPYHGLISSQYVNLTIVNGKGELKF LDAENDPEIFKAALLSVGKIGIIVSATIRVVPGFNIKSTQEVITFENLLKQWDTLWTS SEFIRVWWYPYTRKCVLWRGNKTAEPQNGPAKSWWGTKLGRFFYETLLWISTKIYAPL TPLVERFVFNRQYGKLEKSSTGDVNVTDSISGFNMDCLFSQFVDEWGCPMDNGLEVLR SLDHSIAQAAINKEFYVHVPMEVRCSNTTLPAEPLDTSKRANTSPGPVYGNVCRPFLD NTPSHCRYAPLENVTNSQLTLYINATIYRPFGCNTPIHKWFTLFENTMMVAGGKPHWA KNFLGSTTLAAGPVKKDADYDDFEMRGMASKVEEWYGDDLKKFRKIRKEQDPDNVFLA NKQWAIINGIIDPSELSD SPAR_M00460 MVDNEAIYDMCKRNLDIPRPSFANLNNLIAQVVSSVTASLRFDG SLNVDLNEFQTNLVPYPRIHFPLVSYSPVLSKSKAFHESNSVSEITNACFEPGNQMVK CDPRDGKYMATCLLYRGDVVTRDVQRAVEQVKNKKTVQLVDWCPTGFKIGICYEPPTA TPNSQLATVDRAVCMLSNTTSIAEAWKRIDRKFDLMYAKRAFVHWYVGEGMEEGEFTE AREDLAALERDYIEVGADSYAEEEEF SPAR_M00470 MIEPGKDSMDYLHVYNNNHAHVPRTPTGRQCLSPVLPPIILAVD QQHSMAFGYSSPRCLPTPILPSMTANVPVHWSQQIVTIPVAVQSNAGMIPILTPMASP RPQFKATTPSPHLAPVAIKLPDLKLPPSPVSSTVKGGASHPILPKIVIGNGGSHVERN SNEELIRKIPDYIDCAKTKAQLGKVRSGRQLIACAQEYHHPVNKDEVENINNILNFRD YIFKHPKSCFESPCTLSFEQFVRVYSFISFIYRTKKINKNKYELVCEMNVHEQLSNKR IQRTRTPEKYKIHLICESKLILTFNHCTKTVKFESINGGHCHPISANHIIKPSLFLIH CINKCYQTVSDPTDLKLALRDALEALDHERIGLPFLKRRHFKSSQASSLSNVSTTFKK REDHDALGVHTGIIHTANFFMFNASSDIFQRN SPAR_M00480 MVSAQVATKLGQPIPLDTQHAVSVCFPTWESVISYVKKDPKVLG CLKSGYPRFWIHPSIQKLRDILIEKYAKENETCFCFPSYRVAKRCREYIRRKCAHRNG KVRILQLATAKPINEEQKTWKRECKIAVVFVDGAYENILKQYWQYTGEIISSRLAEYV LHELFIVEKKSSSAEEKEYIETRYGRNLNFAFADRAKELIKKRIATKVIDKDEHDEEE NYHFFVGNQDEQDFQNTFLDSSLNEANHGEDHGEGISTEVNSQEEPHSGLVSTIPPEP IEMSTIEEEQCLDEDAGRRALRVCPERDVFLFPSGMASIFTAHRLLLQRDSLRLNRSR NGNDVTSSPPNKKTVIFGFPYADTLHVLQEFNETYFLGEGDESSMKELTKILHSGEQI LAVFIETPSNPLLKMGNLLELKRLSELFGFFIIIDETVGGIVNIDGLPFADIVCSSLT KTFSGDSNVIGGSMVLNPQSRVYEFATRFMQLEDEYEDLVWCEDAIYLERNSRDFIAR TIRINYSTEYLLEKILKPHVGENRLFKKIYYPNLTSKETLTNYDMVRCKKEGGYGGLF SLTFHDEGHAAAFYDNLKLNKGPSLGTNFTLAFPYTLMTYYHELDMAEKFGVERNLLR ISVGLESQSILGKIFQEAIDKTEEI SPAR_M00490 MLKRFPTPVLKVYWPFFVAGAAVYYGMSKAADLSSNTKEFINDP RNPRFAKGGKFVEVD SPAR_M00500 MSSEEFKGLPIKRDISSTIHTDRPPALSAPPCVGSTGNDKIQVL PIPKKSRTIKTDKPRPFLCHICTRGFVRQEHLKRHQRAHTNEKPFLCVFCGRCFARRD LVLRHQHKLHSALVSKESINSKDKTEIDAINDKNIIQIQGNKQTILPTPSNPLAKTAA QLKKAAKEKKNGKQGKLDMSPSYSTNSRSADISSSVGNSSTPTVIEEANPSSHFPPPD TNIPTKSKRHASFSASSAFTYSSDNFQKLHQQTKSDFDELQESVPHQVGFSTPQLTAQ QLIENAIESGVVDLETLDLPPFLSLDGLPPASSSAAVAASEQIDIYPSSATDTISGTN TTPNQAATAPPSQLPIGRESSSLFLANTPYLSDFLTMGSSYGGSGGFVKSITADPSLD YFNYKNHPHSDIRHNNSSSSINNNANKNNNDPIEKSQNNNNAIDEAIDDTDIHAHHAD AHDDSFIESEEWLSKFIMDSQIDNDLKLNISHFNDIGFNNLHSQNPTTHSEPRNMYNE NRDIHRSSNTFHSVSENISPREQFSLFKAKPNKAISKFLSDEKIPSTASPSSSASPVQ FGKKNVDINEFLLDESVSNLFTTRQIDLFKKNVNLYSPLLQNQNAVSPTNSTPSLSTR TVVTKIKPGWMDSSEKLAFFTEKLRNLIIKENNLKSNLFPTVDELNHYVNLYQVEFHK YFPFIHLYSIIPSGENYPLVISISMIGALYGFHSTHALLLSKIARKRVRIFLESTRSS HDKTPIWLMQSLVLLTFTSIFSNDMNAFRTVNTQIIILVQLIKIAKLNFPLENFIKPP IESDHVLEYQDNPTVLNQFKAQYNTREQMNRNFKYFILAQSRIRICHIVLLISNLFKS LVDFDCCFHSIDLKCGVPCYNEVLFFCENSKVWNENLTRFNVVLDSKFSLIEVSNGES NYEKCLMYLSNGNPYLYKNAKVSFKTLLSLLISIHEKINIERDALKDSYEGDFHAKNV QWRMHSRPLVATMLKHWELLYIKNGGVLALNDENLPIINTNPSFRLIIPLYFFAKLRK CLDIAPTLRCIWNQDWNSMNSSLEKVCYEWESLREATEYAVSVVTFWIDTVTIMKGKS TQTPIFTITCIFVSILVIAGYMRRLEDFAQNQNSDSMIGSLKSSDRILWLKAFKALKR IESHLSEREYKLQTFAEFLRVPDNGSLDIENLDSSLIEKTLNPHDASNEALDIITRTR LSSRTLYCGARILGDTPVWPVSLLFAHALQSRAIYNINHRKSVNNV SPAR_M00510 MTESALSSASNALMQKLTGRQLFDRIGRPTRIVAPMVDQSELAW RILSRRYGATLAYTPMLHAKLFATSKKYREDNWSSIDGSSVDRPLIVQFCANDPEYLL AAARLVEDKCDAVDLNLGCPQGIAKKGHYGSFLMEEWDLIHNLINNLHKNLKVPVTAK IRIFDDREKSLNYAKMVLDAGAQFLTVHGRVREQKGQKTGLANWETIKYLRDNLPKET VFFANGNILYPEDITRCMEHIGADAVMSAEGNLYNPGVFNVGQTKDKDKVFPRVDKII REYFQIVKECQESKASKTAMKSHFFKILRPFLPHHTDIRSTLATMNAKATWEEWEEQI VKPVEIVVQVIFEQPDIEIKDEIIIGEKQSWGGSYRTVPYWRCQPYFRPVNGITGDKR VMQGLTDADINKKRKADMPLESADKKKDIKA SPAR_M00520 MSANVQEAADAAIELASFVKVPVPEPPTSLQQLINDWQLIKHRE GGYFKETDRSPYTMELEKPENGGSGKIETVTRNQSTLIYYLLTPDSPIGKFHKNINRI IHILQRGKGQYVLVYPDGQVKSFKVGFDYKNGEVSQWVVPGGVFKASFLLPNEEFDNG FLISEVVVPGFDFEDHTFMKGEDELKHLVGPEKAAELAFLA SPAR_M00530 MFKRSIIQQSRLLSNSVPRLGKKVFFDPAVNGTKIGRIEFELYD NVVPKTAENFRALCTGEKGWGYKGVPFHRIIPDFMIQGGDTDLTNGFGGKSIYGSKFA DENFVKKHDKAGLLSMANAGPNTNGSQFFITTVPCPWLDGKHVVFGEVTKGMDIVKTI ESYGTASGKPRAEIVVEEAGEL SPAR_M00540 MGIYSFWIFDRHCNCIFDREWTLASNSASGTINSKQTEEDAKLL YGMIFSLRSITQKLSKGSVKNDIRSISTGKYRVHTYCTASGLWFVLLSDFKQQSYTQI LQYIYSHIYVKYVSNNLLSPYDFAENESEMRGQGTRKITNRNFISVLESFLAPMVNQ SPAR_M00550 MDTQIAITGTAIGKEINKSSSKTDNKIASSNADVPCIDKATQTI IEGCSEDDPRLSYPTKLETTEKGKTKRNSFACVCCHSLKQKCEPSDANDIYRKPCRRC LKHKKLCKFDLSKRTRKRKPRSRSPTSFESPMVNVSTKSKVPTDSEESSLKDGTSYLT IFPSDANTKHVPNSRTVLPGLQQSLSDLWSTLSQPPSYGVREPETTSKGDITTNDSTK SNGGIPTNPTILASNNERTNVNDAPVIYSTYNSPIPISSVPTSINSEALLKPRPNIVG DEQIHNVKVKRQKKSYSRHMTRSFRKQLQSLIISQKGKIRDISMKLDTWSKQWNDLVE RSMFLPTIADPVSVGIISHEEATLRLHLYKTEISYLSKLPFIRVEENVSVDELRKKKP ILFSVIMSCVSIVLTPKQTTRGTIMKLDSFVLNLITNQIFKANNKSIEIIESLSTLCL WYNFFEWSSKTRYHIFNYICCCLTRDLGPTYVNRSFGMFSDEDPNRFKSPLELYSNGA SLTLLVYISALNISIFLRQSIQARWSHVTEKACQDLIKETKESQDYENDKLLFDSSND PILVQFAKMNHVLENIHTHLHERDLNDDEFDDPIFTKKYLNKLMEKYHKQLQEIFTKL DRNRPRVIAFYYSVEAYLYQYKLAVFIGEMSHTVNEKVELPKEIMDDFVKCYRCCKSA LEEFSKLEPILITSLPLFHTSRIIYTVGMLLLKLRYSVVAIPSFHDLMPLTDDAIALV IRVNNLLEKTSELYPFNNSLYKFRYVIALFCQTYANKVIDVADRYNAEREKRKEKQII KEVRNDHESTKAMNASETEEQKMPKEEHPLIDNNTNQSITTVPDEMLPVYSRVREDTA AMNLNINSSSYMNGSPHEQREGLVGSTLLPPPFISNGMTNSTDSTNMKPSPSSSVDNL NDYLTDINSLAWGVNSLNDEFWTDLFMNDI SPAR_M00560 MPLLFKGLKQMAKPIAYVSRFSAKRPIHIILFSLIICAFAYLSV IQHYFNGWQLDSNSVFETAPNKDSNTLFQECSHYYRDSSLDGWVSITAHEASELPAPH HYYLLNLNFNSPNETASIPELPNTVFKKDNTKYILQEDLNVSKEVSSIDGTKWRLRND RKSLFDVKTLAYSLYDVFSENVIQADPFDVLIIVTAYLMMFYTIFGLFNDMRKTGSNY WLSASTVVNSASSLFLALYVTQCILGKEVSALTLFEGLPFIVVVVGFKHKIKIAQYAL EKFERVGLSKRITTDEIVFESVSEEGGRLIQDHLLCIFAFIGCSMYAHQLKTLTNFCI LSAFILIFELILTPTFYSAILALRLEMSVIHRSTIIKQTLEEDGVVPSTARIISKAEK KSVSSFLNLSVVVIIMKLSVILLFVFINFYNFGANWVNDAFNSLYFDKERVSLPDFIT SNASENFKEQAIVSVTPLLYYKPIKSYQRIEDMILLLLRNVSVAIRDRFVSKLVLFAL VCSAVINVYLLNAARIHTSYTADQLVKTEFTKKKSSAAPVPKATTPVLTNKAVISGSK VKSSSSVQPNSSATSSSSEEDDSRDIESLDKKIRPLEEIEALLSSGNTKQLKNKEVAA LVIHGKLPLYALEKKLGDTTRAVAVRRKALSILAEAPVLASDRLPYKNYDYDRVFGAC CENVIGYMPLPVGVIGPLVIDGTSYHIPMATTEGCLVASAMRGCKAINAGGGATTVLT KDGMTRGPVVRFPTLKRSGACKIWLDSEEGQNAIKKAFNSTSRFARLQHIQTCLAGDL LFMRFRTTTGDAMGMNMISKGVEYSLKQMVEEYGWEDMEVVSVSGNYCTDKKPAAINW IEGRGKSVVAEATIPGDVVRKVLKSDVSALVELNIAKNLVGSAMAGSVGGFNAHAANL VTAVFLALGQDPAQNVESSNCITLMKEVDGDLRISVSMPSIEVGTIGGGTVLEPQGAM LDLLGVRGPHATAPGTNARQLARIVACAVLAGELSLCAALAAGHLVQSHMAHNRKPAE PTKPNNLDATDINRLKDGSVTCIKS SPAR_M00570 MSVMLPLATYSLNVEPYTPVPAIDVTMPITIRITMAALNPEAID EENKPSTLRIIKRNPDFEDDDFLGGDFDEDEIDEESSEEEDDEKTEKRKKSKSKKVES ESDGDEEEHDDEDDEFQESVLLTLSPEGQYQQSLDLTIAPEEEVQFIVTGSYAISLSG NYVKHPFDTPMGVEGEDEDEDADIYDSEDYDLTPDEDEIFGDDMDDLDDEEEEEVRIE EVQEEENGEDEEEEEKEEVEEKKEVKPEPKKNKKEKKRKHDEKEEEKKAKKVKKVEFK KNLEEGPTKPKSKKEQEKHKPKTKVLEGGIVIEDRTIGDGPQAKRGARVGMRYIGKLK NGKVFDKNTSGKPFAFKLGRGEVIKGWDIGVAGMCVGGERRIVIPAPYAYGKQALPGI PANSELTFDVKLVSMKN SPAR_M00580 MSAQKAPKWYPSEDVAALKKTRKAARPQKLRASLVPGTVLILLA GRFRGKRVVYLKHLEDNTLLISGPFKVNGVPLRRVNARYVIATSTKVSVEGVNVEKFN VEYFAKEKLTKKEKKEANLFPEQQNKEIKAERVEDQKVVDKALLAEIKKTPLLKQYLS ASFSLKNGDKPHMLKF SPAR_M00590 MTGIKAQVHPPPDSTLFHEEEKKRVGGNLPQKVINQQERGSDHA PSGHHQYHQLINHDANDTKTSNSVSDSSKGQGIAESDPEGKKQSPKDIFVASSAQKTN QLPGPNPQGSIGTVPLEDLHPKEFRSAPSRKPNKFDTSITRPGVLDDLGKLDQKEIEE KFHPDSDDKLFPWQNVGEFHASGKGSPNTKMSRVIKAYILENFYNDWYCNIATVLGTC FFSWLFAYIGFSWWSMIFIFLGTATVYNAEYTRFNRNIRDDLKRVTVEETLSDRVEST TWLNSFLSKFWVIYMPVLSQQVKDNVNPQLAGVAPGYGIDALAIDEFTLGSKAPTIKG IKSYTKTGKNTVEMDWSFAFTPSDVSDMTATEAREKINPKISLGVTLGKSFVSKTMPI LVEDINVAGKMRIKVEFGKTFPNIKIVSLQLLEPPLIDFALKPIGGDTLGLDVMSFLP GLKSFVKNIINSNIGPMLFPPNHLDINVEDIMAAQSKEAIGVLAVTIASADSLKSSDF ITNTVDPYIVMSTEDAVPGTDEEVRTSIKSNVKNPRWNETKYILLNSLEQKLNLKCFD FNDVRKDTVIGDLQVDLADLLQNPVLENQIADLRSGTKSKGILHYSLHWFPVKEDNSE EKAAERAEAKAKGKKGDENEDSIEKEEDEDEESSQTDVGIAKITLQKVKYLDTTSSMT GSLSPCAELFIDGQKVKSYRTLRRINEPSWNETIEVLVPSKSNSKFVLKIFDDRINGK ELICEYSSSLDDIMTTLDAAQEFVKGSPQGDIYLDVSWKSIEMTGAFAAANSVSEPIG CVKLDVKDAIIKGDLSGVGDIDPYYTVSLNRRVIYKSIYHSNTDHPLFDNSTYVPIFS PNQILTLEFHDYQKIGKDRFIGSVQIPTSNVFRKDPKSGKYVGTNDKKEISRLKLKDH KHKVTESIVNVSTTFIPITLVYSPEELVNVQKLEKDLEEKRKKFEATQKENKQEMEKN PKEWEVAEIEDPFEGDEKAINKKAKLSLDELIKQKSGILSMQILEGTLSPSSAYLEIL ADNISYPVFTCMKPSQGKLNSETANIFIRDLNYSKLHFRVSKKHVAKDSDDVISETSY STLKLLKQAYEEPMRLDFNGSKMKVRFLYTPSSVKLPSSESVEDTGYLNLKLISGHGL KSADRNGYSDPFINIYVNSKKVFKSNIKKKTLDPVWNEDAKIPILSRSKNQVVFKVLD WDRAGDNDDLGQATLNVSTLEVGKTYNWNLNLNTQGSIKLQGSFNPEYIKPSFDIVKG GIADKPMKMASGAAHATVGIAGTGIGAATGVATGGLKKGGHLLKSLGGNPMKRSKSGN GNESNGAKKSSEKKSFDRKSPSNFNSTSVTPRASLDYDPSVPNTSYAPVQSASPAVKQ TDNNSNLSNKKDTPGSNSRGHSRASSFARTLAPHGTYNGFITVVAAENVAKHVQIKVS LTQGGRLKHIYKTKSQKANSEGIAVFDEECSFKASPEANLVLGAISHQRLSRDKDLGI AQINLGDPQIQQDGQISVKLGDGHLIVKINYGKDKNSQVPPVPEVPQEYTQ SPAR_M00600 MELILSSLISDDLTGEQKQLSLDFLQDILQCNRKDYESYFSSRA VPGSITEDIAEIDAELSALDRKIRKSLLENKSQIIGDILGNDDRVQLDDIAKSLEQLW ELDANINKATDESTTDDEIINETLSIDDFLQHDNEGNDTSGIATTEGDNLVRRKKEDE FHKALSRLRNRITTKEDDKDDIRSDTLVTVLENLDSITDLMELPFLARTCIRTGHYQE AVMLYTHTTSLRSRFPGSTIVDEVCEKVLNEISTTMLSGLVKLLSTNVSVNSLKKILQ YLNSIPPFDGKTNKSLLSVFLAMRYKFITDEIASYSLDIESSNESLIEMMVKRKVEVL REHVYMSLNVFLKSFLYDTSDLEIPFPEELETIALKINETNEDNEEKRDEKREKKTEK EEHKERDTVKNNEEDIRENKICLNVEDEENKQTEEVKEKVKGEEDRAESTTEDEIANN TINETEDKAEAEEEEGEEKLNNTEDKTEAKAEEKGEEEINRVESTPDGPSKAPTSKKE NKIPTNAIMLQFVDRCITYVLKDLIRGLNGIKLSDSVCLQLVYCSFRLCDLNRNYHHL FLKKINNTSLFTTEQLARAINKRAELASKYIYS SPAR_M00610 MIIENCDQLPDLDGRQDFKEDVNEDDSDIDTFDQTPRESERTTE IAGENQRRLDIYYVLLALAVLLFSTIFWKLITKGTKTPSTENFCGNVVHKHEVDGETY VFSIYEYVNAGYSCDNNGSENRIKYPDLAKSIIEIKNKTGGHISMLQLGSTVGYTRVL VSRYTEVLFNGILWRIVDG SPAR_M00620 MFAKSFEVTDPVNSSLKGFALANPSITLVPEEKILFRKTKSDNI ALISGGGSGHEPTHAGFIGKGMLSGAVVGEIFASPSTKQILNAIRLVNENASGVLLIV KNYTGDVLHFGLSAERARALGIDCRVAVIGDDVAVGREKGGMVGRRALAGTVLVHKIV GAFAEGYSSKYGLDGTAKVAKIINDNLVTIGSSLDHCKVPGRKFESELNEKQMELGMG IHNEPGVKVLDPIPSTEDLISKYMLPKLLDPNDKDRAFVKFDKDDEVVLLVNNLGGVS NFVISSITSKTTDFLKKTYNITPVQTIAGTLMTSFNGNGFSITLLNATKATKALQSDF QEIKSVLDLLNAFTNAPGWPITDFEKSSAPSVNDDLLHNEVTAKAVGTYDFDRFAKWM KSGAEQVIKSEPHITELDNQVGDGDCGYTLVAGVKGITDNLDKLSKDSLSQAVAQISD FIEGSMGGTSGGLYSILLSGFSHGLIQVCKSKDEPVTKEIVAKSLGIALDTLYKYTKA RKGSSTMIDALEPFVKEFTASKDFNKAVKAAEKGAKSTATFEAKFGRASYVGDSSQVE DPGAVGLCEFLKGIQNTL SPAR_M00630 MSTDFDRIYLNQSKFSGRFRIADSGLGWKVSTSGGSVANQARKP FLLPATELSTIQWSRGCRGYELKISTKNQGVIQLDGFSQDDYNLIKNDFHRRFNIQVE QREHSLRGWNWGKTDLARNEMVFALNGKPTFEIPYARINNTNLTSKNEVGIEFNIQDE EYQPAGDELVEMRFYIPGVIQTNVDENMTKKEESSNEVMPKKEDGVEGEDVEIAVEEK SMAEAFYEELKEKADIGEVAGDAIVSFQDVFFTTPRGRYDIDIYKNSIRLRGKTYEYK LQHRQIQRIVSLPKADDIHHLLVLAIEPPLRQGQTTYPFLVLQFQKDEETEVQLNLED EDYEENYKDKLKKQYDAKTHIVLSHVLKGLTDRRVIVPGEYKSKYDQCAVSCSFKANE GYLYPLDNAFFFLTKPTLYIPFSDVSMVNISRAGQTSTSSRTFDLEVVLRSNRGSTTF ANISKEEQQLLEQFLKSKNLRVKNEDRDVQERLQTALGSDSDEEDINMGSAGEDDESV DEDFQVSSDNDAEEVAEEFDSDAALSDAEEGSDEERPSKKPKVE SPAR_M00640 MALTQFENDLGILRDMYPELEMESVKAENEDEFPQNINGKLPFK ISLLADVNIEFGKQHLLLSNLSNECVEFTIYGCHYPDIRRCVVMDIKSLWISVDEKRM LIDKALKLVEEIVDMNTDLADSFTSILILIFGFLIDDTAILLFPSGVKKCLTQDQYDL FKQISKEATLQKVGRSNYHCCICMEMEKGVRMIKLPCRNESVEHYLCKKCAKSYFTAM IEESRISNVRCPQCEHQELKLNDFKSYKKMLKALFTPLIPISFLKELIDAELCERYEK MFSNQAATKLSKHCPYACVTCRRCDSWCIKEDLDDAMIQCQKCHFVFCFDCLHAWHGY NNKCGKKVSISTDIIEEYLNDTDTSDERKRELEVKYGRRVLELEVNDYLADKMLDLAI EKEGSNLQRCPRCKVVVERSEGCNKMKCGVCDTLFCFMCGALLYPEDPYEHFREAFSG CYGRLFEGMPGTET SPAR_M00650 MAGLKTFDAFPKTEEQYKKKSTKGGLTSLLTYLFLLFIAWTEFG EYFGGYIDQQYVVDSQVRDTVQINMDIYVNTKCDWLHINVRDQTMDRKLVLEELQLEE MPFFIPYDTKVNDINEIITPELDEILGEAIPAEFREKLDTRSFFDENDPNKAHLPEFN GCHIFGSVPVNRVSGELQITAKGLGYMDSRKAPLEDLKFNHVINEFSFGDFYPYIDNP LDNTAQFNQDEPLTTYVYYTSVVPTLFKKLGAEVDTNQYSVNDYRYLYKDVSTKGDKT PGIFFKYNFEPLSIVVSDVRLSFIQFLVRLVAICSFLVYCASWIFTLLDMALITIMGP KWSLRYQPDDKTKGILDR SPAR_M00660 MLFPKRLIVWGVLLILSLSQFILYLPATTCTTSKGLRLCAPQFT ITVIGGSSTANEFIASVREFLRLISYLTIDMGWSNEFTDPSVYEDENLVDTFQPDKVF ELNYFGFCKRSNKSKIYCTSNENYGMDVLEVLVRDVGIQLGNISTTRSNETKKFGDSL VLTYRLALTSIRDFLKHDKHSGNALSKALIGTPDSNVKDSSPTKNYLKGVNLAFILMM FNGMVFYFAVLEIIVGFLSICVVSAFGGALSVGKRHRLFPMLLKSSSSILVVLATLTT LCNIVYLVALKTLEPEDVSEGGSDNATPHTTGWELLKVNVGSGFIMGLARYAIQWVLL VLAFLAANHYKAKPKKSDKYAEDVSLSPSSDLMEK SPAR_M00670 MAKTLRDLQGWEIITTDEQGNIIDGGQKRLRRRGAKTECYLKRS SDGTKLGRGDSVVMHNEAAGTYSVYMIQELRINTLNNIVELWALTYLRWFEVNPLAHY RQFNPDANILNRPLSYYNKIFSETANKNELYLTAELAELQLSNFIRVANVMDSSKWEE SKGSVDTERDFMVHYICEPTGEKFVDIDIEDIKAHIKKVEPREAQEYLKDLTLPSKRK EIKGSSPKKKNKTTRMTQNPDAGTRGTDITDDEDSNDVDSSDYQSPSDAYISEEINSD EISADELEEEEEEEEDNNDDDEEQNEPRRINSPRKRGRKIKLAEGDTDASIQPSPKRR GRKPKDPSKPRQMLLISSCRANNTPVIRKFTKKNVARAKKKYTPFSKRFKSIAAIPDL NSLPEFYGNSSELMASSFENKLKTTQKHQIVETIFSKVKKQLNSSYVKEEILKSANFQ DYLPARENEFASIYLSAYSAIESDSATTIYVAGTPGVGKTLTVREVVKELLSSSAQQE IPDFLYVEINGLKMVKPTDCYETLWNKISGERLTWAASMESLEFYFKRVPKNKKKTIV VLLDELDAMVTKSQDIMYNFFNWTTYENAKIIVIAVANTMDLPERQLGNKVTSRIGFT RIMFTGYTHEELKNIIDLRLKGLNDSFFYVDTKTGNAILMDVAGHDATVRQTLPNDVK KVRLRMSADAIEIASRKVASVSGDARRALKVCKRAAEIAEKHYMAKHGYGYDGKMVIE DESEGQMYDDEDKDLIENDKANKDDGNDDDDGVQTVHITHVMKALNETLNSHAITFMT RLSFTAKLFIYALLNLMKKNGSQEQELGDIVDEIKLLIEVNGSNKFVMEIAKTLFQQG SDNISEQLRIISWDFVLNQLLDAGILFKQTMKNDRICCVKLNISVEEAKRAMNDDETL RNL SPAR_M00680 MATPNTGGNNSIPAVRNQVEVQVGLVGDAQVGKTSLMVKYVQNI YDKEYTQTLGVNFLKRKVSIRSTDIIFSIMDLGGQREFINMLPIATVGSSVIIFLFDL TRPDTLTSIKEWYRQAYGLNDSAIPILVGTKYDLLIDLDPEYQEQISRTSMKYAQVMN APLIFCSTAKSINIQKIFKIALAKIFNLTLIIPEINEIGDPLLIYKHLGGQQHRHHNK SQDRKNHNIRKPSSSPSSKASSPGVNT SPAR_M00690 MAVGKNKRLSRGKKGLKKKVVDPFTRKEWFDIKAPSTFENRNVG KTLVNKSTGLKNASDALKGRVVEVCLADLQGSEDHSFRKVKLRVDEVQGKNLLTNFHG MDFTTDKLRSMVRKWQTLIEANVTVKTSDDYVLRIFAIAFTRKQANQVKRHSYAQSSH IRAIRKVISEILTREVQNSTLAQLTSKLIPEVINKEIENATKDIFPLQNIHVRKVKLL KQPKFDVGALMALHGEGSGEEKGKKVSGFKDEVLETV SPAR_M00700 MPLSKKQIDQVRTKVHYSEVDTPFNKYLDILGKVTKLTGSIING TLSNDDSKTETLTEENISKLKESAQLRFLDLQSSIDTKKAADENWETCQQETLAKLEN LEDKLPNIKSTHSKLLLRIGKLQGLHDSVRVINREVEGLSEGRTSLVVTRSEWEKELG SELVRFLIEKNYLKLVDSGLKKDSSGEKYRVYDDFSKGPKELESINALMKSDIEKVRQ EVSSYKEKWLRDAEIFGKITSIFKEELLKRDGLLIEAEGDNIDDDYESDEDEERKGRF KRQRSMVEVDRIETLDEKEESDHEYGDQEDEENEEEDDMDVDVDVDVDVEDTKEDTEV DGESSQQEENGRHGSNGETTEGTGEIQEPDTVNDAEEGNSDHSTGKPGGTTSDYSASS SVEEVK SPAR_M00710 MPRWIRSTLNRIIPGRPFICSFNSFLSLKNISHAKLSFSMSSRG FRSNNFIRAQLKHPSILSKEDLDLLSDSDDWEEPDCIQLETEEHDKKIITDIHKDDLV DKKPMRDKNVMNFINKDSALSWNDMFKPGIIQLPQLSSENSFEESSQKKPKLASFKDP LRPALKAECSFEELQNSSVSQERSLEMINENEKKKMQFGEKIAVLTQRPSFTELQNDQ DDNNLNFHNNVKVKIPICLSKEQESIIKLAENGHNIFYTGSAGTGKSILLREMIKVLK SIYGRENVAVTASTGLAACNIGGITIHSFAGIGLGKGDADKLYKKVRRSRKHLRRWEN IGALVVDEISMLDAELLDKLDFIARKIRKNHQPFGGIQLIFCGDFFQLPPVSKDPNRP TKFAFESKAWKEGVRMTIMLQKVFRQRGDVKFIDMLNRMRLGNIDDETEREFKKLSRP LPDDEIIPAELYSTRMEVERANNSRLSKLPGQVHVFNAIDGGALEDEELKERLLQNFL APKELHLKVGAQVMMVKNLDATLVNGSLGKVIEFMDPETYFCYEALTNDPSMPPEKLE TWAENPSKIKAAMEREQSDGEESVVASRKSSVKEGFAKSDMDEPVSPLDSSVFDFMKR VKTDDEVVLENIKRKEQLMQAIHQNSAGKRRLPLVRFKASDMSTRMVLVEPEDWAIED ENEKPLVSRVQLPLMLAWSLSIHKSQGQTLPKVKVDLRRVFEKGQAYVALSRAVSREG LQVLNFDRTRIKAHQKVIDFYLTLSSAESAYKQLEADEQVRKRKLDYAPGPKYKAKSK SKSNSPAPTSVTTQPNSGIAAMLQRHSRKRFQPKKESNGNQVHSLVSNEPRRQDTEDH ILE SPAR_M00720 MSYKFGKLAINKSELCLANVLQAGQSFRWIWNEKLDQYSTTMKI GKQEKYSVVILRQNEEKELLEFAAVGDSGDQDALKTHLMKYFRLDVSLKHLFDNVWIP SDKKFSKLSPQGIRILAQEPWETLISFICSSNNNISRITRMCNSLCSNFGNLITTLDG VAYHSFPTSEELASRATEADLRELGFGYRAKYIIETARKLVKDKAEFNIASDTTYLQS LCEDAQYEDVREHLMSYNGVGPKVADCVCLMGLHMDGIVPVDVHVSRIAKRDYQISAN KNHIKDLRTKYNALPITRRKINPELDHIRLTLLKKWGSYAGWAQGVLFSKEVGGTSGS TTTGVIKKRKWDMIKETEQIVTKQMNLKVELSEVHIKEAKID SPAR_M00730 MRSMNCTTNNTNATGQNTNNSLGSSFNSSNSTSYRFQTCLADQI ISEAQTWSLSSLFNFSWVVSYFIMGASRMILKYGWYLATLSLLRIPKWIFFKLHHVQF TLSFWLILFALAIIVCVTYTIMKERILSQYKRLTPEFLPLENTGKSGSSASINAASTQ PANPSSTTGSPTTGAGSNIDSEKHPLKDSNENETFLSSYLDQFLSAIKIFGYLEKPVF HDLTKNMKTQKMDEGEILLLDSTIGFAIVVEGTLQLYHEVDHSEKDHGDETDHSDTDG LDDEDKVEEEEDDDDDVDDYDIKSCSSNPIDEEDESVGYIRLKNGLGKFQLLNTVKSG NPLTSLVSILNLFTHSMSSDSNSNFPSELSSPVDTTVSAKNLFYSSEQNFSTPDSTTN SSNGLPAFPSSMPKLVARAATDCTIGIIPPQSFAKLTAKYPRSASHIIQMVLTKLYHV TFQTAHDYLGLTKEIMDIEVLLNKSIVYELPYYLKEAVIRKFKTADKTNGSADLNSRP KKNKASSELKKTPKVKPSDDIIQSLKIANANANANTSSSSLLLKPEFTHHPSSRHVVL GSRDQFNPGDLLSNVPLSRTMDIMSPNPIHNNNRTKLNGINSSVSNQHKRSSRSSSNN ASVHSKKFSSLSPELRNAQLSTSPLSLDNTSTHDYLHPSPVHLKGRVSPRPNLLPTTS FSAAQEETEDSALRMALVEAMLTYLGVNKSNMSISSSLATNMSSLNSPQLTGMYNRRP SSASFLTAPNYTPSDISVATSFASPQTQPTMLRILPKEYTISNKRRSNNKNQEKKKTR AYKEELTPNLDFEDVKKDFAQGIQLKFFKKGTTMVEQNARGKGLFYIISGKVNVTTNS SSSVVSSMSKPEQGSPQTTRKGETPHHSQHLLYSVGSGGIVGYLSSLIGYKSFVNLVA KTDVYAGFLSSETLERLFDKYFLIYLRISDSLTKLLSSRLLKLDHALEWVHLRASETL FSQGDSANGIYVVLNGRLRQLQQQSFSNLNTNSEEAETQNIILGELAQGESFGEVEVL TAMNRYSTIVAVRDSELARIPRTLFELLALEHPSIMIRVSRLVAKKIVGDKTVPALTG DPLSIKENDFSSLIPPTKASYSSSLSHKPQNITSGTITFRTITILPITSGLPVEAFAM KLVQAFKQVGRTTIGLNQRTTLTHLGRHAFDRLSKLKQSGYFAELEEMYQTVVYISDT PVKSNWTRTCIAQGDCILLLADARSPSADIGEYEKLLLNSKTTARTELILLHPERYVE PGLTHKWLRYRPWVHSHHHIQFSLTGTTLMNEGKMHVLNNGALALMDKLIQTEFSRKT QQNISKLLPDSIKNTVENFSTRFMKSKRQYYTPVHRHKNDFLRLARILSGQAIGLVLG GGGARGISHLGVIQAIEEQGIPVDVIGGTSIGSFVGGLYAKDYDLVPIYGRVKKFAGR ISSIWRMLTDLTWPVTSYTTGHEFNRGIWKTFGDTRIEDFWIQYYCNSTNITDSVQEI HSFGYAWRYIRASMSLAGLLPPLEENGSMLLDGGYVDNLPVTEMRARGCQTIFAVDVG SADDRTPMEYGDSLNGFWIIFNRWNPFSSHPNIPNMAEIQVRLGYVASVNALEKAKNT PGVVYVRPPIEEYATLDFSKFEEIYHVGVDYGRIFLQGLIDDDKMPYIPGSQESTLNS QVPEFLLHRRNSI SPAR_M00740 MTMDQGLNPKQFFLDDVVLQDTLCSMSNRVNKSVKTGYLFPKDH VPSANIIAVERRGGLSDIGKNSSN SPAR_M00750 MQNSQDYFYAQSRSQQQQQQTPSTLRTVTMAEFRRVPLPPMAEA PMLSTQNSMSSSASASASSLEMWEKDLEERLNSIDHDMNNNKFGSGELKSMFNQGKVE DMDF SPAR_M00760 MSSDAIRNTEQINAAIKIIENKTERPQSSATPIDSKTSTVAAAN SKPTPTSRDLTQYTLDDGRIVSTNHRIMDKVPAITSYIPTDEELFQANGIPRHEFLRD HFKREGKLSTAQAAKIVTLATELFSKEPNLISVPAPITVCGDIHGQYFDLLKLFEVGG DPATTSYLFLGDYVDRGSFSFECLIYLYSLKLNFNDHFWLLRGNHECKHLTSYFTFKN EMLHKYNLDIYEKCCESFNNLPLAALMNGQYLCVHGGISPELNSLQDINNLNRFREIP SHGLMCDLLWADPIEEYDEVLDKDLTEEDIVNSKTMVPHHGKMAPSRDMFVPNSVRGC SYAFTYRAACHFLQETGLLSIIRAHEAQDAGYRMYKNTKTLGFPSLLTLFSAPNYLDT YNNKAAILKYENNVMNIRQFNMTPHPYWLPDFMDVFTWSLPFVGEKVTEMLVAILNIC TEDELENDTPVIEELVGTDKKLPQAGKPGATSPTATLTSSKHASILDDEHRRKALRNK ILAVAKVSRMYSVLREETNKVQFLKDHNSGVLPRGALSNGVKGLDEALSTFERARKHD LINEKLPPSLDELKNENKKYYEKVWEKVHEHDAKKGSK SPAR_M00770 MSAAPLDYKKALEHLKTYSSKDGLSVQELMDSATRGGLTYNDFL VLPGLVNFPSSAVSLQTKLTKKITLNTPFVSSPMDTVTEADMAIYMALLGGIGFIHHN CTPKEQASMVKKVKMFENGFINSPIVISPTTTVGEVKVMKRKFGFSGFPVTVLVLNTE DGKCPGKLVGLVTSRDIQFLEDDSLVVSEVMTKNPVTGIKGITLKEGNEILKQTKKGK LLIVDENGNLVSMLSRADLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVK AGLDVVIMDSSQGNSIFQLNMLKWIKESFPGLEVIAGNVVTREQAANLITAGADGLRI GMGTGSICITQEVMACGRPQGTAVYNVCQFANQFGVPCMADGGVQNIGHITKALALGS STVMMGGMLAGTTESPGEYFYKDGKRLKVYRGMGSIDAMQKTGNKGNASTSRYFSESD SVLVAQGVSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCKSLTSLKENVQNGEVRFEFR TASAQLEGGVHNLHSYEKRLYN SPAR_M00780 MSSAKPINVYSIPELTQALDEALPSVFARLNYERSYALLDTKLY LGYSIAVVAGLSFFLDKKFERAQIVMYQKLLVGAYFVLSLTFWYFSRFIEKGTVYVGK KRGTNEEIHVKTKFENNEPLYLVELVQKKKGNDSKKELKAKLEVNKVFNESGYLQNDA YFKWFSEQYNVLNKKKNE SPAR_M00790 MLKYKPLLKISRNCGAAILRSSKIRLNTIRAYGSVVSESKSFEQ GSRKRTQSWTALSVGTILAAASSMAYLNWCNGQIDNEPKLDMNKQKISPAEVAKHNKP DDCWVVINGYVYDLTRFLPNHPGGQDVIKFNAGKDVTAIFEPLHAPNVIDKYIAPEKK LGPLQGSMPPELVCPPYAPGETKEDIARKEQLKSLLPPLDNIINLYDFEYLASQILTK QAWAYYSSGANDEVTHRENHNAYHRIFFKPKILVDVRKVDISTDMLGSHVDVPFYVSA TALCKLGNPLEGEKDVARGCGQGVTKVPQMISTLASCSPEEIIGAAPSDKQIQWYQLY VNSDRKITDDLVKNVEKLGVKALFVTVDAPSLGQREKDMKLKFSNSKAGPKAMKKTDV EESQGASRALSKFIDPSLTWKDIEELKSKTKLPIVIKGVQRTEDVIKAAEIGVSGVVL SNHGGRQLDFSRAPIEVLAETMPILEKRNLKDKLEVFVDGGVRRGTDVLKALCLGAKG VGLGRPFLYANSCYGRNGVEKAIEILRDEIEMSMRLLGVTSIAELKPDLLDLSTLKAR TVGVPNDILYNEVYEGPTLTEFQDA SPAR_M00800 MLSYYEHNTAFQTNNCNSGSNAAATYNSNANNDTILNKRKNDHF EFDTHAFYQRPKRTKRDSASASTKFLASSGSANNNNNNNNNNNNNNNNGNNNNNNTVT ATTTYNNIQYQKNIEICPLNSVSMHHGMNSRLLKESEFYSETEEYMVHGYFGNNNHDI AGTSPNGSTSSIQHQYHILPPQSIIASQPPGTAMAALINNNIANDYMDID SPAR_M00810 MVKVWNIALRLLVLLFLAGNTLLLILMIISGATDHYPVNRFYWV QGNTTGIPNAGDETRWTFWGACLQDKDGSDTCTSDLAPAYPISPVDNFNTHINVPHQF ISKRDAFYYLTRFSFCFFWIALAFVGVSFILYILTWCSKMLSDMVLILMSFGFVFNTA AVVLQTAASAMAKNAFHDDHRSAQLGASMMGMAWASVFLCIVEFILLVSWSIRAKLAS TYSIDNSRYRTSSKWNPFHREKEQATDPILTATAPEEMQQSASVVGPSSNANPVTAAT ENQPKGINFFTIRKSHERPDDVSV SPAR_M00820 MDYNKRSSVSTVPNAAPIRVGFVGLNAAKGWAIKTHYPAILQLS SQFQITALYSPKIETSIATIQRLKLSNATAFPTLESFASSATVDMIVITIQVASHYEV IMPLLEFSKNNPNLKYLFVEWALACSLDQAESIYKAAAERGVQTVISLQGRKSPYILR AKELISQGYIGNINSIEIAGNGGWYGYERPAKSPKYIYEIGNGVDLVTTTFGHTIDIL QYMTSSYFSRINAMVFNNIPEQELIDERGNRLGQRVPKTVPDHLLFQGTLLNGNVPVS CSFKGGKPTKKFTKNLVIDVHGTKGDLKLEGDAGFAEISNLVLYYSGTRANDFPLANG QQAPLDPGYDAGKEIMEVYHLRNYNAVVGNIHRLYQSISDFHFNTKKIPKLPSQFVMQ GFDFEGFPTLMDALILHRLIESVYKSNMMGSTLNVSNISHYTL SPAR_M00830 MLRITIRNLRQRAFFHRSFEHISLPDLHSSAQNDQTNCYCQEVN ARLPSKTDPIDPHIKLPHRTPNYNKHVLLLSPGDKFSQPWKVAWNHNLDTNINRPYNA ISMLRSYLGDSPGILINAVHLQNEFIPRPNEDDEWFYFFVIPDMKLYVIKETDIEEFA SFLNEGFIQAPKLSFQDYLSGKAKVSQQVPQVHHRKFTRFQGETFLRDWSLVCGHYKR DAKCGEMGPDIIAAFQDEKILPDNNLALISHIGGHIFAGNVIFYKLFDRGKTQNKLDS LWFGKVYPHNLKLLCENLENGKIIDEMYRGGISME SPAR_M00840 MVVRDNELYLYHLTLKKQTNFVHSCVGNFVDLEAGSKREQSQLC VATETHLELYDTAEGELKLISKFQNLFATITSMKSLNLSHSGSRAKTSNWPTFLVLTS DSGNLSIVQIVMHAGAFRLKTLVNQPLTRTTLRRVSPISYMEIDPNGRCIILSSVEQN KLCFLVDYAQKLRISSPLEIIRPRMVTLDMAVFDVNFNNPCFVTLEIDNAATQLSVHL IFYILELGLNHIVKKADYSVNPSANFLLSLPDLSRYNITTSLSVNNYDADNDILFNPF VVIGFENHILVKDMNGLFSLKVEIPQRSTRDSRQSNVTIISGIVQKLKNDFFVLLQSN HGDLFKLTVSPDTNDRNRPIVQLSYFDTIQNSHQLHIFKNGYLFALSEMNNNFLFQFE KLGVEKNDFPKVLTSRDPNKSLVFDPSVNLQNLSILSQQLNLNPSIKSQILSDSPLSI ATKHFTSSKMITLTNAVDYSNLISTSLPPNATKLWLIPDPATTGDNNTLLFITFPKKT MILQIDNESMEELTPDEATRSAFKLSQDTTIHTCLMGSHSIVQVCTAEVRHIVPSGKS KYSNKLTWVPPAGIRIVCATSSKTQLIISLSNYELVYFKIDISSDSLIELTTHPELDA MPCKLTIVQDAQHADLLAIADNEGMIKIMSLRDQKEDFLTIISLQLVNEKFSDMIMVR DPSLGLLNLHVGLENGVYMRFNIRDVDGSFTDIKKRFLGLKPVALSYLRGISMSLNNN EEEEEEEEEEEEEEEREEKEEDEGSTGTKWISCVICHSSSTWVSYTWKNVWTIRQLKD QNMLSCSKFVNADVAINGICSISNTGRLNIGKIFNFPTLDNWFHVHESSVEEQGSSRE DETDEEDDDKIEEEMETLQKSSFQPRTILSFPNKPKNVIFIDNHTDKQQCRISLQIDG ECLKCSNSDYLFKTLDDVHCVSAAIMDFTRQTDHLIICAGDKRLLTYQILVDKDKSSF DIGLLHQTEIISPIYTMLKFKNFLLTAIDSTIVLYGLGKKQLLRRSVTQTPVSITKIV SMDQWNYERLAVGDIHESVTLFIWDPARNVFIPFVDDSVKRHVTALRFLDEATVIGAD KFGNAWTLRCPLECEKIISNHDPSELSDGTIKYPLDLINLQQKLPNTYDCKFKFQLLN HFFVNDIITDFHILDSVSNSDRPGCIYMGLQGSVGCFIPLLSKGNVLMMAKIENIMAE ADNTFYLEYEARRKNNNMRKEDDEEESGAVLLHGRHDIDDETICEGSYSIVGRDHQEY RSYYVPVRKVIDGDLCENFLRLSLNEQEFLANSFKNVKVEDIIQRINEVRTNYL SPAR_M00850 MEIYIRLNSDVEHDYAFQVSNEDTINNKIKKIFPSKTGLADLMV LRPSIFHEKEPVKFYKSIHPGYLSEGGCLMFHYEADNEENLEELNDSKPLIDQLWPGQ LVVPEWKLSKKNIWIYAIIMLAWLYTDLPDAISPTPGICLTNQLSRLLIPVAKHMDLR EIAAKLEQEVQANYSSLVAQWLFFLMHIFKVGVITLFLKLGIANPISFNPYKLWTLRD LTSPSAKGNNAKKSAGSNNATDLKTRLRSLGWIGAKRATYDDYQTNYYNYVIDKMGGA VAAYRAGAIRKAAAPGIQLVAGEGFQSPLEDRFTASTFTAIKSERKFILSEEYFIELE NNLKKILEEYDGDIGKMNAEIRRFRRFGIYEPDEKLATLVKLRREIADEKEKASNNDA ISGIKKNDLKKSN SPAR_M00860 MEPISQKLKFQDIDINLIPAAKWTTKLQYILYTWCQSVLHVAMF FSDIYTCIKLLAFNTWSNNIIQPFLAFRISKWLFSGCILCSSLILIVELIIGLKVYKK KEITSNYMNGVSRLINCLFNFKKYQIFELIVLTDEKKFSKWLFFSYFEISGCLRLLFG DSPRQIINGLTLWSVLLTVSNETSSETNGTQNLGNLDDLSGIINKIKHIAKTNYEESV ILSFMLFSFIIWVILISKLILSIIIFIIFIHPRFLSDKRKERGYELKLRKYVSKVIDE NLSRTVYELGILRDAEEERTIYGDNETRKILDYDGPDYGDESTIPSYYCYSDGEAFER VYTPIKAYFPQKYQYKCI SPAR_M00870 MSDETNFTIEEIEPRPDALRGLDTQFLQDNTSLVQAYSGLDWSD ISSLTQMVDVIEQTVVKYGNPNDSIKLALETILWQILRKYPLLFGFWKRFATIEYQLF GLEKSIAVLATSVKWFPTSLELWCDYLNVLCVNNPNEKDFIRNNFEIAKGLIGKQFLS HPFWDKFIEFEVGQENWLNVQRIYEYIIEIPLHQYARFFTSYKKFLNEKNLKATRNID TVLRRTQTIVNEIWQFESKIKQPFFNLGQVSNDDLENWSRYLKFVTDPSKSLDKGFVM SIFDRCLIPCLYNENTWMMYIKWLTKEDLSDEVLVDVYQKANKFLPLDVKTLRYDFLR FLKRKYRSNNTLFNKIFNETVSLFLKIWPNDISLMTDYICMLKRHSFKNSLDQPPKEI LEKQTSFTKILETSITNYINNQIDAKVHLQTLINDKNLSMVVVELIKTTWLVLKNNMQ TRKYFNLYQKNSLIKDSVPFWLTYYKFEKSNVNFTKLNKFVKELGVEIYLPTTIMNDI LTDYRTFYVTHSDIVTYESSIVDSNTFDPILYPELKMSNPKYESIVDIKASAEWNKRT EWKEAGHVGIMTERPQISNSIIECNSGNLVKRPISLPNFRNLEKINQLKVNDLYTEEF LKQNK SPAR_M00880 MFEVPITLTNKKFAQRRKLKYQYINHTSRRFDKIAKKSNTGDSL PTPENSAAENNDEDYNDAEYEEQNSEGGAYRGSILQQKKRRRERHWKSVVGEIYSTTE TESDSQEEEVEGDIEHETEINKEDSDEERKFWKKYEKPEKSFEIWRTVSSQNKSPINK QKMTYHNFKKIEKNSLRKMEIPLLHSTKENKLYFQSISKGLEPLKTSTSEIRNYRTRH IITLTDLLHLNVSRHNWSLAYKIFATLIRIPGVQIKSLWGVGVEVLNNLPNSSTSLDF LQWMCQIYSSKSRFVQNINYRSIVPPFQTGSRTHTAKFAITYLWSSLINCQKSMEPSS NIIDKRFDMENDILQELIDKISEWVLTPPFMEDAEVWFIYASCHLLKADALSRQFASD NKNKDLIGLDRDIKINQIIKHIHYVRIFLKTCLDKGGFIVPSRLIENQLKNFESRLYD EAQDIQEGEVANVYDSINNSSVENSFGDVYETNAEFLDTQLMDLSPEDNELAETHYSD EDSSE SPAR_M00890 MRICHSGTLSNLKNLPVTSRRAMHSAIVNYSAQKTQFPVETNNG EHYWAEKPNKFYQNKRPNFQGITFAKQQDLPSLPVPELNSTLDKYLQTIRPFCNDVET FERQRLLCKDFSEHMGPVLQDRLKVYAGDKRNWMAKFWDEQSYLQYNDPIVPYVSYFY SHMPLPNHLSKIDNDPLIKATAIISTVVKFIEAIKDESLPSETIKGTPFCMNSFSLMF NTSRLPGMPEDNQDTNIIYSIYENNFVTIAYKGIFYKLMTHDANNKPLSENEIWKQLY SVVFQGSQSKPKLGGIGSLTSLPRDQWREVHMELTKDPISQDSLETIHKSSFMLCLDL DQSPVTLEEKSRNCWHGDGINRFYDKSLQFLVTGNGSSGFLAEHSKMDGTPTLFLNNY VCQQLNKLDADDFARKIFTPSSTATLKPMELPFIITPKINKAIESAQLQFKETIGEHD IRVWHYNKYGKTFIKRHGMSPDAFIQQIIQLAVFKYLKRPLPTYEAASTRKYFKGRTE TGRSVSTASLEFVSKWQNGEVSIAEKIQALKHSAKEHSTYLKNAANGNGVDRHFFGLK NMIKPNNDQVPPLFKDPLFNYSSTWLISTSQLSSEYFDGYGWSQVNDNGFGLAYMLNN EWLHINIVNKPVKSGVSVNRLHYYLSQAADEIYDALENENKRKAKL SPAR_M00900 MKALVEEIDKRTYNPDIYYTSLDPQARRYTSKKINKHGTISTSR PVKRINYSLADLEARLYTSRPEGDGNSIGRQDDRNSKNSRSFEERYTQQEILQSDRRF MELNTENFSDLPNVPTLLSDLTGVPRDRIESTTKPISQTSDGLSALMGGAPFGKEHSK YGHGWVLKPETLREVQLSYKSTKLPKPKRKNTNRIVALKKVLSSKRNLHSFLDSALLN LMDKNVIYHNVYNKRYFKVLPLITTCSICGGYDSISSCVNCGNKICSVSCFKLHNETR CRNR SPAR_M00910 MNRTVFLAFLFGWYFCSIALSVYNRWMFDPKDGLGIGYPVLVTA FHQVTLWLLSGIYIKLRHKPVKNVLRKNNAFNWSFFLKFLLPTAVASAGDIGLSNVSF QYVPLTIYTIIKSSSIAFVLLFGCIFKLEKFHWKLALSVIIMFGGVALMVFKPSDSTS TENDQALIIFGSFLVLASSCLSGLRWVYTQLMLRSNPIQTSVSTTVEESDDTLFTENE DNVGYEPVVNLANNKMLENLGESKPHPIHTIHQLAPIMGVTLLLTSLLVENPFPGIFS SSLFRLNEGKAGVGTETTVLSIAKGIFLLILPGFAVFLLTICEFSILEQTPVLTVSIA GIVKELLTVIFGIIILSERLSGFYNWLGMLIIMADVCYYNYFRYKQDLIQRYQSISTQ DNGNELKKFQDFEQLGGKKSAPYSINVDLTNQEYELDMIAQNASRSSQQV SPAR_M00920 MDENRIIDQLFSKEYTPQDEPEQAKNEDVSLYGLLDEVANGRRL MTCLFHSPMQMGHKLSTDKLDGKCRQIQRDWTDEEKTKTMNSGALQLDGPVLFSWSHN VAAASTQETINATLKQNSTSGGSNKPKITTTNQLFDRASAEIDKCIKPNNKSWIVEKR FERNELNSADSKKPSTWANSDFKVDPLQKFVVKELPKAKKKSDGDKTKKNKSKRKSFF GFWGHSGTKSSSKKKSEEPIEVKDEVYNEVDQNPVVSPDDDTTFSDGITAPPKQEIMS GQQVEPKVCEPSVNDTAPGDYDDCNRLEQAPAHVSHHPSSEPSIASIPSLTLGSFVPL QPKKKT SPAR_M00930 MIVSSIPQFTDTKVSLALFEQVRNAEEIRSNMSELSTSFAFIDP KLICSEEQMYSAIYKTLIEVKYNKMRTRNLNSECVLCLSPTSNISDAFQKFGIKDDSS QLICLKFHTGTDSVDKGELNTILSSIVKGLEIEFNNDNLSRFYDEALIRKIYKLSDDF KPQDVNSLSRALVDAIQLRGV SPAR_M00940 MDNQATQRLNDLSLEPAPSHDEPDGSGLVMDIDERKIGDEQTGV VVDDDTPPLEQQDSHESLDADSRNANFSYHENQQLLENGTKQWALDEHDSHSAILEQP SHSTNCSSSNIAAMNKGHDSADHAFQNTGGKPRTLSASAQHILPETLKSFVTAPAVNK QTRTSASYKMGMLADDASQQFLDDPSSELIDLYSKVAECRDLRAKYQTISVQNDDQNP KNKSDWVVYPPPPKPSYNSDTKTVVPVTNKPDAEVFDFTKCEIPGDDLDWDYTTNADD SYVVHRSGKTDELIAQIPTLRDYYLDLEKMISISSDGPAKSFAYRRLQYLEARWNLYY LLNEYQETSVSKRNPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKHKLRHSKDEKVIF RDGKLLTLDEVFRSLHLTGYDLSIDTLDMHAHKDTFHRFDKFNLKYNPIGESRLREIF LKTNNYIKGTYLADITKQVIFDLENSKYQNCEYRISVYGRSLDEWDKLASWVIDNKVI SHNVRWLVQIPRLYDIYKKTGIVQSFQDICKNLFQPLFEVTKNPQSHPKLHVFLQRVI GFDSVDDESKVDRRFHRKYPKPSLWEAPQNPPYSYYLYYLYSNVASLNQWRAKRGFNT LVLRPHCGEAGDPEHLVSAYLLAHGISHGILLRKVPFVQYLYYLDQVGIAMSPLSNNA LFLTYDKNPFPRYFKRGLNVSLSTDDPLQFSYTREPLIEEYSVAAQIYKLSNVDMCEL ARNSVLQSGWEAQIKKHWIGKDFEKSGVEGNDVVRTNVPDIRINYRYDTLSTELELVN HFANFKKTIEEN SPAR_M00950 MNSDLEYLEDGFDPNSMKVATLRRILVENNVDFPSNARKNALVG LFDEKVKPQIPQLRKMYLNVKPSDEGIVRMDRPSSSPSVASPRGNRRARRGKSASPLA KQFKKNRILDDVSNDDDERDDPLIIRSGTEGEEDDDQDDAMTSGSNKSDTNDFQQNSD ARKKRKGPDSDDGPESNSKENKVDNITNEHFNISSSDSEIEQDYQKAKKRKTGSLNQE HGNGSAILGKLSVKTPIKNTNKKPVNMDHFNDSMTSSGTENDLFVPNIRHNPKELGTD NGTRHSTPLGKLKVSASFADKLPQKEEPTTILVPEVEQQEPFQSEKAPSLFSSEDSGS ESEASLLPEITTPGPDESVGSTSQNVVEILETDGSNSESESDEVLVPTRIETPQLPTT KDVEKCEARVQELQEEVNEQLEDENENEFDTKQGQESGKIENSTPKRHTFKKIVKFLS KSLLALFLFCMFIVVPLLFGLWYREQRLLIGYCGHEVPSHRVNGQSPEFVQKLDNWLQ DYRPKCIPCPPNGICYPYLKLKCKPDYKLAPSRLDFLEIIPAQGKCIKDDKKQQLVSE VVEKSLEFLRAKNAQISCGDGKDDIESGMTEDALYQIFNEARAPWIRDDEFEDLWIQV IKDLTEEPEILWRQLSPIDNNTSRSSNNIIETNDIPRQKRHIPEKFISSKTRNFRSTS KKYIGMKCRFEREIYQTYKKFQRPIWLTLLLIVISKTIETKLKNYYRRKARIEELVTQ TMEKLKSQKIKSMSDPKENAYLSIVQLRDIFLSDIVDLKYKNHLWSQVVKYLEHNNSN IKSNLTEIRGEIMKCWEWIGPMELNAPNDSAEEKISSKKETKS SPAR_M00960 MNDIMDMDEKKAVFGNHSEDIQTKLDKKLGPEYISKRVGFGTSR IAYIEGWRVINLANQIFGYNGWSTEVKSVVIDFLDERQGKFSIGCTAIVRVTLTSGTY REDIGYGTVENERRKPAAFERAKKSAVTDALKRSLRGFGNALGNCLYDKDFLAKIDKV KFDPPDFDENNLFRPTDEISESSRSNTLHENHEQQQQYPNKRRQLTKVANANPGLTKN LVKLENTVNRGAPMVAASTEIINNNNNSNKDTELKSPDTSKQDQDDLLDDSLMFSDDF QDDDLINMGNTNSNVLPTEKDPISAKQSPNASSNSEAEKITFVTAKAATSVQNERHIG EESIFDPKYQAQSIRHTVDQTTSKHVPASVLKDKTMTTARDSVYEKFAPKGKQLSMKN NDKELGPNALEGVGNQVPRETTPSKLNTTNFQPAAAPRFAPPSKVVHPNGNGVVAALP QQRSTRREVGRPKINPLHPRKPN SPAR_M00970 MIQTPRELLNPRYTYHTIFSDVCKTRFNHLVTRLFFICSIIQTV GISLLALPHSPMWELALAFIPNVLVLNLVSLLLIVTRKNYMHVKNFGFANSLTFILGQ LLSVKFLVYQGVYSTGSFLLSFVLGVLFGRGGAGWKPYYRLFIWLVVPTIYNLQHHVT DADKLSFNCENFFQAPQDYVLERVKRIVEKSVILSVVSMFVSPIFTTIFFSGQTSGLF ISFTSGVVAIINLLIISCTIFITFEFINIAFDAHMSIGCLHKGKLISNLSSTPMETLL SGLSSDKPFTKLTAYQELAYRATSLDPSLRAPIYHSKFRSSSGSTWSLFLKECLKTIQ TNNETVVQYLRSVQDLGNSPTARHQRKVENLDYMYENGKLTSANEKLFGNRPTMMAPL RDNSLLDESPNKLRVRTDDSVLLNRGNKKRNGTSRYNNDLDEDRQTFNGSIFTHETTF MTAMRLMFKKLKNAIMSFIFPSYAERQLSDENDNYKLLPNSPNRAQISVIDIWSISKK RQAEKLVPLPICHANSVVALTGLLIRSKTEDPKGGIIASVGDILKTLERSICALGEFA DWDPESMAYTAFQTQRTAQDRIQHDGEDEDSMKDTTDMISVLYQLSTSAFMEIVLEYN VALNDVYLDADVAKLANWFLEVYATGNPTAI SPAR_M00980 MSRMPSSFDVTERDLDDMTFGERIIHHCKKQPLVPIGCLLTTGA VILAAQNVRLGNKWKAQYYFRWRVGLQAATLVALVAGSFIYGTSGKELKAKEEQLKEK AKMREKLWIQELERREEETEARRKRAELARMKTLENEEEIKNLEKELSDLENKLGKK SPAR_M00990 MSEYLAQTPCKFTIWSSEIDLIRTNLLVNAHPLSTVGRLLQYIH YQIYKQLRAIDQPEEQCTNSAISHTPLNSINTYYLSYEGRELSATCLLKDITSPSHLD SNHFIRLQLEKRASPSGSAFDLEYDIDSEFNSMNIQFEINTLSSQRIFNSMEPHLPIG TTLARLEKLALERIKDFEKSAGNLCGIKEDHSVHDLQGFIIKGKQTPMFLNYGSDSDY YKDLNLVDLLGIDFAPAHNSFFTFLFKMNHEQSSHIANDEEGFVLEFISDATLSITQM NVKPDTTVKQVKDFICSVYTHSLNLRRNDIKLIYKGQLLHENNFAGNSSKISEYIKEP HEVKVHVQINQEYTESGPGFWNEVFNNPNIFQFMPPDTRSQSPVSFAPTQGQSSAAIP EDEQGVQYVTESGNDDIVPTDELYRKCIINGNEVVFIPVSELNPQSSYLSVIKGDYGE IKIPVSCNDYKISGDNILLSPSTIEQLESVLNFKIERPQTSTGLGSSGEHARFADNTV PENDNNAAENESAWNRRVLRPLRNSFPLLLVLIRTFYLIGYNSLVPFFIILEFGSFLP WKYIILLSLLFIFRTVWNTQDVWNLWREYFRLNEIDEAKFSQIKDFINSNSLTLNFYK KCKDTQSVIDLLMIPNLHEQRLSVYSKYNIEYDANTPDVGQLKLLFIKVLSGEIPKDA LDELFKGFFDLYEATRNMNPLYPQDSLNELLLMIWKESNKRDINTLPKYRRWFQTLCS QIAEHSIFDVILRYIIPDPVNDRVITAVVKNFVLFWVTLLPYVREKLDDIVAQRARDR EQPAPSVQQQENEDEALVIPDQEESTATGAQPHLYIPDED SPAR_M01000 MVAQVQKQAPTFKKTAVVDGVFDEVSLDKYKGKYVVLAFIPLAF TFVCPTEIIAFSEAAKKFEEQGAQVLFASTDSEYSLLAWTNIPRKEGGLGPINIPLLA DTNHSLSRDYGVLIEEEGVALRGLFIIDPKGTIRHITINDLPVGRNVDEALRLVEGFQ WTDKNGTVLPCNWTPGAATIKPSVEASKEYFEAANK SPAR_M01010 MAQETKMLPSLSSLLSGNETSSSPVSPSLANPRTNFHLDDRGTI KLPPLNTSISRPRSVESALRHTVTSLHENGSPYGDDMLKHTQSDSALSSQLSSSQETV DESLENLPLTPLNSKKRDYSVSSKKNDILTPLSAAKSIIIPSASKEKRRAFAFITHSQ ETFPKKEPKIDNAPLARRKRRRTSSQELSILQAEFEKCPAPSKEKRIELADSCHMTEK AVQIWFQNKRQAVKRQRIATSKSTTIIQTVPPPSPPLDVHVTPLASKVKAEVLRDQGS YCRSSSSPLENTPPRPHHSLNRRSSTPNIKRSQALTFHLNPQKKTLTPVKTSPNSRVN KLINSIDHSPSKVKRPVGNPSCSPKRKRKFGFKIVDQQPLKDLDPNAFRG SPAR_M01020 MTMKRNLVKTLQSIRYQATNATTHAETVLNPLPNAAIPPKYALV TVRSFPSLEPLTFVPVPTSTVAAPLRRDILWKAVVYENDNRRVGASNPPGRSENGFSR RKLMPQKGSGRARVGDANSPTRHNGARALARTAPNDYTTELPSKVYSMAFNNALSHQY KSGKLFVIGGDKVDLISPTSGLDLNTLDLVNTNTVEGKEIFEGEVIFRKFLEEFELED KRLLFITDKTREGLIKSSDSYKQKVDVIQKELVEVNDILRAQAVFIELEALEYLAMAH QKETLFSVPN SPAR_M01030 MGRVRTKTVKRASKALIERYYPKLTLDFQTNKRLCDEIATIQSK RLRNKIAGYTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPEVSALDLSRSNGVLNV DNQTSDLVKSLGLKLPLSVINVSAQRDRRYRKRV SPAR_M01040 MDGALINSVSYVSPRNGAHYFVELTERHLLAFEMLNSMCLLENY DHVLLFLECQLGKSRNLTVIPFDIMLVLFTLSTSSEYYKEPILRTNDPYNVSRETLSR RALKLLQKYLTILKEFDSEQYNLYDLELLRCQFFLAIDSLTPRKQKWSFDRFRRTKSE KGVTYRQNASVDPELDQGKTVRNPYRSYISCLEQRNTILGNRLLNLKLSEPGEFINMI LWTLSNSLQESTPLYLSSHEIWMPLLEILIDLFNCRQDYFIQHEIARNISKSFFVQRL SESPLAVFFESLNTRNFGNRFSEYVFLNCDYKLPSDNYATPVHPVYSGENTIVGTYIP RFKCSPLYKSQKSLALRRKLIGSCFKLLLRVPDGHRLITPRIVADDVIQGISRTLASF NDILQFKKFFMTENLSQESYFIPLLAEGTLSEIFKDTQECVVILTLVENLSDGVSFCN EVIGLIKSECFAFTEQCSQASYEESFLTIEKCDVCLLVLLRYLLHLVGAEAVLDAKEQ LEILKVIEENDSGRRQWVKSLNLGDHPPLMYPIVSKMFSVHCKSISIA SPAR_M01050 MSIASYAQELKLALHQYPNFPSEGILFEDFLPIFRNPSLFQKLV DAFKLHIEETFSEVKIDYIVGLESRGFLFGPTLALALGVGFVPVRKAGKLPGECAKAT YEKEYGTDVFEIQKNSIPAGSNVIIVDDIIATGGSAASAGELVEQLEANLLEYDFVME LDFLKGRGKLNAPVFTLLNAQKEALKK SPAR_M01060 MWYMRRLSTNSVMTVARKRKQTTIEDFFGTRKSTNEAPNKKGKT GTTFMTITKDAAIKTEAKEVVKKGTADKFSADPGAKEAFSKNLSSNLRALLSLELETI DDSWFPHLMDEFKKPYFVKLKQFVTKEQSDHTVFPPPKDIYSWTRLTPFDKVKVVIIG QDPYHNHNQAHGLAFSVKPPTPAPPSLKNIYKELKQEYPDFVEDNKVGDLTHWASQGV LLLNTSLTVRAHNANSHSKHGWETFTKRVVQLLIQDREADGKSLVFLLWGNNAIKLVE SLLGSTSVGSGSKYPNITVMKSVHPSPLSASRGFFGTNHFKMINDWLYNTRGEKMIDW SVVPGTSLKEVDEANSRLEPKSEDS SPAR_M01070 MVTTPLQSSPKSSLKSSTTSLRSVQTQSDRMKPSEPKHRTTKSW SIWGNNEDEEPESSNKNNGKEGSKDICQDGDNVLNMGTGLHDNQRTKILIETGKIEKG EKDKNDGNATIAERNTRSRTWPFFWSRNKDPEPTHNVPIDADNHTLSSLANSLNPAPL TNIYIPYKPDAILMRDKNVKTPKKLTDDIGNQFPNIVVPSFDILPKQTIWNTVTSTIW KWKTEYWDSRPSSRVRKEEERVQHSQNQLKEETNAAANEAKDEERLVHNRGSLYRVDP WRKINLLSDYQSRPIRVLIVGVHGFFPTKIIRPFIGEPTGTSTKFVTEAEEIVKEYFG QYKVPIEISKIALEREGEIFDRVDFFYEVMKHWSKEINNSDFIYFVSHSQGCPVTIML LAKLIKNGIINLDNSQFFNDEIQFCSSKKIISVLAMAGINNGPFYGADQTLFVRAYQT IEKDSLRELFEFQKFDSKQSQSFIEGLRTIISNNVKITFVGSINDQLVPLYSSICLFA NHPNIFRAIFIDRGSQTPAFITRIVKIAGSLLDLGYNDHGIIKEISGSLAGTLTGGGH STIYNEKQVYHLGIKFALETTDLSEIDPVEYSPYKLSELGANPYRLPWCMRGLMYESN KHFNSEEIKMLFKEFEEWDPETKQLKDIKNRLNGLKYRL SPAR_M01080 MKWCCTYLILWIAIVFNKFQVTTATVSYDIDDVLQFQDDTGVIT VTADNYPLLSRGVPGYFNILYITMRGTNSNGMSCQLCHDFEKTYHTVAEAIRSQAPQS LNLFFIADVNEVPHLVEDLKLQNVPHLVVYPPAENNKQSQFKWKTSPFYQYSLVPDNA ENTLQFGDFLAKILNISITVPQDFNVQEFLYYFVACMVVFIFIKRVILPKVTNKWKLF SLILSLGILLPSITGYKFVEMNGIPLIARDAKHRIMYFSGGSGWQFGIEIFSVSSMYI VMSALSVLLIYVPKTSRVSEKMRGLLASFLACILFYFFSYFISCYLIKNPGYPIVF SPAR_M01090 MVSKDQTSFNKRWTLGLLMLGLVIVLWVLSSFLINLIFEDDSYR KPFFITYINTAAFIFYLFPTAKAVVVNYKDTGRANVHRELIMEEEGTGNDCNHSVDIT SPLLASLEAGTQASQKKRLTLYETIKLSAEFCILWFTANLVTNASLAFTSVASQTILS TTSSFFTLFIGAICHVESLNKSKILGSFISFIGIIMVTKSDSHQRYQRHIADVSGGDN DTVRVLMGNLLALAGAVLYGVYSTLLKREVGDETRVNMKIFFGFVGLFNLLFLWPSLI VLDFFGWEPFALPKDPKVVIIILVNCLITFVSDFCWAKAMLLTSPLTVTVGLSITIPL AMFGDVIFKHKTMSILYLFGAILILGSFFIINKSSEEEHFESSIAASNYESVEPPVAG S SPAR_M01100 MSLEEFLGNDTLGESVWDEEDINLDAISNTTNIDILKQTKAGSE HQRDGHQQNPQGSHGPMNRSRFSNSGPFGGSGMGDFANHHHPLQHQQGPPYIVKFSDL PPRFSNFDIEDLFQAKFTKFIKFKLFWEVNKNPSISTLKSGSAFDQNFKRDSKVAFVE LYTSRDMDKILNYWTAPLKEIYHITTAPAEFDDFKDYSTKVKLLTDPKDDAGKPFITK KQRSKSNPFGSAKPVDTQSKILDIEEKMENLHVEDTTTLRASLIPSSDSAATTAAGSK ITILKKQTSTEEESHSATPTPKPLSYSEAVERSAVNETSKKGTPLSKLGSPILELQSK ADKSEEFKGGDEQGFEKCDDDKTQTDVSSDKDKAAETDTDKQFTFKNVEREHNTGRAK YNGNHNNNNGNFRGSNRYRGGSNSSNYKGAHNNRGNRGGYRGGSSYNNNNNNNTNDNN NNNNNNNNNNNINGSRYHDRQNNEDGVNSDSSSDASGNKKNDFTNSASNTQQYSIFKP ASGFLGQSNNDSMRNNGRGNYNSSGMNGGSRGRGFSRGRGFGRGTYNNRGSRGGRGGS GNYSNYNARTTDMSL SPAR_M01110 MGNSSSKSSKKDSHSSSSSRNPRPQVSRTETSHSVKSAKSNKSS RSRRSLPSSSTTNTNSNVADPSTPSKSNLEVNHQRHSSHTNRYHFPSSSHSHSNSQNE LLTTPSSSSTKRPSTSRRSSYNTKAAADLPPSMIQMEPKSPILKINNSSTQVSKHKSS YSSTYYENALTDDDNDDKDNDISHTKRFSRSSNSRPSSIRSGSVSRRKSDVTHEEANS GLSSPNNQENYLVQALTRSNSHASSLHSRKSSFGSDGNTAYSTPLNSPGLSKLTDQSG EYFTYNSTSSLNHHSSRDIYPSKLLNHDDDIENSSQLSDTHASMENVNDKNNNITNSI KDPNGELDDIMHSSGNKNATKKFKKPIDIDETIQKLLDAGYAAKRTKNVCLKNNEILQ ICIKAREIFLSQPSLLELSPPVKIVGDVHGQYGDLLRLFTKCGFPPSSNYLFLGDYVD RGKQSLETILLLFCYKIKYPENFFLLRGNHECANVTRVYGFYDECKRRCNIKIWKTFI DTFNTLPLAAIVAGKIFCVHGGLSPVLNSMDEIRHVVRPTDVPDFGLINDLLWSDPTD SPNEWEDNERGVSYCYNKVAINKFLNKFGFDLVCRAHMVVEDGYEFFNDRSLVTVFSA PNYCGEFDNWGAVMSVSEGLLCSFELLDPLDSAALKQVMKKGRQERKLANQQQQMMET SITNDNES SPAR_M01120 MAEPQGPLDTIPKVNYPPILTIANYFSTKQMIDQVISEDQDYVT WKLQNLRTGGTPVNNQLIKYLKYKYQKSKMNQQDPDSINKVPENLIFPQDIIQQQTQN NNYENANGNEDVNEKLKQDEQFKLLVTNLDKDQTNRFEVFHRTSLNKTQVKKLASTVA NQTISENIRVFLQAVGKIYAGEIIELAMIVKNKWLTSQMCIEFDKRTKIGYKLKKYLK KLTFSIIDNQQYKQDYQSDSVPEDEPDFFFDDEEVDKRETTLGNSLLQSKSLQQSDHN SQDLKLQLIEQYNKLVLQFNKLDVSIEKYNNSPLLPEHIREAWRLYRLQSDTLPNAYW RTQGEGQGSLFR SPAR_M01130 MGMNEAAEKEQEYVHKVYNEIAPHFSQTRYKPWPIVTRFLKTRP TGAIGIDVGCGNGKYLGVNPDVYIIGSDRSDGLIECARGINPSYNLLVADGLNLPHKD NTFDFAISIAVVHHWSTRERRVEVIRHVLSKLRQGGQALIYCWALEQGSSRRGYHEGM EQDVFVPWVLPKDKAKPKSESTPVIKAKTKPRPNLMNIPPKERSEYLQRWKEEQQRNK SLDNSDHEQQKDQEQEKEEVKYRYYHLYREGELAEDCRQAGAAVHSEGFERDNWWVVA QKR SPAR_M01140 MPVVNHEDSEFHLSHTEEDKLNEFQVITNFPPEDLPDVVRLLRN HGWQLEPALSRYFDGEWRGGPEETVGESTPTTPMADTLAPPALGPRPLPFTTSLPVVR PLPANFRHDFRTVGLNGRSNTVWSMFDSFSYDGNPLLFVLLLIPRIINRLSATIFTFF CTLLSLHSIGGGGNSAKPKISKIPKAPTRETHIPLAEILGDMKDKDALCQLKSFKPDI SFNEALRIAKEEFKFMLLILVGDTYDTDTEAIDVNSKLLLEKILLNKKTLQYLRKIDD DLIIYLKCVHELEPWLIAQQLGVRNTPEIFLVANVANTTSRSETLPSQRLSILGKLKI NSLNRFLQSLTNVVEKYTPELVVNKTEMHELRMSREIKKLQEDAYKKSLEMDRIKAIE KEKSLKRAQDLKLNSTAQQLNWLKACIDELQPLAVAGKQATLQFRTSSGKRFVKKFPS MATLYQIYQSIGCHIYLEVYSSDPAEWSNALQEKIRQLSADDNVLCFKEGQFETGTAT TVEELGDIINNELISFDLEQGKLEFNFELVSPFPKYTVHPDERMSVDQVPQLWPNGSL LVEALVEEDEENEEQ SPAR_M01150 MQVLQLWLTTLISLVVAVQGLHFDIAASTNPELVCIRDFVTEGQ LVVVDVHSDGSVGDGQKLNLFVRDSIGNEYRRKRDFAGDVRVAFTAPSSTAFDVCFEN EAQYRGRSLSRAIELDIESGAEARDWNKISANEKLKPIEVELRRVEEITDEIVDELTY LKNREERLRDTNESTNRRVRNFSILVIIVLSSLGVWQVNYLKNYFKTKHII SPAR_M01160 MSKSNSVSYERVQLFENPKVPIEVEDEILGKYAESSLDHDMTVN ELPRFFQDLQLEPTICKLVRNEDVIIEGTEVIDFTKLVRCTCQLLILMNNLTIIDDLW SMLVKNCGRDVDFPQVALRDHVLSVKDLQKISNLIGADQSAGIIEMISCATDGKRLFM TYLDFGCVLGKLGYLKM SPAR_M01170 MSDNSNTNVNMQEHDQQVADPVVVPQSTATNDENTSDKNTVDSS TATTTRTTERAESISNLPLPGNEDEAKADLQQSENNVETMAADQASSSDKHAFPSVSA TDDVPETSNADPSEPNETVKKEEASDERKRPREEEAKNSDGDKKDEGDNKDDDDDDDD DDDDDDDDDDDEAPTKRRRQERNRFLDIEAEVSDDEDEDEDEEDSELVREGFITHGDD EDDESSAPGARRDDRLHRQLDQDLNKTSEEDAQRLAKELRERYGRSSSKQYRAAAQDG YVPQRFLLPSVDTATIWGVRCRPGKEKELTRKLLKKKFNLDRAMGKKKLKILSIFQRD NYTGRIYIEAPKQSVIEKFCNGVPDIYISQKLLIPVQELPLLLKPNKSDDVALEEGSY VRIKRGIYKGDLAMVDQISENNLEVMLKIVPRLDYGKFDEIDPTTQQRKSRRPTFAHR APPQLFNPTMALRLDQANLYKRDDRHFTYKNEDYIDGYLYKSFRIQHVETKNIQPTVE ELARFGSKEGAVDLTSVSQSIKKAQAAKVTFQPGDRIEVLNGEQRGSKGIVTRTTKDI ATIKLNGFTTPLEFPISTLRKIFEPGDHVTVINGEHQGDAGLVLMVEQGQVTFMSTQT SREVTITANNLSKSIDTTATSSEYALHDIVELSAKNVACIIQAGHDIFKVIDETGKVS TITKGSILSKINTARARVSSVDANGNEIKIGDTIVEKVGSRREGQVLYIQTQQIFVVS KKIVENAGVFVVNPSNVEAVASKDNMLSNKMDLSKMNPEIISKMGPPSSKTFQQPIQS RGGREVALGKTVRIRSAGYKGQLGIVKDVNGDKATVELHSKNKHITIDKHKLTYYNRE GGEGITYDELVNRRGRVPQARMGPSYVSAPRNMATGGIAAGAAASSGLSGGMTPGWSS FDGGKTPAVNAQSGSGGGGVSSWGGASTWGGQGNGGASAWGGAGGGASAWGGQGTGAT STWGGASAWGNKSSWGGASTWASGGESNGAMSTWGGTGDRSAYGGASTWGGNSNNKSA RDGGASAWGNQDDGNRSAWNNQGNKSNYGGNSTWGGH SPAR_M01180 MVKVKSKNSVIKLLSTAASGYSRYISIKKGAPLVTQVRYDPVVK RHVLFKEAKKRKVAERKPLDFLRTAK SPAR_M01190 MSETELRKRQAQFTRELHGDDIGKKTGLSALMSKNNSAQKEAVQ KYLRNWDGKTDQDAEERRLEDYNEATHSYYNVVTDFYEYGWGSSFHFSRFYKGESFAA SIARHEHYLAYKAGIQKDDLVLDVGCGVGGPAREIARFTGCNVIGLNNNDYQIAKAKY YAKKYNLSDQMDFVKGDFMHMDFEENTFDKVYAIEATCHAPKLEGVYSEIYKVLKPGG TFAVYEWVMTDKYDENNPEHRKIAYEIELGDGIPKMFQVDVARKALKNCGFEVLDSED LADNDDEIPWYYPLTGEWKYVQNLANLATFFRTSYLGRQFTTAMVTVMEKLGLAPEGS KEVTAALENAAVGLVAGGKSKLFTPMMLFVARKPENAETSPNASEEATQ SPAR_M01200 MSVSTAKRSLDVVSSGSLAEFEDSESRHDETENEHRHNGTGDND DNEQPKRKGTKTGKKQDLDPETKQKRTAQNRAAQRAFRERKERKMKELEKKVQSLESI QQQNEVEATFLRDQLVTLVNELKKYRPETRNDSKVLEYLARRDPNLHSSKSNANHNNK PIVTPNDDIQENVKQKMNFTFQYPLDKDDDDSKNVEKQLPSPNDPSHSAPVPIKQTQK KLSDATDSSSATLDSFSNGNDVLNNTPNSSTSMDWLDNVIYTNRFVSGDDGCKRKTKN VDSNMFSNDFNFENQFDEQVSEFCSKMNQVCGTRQCPIPKKPVSALDKEVFASSSILS SNSPALTNTWESHSSITVNTPANVTANDTAHGNSLSGFGQLGFDVTANHYVVNDNSTG SADNKNKNNANNNNNNNNNNNNNNNNNNNNNNDNLLPFISEPPFDMNQVTNFFSPGST DIGASNTNPNLLQNSREDIPFINASLAFPDDSSTNIQLQPFSESQSQNKFDYDMFFRD SSKEGNNLFEEFLEDDDDDDDDDDDGGKKAANISDDESSLIKNQLINEEPQLPKQCLP STLEKKSETSQKKMDSGVQDANKINNGSDNDNDNDVVPSKEGSLLRCSEIWDRITTHP KYSDIDVDGLCSELMAKAKCSERGVVINAEDVQLALNKHMN SPAR_M01210 MQKSVRVGDYFDNDDNGLWSWYLTNLRLGDFEELIGNQLKYTLL KRFLNSHFYGDNSIWARPNKKILLVSIPENVHEDISILEIFLKDYFHLEKLEHIQISK LTHSHCYNHENHYLLTDNLNNFQDPTFLEFASTSWQVQKNSKALNNNRNSIQPPPISS SKTSNGKSKPNISDDQWSNINTQTATATRTNTNTRTLTSPDTVDINATANGQNNNHDV PQNNNVNENDEEDAGDDATSSIVLNFSHSRTVDPKPNRLPKIFPSYTNEEYTPSHSEI ISIDSFAGEDLSSTYPGQDLSLTTARREDENDQDGVEDHYTRVSNDLGDERIDQASSS MESSISCTSCSSSSDSRSAHYSLSGSSRGSLKHGDADHTNATYVSELSSITSSIDNLT TSTTPEEEDHLIHRNYDAQGYASGEDDGEEVYDDEDLSSSDYSVLSILPSISICDSLG YFRLVLQSILIQDPDTKEIFTAIRQSNNKPTIASVTDDWLLYDSNFSMNNLQILTLQD LLDIKRSFPKILFYTMVIVTDSSKEVEEELKNPNYENREGISKEQPLDSELSLTHDPQ QYFPTAYNNGYNEYIDDEDDGDDASLSEQSGPQMYIPTRMESNVTTAHRSIRTVNSIG EWAFNRHNSVTKIDKSNSNELDNSKTGEDTISSSEPYPMTQLSDTNTTSLNFSHSLNK KNSFKLNSKGNNESNSKNELKKIKSSINAMSAVERSKSLPLPTLLKSLSGIDNHTHGA NKDRKRWKFQMNRFRNHKNSGSAGTDKSQRCTIM SPAR_M01220 MPVEFVVSEAKLLKTIKVKLENDGLFVTPIYSNNDGKVIKSSIE NLDHPLAIEINSIAGVKARFHRSDNWEHSGGRLKHQSNSIMEFTKGFLKEHAFGNDKI FLSRLLDHLPLKYTIYPPVVLFNNSTVRSFNHSVWQKAFQLKLVDPNEYYSELLCFLS PRNSGENTIHHPNDRLLTHLAINNPITETDILRRPFNIQPLYGKLINDGVLDDNDTSL WETPGQGQLNSSIWCKVIQNGVTQIWSPVFTMFSRGNIREKKRILATFPDICNNDVVD LYAGIGYFTFSYLTKGARTLFAFELNPWSVEGLKRGLKANGFDKAGNCHVFQESNEMC VQRVTEFLSQNPGFQLRIRHINLGLLPSSRQGWPLAIKLIYLQGASLEKVTMHIHENV HIDAIEDGSFERSVIAELETINESIAPIKNRDIKPQFANSGLERIKTFAPDIWHVCFD VDVVVNT SPAR_M01230 MSTNNTYFPIKIEKASNDPTLLLNHTCLRVKDPARTVKFYTEHF GMKLLSRKDFEEAKFSLYFLSFPKDDISKNKDGEPDVFSAHGILELTHNWGTEKNPDY KINNGNEEPYRGFGHICFSVSDINKTCEELESQGVKFKKRLSDGRQKDIAFALDPDGY WIELITYSREGQEYPKGPIGNRFNHTMIRIKNPTRSLEFYQNVLGMKLLRTSEHESAK FTLYFLGYGVPKTDSVFSCESVLELTHNWGTEDDPNFQYHNGNSEPQGYGHICISCDE AGALCKEIETKYGDKIQWSPKFNQGKMKNIAFLKDPDGYSIEVVPHGLVV SPAR_M01240 MSVYHLPTLLNPLINAIFNCPEPERSPLKKLLANLKTRKFILLA PPSEYLLNYHDVKTKSPLHDLCYNAEFINSYILLMTENSYINTNSRDSHYETLDGKTV VIQWKNNVIHALNGFHIKRRLKILDIKILPNFNDYFEGATDFIILFIDQPLNCEFVPN DYLQCFHSYEKIPKNAHAMPNLPIDICQQERSSFENILHIHPTQLTQLGQMFSNYRTL APSDDPSKEIFESMVQQAFDGMKSDSLFKKFSNLYDLIHDYCELNLYDDIWSRLTTHF KGHEVDSEKYKYFSVNQLLADFYSKDYREFRLNDIILIEKRVHLASKHFQKLALTHSY AEKSRILVETLQKLSGTTEMDSHQRDLPDELNNLTMDADTLISLFVLVVCRSDQRHLK SHLYYLQNFSNNSSSTKFGILGYAVSTLEAVVCYFEDFSKNTGSMAKPNVLCEKTKNL LDKLSCENSTNELEDLETYKDILLYRNEQGQSILSICITNNKNCILLDILSEYENIFP IEDLLDDEAIDGSTLLIESIKAGNLEAAKILIRIMMFNCTEEELISYINKTDKYARTV AHYLTYEMDILKSIGNYVDWKRKNSSGQTPLFSIFRSYDQPNYEEMVKTAFDIANTWY RKHNSLFDYLDHTDNKGNSLLHVLKTNVSILLQLTKLDINGENYKGLTPLMVYVKYKR LSNIDAITKDRRLILEKVQKSTFFTCFDYAKDHSVLSKIGERGVKDSLFGLIYFHSLR YHNLNATTNVTFVSSTEKPFATTVINMKTIQGLLRSILKDNPFTFLPLNTYIDEISHL NRSDLTIVGKTDVVSLLHQLTNCFNVLLFLKKIPENLFTDEASILYWMRINTSKRNQK PSRKDNPKTMEPEEINMIQSFLRFNFDEISSFKASLNILRKVLIFISLKANDFEDAYD GLNEMGKKLANSKAASAFTGIITNNNMFSDLSLAELLENVRFLEQCTIQLSDFVQIIL FDKIPNWWKHYGEFLAVNKNYRKAFPSIVKPKSTTDTSSHVPLGGFIETKREQSEQRL AVQIKASSKMLKELGSEIFVAHEKLAEELSNYMEFRKACLDQRSIIAFATTNISVLQE CI SPAR_M01250 MSSRKKNILKVIILGDSGVGKTSLMHRYVNDKYSQQYKATIGAD FLTKEVTVDGDKVATMQVWDTAGQERFQSLGVAFYRGADCCVLVYDVTNASSFENIKS WRDEFLVHANVNSPETFPFVILGNKIDAEESKKIVSEKSAQELAKSLGDIPLFLTSAK NAINVDTAFEEIARSALQQNQADTEAFEDDYNDAINIRLDGENNSCSC SPAR_M01260 MSLGPLKAINDKQLNTRSKLVHTPIKGNTADLVGKENHFKQTKR LDPNNDHHHQPAQKKKREKLSALCKTPPSLIKTRGKDYHRGHFLGEGGFARCFQIKDD SGEIFAAKTVAKASIKSEKTRKKLLSEIQIHKSMAHPNIVQFIDCFEDDSNVYILLEI CPNGSLMELLKRRKVLTEPEVRFFTTQICGAIKYMHSRRVIHRDLKLGNIFFDSNYNL KIGDFGLAAVLANESERKYTICGTPNYIAPEVLMGKHSGHSFEVDIWSLGVMLYALLI GKPPFQARDVNTIYERIKCRDFSFPKDKPISDEGKILIRDILSLDPIERPSLAEIMDY VWFRGTFPPSIPSTVMSEAPNFENIPEEQSLVNFKDCMEKSLLLESMSSDKIQRQKKD YISSIKSSIDKLEEYQQNRPFLPHSLSPGGTKQKYKEVVDIEAQRRLNDLAREARIRR AQQAVLRKELIATSTNVIKSEISLRILASECHLTLNGIVEAEAQYKMGGLPKSRLPKI KHPMIVTKWVDYSNKHGFSYQLSTEDIGVLFNNGTTVLRLADAEEFWYISYDDREGWV ASHYLLSEKPRELSRHLEVVDFFAKYMKANLSRVSTFGREEYHKDDVFLRRYTRYKPF VMFELSDGTFQFNFKDHHKMAISDGGKLVTYISPSHESTTYPLVEVLKYGEIPGYPES NFREKLTLIKEGLKQKSTIVTVD SPAR_M01270 MARSRGSSRPISRSRPTQTRSASTMAAPVHPQQQPNAYSHPPAA GTQTRQPGMFAQMASTAAGVAVGSTIGHTLGAGITGMFSGSGSDSAPVEQQQQNMANV SGQTQTDQQMGRTCELDARNFTRCLDENNGNFQICDYYLQQLKACQEAARQY SPAR_M01280 MLFSLLGRVASRQSSGIRMIRPGRSVYLPFTLLLKKFDASKISV HRYVHSTQTKSHLTFLLKNNDITPFQKFTVRILKEQCKTRGLKLSGRKSELLQRLITY DSASHKKSSVKVDELRKSSLINEPIKLTEKLLSDKIPQTIEKKHYSVQKSPNIETPGE VHSHLQPRDRTFLVGFFLLSCLWWNLEPQESKPIIDH SPAR_M01290 MDNYEDSDPWNASSNAWTKDDDPVVSTTNSEPSLNGITSEFNAL NFSTPLDTNEEDTGFLPANDVLEESIWDDNRNRLSGSGTQRTSNITTNETVAGKNDAK SRNTGETEADIFDWTNNIRKTYRPLDADIIIIEEIPEREGLLFKHANYLVKHLIALPS TSPSEERTVVRRYSDFLWLREILLKRYPFRMIPELPPKRIGSQNADQLFLKKRRIGLS RFINLVMKHPKLSDDDLVLTFLTVRTDLTSWRKQATYDTSNEFTDKKISQEFMTMWKK EFAEQWNQAASCIDTSMELWYRITLLLERHEKRIMQMVHERNFFETLVDNFSEVTPKL YPVQQSDTILDINNNFSIIKKHLETTSSICKQETEEISGALSPKFKIFTDILLSLRGL FERYKIMAANNVVQLQRHVELNKEKLESMKGKPDVSGAEYDRIKKIIQKDRRSIIEQS NRAWLIRQCILEEFTIFQETQFLITRAFQDWAKLNSNYAGLKLNEWEKLITSIMDMPI SRE SPAR_M01300 MANSPKRTSDGTGVSASNTPKYQHTVPETKPAFNLSPVKSNDLP HSLPSPTQIKSTTGVSATHNNLAGRTDDSSLPKNVAPTTNLRSESNRDTNNMFSGPAG LALPKKDDKKKNKGTGKADSKDGTGKASTFSGHNAQQQSDPNKMQDVLFSAGIDVREE EALLNSSINASKSQVQTNNVKVPNHLPFLHPEQVSNYMRKVGKEQNFNLTPTKNPEIL DMMSSACENYMRDILTNAIVISRHRRKAVKINSGRRSEVSTALRAIALIQKKEEERRV KKRIALGLEKEDYENKIDSEETLHRASNVTAGLRAGSKKQYGWLTSSVNKPTSLGAKS SGKVASDITARGESGLKFREAREEPGIVMRDLLFALENRRNGVQTIISKGYAKIRD SPAR_M01310 MQLQNILLASSLVSGLSLATDSSSTTGGGYAPSMISCPDDDTSL VRNASGLSTAETDWLKKRDAYTKEALHSFLSRATSNFSDTSLLSTLFGSNSSNVPKIG IACSGGGYRAMLGGAGMIAAMDNRTDGANEHGLGGLLQSSTYLSGLSGGNWLTGTLAW NNWTSVQEIVDHMSESDSIWNITKSIVNPGGSNLTYTIERWESIVQEVQAKSDAGFNI SLSDLWGRALSYNFFPSLPDAGAALTWSSLRDVDVFKNGEMPLPITVADGRYPGTTVI NLNATLFEFTPFEMGSWDPSLNAFTDVKYLGTNVTNGKPVNKDQCVSGYDNAGFVIAT SASLFNEFSLEATTSTYYKMINTFANKYVNNLSQDDDDIAIYAPNPFKDTDFVDRNYT SSIVDADDLFLVDGGEDGQNLPLVPLIKKERDLDVVFALDISDNTDESWPSGVCMTNT YERQFSKQGKGMAFPYVPDVNTFLNLGLTDKPTFFGCDATNLTDLEYIPPLVVYIPNT KHSFNGNQSTLKMNYNVTERLGMIRNGFEAATMGNFTDDSSFLGCIGCAIIRRKQQSL NATLPSECTKCFTDYCWNGTLSTSASPELSGNSTYQSGAIASAISEATDGIPITALLG SSSSGNTTSNSTSSNVTSNSNSSSNTTSNLNSSSSSISTSAARSSSSTANKANAAAIS YANTNTLMSLLGAITALFGLI SPAR_M01320 MKLQSLLVSATVLTALAENVEAWSPNNSYVPANVTCDDDINLVR EASGLSDNETEWLKKRDAYTKQALHSFLDRATSNFSDTSLLSTLFGSNSSNMPKIAVA CSGGGYRAMLSGAGMIAAMDNRTDGANEHGLGGLLQGATYLAGLSGGNWLTSTLAWNN WTSVQAIVDNTTESNSIWDISHSILTPGGINIFKTGSRWDDISDDVQAKQDAGFNISL ADVWGRALAYNFWPNLYRGGVGYTWSTLREADVFKNAEMPFPITVADGRYPGTTVINL NATLFEFNPFEMGSWDPTLNAFTDVKYLGTNVTNGKPVNKGQCIAGFDNTGFITATSS TLFNQFLLQLNSTGLPSFIANLATDFLEDLSDNSDDIAIYAPNPFKEADFLQKNATSS IIESDYLFLVDGGEDNQNIPLVPLLQKERELDVIFALDNSADTNDYWPDGASLVNTYQ RQFGGQGLNLSFPYVPDVNTFVNLGLNKKPTFFGCDARNLTDLEYIPPLIVYVPNSRH SFNGNQSTFKMSYSDSERLGMIKNGFEAATMGNFTDDSDFLGCVGCAIIRRKQQSLNA TLPSECSQCFTNYCWNGTIDSRSVSGIGNDDYSSSASLSASAAAASASASASASGSST HKKNAGNALANYSNLNTNTFIGVLSVISAVFGLI SPAR_M01330 MVKVYIHDNKDYLDYRAPHNSGMELSLNELAKLGVIYKYCANEG EVDEIAREREYKNRDVVDIYKGSFNSETEFNEKLAMFYQEHLHEDEEIRYCLEGTGYF DVRDASTPENWVRCLVEPGDLLILPPGIYHRFTLTTSNHIKALRLFKDEPKWQAINRS SQADSLPVRKDYIAQINQY SPAR_M01340 MSTTTIGPLDATLIKDVAVATATKASYDMGDTIYSYLPKVDQFY IPEWLTMQFIANNLISFTPLFSYGTTIISIEKCKTALGFSIDICATMLIASILRISYY LITPYEITLLRQSLVMIFIQLILLRTSLKYRPDEYKYQNLTDVESLSHLIHDIWFEFF SCINRPKFLSEDWKNLIKSLSFTNLLKFSFKIFLAFFYKILKFFDPNFKRIGAFWQWD DDKNFWRFLALFATVQILVTFFISNILNWDSLAQGLGSIIGSLGLLVESLLPLPQIAI LYKLKSVQGFKLILLVSWLCGDTLKITYLIFGAKNISALFVIFALFQMSLDFYIGGQY IYYRYYYPKLRHQHHPNDSNSPSDEDESEMYELDLFNTLQKDVEKALKQDSNDTPDSF QDHQVGKSQANAVTV SPAR_M01350 MSEFATSRVESGSQPTSMHSTPVVQKLETDESPIQTKSEYTNAE LPAKPIAAYWTVICLCLMIAFGGFVFGWDTGTISGFVNQTDFKRRFGQMKSDGTYYLS DVRTGLIVGIFNIGCAIGGLTLGRLGDMYGRRIGLMCVVLVYIVGIVIQIASSNKWYQ YFIGRIVSGMGVGGIAVLSPTLISETAPKHIRGTCVSFYQLMITLGIFLGYCTNYGTK DYSNSVQWRVPLGLNFAFAIFMIAGMLMVPESPRFLVEKGRYEDAKRSLAKSNKVTVE DPSIIAEMDTIMANVETERLAGNATWGELFSSKGAILPRVIMGIMIQSLQQLTGNNYF FYYGTTIFNAVGMKDSFQTSIVLGIVNFASTFVALYTVDKFGRRKCLLGGSASMAICF VIFSTVGVTSLYPNGKDQPSSKAAGNVMIVFTCLFIFFFAISWAPIAYVIVAESYPLR VKNRAMAIAVGANWIWGFLIGFFTPFITSAIGFSYGYVFMGCLVFSFFYVFFFVCETK GLTLEEVNEMYVEGVKPWKSGNWISKENRVSEE SPAR_M01360 MSETKEEVKNATVKVTVKLPKEENHSHNTKHVKKPQAAKNNDIS FEIGRESKIQTVLDVLAMIPSSKYLTNVGLKAIEGDSQLTDEVSIKEIAGEKSELKLQ LILKPYSAREALKHVITVRDFIGFAQETSDGLSEFAISTGSNFSSLPLGPIKERSKQE EKDEKSDPEEKKNTFKNVSDEEKLKFNEMVHEVFSSFKNSSISKLLTSESNIITPCVR SLSFAPYNPVPPFYRSKGHLFYLQVVTLEGENFYITAIPSGFYVNKSNSTKFDPSPKE NADENAHACLIYYSLFDLIASHSKKFISHIQALEKKVSALDSTSYVRPSNTFLHKPWF VSSLPANNPDYLRLQTAALDTTPERNFNDEFQAIKDLTTSTLHDRIEMERLFSKVVHE FSVTAASGAMSIFYSDFIAMNPESPTCDQIFLKDNIFYSYVSDVSGNYEGKGGDEAAI AASNQDLKTINILNRLHMHEIRYLLTTVVEFAGRRILAQTPVPGLLATMGNKIVKDAN TGEEITEDFVNDINVKYGLDEGLGKIVYDADFEAVLEKKFVKAFHLKKHTVNGTELAF SSQSKGIVGFDKRRYILDLANTYPLDVNFARQNFDNIDVTDNRYPHRQTLLRPELVEK WWNNKVEKEGVEFEKAYEENMFSYNPDAYQVEGIEDTSIDEMSNYLQEEVIPSVIQDY LSGNLSTPYNGEHLADTLHKNGINMRYLGKIIELSQKELDSQITNYEKNLKAVEQGNK EYEDWEKSYLQKIETMIKERQAKINKLVQEGKEVPKELTEDLKLNDEEIKKPTDGKPV VVAYDELVPLIKISELEIVSRSLKHVLKDLSKDVPVFLVPSLVAYVFNMLVGINYNAN PKPEPVDEFYPVNKCSFVKLTRSELLESISKQAFLRFRYKLPSDWIEAYMENPFTLIR SVSYKFGIQLLNKEYFFTREELEIYKQSLEKKIRNKFVEPSTTFSLSDLTIIPRVKFS EYTSSVSEEFWAQGASMINEDKQSALTLLAQSITVLEDVNNILHPAVAEKYLSLSAIY NKLALYPEAIAFCRKACTIYERVSGIDSFEMMRALTNLAILEFSNESPYNAAVVYNRL AEILKVYELPKIHHPAPTSIFNHLEQLALGVQDTKLAIEVLGQLSSYVVELEGKDSLA YGYTESRLGNLFAALKDFHRALEHITVTQGIFTKQLGMNHTHSAQSRQWVNGLSTLIM DLKQKKQLAQDQMSATGSNAASHKKSNHRQKKDDVKPELADKSVDELLTFIEGDSTNS KSKKKGNNKKKHGKK SPAR_M01370 MVAIIPHASFTTAKLTQKTKGSQMPPEEICKINMRTRKFRVDEN SRDFDCFYSNFIQTVILLGTFYYSIERLQPWSTVTTDTSYKQIFVNAFVVGLIMVGVV LIKYWQHGYRSLPKFDTIYSLYLPFMISLLFDTPSTVINTILILSVLNSYRWPTQLIV VILQFCLIFFNFGAGDRVKNIISIVINFSLSLTLKYIGQLKSLDNIDSNLFSILLTNI LYVSEAGTVHFKILKGIILALITIISINYMLKKVMHVKSFVLSISFAMGLPLFTNTFI HLEGGENPLFWLVKYILESTTRQKILFAWSSILILSIPSILIEKDSLSLNTSRKLWHF IIFLLIIPSFQIDSNFVKIALSGTIPVFLSIEYVRFQNLPPLGSAIELQLRRFADARD HSGPLIISYLYLLFGISTPLLMNNSPMGLIGLGIGDSLASIIGKRYGRIRWRGTQKTI EGTLAFIVTSFAVCLILFRLDKAAIFNHLTILRLLSLCTLSGVLEGNSVLNDNILIPA FMMICEKLFTL SPAR_M01380 MPSESSVSIYKLDQLEYQYHYLTKSLEKFEPRYPKTAKLYNCIG KKNKKKIEKLLSSLELRTLSKELDESYSKLLNNKIHYYETHLSKCIKEQIQKISKKNF SKVKDAQKIKTPSIDVEKMLDMQLSLDDLAQFMTRFRLIKILHQRIKQKNKKVVDNTN TKTWLNNNDYSDYINNKTSKWNPSNIWNEVITKLPNCEKLNALTGQSKIVQNLVESFD LSICLIFGFDVSAMKAKKYGAREKIAKVTSTHANTDRGTDGRDENNSINRNSGATSGQ SQSNKETTSDNEDILIEEYEGMLGSSGDEGEGGGYLNPNINYNEVTDEEPSEGSSDEN DSDEDFSGSEENEPRRKKAKLHNLPELMAGYYSGDDSEEDTDENNQSVRGKKKKNDAV EDRTAREQISNEPKRKNRRGQRARRKIWEKKYGSQAKHVQRELEKEMEDRKQRQIEYE ARVAKREAKAASLEASRNREREYRRTEVIHKKEKETTATGEEHPSWIAKRLAEEKLQK AKFEGKKIKFD SPAR_M01390 MSSVAENIIQQATHNSTLHQLAKDHSPVGATTAFRILNILKSMS YLKIFATLICILLVWDQVAYQIKKGSIAGPKFKFWPIIGPFLESLDPKFEEYKAKWAS GPLSCVSIFHKFVVIASTRDLARKILQSSKFVKPCVVDVAVKILRPCNWVFLDGKAHT DYRKSLNGLFTKQALAQYLPSLEQIMDKYMDKFVRLSKENNYEPQVFFHEMREILCAL SLNSFCGNYITEDQVRKIADDYYLVTAALELVNFPIIIPYTKTWYGKKTADMAMKIFE NCAQMAKDHIAAGGKPVCVMDAWCKLMHDAKNSNDDDSRIYHREFTNKEISEAVFTFL FASQDASSSLACWLFQIVADRPDVLAKIREEQLAVRNNDMSTELNLDLIEKMKYTNMV IKETLRYRPPVLMVPYVVKKNFPVSPNYTAPKGAMLIPTLYPALHDPEVYENPDEFIP ERWVEGSKASEAKKNWLVFGCGPHVCLGQTYVMITFAALLGKFALYTDFHHKVTPLSE KIKVFATIFPKDDLLLTFKKRDPITGEVFE SPAR_M01400 MPIGNPVNTNDIKPNHMHQESNMSTVSNSESTIGQSTQQQQQQY LGQSVQPMMPVSYQYVVPEQWPYPQYYQQAQSQSQQQQHPQPQMYQVQESFQSSGSDS NISNLPSTSVGIPSNAAATALPNGSAVSSNSNSSNTVSNNVPYYYYFPQMQAQQSMAY SYPQAYYYYSANGDGTANGTAPCVTSNQAQNSNSENSYSTFEQQQQQQQQQQQQLQAQ TYSAQPPKIGNAFTKFSKSGPPSDSSSGSMSPNSNRTSRNSNSISSSVQQPQMSNYPQ PSTYQYPGFHKTSSIPNSHSPIPPRSLTTPTQVPPSQNGPLSYNLPQVGLLPPQQQQQ VSPLYDGNSITPPVKPPTDQETYLSANRHGMPDQQYDPMAKTMNSFQTTTIRHPMPLI ATPNATGSNSSGTSASIIRPRVTTTMWEDEKTLCYQVEANGISVVRRADNDMVNGTKL LNVTKMTRGRRDGILKAEKIRHVVKIGSMHLKGVWIPFERALAIAQREKIADYLYPLF IRDIQSVLKQNNPSNESSSSSSSAGIKSISPRTYYQPLNNYQNSNAPINISAAQLAYS SMNLNNKMIPSNPIPAVNAITAGQKPLSKCPIPNPNHLEGHTIANLQNLSTTMPMKQQ LVNNTASPLSYPRNTTMSSVSTLSIAPGNPKPLSPSPTTTNTNQSSEANVGSIHTGIT LPRVESESASHSKWSNEADGGNTIPDNQTLKEPRSSQLPISALTSTDIDETRTSTSDE AAHPTEATEVEPVKEPESSKLQVDGGDDVSNEKTAADDTKKQEK SPAR_M01410 MGFRKILASKSHHSRHHKSSNGQNHRYTLISNITGSHETKFLSP FRMGNNSGSRRRDRLHLKIKSLRNKIHRQLHPKCLIDDTIVSDGDKYSSYEEPKKDGL ASISLKELFPKSNRYQIAEENIEETNSVIHRDLGKFENENDYPQWGNVEYQYNIGNNG HEEDEIVDRLRSEIRSTKLKSVKTTNRTLEKAIEARRTGKRVLQQLSCQSNQLTKIEG NCDMLKIQSNMADRKIDELVHENRSLFALKSPNPFRKKREREKRNQINNLKLKQHQLQ QETMKRAQESNKNLAINLTSDYKRHGQEVERQRILKDAQKYQFEADEEDNQMELDLYG NLEQIKAVSGDLKVMAHTFGREFEAQNFRMFDIENNVQQVDGTLQAKQYRLDKVIGKK W SPAR_M01420 MNEVTCSVTNGNPIDKINNELRLKWNNLSKFSDFQTNGSAARNA NTIEYIFTNCQKSNSTSKIDFQVALPVDKPKHGGSSGKELSGLENQWSKEFSCFHRNK SADVAKPGTKKHEKCPIKFHDKHFAPYHSTAYHQNRIYPCRKSYNESSSVSNGWESQF KLIESQLINDLTIENNVEEKNIGYEYVAEYEETIGFKHRLLSVPQTYQFLKSNIYLSE RDPYKIGCVLMENGSNLNEVVMAFEAAISQNPDHVNAWLKLGVVNIENESESNGELAL RNCLNLDPNNTLALESLAIHYVNQQNEPESLKLFHKWILSKFSKFLHPFTGKDENSVN KIPEKSHLVRILESLLNMGIKKTDQYDVYSVLSVLYYSDQKIQQSRECLEFLLSEWPN NGIIWNRYGAILANTESYHSAINAYNKSKQLRPNFTRVRYNLAIAYMNKGEYVKASKM LIEVILLRSNGYEHNKAKMQNKFMQNLKNALIASKKFDFLDLINGSHNVESLLSTLKT IYNKMG SPAR_M01430 MTAIRNTGDALETSTAASKENGRGRLRVQKACELCKKRKVKCDG NKPCLNCSKHQKECRYDFKVTNRRRRRRQATSPSQDVSKKYAETRDAFSKDLLNKTNI NINAPSDGLSSSASNSPNPNAHYSNIPSTLPFMSGRPNHAFHSSGNINGENNTNAFAE DHMAKLLLQLSSKLGNTTNESSNKTNRADDNDVNINPTVINMTSSQEGGNTSHGSDMC ESSEALHNNNINSKENKIISSQITNIVNSHFASPWQTFSLDKYRFHRRYQNILPYYLG ASILKDLSPQTIEYAKLKRPRVQNYGWNLSGGHYLKYNSDFKIHDKNISHESKFFDFD DPIHLSLINKLLRYYFDEINPVFSIIHEATFWQQYNNKFLRQGKQNNSSAKLFTSILY LILSTTLRFGEGHLDGQKGQGIYSDSSLNITLEEKSVLVKKPSIEEKLFKYAYSIINT LTFEWESFELIQSWLLITFYFRTCYRQTACWNALSQAVNMCNGMSLYLNKFPEIHSTY DESKAWHCFWCCFIMDKLISFQMGRYYQLSLPVSEMCEQMNLVKSKKFLQEEDDWFHQ ETFQMLDLSIIVTQFLKRDAQDLNLNETVQLRSQLGQWYDTFIASNKINAYDGNYRCF YQVQPFMTYLDIRLTFEVRQLFCLITPSSTTNNKSLEYVVDTHLLISHCQMATENLVE ITRSNVFFVPWWLNLSQLFTVNLICIIYLHAGIALAQNKAIMQSCQQIWRTLECSKPK NPSSMLPECLWCLKMLNHMFCIRLRDSALQLETTLGTDHGDDTPNKNKFEQFKKVGDH DADIEVDADEREEENADERQENPPKNNRRVPLVSRSHNTTNFNDSIVIPPASGVTNLG TDVGLPSDVLDAVSKIGNSPDVFDDDLFSNLLWFDQNFA SPAR_M01440 MNTVSPAKKTVIIIGAGIAGLKAASTLHQNGVRDCIILEARDRI GGRLQTVTGYQGRKYDVGASWHHDTLTNPLFLEEAQLSLKDGKTRFVFDDDNFIYIDE ERGRVDHDEKLLLEIVDNEMSKFAELEFHQNLGVADCSFFQLVMKYLLQRRQFLTNEQ IRYFPQLCRYLELWHGLDWKLLSAKDTYFGHQGRNAFALNYDSVVQRIAHSFPQNWLR LSCEVNSITRESSLNAIVKCEDGTIYNADYVIITVPQSVLSLSVQPDEDSRGRIGFQP ALKPVIQEAFDKIHFGALGKVIFEFEECCWSNESSKIATLANSTNEFVEIVRNAKNLD ELGSMLEKEESKKHTSVTCWSQPLLFVNLSKSTGVASFMMLMQAPLTNYIESIREDKE HLFRFFQPVLNKIMKCLGSENVINGMNPEEDHTNVNKPVLKNIIVSNWTRDPYSRGAY SACFPGDDPVDMVVAMSNGQDSRIRFAGEHTIMDGAGCAYGAWESGRREATRISNLLQ SPAR_M01450 MIIFNGNKYACASCIRGHRSSTCRHSHRMLIKVRTRGRPSPMAI RDAILVDSTSQSTEYENGAQIEGNCCSAMNQQPILFVRASAIRKARMINGKLHILMEE GCTAHEPKDISTFTDDGNKYITEMEFLRKHSPKAPATGTISPDSTRSSSSNEKKESSQ MQQEPIRHLSNCCKKDKARNPVSDDKMSKAPSDDMFTPYSSLESTSTFNDILQENYNS SVPGAHDSSETLTPQSTTTVAAHHSSDIASKVEVLTHKGIFLSTQCSCEDESCPCVNC LIHRSEEELNSYIQQSGVPLTNMGEAQITDKMMDYLDDCKCSNKECLCPPDNCTCDGC FSHSTNIIPFEKFFFYGILNVRLTRKTQIKFKGKLVPSKYWWDFLKLQVPLMTDAQLE LLDIQAWFQKLVSNYAPHLSDANTS SPAR_M01460 MSKTAQKRLLKELQQLIKDSPPGIVAGPKSENNIFVWDCLIQGP PDTPYADGVFNAKLEFPKDYPLSPPKLTFTPSILHPNIYPNGEVCISILHSPGDDPNM YELAEERWSPVQSVEKILLSVMSMLSEPNIESGANIDACILWRDNRPEFERQVKLSIL KSLGF SPAR_M01470 MDMLFMNNTFFLQSRLISRSLLVRRSLKRYFGFARPSTSQLPTI YALSTPPNQTSAIAIIRISGTHSKYIYNQLVDSNTDPPIRKAILRNIYLPSSITAKQL HGQKENKVLLDSSLLLYFQAPYSFTGEDVLELHVHGGKAVINGILKAIGSLHDRSSGK DIRFALPGDFSRRAFQNGKFDLTELEGIKDLIDSETESQRRSALSSFNGDNKILFENW RKSIVENMAQLTAIIDFADDNSQEIDNTDDIFHKVENNIICLRDEIVTFIQKVEKSTI LQNGIKLVLLGAPNVGKSSLVNSLTNDDISIVSDIPGTTRDSINAMININGYKVIICD TAGIRGKSSDKIEILGIERAKKKSVQSDLCLFIVDPTNSLKFLTEDILAHLSSEAFRN KRIIIVVNKSDLVSSDEMAKAVNKIRTKFGAKYPILSVSCKTKKGIESLTNALTSNFE SLSQSSADSSPVIVSKRVTEILKNDVLYGLEEFFNSKDFDNDIVLATENLKYASDGIA KITGQAIGVEEILDSVFSKFCIGK SPAR_M01480 MKPFPNSTWHWSRTVRPFSQYLSSTCFLQQSSRFTSKRYLHLST SKQQQKRFLPESELAKYKEYYQGLKSTVNELPESVASKSPSLRTLHKRLQLPNELTYS TLSRCLTCPSAKLPDKINNPAKGAAFINTVPTNKYLDNHGLNIMGKNLLSYHVTKSII QKYPRLPTVVLNAAVNAYISEAVLAHIAKYWGIEVEATSILSRYLKMEPFEFTLGRLK FFNNSLDSKDGIELITGKNFSEMSALAMSVRSIIAAIWAVTEQKDSQAVYRFIDDHIM SRKLDITKMFQFEQPTRELAMLCRREGLEKPVSKLVAESGRLSKSPVFIVHVFSGEET LGEGYGSSLKEAKGRAATDALMKWYCYEPLAQQEPVVDPGTVVV SPAR_M01490 MDLLKFSSLAISEINFLHESSFDLIDHAWFLLVGCKQDQDDKIY VPTNANEAELQWYIEKVIRIPMQRNDQINQESLKKRINLTKVTQKDICILGILDLYQL EQDENINNEVTKKVLLQLAPLALKYLIKYNVLHRHASSQEVIDCLKGYKIEDEVQLGE EIIFDFLQDKVQIEDVNDRYQIITPNNALHPDFDEFQLIDMKDKEINIQKYNNNAIRK LLEKINRMIMFLKDYDANDKSFSATRDVILRKISMLVTQLKRGGTNDMNYLLDNKINE IKLLEISCKQWEISNMLKK SPAR_M01500 MSFYSNLPSAGQSNQGSPTSGRNGVGLEPLYPTIFEIVSSHEID SLLPTSIRYLLTNHLIANFPNKYTLQLNNYFFEWFQAIKGLVEWYHLKTYNSTFIDRF YGLQQFNSRDRNLALTQCLNPKNHSAWPRGLQLTQRQRSVIFLEKIILPYIATKLDET LEKLSVNNIFSGAETEERWVKRAFLKIYPIIKKLFALSNLLVKLLFLTKRTGSVSLLQ YLCKIEYTTMKPMTLETSSLKETKGMDSRLRKTNMSSILALLQRELSIVPRFLTFMGS QFFPTFIFVLRVYQWWTTQDMTTKLQKSMNDLDEDIPRPPVSSQGDKTESKEEVSEAC PVCEKTIQNPCVLETGYVACYPCAISYLVNNEGHCPVTHKKLLGCTYNRHTNKWEVVT GMRKLLI SPAR_M01510 MTIPGRFMTNDKGTFGEYTASARWPIITQNAIDDLSEQQEAEKS NETKFEQGEVIKKELKKFRQEIIDRVPLRPFTEEEIKIANVPLSFNEYLKEHPEVNWG AVEWLFSEVYLYRRVNVLFQRQSEWSKFDIFNKLKQSTFESSFHGVVELALRYENLLP QLKEMEQDSGSNGDDILKVLFKEFIEISLWGNATDLSLLTNATLEEIKSIQGAKARAE SESKIVINDTEKAWEVLIKAKAEANSRQIRVDFVLDNSGFELYADLMLAAFLLQSGLA TKCIFHAKDIPYMVSDVMLKDFGILVQDLRDRKFFPSGEAATKESKALDLFAAEMDKF VSSGKIEFREDSFWTTELDYWNLDTKEVKYHGSILHKDLQNSNLVIFKGDLNYRKLTG DRKWPRTTRWETAIGPLATNGITSLSLRTCKADVQVGLPEGLDAKLSQEWEKENPGRG SWWCCSGKWAVICFCSGVLKKK SPAR_M01520 MASITEQFNDIISIYSAKLEHSSLRQDSPEYQGLLLSTIEKLLN LRTAIFDRLALFSTNETIDDISTTSIKFLAVDYYLGLLISRRQSNDSGVAQRQSMKLI YLKKSIESFISFLTILQDYKLLDPLVSEKLGNFKDRYKPQLSELYAQPKNDKDLSGAQ LKRKEKIELFQRNKEVSAKLHYLELELRNDGEDHDHDELLRELYLVRLSQFSLDTFNN IEQNLFECEMLSNFLKNSSHEIESPSTQIRKESNDNDSTGFTDKLENINRPLIDKKGQ VLRNFTLVDKRQQLQQKVRGYGQYGPTMSVEEFLDKEFEDGRVLQGGEEPEQVPDEEN MHWQDKETYKAREWDEFKESHAKGSGNTMNRG SPAR_M01530 MAINQTHVHPHYTLPGVMHYLQTEFTKNERDRITWELERSEMKA RIAELEGENRDLKHQLNQIQSKAVSAEGETAKKPVPDSLLKSKLAVQENVKEIIYLLK SPNTVNQLESLNSRDAGSDLHDLEKLNMNTPKEEGSAKTNGMDILNNALLNVKPKPKQ DSSRPSSPTKVKSLFSTTNKRENNNTISEIHSELPKVDIISSYGDRMALYDADTKSLE IHQVDANMNSKSVKKMSLGQDSNIVKLLWVSTSKFIVIEKSLHLKLFSISTASLISDL DLLQNSDQQFSFSDIINIDFKNKWLLIAGKNKPQIKIWELGNIEATEDVPINIKETYD ITHDNDNGGSNDSTDILDCILGITEKSLILLSSNPYQLTIYDFEGKLLQKIDLKIDTI LSGKPDEESYHLFLDRKTSKLLIQLSNERLLVYSFDKKKIVLKEQLTPSSTQPIQLNL NDGIIAISYNNGDFEFRNLDDLKPSIDQFVVANINSSESEGPVIFSSNLMVDSTPVLI TVNKNNEILLHKIKI SPAR_M01540 MKDLNPEMGKSATTKGPSQDNRGMVDIVTLPNFPCNRSVTPHEE INLAPSKMETPRILNMTMIPDYLQKENFSPDFSSATVSAKSSPVNVTHDESLSLGTIG SNNAKSSKYAVQRQQQQQQQQQQQQQQVADFIENNIQLLSSETLNFRSDIMKSLELPI PRRRDIKGNHLSKLLFAKSPLTINTYCQFYDRKTKRICNQEMIWKDKNSREKHGSRKY QRHLSKVHDVQLTPNNFTEFFDHNSPLFQECYDYQSRLMGDLLVEPDTKPKEKKKKQK ENANRNLPETGQSLINYQVQQQNVRELQSKIAMNDLIEILIDLNIPFSVLDYQPMRNW LIKYSIISTDTLPDEVYFKTDPDVNELEHNSNSLNNNNNGTPHNHNQNQHTN SPAR_M01550 MSLISAVEERDIPDIGKTLSGGGSRTSSITSSKKSLKHGSRSLK KPRVYQTTGEPLSREALYKAKLKYGVYQSPAQSYSIGVSDAHAASDKAANLAHDNQTT VEAYKRMFIDPNATKAASKMGPKVVRNNSVASTTSKSSKESQVKRKAKESPGAAASKA YSMTMETTSLSSQTNSRSYSITSASSVLSGASSSLSSTVNPKPKSLNLEKVLVGAEKK AESRIKERWEPEKTNFQYGVRTDEHGRLNQFSFSNEMMNNIMAKVDAPKAQDLQKGKK VAAEKEANSMKFALGAANAVKDMHPGEDIDKSISLKAQKRDTYLSQLTSQQVLTLARA NVDRQLDIIEKSDMHRKLFTNMEYNKAAVAVAQSNHQKKTEFHNKINMGGGLFLSPED ITKIASGLISPVLGEVSERAEAQRAMDEEIAGRTEAYNKSLNEWESMERSIISNDAKL LTTTANRHQTEKKTSQEKVKASYDALVARMDTKVTEKETLLEDTKNKEIEFKKQMQQE LKDEKARLDQDLEEWGKNCEQDITEARKEQEKLLKPYHEDLANAEAEHKTLVEERDTI NAEISRLQDAIVDHKRKISGYGDDLDAQKNRNIREDDKLMELGQTKESLESHLNDDVI ILANKAKEQAELSTKEARLKQLEVDSLINERKSELNATEIELKKEKLSLLEAMKDVAS ARGDDKIDEEKVKRLIGMTSEEYLTQSKPVKKNVEDLPTQLEKIEEGDEVKKGEAVDA KSKNSGGAEIPMNTITKTATNTGSVAQTKESEKNSSIGNKSTGKKEDADDGNSAEDIP VSPKAGDKKSLGVSPDSLEHTFSGFSQGSSIEDDQDAIDNKEGK SPAR_M01560 MSYSYEACFWDPNDNGVNILLGHISQGIKSCDSMIHFFKQRSEL EKDYARRLGAITGKLDKDIGTNIDYGKLNETFNVVLSVEKARAQSHSKQSEILFRQIY TDTKAFAANLQARYTTLSGKIERLRMDKFNKKKGCEVLQKKVQDAQIRARDLQLNENN MIGAKRVEHNKRELLKWESNSQEYKVQLDVLKQEYKASQKFWIHEWAQLSCELQEMEN TRISFLQSKLQQFATSSMETCILEQTKMDMLTTNLNSFTAADEISTFSKENGTGRLKH KNSKGDMNSSANWAQMSSISTASKKSESYMDNIRKLSSQLKETENKRKLASVEKYEKP LPSPEVTMARQFRNSTPVIRNEAKSVVEPTLSLRSSPVQIQSNVDESTIRGNLDRPRP TVQEEQLKSDEDSKNSDGNCLMAHKRNQSLTSPSESSSSNPTDFSHIKKRQSMESMTT SVSSMANSIDESQRFAKSWNSSNRKRKSMSHLQVTSSASSRSDNGERTSNSELKRDEN DYSTMRDTSASTILFKPPVAVRGISKGHTHRQSMIMQDSSNPIEDALYEMERIQSSSK TGMKTGNIMNERGMVRDNGITVTLPIVTSEGFPVIEYAKAMYPLVGNEAPGLANFHKG DYLLITEIVNKDWYKGEVYDNDRIDRDHRVGLIPYNFIQLLHQGP SPAR_M01570 MAPFRQDSILIIYPRSQTTLVQFGLNEETFTVPELEIPTQIYRT TNQDGSYSYHSTNKDNAGELINPIQNGSIIDINAFTQFLRLIYVSILSDRANKNQDAF EAELSNIPLLLITHHSWSQSDLEFITQYVFEILEINNLIQLPASLAATYSMISLQNCC IIDVGTFHTDIIPIVDYAQLDHLVSSIPMGGHSINDSLKKLLPQWDDDQIESLKKSPI FEVLSDDAKKLSSFDFGNENEDEDEGTLNVAEIITSGRDTREVLEERERGQKVKNVKN SDLEFNTFWDEKGNEIKVGKQRFQGCNSLIKNISNRVGLTLDSIDDINKAKAVWENII IVGGTTSISGFKEALLGQLLKDHLITEPEEEKVRREEEAKSVLPAATKKKSKFMTNST AFVPTIEYVQCPTVVKLAKYPDYFPEWKKSGYAEVIFLGAQIVSKQIFTHPKDTFYIT REKYNMKGPAALWDVQF SPAR_M01580 MKTQYSLIRKIWTHPITEFLKSQWFFICLAIFIVIARFAPNFAR DGGLIKGQYSIGYGCVAWIFLQSGLGMKSRSLMANMLNWRAHATILVLSFLITSSIVY GFCCAVKAANDPKIDDWVLIGLILTATCPTTVASNVIMTTNAGGNSLLCVCEVFIGNL LGAFITPALVQMFTNRAPFEYGNPATGNGIGALYGRVMKQVGLSVFVPLFVGQVIQNC FPKYTAYYLDFLKKHHIKIGSYMLLLIMFSSFSTAFYQDAFTSVSHVCIIFICFFNLG IYIFFTGLSYLCARPWFIIKIFPHEPIEGKSTRLYHYSYKIFRPFYYSKEDAICIMFC GPAKTAALGVSLITSQYGDKKEHLGKLLVPLVLYQVEQVMTANFFVSLFKRWVRKDDQ PDGSESYCTNENEEIDLEKNLSIRTGENQSVLSNPVPYTQPR SPAR_M01590 MFRAGSSKRFLRNSLIAFSWVPVLLTINNNVVHIAQIKGTSMQP TLNPQTETLATDWVLLWKFGVKNPSNLSRDDVILFKAPTNPRKVYCKRVKGLPFDTIE TKFPYPKPQVNLPRGHIWVEGDNFFHSIDSNTFGPISSGLIVGKAITIVWPPSRWGSD LKLSTGRNCISKRAILE SPAR_M01600 MNNIFHGNDDDCANEDAVGFQKISLRSPFSKKKNIFKNVQTFFK SKSKHLHIDNDLTNKDHLTLNKSPLLTKYRSNEIDIPSPNIKQFGHRDELDESKDDDL VLSMHFASQTLQSPTRNSSRRSLTNTRENDLLSRIKYPGSPQTSSSFSRSRSLSRKAS MNSSSNSSRRVQRQDGKVPRSSRKSSQRFSHISQNNLNFTSASSSPLAPNSTGLKCFE SCLAKAQIPYYYDDRNSNDFFPRISPETLKNILQNNMCHPFYSSCCIIDCRFEYEYVG GHIINSVNVHSRDDIENEFIHKVLHSDTSNNNNLPTLLIIHCEFSSHRGPSLASHLRN CDRIINQDHYPKLFYPDILILDGGYKAVFDNFPELCYPRQYVGMNSQENLLNCEQEMD KFRRESKRFATKNNSFKKLASPSNPNFFYRDSHQSSTTMASSALSFKFEPPPKLSLNH RRISSGSSLNLSESTGDENFFPILSKSSMSSNSNLSTSHMLLMDGLDTPSCFSFEDER GNDERGSKDEEQDGDFTFVASDREDLPRPARRSLFSSLEDEDKN SPAR_M01610 MTIDHDFNGEDILFPIESMSSMQYTENNNPNNINNDIIPYSLDI KNTVLDGADLSNIQTQETPLTLGLPPLSFDSPLPTTETIPSTTDNSLHLKADSNKNRN ARTNENDSENNNATNNANASGANQYTTLTSPYPMNDILYNMNNPLQSPSPSSVPQNPA INSLVNTTNNETNLSPQTSNGNETLVSPRVQQQTSANDNRLSFPNAANSNLFIDTNPN NLNEKLRNQLNSDTNSYSNSISNSNSNSTGNLNSSYFNSLNIDSMLDDYVSSDLLLND DDDDTNLSRRRFSDVITNQFPSITNSRNSISHSLDLWNHPKINSNNRNTNVNVNSNST SSSNASPNTTTANANADPNIAGNPNNNDATIDNELTQILNEYNMNFNDNLGTSTSSRN KSACPNSFDANTMTKINPSQQLQQQLNRFQHKQLTSSHNNSSTNMKSFNSDLYSRRQR ASLPIIDDSLNYGVVNKQDEDPKNDMPPNSNSASSQQFIKPSMILSDNASVIAKVATT GMNSEMSFLTEEGEQNTNTTPNFDLSMTQMNMAPLSPASSSSTSLATNHFYHHFPQQG HHAMNSKIGSSLRRRKSAVPLMATVPLSNQQNNISSSSVNSTGNGAGVTKERRPSYRR KSMTPSRRSSVVMESTKELEEKPFHCHICPKSFKRSEHLKRHVRSVHSNERPFACHIC DKKFSRSDNLSQHIKTHKKHGDI SPAR_M01620 MTTNDTYEATYAIPMHCENCVNDIKACLKNVPGINSLNFDMDQQ IMSVESSVAPSTIINTLQSCGKDAIIRGAGKPNSSAVAILETFQKYTMDQKKDTAVRG LARIVQVGENKTLFDITVNGVPEAGKYHASIHEKGDVSNGVESTGKVWHKFDEPIECF DKSDLGKNLYSGKTFLSAPLPTWQLIGRSFVISKALNHPENESSSVKDYSFLGVIARS AGVWENNKQVCACTGKTVWEERKDALANNIK SPAR_M01630 MSYYNRYRNKRRSDNGGGNVNNSNSNNGGMPSGLSASDAIFDLG KNKRVTVRQFRNINLIDIREYYLDSSTGEMKPGKKGISLTEDLYDELLKHRLNIDEAL RRLGSKRPKTKMVRLLSDDEYEDDNNNDSTNNDKDKNGKNKNSPKKRREDKSKSSNES HDLEPRSKKKKPAPPTLLPHEENIQNAEREANATLIIPGQAGRKQQEERKQKEKEEEE AKARAVAEEKEAKAKENVVEPEPEPVPTLQAKKEDTVSNVSNISESKDANSSDEEFAQ SLEAEMNKAEDDISEEE SPAR_M01640 MGVYLAALFSLLVIEMSILFVLVLPLPQRMRRWLYLRYSIASSN KKFRTYMVGIMIFVGLLFIDSWKRSQIKVSTYRDQKNPYVINSVTPVDALASRAYNQR NVYISGFIIYFCICILTVMSILRRIVEWNDKVKAGDDNLKAELRRKQEYLKELQKKKS SPAR_M01650 MFSESKVKKEDYKRVKGKVNPSDLASVSPLVLGGAILNQQYTDE PESIPLEDIIRYAFSHGINAIDTSPYYGPSEVLYGRALANLKDEFPRDTYLICTKVGR IGAEEFNYSRDFVRFSVHRSCKRLGTTYLDLVYLHDVEFVPFPDILEALKELRILKSE GIIKNLGISGYPIDFITWLAEHCSTKEDDIGSLDAVLSYCNLNLQNNKLLNFRERLLR NAKLKMVCNASILSMSLLRSQETRQFHPCSHELRECASRAAKYCQDENTDLADLATRY AISEWMGKGPVVLGVSSMEELKLALDNYEIVKSNDNKLSSKDRELVNFIQKNIFKEHF NEKWSSGIPHPEMIESPQKKSDKF SPAR_M01660 MTSNSDGSSTSLEEKPMIGDVGTNGSNKPIRRLSTPSPDQDQDQ DQDQDGDFDDEDDDDKFSVSTSTPTPTITKTKENSGTSTTTRQKQPIKYIENKTRRHV TFSKRRHGIMKKAYELSVLTGANILLLILANSGLVYTFTTPKLEPVVRETEGKSLIRA CINASDTPDAPDTSPAQEQSPAN SPAR_M01670 MSDIEEGTPTNNGQQKERRKIEIKFIENKTRRHVTFSKRKHGIM KKAFELSVLTGTQVLLLVVSETGLVYTFSTPKFEPIVTQQEGRNLIQACLNAPDDEEE DEEEDGEEDDDDDDDGNDMQRQQAQQQPQQQQQVLNAHANSLGHLNQDQVPAGALKQE VKSQLLGGTNPNQNSIIQQQQHHTQNSQPQQQQQQQQQQQQPQQQMPQHPRPQQGIPH PQQPQPQQQQQQLQQQQQQQPLTGIHQPHQQAFANAGSPYLNAEQNAAYQQYFQEPQQ GQY SPAR_M01680 MSEAIFQPTDIVLAKVKGFSAWPAMIIPNELIPDNILKTKPMSV HKGKSDNDKDADVDAGAESEAGDREQSDEEEAEAFGESEVNPEKFIIHTPVLKFRKND ALKPAYCVKFFCDDSYIWVKPTDMKILTSEDCRQWLSGKQRKNKKLIPAYEMAMRGKD GIDIWEFVEYGSYGKPDEEEYVEEDEEEDEPKKMATRPTRSSSRQRHKRVSETEKSED GNTNKRKRVTRSTRQQVVDTSEEEDEGVEEDEDEDEEVQEIVRKRPQRSKTKKAVVSN AKPKPKPKPKKEKPKPPKIIKYHFEDDEDWSIVGLGPQDLSIEKTMDPIAKKLSQKKN LEKHAEVKLDLEDKLAGINKLLCEVLCSAINQAVSIKDDFEVILDELQTALDTRGSKN EFITIFQSNNSLLLNFRILFNLRKKELNKWDLWDRFQDIFKHIYSYQFIPDTKDWQLE QDMEVEEIGQEDPNSHEPVKEEETKTGA SPAR_M01690 MFGVSRGAFPSATTQPFGSTGSSFGAQQQQQQPVANNSTFGLGQ QTNATQAPAFGSFGNQTSNSPFGMSGSTAVNGTPFGQSQLGTNNNASGSIFGGMGNNT SLAAGSASVAPNSTAGTSIKPFTTFEEKDPTTGVTNVFQSITCMPEYRNFSFEELRFQ DYQAGRKFGTGQNGASTTFSNPQDSTNAGFGMMGNNNPTTSATTGGLFGQKPATGMFG TGSGGFGSGATNSTGLFGSSTNLSGNSAFGANKPATSGGLFGNTTNPTNNTNSTGLFG QQNSSTNGGLFGQQQNSFGASNISNGGAFGQVNRGAFPQQQTQQSTGGIFGQSNANAN GGTFGQQPGSGGLFGAKPASAGLFTQPGGTKTFGMGTNSTGATSGGLFGQSNQQQSGG GLFGQQQNSNTGGLFGQNNQNQNQPGLFGQQNSSNTFGQPPQQGGLFGSKSTGGLFGQ QQGASNFGSGNTQGNSLFGQNNQQQQSTGGLFGQQNNQSQSQPGGLFGHSNQSNNQPF GQNGLQQPQENTNLFGTKPTGFGNTGLFSNSATNQNGGMSGNNQQQQPGGLFQNKQQP APGGLFGSKPANSVGGGLFGNSQVANQNNPASTTGGLFGNKPATGSLFGGTNGTAPNA SSGGIFGSNNASNTATTTNSTGLFGNKPVSAGAPTVGGGLFGNNNNLNNPTGSTGLFS NNNPSQSTNSGGLFQNNTNTNISGGGLFSQSSQPMIQSQNGLQQQQQQKLQLQNSNPY GTNELFSKATVVNTVSSPIQPSATKIKADERKKASLTSAYKMVPKTLFTAKLKVNNSI MDRVQIKADPKLSISIDKKNNQVAISSQQEETLDESILKASELLFNPDKRSFKNLINN KKLLTTSEEKSNGSLNHDITDKSKSDEQETILGKPKMDEKEATNGGETTILPSKNDEE NSNTINRPRDMGEENKENVVTLQKRAYSEDDKKTVVVDVAEKDASFINEDYYISPSID TLSSYPLLKLRKVPHLIVGHRSYGKIEFLEPVDLAEIPLTLLGGIIITFEPKTCIIYA GSPNRPKRGEGINVRARITCFNCYPVDKSTRKPIKDPNHQLVKRHIDRLKRNPNSKFE SYDANSGTYVFIVNHAAEQTF SPAR_M01700 MDQESDSLLLDFNGSGGAQKDPTIPNGLDGSVVDPTIADPTAIV TKKRRPQVKLTAEKLLSDKGLPYVLKNAHKRIRISSKKNSYDNLSNIIQFYQLWAHEL FPKAKFKDFMKICQTVGKTDPVLREYRVSLFRDEMGMSFDVGTQEAGQDLEAQSLMVE EHATAMGAGPIAADSFSAQDKRDVNGHDHDNDEDDEIYHLSSNNRRRRVLDERGNNAT VAHNVVPPKEDVDTLLKKFKLQGPSGFTEDEKKLLLGWLDAHRSMEKDYMTEEDVQLV QNLEEWEMNDIERQESHYDFLPREDEFGVDQDELDAMKEMGF SPAR_M01710 MAKDNRIIETKISKKRAANEESDVEQDEDNLLSVNGLIDAEASE SDEDDEEYESAVEEKESSSDGETKEDSDDDSDAELNKLLAEEEGEEEEDYDSSEFSDD TTSLTDKLSGVKLHTIADPNIYSKYADGSDRVIKPEINPVYDSDDSDAETQNTIGNIP LSAYDEMPHIGYDINGKRIMRPAKGSALDQLLESIELPEGWTGLLDKNSGSSLNLTKE ELELISKIQRNEQTDDSINPYEPLIDWFTRHEEVMPLTAVPEPKRRFVPSKNEAKRVM KIVRAIREGRIIPPKKLKEIKEKEKTEDYQYDLWGDSTETNDHVMHLRAPKLPPPTNE ESYNPPEEYLLSPEEKEAWENTEYSERERNFLPQKYSALRKVPGYGESIRERFERSLD LYLAPRVRKNKLNIDPNSLIPELPSPKDLRPFPIRCSTVYAGHKGKVRTLSIDPSGLW LATGSDDGTVRVWEILTGREVYRTTLVDGDENPDDHIECIEWNPDVNNGILAVAVGEN IHLIVPPIFGYDIENNGKTKIEDGFGYDTFGTVKKSNLEVNEDGNDDEDGENESTKNA VKKQVAQWNKPSQKQLERDICITISCKKTVKRLSWHRKGDYFVTVQPDSGNTSVLIHQ VSKHLTQSPFRKSKGIIMDAKFHPFKPQLFVCSQRYVRIYDLSQQILVKKLLPGARWL SKIDIHPRGDNLIASSFDKRVLWHDLDLASTPYKTLRYHDKAVRSVNFHKKLPLFSSA ADDGTIHVFHATVYDDMMKNPMIVPLKKLTGHKVMNSLGVLDAIWHPREAWLFSAGAD NTARLWTT SPAR_M01720 MNSGGSDSFDYLLQLTKALSAECRANRQETDRIELLLKRLAKQS GISYDKLSKNIIPDSWKDNRSQTAPPPTEEQRLISENFKLIYEIEKQEYFNTKALALI NNINEHFSYIKNFIDEQNSIRERNVVAFTSEKLDERNRSLQQNYRSLKTENEETKKKL HTVVEQLEKLLKEVDWDRIPKNSRDYIRFRKQLEYLQDAYQILK SPAR_M01730 MVMGDNIATGEKAGQFDGKRMQDLEGTQSTRDVLDDNFLGERDQ KDASDDYYDEVNNEETNNSGRGYYGHSDDSEAAGLTRNDTMGQLPPLDSFIFPDSRAL FLLDLGNYADLTYEEIIIHGFEIYIVEQWVACRNLSTLITSYTGNTQDTISGIRVVLP KDTSMWPGRFRQYFEELIEFALPKFTPKGTLFITNLSGVSFGLNLLHVECGDLRTIWK DFEVNFDLKNLHCGGRSANLLCPPTMASLDKFSQLFKIPTNGFIAQYPQMIQQQQPRL PEEEYESFGNSKNRNTDSKSPVVEMVTLIQISLSYFNLLHKNYQTDGLLCEDTKRAID EWWETYGKLYLGTEKPKNECTLGPTTVAGLISLILCCYFKLMIENCISSKDPFDEVGF FQGIYNFQKKHGLNKRKSRVYLDPRTLEKLFEVTAKVSSKDIFKLKKMVTSTVQDIIG KGNPINLSHRILTTDLDTLIHNIHGGSVGLLWKGKGHPRKCCTDISNEEFLRFNYQRG DPDRQIRERDMLLEKARLERIAYAQKHPSKKVSSSSFDTSEDIEGINAMPSSATVSSM FPNYDNTKYAYNFGINKLYQGEYYRRNSFPYCKDRTHDNIYEDLSELKEKSSRLYRCN SSSTVQNIVEKWDLPFDPSVVRIARDLLRMKYDIQAQQHIQEMDEHYMGKLNKEGTVA QYSKFNERYRKLQEMYKKYSDGAKVFEGRFEDIDNKQQLLLHEMQELNSLSSRLKYDM RILEVRVRDIESSVAQFDSKLIGLKSSLQGQGKTGICSAIDPKSDKDEYEKCVNDLMT TSNPSYEALCLKMLSRRYFKELKDDTMGWFRWLFGNNSLHSNANDDDRGIQI SPAR_M01740 MNQEEAIFRSADMTYVQLYIPLEVIREVTFLLGKMGVFMVMDLN KDLTAFQRGYVNQLRRFDEVERMVGFLNEVVEKHAAETWKYILHIDDDGNDIAQPDML DLINTMEPLSLENVNEMVKEITDCESRARQLDESLDNLRSKLNDLLEQRQVIFECSKF IEVNPGIAGRATNPEIEQEERDVDEFRITPDDVSETLSDAFSFDDETPENPGAPRNDI ARNQSVEDLSFLEQGYQHRYMITGSIRRAKVDILNRILWRLLRGNLIFQNFPIKEPLL EGKEKVEKDCFIIFTHGETLLKKVKRVIDSLNGKIVSLNTRSSELIDTLNHQIDDLQR ILDTTEQTLHTELLVIHDQLPVWSAMTKREKYVYTTLNKFQQESQGLIAEGWVPSTEL IHLQDSLKDYIETLGSEYSTVFNVILTNKLPPTYHKTNKFTQAFQSIVDAYGIATYKE INAGLATVVTFPFMFAIMFGDMGHGFILFLMALFLVLNERKFGAMRRDEIFDMAFTGR YVLLLMGAFSVYTGLLYNDIFSKSMTIFKSGWQWPSTFRKGESIEAKKTGVYPFGLDF AWHGTDNGLLFSNSYKMKLSILMGYAHMTYSFMFSYINYRAKNSKVDIIGNFIPGLVF MQSIFGYLSWAIVYKWSKDWIKDNKPAPGLLNMLINMFLAPGTIDEQLYPGQAKLQVV LLLAALVCVPWLLLYKPLTLRRLNKNGSGGRPHGYQSVGNIEHEEQIAQQRHSAEGFQ GMIISDVASVADSINESVGGEHGSFNFGDVMIHQVIHTIEFCLNCISHTASYLRLWAL SLAHAQLSSVLWDMTISNAFSSKNSGSPLAVMKVVFLFAMWFVLTVCILVFMEGTSAM LHALRLHWVEAMSKFFEGEGYAYEPFSFRAIIE SPAR_M01750 MTSIEDLISNPALLLHSSLSQLRYLILSEGLPISEDKQQQRTRC YVWTVLSQTSMEASTQRFLALLKLGPPSTIIYQKIKNDTSRTFQTDPNFRNRVSEDAL IRCLSCFAWQTQQRRQKTHFSRIPVSTYVQGMNVLLAPLLYSCPSEPMAYQLFTKLCY EIIPTYLTKNLNGAQNGAKLLDISLRIIDPKLSKFLSDNLLTAEIYGMPSILTLSSCN KPLDQVIKLWDFMFAYGFHMNILFVVAFLVKMRSKVFKSDSPVNLLRQFPDFDADEII RLGVGFIAKIPAQIYDLLVDHLTDPDIYIP SPAR_M01760 MSQTETQTQKSHFGVDFLMGGVSAAIAKTGAAPIERVKLLMQNQ EEMLKQGSLDTRYLGIVDCFKRTATHEGIVSFWRGNTANVFRYFPTQALNFAFKDKIK SLLSYDRERDGYAKWFAGNLFSGGAAGGLSLLFVYSLDYARTRLAADARGSKSTSQRQ FSGLLDVYKKTLKTDGFLGLYRGFVPSVLGIIVYRGLYFGLYDSFKPVLLTGALEGSF VASFLLGWVITMGASTASYPLDTVRRRMMMTSGQTIKYDGALDCLRKIVQQEGVYSLF KGCGANIFRGVAAAGVISLYDQLQLIMFGKKFK SPAR_M01770 MTNTLLSVAVLLFSMLSLAQAKTHTFNWTTGWDYRNVDGLKSRP VITCNDQFPWPDITVNKGDRVQVYLTNGMNNTNTSMHFHGLFQNGTASMDGVPFLTQC PIAPGSTMLYNFTVDYNVGTYWYHSHTDGQYEDGMKGLFIIKDDDSFPYDYDEELSLS LSEWYHDLVTDLTKSFLSVYNPTGAEPIPQNLIVNNTMNLTWEVQPDTTYLLRIVNVG GFVSQYFWIEDHEMTVVEVDGITTEKNVTDMLYITVAQRYTVLVHTKNDTDKNFAIMQ KFDDTMLDVIPSDLQLNATSYMVYNKSAALPTQNYVDSIDDYLDDFYLQPYEKQAIYG EPDHVITVDVVMDNLKNGVNYAFFNNITYTAPKVPTLMTVLSSGDQANNSEIYGSNTH TFILEKDEIVEIVLNNQDTGTHPFHLHGHAFQTIQRDRTYDDALGEVPHSFDPDNHPA FPEYPMRRDTLYVRPQSNFVIRFKADNPGVWFFHCHIEWHLLQGLGLVLVEDPFGIQD AHSQQISENHLEVCQSCSVATEGNAAANTLDLTDLTGENVQHAFIPTGFTKKGIIAMT FSCFAGILGIITIAIYGMMDMEDATEKVIRDLRVDPEVLINEIDENEEHEVNEDRHST EKHQFLTKAKRFF SPAR_M01780 MNDIANYHRGNTLRKLKKIKMATADIISLVKNNLLYFQMWTEVE VLPDVLPWKGNSLNLLRGRPPHKLSNDTDTEDEDSSSSAQPTEFILPINMSQYRENFL TLECLSKVFTHLRSPSTERVLLAIINDDGTIVYYFIYKGVRKPKRN SPAR_M01790 MQKKAIGSVMINGSVHLWGTDGKASLISVESIALVWFIKLCASE EAKNMVTGLQVVFSNNTDLSPDGKLPVLILDDGMKVSGYVNIVQFLRKNRHTSKYEKR TNDEETMAIAGKQDHLLEYSLLNFVDIEMSRLTDYQLFLNTKNYNEYTKKLFSKLLYF PMWYNTPLQLRSQARENCQEIIGSLTLEDDQEFVESKAMESASQLAQSKTFKIAHKNK IKGKQDLQQVKYNLQFDNRLQDFVRNWLAVRKKLDDSVILFPDILFFANIYVQLSLPD GKRIRSKLEQTFGSEFVNNTSNKIDVFIHKSSNNLEQRDPQFKEQGNAVMSLYNLACK YI SPAR_M01800 MSSSTTPDLLYPSADKAAGSSDNIHGDELRLRERIKDNPTDILS YFQLIQYLETQESYAKVREVYEQFHNTFPFYSPAWTLQLKGELARDEFETVEKILAQC LSGKLENNDLSLWSTYLDYIRRKNNLITGGQEARAVIVKAFQLVMQKCAIFEPKSSSF WNEYLNFLEQWKPFNKWEEQQRIDMLREFYKKMLCVPFDNLEKMWNRYTQWEQEINSL TARKFIGELSAEYMKARSLYQEWLNVTNGLKRASPINLRTANKKNIPQSGTSDSNIQQ LQIWLNWIKWERENKLILSEDVLSQRINYVYKQGIQYMIFSAEMWYDYSMYISENSDR QNILYTALLANPDSPSLTFKLSECYELDNDSESVSNCFDRCTQTLLSQYKKIASDINT SEDNNTGNEQEMVYKQREKLTFVFCVYMNTMKRISGLSAARIVFGKCRKLKRILTHDV YVENAYLEFQNQNDYKTAFKVLELGLKYFQNDGVYINKYLDFLIFLNKDSQIKTLFET SVEKVQDLTQLKAIYKKMISYESKFGNLNNVYSLEKRFFKRFPQENLIEAFTSRYKIQ NSNLIKKLELTYMYNEGEDSYFSSGNKNGDHGSSNMNSSDRKRLMEETGNNGNFSNKK FKRDSELPTEVLDLLSVIPKRQYFNANLLDAQKLVNFLNDQVEIPTVDSTKSG SPAR_M01810 MRVSPALLQRSKQLIDKYALYVPKTGSFPKGFEVGYTASGVKKN GSLDLGVILNTNKSRPSTAAAVFTTNKFKAAPVLTSKKVLEAARGKNINAIVVNSGCA NSVTGDLGMKDAQVMIDLVNDKIGQKNSTLVMSTGVIGQRLQMDKISTGINKIFGEKK FGSDFNSWLNVAKSICTTDTFPKLVTSKFKLPSGTEYTLTGMAKGAGMICPNMATLLG FIVTDLPIENKALQKMLTFATTRSFNCISVDGDMSTNDTICMLANGAIDTREINEGSK DFEQVKLQVTEFAQRLAQLVVRDGEGSTKFVTVDVKNALHFEDARTIAESISNSMLVK TALYGQDANWGRILCAIGYAKLNDLKSLDVDKINVSFIATDNSEPRELKLVVNGVPQL EIDEARASEILALNDLEVSVDLGTGDQTAQFWTCDLSHEYVTINGDYRS SPAR_M01820 MVCMIHIVVFLLAITTIFEILPLITVPVTKYLSLSSFRNHYYGL FGWCVRGPNRELMCTKKKIGYDSTDVDSSGHVLTLPSNSKVVVSNLLVVHPISLAFTG TLLILAVIIMVTPLGDSPEMLLFTALFSLPTFMLCLLCFLVDILLFISKLDWPGWLML AATISVALCCSMLWVMRRVVSVKKYESQQSIAHACSMEQYSISDICLSKQNGNSSECG EVTPTHTDSIVAPEVTYRGFIE SPAR_M01830 MQSRKWYPVLKKTSLLAESQKIIKHADKVPHPEEIIHPFYQPTP IEQFTACTTEYNPSLLDGKKVVPSLIKHPESLKTILVDSKLKFDDIRGVNRWLIEFTA KQQHQQNMVLKPTNKNLKPFHVLHLSSADITKLSGLKNALSNIKDTDDLQSSVESLNS ELQVIFDRDNKQTKLFCEDILAYLIKHHGNSSEKLILLINLTEIQLYSRLDQMKAMGI ILYHILFKMETNKNLPYSANLVTALEDLLAATNNRFFPRRCEDSLHPIIIEQLLSFFI KTGNLDESKNFLGHLIKKGILPDATIINRYLEEVDAHFGKSTKFFDIKSKFAFIADLA PIIGNHGIINLFKFLIPMCRHFDELCSLLNIIRKSNNSKRAMDSTLPIFIRKVLTFTK DPMINSANLSTVFNSMTLIYEQNMPSEFVEEFILSFALQGNYTMMAHMIDTYEIKLSH KYQLQIIKALKKSEKNHIIKNTGAVGYNKDYKKYFTENYLTCAEQKALCP SPAR_M01840 MNKKFLYIHRAWEYQPIIHILVQQPAFIQSFRPFAMRHVYLFAI CIKFVSSSELGKINNLLQGRLIYTDDSVATNVLESKFPFLKSTCVKDALKSYLPQCMA NGFESIDAETRVETAIKLSVCEFQASGLGEIPANCMVDDLGSMMDCMFELESSSQWWT TYSGNYQRLSSICYENLLPFEKEQILRLFLNITELYDSFGGDIDTKLNDLMFQMEQES ESFLNDLARMFRNYDSELRNMTESNRIILENNLSFFKDRVNDLFYDTSEQLEVQIIEK NNQLLNEVDNIHHIVSDLADELSKNDIKAKINDLKDDSLNNLQDLVEMSHDVREYYSR NNRLVNTELENFSMGIKKQLGGMSKDLSESQMEAIELLQGFNSILHDSLLPSMTNEIV PEMTNFKNTLLQEWTAITSTLNEDFALWNEEIFSTFSDISKRLNGTKNKLDDIEIRIS LIHGNVMALMKVLDIMWKTSRTIIKCGYVSLKNKYYWLLCSVVWIWSKYPAARTNVKI ISIEHFYQWGIVLLSIYLGARTGSLIG SPAR_M01850 MLKYNRLLCNSALIAKSQIRFYRLKRAPLDHISHIPEVLNKTTI KPDEPEKCLVMKGETPEVVENDLLSSKKFQEINALDATQETFIQYLKFCNGNTFKRSN KNLNNLKKTLESRESNSITKISAVFNYLLEECDLEIKRLNTTGLRQVCDEEERNEDDL EQSIMNDIFQSAEEQLGDQEARRSLKNTSFLLDILKSFNERFNGVIQPKESIVEMITF SQLAQAFEVVKLIPVEGKKQKGIYLVGNLLYGTGRVRLDPINESFYIESLLIFGNYKA AYNLFITNKDKVNERWWNELGLMITLRSNHLRNFRKLLAETDVKFPTKYSYLSPRVVK LGIRKYLSIGNVTEANVLTDRFIKLVAEVGIIRMKDKQGGSPMGVKNFQNEEHATEFL NEAEIPSDYDYISIVDFHLFKKNIPMAAQLISRYMEIPGTTQEDAAFLILKTKLNMLK DFEKLRNIFAQSKDYVVPTNNIRMLKKAFESVIIKYNTDAPIYNDLLFENVSALTKSV VLTDFVEEFIAQQASGQWMKLNSVSRSKKFNGLLNILLGIGEEEKAYNVLKKLEEASH KSKKNPDLLYNQFYSEVNAYHYAKFVEFYSLQIQNMKARNTPSFSKKECKQKVKSLLK RMQQSEITPNAVFLREILNFYDSIYDFNSSFEIINPLLETKQQVSSESSLSTSDPSQF YNRRIITKPLYHKIWSVYCHYYHVLQNNSKILSKKSSIVKKLIKRQIKTHPTCHPRVL FRMTTNNGEILPDRAFSKLIVSTFIKSGELEAVPAVLTFLTKKFDLDIDYDMSMYILK GLKRQYLRDISNISKDACDYKMRKMELMNNELILKRIPQDTDQKRILSHLIREILIFI KWKERSAYSSFLMVKDAFGELGTESTLPEELIEEVNKLKIKA SPAR_M01860 MPVVTVKYNFQLFKCKVTSNSTLNDVLHQSLQFFQLRTPPNDWT LVHLDKPVPLDLPWRLLNLPMGVNLELSNNINSPVANKSNNEDMRSNTIKIRFQIPGR DSVVKEVPSGQPIAPILQNISGVAGNDFKIQVFSRTIEYKTIKDEDLTLEKLGIQEPS SIRLLLANPSYSKGVSTNSAAHLEQIPPTVVTSPETVASSPPHEFHKPSVFLPSDEPL AAIKDQIEGEEDYELTVEQAKKYQKMLSLKAGTLGGPILTKRLREQSANNSLKKNKAI SECLLRVKFPDRSHIEIAFKPNEDMRTVYNVVSQFLIDEKMPFTLNQSHPFKPLAKND QTLLNDLEFGSKTMLLFETNSSFTGPLIKSSLLEDAQKIAHQTKINPPANTTDKPNSR GPFDNAANIKKTLNRVPKWMKLSKK SPAR_M01870 MLKEPSVRLREAIVEGNLLIVKRLLRRNPDLLTNIDSENGWSSL HYASYHGRYLICVYLIQLGHDKHELIKTFKGNTCVHLALMKGHEQTLHLLLQQFPRFI NHRGENGRAPIHIACMNDYYQCLSLLIGVGADLWVMDFNGDTPLHVCLEYGSISCMKM LLNESEVSLDDNVRDKGNWRPIDVAQTFEVGNIYSKVLKEVKKKGPPLGAGKKPSSFR TPILNAKATFEDGPSPVLSMNSPYSLYSNNSPLPVLPRRVSTHTANNNSGNRRSSITN PVFNPRKPTLSTDSFSSSSNSSSRLRVNSINVKTPVGVSPKKEQAPQSVRHSATPTSP HNNIALINRYLLPNKSNDNVRTDSQTSTINDDGGGGTGGIGGNSADVTIGMGLREIPN DENENKYKIKANNGEPRRRVSLLNIPISKLRNSSNVSSEE SPAR_M01880 MCCSLYNKVTYDNIRTSKERLKERREVAMAPMFQQFLSICMEKF PETLEFKNSDANGNITTTILERKMIYIPEGDRHATDSPKCINYRFHKSCGDQMLDSCV QLVDEHLGAKYRRASRIMYGNRKPWKANKLVEMKSAGLVYVSYWDNGVLGAFASFMLT EETGLVEGDVAHEVSVPVIYLYEVHVACAHRGHGIGRRLLERALCEGVARHVRRICDN FFGVALTVFSDNTRARRLYEALGFYRAPGSPAAETATIRPTRHGGGRLYVPRDPLYYV YCLHML SPAR_M01890 MNADHHLQQQQQQQQQQQQQQQQQRQQHQHQHQHQHQHQHQHQQ HTILQNVSNTSNIGGDSLASQPYNTTTISSNKDDVMVSSGARELPMPLHQQQYIYPYY QYPNNNANNNNAAAANNMSASPIVHNNSNNSNISASDYTTANNSTNNNNNNNNNNIHP NQFAATTNINTSAAAAAYYAFPAANMPIPQQDQQYMFNPASYISHYYSAVNNNNNGNN APNNSSNNSSHPAPAPAPAPAPAHHHHSNTHNNLNNGGAVNTNNAPQHHPTIITDQFQ FQLQQNSSPNLNLNINPAQPMHLPPGWKINTIPQPRPTATPNHPSAPIPPSSSVAQNA IPAPSSDHKYIHQCQFCEKSFKRKSWLKRHLLSHSQQRHFLCPWCLSRQKRKDNLLQH MKLKHTNYLLDELKKNNIIFNYNNSSSTNSNNDNNNSNNSASGGGGGDPATTVAAAPE NEDGNSYDANIKTLINDGVLNKDDVKRVLNNLIVSHNK SPAR_M01900 MALSLGQFINVSGMVKDLKSFNFSVYGRWFGYINIILCIALGIA NLFHVSGVIAFGIISIIQGLVILFIEIPFLLKICPLSDNFIEFIKKFETNGWRCIFYL AMAIIQYVSIAVMATSLIVVAVGLTISSISYAVAYTKHQEFQNTNIIKNPTDDDFPHE AVVREML SPAR_M01910 MNSYSLLTRSFHESSKPLFNIVSTLLKASKRTQLRNELIKQGPK RPTSAYFLYLQDHRSQFVKENPTLRPAEISKIAGEKWQNLEADIKDKYISQRKKLYSE YQKAKKEFDEKLPPKKPAGPFIKYANEVRTQVFAEHPDKSQLDLMKIIGDKWQSLDQK IKDKYIQEYKKAIQEYNARFPLN SPAR_M01920 MGTDGMDRDVANSKPNVRFATPQRLTVTHPTTSSPLHMPMSRST RKPLVRTKIRLDPGHSALDWHSLTSNPANYYTKFVSLQLIQDLLDDPVFQKDNFKFSP SQLKNQLLVQKIPLYKIMPPLRINREIVKKHCKGEDELWCVINNRVYDISSYLKFHPG GTDILLRHRNSDDLITYFNKYHQWVNYEKLLQVCFIGIVCE SPAR_M01930 MDPELQAIREARLAQLKSNGGGANSDRNSGANNGGGENSAPVGA AIANFLEPQALERLSRVALVRRDRAQAVETYLKKLIATNNVTHKITEAEIVSILNGIA KQQNSQSNSKIIFERKDFSEDLNSFDKQNAKKDDDEDDDDFFD SPAR_M01940 METNKKDTSKSPSHSNGSSPSSSSLSSSSSKEKKRPKRLSSQNV NYDLKKRKIITSEGTERPFKNEHNSLPFEDNIMEEEPKELLEKDSKGNIIKLNEPSTI SEDSKTSVTGLPLNKGPSEKIKRESLWNYRKNLGGQSNGSEMTLVPSKRFTQVSKNFQ DLNRNDLKTFLTENVTEESNIRSTIGWNSDVINKARDHDPENDRDNKKLSNVRTKIIL STNATYDSKSKLFGQNSIKSTANASEKIFKDKNNSTIDFENEDFCSACNQSGSFLCCD TCPKSFHFLCLDPPIDPNHLPKGDWHCNECKFKILINNSMTTLKKNESNFIKQNNNVK IFAKLLFNIDSYNPRQFQLPNYIKETFPAVKTGSRGQYSDENDKIPLTDRQLFNTSYG QSITKLDSYNPDTHIDSDSGKFLICYKCNQTRLGSWSHPENSRLIMTCDYCQTPWHLD CVPRASFKNLGSKWKCPLHSPTKVYKKSRHCQEENNLLYKVWKKQRLVNKKNQLYYEP LQKIGYQNNGNIQIIPTTSNMDCDFNQDFKITQVDENSIKYDFFDKIYKSKMVQKRKL FKFQESLIDKLISNASQNGNSEDNMVKDVASLIYFQISSHDKSSDNNASKGNNLRKLW DLKELSNVVVPNELDSMQFDNFSNDEVKNLLYLKKVIESKPKEELLKFLNLKNPKKNR SE SPAR_M01950 MAKGAVAKLKFNSPIISTSDQLISTNELLDRLKALHEELASLDQ DNTDLTGLDKYRDALVSRKLLKHKDVGIRAFTACCLSDILRLYAPDAPYTDAQLTDIF KLVLSQFEQLGDQENGYHIQQTYLITKLLEYRSIVLLADLPSSNNLLIELFHIFYDPN KSFPARLFNVIGGILGEVISEFDSVPLEVLRLIFNKFLTYNPNEIPEGLNVTSDCGYE ASLILCDAYSNRMSRHLTKYYSEIIHEVTNDDNTSRLLTTIVKLHKLILRLWETVPEL INAVIGFVYHELSSDNELFRKEATKLIGQILTSYSDLNFVSTHSDTFKAWISKIADIS PDVRVQWTESIPEIITTRDDISKELNQALAKTFIDSDPRVRRTSVMIFNKVSTNEIWK NITNKAIYTSLLHLAREKHKEVRELCINTMAKFYSNSLNEVERTYQNKEIWEIIDTIP TTLYNLYYINDLNINEQVDNVIFEYLLPFEPDNDKRVHRLLTVLSHFDKKAFTSFFAF NARQVKISFAISKYIEFSKFLNNQESMNSPQGPLIINKYNQTLQWLASGLSDSTKAID ALETIKQFNDERIFYLLNTCITNDIPFLTLKNCFNELVGKLQTPGLFKKYNISTGASI IPRDIAKVIQILLFRASPIIYNVSNINVLLNLSNNSDAKQLDMKRRILDDISKVNPTL FKDQIRTLKAIIKNLDDPDAENHDNLTLEEALKTLYKASKTLKDQVDFEDTFFFTKLY DFAVEGKPEITKYSTKLIALSPRAEETLKKIKIRILPLDLQKDKYFTSHIIVLMEIFK KFPHILNDDSTDIISYLIKEVLLSNQVVGDSKKEVDWIEDSLLSETKYSAISNKVFTL KLFTNKLRSIAPDVPRDELAESFTEKTMKLFFYLIASGGELISEFNKEFYPTPSNYQT KLRCVAGIQVLKLARISNLNNFIKPSDIIKLINLVEDESLPVRKTFLEQLKDYVANEL ISIKFLPLVFFTAYEPDIELKTTTKIWINFTFGLKSFKKGTIFERALPRLIHAIAHHP DIVEGLDSEEDVYLNALTTAIDYLLFYFDSIAAQENFSLLYYLSERVKNYQDKLVEAE NDEEEELQKEEKPKKHGPYGQKMYIIGELSQMILLNLKEKKNWQHSAYPGKLNLPSDL FKPFATVQEAQLSFKTYIPEGVTEKIQNNIRAKIGRILHTSQTQRQRLQKRLLAHENN ESQKKKKRVHHVRPQAHHEESDEDGELDSDDDSYLPPNKNGNRKGHENVVMKKLRVRK EVDYKDDEEEDDIEMI SPAR_M01960 MGQKSSKVHITKTDRAILEVKRSKDEIHKFTRRTDNLISVEKGQ LKDLIRKNPGNYKSNMKVRFLLKRIHYQEHLLQQASDQLVNLENMVSTLEFKMVEKQF VNGLKNGNEILKKLNKEFRNVDELMDDVQDQIAYQNEINETLSRSVVGTSNYEDDLDK ELDALESELDPEKKNNAGVVNMPSTEGLPSLSQREQAEQKEREELATEEKSDTKEPLA LLS SPAR_M01970 MVDTAPFIGSLGRSSLFDTGDIERAPGDKEIGINEQDIHAFVSS TGETVQLKKKPVKLATENISLYTNPDIVWRTDDTYGININYLLDKINASSEDCANVQK SSTRTSKIGSDTLWVEKWRPKKFLDLVGNEKTNRRMLGWLRQWTPAVFKEQLPKLPTE NEENNMELDPLKRPQKKILLLHGPPGIGKTSVAHVIAKQSGFSVSEINASDERAGPMV KEKIHNLLFNHTFDTNPVCLVADEIDGSIESGFIRILVDIMQNDIKATNKLLFGQPSK KDKKRKKKRSALLTRPIICICNNLYAPPLEKLKPFCEIVTVKRPSDSTLQERLNLICH KENMNIPIKAINDLIDLAQGDIRNCINNLQFLASNIDPRNSDDTHKSSCSKNVWESSI KDSPISWFKIVNQLFRKDPHRDIKDQFYELLNQVELNGNSDRILQGCFNIFPHVKYSD NGIRKPANISDWLFFHDLMYQSMYAHNGELLRYSALVPLVFFQTFGDIANKADIRMKN SEYEQRELKRANSDIVDLIMRHISVQSPLVASFTDKKSLIFEVLPYIDSMISSDFNKV RNLKLKQAIMETLVQLLKSFQLNLIQNRSEGFDARGGLTIDPPIDEVVLLNPKHINEV QHKRPNNLSSLLAKIEENRAKKRHIDQVTEDRLQSQEMHTKKVKTGLNSSSSTIDFFK NQYGLLKPTQESEEAQRDTGSDKMDRADDSNQAVKIWVKYNEGFSNAVRKNVTWNNLW E SPAR_M01980 MVTQQEKEFLESYPQNCPPDALPGTPGNLDSAQEKALGELRKLL EDAGFIERLDDSTLLRFLRARKFDVQLAKEMFENCEKWRKDYGTDTILQDFHYDEKPL IAKFYPQYYHKTDKDGRPVYFEELGAVNLHEMNKVTSEERMLKNLVWEYESVVQYRLP ACSRAAGHLVETSCTIMDLKGISISSAYSVMSYVREASYISQNYYPERMGKFYIINAP FGFSTAFRLFKPFLDPVTVSKIFILGSSYQKELLKQIPAENLPVKFGGKSQVDESNGG LYLSDIGPWRDPKYIGPEGEAPEAFSLK SPAR_M01990 MVGSGSHTPYDISNSPSDVNVQPATQLNSTLVEDDDVDNQLFEE AQITDTGFRSASASDNSCAYCGIDSAKCVIKCNSCKKWFCNTKNGTSSSHIINHLVLS HHNVVSLHPESDLGDTVLECYNCGRKNVFLLGFVSAKSEAVVVLLCRIPCAQTKNANW DTDQWQPLIEDRQLLSWVAEQPTEEEKLKARLITPSQISKLEAKWRSNKDATINDIDA PEEQEAIPPLLLRYQDAYEYQRSYGPLIKLEADYDKQLKESQALEHISVSWSLALNNR HLASFTLSTFESNELKVAIGDEMILWYSGMQHPDWEGRGYIVRLPNSFQDTFTLELKP SKTSPPTHLTTGFTAEFIWKGTSYDRMQDALKKFAIDKKSISGYLYYKILGHQVVDIS FDVPLPKEFSILNFAQLNSSQSNAVSHVLQRPLSLIQGPPGTGKTVTSATIVYHLSKI HKDRILVCAPSNVAVDHLAAKLRDLGLKVVRLTAKSREDVESSVSNLALHNLVGRGAK GELKNLLKLKDEVGELSASDTKRFVKLVRKTEAEILNKADVVCCTCVGAGDKRLDTKF RTVLIDESTQASEPECLIPIVKGAKQVILVGDHQQLGPVILERKAADAGLKQSLFERL ISLGHVPIRLEVQYRMNPYLSEFPSNMFYEGSLQNGVTIEQRTVPNSKFPWPIRGIPM MFWANYGREEISANGTSFLNRIEAMNCERIITKLFRDGVKPEQIGVITPYEGQRAYIL QYMQMNGSLDKDLYIKVEVASVDAFQGREKDYIILSCVRANEQQAIGFLRDPRRLNVG LTRAKYGLVILGNPRSLARNTLWNHLLIHFREKGCLVEGTLDNLQLCTVQLVRPQPRK TERPINPQFNVESEMGDFPKFQDFDAQSMMSFSGQIGNFSNAFVDNTELSSYINNEYW NFENFKSAFSQKQNRNEIDDRNLYQEEASHLNSNFARELQREEQKHELSKDFSNLGI SPAR_M02000 MIASEIFERGVQDPFCQDCDYEDETDVQSFLGSNDFVNSKLASF SFENSSKSNNFHHNSSTNVGNASRHRGNHTIGHHLRKIKTAPHHLYGFAPQNSTNNSN EPARPSPRRIRANSSTLIHQLSRQSTRQSSLSDAPDSCFDHKCIKPRSRHSSCYGIPT HLYGLEKYVSSELDSIAVANDHSNDFTSPLTSVSTPASNSNSYLNLNSNSAAYPSSYL SNERNNRLKLISHGKISSNNVAGHSGNPNHYHKERTPSNLRRESSSVLSTGSSSSPLQ TRNNSYSNSLVKSSSNSSLNTSVASSNEESIPHTSNCLEERNPRRKSFIKLSLASSFS N SPAR_M02010 MLRTSTLFTRRVQPSLFSKNILRLQSTAAIPKTQKGVIFYENKG KLHYKDIPVPEPKPNEILINVKYSGVCHTDLHAWHGDWPLPVKLPLVGGHEGAGVVVK LGSNVKGWKVGDLAGIKWLNGSCMTCEFCESGHESNCPDADLSGYTHDGSFQQFATAD AIQAAKIQQGTDLAEVAPILCAGVTVYKALKEADLKAGDWVAISGAAGGLGSLAVQYA TAMGYRVLGIDAGEEKEKLFKKLGGEVFIDFTKTKDMVSDIQEATKGGPHGVINVSVS EAAISLSTEYVRPCGTVVLVGLPANAYVKSEVFSHVVKSINIKGSYVGNRADTREALD FFSRGLIKSPIKVVGLSELPKVYDLMEKGKILGRYVVDTSK SPAR_M02020 MCGIFGYCNFLTEKTRGEIIDTLIKGLQALEYKEYDSSGIAIQG DELKSLNIYKQTGKISNLKEEIDLYNLNKDLPFISHCGIAHTRRATHGEPRRANCHPH NSDPSNEFVVVHNGVITNFANLKALLATKGYVFKSDTDTECIPKLYKHIYDTSIELGY NLDFHILTNLVLKELEGSYGLLCTSSHFPDEVVAARKGSPLVIGVKGKSDLRINFVEV EYLNQKEDNLTINTQTNDFSNVLGAAPVKYNTCLRRSPPLRPQYLRTSPRDRNIFTLN HVSSTEISADDGLPQPIEFYLSSDCPPLAQYVNKVIYLEDNDIAHIYDGELHIHRSKI DPGDFSIRAVRELESELSKMTKGPYDHFMQKEIYEQSETTANVMRGRIDASTNKVVLG GLENWLTELRRAKRIVMIASQASLHSCLAARPIFEELMEVPVNVELALDFVDRNCCIF RNDVCIFVSRSGETTDTIHALDYCIKKEAVTIGVVNSSGSSISRLTHCGVHTNTDPEK GIATTKSYTSQYIALVMIALWLSEDLVSQIERRKGIIQALTLIPSQIKEVLELEPSII ELCNKKLKKPDSVLLLGRGFQFASALEGASKIKEISYVHSESILTDELERGVLAVATD VLPIIIFATKDALFPKIASSIDQIIARKGNPIIICNKEDKTWKQDERIRNVVTLEVPQ TVDCLQGVLNIIPLQLISYWLAVKRDIGVDFPRDPVMSATNI SPAR_M02030 MFRRRTTAPEMEQADPTAVAAAASIGRLFMKKGNQSDNKQKSTY RSASMTNLRKPSAPKRVSSISRTSSEYMRGDDKSRFGKVNSLTQRSLEKGSSSNSPLM RGPQHKMSSHNRTSSLPNQRGQSSRSNSGLQRQKSKAYQRISYDEAQRTFKDFGGPQA RGVLTGQKRAENSSGSTPLRTTRKYIPGPNGLIAIEVPVEKPGNANTSKSLRRSNSAH SALNARSGSLLRKKVSQESLHSQPKKTSSLGNTSNPQAKKGERPAQEGKLPKKHTINS NVPLIETQVREETDQELKLDNSNSSESETVVNSERNLEKPSSLTSGKDDLSKLIHENI ELEGFIEEKEKQKETPSNSGQKDVLVSEQIVEGNVERPNDNHRVPALETTLDYDNTEK EEEDKAVAEYLLPKAEAVDKIVEQAKHISSSDSSVQGLVENCKPGKCDNTLDSETISS AIQDHNKKHSSIQNVTSESIESSQKAKKQDNSSEKFPSTNTSSQQGNGGDTKDEYFDT VEESVQEASKSNSSNNIDHHKQSEPTPSLAQYLRTSNTYLSRKNQSKQAEPEELPKPE APMVPVTKVVTPIKSALKKSSGLPNHDSSMYSDSSPANGAYLSLTTAENTRLNAQMTI PDSVSRRTSVKRSSIKRPQSVGQFRSNRSSSPSPPEKINNKRHSAIPLGIPEKGKPKR NSVMASLSKNSQQTQESAGVYEPNGPKKPKNQVNKNIKRGSQTLQNNKPSTKDMSSIL YPKEPPPRKSSFEKTRSNESHLGFKKLSLRNGGLEEGLSDGYNGQAGQNNANVNRTDT AQEFFKYLGHSSRFADSDSEDESQFFSQSSSKYNTETESNRTSNNKNSSGGNGALSLF KSKSKQKESDVISPGVSHPNHTTADPAISSKKVSKKFSGVSLRAASEAEPAKFSNPSM TNRLRFSSNPENSESRLPQAQEVNTTKEKKGSFGKKLKKIFGRKK SPAR_M02040 MTGSLNRRSLLNGVKKMRIILCDTNETVASLWRESIPHAYIQND KYLCIHHGHLQSLMDSMRKGDAIHHGHSYAIVSPGNSYGYLGGGFDKALYNYFGGKPF ETWFRNQLGGRYHTVGSATVIDLQRCLEEKTIECRDGIRYIIHVPTVVAPSAPIFDPQ NPLKTGFEPVFNAMWNALMHSPKDIDGLIIPGLCTGYAGVPPIISCKSMAFALRLYMM GDLMSKELKNVLIMYYLRYPFEPFFPESCKVECQKLGIDIEMLKSFNVEKDAIELLIP RRILTLDL SPAR_M02050 MQTLDETSNLLPPPEEAEAPPLEQKFHEYNLSLPKFPILFSLWL GSFLSSLDSTIVANIMNRVAEEFSESSRKQWIATSFLLTNTAFQPLYGKLSDITGRKS ALLTAQFFFGLGCLLTCFARNVTEFSIARAICGIGAGGLNAISSIAVSDICTARERGV YQGYANIVFGFGQLLGAPLGGVFIETIGWRALFGVQVPVIMLCAVLAIKNINIKLFHV PPLKERYTLKNLSRIDIFGSLSLVATISGVLFLCSSQLNKLYLTLFTIGSFVVFILIE RYYATEKILPFELLTRSFCLSSAVTVISSFVVFGEIFRSPIYLQLLQNISVTRTGLFL IFPSISVAVGSLVTGWVLRNTKINLAHCAYQIIFGGMITQLLGLGLGYLLLLHLNPDY TVYDMLESITFRSNSIWWKLVYVFASVLVSFGYACLLVATLVSIVFTVKKSQQGTMTG VFYLWRSIGNVLGASLTLVSYENSLSSMLWNYMFKTKRNDEYHFTKKQYYSLINDSSY LRGPNFPTDIFVRILDVYKKAFLISYIPNIALAVVGIVLSLYLVKHTYKRSSSS SPAR_M02060 MLLLSWSRIATKVVRRPIRFRPYYGLPHIKSLHTQYRLLNSLQE NKSNRKNEDNNRDARLNGEIPTDEEVEAIRKEVEKYIEQAKNNTIPANWKEQKRKIDE SIRRLENAVLKQESHQIQEEKKGKEEGNEHSKAKSNTTKEQGYFEGNNSRNIPPPPPP PPPKPPLNDPSNPVSKNVNLFQIGLTFFLLSFLLDLLNSSEEQSEITWQDFREKLLAK GYVSKLIVVNKSMVKVILNDNGKNQPDNYGRNFYYFTIGSIDSFEHKLQKAQDELDID KDFRIPVLYVQEGNWAKAMFQILPTVLMIAGIIWLTRRSAQAAGGSRGGIFGLSRSKA KKFNTETDVKIKFKDVAGCDEAKEEIMEFVSFLKEPSRYEKMGAKIPRGAILSGPPGT GKTLLAKATAGEAGVPFYFVSGSEFVEMFVGVGAARVRDLFKTARENAPSIVFIDEID AIGKARQKGNFSGANDERENTLNQMLVEMDGFTPADHVVVLAGTNRPDILDKALLRPG RFDRHINIDKPELEGRKAIFAVHLHHLKLAGEIFDLKNRLAALTPGFSGADIANVCNE AALIAARSDEDAVKLKHFEQAIERVIGGVERKSKLLSPEEKKVVAYHEAGHAVCGWYL KYADPLLKVSIIPRGQGALGYAQYLPGDIFLLTEQQLKDRMTMSLGGRVSEELHFPSV TSGASDDFKKVTSMATAMVTELGMSDKIGWVNYQKRDDSDLTKPFSDETGDIIDSEVY RIVQECHDRCTKLLKERAEDVEKIAQLLLKKEVLTREDMIDLLGKRPFPERNDAFDKY LNDYETEKIRKEEEKNGKHNEPKPSTN SPAR_M02070 MSPMKVAVVGASGKVGRLLIGQLKANNSFSTPLAIVRSQDQVEY FKNEVGVDASLTDIENAPVSEIADAIKGYDAVVFSAGAGGKGMERIFTVDLDGCIKVA EACEKAGIKRFVLVSALKAEDREFWCNIKGLREYYIAKRSADREVRESTLDYTILQPG SLELNKGTGLLQPLDKLEEKASVNYSINREDVASFIVESLLHPNATVRKTISLVNGDE PMEKFIQSL SPAR_M02080 MSDSEGGLASEVEHEKRSRSTSNRPNYAIDTEDLDIDENDENED DDYREEEANEGVNEEEISDEEEQINRSGRNKRTHVDEGEDLSEDKGVTRSGNRQKSKK PVFPGIDDVEENLNPLKVLNEEYVLPDDPEGETKITADGDLLGGREFLVRTFTLTEKG DRKFMLATEPARIVGFRDSYLFFQTHPNLYKFILNQTQKNDLIDRGVLPYSYRNRQIA LVTARGVFKEFGAKIIRGGKHITDDYYASELRTKGNVIEGKLAGDPIDKSARALETMM YSVSDNGINPAKNQVEFFEHRPHGHMSNSNIIASGSKLSSTNWLYQHSAACSRFNSDL FYDRVKVLLVDQQGLRDAYTNTLHIPESTQPTTVLGWRRSKNDSPSDTSIVYETVIHD NDLNKPTTGLSEIPKEIYEDVVDEEVLNAIAEQRGFEKINDEYV SPAR_M02090 MSSVSLKEIIPPQPSTQRNFTTHLSYDSTTNAIAYPCGKSAFVR CLDDEDSKMPSVVQFTGHGSSVVTTVKFSPIKGSQYLCSGDESGKVIVWGWTFDKESN SVEVNVKSEFQVLAGPISDISWDFEGRRLCVVGEGRDNFGVFISWDSGNSLGEISGHS QRINACHLKQSRPMRSMTVGDDGSVVFYQGPPFKFSASDRIHHKQGSFVRDVEFSPAS GEFVVTVGSDRKISCFDGKTGEFIKYIKDDQEPVQGGIFALSWLDSQKFATVGADAAI RVWDVTTSKCIQKWTLDKQQLGNQQVGVVATGSGKIISLSLDGTLSFYELGRDEVLKT ISGHNKGITALTVNPLISGSYDGRIMEWSSFSVHQDHTNLIVSLDNSKSQEYSSISWD DTLKVNGITKHEFGSQPKIASVNDDGLAAVITNDDDLLILQSFTGDIIKSVRLKSAGS AVSMSQNYVAVGLEEGNAIQVFKLSDLEVSFELKTPLRAKPSYISISPSETYIAAGDV MGKILLYDLQSKEVKTSRWAFHTSKINAISWKPAVKGANEEEIEEDLVATGSLDTNIF IYSVKRPMKIIKALNAHKDGVNNLLWETPTTLVSAGADACIKRWNVDLE SPAR_M02100 MSTARPRIITSKAPLLPQQTTPEQRYWRQYTSAQLVKEHNSVTH ISFNPQHPHDFAVTSSTRVQIFSSRTRQVIKTFSRFKDVVYSASFRSDGKLLCAGDAT GLVSVYDSYNPRTILLSINASTHPTHVTKFHTQDNKVLATASDDRVTRLWDISNAYEP QLELTGATDYVRTLSFIPAAPHLVATGSYDGLIRLYDTRSSGSTPIYSLNHDQPIENV IAVSPTQIVSCGGNNFKVWDLTSNKKLYERGNFNKAVTCLDYVENFDSPMQSALIASS LDGHVKVFDPLDNFQVRFGWKFSGPVLSCAVSPSTAQGNRHLIAGLSSGLLAIRTKKK EKRTSDKESAPVSSNKNVKSNNFQRMMRGSEYQGDQEHIIHNDKVRSQRRMRAFERNI NQFKWSEALDNAFVPGMAKELTLTVLQELRKRGKIRVALYGRDESTLEPLLNWCLKGI EDVRSASIVADWVAVVLELYGNTLESSPVLQELMIDLKAKVRHEIHKSKEAQRIEGML QLLTS SPAR_M02110 MPSFNPIRFLELPIDIRKEVYFHLNGNFCGAHPYPIDILYKSND VELPGKPGYKRSKRSKKLLRYMYPVFASYLNIFEYSPQLIEKWLEYAFWLRYDCLVLD CLRVNHLYDGTLIGAFEWTYLDNELRLAYFNKASMLEVWYTFKEYKMWVIDSAAYSEL GLPNVNNIQFNIDNLTPQIVGKCLSILEQKDLFTTIREIQFGQDEEVGEERDLDDSEA DSDENASSSSTLKNKKRSVSKKSYSDNSNVEAKQNQLTSTSVIRTIRCMESMKSLRKI AVGGEKLYELLINFHGFRDNPGKTISYIVKRRINEIRLSRMDRISRTGLADFTRWDNL QTLVLSRIAYIDLNGIVFPKNFKSLTIKHVRKIRWWNIEENILKELKVNKKTFKSLYI KEDDSKFTNFFNLRQTRIKQLDKSEINQITCLRCQALVWLSFRALNHIKLQSISEVFN NSIIVPRALFDSKRVEIYQCEKISEILVI SPAR_M02120 MHHTHSKGSRKSMKTIGVLALQGAFLEHTNHLKKCLAENDYGVK IEIRTVKTPEDLTQCDALIIPGGESTSMSLIAQKTGLYPFLYEFVHNSEKVVWGTCAG LIFLSAQLENENALVKTLGVLKVDVRRNAFGRQAQSFTQICDFSNFIPDCDDFPATFI RAPVIEKILDPIAVKTLYELPMNGKSVAVAAMQNHNILVTSFHPELAENDIRFHDWFI RQFVSN SPAR_M02130 MTGEDFKIKSGLAQMLKGGVIMDVVTPEQAKIAEKAGACAVMAL ECIPADMRKSGKVCRMSDPKMIKDIMNSVSIPVMAKVRIGHFVEAQIIEALEVDYIDE SEVLTPADWIHHIEKDKFKVPFVCGAKDLGEALRRINEGAAMIRTKGEAGTGDVSEAV KHITRITEEIKTYQQLKNEDDIAKAAQEMRVPVSLLKDVLERGKLPVVNFAAGGVATP ADAALLMQLGCDGVFVGSGIFKSSNPAKLANAVVEATTHFDNPAKLLEVSSNLGELMG GVSIESINHDSNGVRLSEIGW SPAR_M02140 MHTNVKATRRAISNISPFTPRYEFPKYSMPLTDFKGHQLKALRK FERLLPQMNMIIELRDIRAPLSTRNVVFDRIARREHDMMKLVVYTRKDLMPGNKAYIR KLKYWHEELGEKFILLDCRNRIEVKNLLKVLEWKNFELEKNGGYLPMGYRALITGMPN VGKSTLINSLRSIFHGYDRENMGRHKKVAKTGAEAGVTRATSEVIRVASRNMESRNEI YLIDTPGIGVPGRVSDHNRMLGLALCGSVKNNLIDPIFQADYLLYLMNLQNLNEGRKE LYPGSTDSPTNDIYEVLRRLQANKSQNEKSTAIEWTNKWRLQGKGIIFDPEVLLSNDE FSYKRYVNSQLEKLGELSYEGLSNKLKGNPNQVF SPAR_M02150 MKKLCLLPFHSRRIRMANIPFAISLKQSLPPLHSHNTAGNYSTK GENDNHEQRHLSKNSTFAPLETPWYLKIVGNEKELMEDKKENGNQTDKAVELPEASPN SLRKITDLLTDKLGLDDFLVFDLRENSPNSVSAVNKLGDFMIICTARSTKHCHKSFLE LNKFLKQEFCGSAYVEGNFNERQESRRKRRLARKSNLSKLLGRSSEGSTKDSNSEAWY MIDCHVDGIFVNILTQKRRNELNLEELYAPETEKSKFQNSEPDNVSTLSKMNEISSDD NILLGLRRLAQQRRRYSTSNSNELSKLRHFLQKQDFKEASRIIYNSPVSQAHNIRTLE HMKNSLEDFVGKGRDVDVAQWKSLFDEHSTLLTLRQSTVYWPLRLEYAILLNRANPQF YSDRVFLKDYLLLKKSLGQELTRKDLIALLEIVLKTQHSSHSYFNLVKQNRVVVRALS LFKGLQREGDSSVLYDEKVISLLLNSMVADERVKLRSLYETIDHICQTFGDKLTSNMV ISILQSLAKIKDWTKLLQVWEAIAPTAGEGQDTRPWNEFINVLNQSGDGHIISKIVNN GHLLWIKRLNVNLTPELRSSIKALLKTAGMENSALEEFLIRGANNQ SPAR_M02160 MPIKETDKEVILTHPADDTTSVRILKYGATVYSWKLKSEEQLWL STAAKLDGSKPVRGGIPLVFPVFGKNSTDEYLSKLPQHGLARNSTWEFLGQTKENPPT VQFGLKPEIANPELTKLWPMDFLLILTIELGSDHLKTAIEVENTSSSKELKFNWLFHT YFRIEDIEGTMVSNLAGMKLYDQLLKESYVDKHPVVTFNQETDVIYQNVSAERAIQIV DKGVQIHTLKRYNLPDTVVWNPWIEKSQGMADFEPKTGYQQMICIEPGHVHDFITLAP GKKWNAYQLLCKEELKYQAIQ SPAR_M02170 MRDSNHRSLTSNKPIVTITSTVYDRRALDINSSIPLINSLNYLT YLTSNSSKVRETVANDGALERLVSILRSCHLSLFELLDLDLEVFSEHENIKNLWKEKR LALCAWKWTLTFQCLVLTGTRGTEQIRKKVVMSGVLSVLVTVLDNYLLYHRNYDFIKD QTMTFDFKGITTETMYKFMRKDENETYQQYIEFITGQDKLKLSNDKDFLNERLVAPSM TIPTDFSDIWLRFADLASNFSPDREKHDSDIDIGSETESKNFDAYKNFFSQPDVNRPT ISTPREFFLGRIVPKQDDVIWSLQLLAFVSKYTYMKSTLQNVELVESLSFRSMATKIK QRISEENDLEEQERNVTVKFSSLYPYLSKNSEKNLRDKAPDTSKMDPFFKELEELSYR CQQEQNEISNNHCPVLNLFERYRVPKPSDNNAYGKDKERINLRKKISDNFERCWSYEK MNKGLTNSVCKNKVPSNVVNIFPLVEKYTVSTENTHDIIYWSSVIMRNSCRKNEILGV RQCANFSCGKWEDFPRQFAKCRRCKRTKYCSRKCQLKAWGYHRYWCHEVGSSHMRSTN TTTGVNTPNEPSSLNTTATTAADVSNSTSTFTPNISTTVPDEISNTDENSIPE SPAR_M02180 MKVPSIIHIQLVALRRLLVETKEQICFAVRSVFQKIFAWVMSLS LFSWLYITVQNLLIKALSVGPVPEHVSFIMDGNRRYAKARRLPVKKGHEAGGLTLLTL LYICKRLGVKCVSAYAFSIENFNRPKEEVDTLMNLFTVKLDEFAKRANDYKDPLYGSK IRIVGDQSLLSPEMRKRIEKVEDITKNGDDFTLYICFPYTSRNDMLHTIRDSVQDLLE NKSPSRINIKKFTNKMYMDFYSNKCELLIRTSGHRRLSDYMLWQVHENATIEFSDTLW PNFSFFAMYLMILKWSFFSTIQRYNEKNRSLFEKVYEGVPSILKRKKPSMPLYQFPNP PLSVSVTGEE SPAR_M02190 MTNMAEDKTSISKIHSEFSNSSNTTGSEEESRSHQESFDGESSS SESKSKLNLEYSADIEPLKFRMTKTNNTNGKINHSSNNGNATDSFMRLKEHLQRGNTL TSSLRVNEFYPFNSIDTEQFENYLREPKYIKMLKRRKNLKQFRRLFLAQELTAYEGET ITSTSKSSEPTSKAIWSTKFSRDGKFMATGSKDGKIRIWKVIGSPVERAELDSSAESN KEARAKSMRIKQQVNSLNNPKEKQFLDAATEKYEEKEKLLNLYAPVFHPTPLRLYKEH VQDVLDINWSKNNFILSASMDKTVKLWHPDRKNSLKTFIHPDFVTCVEFHPTDDRFFI SGCLDHKCRLWSILDDEVSFEYDCQDLITSVTLSPEEGKYTIIGTFNGYVHILMTRGL TPVSSFHVTDRQTQEQNAHVMVTETDSKIRHGPRVTGLQAFRSQLDNSLRLVVTSNDS RIRIFDLEQRKLLEVLKGFHSGSSQHKAQLSIWHGQPIVVNSSDDHWVYGWRLKSSDR ENGRDETKRKPKGLARSGSLRSIFSKSMSRSSSQNTEEKPHHHLKLSNLLPLPHHSND HYIKNTDYISFHAHNAPVTCVSIAPPETSKTLSLSNDVICELSLEFFQTSDSFDVLSR SNDDGIMSDVESSLGYNSKPGSISNASATSAVPDVVDAIGTILISTDNIGTIRVFRAD MPSVIRKRVLLKLQEYNREVRRRFNSSDSLHSLGRSFNSRGKSNLAGPPTTTTTYNNT GKSYATCRGYSNICPKSSTSLKTLGSNAQPKTPRESMSSIFSNVHGPTTPTSAMNLPI RCNVCNGSRFEAFSGANDQQDRNYYCVDCGTVVNNFR SPAR_M02200 MHSWRISKFKLGKSKEDDGNSEDENEKSWGNGLFHFHHGEKHHD GSPKNHNDEREHHIRKINTNETLPTSLSSPKLRNDASFKNPSGGENDNSDAFERKGSQ SSTEAKIQSSESGLMTVKVYSGKDFTLPFPITSNATILQKLLSSGVLSSSPDKASEIA TIMQQLPRYKRVDQDSAEEGLVDRAFATKFIPSTILLPGSANSSPLLYFTIEFDNSIT TISPDMGTMEQPIFNKISTFDVTRKLNFLKIDVFARIPSLLLPSKNWQQEIGEQDEAL KEILKKINTNRDIHLDSFHLPLNLKIDSAAQIRLYNHHWISLERGYGKLNITVDYKPS KNKPLSIDDFDLLKVIGRGSFGKVMQVRKKDTQKIYALKALRKAYIVSKSEVTHTLAE RTVLARVDCPFIVPLKFSFQSPEKLYLVLAFINGGELFYHLQHEGRFSLARSRFYIAE LLCALDSLHKLDVIYRDLKPENILLDYQGHIALCDFGLCKLNMKDNDKTDTFCGTPEY LAPEILLGQGYTKTVDWWTLGILLYEMMTGLPPYYDENVPVMYKKILQQPLLFPDGFD PAAKDLLIGLLSRDPNRRLGVNGTDEIRNHPFFKDISWKKLLLKGYIPPYKPLVKSEV DTANFDQEFTKEKPIDSVVDEYLSASIQKQFGGWTYIGDEQLGDSLSQGRSLS SPAR_M02210 MSFQIETVPTKPYEDQKPGTSGLRKKTKVFKDQPNYTENFIQSI MEAIPEGSKGATLVVGGDGRYYNDVILHKIAAIGAANGIKKLVIGQHGLLSTPAASHI MRTYEEKCTGGIILTASHNPGGPENDMGIKYNLSNGGPAPESVTNAIWDISKKLTSYK IIKDFPELDLGTIGKNKKYGPLLVDIIDITKDYVDFLKKIFDFNLIKKFIDNQRSTKN WKLLFDSMNGVTGPYGKAIFVDEFGLPADEVLQNWHPSPDFGGMHPDPNLTYASSLVK RVDREKIEFGAASDGDGDRNMIYGYGPSFVSPGDSVAIIAEYAAEIPYFAKQGIYGLA RSFPTSGAIDRVAKAHGLNCYEVPTGWKFFCALFDAKKLSICGEESFGTGSNHVREKD GVWAIMAWLNILAIYNKHHPENEASIKTIQNEFWAKYGRTFFTRYDFEKVETGKANKI VDQLRAYVTKSGVINSAFPADESLKVTDCGDFSYTDLDGSVSDHQGLYVKLSNGARFV LRLSGTGSSGATIRLYIEKYCDDKSQYHKTAEEYLKPIINSVIKFLNFKQVLGTEEPT VRT SPAR_M02220 MSSESTTFIVDVSPSMMKNDNVSKSMAYLEYTLLNKSKKSRKTD WISCYLANCPVSENSQEIPNVFQIQSFLAPVTTTATIGFIKHLKQYCDQHSHDSPVEG LQSMIQCLLVASLDIKQQFQARKILKQIVVFTDNLDELDITDEEIDVLTEELKTRIIL VDCGENTRDERKKSNWLKLVEAIPNSRVYNINELLVEITSPATSVVKPIRVFSGELRF GADILSTQTSNPSGSVQDENCLCIKVEAFPATKAVSGLNRKTVVEVEDSQKKERYVGV KSIIEYEIHNEGNKKNVNEDDKSESSYIPVTISKDSVTKAYRYGADYVVLPSILVDQT VYESFPGLDLRGFLDREALPRYFLTSESSFITADTRLGCLSDSMAFSALVDVMLENRK IAVARYVSKKDSEVNMCALCPVLIGHSNINSEKKFVKSLTLCRLPFAEDERVTDFPKL LNRTTTSGAPLKKETDGHQIDDLMEQFVDSMDTDELPEIPLGNYYQPIGEVTTDTTLP LPSLNKEQEANKRDPLRIPTVFIYRQQQVLLEWIHQLMINDSKEFEIPELPDSLKNKI SPYMHKRFDSAKLVELLQIKKVDKLKLASELRTELEREKIPDLETLLRRGEQHSKGPK HE SPAR_M02230 MGSFWDAFAVYDKKKHADPSVYGGNHNNTGDSRTQVMFSKEYRQ PKTHQQENLQSMRRSSIGSQDSSDVEDVKEGRLPAEVEIPKNVDISNMSQGEFLKLYE SLRRGEPDNKVNR SPAR_M02240 MIRQSTLKNFAIKRCFQQIAYRNTPAMRSVALAQRFYSSSSRYY SASPLPASKRPEPAPSFNVDPLEQAAEPSKLAKKLRPEPDMDTSFVGLTGGQIFNEMM SRQNVDTVFGYPGGAILPVYDAIHNSDKFNFVLPKHEQGAGHMAEGYARASGKPGVVL VTSGPGATNVVTPMADAFADGIPMVVFTGQVPTSAIGTDAFQEADVVGISRSCTKWNV MVKSVEELPLRINEAFEIATSGRPGPVLVDLPKDVTAAILRNPIPTKTTLPSNALNRL TSRAQDEFVMQSINKAADLINLAKKPVLYVGAGILNHADGPRLLKELSDRAQIPVTTT LQGLGSFDQEDPKSLDMLGMHGCATANLAVQNADLIIAVGARFDDRVTGNISKFAPEA RRAASEGRGGIIHFEVSPKNINKVVQTQIAVEGDATTNLDKMMPKIFPVKERSEWFGQ INKWKKEYPYAYMEETPGSKIKPQTVIKKLSKVANGTGRHVIVTTGVGQHQMWAAQHW TWKNPHTFITSGGLGTMGYGLPAAIGAQVAKPESLVIDIDGDASFNMTLTELSSAVQA GTPVKILILNNEEQGMVTQWQSLFYEHRYSHTHQLNPDFMKLADAMGLKGLRVKKQEE LDAKLKEFVSTKGPVLLEVEVDKKVPVLPMVAGGSGLDEFINFDPEVEKQQAELRHKR TGGRH SPAR_M02250 MAILKRGARKKVHQEPAKRSANIKKATFDSSKKKEVGVSDLTLL SQISDEAINENLKKRFLNATIYTYIGHVLISVNPFRDLGIYTDAVMNEYKGKNRLEVP PHVFAIAESMYYNMKSYNENQCVIISGESGAGKTEAAKRIMQYIAAASSTHTESIGKI KDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIKFNNQFEPCAGNITNYLLEKQRVV SQIKNERNFHIFYQFTKGASDAYRQTFGVQKPEQYVYTAAAGCISAETIDDLQDYQET LKAMRVIGLGQEEQDQIFRMLAAILWIGNVSFIENEEGNAQVRDTSVTDFVAYLLQID SQLLTKSLVERIMETNHGMKRGSVYHVPLNIVQADAVRDALAKAIYNNLFDWIVSRVN KSLQAFPGAEKSIGILDIYGFEIFEHNSFEQICINYVNEKLQQIFIQLTLKSEQETYE REKIQWTPIKYFDNKVVCDLIEARRPPGIFAAMNDSVATAHADSNAADQAFAQRLNLF TTNPHFDLRSNKFVIKHYAGDVTYDIDGITDKNKDQLQKDLVELIGTTTNTFLATIFP DTVDRESKRRPPTAGDKIIKSANDLVETLSKAQPSYIRTIKPNETKSPNDYDDRQVLH QIKYLGLQENVRIRRAGFAYRQVFEKFVERFYLLSPHCSYAGDYTWQGDTLDAVKYIL QDSSIPQQEYQLGVTSVFIKTPETLFALEHMRDKYWHNMAARIQRAWRRFLQRRIDAA TKIQRTIRERKEGNKYEKLRDYGTKVLGGRKERRSMSLLGYRAFMGDYLSCNESKSKG AYIKRQVGIKEMIIFSIHGEALHTKFGRSAQRLKKTFLLTPTTLYIVGQTLVQNAMTY TQDYKIDVRNIQAVSLTNLQDGWVGINLTGSGQPDPLINTYFKTELITHLKRFNDKIQ IKIGSTIEYQKKPGKLHSVKCQINESSPKYGDIYKSSTISVRRGNPPNSQVHKKPRKK SSISSGHRASSSQATRKPVSNVPAQHVPVAPTPRHSKKPAPPPPGMQNKATTRRSAPN PGSNPITSQTNVRPAVPTDATRATPVGATTKSNRQANIPPPPPPPPTTKPKEPMFEAA YDFPGSGSPSELPLKKGDVVYITREEPSGWSLAKLLNGSKEGWVPTAYMKPHSGNNNV SAPPPPPQNRNVSQPVPNSVQQNNTSVNVLPTATQASLGDGLANALAARANKMRLESD DEEANEDEEEDDW SPAR_M02260 MSNSGSKILNYTPVSKIDEKIETSRNFFFEKQLKLSHESNPRKK DLEFRELQLKKLYYAVKDHEEELIDAMYKDFHRTKVESVLNETTKLMNDILHLIEILP KMMKTRKVSDSSPPFMFGKTVVEKISRGSVLIIAPFNFPLLLALGPLAAALAAGNTIV LKPSELTPHTAVVIENMLATAGFPSGLIQVVQGAIDETTRLLDCGKFDLIFYTGSPRV GSVVAEKAAKSLTPCVLELGGKSPTFITGNFKAKNIKTALKRIFFGAFGNSGQICVSP DYLLVHKSIYPKVIEECKTVLNEFYPDFDEHTDYTRMIHEAACKKTVGNINSTNGSKI VPSKISIGPDAEDLCLVPPTVVYNIDWDDPLMRQENFAPVLPIIEYDDLDETISKIIK EHDTPLVQYIFSDSQTEIDRILTRLRSGGCVVDDTVIHVGITDAPFGGIGNSGYGNYG GFHGFNTFSHERTIFKQPYWNDFTLFMRYPPNNTQKEKLIRFAMERKPWFDRKGNNKW GLRQYFSLSAAVILISTIYAHFSS SPAR_M02270 MPAREYNYVEGFGEYGSLDDDDSDRDSERRNHDPRQRTITTSPT SVSRHAALNRYMVPGRNSNPLFRATDPAQPPIAPTSTAGPNNRSGPGRIKEHPETNFN AFLIAQLTRMEEQNSNLKEEISLMKKEQELFFLENQKKLEKGFKDINKYVEDVSAMKE VFKEVVGIMTGERIRFIDHTGENVTPQEAARVGNPSKSTQTHESHSRLTNWQEYSMHA SILAGDPRIKPEPGLSDFENGEYDENQSDSNGNSENIALNNPDSTTNDDDINNQRDGT GNENDIRNRGGYVGTSYKLNRAIQNVTDAAREYFEGLPGQPSVLSLERRYGSTWRRSA KERTLFTKRMTIIKRIIDIKEDPSKYGLSLPENKISRNQAIKVVENIRLGNNTFKGHH CRLSMSQLYEYFSKKMDKLEDYSLTLKRRGKPRRIFLLEEREARLSLQQPRSIPNSST STPENDHDT SPAR_M02280 MQNVNMNSEMKQENETMQPPYIQERLKSLNDIESQLCSMLQEAS QVTFIFGELKRGNESVKPQFENHVKQFYERLDKSTMQLRKEIQLLDDNVGTRLLPINV NKKALGQDTEKMEEQLDLLLAILDPSKSK SPAR_M02290 MAIELGLSRITKLLEHLGNPQNSLRVLHIAGTNGKGSVCTYLSS VLQQKSCQIGKFTTPHLVHVTDSITINNKPIPLERYRNIRLKLEALNKSHSLKCTEFE LLTCTAFKYFYDVQCQWCVIEVGLGGRLDATNVIPGANKACCGITKIGLDHESFLGNT LSEISKEKAGIITKGVPFTVIDGTNEPNVIKVVKDRCKALESKLFITDPQLNGNMIDT KSWGCFDLAKLPLNGEYQIFNLRVAMGMLDYLQINELINITKNEVSSRLANVEWPGRL YRMDYCYDKLSNGTLPILMDGAHNGSAATELVKYLRKEYGNQPLTFVMAVTYGKSLEP LLQPLLRPVDRIILTRFNNVEGMPWIHATDPEEIKDFILTQGYTSEIEIENELHQVLP SLAHVSKEQRRPIVVCGSLYLCGELLRIHNSHLRN SPAR_M02300 MCGRFALAYDSGDLPVLLREWNLPVNPPKDASSIDQRPHDTEDT KDHATVSKDIFKASYNVSPTNYSAVYRPDVKAVQYMRWGLVPFWTKDASQFKTYRTFN ARLENLQKSKMWMRPCEKKRCAVLMSGYFEWKTVGKKKTPYFISRRDGKLMFVAGMYD YVEKDDLYTFTIITAQGPKELEWLHERMPCVLEPGTKSWDAWMNVDKTEWSTEELVKL LKPEYDESKLQFYQVTDDVGKTTNTGERLIKPLLKEDSDMFSVKAEKKKTLFKTDIEQ GVDNRSGKGSESVKGGDTLNQKKNLKENFYDELKKNEEQEESISSGEGSIGDRVKKEE TSVSPKREGGEKKRNIVNMLGNQKDSRGKKKIKK SPAR_M02310 MLLQGMRLSQRFHKRHLFASNILALPTEPAHIRHLHDIRPPASN FNKQESAPIPQSPTDSLARPPMTPQPNSEKKNRGLMYSFIGVSVVGLILWSKSNSKKQ KLPLSAQKVWKEAIWQESDKMEFNYKKALRRYIEALDECDRSHVDLLSDDYTRIELKI AEMYEKLNMLEEAQNLYQELLSRFFEALNVSGKIDDSERGEVLRKDLRILIKSLEINK DIESGKKRLLQHLLLAQEEILSKSPELKEFFENRKKKLSMIKDINRDPNDDFKTFVSE ENIKFDDQGYMILDLEKNSSAWEPFKEEFFTARDLYTAYCLSSKDIAAALSCKITSVE WMVMADMPPGQILLSQANLGSLFYLQAEKLEADLNQLEREKSKESDQELDMGTYIKAV RFVRKNRDLCLERAQKCYDSVINFAKRNRKIRFHVKDQLDPSVAQSIALSTYGMGVLS LHEGVLAKAEKLFKDSITMAKETEFNELLAEAEKELEKTTVLKAARKENLN SPAR_M02320 MASNEVLVLRGTLEGHNGWVTSLATSAGQPNLLLSASRDKTLIS WKLTGDDQKFGVPVRSFKGHSHIVQDCTLTADGAYALSASWDKTLRLWDVATGETYQR FVGHKSDVMSVDIDKKASMIISGSRDKTIKVWTIKGQCLATLLGHNDWVSQVRVVPNE KADDDSVTIISAGNDKMVKAWNLNQFQIEADFIGHNSNINTLTASPDGTLIASAGKDG EIMLWNLAAKKAMYTLSAQDEVFSLAFSPNRYWLAAATATGIKVFSLDPQYLVDDLRP EFAGYSKAAEPHAVSLAWSADGQTLFAGYTDNVIRVWQVMTAN SPAR_M02330 MSQKDNLLDNPIEFLKEVRESFNIQQDVDAMKRIRHDLDIIREE SEARIVKEHSKVSESNKKLNAERISIAKLEGDLEYTNEESNEFGSKDELVRLLKDLDG LERNIVSLRSELDEKMKLYIKDSEIISTADGSKIKAKIIEPELEEQSAVTPEANEIIL KLKLFRSLGVILDLENDQVLINRKNDGNIDVLPLDNNLSDFYKTKYIWERLGK SPAR_M02340 MKATIHKISPLVGLPRAYLFVPRISIPFLLHNNVSNGKKGLLSK EFHAGRVFKSDLWSNKREEELLVSQRKQRPISPHLTVYEPEMSWYLSSLHRISGVLLA LGFYAFTITLGVTTIMGMDTTFQDLNEWYHEKLPKWSQWLVKGSAGYLFAFHFGNGIR HLIWDMGYELTNRGVIKTGSIVLAGTLVLGTYLLAQ SPAR_M02350 MNSMNSSTSSENVFINSFSYLNQTSQGVIPGNSTFANVINFPYR LGLSFVGAVNLQYQQTVKSEEIPPTLRSVFDTIGFFFSPYAVFCFVIAIVLNRFVVFY SVLNNGARRTLPLWLSNIFHISAVVVLIAVSLGPLVLGKDFKILSNPVFAQEKILLNI FYTFAYSYCVETIFTIMRNSSPLEGTDYSLFELSIQFYTMTNNDTKLIDSADYTVDCS MAILSRILIHLVEIFRLRNYRLIFSTIMNLCHICYLGIRVKKGGWKSLPFSVKFRHFP KLFSVSIICISLLIFELSCLIRWNPFAKSRNSCELLQFYPLSRNWKKYLNYTGEEDFS AMATKFALLLCSGTELMEKGIRREFPAINIPDNVNERFFISGYLNELAKPCKEDTSIN CPKYHSSISRRRYFLMLPNFIICIIKKLVGQVFFAFRDNKNDEIPDNEPSKILRIAEM NPLNNSEPNSADHKEGIELELLNTSDDDYSEDYEPSEVESLRDSDEEDLEEDSLIFNE TRDALLDLFSSKDTEIRTDYNWVISTSHILQQKLFSNKILTRASVLDTTSNEVNESFG AERDFDLSCAVCKVNERNTVLWPCRCFALCEDCRISLGLRGFSTCVCCRSKVHGYCKV HPISGSK SPAR_M02360 MANYTKTAILSVYDKTGLLDLARGLIEKNVRILASGGTARMIRD AGFPIEDVSAITHAPEMLGGRVKTLHPAVHGGILARDIDSDEKDLKEQHIEKVDYVVC NLYPFKETVAKVGVTIPEAVEEIDIGGVTLLRAAAKNHARVTILSDPKDYSEFLSEIS NNGEISQDLRNRLALKAFEHTADYDAAISDFFRKQYSEGQAQITLRYGANPHQKPAQA YVSQQDSLPFKVLCGSPGYINLLDALNSWPLVKELSASLNLPAAASFKHVSPAGAAVG IPLSDVEKQVYFVSDIENLSPLACAYARARGADRMSSFGDWIALSNIVDVPTAKIISR EVSDGVIAPGYEPEALAILSKKKGGKYCILQIDPNYVPEAVERRQVYGVTLEQKRNDA IINQSTFKEIVSQNKNLTEQAIIDLTVATIAIKYTQSNSVCYARNGMVVGLGAGQQSR IHCTRLAGDKADNWWFRQHPRVLEIKWAKGVKRPEKSNAIDLFVTGQIPTEEPELSEY QSKFEKIPKPFTPEERKEWLSQLTNVSLSSDAFFPFPDNVYRAVKSGVKYIAAPSGSV MDKVVFSAADSFDLVYVENPIRLFHH SPAR_M02370 MGAYKYLEELQRKKQSDVLRFLQRVRVWEYRQKNVIHRAARPTR PDKARRLGYKAKQGFVIYRVRVRRGNRKRPVPKGATYGKPTNQGVNELKYQRSLRATA EERVGRRAANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDARYNWICNPVHKHRE ARGLTATGKKSRGINKGHRFNNTKAGRRKTWKRQNTLSLWRYRK SPAR_M02380 MASSTSASASSSVQTNSALVSNNVVAASSVSATASSSASKNTTT SSKNAAPGMVANPVSSKYGIIMAAFAAVSFVLGTGI SPAR_M02390 MANFFVRLWESVFEPGTSPQLIIATHVSFVALLLTLLWLIYATN GNIHFYALFSISLLLWFTVIWFINELSQVKLKDNDELDNDANKKEEVAIKKDSKDKQE GGKSSSAARRTQAQSRSRKA SPAR_M02400 MDAGLSTMAPRNGQPSARVKLRNNLLNNDIGDIDIRNETPISRN GNDSNINVQPSSMPLQQQQQQYYRNGMNEAPMQAPLQQRQIPMQMYPQQQRQQQQYNF DYSNSPMNGIPLMQQNFTKPPPSNNRDSINGKKASSFTQSSFSNFFKHKHQFGRSKKN TRTGGGGDGDDDDEVILEDSANSDLTFNDIQTFGHKGGDKYGYGGDSTPIIPTLVTKD RGNMSNTEYRKYITNQRKTAMNAMAKQNKNGSAVSSPPRAMSLQSFPNGNPLMQAPTP HPRFQSNKIVSANYSRSNSLMSGPPGQFQQPQQQQRMPLMNNYNNRSGQFQNTPQPVM RPGQQLPQQPRTLSLTNSPMYVPRAPRPFVPHQQISQRQQQPHPVSESYRAMSLQTQN IPQEFNQWMPSDNDHKAVSLKQSISQSSNSSRNNSAHSIPNVQNKPLSAFSSLLSSTD AKAIPDSTKQGSSPLKKQVNIDQPIENKGKLNVLQLSAPQQNELKEKERKLAEMEKSL REREALIEEKEKERAERNVEENEGEETSHEPDDLNLRPTSALETGLRDLKLESETAVA NRASLSTFSSTFSDSPSKQRIINTRTGMYKLENSTDINEYVTAQEFPLPGKHNLNSDN GEINTTDEAESDNNNSKRASLLQSIPERDPKRNISCATIKRRQSDDDDGKLSNINISI NQENINNDTFLYKKNNRDGHLSAASNMSSSSRRSFISNTLPLNIDSASESDNFISRTD GSPAKMKTAPVNWGKDGTNAFEEDFSFDNTLAKPYEPVYARRGDMTSAESTGGENSSQ SKMITISGEQLNLITENKELMNELTLVSTELAESIKRETELEERIRLYETNNSAPTFD DSSSVSFSDFEKELRKKSSKIVQLIQQLNDERLKRFIAEEQLLLQENGTKPSSIELVG RIENLNKLIDERDSEIEMLRGHLQ SPAR_M02410 MFNRKRRGDFDEDENYRDFRPRMPKRQRIPPVVQLCKEMMPDIR TIGESVKAFEDDIKFLSEAIMNEYGHEDYFNDALLSTFNAVVVEQPQKQAAIALLTMV VNSKNNVAGKSIINFFFEELQKWCKQTYNEEFKITSNETGPWNKIKLILRFLSILSPM FLVDELTNIYKKLFELSIELNNLDPNNRVPLSEAIYTNTILNIPYLFFFNRNNDGLRT KVEELLAYVEQHYLVKTTDINLLREYNGESPYEMVELVQVALPNVKKALINNMEQLNE LFPDWNHLLTPQTGDEGFNDALTLPSVEELKTFVRLSKGFGSVDSMWKTPRYAFHVYL PNSAGNFETVVPINTYAGQLFNDIIIDLVESLEFNRKEVARQVITLDLFFKAGIFTEP GESIAQLIATYEENPLASTFKIEDLAIETILGLIFKLPSVSQPFAYFYTLLVDICQNS PKAIAPVFGRAFRFFYNHLDSLDFELKLRYLDWFSIQMSNFNFSWKWNEWEDDSIKFG RYFYNPKVNFAKNLIQKELRLTSNFSEVEDSLPQEFTKYLDTSYIPKDQLINYYQSLF TGYSVEEDSIRKNDLYFRQEGVPMENIVRKILDYTHKANNSREVTELESILDELKNEH GSIISDFNRFVIILLVQAVADSGSRSLSHANKYINDLKEDLKTILAKIELSVEAKEYI IIEAVLTFWNANPQTGFLVADAFKYAGLITSRTIFTFIFNETGLKNNGLIEATAIEAV FRNLSQQISEENESGNNFEFVFERLCTIANNTIDLLDVNPDEDIEIPKVNGEMDIDDI EGDNLDLRWKYFTVIGFIKSILRRYSYEYRELADKFIAGIDSAIPHESTRRIILNWIQ ETKEI SPAR_M02420 MSGLAKLKSWLYKASLFVSLILLIGFSVVLPIDSIAQASKSENN AFNTFIVVGALVVFGVFCIFIIIGRMLFHKSCLKDIPRRYIPITPADLPHRSSREAVL QNMERSKELTILLKKPKDPVIHDGLEPPRRCDYPSNEKLFPEYLNYADCIKSLTDRLK YHGLFLNNLDVRMKLEDTFADVVNSQFVNHNANKIQLEKAKEFIDLYETIRFSGKDVT RDQFIKFVKFCLYFGEVSLTRDTSFANLHNFKLNGSSNNVGTTESGYSINPFDENEYA QDDMHYFPEPPTHLVRESSLSTVARHVSSGVDLTNSEEHPLETDSDYNPLRVKISEAD SYRSVIRH SPAR_M02430 MAGSLGQPLTATAQQLKGKKNGGKGKNKPSAKIKKIRKEMLYGI LNERNIRQIQFGLSKRFPTWYGSAVYFDPETKRLGCSESKGQPSSVSSGQYWLDTLFV CEYCFKYTDDRTRFVAHVAVCPFQYRAPGKIKYKSPEYTIRRVKGSKYQLFCQCLCLF TKLYLDNKSMYFKVDHYEFYIVYETGSTKPMGFFSKDLVSYQQNNLACILTFPPYQRR GLGLLLIEFSYKLSQLEGVISGPEVPLSPFGLIGYLKYWSQILCWHLIEGDLAHFDKV TLEDLSVVTGMRVSDIILTLKHLNCIGENNQIYLQSLNTWLKLHGTKGNWFKLKDEYL LIDD SPAR_M02440 MGTYRKRFNEKARSGHMAKLKELKRIRNKQFTRQDENDEKAEKS ESASCESGMTDSNVNAEILEPLTEEEKKMKKRKLQELFTPKESKVSRLKKKRLDKFID HQLKREERKTIIGKLQDYKIDTSLLTSSKRLGEGRQTKKEEFKEALSLERQGRGNEQT KEILYEEYEPKVWDEDGRAESHGDEDEDDFEATFGAMVKPTDKDERKPSGFIDHRPAK FGGFGLGFGFNNIKVINKTSKTPKKKYNWRQRVEMEELKKHGKEDEMDFDTTSEEEEE EEEEEEEEEEEEEEEEEEDKIEPSGNTLEEVAGANSETDLKESDQKDVANEFKDWANQ EIKKMEGRDQELVTPTLNIDYKPIIREEDLDDGLNETYIPINENSTRKAFYVEVNRSD EIQKTRIRLPVFGEEHRIMEAIHHNDVVIICGETGSGKTTQVPQFLYEAGFGAEDSPD YPGMVGITQPRRVAAVSMAGRVANELGDHGHKVGYQIRFDSTTKDDTKVKFMTDGVLL REMMHDFKLTKYSSIIIDEAHERNINTDILIGMLSRCVRLRAKQHEENPIEHKKLKLI IMSATLRVSDFSENKALFPIAPPILQVDARQFPVSIHFNRRTAFNYTEEAFRKTCKIH RKLPPGAILVFLTGQQEITHMVKRLRKEFPFKKNSKYNKELETPISKMGISSKTTDLE VEDIDFSVQVIDQDKFKSAIKYEDDEVNNENDVDEEEEEEEGFEEVLTEGQTANDPLY VLPLYSLLPTKEQMRVFQQPPQGSRLCIVATNVAETSLTIPGVRYVVDSGRSKERKYN ESNGVQSFEVGWVSKASANQRSGRAGRTGPGHCYRLYSSAVFEHDFEQFSRPEILRMP VESIVLQMKSMAIHNIINFPFPTPPDRVALSKAVQLLQYLGALDNKEMITEDGKKMSL FPLSPRFSKMLLVSDEKACLPYIVAIVSALSVGDPFINEFELGINEISRKPNADEQED DKDKDLDESTSGMDPELKKELRSKFYKSRAQFGKLDKFGDVFRLLSVVSAMDYVPREQ KEIFMKKNFLRGKLMEEIVKLRKQLMYIIKSNTSKENIAVVIRSEDLKSDIPSVIQIK LLKQMICAGFVDHVAIRADVLFPDDAKITNRTSMINIPYIPVLATRTPNIEDCFVYIH PTSILNNLGEMPPKYMLYYSLHVGGSNKTRMNTLCDIASTPLANIARKGLLLTYSKPL TGQGLKTVNLSPTERYCYVVPRFGSKVDNDLKISWDLNPIAVHQRKQKGQWTVIKFIT RKGFQAITGEENKK SPAR_M02450 MEHRYNVFNDTPRGNHWMGSSASGSPRPSHSSRPNVNTTRRFQY NDDEPGEEIRPLRSRKFKNTENELSDERSRISERDSRDRHISGDKKVDIYSLPLISTD VLEISKQRTFAVIFFLIIQCYKIYDLVILKSGLPLSGLLFKNYRFNFISKYFIMDSLF LYVLPSFNIPRLTFKPWMVYLQILGMILLNIFISSDHEFVLISLVLTTWRKIYTRELS VTGSAINHHRIVDSSAHFKGALTIKILPENTAMFNPLHESYCLPMDTNLFKINSIDVP IRINSTEEIDFIELEYRDLYTNAVESRSLSKKDFRIINNPKSLLNKDQSVLKSHSNDF EEGSTIRYLAVTLQDIGFYQIKKIVDSKKLNLKIHQSHLVVPYCPIASITGTGNNDRC IGDSDNVSLEIQGVPPMKLAYSKIVNGQTFSYADSSLQPEYFESPLQSSKSKQSFTQE ELNDMKWGRNQPVNINLDSSITQDGKYAYKIDKIIDGLGNVVDFTSLPEDLKKRYDLS YDFNVHGIPRAALEERFDLKSPTKRSIAIVFEEIKNWISDIPYVISLTYTDAQDKSKK KMNITTDSLTKVLQADLPGLYSLEYIESKFCPGAIVGKSNVLVTMPVAPTMEVKSFPI LDQCVGQVGLNFELSFTGAPPYYYNTKIYKLESGERKLYDAKRYTSEGTRNHFSYSPP KEGNYEIVFDTVSNKLFTEPIRLEPVKDYTFKTSMRVKPSASLKLHHDLELCLGDHSS VPVALKGQGPFTLTYDIIETFSSKRKTFEIKDIKTNEYVIKTPVFSTGGDYIISLVSI KDSTGCIVGLSQPDAKIQVRRDIPSVAFNFFEPVKEAKIKHGSVTEIPLKLSGEGPFT VKFKHMDYDGKILKESENKFQNSYKPALKVSKEGLYQLIDIRDSSCQGKVIDQNSVYK VSFLEKPNFAIQDNHHITRLTKNSFSKEEVCQGMEGTVDLALFGSPPFILEYDLMAPN GHIFTKKIQVATKYASLKLPNQIPGEYITTIKAIFDGNYGESDVHFREHQSELVIKQT VHPIPDVAFADGGKTLRACAANVDQISFLEPINLKFLQGESPFSITFSVYHESTSRTD QYTIDNIDSENFSFEKLYEGMKLGNHAISIDSVADANGCVNNLISGPRKQILVSITDA PKIHILDPSTEYCVGDYVAYQLNGVAPFMIKYEFNGIPLKSKERSSQFVRLASEPGVI SITSLQDSSSQCIVDFTKPGLKSEFNDLSLNIHPIPSVTVSQGNYVTEDIREGDQAEV IFSFEGTPPFSLTYVRTEETDGKHGKKRSQVVETHKVTDIYSHEYKVITSLQGTYEAI EITDAYCFAKNDLFFNN SPAR_M02460 MTYPKRIPINAWSDVHRVARPLIITFDAYNTLYATKLPVMEQYC IVGRKYGIKANPSTLTNNFPHVFKKLKEDYPQYGKYSGIKPEEWWSILIRNVFAPNAI PDEMITEILTRFEGFDSYFVYPDLVKFLKDLKSRRPDIIIGIVSNTDPIFYKLLKNIG LYETFVGHIYLSYELNLAKPDRAIFHYAFDDIISKHPHLLEKYSKEEILQHCFHIGDE LHNDLEGSETAGWTGILLDRNDKYGFLSNSISKPTRDEYKLSIDKIDNNSIDTWKAST KQTDTVQLSERKYVVSNLEVLEELFP SPAR_M02470 MSKRSIEIDEEQDRVVSAKTESHSVPVISTSEGQDAPTNDLEEQ LSDDFDSDGEIIEIDGDDEINDEDDLRKKQEEAETLVQKDQSEGNKEKIQELYLPHMS RPLGPDEVLEADPTVYEMLHNVNMPWPCLTLDVIPDTLGSERRNYPQSILLTTATQSS RKKENELMVLALSNLTRTLLKDDNEGEDDEEEDDEDDVDPIIENENIPLRDTTNRLKV SPFAVSNQEVLTSTMSENGDVYIYDLAPQSKAFSTPGYQIPKSAKRPIHTVKNHGNVE GYGLDWSPLIKTGALLSGDCSGQIYFTQRHTSRWVTDKQPFTVSNNKSIEDIQWSRTE STVFATAGCDGYIRIWDTRSKKHKPAISVKASNTDVNVISWSDKIGYLLASGDDNGTW GVWDLRHFTPSNADAVQPVAQYDFHKGAITSIAFNPLDESIVAVGSEDNTVTLWDLSV EADDEEIKQQAAETKELQEIPPQLLFVHWQKEVKDVKWHKQIPGCLVSTGTDGLNVWK TISV SPAR_M02480 MVYFYESKPTEYSTPYQIVTGKDKFENDLLIKWSYKELNYVWFH ADKYSSGHIYLKLHPNQKTIDDVPQEVLDDCLQLCKSESIQGNKMPQCTILITPWHNL RKNRYMNPGEVSFKSLRQCRKMECGTRDNKILNRLAKTRVELFNNVESTLNEAKKTKN GDFFVNHIESNRSNLIEEEKLRKLAKKNQKKKKKQSGDEFIDDTPLET SPAR_M02490 MYEYCSVVIKKYSKYTIPSFAPNGFCSMLEPPQIDKWQHLSTNC TLQFRVLLMDSGQVLIHVVLNNSTLLENIRLPLGDNQDLIQFSCKCPIISCKYISEEF GPRMLRRFQINLPNDIEFNRAIVSLKNLNFVLRTAKTSIAQNTITSQVLDINNGKKVN FAEGTNTSNYAHSNTQFQTQNMITDFSQRCQEKSEREPSNRSNITLPQDNFPIGQQSW PNTELNVVHSSQDLNTPSATQTVLSRPEPLNVQPSEVSQSLAKTTSCLPNIGNQKNQT ETISDLLSRKDIAPCKPGLMEVVHLPKERVEKESRMHSTTGLVKTPTTTVWSLEKENT IRQNSNNKENADNKLSDSQKSRGINTPNRRTEIPLNGTLNGTKEEVSLGGEITISVKN ANRNASRKISKRLIKEKLKDKEFMNWVNKVETVLNKMFEK SPAR_M02500 MSLKDKYLDLESNLIDKLQKLPYVHQFIHDRISGRITLFLIVVG TLAFFNELYITIEMSLLQKNTSEELERGRIDESLKLHRMLVSDEYHGKEYKDEKSGIV IEEFEDRDKFFAKPVFVSELNVKCNVVVDGKEILSTPLKFHVEFSPEDYENEKRPEFG TTLRVLRLRLYHYFKDCEIYRDIIKNGDGEGARKFTISNGVKIYNHKDELLPVNIDDV QLCFLKIDTGNTIKCEFVL SPAR_M02510 MTISTLSNDTAKSSSCSGNSKNGGKDFTYGKKCFTKEEWKEQVA KYSAMGELYANKTIHYPLKIQPNSSGGAKDEGFATIQTTPIEPTLPRLLLNYFVSMAY EDSSIRMAKELGFIRNNKDIALFNDLYKIKERFHIKHLIKLGRINDAMEEINSIFGLE VLEETFNATGSYAGRSDRQQQQQQQFDIDGDLHFKLLLLNLIEMIRSHHQQEDASKDS NDFILNLIQYSQNKLAIKASSSVKKMQELELAMTLLLFPLSDSMHSGSIRLPKSLQNL YSISLRSKIADLINEKLLKFIHPRIQFEINNNNSKFPDLLNSDKKIITQNFTVYKNNL INGSNGTKINHISSDQPIHDKMASNEAAAAANSVWLNQGDGNVGTGSAARTFHNLENK NYWNQTSELLSSSNSKTKGLEFNNYYSSEFPYEPRLTQIMKLWCWCENQLHHNQIGVP RVEN SPAR_M02520 MLALRKTMRHQNVSCNEPGHCFGRVKKARSTLIVINSNTMERLP LTRNGSGQQPNKLRDPEKGRTQTQAERKTRKIKQGKKERKRKRTGAGATAERGTILVF PFSYFYFLLSHGHVTAQQRRGPEPLRVYHRETSLLLSPRRRWSRPQRDARSEALYPAE LEIV SPAR_M02530 MQAPNMFPFSQTQPQALPGFVYGPPQLVFDHSAPRVDPLHSNVT INPPLPLQHYSGPNAHMNTANNNYAYYYHHLNNSNNNNNNNNTINNNVNSALPAANIQ ISNRSHYRNTHQVPPAPQRVVSIIPDPHMPPNIPHFQLNNIHPQMHAPVAPDIHFQQV PVYNKTNNNVSSTGNNNNINNDKPVDSNQNEVLDNINERYCHELNRMVSFSKHSENSE LNTAAATSDPNIQSTMDELAKLKSLSNSTHFKQNIATQNFHSLQNHITTIENRLNSLL TDRQQEQQQWRQQEPEKESSSPFCNKIKLPSLQELTDSISTQHLPTVYDNKRHASDTD LKNNTLHGSLYHRHTFLSTSSSSSPTAGSVPLQKLQVPRQDDPSDTNRNVSSSPFNSI TYIPNTTLSPMVQTQLKNITTSNLNTKKKNNRGRPRAIQRQPTLTTSSHFINNPNSGT ATVSTTTPATNGDEKNPSAKKVIEFCFHCGETETPEWRKGPYGTRTLCNACGLFYRKV TKKFGSKSSNLLLRYRRAIDLANDRRIPDFITIPNRFIHDMDNDQTLDSEYNTILQ SPAR_M02540 MSRKSIVQLKRSEVKRKRSRIASSTSEGRTFHRSTHASFKRQRT LTEFNIPTSSNLPVRSSSYSLSRFDLPTSNKNIEPVIIDDNDHKSVCLDNAGKVEIII DTDEEDLVSLHDNEVKGLESRTEERIEDRIVTELKEQINVEISTEVIQCPICLENLSH LELYERETHCDTCIGSDPSNMGTPKKNVKSIISDPSPPTKAKRHPTASKKPTRVKLVL PNFKIIKFNNGHEVVVDGFNYRASETISQYFLSHFHSDHYIGLKKSWNNPDENPIKKT LYCSKITAILVNLKFKIPMDEIRILPMNKRFWITDTISVVALDANHCPGAIIMLFQEF LANSFDKPIRQILHTGDFRSNAKMIKAIQKWLAETTNDNVDQVYLDTTYLTMGYNFPS QHSVCNTVADFTLRLIKHGKNKTFGDSQRSLFHFQKKRTLATHRHRFLFLVGTYTIGK EKLAIRICELLKTKLFVMPNSVKFSMIQIVLQNNENQNETWDDSLLTSNLHESSVHLV PIRVLKSQETIETYLKSLKDLETDYLKDIEDVVGFIPTGWSHNFGLKYQKNSDDDNEM GDNMGYCLELMKNDRDDGGENEFDISSILRQYKKYSKFQVFNVPYSEHSSFDDLVKFG CKLKWSEIIPTVNLNNLWKVTYMTNWFQCWDKVREMRAAK SPAR_M02550 MGLLSIIRKQKLKDKEIRCLILGLDNSGKSTIVNKLLPEDEQSK NGIMPTVGFQIHSLIIRDVTISLWDIGGQRTLRPFWDNYFDKTQVMIWCIDVSLSMRF DETLQELRELVNRDENRIGYECAVIIVLNKIDLVESKSELHQRYILVESKLKCLFKPD IRITLVRCSGITGGGIDDLRDHLVESCYFTQ SPAR_M02560 MNIQSNNPPNVSNNIVSKQVYYAHPPPTIDPNDPVQISFPTTEV VGHGSFGVVFATVIQETSEKVAIKKVLQDKRFKNRELEIMKMLSHLNIIDLKYFFYER DSQDEIYLNLILEYMPQSLYQRLRHFVHQRTPMSRLEIKYYMFQLFKSLNYLHHFANV CHRDIKPQNLLVDPETWSLKLCDFGSAKQLKPTEPNVSYICSRYYRAPELIFGATNYT NQIDIWSSGCVMAELLLGQPMFPGESGIDQLVEIIKILGTPSKQEICSMNPNYMEHKF PQIKPIPLSRVFKKEDDQTVEFLSNVLKYDPLERFNALQCLCSPYFDELKLDDGKINQ ITTDLKLLEFDENVELGHLSPDELSSVKRKLLPRSK SPAR_M02570 MGNVPGKIDQEDSFNDTRPDSSYNTASSNSVTKQYDEEASSRLR TRRTTSLVNNILNGNNTRTRAGSNLSSNSRRKTSREKELAKEAHAKQLVVRSKETVDG GFLAPFGCYSFEKLDYDATVVKNLIIKRKLAPFYTPLQDFDESWTRDELIKIVDGLPL HDTFDEYLEEFEDVPIGNLRKTTFNELIDKSLSKKEQRRMHAKIFRARLYKKRILWQE NENETFLEKKLEMKKIGSNSNNVGDNRSNQQKKNYHLPSDDLKYTLYKNGSECPICFL YFPGPFNYSKCCQQPICTECFVQIKRADPHFPHEEVDPTEPQTNDDEKDPNLLTSEPA NCPYCATASFSITYKPPTNRETGIGGMPADSYVYRDGTISRPDGGQSSISVITSDTIR PDWEIKLNKERTRLMRRSANATAIHISNRLIDPNHSTRRNTSHSIAPVQDESTSASRS PEPTINELEDQMVREAIRLSLEDQDNRKKSKNRNAALRP SPAR_M02580 MAISKNLPILKNHFRKHWQERVKVHFDQAGKKVSRRNARAARAA KIAPRPLDLLRPVVRAPTVKYNRKVRAGRGFTLAEVKAAGLTAAYARTIGIAVDHRRQ NRNQEIFDANVQRLKEYQSKIIVFPRNGKAPEAEQVLSAAATFPIAQPATDVEARAVQ DNGESAFRTLRLARSEKRFRGIREKRAREKAEAEAEKKK SPAR_M02590 MSAVPSVQTFGKKKSATAVAHVKAGKGLIKVNGSPITLVEPEIL RFKVYEPLLLVGLDKFSNIDIRVRVTGGGHVSQVYAIRQAIAKGLVAYHQKYVDEQSK NELKKAFTSYDRTLLIADSRRPEPKKFGGKGARSRFQKSYR SPAR_M02600 MEENKLSGNKPIQLASWSNQTGSPENNGSDVQSVIQKALNLIRQ LNNNGLMSPMEEDHSQPSSSQETLSVDREINEQSRLRLIQTKGNNLQKEVDTYSGLVD PVYARENMLTVLQSLVSHLNQAVSQIQQLKFKNMILTSNENNIQSRHEVEDNLQRQQF ERMKCQFLLERQSLKNQLRKRENKIVKYKQKIIEKNKKLNNLAKVLNQHAISDTSQID SFSSSAKKTPSSTTTPQETKSDMLNTLGILATHVLKDEIDDDSGNQTILQLAAGSISN DCNTTELEITCSPEMGKTVPHNRPNTKVELVQSPHGNRTLQLPKMKSFSTIDGSIKDI K SPAR_M02610 MIRQSLMKTLWANSPRFSLQSKPGLANCAKNRSFHAARNLLQDK KVILQKVAPTTSVVAKQSFFKRTGKFTLKALLYSALAGTAYVSYSLYREANPSTQVPQ SDTFPNGSKRKTLVILGSGWGSVSLLKNLDTTLYNVVVVSPRNYFLFTPLLPSTPVGT IELKSIVEPVRTIARRSHGEVHYYEAEAYDVDPENKTIKVKSSAKNNDYDLDLKYDYL VVGVGAQPNTFGTPGVYEYSSFLKEISDAQEIRLKIMSSIEKAASLSPKDPERARLLS FVVVGGGPTGVEFAAELRDYVDQDLRKWMPELSKEIKVTLVEALPNILNMFDKYLVDY AQDLFKEEKIDLRLKTMVKKVDATTITAKTGDGDIESIPYGVLVWATGNAPREVSKNL MSKLEEQDSRRGLLIDNKLQLLGAKGSIFAIGDCTFHPGLFPTAQVAHQEGEYLAQYF KKAYKIDQLDWKMTHSKDDSEAARLKNQIVKIQSQVEDFKYNHKGALAYIGSDKAIAD LAVGEAKYRLAGSFTFLFWKSAYLAMCLSFRNRVLVAMDWAKVYFLGRDSSI SPAR_M02620 MKAIKLTGHERPLTQVKYNKEGDLLFSCSKDSSASVWYSLNGER LGTLDGHTGTIWSIDVDCFTKYCVTGSADYSIKLWDVSNGQCIATWKSPVPVKRVEFS PCGNYFLAILDNVMKNPGSINIYEIERDSATHELTKVSEEPIHKIITHEGLDAATVAG WSTKGKYIIAGHKDGKISKYDVSNNYEYVDSIDLHEKSISDMQFSPDLTYFITSSRDT NSFLVDVSTLQVLKKYETDCPLNTAVITPLKEFIILGGGQEAKDVTTTSANEGKFEAR FYHKIFEEEIGRVQGHFGPLNTVAISPQGTSYASGGEDGFIRLHHFEKSYFDFKYDVE KAAEAKEHMQEAN SPAR_M02630 MAARNRRKNNKKKSLLGTSAAQEKNATYVLVAEELQENTIDVNM GTVAPPTGHHENSMPAKEFKHQQKLEPIDEHEDGEDELLMKFKSMTKCSGPVTEADVQ KLLLSYAFTSASIQEDENEEDEGEWLRHPIKSSSPSASSLSAYFQSFVEKCKQVFYKF SLHIIEKLSALQNSLYEVFWIIVIYVNYWFPNVGDYLRYVCHNFFHNKAIVKLLTCIF TCNLNHLHPMNKHNIERRTSPAFKRLSFLQ SPAR_M02640 MVSTATFFFFIYLLLFVVIGFFSSLFIIPLLGISFVFAIGVVSF GFCSNMSFKMAQLVYVRADTFLKKVLDKMALQTEAAQPQEPQEPGEPQEAPSTLRPVS NPTIPSPLRQTARPSKFVTEEDVIFEPVSAQSAIARSLETTAKKAGNGFQLS SPAR_M02650 MQFFKTLVILVSCISVALAYVAQDVHVSFPSTKGKTSVMIGKVQ PKQGTDGTAPTTIAVDNPNEVIQVNFAIDSANKPFQNTLLVGLPNKNLEMTFEPEIKD NGKLSMYNYKIDLAKLDAALLQEASRSVEPIKATLILASSTAKPKENLFREILQLDLN FNVDHSDSSLVDKFSIKPEIHHIFHTEPKRVAKPIAVIFVAIIVITILSLIVTWLNSC AAAFNNIPTGINAVYFLGFIATIVGFEVIFARYYLGTSIFETLFSSLYLGAPGLLTST KFLRSFGQTI SPAR_M02660 MTIGTLPIWSKTFSYAIRSLCLLHIIHLYAYEFTETRGESMLPT LSATNDYVHVLKNFQNGKGIKMGDCIVALKPTDPNHRICKRITGMAGDLVLVDPSTIV NYVGDVLVDEERFGTYIKVPEGHVWVTGDNLSHSLDSRTYNALPMGLIMGKIVAANNF DKPFWDGSRHNIWGFKWINNTFVDVQAKSN SPAR_M02670 MSNEIVTNKSVTFVNNTTPVTITSSELDLTSCYQDDEVVIEIHA AALNPIDFMTHQLCNSYIFGNYPKTYSRDYSGVIIKAGKNVDNRWKIGDKVNGMYNHI YGERGTLTHYLILNPAKDVPITHMVEVPKEANDPYDDFVYAAAWPLTFGTAFSTLNDF KKDWNSDSKVLVIGASTSVSYAFVHIAKKYFNIGTVVGICSKNSIERNKKLGYDYLVP YDEGSIVENVRKLKQSVLENDRFDMIFDSVGNHDFFPVIDQFLKPKVKNSFYVTIAGN NKVNYKNISWRDFISLGSILTALNPFKKYNWRLGHPYPSNNFIEAGNEMIKKGTYKPP IDSVYEFEQYKEAIDRLMSNRAKGKVVVKMK SPAR_M02680 MLNLRNRENTSRFTNVSVIAPESQGQHEQQKQNEQQEQQKQPTG LLKSLNGFSSAPQPIFMEDPPSAASAELNDNPAWFNNPRKRAIPNSIIKRSNGQSLSP VRSDTADVPGTFSNSNGFNNVTFGSKKDPRILKNVSPNDNNTNNSGHSSDLGTIVFDS NEAPPKASLADWHKEDGLFSSKNDNIEDPNLPSNITFGGKLTATSSPFRSLENNSRAF NLFDKKMKATPNIASNEASAGSKEESSSNWDDNAVIIFGYPEAIANSIILHFTNFGEI LEDFRVIKDFKKFNLKNMSNSPSSITQKYPIYTGDGWVKLTYKSEPSKSRALQENGII MNGALIGCVSYSPAALKQLASLNKSDATKNNEASSETNLSLNGLRNYRKREGIFERSQ TKAVTSKVRNPEFKVSKNSSSFKNPRKLEIKDGRSLFLRNRGKIHSGVLSSIESDLKK REQAGKNKKSWLNRLNNWLFGWNDL SPAR_M02690 MNDWHEFNSAVKSMYCNAEGDSSSVINRLVDLAMKSDDPTFIRA VLVLKENVPKIDKQSRFLWLTSTINSMFYPPIPIFEASPLSWNNTEYCAPGSEELQRR YPGKAKLQNEEGYSGGIEQCQDVSDCSLVASLINLRAKNLNLPPIKQISPTKYHVNLC FNGSNKRLVTVDISQIPTSVDGEQLSLKSMDISDKIRELALLLVSQGTYSTDGSNISI DTYYLSGFLPEITQVDSYPFEKLWKFHNSNLCLMGVGTGDRSNDLIKPLVANHDYSVI HISYESRLVKLRDPRNSALNVEISYEQYLDNFKQLYLNWNQEKLFKHSQVLHFRYDTA RYNKFSIIADKPLFYLVNNSKVTETVWLLLESHLRNEDRKKSQSISFLNEAPECIVYP IEPPVNYGGNRIGLQLVKLRLDPEAEILLYCHSTINNNFSIHSFSVVKEICFQRLKDI NSLSAKVLFAFTHKTDGKTSFDTYNFFQNPTFELEVHSEEDYQILMDATCISTSSHDL INIQVYHFNDYELAQPIMFDNHYQPGQSLKQDVPILTNIKYIIVCSTYDPPASSEFEL VTSIRLSSSWRLISGINLRSVNMIYGAYPYHCHNRFRWKKTSNKIKILMALPTKKYST NRLFIRVVPVESSARLRMRCNIFEPESSLCIYECQEYRTCPSGGIVIPDLEISRISTV VLMVEKSVPISGSLPTEVHLDELELFVGSSQKIRIENTPMV SPAR_M02700 MDSTNHFGYLKSFVGGNVVALGAGTPYLFSFYAPQLLSKCRIPV SASSKLSFSLTIGSSLMGILAGIVVDRSPKLSCLIGSICVFIAYLILNLCYRHEWSST FLISLSLILIGYGSVSGFYASVKCANTNFPQHRGTAGAFPVSLYGLSGMVFSYLCSKL FGEDIEHVFIFLMATCGSMILVGYFSLDIVSNREGDDASIKEWELQKSSETDDNIVPL YDNSNDYIGSPVCSSPPATYENFALSDNFQETSEFFGLEDRQLSNRPLLSPSSPRTKY DVEGESTIKCTMDENSAQKSMRSHVLQSLKSSTFIGYYIILGLLQGVGLMYIYSVGFM IQAQVSSPPLNQLPINAERIQSLQVTLLSLLSFCGRLSSGPISDFLVKKFKAQRLWNI VIASLLVFFASNKISNDFSSIEDHSLRASKIFKNISVCSAVFGYSFGVLFGTFPSIVA DRFGTNGYSTLWGVLTTGGLFSVSVFTDILGRDFKANTAGDDENCEKGVLCYSYTFTV TKYCAAFTLLFVLVIIGCTCYRRRATANSP SPAR_M02710 MSHKSTILPFLIKFTPKFPQSIDYDEHGLNVYAFDLDHTIIKPK SPNIKFSRSATDWQFMNFNSNKSTLDYLFNITNNDPTAIIVIFSNQGGVITVPRTSKS CTKYTNKILLFLKAIKNDERGETLSPRLWLYAAPKRPKTFATNNCKITFPGSGESYNN DPNIFEKVRKPMTGMAEFFKRDIEDSYRISESIPPIKLNWVYYCGDAAGRKNDFSDSD IKFAENLRVEFKHPEEIFKG SPAR_M02720 MLRTLRRSVLAGSRRSFNVYSGLPQKQLLLFSPSLLRARYSSTS GSTKTSNKPAKIDAPGFKKIFLVAIIGTLIFVKTVQSLDKNKPKTTLSEEEFENVVKG LKRRVAIFPQGKVDIKFSLSPSIEETRKILQKSQGDDISELRFVDPAKVIDYYRTSKD DRYEALLNDYYKKYGPDTYIYNLPTGMLVMLLGRYFKENFKSGDKLVVVNFPHSISDA TKFENEVSIVSKILVPRKLSGSDICKYYETVGKADII SPAR_M02730 MSLVKLANTCAHLQNCSKVRVALTSIPYTKLQLQFAYNLYQQGF LSSLQKGSTMGPDKDFVEVTPDNISTRRLWVGLKYRDNKPVLSSCRLISKPNSRIHLP MEEMKKLCSGVTIRNIKPLQPGELILVRAQNSIMDINEAISKKLDGEVLCRVK SPAR_M02740 MEELLIRRLTDRNNKEAHLNELFQDNSGAIGGNIVNHDDALLNT LTILQQELKSKEQEIRRLKEVIALKNKNAERLNDELISGTIENNILQQKLSDLKKEHS KLVARWLKKTEKETEVMNSEIDGGR SPAR_M02750 MDVEQQKQKQQQQHQTQEQEQEQEQEQEQEQEQEQPREEQQEVQ PQQPRQQNRWKPWWNSTADDHVDTGRIAEQSTGSERSNSTTRFQDGVNSNNDNKGIWS KIASFATSRYRSVPIVVDDNTRYSQLNTEQINFLENEAKDIISKKSKSWCWYEAIPNT LTSSNIIDSIDTPGIVSVSGTGSAKCPLPLNKYPGEGGNPGYNVFINDSLILPSDNPL NFLHVQPLRTKVLNTIKNYYNFPNEPHLYLRQRRTALLKDKRIIIISVVGDLPEKYEQ RSLESQRSAYYLSRKLSQNLTQEQPERVLTLSFQCPLHSQDLIPTYKECVELLNHWAH LFKDVGSIFFVGVYHSVPLTLLLAKYIVQNNEVLEFDENTTVSVLSFQSCLQGYRFWD HSTDFTSNSYNNLGSNSNNNENDNNDHDSNNDFMTKSQQIKEKQLFQGIDKKQQDTLS KIKNYRKIDSSESKLVQDALDWLLFNWDSFRLTFFGKLYDNFMTISEKLAIDYNHPKI LRNLWCNGKYLGIDLKNANNLNLDTGDEANSSINDVHVRTPNFESRLKIPSNRLFEIT LWDILMITENLGYKQFIPIINLLSPFFISRSFNDYTLPPNIRKQYQSSTKIWLQEMDN KWKINAHQLNHDQREGESLASSSASLLPEDISTVRDFLQFVQYQSEKSSDFVRIYSDI YDDDKVYKCFLYNTIFTKNPLNPKHLCLNIDLDTPTSILNTVNQYDLVWKVHDSFSKL IQLKNLPQRGIPYALRLSISLNCFLDSTTTTSGPIFQRDNVEALRRLTEIWRTYQDWS PPTRGLKHLRDILSVLAMYDNPKNLINDVRRT SPAR_M02760 MSFTEDQEKIALEILSKDKHEFYEILKVDRKATDSEIKKAYRKL AIKLHPDKNSHPKAGEAFKVINRAFEVLSSEEKRSIYDRIGRDPDDRQMPSRSAASGF QGSAGGSPMGGGFEDMFFNSRFGGQRAGPPEDIFDFLFNAGGSPFGASPFGSSASTFS FGGPGGFRVYTNNRGGSPFMRQQPRSRQQQQQAEENAVNSQLKNMLVLFIIFIVLPMI KDYLFG SPAR_M02770 MGIADGQRRRSSSLRTQMFNKHLYDKYRGKTDDEIELEDINENK TFSTTDNNDKDDREETSGNYAAEEDYEVEEYGNPDVSYGIITKILDTILDRRRAFHSK DGRHIPIILDHNAVEYKQAATKRDGHLIDERFNRSYCDNRITSSRYTFYSFLPRQLYA QFSKLANTYFFIVAVLQMIPGWSTTGTYTTIIPLCVFMGISMTREAWDDFRRHRLDKE ENNKPVGVLVKDGVHDPQEVYTLPSSVLSSTAYLTKSAVAENDPPSNDDQDSTQRHFL DTHFNNFELLKNKYNVHIHQKKWEKLRVGDFVLLTQDDWVPADLLLLTCDGENSECFV ETMALDGETNLKSKQPHPELNKLTKAASGLANINAQVTVEDPNIDLYNFEGNLELKNH RNDTIMKYPLGPDNVIYRGSILRNTQNVVGMVIFSGEETKIRMNALKNPRTKAPKLQR KINMIIVFMVFVVATISLFSYLGHVLHKKKYIDRNQAWYLFQADAGVAPTIMSFIIMY NTVIPLSLYVTMEIIKVVQSKMMEWDIDMYHAETNTPCESRTATILEELGQVSYIFSD KTGTLTDNKMIFRKFSLCGSSWLHNVDVSNSEDNSEDNRDNANYLRLPPKAHNGSSID VVSIGDQNVLDRLGLSKASVEKERCPSLDDFPASRNSIEYKGNSSAIYTGRPSMRSLF GKDNSHLSKQASVISPSETFTDNIKSSFDLIQFIQRYPTALFSQKAKFFLLSLALCHS CLPKKTHNERIGEDSIEYQSSSPDELALVTAARDLGYIVLNRNAQILTIKTFPDGFDG EARLEDYEILNYIDFNSQRKRMSVLVRMPNQPNQVLLICKGADNVIIERLHDRELAAK KMADICTSTKERKDAEAELVLQQRKSLERMVDEEAMARTSLRNSLSGVPRASLSLQAV RKSLSMKNSRSLDPEKQIDSIDQFLETVKKSDQEIGSVVNKSRKSLHKQQIEKYGPRI SIGETRSSKDNLAMGSRKEGLQHDYDAEIFEHIGSDELILNEEYVIERTLQAIDDFST EGLRTLVYAYKWVDIGQYENWNKRYHQAKTSLTDRKMKVDEVGAEIEDGLNLLGVTAI EDKLQDGVSEAIEKIRRAGIKMWMLTGDKRETAINIGYSCMLIKDYSTVVILTTADEN IISKMNAVSQEVDSGNIAHCVVVIDGATMAMFEGNPTYMSVFIELCTKTDSVICCRAS PSQKALMVSNIRNTDPNLVTLAIGDGANDIAMIQSADIGVGIAGKEGLQASRVSDYSI GQFRFLLKLLFVHGRYNYIRTSKFMLCTFYKEITFYFTQLIYQRYTMFSGSSLYEPWS LSMFNTLFTSLPVLCIGMFEKDLKPMTLLTVPELYSYGRLSQGFNWLIFMEWVILATT NSLIITFLNVVMWGMSSLSDNTMYPLGLINFTAIVALINVKSQFVEMHNRNWLAFTSV VLSCGGWLVWCCALPILNKTDQIYDVAYGFYNQFGKDITFWCTSLVLALLPITLDIVY KTFKVMMWPSDSDIFAELEQKSDIRKKLELGAYSEMRQGWTWDKDPSTFARYTDKVLS RSRTNSRASAKTNNSSAYSMENGNIDHVSKKKNFRNSSKKSSERYEILPSGKLIKRPS LKSQSSKESIGGNITTKFTKKLKLPSRNAEEEDVNQIIQARLKDLE SPAR_M02780 MTRNSRPPPLQALGLQISSKLKSGEEDGFMSSSLTLDSDTILSG SESNGQEFYSTWRKPSQLSSRSVLHEYSPTIVGSNDGTFSPIVVQKSTKFFNWDNIIS RIFMQQPFSVTHQFFEEFQYSIITSHFLNDMNHYRLSLHLNQSIMNFHKSSALLKKVP PKSLPFMATKYGKLAVVENKKLYLKQNFNYLSMIIISYRVLRLLKKYCTKKNSPSLKR VVGSILVAVYLSIQQEYFRRHLVCYKTLLKIRKVLESLQQVDVMIHKYHLRFKEIKNQ RFISRVSLISNADEHSSVIEELLIFSSDALFYKLKTVIPDIVIFSDTSELSKYCELYG IDVSILYYNSTATVKDLDGKIYRLKLLKKFMLCCLLSLNMTGKANFSNSSMQNALNKI FPDYTVKVQLKKTYNPIGTFQNIVSLLRELHSLLSVVLVSLNDHKQILYAFPEEALTT IGNEGINVCSFSKSDELFQALNYLKAIENNLLSIDVRNGITENDRNIIEDKLEELTTF WKTSKACNNIAKIKKVPTTNTINRGFHLDILKGRKSPCSSPVKGLSLERKVDFIDVDE SENESLENHTELEDCEDYDGQEEYASGMHENHRVGFNGSNNFERPDFKQLSDNELRRK LDERILKLAQENREGRERLRTAKSFELLRRTQAPIPVQFGFQKPLKGYAFPESRPLSK CKVSSEETIPIMYELEGLLGNDS SPAR_M02790 MDNTSNINTNERSKNTNFSSAPNSRSMNSHNQLQFDGNSRVFVS DAMAKNSKQLLYAHIYNYLIKNNYWNSAAKFLGEADLPLSRINGSPSGETTSLNSSLK HGMMDIASKGDIASEDGLLPSKMLMDANDTFLLEWWEIFQSLFNGDLESGYHEDHNPL RERIVPILPANSKSNIPSHFSNLPPNGVPPTQNSFPVTEENFRPNGDGNNFNLNDPTN RNVSERFLSRNKGGYDKPNSSNFAADTTINSDTTGQQYATMNLHKHFNDLQSPPQPQQ SSQQQPQQPQPQQPQHQPQHQPQQQSQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQTPYPIVNPQMVPHIPAENSHSSGLMPSMPPASQQ FSTQNQPSMFPDQQRFFQYQLHHQNQGQAPSFQQSRSGRFDDMNAMKLFFQQQALQQN SLQQNTGNQSYQSNTRNNAAEEATPTSDNNANCNSLLQERIRAQFNKMKTIPQQMKGQ SSVVNPVISDLTSQQQYMHMMMQRMATNQQLQNSGFPPDANRVPPPNATMPLQAGNMG PPIIENAGMRQTNQSGPNPMINMQPLYQNVSSAMHAFAPQQQFHLPQQYKTNAPVSQN DPTVVFPLPNNNSNTPTVSQPSSKRTSSSSTTPNISTTIQPKRKQRVGKSKTKESRKA TAAQKVMKSKKLEQNGDSTATNFINVTPKDNGGKATVKAQNSDSQQQPNGSFAMDTET FDIFNIGDFSPDLMDS SPAR_M02800 MQYVGRALGSVSKTWSSINPATLSGAIDVIVVEHPDGSLSCSPF HVRFGKFQILKPSQKKVQVFINEKLSNMPMKLSDSGEAYFVFEMGDQVTDVPDELLVS PVISAASSPPQSPETSILEGGTEGEGEGENEHKKQEKKVLEEPDFLDINDTGDSISNN GETTGSLSSAASSTTTPPDLIEERKLAKQRTKNFQQKLNKKLTEIHIPSKLDNNGDLL LDTEGYKPNKNMMHDTDIQLKQLLKDEFGNDSDISSFIKEDKNGNIKIVNPYEHLTNL SPPGTPPTMATSGSVLGLDAMESGTTLNSLSSSPSPSDTEDETSFNKEQSCSSESTNK NGSTENGKAEKRYIRTIRLTNDQLKCLNLTYGENDLKFSVDHGKAIVTSKLFVWRWDV PIVISDIDGTITKSDALGHVLAMIGKDWTHLGVAKLFSEISRNGYNILYLTARSAGQA DSTRSYLRSIVQNGSKLPNGPVILSPDRTMAALRREVILKKPEVFKIACLNDIRSLYF EDCDNEMDTEEKSTPFFAGFGNRITDALSYRTVGIPSSRIFTINTEGEVHMELLELAG YRSSYIHINELVDHFFPPVSLDSVDSRTNTSMVPGSPPNKTLDNAASENTSVHKTLFR GNQEEKFTDVNFWRDPLVDIDNLSDISKDDSDNTDEDTDVSQQDSTSSNRRNSVNTVK ATKLPQKHVGSSSNNEVLAASSDVENASELMGSHSSSGSTPNKSAMSKGDIGKQIYLE LGSPLASPKLRYLDDMDDEECNTSGSKSRRASSAAATSIDKEFKNLSVSKAGAPTSNV SEINVLDDVHSRENSDTESRSEQSDGETGRNQLPHNSMDDKDLDSRVSDEFDDDEFDE DEFED SPAR_M02810 MNSWNLSSSIPIVHTPHDHPPTSEGTSGSNRKDDKSKHEERAGS DDLSPIWHCVVSGGIGGIIGDSAMHSLDTVKTRQQGAPNVKKYRNMISAYRTIWLEEG VRRGLYGGYMAAMLGSFPSAAIFFGTYEYTKRTMIEDWQINDTITHLSAGFLGDFISS FVYVPSEVLKTRLQLQGRFNNPFFQSGYNYSNLRNAIKTVIKEEGFRSLFFGYKATLA RDLPFSALQFAFYEKFRELAFKIEQKDGKDRELSIPNEILTGACAGGLAGIITTPMDV VKTRVQTQQPPSQSNKSYSVSHPHVTNGRPAALSNSISLSLRTVYQSEGALGFFSGVG PRFVWTSVQSSIMLLLYQMTLRGLGNAFPTD SPAR_M02820 MSLRIKALDASVVNKIAAGEIIISPVNALKEMMENSIDANATMI DILVKEGGIKVLQITDNGSGINKSDLPILCERFTTSKLQKFEDLSQIQTYGFRGEALA SISHVARVTVTTKVKEDRCAWRVSYAEGKMLETPKPVAGKDGTTILVEDLFFNIPSRL RALRSHNDEYSKILDVVGRYAIHSKGIGFSCKKFGDSNYSLAVKPSYIVQDRIRTVFN KSVASNLISFHISKVEDLNLESVDGKVCNLNFISKKSISPIFFINNRLVTCDPLRRAL NSVYSNYLPKGNRPFIYLGIIIDSAAVDVNVHPTKREVRFLSQDEIIEKIANQLHAEL SAIDTSRTFKASSISTSQPGSLISSNNTIENGEERKTLRQAQVVENSYAITNNQLGRA KRQENKLVRTDASQAKITSFLSSSQQFNFNGSSMRRQLSQSKLKSVSRSQEREQLTLK ESEQLRDANSSSDNELKDQPRKRQKLGDYKIPCIIDGEKNTLPVSKDGYTRVPKERVN VNLTSIKKMREKVDDSIHRELTDIFANLNYVGVVDEERRLAAIQHDLKLFLIDYGSVC YELFYQIGLTDFANFGKINLQSTDVSDDIVLYNLLSEFEELNDDTSKEKIISKIWDMS SMLDEYFSIELVNDSPDNDLKSVKLKSLPLLLKGYIPSLTKLPFFIYRLGKEVNWGDE QECLDSILREIALLYIPDMVPKVDTSDMSLSEDEKTQFIDRKEHISSLLEHVLFPCIK RRFLAPRHILKDVVEIANLPGLYKVFERC SPAR_M02830 MFNRTTQLKSKHPCPVCTRRKVKCDRMIPCGNCRKRGQDSECMK STKLITTSSSKEYLPDLLLFWQNYEYWIANIGLYKTKRRDLSRIPSNLETDIEECIFW MNYLQKDQSFQLMNFAMENLGALYFGSIGDISELYLRVEQYWDRRVDKKHSVDGKYWD ALIWSVFTMCIYYMPVEKLAGIFSVYPLHEYLGSDKRLNWGDGMQLTMCQNFARCSLL QLKQCDFMVHPDIRFIQAYLILATTTFPYDEPLLANSLLTQCIHTFKNFHVDDFRPLL NDDPVESIAKVTLGRIFYRLCGCDYLQSGPRKPIALHTEVSSLLQHSAYLQDLPNVDV YREENSTEVLYWKIMSLDRDLDQYLNKTSKPPLKTLDAIRRELDIFQYKVDSLEEDSR SNNSRFQKFIALFQISTVSWKLFKMYLIYYDTADSLLKVLHYSKIIISLIVNNFQAKS EFFNRHPMVMQTITRVVSFISFYQIFVESTAVEELLVDLTELTANLPAIFGSKLDKLA YLMERFNKLKQLWDKVQLLDSGDSFYHPVFKILQNDIKIVELKNNEMSSLIKGLGSLV PLNRLREESLLEEEDENNMEPRDFRNIVEEFQSDYSISDVLS SPAR_M02840 MPNLYTDLEIPQLEISLKQPLGLFINNEFCPSSDGKTIETVNPA TGEPITSFQAANEEDVDKAVKAARAAFDNVWSKTSSEQRGIYLSNLLKLIEEEEDTLA ALETLDSGKPFHSNAKQDLAQIMELTRYYAGAVDKFNMGETIPLSFDKFAYTLKVPFG VVAQIVPWNYPLAMACRKLQGALAAGNTVIIKPAENTSLSLLYFATLIKKAGFPPGVV NVVPGYGSVVGKALGTHMDIDKISFTGSTKVGGSVLEASGQSNLKDITLECGGKSPAL VFEDADLDKAIKWVANGIFFNSGQICTANSRVYVQSSIYDKFVEKFKETSKKEWDFGG KFDPFDKDCVVGPVISSTQYDRIKGFIELGKKEEKLSMFQTSEFPVDGAKGYFIPPTI FTDVPETSKLLRDEIFGPVVVVSKFENYNDALKLANDTYYGLASAVFTRDIKKAHMFA RDIKAGTVWINQTNQEEAKVPFGGFKMSGIGRESGDTGVDNYLQIKSVHVDLSLDK SPAR_M02850 MVNLYTDLEIPQLKISLKQPLGLFINNEFCPSSDGKTIETVNPA TGEPITSFQAANEEDVDKAVKAARAAFDNVWSKTSSEQRGIYLSNLLKLIEEEEDTLA ALETLDAGKPYHSNAKGDLAQILQLTRYFAGSADKFDKGSTIPLTFDKFAYTLKVPFG VVAQIVPWNYPLAMACWKLQGALAAGNTVIIKPAENTSLSLLYFATLIKKAGFPPGVV NVVPGYGSLVGQALASHMDVDKISFTGSTKVGGFVLEASGQSNLKDVTLECGGKSPAL VFEDADLDKAVDWIAAGIFYNSGQNCTANSRVYVESSIYDKFVEKFKESAKKNWDVAG NFDPFDEKCIVGPVISSTQYDRIKGYIELGKKEEKLSMFQTSEFPVGGAKGYFIPPTI FTDVPQTSKLLQDEIFGPVVVVSKFENYDNALKLANDTCYGLASAVFTRDVKKAHMFA RDIKAGTVWINSSNDEDVTVPFGGFKMSGIGRELGQSGVDTYLQTKAVHINLSLKN SPAR_M02860 MSFKFLIESLIFGSISGHVRCGRSSVIPRDDVSYGEDDADELNM DIMLFAFGTLIVVYIVICVVYFFTKQIATRLITAYYNEHGPGQRISLFSDYDENNAHV HSRRLMENMSLRWPNNLDDVNEVRDKLAHLSPEEQFYYKQGEEYIKQNPPFLLNQGLL QQSEGGSAETTSEDPIMNEQTRQYIQEEGAYAWEFSPNPDMPNHTVIVENKTEVSFLN YNYDASISTNLPIPCINKVYYCEFKIFETDGPLNSDENALKSVISFGLSTQPYPYFRL PGRHHHSIAYDSNGARRFNDSFKLNEQLRTLFPQCEKGDIVGIGYRSRSGTVFFTRNG KKLNEKSVGGHIRGWKFQYLYPVIGSNVPCQIHVNFGTYGFVYIEANVKKWGYAKSNG IKLPPPSYEDYGKDTLLESGGEDNDFDDDFSDGDSDNIAAGSAINLNDDIIIRNGEIL PPPPGFEFTMSPPTGKEITNEEINLDSLPMLPPSYSDDEHHLKNDKSTTGRRIIGTSK NLITDEASFDSADNDNEYENDHERDPGQFSEFNDYESRMHGI SPAR_M02870 MLPITLKDGYRMNNQVDEDAIGINLGLSLPTHISPATGSESASG SNASTLRNDGNGLDGNLLTTPAAISASTGTSQQTETIGEKLSNEERVNSNVSASNSTT AGTGRMLSQSLTNESPSNEISTDQLKIFQRMDEMSARMIEMEESFNNLSNKIAEQNSM VLNLKQDNYKVLNKLNILLKLVAQPSARPSTINAQNKFAIELLNSISAVSSAYLQKMQ NNGSGKQHSTEPCIGLSNAHSGTSQNQATSETIDVNTNTAQLNSQFSNALSTILPDQQ HNRNSVSQNMNLSLPNRGVGPVLHSQANQNQSQILIQNASTHQRVNRSPVAFPNSSTD KPFKLNPNGIKRRRRNTQGNNNTSTNDLTNAKHKPIPALSPLINSHNSTTSMNYTNSS IHSGATSASNSFHDLNSLNNFATTTALSLPSLSLDNASFPSNPNVIHPINNNTQQPLS FSQLINQDSAASELLPSSNSAVNTNIVNRNKGSALPSYSNPMTTKSNVDDDGYQEDDD DDGDDEGDGRDNEEDSTAEEDEVDDEIETDMKNASFNKRKRNLYHKKSNSLNSRRKFH DESIIRLNSNPDLHYRILKAPTDVKTIWEEYDTGIRGKPSIKHLESKYGNKWRLNKNK KTFSRRKRLYKFILNGMEKGKTAQEMIETLENKRLYKDDEDGEVKKRTIGWLQESLAG I SPAR_M02880 MGLFDKVKQFANSNNNNNDSSNNNQTDYVSKAENMIGEDRVNQF KSKIGEDRFNKMESKVRQQFSNTSINDNNDDSYGSNNNDSYGSNNNDDSYGSSNKNKS SYGSSNNDDSYGSNNNDSYGSNNNDDSYGSSNKNKSSYGSSNNDDSYGSNNNDSYGSS NNDSYGSNNDDSYGSSNKNKGSYGSSNNDDSYGSNNNDSYGSTNNDSYSSNNDDSYGS SNKNKSSYGSNNDDSYGSSNKEKSSYGSSNNDDSYGSNNDNSYGSKNDDSYGSSNNDD SYGSSNKKKSSYGSSNNDDSYGSNNDNSYGSNNDDSYGSSNNDDSYGSSNKKKSSYGS SNNDDSYGSNNNDSYGSNNNDDSYGSSNKNKSSYGSSNNDDSYGSNNNDSYGSSNNDD SYGSSNKKKSSYGSSNNDDSYGSNNNDSYGSNNDDSYGSSNKKKSSYGSSNDDSYGSN NNDSYGSNNNDSYGSNNNDSYGSSSKNKSSYGSSNNDDSYGSSNNYGSSNRDKNSYGS SNDDSYGSSNRGGRNQYGGDDNY SPAR_M02890 MNTDQQKVNEIFQSSKEKLQGDAKVVSDAFKEMASKDKDGKVGI SDGTEKHDYQEQYNKLKGAGHKRE SPAR_M02900 MSNMMNKFAEKLQGNDDSHEKGKNSKSSNKERDNMNMGMGMGQG QPEGRMKMGQDQSETKMNAGRGIANDWKTYENMKK SPAR_M02910 MNGHDSVTKISHILNGPVTEKGMLQKQPNEGFKVTDIESEMQGQ SSIKQGKSPGRAATSTANHNFNPFFYTQFKSRAGAPFAPETIKGVDLIGPPEDIPARV FHEKTGLFYQISPHSIPTFTVAKKDLPDPIKFYELVQDLGTIYGCVKLKVQLDDDKFA QLNVDMDRFWFKARKQSFHSNEFQRAQIVDFHAKLYNFHNKTKRKSPLTRIPSIDKRI LNLYRLRSCVKLRGGFNAVCEKKLWAQIGRELGYSGRIMSSLSTSLRSAYAKILLDFD KYEEEQQAVRNNERKKDLVEPAILHRSNQRKRDGEKIIQKEEPFYKKTKIHRDVFRAG SINHEFKRMRDIKHIKGFPIYFDSVTEYKPGYTQSTEATLPGYDFTFWENGMEIYDKS KYETKASPVYNLRQYYEKSQAVFTAIAAKYGKTYPGLSANGTALPQKEFEKLYFHLLS EHFMDFEIDTGLGLPCSIRSPVNNSFNEKLTIKNILDQWNLDNIPLNELSLLKHLDLD MANFTRTTCDVGMLFSCQGWSVSDHFLPSIDFNHLGSTKLIYSIAPKDMEKFEGLIAR GKKEWDDFQSRPRYFTSDKERGSFLETDFYKSLLEVEQSADYSNAGNNSRNLFREKKI PGNTLHDGSQSDLIFEPNFILANGIELYKTTQEQGSYIFKFPKAFTCCIASGFYLSQN ATFAPMSWLKFSFEATKWTSKMGLLPGLDVNQLIINALINSDNPNLKKTCRNLISNYV HEEADCCKKLRELVGTVDVVYNKLDYISDMSLEPTGLSKIVMTNSALQRVLSLKEFLI LLERSENGTHSICGIPIRDEAGNLNICLHLYFDSASLDIALDGLDSPSTSCLVVLDEN FEKKWDALMTSTFKNRAVPLNIVQYLLSHTDSNTEFNRMLRSNFDDSLLLMEECRKFI TACVDFSCSAKDVDFGNGFNLHQLPLKFSNNMANKLESLYESVQRCSIEFSEKAAITR LYHVSRQFPVDNSDIIDGNNLDLLKELYQRSLTIPLKVSYWTKLTRKICRLEWLSVYE HIFIERNDIKNEDPAKYSLPLLYSYFEFGLKYCDSEDIDKIKKVRELILKYQDMMQKI RVFLKKDPPSKIALSDLEDVLLGIEEYRLPIQSSFFSELDCVIREIEHAKRMNDVDVF YNTDNIDRIDELIRKNDHKFMKFANHFNGSRLDKRPRASGNPEPMRAKQESKSYKLWS QHLSQIMQKNEFTKILPSVFRCLDIESDKYVPLENCATHQTKYCFCRRVEEGTAMVEC EICKEWYHVDCINDGKWVPPDDPNVLFVCSICAPPHMTVEDTKGLIFEFDDLKRLLIE SLKLSMIPDPPVLKNLFEIFALALKFKRKMETELLTKGHIKQSTSTHKIKYYLRKLRG SKCGFTDLTGPLRKHCQVRDAEAIKCLRDNGRIIITGIPN SPAR_M02920 MLRTCLRRPCIRITLPKVCPVLLVRKESLHISTGSKVEKGSKNK KDTDKVHVDISELKRQAEIERAAIKELEKNPQYQKLAEAFNTHNHVHLRESETEENDL ISLGTIRDYKSSKCEQAHKPSSLNLNSHSHSHGHTHSHATHNPLLVLSTEQIRKNAGV RITWVGLGVNVGIAIGKFFGGIVFHSQALFADAIHAISDMVSDLLTLLSVGLAANKPT ADYPYGYGKIETVGSLAVSTILAMAGISIGWSSLCALVGPIVPHAIIDTIGNLGHAHT YSEDIIEDVTDINAAWIAAASIAAKEWIFRATRKIAIDTNSNVLMANAWHHRVDSLTS LVALVAISTGYLVNIQSLDTIGGLIVSGLIIKAGGEGMCIAIKELIDQSVSRDDPRYL EIETLVKDTLNKLISNNNSQKPYELKELTLLSSGPNLRGHLTLEVPLQKWGNILGVNE FEVVTHHLRDVLTNDVSNLRRLDIEYVEEIKGEENEQGEGPENYKETVLTKHNHMHTH N SPAR_M02930 MVKVTAACIIIGDEVLNGKVVDTNSTFFAKYCFDHGIQLKEIAT IGDDETQIVDTVRRLVKNYDFIISTGGIGPTHDDITYECMAKSFKLPCELDEECKERM RHKSDPEARLDSDALKAHYQMATMPKGTNVRNYYVCDDLWVPICSISHKVYILPGIPQ LFARMLKAFTPTLKKIYNLDKDPREYVRYFVRTHLTESQISKELKLIQDESEKVSDAI KIGSYPHFGMGFNTVSILGEKKDDSYLKGIVNRVVNNLEGEVISSELEDKFSNQES SPAR_M02940 MSEVSQMTLKILYTLDNGSNGSYLARSRAPKQVRVANIPNPFST DTNERTELRIGAIHLKTILHEIYLNSPEVLDHDTLKDGHDYNLYYRDICEMDEPLVSL GLLSRLRRKFHKVQNSPYQYIENGMSEEESEERDEVTEEEYEDESFIVTGRVCSNVSA LLRRSYSNTSSKNGMVVNSQIPQETLEVKLRFTKVITNLRASGNSTTNSRISSLQMQS SVPSITLPFTPRTQVLSKTNQMKNSRNARTTITVNNTSNGTTGRRQTNPMPAPKAVRT QSLPIWNLKPNIANTGFPRNSIAHKIYLADRKTEANQQNNQHQNIAYEINTLQNDNTI QKTKIDDSVSKRFDFMLNKRKFTKKSSPSTVTIAKKPPSVNVNLKQALKTGSEKKASD KQTIVKVKSSYSKNFAKSTQVGRRRSSVVEHLSDNDNSILNDILSEPSNEGQKLQQKQ KQHKVSLTNENDKENIPPQSITSKENKLDDELDFNAEFPMSDFSDVVFKDEMGWFSNF NFFESPTSASVSHLNQQNLKPPSVTLNDPNTCNTIALENEDIGELETVQNNKISLPSD VDKTSPIDSLSIPLIELTHSSSTTNMQHAAIKEGLTLNIIDSNNDTPCDNDTKDRKAS IIDSDITKSQPGLMSFSTPADQPASDNNATASKKLISVPEVQQNKRSHEEVLDEEEEE EVLKKQKAIPSSPCGMFNYHQPMELSEAMVEGEREHNVGDDNESDKTNDLFSTFVHSG RGGSQVVTSPIGELQSMKH SPAR_M02950 MPDQPETSFSSRNSHEDVSTKKINTDVVPRLKSLHFSQTTKPSK SAGPSFEKAGNNLRISTEFHKHVCKVAWKHLACVDKPSIPHIEIEMKFGIIADKRTHH RIAPYNKPFIVQNRNGRLISNVSEKTFSNFQELLHLKSNNISKRLRTVKQVQTHTKDS IYNCNNVSKVAKLTSWRCSENLRDKEVKPTFIKKIRVKDFLIRYPQSSLDAKISISLE VPEYEKSAAFRNHSILQRVKNRYSYSFNDKVPLHLDLTKVTTTRRGSSRQSTTHEVEV EMDPIFKQTIFANDKEKFNEYMCLFLNTSDLIRKAAERNNMETA SPAR_M02960 MTPLLQAEAKMNTSLYLTENIQQREFNLTSPQSFYSSSVSNSKN NSDIFSYNTANNSTVSSSDEFTTQQDGMSTIMYKNNISKTFEDDIFYCPRSLLTPEEQ VVYQEIDKYYMEQALLTQLQISQTYSSTPKEEKTVKFNPYTSKSFSPASSE SPAR_M02970 MRGKQPKRNKENASVKRNYRCVGYPDCNMSFNRAEHLARHIRKH TGEKPFQCNICLKFFSRIDNLRQHQSSVHSDVDLMSLRRLQQSANNTANDPNATTRMF PQLHPYGIVVHPAPVPYNLPIATPTSPQNTISLYARPYFSHPVPSAPIPLPHQPPPLP IYSYMQPLFLNHTPIQNRNIVELPPDSSNTLASPSKMQTFDQANDASPNVKK SPAR_M02980 MSNANPYENNNPYAENYEMQEDLNNAPTGHSDGSDDFVAFMNKI NSINANLSRYENIINRIDAQHKDLLTQVSEEQEMELRRSLDDYISQATDLQYQLKADI KDAQRDGLHDSNKQAQAENCRQKFLKLIQDYRIIDSNYKEESKEQAKRQYTIIQPEAT DEEVEAAINDVNGQQIFSQALLNANRRGEAKTALAEVQARHQELLKLEKTMAELTQLF NDMEELVIEQQENVDVIDKNVEDAQQDVEQGVGHTNKAVKSARKARKNKIRCLIICFI IFAIVVVVVVVPSVVETRK SPAR_M02990 MAIKPTKSFQNCLEAEVPGYNDCPTVLFSIDPNSGPRSKSKQRT RTKRCGSGRLATEVLDLYGNTKTATTPPPVLKKPSATATQQESACEDVPVEDQGDRQL QTIPYSKDDLAAKINDLTGCSSKLSSKELEFYKKKLDSNVAKILQNDHTKTVLSQIFN EKDKNVAVKTIKHWMVTDTTISNWCPAFLKLFENAMPN SPAR_M03000 MVENMSEDKRQKINIHDILNRKPQLTKKTPLDVFFEDLDDNIIT PINRCVLDSVTLSSIYQALKCSSNNEFVVVLLQNFENLHIQVLEQQRMLVESKSELLP ISLHDMKYVDELINLLIIHGIDANLPLTMKIPLDSKRLNAFKKGERSAQYETPRWHTI NSDTLSQVITVFYNVLTNERSSDYLRNIILKGNAYANILLGLIVLHVQLPSKYTSEMI GNLEDTQETYSLFGVYTLLAETIQDEKVRESILSKLTTLALRRPENGLVSLIDFVLGV RDAEDIDIEKFSRIYQILMSKPKTMTNLQYLTGLFKQIYDGLTFVNRPILVTCLNGLI LKFFIRNKRIVNDFLFRKVRSIIFNSPLIDHSAKELNDVINVLISLSKNSSTDLLNEL VTGCAKEDGTSAGQFFLNIWIYALFLKKNQKLDPLEINKLSISKDKSTESINFPKESS SNYYQVVLSLLKSLIVITENFQNLNLLSLNLVNFEHEKWKYLIDLDTQLPYISVKNTD TSDLFPEKGSKNAQMTDFFQDMDLSIELFMEFLVLLNDEEQSKILFLEILKRWVHHTK ESGKRSSADLSAMSSVSDNALILMDLKLLERMNDQFKTSIVNKPKDVLIVIDQLIDVV QAKDETQEVEADSDDEEEVGTEKLDSNEAPSHKIILRLLSSVLSESSSSILLQNSSTL KSISRKLKSFNIKAAESGALLTSIENILINGHAIEKNGNKEIDIDKEMLDKAINNLHD PLVPIKSYGLTELRYLAEKKSQVISLEKVLQIHLYYLKDADPFIYLNVIKGLTTLCGL EPETILPPLVEFYANKKKKNRLDDVLKIGEVFINYIQCQNELFQGKLAYLIIDTCLNI VRPNDSAPLDNRWRMSSMSILGMCLQVNARGVSNRIRDMLDCVFGILQLEQPRKNLKG KDDSFLMRRSAVHLIHDLLYGTGLDLLPVEYNYDKLKTLLSYVHDQDEDYLVCEQINK LLTVLESF SPAR_M03010 MAGETFEFQAEITQLMSLIINTVYSNKEIFLRELISNASDALDK IRYQALSDPKQLETEPDLFIRITPKPEEKVLEIRDSGIGMTKAELINNLGTIAKSGTK AFMEALSAGADVSMIGQFGVGFYSLFLVADRVQVISKNNDDEQYIWESNAGGSFTVTL DEVNERIGRGTVLRLFLKDDQLEYLEEKRIKEVIKRHSEFVAYPIQLLVTKEVEKEVP IPEEEKKDEEKKDEEKKDEDDKKPKLEEVDEEEEEKKPKTKKVKEEVQELEELNKTKP LWTRNPSDITQEEYNAFYKSISNDWEDPLYVKHFSVEGQLEFRAILFIPKRAPFDLFE SKKKKNNIKLYVRRVFITDEAEDLIPEWLSFVKGVVDSEDLPLNLSREMLQQNKIMKV IRKNIVKKLIESFNEIAEDSEQFDKFYSAFAKNIKLGVHEDTQNRVALAKLLRYNSTK SVDELTSLSDYVTRMPEHQKNIYYITGESLKAVEKSPFLDALKAKNFEVLFLTDPIDE YAFTQLKEFEGKTLVDITKDFELEETDEEKAEREKEIKEYEPLTKALKDILGDQVEKV VVSYKLLDAPAAIRTGQFGWSANMERIMKAQALRDSSMSSYMSSKKTFEISPKSPIIK ELKKRVDEGGAQDKTVKDLTNLLFETALLTSGFSLEEPTSFASRINRLISLGLNIDEE EETEAAPEASTEAPVEEVPADTEMEEVD SPAR_M03020 MVPSHFFLSFRKKRCICWICLEESTYDSTWLQHTCGCNLQIHKR CYIRWLYRMHVELFLPDSVDSPKGADLPIVTCLKCLVDGHHDFMTTFSLTEIWETRPI WGQKPVPFQDDYVFNLMSFYTKRNNHPPYVLVKFGECPQCKKTNFIGRPTITIQSPIL SLFYQWQKITRYVIPLGITSLFLLNPEKTSFDLGLWQLRCLFPENVLRNMLNISTTKA LDVYAQTERGLLSIPLTSSIIIYGFLHYLSNISNASANAILFKWVYLSIVKSTGNKYY KGIGLPKIILYSNLATFCYNFSFKRLLDLIYRRLINKGAKYLYHGDFENANHIVPVDE FFIRRSWYTVLAEKILWPFVGKYTGGLLLNVFLWVQRKFKIKWSPNCSPSEFRMIFNI VGCGTAAIGWSSLRLYASYKRCQELEKINGFIDQNCKDE SPAR_M03030 MARQNFLGLVVSQGKMQKTVKVRVETKVFNKKINKELFHRRDYL VHDEGEISREGDLVRIEATRPLSKRKFFAIAEIIRNKGQQFALYESEAQLSVAKEEAQ KAKEFLDKRLVRENKLNGKTTLLRDIRIVQDALSKGSTPKELLEIKQRYGIQDFSQET VRQLLQLNISELEVNLGKQRIMIDRIQTRLSELLSNDLKCDQFLKDHGVEDPLTLKKN IKKNLLRKHVMMDLQQPRQ SPAR_M03040 MLRTRVAAILYKATARSGTHYASLARARSFHSQSILLKAAVTDI SSTQYTRIYNPELKNVDKPLDTFARRHLGPSPNDVEKMLKTMGYSDLNAFIEELVPPN ILKRRPLKLEAPSKGFCEQEMLQHLERIANKNRYKVKNFIGKGYYGTILPPVIQRNLL ESPEWYTSYTPYQPEISQGRLEALLNFQTVVSDLTGLPVANASLLDEGTAAGEAMLLS FNISRKKKLKYVIDKKLHQQTKSVLHTRAKPFNIEIVEVDCSDTKNAVDVLKNPDVSG CLVQYPATDGSILPPDSMKQLSEALHSHKSLLSVASDLMALTLLKPPAHYGADIVLGS SQRFGVPMGYGGPHAAFFAVIDKLNRKIPGRIVGVSKDRLGKTALRLALQTREQHIKR DKATSNICTAQALLANVASSYCVYHGPKGLQNISRRIFCLTSMLANAIKNDNCPHQLV NQSWFDTLTIKLGNGLSSQQLLDRALKEFDINLFAVDTTTVSLALDETTTKADVENLL KVFNIENSTQFLFEDYSDSFPIEFQRTDEILKNEVFHMHHSETAMLRYLHRLQSRDLS LANSMIPLGSCTMKLNSTVEMMPITWPQFSNIHPFQPSNQVQGYKELITSLEKDLCSI TGFDGISLQPNSGAQGEYTGLRVIRSYLESKGESHRNICLIPVSAHGTNPASAAMAGL KVVPVNCLQDGSLDLVDLKTKAEKHSKELAAVMITYPSTYGLFEPGIQHAIDIVHSFG GQVYLDGANMNAQVGLTSPGDLGADVCHLNLHKTFSIPHGGGGPAGAPICVKSHLIPH LPKHDVVDMITGIGSNKSIDSVSSAPYGNALVLPISYAYIKMMGNEALPFSSVIAMLN SNYMMTRLKDHYKILFVNELSTLKHCAHEFIVDLREYKAKGVEAIDVAKRLQDYGFHA PTLAFPVPGTLMVEPTESENLEELDRFCDAMISIKGEINALAAGQPKGQILKNAPHSL EDFITSSDWDTRGYTREEAAYPLSFLRYNKFWPTVARLDDTYGDMNLICTCPSVEEIA SETE SPAR_M03050 MVTKPSHNLRREHKWLKETATLQEDRDFVFQAIQKHITNKRPKT NSPPTTPSRDEYGAGATNLLTSVPASASTNTATKQHEVLQTLSNDTEWLSYPATSNQY TDVPMVDIPASTSVVSNPRTPSGSKAHNFNTYPSHMGSSLVENDSSRNLDCRNNIKDL TDNSGTNKQFENNMKVEVIRLQSSLIAALKEQSKLLMQKCSIIESTSLSEDAKRLQLS RDIRPQLSNMSIRIDSLEKEIVKSKKDGTSKGHIKGRSQIPSQDDNIISSILPSPLEN NTSSRNSNLTNTAATTVTKALVITATKQTISNNTNKNSNNNSNDDDLIQVLDDEDDVD YDPPITLKEGAPQSPAFPPLHMSSEEQDELIRSRSMRSREPVNYRIPDRDDPFDYVMG KSLKDDYPDVEREEDELTMEAEEDAHSSYMTTRDEEKEESDLLNQSDFDFVVNDGLDP TQDTDYHDNLDVSANIQEKSQEDDTRSTITLSQNKNVQVILSSPTAQSASSNCQKLTG VEHIDLLEDDLEKDAILDDSMSFSFGHQHVPMSHSDLELIDSEKENGGYEEDNNNNDV EYLSDSDLERFDEERENRTQVADIQELDNDLKIITERKLTGDNNHRSPSWSPIIKMEK SSVCQKGEEDDFDDDFSLSDIVSKSNLPSKTNAPTYPWSDEVLYRLHEVFKLPGFRPN QQEAVNATLQGKDVFVLMPTGGGKSLCYQLPAVVKSGKTHGTTIVISPLISLMQDQVE HLLNKNIKASMFSSRGTAEQRRQTFNLFINGLLDLVYISPEMISASEQCKRAISRLYA DGKLARIVVDEAHCVSNWGHDFRPDYKELKFFKREYPDIPMIALTATASEQVRMDIIH NLELKEPVFLKQSFNRTNLYYEVNKKTKNTIFEICDAVKSRFKNQTGIIYCHSKKSCE QTSAQMQRNGIKCAYYHAGMEPDERLSVQKAWQADEIQVICATVAFGMGIDKPDVRFV YHFTVPRTLEGYYQETGRAGRDGKYSYCITYFSFRDIRTMQTMIQKDKNLDRENKEKH LNKLQQVMAYCDNVTDCRRKLVLSYFNEDFDSKSCHKNCDNCRNSANVINEERDVTEP AKKIVKLVESIQNERVTIIYCQDIFKGSRSSKIVQANHDTLEEHGLGKSMQKSEIERI FFHLITIRVLQEYSIMNNSGFASSYVKVGPNAKKLLTGKMEIKMQFTISAPNSRPSTS SSFQPNEDNTPVIAQKSTTIGGSVAANPPRFISAKEHLRSYTYGGSTMGASHPISLKN TNDLRSTQELNNLRMTYERLRELSLNLGNRMVPPVGNFMPDCILKKMAAILPMNDLAF ATLGTVEDKYRRRFKYFKATIADLSKKRSSADHEKYDTILNEESANGASASDSGIVQS TGTRSKFFDANPNEARENEQIINQIRQSQLPKNATSSKSGTRAICKPVKKSTNGRRGF RNYRGHYRGRK SPAR_M03060 MTVGGNNWSMWLRMSRVHLRQITKSLDRTLIVLSHGKFPLRYNH NIFNTWWKSLFDASVAFRRANSFNASPFTRRGITIFDRFKPVANVSKFATLPRVPKGT PRGLFTNWNMTTSKKLLGQRAYSTSSIKFTQEAVNNMTISLRCFFNSLDGLDQCSQSN HSKAFHNTSNVTSKQNYVQPVAFKKLSQKDINFIRDLELFKLMKTQNQVVDETNAYLV ERSGSYIEFIVPEFNLNGTSSAPLSFLNPSLLGDLDEIITNYKNELKSIYSSVDMILR NYGSLPTTFHRNKIRIHFPNSTVVETEKLIASLNITTGIIYADASPDISLEGAKLNAL VNDDKSTGTWTFIDEPPFPARNAFSPILSEASDDTYEFV SPAR_M03070 MDSNENISKEQSGLPLSPHQTQPEKDFDEIAPGDGISTTGGDEE AYLDKKVEVVAANASSGFGSNHSIKDSASIGDLSNPHEASMQTEMESQPQSKGLLPSE DLSQQLETEESKVEEALKKITSPPLPPRVDHIEKSTPALKSSSPPVPARNENDQASTN QPQLPPRQVVNAETLHLKAPHDNTISSIPSMNTVGNSPSPAPPTLPPRRIENPLDLAA HTHFLASTYKKNILFYKSEDNSIKSDLDKNILGLKEDSKKIDPHEIPGEVTSFWLKAI NDYQHILLNDIETLHIQLSRGIPAAYRLVVWQLVSYAKSKSFEPIYETYLTEEAPFDA QEFEDQLNEMDGVPSANVKGIGNVLKAYLLFDPECEFSIDMAYIINMIFDVCEKEANA FGLFVRLMKVYGLRLLFLPNASDIDILCYKFDRLVEELYPEIHNHMVEKGVRSSMFLP SFFTTLFQRKLPIEIQPRIGDMVFLESLDSIMGILATLLSNSRDQLLKMGFDDMLELL KSGLLDAYINQNDTLSSNDCMDRLLQDAMTKVEITPKTMKKYASEYEEIHRLDNEREV QYKSITEKNLHLQKHVRKLEDEYTSLNREHVTIANELVKNRLNIEYILNENNGYKLQI LDLKKKLDSEKKKQVSRVYVPNDLKKDLEETMKKNTQVMDENLKLQDRISELERLVET IKTANKNGTLFEYSNSKYHPLGVRWSGFRKVFK SPAR_M03080 MQQILRPLQLTRGFTSAVKNFRQWRLIETRKVTKQPDYKVGDIK PLHMPKERKEFPDYKYGESNIFKQSNKGLYGGSFVQFGNNISESKAKTRKKWLPNVIK KGLWSETLNRKISIKMTAKVLKTISREGGIDNYLTKEKAARIKELGPTGWKLRYRVLK RKDAIENYPHKDAPIIEMADGKKAKIYYNEVINGSPRKISVGRRKLMAFLYPLEKLEY RSIGKDLDHKKFVELFADVPVKDIVARLEDHEFDLSTITI SPAR_M03090 MAAKTGIAIGLNKGKKVTSMTPAPKISYKKGAASNRTKFVRSLV REIAGLSPYERRLIDLIRNSGEKRARKVAKKRLGSFTRAKAKVEEMNNIIAASRRH SPAR_M03100 MSNPCQKEACAIQDCLLSHQYDDAKCAKVIDQLYICCSKFYKEN GRESRSPCCPLPSLLELKIKQRNIKPGDS SPAR_M03110 MSSNYTTPLDDEVFPLSFANYQYTEHVTLGEDYSLNSSEDAKYN LSGTSVVPRDAGKFDLNMSSTLDETVFSLDNAQENIYKHQAMNNVQDCRMAVSAKTAQ SCDKLTDLYANAAQQNYRLWLSSF SPAR_M03120 MNKLRDKFVDSTVEEERLRENRNHEKYWYRWGPYLSERSWATVR EDYSSNGDAWSNFPFEHANARVFRWGEDGLFGVSDNKQLVCMNVALWNGKDERLKERL FGLTGPQGNHGEDVKELYFYLDNTPTHSYMKALYKYPFKKAFPYEELVQKNGERGYED REFEVYDIDGLYRDKETDDNPYFDVFFEMAKDDENPNELNFRLTIHNRSKIDSGELYI APQLFFRNTWAFDGTRTKDKPLLERDAKAANLINMTHKKYGNCQMVFQPSPGGFSSNT NEEEEDEEVEDIDPLLLFTNNESNLAKLFDEEKNPSEYTKDAFEEYLVEGKTDAVNPE NKGTKACAVYHFKNIPPGEYVTVRYKFTNNPENSIFKAQNLAVVDEDEFDLIFDNREE EADNFYWRITPLPISDELRNLQRQAFSGLLWTKQFYNFTYDAWYNGDANVKPRPPPNR ANGRNKNWKHLYIEDILSMPDKWEYPFFASWDTAFHCIPLAMIDPEFAKRQLDLLTRE WYMHPNGQIPAYEWNFNDVNPPVHAWAVYRVFKIERNMYNREDRTFLERVFQKLLLNF TWWVNRKDTEGKNVFEGGFLGLDNIGVFNRSEPLPTGGTLEQADSTGWMAFFSLQMLN IALELAKENPVYEDIASKFFEHFILISDSMSFEYATDITGEKCKDVVKQNLWNETDKF YYDAISWGDHKEQLPIRSLVGLIPLYASMTLEPSIIKQFPGFKKRVDWFVNNRPEIFD RNIASMSKKGVGERLLLSLVTKERLTAILSRLLDETEFLSPYGIRSLSKYHEKHPFEM NVNGVEYMVKYLPGESDSGMFGGNSNWRGPIWFPTSFLIMEALQRFYLYYGSDFKVEC PLGSGDYLNLAEVAEELGYRMIHLFVPDENGERAVHYGDHSKFLSSDPYFKDYVPFFE YFDGDTGRGLGASHQCGWTALVAKWISDVGISCVRLPRTPRSSVATTASTESSEQGHK MKRMARRKSAKSLVNYTATILDLTEEEKRHHRMGGTHSGLTPQSSISSDKARHLMEEM NEEEGIHETVVPEDRHNFETKLIGKLKDKVKNMKVTDKAKDEDIDPMDPMSPLNKDIS SPAR_M03130 MSSLLISYESDFKTTLEQAKVSLSEAPSQPLSQRNNTLKHVEQQ QEELFDLLDQMDVEVNNSIGDASERATYKAKLREWKKTIQSDIKRPLQSLVDSGDRDR LFGDLNASNIDDDQRQQLLSNHAILQKSGDRLKDASRIANETEGVGSQIMMDLRSQRE TLENARQTLFQADSYVDKSIKTLKTMTRRLVANKFISYAIIAVLILLILLVLFSKFK SPAR_M03140 MNNSKIPKLSFHSDPSGISRDFPKTKRQKIQKREMDMVLTPNNN KLNILHASESGIRRRYTDDISATHKKKLTFGGDPKVIERVKNNERKVRKDIDSLLNAI SEIEKESVRIHDRELPAITLELDAKVKACRELQNEIDGLSTEMDLKDNQCDLQRRNVE LSSKNIVSMHAVKVQEFENDLEEELSNAKREWTYKLMEVENLKPDEKLTDEMRQLKTE FEDVNRKLFILQNENEWECKEYENKLNKEFKMFKKIKNDARIELDREKERLTKILKEL QDSHDELKKNIKKCGDEFDGFEKKIEEAEMNFHCMELAIVPLRKDLAFTSQALTQVQE EKKQVEGEANNWRKKYANELEKVQQELYTRQNLATSIEEIKGYTRCFAYANEREMPDE FHVDYVDQCICENSGEKRVQAFDRVILEEIHKDHKRLYNECIPFLGKYISKLINCSII VVSQQPTAPMKMTLLKQLVEQYGENYKMTLKILGFDGSIRHNDVGLDNLAEIRDLSQD EECMSILTLDTKLRKGEESHSMNIYIGSMSTLQLNKGLDDAPSVLSHVLIKTKQCFVF KINAGENIEKALAIAGKLKRTIRLPQLD SPAR_M03150 MNHPEVKTGLIVTAKQTYYPIELSNAELLTHYETIQEYHEEISQ NVLVQSSKTKPDIKLIDQQPEMNPHQTREAIVTFLYQLSVMTRVSNGIFFHAVRFYDR YCSKRVVLKDQAKLVVGTCLWLAAKTWGGCNHIINNVSIPTGGRFYGPNPRARIPRLS ELVHYCGGSDLFDESMFIQMERHILDTLNWDVYEPMINDYILNVDENCLIQYELYKNQ LQNNNNNGKEWSNKRKSQSSDDSDATVEEHIGSSPQSTGLDGDTTAMDEDEELNSKIK LINLKRFLIDLSSWQYNLLKFELYEICNGVFSIINKFTNQDQGPFLSMPIGNDINSNT QTQVFSILINGITNSPPSLVEVYKEQYGIIPFILQVKDYNLELQKKLQLASTIDLTRK IAVNSRYFDQNASSSSVSSPSTYSTGTNYTPMRNFSAQSDNSVFSTTNIDHSSPITPH MYTFNQFKNESACDSAISVSSLPNQTQSGNLPLSSNYQNIILDERNKENRIPNSSSAE VPQRAKFMTTGIFQNTGELTNRASSISLSIRNHNSSQL SPAR_M03160 MWSKKFTLKKLILSGYLFAQKVYCENEDSSLYGTWSSKSNQVFT GPGFYDPVDELLIEPSLPGLSYSFTEDGWYEEATYQVSGNPRDPTCPMASLIYQHGTY NISENGTLVLNPIEVDGRQLFSDPCNDDGVSTYSRYNQTETFKEYAVGIDPYHGIYTL QLYQYDGTPMQPLYLAYRPPMMLPTETLNPTSSAASTDDASSNKKRSLRSLVRRSLEN RHKTNAIKKQNTSFLTSNAIWYISAGMLGVGSLLFLAF SPAR_M03170 MGKIRPSIRKQDYIEYDFATMQNLNGGYINPKDKLPNPGFADDQ EAESEFGSKKQKTLQDWKREQLERKMLYENAPPPEHISKAPKCIECHINIEMDPILHD VFKLQVCKQCAKEHPEKYALLTKTECREDYFLTDPELNDEDLFRRLEKPNPHSGTFAR MQLFVRCEVEAFAFKKWGGEEGLDKEWQRREEGKAHRREKKYEKKIKEMRLKTRAQEY TNRLREKKHGKAHIHHFSAPIDGGIDEDGYQVQRRRCTDCGLETEEIDI SPAR_M03180 MKFFPLLLLIGVVGYVMNVLFTTWLPTNYMFDPKTLNEICNSVI SKHNTEDNLSTEDLLQDIRDALASHYGDEYINKYVKEEWVFNNAGGAMGQMIILHASV SEYLILFGTAVGTEGHTGVHFADDYFTILHGTQIAALPYATEAEVYTPGMTHHLKKGY AKQYSMPGGSFALELAQGWIPCMLPFGFLDTFSSTLDLYTLYRTVYLTARDMGKNLLQ NKKF SPAR_M03190 MSTPTPLSEASQIPTIPALSPLTAKQSKGNFFSSNPISSFVVDT YKQLHSHRQSLELVNPGTVENLNKEVSRDVFLSQYFFTGLRADLNKAFSMNPAFQTSH TFSIGSQALPKYAFSALFANDNLFAQGNIDNDLSVSGRLNYGWDKKNISKVNLQISDG QPTMCQIEQDYQASDFSVNVKTLNPSFSDKGEFTGVAVASFLQSVTPQLALGLETLYS RTDGSAPGDAGVSYLTRYVSKKQDWIFSGQLQANGALIASLWRKVAPNVEAGIETTLQ AGMIPITDPLMGTPIGIQPTVEGSTTIGAKYEYRQSVYRGTLDSNGKVACFLERKVLP TLSVLFCGEIDHFKNDTKIGCGLQFETAGNQELLMLQQGLDADGNPLQALPQL SPAR_M03200 MVLSRGETKKNSVRSTPKQEKKPQSTFQSLKQSLKLSNNKKLKQ DTVQPSSDTSKSVKTKKIGTYSKRTGTQRKRISTQRFSLFTYGNVQVMNSFVPIHNDI PNSSYNRRNSQVSANNAAGTSRASFNDTQSQDSQNTIKLKPTSLMAKGPIEIYQICTG FDKVKEDMVPVQKSSKPSSHDGHVVNYLSIGRHGDIVHPVLPKLQITRLNGTGFKYFI SFYNPERYWEIEFLPLTCQSQSELENSVKAFENVINKICHFSHINEEATIGNNESLSD KFTVPPTLDMEPPNIELTDDDDDDLNYLLDEEDEHGCTDNSFSVISNTCSNLSASFLY PSDPTNAVSISINEAFKNAIRRTAPVLNIPIMAPSIHLKQQNKRYSSYSFIESSPYLQ DRHRRLQRRSISGLGDL SPAR_M03210 MTVTTPFVNGTSYCTVTAYSVQSYKAAIDFYTKFLSLENRSSPD ENSTLLSNDSISLKILLRPDEKINKNVEVHLKELNSITKTQDWRSHASQSLVFNTSDI LAVKDTLNAMNAPLQGYPTELFPMQLYTLDPLGNVVGVTSTKNAVSTKPTPPPAPEAP AKSGLSSKVHSYTDLAYRMKTTDTYPSLPKPSNKPQKAIAVMTSGGDAPGMNSNVRAI VRSAIFKGCRAFVVMEGYEGLVRGGPEYIKEFHWEDVRGWSAEGGTNIGTARCMEFKK REGRLLGAQHLIEAGVDALIVCGGDGSLTGADLFRSEWPSLIEELLKTNRITKEQYER MKHLNICGTVGSIDNDMSTTDATIGAYSALDRICKAIDYVEATANSHSRAFVVEVMGR NCGWLALLAGIATSADYIFIPEKPATSSEWQDQMCDIVSKHRSRGKRTTIVVVAEGAI AADLTPISPSDVHKVLVDRLGLDTRITTLGHVQRGGTAVAYDRILATLQGLEAVNAVL ESTPETPSPLIAVNENKIVRKPLMESVKLTKAVAEAIQAKDFKRAMSLRDTEFIEHLN NFMAINSADHNEPKLPKDKRLKIAIVNVGAPAGGINSAVYSMATYCMSQGHRPYAIYN GWSGLARHESVRSLNWKDMLGWQSRGGSEIGTNRVTPEEADLGMIAYYFQKYEFDGLI IVGGFEAFESLHQLERARESYPAFRIPMVLIPATLSNNVPGTEYSLGSDTALNALMEY CDVVKQSASSTRGRAFVVDCQGGNSGYLATYASLAVGAQVSYVPEEGISLEQLSEDIE YLAQSFEKAEGRGRFGKLILKSTNASKALSATKLAEVITAEADGRFDAKPAYPGHVQQ GGLPSPIDRTRATRMAIKAVGFIEDNQAAIAESRAAEENFNADDKTISDTAAVVGVKG SHVVYNSIRQLYDYETEVSMRMPKVIHWQATRLIADHLVGRKRVD SPAR_M03220 MLSSSSNRPISAHLTIHYKPIQEEEEEEMRSGARGGSHHDDYFL ESNRSPTPNRKHEFIKTVLNINDNDSEFSESCSPREKLHTGGACNTDLFGDFVSKKQQ RLSNSMNIYDLYQCVHNLSPSSDNHQFIARRFSESHIPSLHHRQQQQVTTAKNFVQST KDIQRIASYAADSDQRVKYLPNYHQSAPSTALSAAESRAAEPRKLPDGDSTQNYILKL QLSSSNSQPISPRTRSVFRPSCSSSNCSSSSSSSACSSISISDPNNITAYETNNVSPQ FPNDQPLDISSPCARHHHRRNSIAVKFDKALYKKTTR SPAR_M03230 MIKKKKSSRAEREDNYTLVKANRTCQTPSHLNITAFTITCIRIA QYKLALYLDSYPIHNITGSLMVCLKGQCPEYRKRIFAHSYRHSSRIRLNLPSRRRYSN YVDRENIHRHTQLPPQFIGLNTVESAQPSILRDFVALHGGHTVISKILIANNGIAAVK EMRSIRKWAYETFNDEKAIQFVVMATPDDLHANSEYVRMADQYVQVPGGTNNNNYANI DLILDVAQQTDVDAVWAGWGHASENPCLPELLASSQRKILFIGPPGRAMRSLGDKISS TIVAQSTKIPCIPWSGSHIDTIHIDNQTKFVSVPDDVYIKGCCSSPEDALEKAKLIGF PVMIKASEGGGGKGIRRVDNEDDFIALYHQAVSETPGSPMFVMKVVTDARHLEVQLLA DQYGTNITLFGRDCSIQRRHQKVIEEAPVTITKPETFHRMERAAIRLGELVGYVSAGT VEYLYSPKDDKFYFLELNPRLQVEHPTTEMISGVNLPAAQLQIAMGIPMHRISDIRKL YGLDPTGTSWADFKSLKRPSPRGHCISCRITSEDPKEGFKPSTGKIHELNFRSSSSVW GYFSVGNNSAIHAFSDSQFGHIFAIGNDRQDAKQNMVLALKDFSIRGEFKTPVEYLVE LLETRDFGSNNISTGWLDSLILKNLSSDSKLDPTLAVICGAAMKAYVYTEGARNKYLE LLRRGQVPPKDLLRTKFPVDFIFDNNRYMFNVAQSSAEQFILSINKSKCEANVQKLSS DCLLISVDGKCHTVYWKDVIGGTRLSIDSNTIFLEAELNPTQMISPTPGKLMKYLVGS GDYISAGQQYAEIEIMKMQMPLVAKTDGIIELLRQPGSIIEAGDVIAKLTLDAPSKAN ESSLYRGELPALGPPIIEGSQPIHKLKVLISRLENVLNGYNENFGIETTLKELIKILR DGRLPYSEWKSQISAVRNRLPRQLNGELENLIKKSVFFPAKELHNLMTRYVEENSDDH VMYVALQPLLEISERYNSGLANHECEVFLKLIKKYHAVEKIFENHDVDEERNLLDLRR KDLKNLNDILCINLSHANVVGKNKLIIAILHIYEPLYQDSSEMSLKFKDVVHDLATLE SKWTKEVSVKARSLLLKRIFPPTKKRKEHIEALLRTYTDDTGAENTYDRNIYLCVKNF DNLIHSNLVQLQDLFFFFGHQNTLFSSMASEIYVKYAYGHYQLKSMRIHKKAPNILMS WQFSLSRYTLLNPNSDKNEFNKSSKHSSTSGETLSNNFVFLVNMSEFGSLEKTLDEVY EKVHISDENFANGESSLIVNVLSPIRYRDEDDLIKSLKIKLHENEKRLTNLKLNRITF AFTEGNMPFVKFYSFDGTTYDEISQIRNLDPSYEAPLELGKMSNYKIRSLPTYDSNVR IFEGISKFTPLDKRFFIRKVINSFTDNDQKTARGNLKTEINAQVTYMLEHLEAFDTSN SDLNHIFLNFNAVLNMPVHGLEEIVRTVLKTHEIRLFQERITDIEIRISIEHPETRKP TPLRLLISNRSGYVISIETYYETVGKDGSLILEPYSRQGHYGQKRISLPYTVKDWLQP KRYKAQFMGTTYVYDFPDLFRQAAVQQWRRYFPKHKLNDGFFSWVELIEQNGHLIKVN REPGHNNIGMVAFEIMVQTPEYPEGRNMIVISNDITYNIGSFGPKEDLFFDKVTNYAR EKGIPRIYLAANSGAKLGIAEELIPLFRVAWNDPSDPTKGFQYLYLAPKDMQLLKDYG KGNSVVVEQKMVYGEERYIIKAIVGFEEGLGVECLQGSGLIAGATSKAYRDIFTITAV TCRSVGIGSYLVRLGQRTIQVEDKPIILTGASAINKVLGTDIYTSNLQIGGTQIMYKN GIAHLTASDDMKAIEKVMTWLSYVPAKRDMSPPLLETMDRWDRDVEFKPAKQVPYEAR WLIEGKLDSNSGFQSGLFDKGSFFETLSGWAKGVIVGRARLGGIPVGVIAVETKTIEE IIPADPANLNSSEFSVKEAGQVWYPNSAFKTAQTINDFNYGEQLPLIILANWRGFSGG QRDMYNEVLKYGSFIVDALVDYKQPILIYIPPFGELRGGSWVVIDPTINPEQMEMYAD VESRGGVLEPDGVVSIKYRKEKMIETMIRLDSTYRHLRRTLTEKKLSLEKQNDLTKRL KIRERQLMPIYNQISIQFADLHDRSTRMLVKGVIRKELEWKKSRRFLYWRLRRRLNEG QVVKRLQKKICDNKARIKYNDLLRMVQSWYNDLNVNDDRAVAEFIEINSKKIDKNIEE FETSLFIDELKRKFEDRRGNIVLEELTRLVDSKRKR SPAR_M03240 MSLPFLTSAPGKVIIFGEHSAVYSKPAVAASVSALRTYLLISES SKPDTIELDFPDISFNHKWSINDFDTITKDQVNSQKLAKAQQATDGLSQELVSLLEPL LAQLSESFHYHAAFCFLYMFVCLCPHAKNIKFSLKSTLPIGAGLGSSASISVSLALAM AYLGGLVGSNELQKLSESDKHIVNQWAFIGEKCIHGTPSGIDNAVATYGNALLFEKDS HKGTINTNNFKFLDDFPAIPMILTYTRIPRSTKDLVANVRVLVTEKFPEVMKSILDAM GECALQGLEIMTKLSKCTGTDDEAVETNNELYEQLLELIRINHGLLVSIGVSHPGLEL IKNLTDDLRIGSTKLTGAGGGGCSLTLLRRDINQEQIDSFKKKLQDDFSYETFETDLG GTGCCLLCAKDLNKDPKTKALVFQLFENKATTKQQIDDLLLPGSTKLPWTS SPAR_M03250 MVGSLASNIILALVVVLMTLLRQNKLFQEWFLSHLEKLFSKKSK LTQKVNVLSPSLKLVGYKTSVTAHQSNLCKINHGIPTLPDLTQNLIRLHEYRARGEGY NSLLFRRARQLDGTAGDQLQELGYFTKLMKNNESIRENAGVIDKIIEFTLMKLMHSNE HNKEIMEEIEKICAEHGYKIEDGHLTQLNSDSVFPIVLSRGSQNAVHEALAHLCRDFS SYYSKERDPLQKFIINRIRHHVVRPGAMKEKILIVTPGAGVGGLPHALASTFPNIQVD SVELSALMYVCNLFALEYKHDVKIRPFVQQYSGQTVLNNQLRSLWADLSKFNSCDNLD PLWGDFTRYSPDAKDYDKIIICSAYFIDTAENVFEYLASIEALKEYCKELHWINVGPL KYGTKPLVQFTGDELGRLRKIRGWKDLVETYEVDSSKGLNGYLTDYESMYQGYYGLLK FHSVFERSN SPAR_M03260 MRLKELLPNFLIVHQEIPEDPIAFKSPGKREDEKKKITIPELID NKVSELADGATDTLYGLLVNGHLQTAYGSFRHFDNIDKVQYKRMIIKYPHGGEGTVDF AVNDRSIKRRKVEKEYVPVTQPVFNGNLKRRYSYYSPDDPKLNSDDAKPMLIILHGLT GGSRESYVRAIVHEITANYDFEACVFNARGCCYSAITTPLLYNGGWTNDIRYCVNDLR KRFPNRKFYMMGFSLGASIMTNYLGEESDRTKIECAISVSNPFDLYNSAYFINSTPMG SRFYSPALGHNLLRMVRNHLSTLEENPDFKTVIEKNLKKIRTVRQFDNLLTGPMFGYK NAEEYYKNASSYKRIPGIRTPFIALHAQDDPIVGGDLPIDQIKSNPFTLLLETSTGGH VGWFKDRSGKRWYAEPLCRFLKVFYDEITVKGLKPDLDNVSLPNPNCEPIATTFRAK SPAR_M03270 MHEVVTISVSQRANHLNTQFFNIQEGYLQLSKEQQVNDSKIFLN PTVDKVSRTVSFTPRALLWDARTGNGSLGTYQYSETQDYHFGNEDRFKDQTIIKTHPK IPKSEYQSALDAGTPLPTLSRENTKYWSDYSKLIYGPSSFNILRDWYHDVENPNQPDF QNLGERKFDRYSIGYDEFTENYLQEFFDGNLHTELEKCDTLQGLNLVSDVESGWGGFS SALLLELRNELPKKTVFSWGFNEDDPFTNDSPMKGLSKKWLPIISNKLRSTINMMLES DLYFPLYASPGLTNWETAGENCRILDSINATVSQSNLEQRKTMDYLTTAVTLGYSSRN MITGMVIGNTDYSFCSQIAPFKNSHKHNGAHVFSRSFIDRRSQMCQNHSEPDPQSKII EMRTHRYFPSDTIPAEFSRDYEFALELKSSEKSRDIFKHWNEFVVRYFKNDNDREELK NQLSDYASAYESGWYEDEDSGDDDM SPAR_M03280 MQLSMRMMFTPKHQKLVNQCYPTGRTTDKKPKSSETSYLLYYVN SRRSKLEKVSTYLIKRSTSDLNHRRIGNIAVTLDLMNKIVLHCKENLNVFVKDFLYIM NKVLSNNNFNNDVSVVELVELAFSSICLNLDDVLCNGDMEFVQLYQNFVDLFFKIVTE RIHNDDMLLKCCIDISNTNSVSSNPQLHHFVSKSVAYTISKFQERNPKFKTLSLEAAV ESNLGKRLSRTQTRTIGLDKAAEGNHDLSVRALQSYFNTTETDKLNLSIRTLLHCLQN TPNKELLEFICNGIPVQLRYIVILLLVRQLSDKDKNVNPIVSLKLMSSLLVSDVSIVG LSVLDIMRKLLNFQLKNTSDKEVVAQACITMTDLNHKTYYAEQTSDMLYELLLKLKSD TIKNVEKNAVVEDIDFLVEHITQPSISLELFIDLAHYMKNHIICLFTIVETEVPSNIV FSKLYSLLRELDSHGVQKGMMEGIFDKYGKMALLSGLHYFLENVSEPEYAYYLYHLQA ANFLKLNDYKSQTEYKMQTKTLFTKEDLLSYYSDTGSNKYSKKGAQILLSRDNQISTS DLLSDPQARATPLGYRTAHNAVLSNGKTVSNNNDFAVKQNKFDNSIDDNIDEANDTVI SDANAKGSIYRFVAEDARSWKTMRATAPKVSDLKKTMNERNIPNNMKRDGSFRGSQSV KSRVTNITFLLNELKTFSEDANKIKDPDEENIVGLDKIDVARSNSLRLAPISSLSDRS SIGNRKSFLQKTSGGENQDDGFKDANEDLHSLSSRGKIFSST SPAR_M03290 MAPVPIYVKGGVWTNVEDQILKAAVQKYGTHQWSKVASLLQKKT ARQSELRWNEYLNPNLNFTEFSKEEDAKLLDLARELPNQWRTIADMMARPAQVCVERY NRLLEGEDSGKAALSTGSTDLKVGDINPNAETQMARPDNGDLEDEEKEMLAEARARLL NTQGKKATRKIRERMLEESKRIAELQKRRELKQAGINVAIKKPKRKYGTDIDYNEDVV YEQAPMPGIYDISVEDRQIKKNFEQFERKVNRKGLNGDEGKSSKKYKDKKRKRDENEY LEKAVLGESNVLTDEYKKPKLILSAPGTKQGKVTYKNELESKRRKLIQAQATGTVLTP NELPPHEPAQEDNERSNTKSNKKLKTYIRKFLVQMFASLPSPKNNFEIVLSEDEEEED AEIAEYQKEIENERAMNEEYNSIKPLSQKNTPLVSLVAVPLPNTALPIPEFKSNPQSV TDHKYNLLVANAINKETHMVPEATADFLKEVESRMQHIIQAGTPTKIQLKRTMPPSQV ILESIQSKVESIELLQSKLQHVKPLELQNNNLCSTLCHHSLPALIEGQRKYYADYYAY QQEARTLESRKKRLQDVLDSSSI SPAR_M03300 MIARLYIRLILSLLLLPLILAQDYYAILEIDKDATEKEIKSAYR QLSKKYHPDKNAGSEEAHHKFIEVGEAYDILSDPEKKKIYDQFGADAVKNGGGGGGGG GAGGPGAGGFHDPFDIFERMFQGGHGGSGGGFGQRQRQRGPMIKVQEKLSLKQFYSGT SIEFTLNLNDECDACHGSGSADGKLAQCPDCQGRGVIIQVLRMGIMTQQIQQMCGRCG GTGQVIKNECKTCHGKKVTKKNKFFHVDIPPGAPRNYMDTRAGEAEKGPDFDAGDLII EFKEKDTENMGYRRRGDNLYRTEVLSAEEALYGGWQRTIEFFDENKPVNLSRPPHMVV SNGEVEVVKGFGMPKSTKGHGDLYIDYVVIMPRTLKREQGMLKDEL SPAR_M03310 MQLSKSILLAALAATPSLVSAMLPIHIKNYRFIKPSSATNSESD NEVFFVKGVDYQPGGSSGYDADSDTDILSDPEVCARDAYTFQQLGVNTVRIYSLNPDL NHDKCMTIFNNAGIYAILDVNSGNYGESLNRADPSGTYDSLYLSRVFKFIDAFKNYPN VLGFFSGNEVINDQSDYAKIDPPYIRAVQRDMKQYISKHANRSIPVGYSAADNTDLRL ATFKYLQCNSLDGNKVNDDLDISRSDFFGLNTYEWCSGTSNWESSGYDKLNSTFEDAV IPLIFSEYGCNTNTPRTFDEVSEGLYGGLKNVFSGGLVYEYTEEANNYGLVKLDDSGS LTYKDDFVNLESQLKNISLPTTKESELSSDSIYKCDNSAITKIYSGFGTNNFTLPSQP AEIANMIKYGVNGTNTGKILSDYAVPTTFNFTIKNNKDDTVSATISYDKANALNELDV TATAVAKSASTSQSSSHSVTSSASSTSSASSTSSTGSSSTSSSSKSKGVGNIVNVSFD QSGYVALFAGLISALL SPAR_M03320 MGSSINYPGFVAKSAHLAGSTDASISCEETASSQEAKKNFFQRD YNMMKKAPAPTKSKLSLALQTSKSSSTANGTVQEGISSKTEDLSTNSAKKKQGSDIES HVSIQSDSGPHSDSDSDSDSSISSCDERNEESLKDYRPGGYHPAFKGEPYKDSRYILV RKLGWGHFSTVWLAKDTLNNTHVAMKIVRSDKVYTEAAEDEIKLLQRVNDADNTKEDS IGANHILKLLDHFNHKGPNGVHVVMVFEVLGENLLALIKKYEHRGIPLIYVKQISKQL LLGLDYMHRRCGIIHTDIKPENVLMEIGDVEGIVQMVEALDKQKREAKRLQRHVSRSS DATTNDSSDEKWAECQTSVPSDSNSNSKSRSIEKDLSKRSCFRRPRRHTIITGSQPLP SPISSSNFFEMRAHFCGSSHNSFSSVSGNRNIPSSVNNNSISNGIGIKNNNNNFLNSI PHSVTRMFVNENSNDNNNNDSNNNNNDYINKNNSNKNNSDHDDIMNTPLHEEQLADSL STFDISNISQSSVTNGPYISNTMDSNSNVSSNINSPENLIQIKIADLGNACWYDEHYT NSIQTREYRSPEVLLGAPWGCGADIWSTGCLIFELITGDFLFEPDEGHSYTKDDDHIA QIIELLGELPSYLLRNGKYTRTFFNSRGLLRNISKLKFWPLKDVLTEKYKFSRDEAKE ISDFLTPMLQLDPRKRADAGGLVNHPWLKDTLGMEEIRVPDRELYGSGSDIPGWFDEV HDNKRH SPAR_M03330 MAAGEQVSNMFDTILVLDFGSQYSHLITRRLREFNIYAEMLPCT QKISELGWTPKGVILSGGPYSVYAEDAPHVDHAIFDLNVPILGICYGMQELAWINGKQ VGRGDKREYGPATLKVIDDSNPLFKGMNDSTVWMSHGDKLHGLPTGYKTIATSDNSPY CGIVHETKPIYGIQFHPEVTHSTQGKTLLKNFAVDLCHAKQNWTMENFIDTEINRIRK LVGPTAEVIGAVSGGVDSTVASKLMTEAIGDRFHAILVDNGVLRLNEAANVKKTLVEG LGINLMVVDASEEFLGKLKGVTDPEKKRKIIGNTFIHVFEREAEKIKPKDGKEIQFLL QGTLYPDVIESISFKGPSQTIKTHHNVGGLLENMKLKLIEPLRELFKDEVRHLGELLG IPHDLVWRHPFPGPGIAIRVLGEVTKEQVEIARKADNIYIEEIKKAGLYNQISQAFAC LLPVKSVGVMGDQRTYDQVIALRAIETTDFMTADWFPFEHSFLKKVASRIVNEVDGVA RVTYDITSKPPATVEWE SPAR_M03340 MDKEVYCGSVPVSYFDPFDLFESLRSEFQQILPLDNIHWKAFDG TVRTVNKLPIELIPEDRGEGDKSNNEQPFIRFLIVNCISIDQYRAKVRPLVRQWLPSL ESVSSLTGEKMIYKPIILLYANSEVVDSNLFKSVSLMEKFGKDFPHIQTLEVRSVYRS PKDRQEFWNQFSQQIKASVLSIFQKRLTHLQHSLANLQKGNNFEEQLLTREKLYELYV VFNILEDASLELQKIKKEILHRNMNMPDGKLQVPFESSSKSDESLGSIIIEGTLDKFQ LHRYFFIRRLRLLRLDDQTLTAFVGAFQLIKNFIESISIGYRKSVRLLEFKHYFLNSM LSYFPFENVSNPLLCEIRAELLMLKRDNWVQGVMATSGYRLMDRSYPNAEVSYKFDLL KETFVDEIVFQENFLGLTKEILSLFNKCEGKRQRIVDILSIEIGLLYYQEEKYEEAVS LFLSCYEYYTQTNWNNIGSKILQVFIDSLSHCPELDVLQIDGESVSASAVLSNAFLNI LKLCKDNDSKEIWWKKFMDLQMKNSIYLIYPLDGLFEVTLNPKVHLVRANVSGIEVNL TSYGFPEDVSTKTMRLSLKNTGGDVIVFEASDFLLKKGENKPILECKDIMYGEFSLLS FEIIVGGITFVKDFSENQDGIIVVPEIYCKESTKVLVRQAHDLNLGEYALDLTSMHSD VLESLQVEVEVQKNAGNMKNVPVSFSMNEIQARKRYNTLFENVRLEYYLLDQITAFDL IIKTSFTKKNDQGAFSETKRVHVECYLQLSVSVEDIFKKDIFFFKFLLNSSIREEPVI LYSSQLSAPDTRNDYKIYGDYITATPALVAFDGNESFINCYEITANSNFDSKDIFNLK VRYNTLKEQLDCFITDAVLIEGDVEWFIHFEKWKIFWELEILKKLKYDYDAFKENRII RLLKASIDLNKTKSQIRKLSIEKKVLDKMLICLNKLGRGIVVCNTDMDEYVRNLVPKQ LTVPVQLPGFEQFFHVQFESMEIRYDALHDTMATIGNPVPYIVIVENLSEQWGQDIIN DGGYIFEILSSNEWLIHGQKRCAIKEKRMEFEVHLIPLKKGYLNFPHVEITNINGKSC RVDHSNAFESILIF SPAR_M03350 MSEKKGTFNSRTNRLDLTTPRRKLKILSSLLDAEDGSKTQDEHS YSSIHGNKHKVAKPTRQPTLRESISSRRNSHIHNKSLHEDSAKALNWVDSLINRGKSI LTTLEKEDALFERELEEERQRFQLHDSLMNKYTGNGKSHQRLVDLRKSQYGTDTSFQN NDEIPLDSFISSPLPEADDKTPSSIDSDEDEDLQGKESLIKDFDLENDESDLSGEEEN TDDQSSASIVILSDEEYAEEGALQDISSEDEYEAHEEEQVEMEDMGQGQTTMRPNVES ATQTRLSNPSDHQDYSETVEKESDDDNDVESGAEKDESQEEEGTEHSIDFSKYMQPRI DNTKTLKYQPDEQQTYQTYSENDTFDSGPVNISVDDDSEDEESQAESYLANAGSVRHN EQELDNKELIENIESLDSGSESAQESERGNEGDFEYKATNGKESALEETKNTSESEDQ SFEIDTKDEMEQHESGEESEKNDSIKNTSVKDFYENNNEDEYLKNSLNNDMDSAKGRE KDTVDGKDYEVIGNRIESNLRGDSPDNLYNLAARAVLQFQQSKNLNCPQKEEKESEFY TGHSNRIDVSDRSSDELEEQLLSEKDFTGKTGNENVKVDRDDSPPCVEIEVEKASETG RDPPAEKKLLPLSTDTTFNDLPMGNEDSVYYSLDDADVISENLANTPLLETKPISTYE VVISGSVYSSTSYEDNAVVMPPQVEYISPFMNDPFNSSNGDCEKKHELLKSTLAALAP AFIEEDAKVGESGATESYLTSKSGCPNAFHIGEEIDQMSNSDETTKNASSGNENAHNE NKNQNEVFPTMTTTADKSAEDNADEKYFSAINYTNITEDSSFQETIEPALDVEEYSRL HGKDTNKVEISTGDKHGKQNEDGSITQRSFATDFPDNYQQSNNGPDTSSAELKVKHSD LGEESFNKGPANVKVHSGTFEDQNKEGSSPDSLLQETAASLEVSYGVVDSERKCDEEH VGDPYEKGYADPESSYTGNSKESTTKGMRKDAFGLPEPENETVSINHEDEILFEANVC SSVDVQNKDIPAVTNREAEAKYEEGERKHIIEDINSDEAHISIIEKVGKTLIENNTEI LEKPCVEEMNDKVYSKRRATTIEGTTLYKVNTNMHDVVNQASHSLDREQGRKVEKNTE VSAKVKVEIPAFSSELESVQSLKPESAHSNIFSSPIRVLGTMVKGVGKVVDLAESFVK KIDVMDSESDDSADIDDGNEEMFENSVTTNVCDDMKSIIIEDKDGDEDEVVTLGGVTT KAPSGNGNFNVINIDAVENGNNEEDIVYDQYSDIFGQQMKDKKSSQKSEESLVENLQC EQHSEKNDHSEEEEEEDDPIDGEATSANTHVSGPDDIKRQQLLKNLIDLENYSQKLTQ DSSRGTSQEKRNEINTSREQDPTFERPVEEEHVGVIEEDSVSELDISIQSTEHEEYFS KKQERSIEDLHSEPEEDELFELERQVPTGIAVFGKSDDEERQRGTIPSTDLPSDPPSD REESTGSHTYSNSENASAGKGVPTSPEVYEIFSDTPNELPMEINNELPNTSLGKDDKT TATSVLDDRLEDLSSNDKNFINIKVNEGEEGSEHKAVDIPIEVDVKEEQEEIPPNPVP EEQKLNEGLFNDKGGLGSNNDEEINREEDKSKAKKKSRKRNYNSRRRKRKITEGSSAA SNTKRRKRHEPRSRGKKTDSSVNK SPAR_M03360 MSELRAFSAPGKALLAGGYLVLDPKYEAFVVGLSARMHAVAHPY DSLQRSDVFEVRVKSKQFKDGEWLYHINPKTGFIPVSISGSKNPFIEKVIANVFSYFK PNLDDYSNRNLFVIDIFSDDAYHSQEDSVTKNHGSRRLSFHSHRIEEVPKTGLGSSAG LVTVLTTALASFFVSDLTNNVDKYRKMVHNLSQVAHCQAQGKIGSGFDVAAAAYGSIR YRRFPPALISNLPDIGSATYTDKLVHLVDEADWNITIKSNHLPSGLTLWMGDIKSGSE TVKLVQKVKNWYDSHLPESLEVYTELDHANTRFMDGLSRLDLLFETHDDYSKQLFESI ERNDSTCQKYPEITNVRDAVATIRRCFRRITKESGADIEPSVQTNLLDDCQILKGVLT CLIPGAGGYDAIAVIAKQDVDLRAQTADDERFSKVQWLDVFQADWGVRKENDPETYLD K SPAR_M03370 MATTKTLRYAQVACACVWCLFSAGIVFGFAALKPILISEGVYHE LCDPKDGDGLLCTAQDLKLNFIFALSATVTNIMALPVGKILDMYGPRVSGIIGSGLLF LACGNFISAKHLASIWDPYLVGYTLLAIAGPFVFISCFQLANSFPQKSGTILALLTGS FDSSSALFLIYRLLYQNWYPNLHVSRFFTLYLIVPLFILACQLTIMPHSSYKTVNHIA KIAVEGLDENGRLIEGDTGSGIIPDEQERQSLIAIENEEDTLPDTSTRPQRRKSVLET YVEDKLQKKSGGIFGVLHGKSASEQIKSPWFYLMLLFALVAMLRINYFIATVRTQEEY LLNDAALALKLNSIFDMLLPLGGVVSIPFIGLLLDHTDTLSTLTILFTTSIAIGIFGL IPNSFTWNLIGIVLLVVYRPFYYTVVSDYSSKVFGFDTFGTVYGLLSCICGIFNMSQN LLDKWTHTTFDMNPFPINLMLVILTLFFSLTLTFYIRSQILRKPKNGRALPPNYQTI SPAR_M03380 MTKNVLMLHGLAQSGDYFASKTKGFRTEMEKLGYKLYYPTAPNE FPPADVPDFLGEVIADAPGDGENTGVLAWLEDNPSTGGYFIPQTTIDYLHSYVLEKGP FAGIVGFSQGAGVAGYLATDFNGLLGITAEEQPPLEFFMAFSGFRFQPQQYQEQYDLH PISVPSLHVQGELDTITEPIKVQGLYNSCTEDSRTLLMHSGGHFVPNSRGFLRKVVQW LQQLA SPAR_M03390 MSICPHIQQVFQNEKSKDGVLKTCNAARYILNHSIPKEKFLNTM KCGTCHEINSGATFMCLQCGFCGCWNHSHFLSHSKQIGHIFGINSNNGLLFCFKCEDY LGNIDLINDAILAKYWDDVSTKTIVPSMERRDGLSGLINMGSTCFMSSILQCLIHNPY FIRHSMSQVHSNNCKVRSPDKCFSCALDKIVHELYGVLDIKQPSSSSSGTNRQTGFIY LLTCAWKINQNLAGYSQQDAHEFWQFIINQIHQSYVLDLPNAKEVSRANDKQCDCIVH TVFEGSLQSSIVCPGCQNNSKTTIDPFMDLSLDIKDKKKLYECLDSFHKKEQLKDFNY HCGECNSTQDAIKQLGIHRLPSVLVLQLKRFEHLLNGSNRKLDDFIEFPTYLNMKSYC SAGENDKHPENGKVPDIIYELIGIVSHKGTVNEGHYIAFCKISGGQWFKFNDSMVSSI SQAEVLQEQAYLLFYTIRQVN SPAR_M03400 MDYPDPDTIRILVTTDNHVGYNENDPITGDDSWKTFHEVMMLAK NNNVDMVLQSGDLFHVNKPSKKSLYQVLKTLRLSCMGDKPCELELLSDPSQVFHYDEF TNVNYEDPNFNISIPVFGISGNHDDASGDSLLCPMDILHASGLINHFGKVIESDKIKI VPLLFQKGSTKLALYGLAAVRDERLFRTFKDGGVTFEVPTMREGEWFNLMCVHQNHTG HTNTAFLPEQFLPDFLDMVIWGHEHECIPNLVHNPIKNFDVLQPGSSVATSLCEAEAQ PKYVFILDIKYGEAPKITPVPLETVRVFKMRSISLQDVPHLRPHDKDATSKYLIEQVE EMIDEANEETKRKLGDDAESDMVSELPKPLIRLRVDYSASSNTQSPVDYQVENPRRFS NRFVGRVANGNNVVQFYKRRSPVTRSKKSSINGTTISDRDVEKLFSESGGELEVQTLV NDLLNKMQLSLLPEVGLNEAVKKFVDKDEKTALKEFISHEISNEVGILSTNDEFLRTE DTEEMKALIKQVKRANSVRPTPPIENDEANSSINRNGLDSFRSSNRQLRSGPSDVTQS YVDNESRIAHTSGAESSKPTSKTKRVRTTIKKKAYTPSDSTVISDAENEHGDDNDAQA DIDIDEDDIIMVSADEEDTNYGILNGRKTRTKTCSPTSTKTTSKRGKGRASRTPKTDI LGSLLAKKRK SPAR_M03410 MITKYFSKVVVRFNPFGKEAKVARLLLAAIPPTQRNMGTQIQSE IISDYNKVKPLVKVTYKDKKEMEVDPSSMNFQELANHFDRHSKQLGLKHMLEMH SPAR_M03420 MSQGRKAAERLANKTVLITGASAGIGKATALEYLEASNGDMKLV LAARRLEKLEELKKTIDQEFPNAKVHVAQLDITQAEKIKPFIENLPKEFKDIDILVNN AGKALGTDRVGEIATQDIQDVFDTNVTALINITQAVLPIFQAKNSGDIVNLGSVAGRD AYPTGSIYCASKFAVGAFTDSLRKELINTKIRVILIAPGLVETEFSLVRYRGNEEQAK NVYKDTTPLMADDVADLIVYATSRKQNTVIADTLIFPTNQASPHHIFRG SPAR_M03430 MAVIRIKKPRGPREEEQPLGSEPKLKRIRIKTKVTDEDVKPKTK LKINLKKKKDNTDGQEKKNSLKLKLNLKKNEEVVKKIHKAPKLRLKPIRIPGEAYDSE ASDIEDDPLMETGVILRILPDIQLEFVKNSLESGDYSGISIKWKNERHAIVTINDIMY GAILVDLPTVIEVNKSVDRKNLLKTFDVSQMLLCIRPIQEEEEVYTLDAPDTEDLVVK HFEDIEDEIWENKETFLKGYNGAPLSDVEAKHLKEIALKGYDYKHGISPPLYNVRNRR FRRKMDPNEIDYVEKVVDILLKQDKQAEEVSYGLVDKSELQTKQERVSNWENFKEEPG EPLSGPALKKEEIHTAAPAVGEQEQEQEQEQEGEGEEEEEEEEEEEEEDLDLGAAFES EEEGSGAEGDRAHQQEEVGDEVDQDTGGEDDDDEDDGDNEAAGGESDSEDEKDENRQH TELLADELNELETTLAHTKHKLSKATNPLLKSRFIDSIKKLEKEAELKRKQLQQTEDS AQKQHQHRPDAENANNNEEEEEEEEEEEEEVEDDEDDEENDEEEENDHDGEQVQESKV MREVDEAPAEETLDQNDLDMMMLFGAEGDE SPAR_M03440 MSIPIPGIKDISKLKFFYGFKYLWNPTVYNRIFDKLDLTKTYKH PQELKVLDLYPGVGVQSAIFYNKYCPKQYSLIEKRSSLYKFLNAKFEESPLQILKKDP YDWSTYSSLIDEERIFVPEVQPSDHINDKFLTVANVTGEGSEGLIMQWLSCIGNKNWL YRFGKVKMLLWMPSTTAKKLLARPSTHARSKCSVVREAFTDTKLIAISDANELKGFDS HCIEEWDPVIFSAADIWPTKGKPIALVEMDPIDFDFDVDNWDYVTRHLMILKRTPLNT VMDSLGHGGQQYFNSRITDKDLIKKCPIDLTNDEFIYLTKLFMEWPFKPDILMDFVDM YQTEHSG SPAR_M03450 MVAPTKRKRDDEFPLSREDSTTQPSTSSLVRNTEEVSFPRGGAS ALTPLELKQVANEAASDVLFGNENVKASEPTSRPLKKKKTTKKATSKDSETSSANSDE AKVGLVEHVNFKTLKNGSSLLGQISGITKQDLCITFTDGISGYVNLTHISEEFTSILE DLDEDMDGDVDAADEKKSKVDDAEYESSDDEDEKLDKSNELPNLRRYFHVGQWLRCSV IKNTSLESSTKKSKKKRIELTIEPSFVNTYADEDLVKSTSIQCAVKSIEDHGAILDVG LSGFTGFIAKKDFGNFENLLPGAVFLGNITKKSDRSIVVNTDFSDKKNKISQISSVDA IIPGQIVDILCESITKNGIVGKVFGLVSGVVNVSHLRTFSEEDLKHKFAIGSSIRCRI IACLENKSGEKVLILSNLPHILRLEDALKSTEGLDAFPIGYTFESCSIKGRDSEYLYL ALDDDRLGKVHSSRVGEIENSENLSSRVLGYSPVDDIYQLSTDPKYLKLKYLRTNDIP IGELIPSCEITSVSSSGIELKIFNGQFKASVPPLHISDTRLVYPERKFKIGSKVKGRV ISVNSRGNVHVTLKKSLVNIEDNELPLVSTYENAKNIKEKNEKTLATVQVFKANGCII SFFGGLSGFLPNSEISEVFVKRPEEHLRLGQTVVVKLLDVDADRRRIIATCKVSNEQA TQQKDTIENIIPGRTIITVDVIEKTKDSVIVEIPDVGLRGVIYAGHISDARIEQNRAQ LKKLRIGTELTGLVIDKDTRTHVFNMTLKSSLIKDAKKETLPLTYDDVKDKKKDIPMH AYIKSISDKGLFVAFNGKFIGLVLPSYAVDSRDIDISKTFYINQSVTVYLLRIDDQNQ KFLLSLKAPKVKEEKKKVESNIEDAIDPSIKSWDDLSIGSIVRAKIKSVKKNQLNVIL ASNLHGRVDIAEVFDTYEEIKDKKQPLSNYKKDDIIEVKIIGNHDVKSHKFLPITHRI SKASILELSIKPSELKSKEVHTKTLEEINIGEDLTGFVNNSSGNHLWLTISPVLKARI SLLDLADDNVNLSETIESVFPLGSALQVKVASIDREHGFVNAIGKAHVDINMTTIKVG DEFPGRVLKIAEKYVLLDLGNKVTGISFITDALNDFSLSLKEGFGDKINNVIPTTVLA VDAENKKIELSLRSAAAKTRSIKSHEDLKQGDIVDGIIKSVNDKGIFVYLSRKVEAFV PVSKLSDSYLKEWKKFYKPMQYVLGKVVTCDEDSRISLTLRESEINGDLKVLKAYSDI KAGDVFDGTVKNVTDFGVFVKLDNTVNVTGLAHITEIADKKPEDLSALFGVGDRVKAI VLKTNLEKKQISLSLKASHFSKEAELPSTTTGQPEKEDEDEDEVMADADFNDSDNESD IGDQNAVVTDKKTEISSDGLSLSAGFDWTASILDQAQEEESEEDQEDFTENRKHKHKR RKEKVVQDKTIDINTRAPESVADFERLLIGNPNSSVVWMNYMAFQLQLSEIEKARELA ERALKTINFREEAEKLNIWIAMLNLENTFGTEETLEDVFSRACQYMDSYIIHTKLLGI YEISEKFDKAAELFKATAKKFGSEKVSIWVSWGDFLISHNEEQEARTILGNALKALAK RNHIEVVRKFAQLEFAKGDPERGRSLFEGLVADAPKRIDLWNVYVDQEVKAKDKKKVE DLFERIITKKITRKQAKFFFNKWLQFEESQGDEKTIEYVKAKATEYVASHDVPKTDE SPAR_M03460 MLMPKQERNKIHQYLFQEGVVVAKKDFNQAKHEEIDTKNLYVIK ALQSLTSKGYVKTQFSWQYYYYTLTEEGVEYLREYLNLPEHIVPGTYIQERNPSQRPQ RRY SPAR_M03470 MWFYASNKFSSFSFLSQCIIDLLGCRHICACCSTLLYTLDYYAF VSVCGVRIEYYYINCQRFHMLTKVLAKNTSYIKLTVRHSQNHTVSSIEHT SPAR_M03480 MSLSSWRQFQLFENIPIRDPNFGGDSLLYSDPTLCAAAIVDSQT LIIAVNSNIIKVVKLNQSQVIHEFQSFPHDFQITFLKVINGEFLVALAESIGKPSLIR VYKLEKLPNREQVYHSQVELKNGNNTYPISVVSISNDLSCIVVGFINGKIILIRGDIS RDRGSQQRIIYEDPSKEPITALFLNNDATACFAATTSRILLFNTTGRNRGHPSLVLNP KNGLDLNCGAFNLATNEFICCLSNFIEFFNSSGKKHQFAFDLSLRKRIFCVDKDHILV VTEETGAPTTSISVNELSPTIINRIFIIDAKNKIISLNFVVSSAIIDIFSTSQNGKNI TYLLTSEGVMHRITTKSLENQISIIIQKELYPFALQLAKQHSLPSLDVQEIHKKYGDY LFKKGLKKEATDQYIQCLDVVETSEIISKFGVKEVPDPESMRNLADYLWSLVKNSISQ RDHITLLLIVLIKLKDVEGINSFIQHFDRNGIWNEDIVMDDMDDVSFFYTDNDFFDLD LILELMKESDFKRLSYRLAKKYSKDSLTIVDILLNLLHNPIKAIKYIKSLPIDETLRC LVTYSKKLLEESPNETNALLIEVFTGKFKPSTFEVELDRRDTTGDFSENIKTVFYSYK TFFNYMNSNVKSDAMSESSEASHEHEEPTYHPPKPSIVFSSFVSKPFEFVVFLEACLA CYQQYEGFDEDRQVILTTLYDLYLNLAQDDVPERVDDWRSKAAGVLRESNKLVYSAVH NTTGKKVDNSIMLLISHMDQSNVSAKDKTTIDIASFANDNPEMDLLSTFRAMTLNEEP STCLKFLERYGAEEPKLLQVALSYFVSNKLIFKEMGGDEVLKEKVLRPIIDEERMSLL DIIKALSRTNVAHFGLIQDIIIDHVKTEDIEIKRNEKLIESYDKELKEKKQKLKNTIN SDQPIHVPLKNQTCFMCSLPLDVPVVFFKCGHIYHQHCLNEEEDALENERKLFKCPKC LVELETSNKLFEAQHEVVEKKDLLDFALNGEEGSKDRFKVITEFLGRGAISYSDITI SPAR_M03490 MFKTSYNLYDLNYPTTNSLTPIRDYKNDFFHKNDDKLPEIVRNP TRKLSKHEKKLDDKKFTNKRPASLDLHSIVDSLSNKKVYSPINTEIFQNVVRLNLSPQ VPSSPREGCKFYKVVQDLYLSEIEYYDNLLIANNVYRRALNIDPRFKNKLVKPDSSDE LLLFGNIDTIASISKILVTTIKELLLVWQRGKMLDTNEWEKIFTKSEVQQQLYSTFDI SEAFEQHLLRIKSTYTSYFVSHQKQMELFTTLRMNKNHIFNKWYEYCLKQSGCIKLED ILKSPMRRLTQWIDILETLESCYEDTLSPQLGSKLIPTRRKYSLFSNKLETEVSEYKS NSMYNFSLTPSEIIQSYDEDQFTHLLKPPDKQNRNKCNTFRQEGDPDNIRAPSLLSGS SSYYSDVSGLEIVTNTSTSSAEKIFSTMDEETEFFTLADHISKFKKVMKSLLELEKNL LKNNLSGIIDSSLKRINAWKKVIECERPSGAFFEHDNLISTMCSSYIDKLHEQKNQVT ILKLTELETGVMNPLARIIAHCNTVKSKLKDLQALKKDYMLFLQEKKANLRDVKRDLL GMHFQNLQNQMKRELPVFITLIHNTVERILLNYNKIFLKYLEIIAGGKKYLQKDLENM SFNDSIGTGQIKNLDILQCYSKSRYMTKRMVRKDWPFPGDPSGSRIVRKLFEL SPAR_M03500 MADISKYIPMVDAILSASNPDEISPKRVRKALQVLFSVDLDSQR KAVNELILERFSDIQENPRVLIPKNDLINRDQELALRLQKEGERPLRSMRKKKSKSEG KPKRKKRKNESPDSNSISVRKVLLSTPLQEFLGSEELPRTQVVKMIWQYIKEHNLQNP NDRREILCDEKMEPIFGKKMTMFSMNKLLTKHLFNPDEIVKNEEEQKQIPKKEVKSED ESFPISSG SPAR_M03510 MARQGGFYAVRKGRETGIFNTWKECKNQVDGYGGAIYKKFNNYE QAKSFLGHSNDISNYRSSAHTGGQIGKPHTTQKRVQVKNLPPSYYSSLASSSAYSPSR SGNKNTFYSVKSNVPNVESKIFNNWKDCQAYVKHKRGITFKKFEDESAAENFINGVSA HDYKLMNVPKDIFESKYKLSGNTIYDRSMNVYCDGSSFGNGTSSSRAGYGAYFEGAPE ENISEPLLSGAQTNNRAEIEAVSEALKKIWDNLTNGKDKVNYQIKTDSEYVAKLLNDR YTTYDNKKLEGLPNSDLIVPLVQRFVKVKKYYELNEECFKNNGKFQIEWVKGHDGDPG NEMADLLAKKGASRR SPAR_M03520 MATLHFVPQHEEDQVYSISGKALKLTTSDDIKPYLQELAALKIC TKLDFSGNTIGTEASEALAKCIAENTQVRDSLVEVNFADLYTSRLVDEVVDSLKFLLP VLLKCPSLEIVNLSDNAFGLRTIELLEDYIAHAVNIKHLILSNNGMGPFAGERIGKAL FHLAQNKKAASKSFLETFICGRNRLENGSAVYLALGLKSHSEGLKVVKLYQNGIRPKG VATLIHYGLQYLKNLEILDLQDNTFTKHASLILAKALPTWKDSLYELNLNDCLLKTAG SDEVFKVFTEVKFSNLHVLKFEYNEMAQETIEASFLPAMERGNLPELEKLEINGNRLD EDSDALDLLLSKFDDLEVDDFEEVDSEDEEDEEDEDEDEKLEEIETEKLEKELLEVQV DDLAERLAGTEIK SPAR_M03530 MNSGGKSVSNKNSAGSVTEVGPGSTQEETPRDVRLLHLLLASQS IHQYEDQVPLQLMNFAHRYTQGVLKDALVYNDYAGSGNSAGGGLGVEDIRLAIAARTQ YQFKPTAPKELMLQLAAERNKKALPQVMGTWGVRLPPEKYCLTAKEWDLEDPKSM SPAR_M03540 MLSQTSIPEVKEDVIGYALHQRRARVGQFQDLGPPDLITLIKSL PSSSSATTATSSANDNGAASNINGQDPTTIVTELHSHDKLKGQIGTFFYCMGIDTSDP TSITIFAKKITDLFLDTPQIWFGKKKHFHVSKISISSWNAFRKYDVNIIVHIPGTVQT YIINSDGEQSQLPSVTETSSGRNPQDLNVNMIWAETFMSGVVRDIMLMKDNCEDGESQ NLVETLIFNPLTSGELEDVATNFIKLFPLVYEKGIYLDAPTHILNPSLTNNYLVETLV EIVRLTKSLDACRKMLAKLIEIHPEAVIILIRVYFACDLEVDAVDLINEQLNSPSSFL ADDSKTSHIQLIFKSELLSIQSEFLLDVKRDYKLAKEVAMEAVNCAPNEFKTWYLLTK IYIKLNDMSNALLSLNACPMSQVKEKYVLRRIAPITSDENLHLPLPLDASIEEISSLN PMDVQLEQKSADPNLVNLSASSLKSTFQQAYKLLTEIVQITGWEQLLKYRSKIFVMED EYQGSTSSIDEADAHGNEISRMRSKRLCERWLDNLFMLLYEDLKTYTDWQSEQLYFDA QNSKYHKLTVEWELFGLCAKRLGHLPEAAKAFQIGLSQRFSPVCAKNLLQFYINEHKR IRRDSISANSELTSSQILASINDIDSSIIDLVVKICCWNHRWYIEFSIILIDALSVAV QDMGITKVHNEIASRFSDPVAQLIDDNILDFLKNFTNDTFDN SPAR_M03550 MIVKNTMKMILLICFTFLSFFRVSHAMDLDTTSKTSICDATALI QDGMLDYYEGTRYGGTVGMFQSPYYWWHAGEAFGGMLENWFLCENDTYQELLYDALLA QTGSNYDYIPANQTMVEGNDDQGIWGITVMGAVERNFTDPGDDKPGWLAMVQAVFNTM YSRWDSEHCGGGLRWQIFTWNSGYNYKNTVSNACLFQIAARLGRYTGNTTYLEVAEQV FDWLVDVGYVVLNDTANVFDGAEIDTNCTDITKIEWTYNHGIVLGGLAYMYNATNGTG EWETSLTKILNGAKSYFFKDSIMYESACQDYGTCNTDQRTFKSIFSRMLGLTSVLAPF TSDTIDDLIKTSAEAAAKSCDGGTDGHTCGLNWQKETNDGYYGLGEQMSALEVIQNLL IHDRPAPYKESNGGTSKGDANAGMNSSTTNVLQNNLNIKKGDRAGAAIITAIILSVLI GGAVWMLF SPAR_M03560 MGSKVAGKKKTQNGNKLGNDNGSQQRENINTKKPSKANLKMSDY EYLEVIQLEHAVTKLVESYNKIIELSPNLVAYNEAVNNQDRVPVQILPSLSRYQLKLA AELKTLHDLKKDPILTEVTDYENEFDTEQKQPILREINKADMEKLEKLEQIRREKKDT IDVNVYENLNEKEDEEEDEGEDSYDPTKAGNNIKTAKWPPKLPEIQDLAIRARVFIHK STIKDKVYLSGSEMINAHNERLEFLGDSILNSVMTLIIYNKFPDYSEGQLSTLRMNLV SNEQIKQWSIMYNFHEKLKTNFDLKDENSNFQNGKLKLYADVFEAYIGGLMEDDPRNN LPKIRKWLRKLAKPVIEEATRNQVALEKTDKLDMNAKRQLYSLIGYASLRLHYVTVKK PTAVDPNSIVECRVGDGTVLGIGVGRNIKIAGIRAAENALRDKKMLDFYAKQRAAIPR SESVLKDPSQKNKKRKISDSS SPAR_M03570 MARTKTRKRSGNKQAKNASVVNNKAEIAAMIDARRLEQNKKDGA ASNKGTVSKLVNDKLEKEFKDVLQRFQVKENSVRPKETAEHKENNHVITAEEKPVIKI KHTIEENELEGAPLDDIEEHLSARKRRKTEKPSLSQLKSQVPYPQIIEWYDCDAKYPS LLAYIKCTRNVIPVPNHWQSKKEYLSGRSLLGKRPFELPDIIKKTNIEQMRSTLPQNR LDAQDEKSLKEASRARVQPKMGTLDLDYKKLHDVFFKIGANWKPDHLLCFGDVYYENR NLFEEANWKRMVDHKRPGIISQELRTIMNLPEGQLPPWCMKMKDIGLPTGYPDLKIAG LNWDITNLKGDVYGKIIPNRHLRSKKQAKNYFGALISFETPEFESPKEDIQANTENGS HDDEIDGVVEHKLDHFQEDTSQVADIEEKIEKNEVEESEKQLYTILK SPAR_M03580 MSSTTNTTAANVIEKKPVSFSNILLGACLNLSEVTTLGQPLEVV KTTMAANRNFTFLESVKHVWSRGGILGYYQGLIPWAWIEASTKGAVLLFVSAEAEYRF KSLGLNNFASGILGGVTGGVTQAYLTMGFCTCMKTVEITRHKSASAGGVPQSSWSVFK NIYKKEGIRGINKGVNAVAIRQMTNWGSRFGLSRLVEDGIRKITGKTNKDDKLNPFEK IGASALGGGLSAWNQPIEVIRVEMQSKKEDPNRPKNLTVGKTFKYIYQSNGLKGLYRG VTPRIGLGIWQTVFMVGFGDMAKEFVARMTGETPVAKH SPAR_M03590 MIFHKYFLLSVAHFKEYQVIGRRLPTESVPEPKLFRMRIFASNE VIAKSRYWYFLQKLHKVKKASGEIVSINQINEAHPTKVKNFGVWVRYDSRSGTHNMYK EIRDVSRVAAVETLYQDMAARHRARFRSIHILKVAEIEKTADVKRQYVKQFLTKDLKF PLPHRVQKSTKTFSYKRPSTFY SPAR_M03600 MITGKELRIISLLTLDTVFFLLEITIGYMSHSLALIADSFHMLN DIISLLVALWAVDVAKNRGPDAKYTYGWKRAEILGALINAVFLIALCFSIMIEALQRL IEPQEIQNPRLVLYVGVAGLVSNVVGLFLFHDHGSDSLHSHSHGSVESGNNDLDIESN ATHSHSHASLPNDNLAIDEDAISSPGPSEQIGEVLPQSVVNRLSNESQPLLNHDDHDH DHGSKKPGHRSLNMHGVFLHVLGDALGNIGVIAAALFIWKTEYSWRYYSDPIVSLIIT VIIFSSALPLSRRASRILLQATPSTISADQIQREILAVPGVIAVHDFHVWNLTESIYI ASIHVQIDCKPDKFISSAKLIRKIFHQHGIHSATVQPEFVSGDVNEDIRRRFSIIAGG SPSSSQEAFDSNGNTEHDKKKRSPTAYGATTASSNCIVDDAVNCNTSNCL SPAR_M03610 MVLCKLLTPYSILSILSVGVYTATAAPSPGIQMTENTNQDHHEH VKRGGTCTFPNYDGMVAVQKGGSNAGWAMSPDQECSYGSWCPYACKPGQLMGQWDPSA TTYSYPKCQNGGLYCDSNGNLQKPNSDKDYCYDGKGTVVAKNNANSGDVAFCQTVLPG NEAMLIPTLVGSGSKQTLAVPGTDYWASTASHYYVNAPGVSVEDACQWGSSANPQGNW APYVAGSNMDDNQNTFVKIGWNPVYLESSCPFKNVKPSFGIRITCDDESQCEGLPCSI DPSSNGVNEVTSSGGGSSGAGGGNFCVVTARNGAKANIEVFDAGSSKSKREVNPLDSL TTTVTETKYETITVTAKV SPAR_M03620 MGLFSFDGGKKESQPPNTRSQRKLCWESRDAFFQCLDKANVLDA MDPKNSKSINSQCKAENEKFEENCAHSWIKYFKEKRVIDFKREEAIKRIEQEAKQREQ NQ SPAR_M03630 MTEQYSVAVGEAANEHETAPRRNIKVKDQPLVRPINSSASTLYE FALECFTRGGKRDGMAWRDIIEIHETKKTIVKRVDGKDKPIEKTWLYYELTPYITMTY EEMIGVMHDIGRGLIKIGVKPNGENKFHIFASTSHKWMKTFLGCMSQGIPVVTAYDTL GESGLIHSMVETDSVAIFTDNHLLSKLAVPLKTAKDIKFVIHNEPIDPNDKRQNGKLY KAAKDAVDKIREVRPDIKIYSFDEIIEIGKKAKDEVELHFPKPEDPACIMYTSGSTGT PKGVVLTHYNIVAGIGGVGHSVIGWIGPTDRIIAFLPLAHIFELTFEFEAFYWNGVLG YATVKTLTPTSTRNCQGDLMEFKPTIMVGVAAVWETVRKGILAKINELPGLSQKIFWT VYALKERNIPCSGLLSGLIFKRIREATGGNLRFILNGGSAISIDAQKFLSNVLCPMLI GYGLTEGVANACVLVPEHFDYGIAGDLVGTITAKLVDVEDLGYFAKNNQGELLLKGAP ICSEYYKNPEETAAAFTDDGWFRTGDIAEWTPKGQIKIIDRKKNLVKTLNGEYIALEK LESIYRSNSYVQNICVYADENKVKPVGIVVPNLGPLSKLAIQLGIMVPGEDVESYIHE KKLQDAVCKDMLSTAKSQGLNGIELLCGIVFFEEEWTPENGLVTSAQKLKRRDILAAV KPDVERVYKENT SPAR_M03640 MSFGGINTFQQYNTDLGLGHNGVRISLNYFDGSPDPSFLNSLYS NELKLIFKSLLKRDETTKEKALMDLSNLITDFDQNEYFFNDIFLLCWSQIYAKLVISD YKVIRLQSHQITIMLVKSLKKKISKFLKDFIPLILLGTCELDHSVAKPCFNELAECFN KDPAKINALWSVFEEQLLDLVKEIVVNENEDTISNERYSSKEESEFRYHRVIASAVLL LIKLFVHNKDISECKSCINEILSDESIWKLLNLKSGQNTNTYETVLQLINVLYTSGYM PSHKDIMKLAVKKLLKSLAHVTSKNILKASPVFPPILNLLATLDDYRDGKIWAYDKSS KEKLIGFLSISCTSPSPGFFKGMFALYSSTNRHGFLDYDLEWLPIWQKSIQKLNEKAF SGRNSAEVLNEFWTNFLKFAEDSSEEKVKGIVEAEILNTLSSGRSLTEFTKLNHTLGG VLPPDKWEREIEDYFTSDDDTRKRKVCFERNLFALLVTAPNNESAINSLFDFFVQLVE TDPSNVFNRYNGVYEALNYFLDSKMIFLNEKIGKLIYKVPTLVQESTYQNFAGIITHY SNSTFFKMNDDAISSLENFFIVAFSFSVPKASILDTMNELDNDVYQQLLKSDSFELDL YIEDYFKNYKFDDSGELFKGKNKILNQKTIVTLYQSAVANDQIERFCAVLSKLDKTFF STLLLNTDFLSCVLYEVSEDTDEELFKLSLQSARENPKIADKLAQIILQHAQVYFSPS TKEKYVKHAVELVNNCDDTSPIFFPTDAIRVFARYMPTIDHRSSLVSSLGTNTHLLVT DDKPINLTSMQKLIRYALFLDSLLEALPDHINSCIVAFITVVSELVTDYNCLSEEPND LYYDFGYTFFKHGKVDFNFSDIVESIIHPAYGGDALFTVDIAEGNYVYFFYYSRVLYK VLLNGIDTVSSATLNGLLALVESHVTKTVKNHKSTDKDYLLCAILLLVFNRSNSKDEM TKLRTLLASQLIGIREVELVDREFKSLVLLNILLDIPEADKQFVPIAPQRLNMIFRSI LKWLDSDLAYEPSFSIARLLLLEFFTKLMRFEGVRDLGLTAFELSERLLADSLSMCQL DDTSFLLELRSSCLNLYETLSLGVSKNGKEISEYSDEIHENLIEMIFLNFNQERNNQV STLFYQKLYKAISSMELKNLEPQYKRIFDAVLNDKDIGRNINQSRLLTTFLSSLVVRT QQDIIIEYELKVQKQAGSDEDGSASNNSVNSKFKLPQELLRKVANGVPKEYLEYEDKN SFIKYLWYWHLILMYFKDTSYNMRQLFIEQLKEAGLINKMFDFITDQIDLRDNDFWKQ VDTNEISRYDIVGNNFSPYKEDIFEECKKLLGHTLYQLFNNVGSLTSIWWLNIKDRSL QNDIEKFVSQFISPILIKNEFDDINSKMDRLTSSDDALTIKLNNITNEVKASYLIDEQ KLEISFKLPKNYPLTNIQVNGVSRVGISEQKWKQWIMSTQHVITGMNGSVLDSLELFT KNVHLQFSGFEECAICYSILHAVDRKLPSKTCPTCKNKFHGACLYKWFRSSGNNTCPL CRSEIPFRR SPAR_M03650 MLHRHSSKQKNFESIAGKVVHDLAGFQLLSKNVQKSAIQSGHQG STNTADTASQGMANKYSVPKKGLPADLSYQLIHNELTLDGNPHLNLASFVNTFTTDQA RKLIDENLTKNLADNDEYPQLIELTQRCISMLAQLWHANPDEEPIGCATTGSSEAIML GGLAMKKRWEHRMKNAGKDASKPNIIMSSACQVALEKFTRYFEVECRLIPVSHKSHHM LDPESLWDYVDENTIGCFVILGTTYTGHLENVEKVADVLSQIEAKHPDWSNTDIPIHA DGASGGFIIPFGFEEEHMKAYGMERWGFNHPRVVSMNTSGHKFGLTTPGLGWVLWRDE SLLADELRFKLKYLGGVEETFGLNFSRPGFQVVHQYFNFVSLGHSGYRTQFQNSLFVA RAFSFELLNSAKLPGCFEIVSSIHESIENDSAPKSIKDYWEHPQAYKPGVPLVAFKLS KTFHEEYPEVPQAILSSLLRGRGWIIPNYPLPKATDGSDQKEVLRVVFRSEMKLDLAQ LLIVDIENILTKLIHSYEKVCHHVELASEQTPERKSSFIYEMLLALASPQDDIPTPDE IEKKNRLKETTTRNYRGTC SPAR_M03660 MSSKPISNKKGEFKRQSSSFREIISADHPIYKPATGRYWLYVAL PCPWAQRTLITRALKGLEPIIGCSVVHWHLDDKGWRFLEAGGEKTNGRHWFDIAGGIS SANLNTSTSVADVANNAHRLLIDGTDEPHYGYKSLSELYFKTKPDYNGRFTVPVLWDL QTCTIVNNESSDIIRIMNSPAFDEFVGEEYRQIGLVPQSLEVQITEFNSWVYDKINNG VYKAGFAECAEAYEKEVTSVFQYLDKLENLLDKKYTGLEAKYGKNNKDKILARYFVIG DTLTEADVRLYPTIVRFDLVYHQHFKCNLATIRDDYPRIHTWLKNIYWQHEAFQRTTD FTHIKLGYTRSQPRVNPIGITPLGPKPDIRPL SPAR_M03670 MKLSQVVVSAVAFTGLVSAANSSNTSSTNAAQPIAGLNNGKVAG AAGVALAGALAFLI SPAR_M03680 MLGKVFVSYIRTRIGFKPLSTIYTPLSSSSHSFGKDECFPFKKW HELDMSQKQEFIQLFVKNYRHQYPSSKTNVSLKGLSIGMDEHNDSPSVFGIFYNDIWK SFKNEQLGTRNDNMKSGNRFSHPSFKQLLIQK SPAR_M03690 MGHTVPKAIEREDDIHPLVSKEMSDTNLQPPPTTRSPSPKGPNN NEDEENEDFDIDSNETTLQRISKDYLKPNIGLVLLTVSYFFNSAMVVSTKVLENDPDD IANDRQIKPLQILLVRMVITYIGTLIYMYINKSTISDVPFGKPEVRKWLILRGCTGFF GVFGMYYSLMYLTISDAVLITFLSPSLTIFLSWVILRERFTKVEALGSLISLMGVVLI VRPSFLFGTPELTDSSSQIVESSDPKSRLIATLVGLWGVLGMSCVYIIIRYIGKRAHA IMSVSYFSLITAIVSFIGINTIPSMKFQIPHSKKQWILFGNLGVSGFIFQLLLTMGIQ RERAGRGSLMTYTQLLYAVFWDVALYKHWPNIWSWIGMIIIISATLWVIRIRAANNET TAKDLTPVIDDEENSIPLTEFDMSDSK SPAR_M03700 MPLESIWADVPDEEPIKKQKPSHKRSNNNNKNNNTRRSSDSSSN NKKKDTINKVKNNKRNYESKTKNKIKETPSREKNTPHSQGKISPVSESLAINPFSQKA TEVSPPPISPSKMKPTKTQSKLDTPSKMKLLKKKIEEQREILQKTHHKNQQQQVLMDF LNDEGSSNWVDDDEEELILQRLKTSLKI SPAR_M03710 MANKVIQLQKIFQSSTKPLWWRHPRSALYLYPFYAIFAVAVVTP LLYIPNAIRGIKAKKA SPAR_M03720 MLQRRFISSCGIKRLLYREPDKVIHTVLFKVRYYSTELIKKKHK EDIEDWVRAQLKDSSIISSACEPQNKLDWMDCIAKSPSSLDILKNQYNIVKDIDFEIL WKQKFKSADPDVLATIINLSANQKVLFSIKQLLILINALHFLRKDYDIGRIYTTYEQF MPLLAIRTDRDIYGQFIEIMLVVQSNLHHFGTCETLFSEYIKYCKVNPQMISLGLNSF IRNNNTQLAVEFYTQAITNPDTFPIAEKQLFEFLRCLERYLDISNIKHIFYLWLKVKC GNEQSSSTNLPSFKTLALMHRMLLRFPNTDGLGDFLTNPVILSTGYTSSVQFDLVEFC HSLYSNKGDRAKSIDDSTMMESIDKFIIRLNNDIPTRKELYMSVVQAYVSTNNFQNLK VILEKIQSDNDINIDGPFHLCISRYFINTNQFEGLFKYYRSMVKTTDGKTRLRPAFIQ QLWSCAVNVYPMLTKEITNDLLVTLKRNQYSKCLTWVYTFLQENAHVHTRKINGGEDS SLSGFNSVDFERFEEFKRKISLNDVYGAELVISNSLKEGIAPQFSFLYSVLALCLSNS LTSLARVVDRILRARFCYIPLKVDILWLKWDVISNYRSFEKLSTERLKELEFKLKEFE RIHQKDLSVQNYLQLTQICFHTRDFKYACYLISQARKNLITSNNKQWMMYYMTSLKLA ARMHESERFSRILKDWNCNHRASLITPGCIRQIKGFMKYFEKRPVYTSTAASVDDKEV KDRIDELVLRYVDYKFQGLESMRELTSFLKDWFDEEISLIKLEQNERKMKLLKENIKE ET SPAR_M03730 MAFQDQGLFIVLSHASLFLNQNDLLRLSLTSKKIHDIIAIPRLY NNIHITKNPVLRTNKCFLEGGKTYVSGYRSVLKTGDKNDIFLYDRIERLLEASHLKSI KQLTIDENLFHNRKEGLQLLQRLVNEITNLNAIEILDIKDSALFGLCSEKYYGLTRLK KRIVYGKTGFDGIRLWENFKSLKWELPVSLDLRDVIIPEVGALLMKQLDGGELEIKDE AYSSLRVFEYFDSVNLRFKNLRRLKLNHVHKQDEGSTTSMRLSSRAFTDVVNLSTLKA LELEFSCEVDDCECDDDFLQDITGNLVSLTSLGFIEKTFAKQGYHYMDEKWDLVINKF ILNLPNVSKNLRSLSIRHDPPLNGKGIDTVDGNLLRRKRIYEKVLPKLSSLETIIAPT VLQSITSYEMYACDLLWNGCKCAFCSKYLPLFDKYIMNHQYFSASDARYLDIIPIVFA AYTGKSLAKRFDPQKNWDLDLLQYAPEDTAWDFHGFERIHHFASYECYFDESSFEPLA TIISHFFYPYMNYLIKILPNLRQTMLSGIYFNVSPELHIYESIYD SPAR_M03740 MTTDAQFPSQEIELFRIKEFLIANNPAKINNENKDAILTQIEQN FRHLIQYIKDGLPNLNESTKLIFPDTFSICLLRSHQIIASKKIDSQEYLSAVKEHLLT ETNANIIFEYVLDFWADGGAPLMNALRDLFGKLLNLLKITYPMSILKDILFNWMNEIL EVPSTLRVQYYLIDALSFDFDLYYILEKKPHFIDNSFSLMNSDLLANPVGKCVVSLLL NVYEKHFKKDEAFVQEWIQLWKSSALKYIHDNQYTKSINLYIMIPLFKNMPNSIFTIF LKSIPKKDPSLLLSLLKIGQELGIEEEPFCDNKYTSINSINKLLEQDEYKLQVFEILT FSTKKSKPIRPFVFETIKQYLDVFFVDTELERRNYFCSSMKHFIFRIRDCAYSLARDA GKLKKAGKFPDEQKEKLAQVEESQAFLVWLCSSIKHHLAPGTLYQANVTSLKLLHILI KSGIDKSTPQQFLDNQNKREYPFSLPILQDVTFLRLLIDLLVSNYADVRELSKKMLFM MISADESRSLLDRLNINALRRTANSLLNNYEKGDAGAAVYEFIFTIMGSQRSFIDQTI DILSRMVQDLQNDSIGCAENSVGTHFAALSLVLNKFNSEKNHRDTSKTISKTINLVLK GWEATRNVVCHDSALGILPEVYADCGVPDQVIISHAFRAIKEASYLLETILKEYPLTS DQLHSIGDLFTVQLSTIRHSGAFQAVLPGLKAYCIRCQLEFPALLQELLNKSIKSLKS KTQHITRRSGGLPFLVTTVLSAEVTKGRPLLQKTFEDLLLIARLPVPPHQDEFDLPQV NAINCINAIFVEPKLSAHCTSFVSEALELALLNFDCDIWALRNCSLMLFTSLQNRIFG KVGRSASAKLFFTKYSGLRQLLLDILNNSVAQYSGNERKTYHIESIFLILNVLLRLRP TPGYAGLKEFNVSIYVCLSNENWKIRDMASRVLHSLSEKFEEEVRKLLELASIENQNQ LHGHLLALQQLVPQYLSENKDTELIQKIFEKKRMILLENKCFITKKAYLKLTWCILET CDIPDLVLKDYTSTLGNIFITENNEYVVNGSKQLYLSQILSILLKYEDSIHLHDICLL GLQSPFYEVQLNTLQYMNNNFHWETKRSSEFFEQLQPLLTVTDLLPMAKALVVKILSR KEGALSLATCTELLKADNSEDAKLAAVSSLSTQLSSQTFHWIWNLLRGFFSDSCSKDF RLASLECLTAFPESCKNFKILLQFYNFLWDDDSEIREKASFYLNKYFIQAEDWECNKN TGVTALIFTGKFVEVFTGSEVVEELCSQLFQYLNEYDMFAARKSVKNCLFTTEKDNQF INELQRAMHLLDMIKSTAKDISKCYKDQISHLKSALLKHLNNADLKDSPLGWCSNTEI FSRITLLKELIQQYFPSNYENFIDDLTRHSVHPLIISYSQF SPAR_M03750 MGKKNTKGGKKGRRGKNDSDGPKRELIYKEEGQEYAQITKMLGN GRVEASCFDGNKRMAHIRGKLRKKVWMGQGDIILVSLRDFQDDQCDVVHKYNLDEART LKNQGELPENAKINETDNFGFESDEDVNFEFGNADEDDEEGEDEELDIDDI SPAR_M03760 MTIIVASLFLPYTPQFEADVTNSDTAKLVESSMIKVDCNNQELS NNKQERSSSVTSASSHYIGLPQEPQINGEPLPRATVSSPATGVNYHNEMEMLSSEQFL EELTANATHAANSGIPQTNNPVSSSSTAQRPSVEEFFSAPSARVCPPAQEASASSISV GRGSSHYNDLNSNLMKNPNLSFDSHPSRVRSSSKSAVITPVSKSVPDVDPAVVDVAKV REEFQQQASLPSMKRVSGSAAGDSSIASAGSNLKYSEHFQDNFIEDTDSEDDIDSDLE TDATKKYNVPKFGGYSNNAKLRASLMRNSYELFKHLPWTIVDSDKGNGSLKNAVNIAV AEKTVKEPVSWIGTMGIPTDELPHEVCQKISKKLEKDFCSYPVVTDDITFKGAYKNYA KQILWPTLHYQIPDNPNSKAFEDHSWDYYQKVNQRFADKIVSVYKPGDTIWIHDYHLM LVPQMVREKLPKAKIGFFLHVSFPSSEVFRCLANRERILEGIIGANFVGFQTKEYKRH FLQTCNRLLAADVSNDEVKYHCNIVSVMYAPIGIDYFHLASQLRNDSVVEWRQLIKER WRNKKLIVCRDQFDRIRGLQKKMLAYERFLIENPEYIEKVVLIQICIGKSSDPEYERQ IMVVVDRINSLSSNISISQPVVFLHQDLDFAQYLALNCEADAFLVDALREGMNLTCHE FIVSSFEKNAPLLLSEFTGSSSVLKEGAILINPWDINHVAQSIKRSLEMSPEEKRRRW KKLFKSVIEHDSDNWITKCFEYINNAWESNQETSTVFNLAPEKFCADYKASKKHLFIF KISEPPTSRMLSLLSELSSNNIVYVLSSFTKNTFEGLYNGVLNIGLIAENGAYVRVNG SWYNIVEELDWMKEVAKIFDEKVERLPGSYYKIADSMIRFHTENAEDQDRVPTVIGEA ITHINTLFDDKDIHAYVHKDIVFVQQTGLALAAAEFLMKFYNSGVSPTDNSRISLSRT SSSMSVGNNKKHFQNRVDFVCVSGSTSPIIEPLFKLVKQEVEKNNLKFGYTILYGSSR STYAKEHINGVNELFTILHDLTAA SPAR_M03770 MDKLVDAHCHIITDPNDTLGGDDGASHGMLRCVMSSNPYDWNKL KKMAGNSRRKDGLCVGFGVHPWYSHLFYVGDRCDKVSHYQDVLEYKNEEQFASLVQVL PDPLDLEEYIDREFNDTLVSVIGEVGLDKLFRLPANGFYMQNEKARLTTVKVKLSHQE IVFRRFCRLARHTSKSISIHDVKCHGKLNDICNEELLPYHSVKICLHSYTGSKETLLG QWLKKFPSDRIFVSLSKWINFKNPEEGDDLVRSLPPACILTETDYPIDNPDPSYQRAL TDQLQYLNAQIARAWDGNLDASQTALRIYENFWKFIK SPAR_M03780 MARPINSNTETESRGRPTQSGGYANNNNGSNNNNNNNNNNSNNS NGPTSSGRANGKQRLTAAQQQYIKNLIETHITDNHPDLRPKSHPMDFEEYTDAFLRRY KDHFQLDVPDNLTLQGYILGSKLGAKTYSYKRNTQGQHDKRIHKRDLANVVRRHFDEH SIKETDCIPQFIYKVKNQKKKFKMEFRG SPAR_M03790 MEDSRLLITLVLVFGVIFLKKFFQSNQHPSAQRLSATGVNAHGG PQGPTQNALRRTGRVNGGHPVTAQMVETVQNLAPNLHPEQIRYSLENTGSVEETVERY LRGDELSFPPGFEPSRAPMGANAAANNNIAGGGEFNDPRKKNMICAENLLDKFHVDPN EDMSNLSFKDLDIEERKKLLVWQARKNLETKLQNDKDLQSLLS SPAR_M03800 MSSRSGNHCVLRKWNSCSCELVVPSEVPEHAITKLHIYDFDNTL FATPGPTEQLYTRELLNVLTSSVLANGGWWNEPEFLQAAIKISKVKPRQYSWNENIIK LAEESYCAKDTISIILTGREENRFHKLIEHALQTARSHWKCSTNEFRFNAVCLKKKEI SEYTSKYKKELMGEFLKYYPSLQELIIYDDRVHQIEAFKSFFHSLDLSRLKWSAIPVR PFTKSLPRDQELEIVTEMVQKSNSQAFNTSQKFDLTWTPRQTGYILCMASHRLLSIEV MKYLRRGKGRRTFRPKLYEYPLYIPCAEPGKDIPVLEIAKIWSNNEACIFDSEEKLQR ISEKFHQQQPGKCIVHFQVTDLAVISSVCHNKRKPLEVYFKATPDPNRYAFTLFPEFI VTGHFYKKDQIEDLEAVTEHLMDCKKAIHWIPLEKAISIKTFFGQYTKLASIPRSGA SPAR_M03810 MNSTNSTNSTTTATSTNTSTQQVVTALVSNGAIFGVFVVAFLIL RIKLKRIYEPKSSFNLINEEKKPEPLPQGIWQWLKPLLKKSDNFVIQQAGLDGYFFLR YLFIISIYCAVSMAYIFPILLSINASNGNHESGLNQLAYQNVKHRGRYFAHVFCGWIF FWGFLYIIYRELYFYTSMKQAVLASPRYAKKLSSRTVLFQTVPKQYLSEEEFSKLFDG VKRVWIARGSGSIEAMVKTRDNMAIQLEGAETKYLKAALKKIKKLNKKSPQLSVSDNI AEYVPDKKRPHHKINKVAKFFFGKKVDTISYIKEELPKLNQKVKALQEDHENSSPFNS VFVEFESQYQAQVAAQITTYHAPLFMTPAYIGIEPSDVVWFNLRMFWWERLGREVTSV SAIIALVILWAFPVAFVGMISNVTSLTNELKWLRFIYKLPKPLLGLLTSLAPTVALAV LMSLLPKFIRAMAITQGAASKQNVEHFTQQAYFAFQVIQVFLVTTLSSAATSTVTEIV KEPTKAMDLLASNLPKASNFFMSYVILQGLSISSGALLQIVPLILFYVLGAFLDGTVR KKWNRFCGLSSMQWGTTFPVYTNLAVITFSYSIISPLILLFAAVAFFLLYVAYLYNLT YVYQESSDARGIYYPRALFQTIVGIYIGQICLLGLFVVGKGWGPIVLQVIGICITVLV HLHLSAAFDHLSKVIPVDTMKPLDGISDTPSFKNIYKGIESTKVKKNAFGANIDMDGV KELPEFPIKKYHKRSESVTEKQVENSVFSENTFEYQFNPTNEANADGHAINAENLIED VPLLADGDTMKIPPAPWWKRFLKPHIYYSYKAVKSRLPEIYGLVDPDERVNDFDIAHA YDYPAVSAQCPELWIPRDPFGFSKSLISDVAGVVEMSDEGATINENLQFTLRDVPPLY QDVKDETAGEANGEVETASKENNPFADPKYKEEESRSAV SPAR_M03820 MSLLRMNALIGKSRSIDRLKQTLNILSVRNHKQFSTIQQGSKYT LGFKKYVTLSNGEIGSFFHDVPLDLNEHEKTVNMIVEVPRWTTGKFEISKELRFNPIV QDTKNGKLRFVNNIFPYHGYIHNYGAIPQTWEDPTIEHKLGNGDVALKGDNDPLDCCE IGSDVLEMGSIKKVKVLGSLALIDDGELDWKVIVIDVNDPLSSKIDDLEKVEEYLPGI LDATREWFRKYKVPAGKPLNSFAFREQYQNSNSTIQTIKDCHNSWRRLISGSFQDKYD NLPITERAGNGVTLEDSAKPSSKIPTDVQKWYYV SPAR_M03830 MEYAHYVRPDSKRPPDEESPAAAELTSKKANEASTRNRELTTVL VKNLPKSYNQNKVYKYFKDCGPIIHVDVADSLMKRFRFARIEFARYDGAVAAITKTHK IIGQNEITVSHLTECTLWMTNFPPNYTQRDIRGLFQDISIVVLSVRLPSLRFNTSRRF AYIDVTSKEDANNCVEKLNGLEIEGYTLITKVSNPLEKSKRTDSATLEGREIIVRNLN TELLDENLLSDSFERFGSIEKINIPAGQKEHSFNNCCAFIVFEKKDSAEEALQMNGSS LGNREISVSLADKKPFLERNEVKRLLASRNSKELETLICLFPLSDKVSPSLICQFLQE EIDVDKKDIKKVLLVSDFNAAIIIFTDKKLAAKMLMGLNGSQFQGKIIRSGTINDMKR YHSNQRNHNVKISKPSCVNVSQKRRNLQAKKKLPNEREQMSNDDFRRMFLGEQK SPAR_M03840 MDSKEYLISYGWKEGEAFKEGGLKRPILVKHKRDKKGLGNAPGG NDGEAWWERLFDGHLKNLDVSTDSNNGSIKFTQNEAVVSAVSKSSSPLYRWFVKGEGL KGTIANLGKKEETNFVISSASSSKAKKKRNRSDDYDDDKAKRKKLKKAKRSSNDGKSK KEKKSKKESKKAKKSKHNSNGGDKSKHRKDKKSKKHKREEIPTKKDKKEHI SPAR_M03850 MSDFDEESQIETQIDAPIENIIRGSELTTTTANKETLKSANELL DSLEHSHSVDLSLHLYSAYLLKRLLYRANEKKHFYEVNQFVKTQIKDNWTSWPNPNTI IDPSVDKLYEDIPEGIENVSVQPGEVSNRALIHASDMMRVELDAQWQKYLSKSALEHD VTLDVDGMNIPTEISQNILVKLDSLFEGLHDKIAKENEFDVRQDTHSNNIRVNQIDDE PMQANRRIKFRYHDLISRGCEMNEDMTEIYMKSLELFNDIPEKFKKRKFKLPKHILKK YNQPKKTSSYLKELLSKTREDYIPVEKLLKDKRLTSKDKSKLQCLNREETEDALNKRT FFQVKGYLADENEISDYELDDCLIELPNGES SPAR_M03860 MSAPTTSLEDYQKIFLELGLECKALRFGSFRLNSGRQSPYFFNL SLFNSGKLLANLATAYANAIIQSELKFDVIFGPAYKGIPLAAIVCVKLAEIGGTKFQD VQYAFNRKKVKDHGEGGIIVGASLEDKRVLIIDDVLTAGTAINEAFDIISIAQGWVVG CIVALDRQEVVHESDPERLSATQSVSKRYNVPVLSIVSLAQVVQFMGNKLSAEQKSAI DSYRKAYGI SPAR_M03870 MSTNTSKTLELFSKKTVAEHNTAKDCWVTYQNRKIYDVTKFLSE HPGGDESILDYAGKDITEIMKDSDVHEHSDSAYEILEDEYLIGYLATDEEAARLLTNK NHKVEVQLSADGTEFDSTTFVKELPTEEKLSIATDYSNDYKKHKFLDLNRPLLMQILR SDFKKDFYVDQIHRPRHYGKGSAPLFGNFLEPLTKTAWWVVPIAWLPVVVYHMGVALK NMNQLFACFLFCVGVFVWTLIEYGLHRFLFHFDDWLPESNIAFATHFLLHGCHHYLPM DKYRLVMPPTLFVILCAPFYKLVFALLPLYWAYAGFAGGLFGYVCYDECHFFLHHSKL PPFMRKLKKYHLEHHYKNYQLGFGVTSWFWDEVFGTYLGPDAPLSKMKYE SPAR_M03880 MSNRNNESMLRATSNNKATANQRDKRKSEVLIAAQSLDNEIRSV KNLKRLSIGSMDLLIDPELDIKFGGESNGRRSWSGMTSSSVSMPSGTSSVNNTRYSDP TPLENLHERSDSGMEYPNKSKQGNNIGNKKGVHSPSRKLNANALKKNLLWVPANQHPN VKPDNFLELVQDTLQNIQLSENDDTNEDNDENNDENNDNENDKEEKESKSYENKENKT INLNRGLSRHGNASLIRRPSTLRRSYTEFDDNEDDNSQGDRDFKTVNEVDERTSTIKE RPVSLRDITEELTKISNSAGLTDNDAITLARTLSMAGSYSDKKDEPQPEGHYGERDIG VTTSQANTSDDGEFASNMPINNNMTWPERSSLRRSRFNTYRIRSQEQEKEVEQDVEEI KNDEVERLHLAKNTTKVGIDPHKSPFRQQDEESENMSSPGSIGDFQDIYNHYRQSSGE WEQEMGIEKEAEVVPVKVQDDIVEQDLELREESAGTIKQSATDDNKETKRHRRRNGWT WLNNKMNRDEDNEENQGDDENDENVDSRRMELENSKKHYISLFNGGEKTEALNKEEMN NANASTTTSQTRQKIEKTFANLFRRKPHHKHEESLSPSSSPSSSPPIPNNDTVHVRER KLKKLGSKNGREPVEPILLHNRPRLHRHHHSRHGSQKTSVKVLKDTQSQSQSQSQSQL QPQPQQQIPLQSQLEGAIEVEKKEESDSESLPQLQPAVSVSSTKSNPRDREEEETKKR TKKRSNTIEISNEQRSRHVQKENTHEQKAQLQAPAQEQVQASAPVQASTPAQAQAQAQ TQDQAQGQVQAQAAPPLRHTSVLPPRKLTFADVKKSDKPNSPVQFTDSAFGFPLPLLT VSTVIMFDHRLPINVERAIYRLSHLKLSNSKRGLREQVLLSNFMYAYLNLVNHTLYME QVAHDKEQQQQQQQP SPAR_M03890 MLPFPTFLVLLYISISYVLPLYATSQPERSKRDNPRTIKSRMQK LTIMLISNLFLVPFLHSKLSKLSSTTSHVSFKDAFFGLGIIPGYYAALPDPWQFGQFV KDLTKCVAMLLTLYCGPVLDFVLYHLLNPKSSVLEDFYHEFLNIWSFRNFIFAPITEE IFYTSMLLTTYLNLIPHSQLSYQQLYWQPSLFFGLAHAHHAYEQFQEGSMTTISILLT TCFQILYTTLFGGLTKFVFVRTGGNLWCCVILHALCNIMGFPGPSRLNLHFTVVDKKA GRFSKLVSIWNKCYFALLFVGLISLKDTLQSLVGTPGYRITL SPAR_M03900 MVKGLNEPGFPPKRRPLMRPQRSDFTANSSTTVNANANTRGRGR QKQESGKGSSRSPSLHSPKSWIRSASATGILGLRRPELAHSHSQAPSSAAPAGGNRSP LRRSTENATPVETGRSWTDGDINNVVDVLPSFEMYNALHRHIPQGNVDPDRHDFPPSY QEANNSTAKGAVGSSTDLSHQSLSTDALGATRSSSTSNLENLIPLRTEHHSIAAHQPT VVDEDSLEVPPILDDLNDTDNIFIDKLYTLPKMSTPIEITIKTTKHAPMPHVKPEEES ILKEYTSGDLIHGFITIENKSQANLKFEMFYVTLESYISIIDKVKSKRTIKRFLRMVD LSASWSYSKIALGSGVDFIPADVDYDDSVFGLNNSRVLEPGVKYKKFFIFKLPLQLLD VTCKQEHFSHCLLPPSFGIDKYRNNCKYSGVKVNSVLGCGHLGTKGSPILTNDMSDDN LSINYTIDARIVGKDQKTSKLYIMKEREYNLRVIPFGFDANVVGERTTMSQLNDFTKL VQERLDALRKIFQRLEKKEPITNRDIHGSDLSGTIDDSMESDSQEILQRKLDQLHIKN RNDYLVNYNDLKLGHDMDNIRSGSSGHNIDTSRAWGPFVESELKYKLKNKSNSSSFLN FSHFLNGSSSSTSTSSNAAKNNHDPTGNKERTGLILVKAKIPKQGLPYWAPSLLRKTN VFESKSKHDQENWVRLSELIPDDVKKPLEKIDLQLTCIESDNSLPHDPPEIQSITTEL ICITAKSDNSIPIKLNSELLMNKEKLTSIKALYDEFHSKICEYETNFNKNFLELNELY NMNRGDRRPKELKFTDFITSQLFNDIESICNLKVSVHNLSNIFKKQVSTLKQHPKHTL SEDSISHTGNGNSSSPNSASLTPVTSSSKSSLFLPSSSSSTSLKFTDQIVHKWVRIAP LQYKRDINVNLEFNKDIKETLIPSFESCLCCRFYCVRVMIKFENHLGVAKIDIPISVR QVTK SPAR_M03910 MSLNIHIKSGQDKWEVNVAPESTVLQFKEVINKANGIPVANQRL IYSGKILKDDQTVESYHIQDGHSVHLVKSQPKPQTGAVVGATNATATGAAAGTGATPN MSSGQSAGFNPLADLTSARYAGYLNMPSADMFGPDGGALNNDSNNQDELLRMMENPIF QSQMNEMLSNPQMLDFMIQSNPQLQAMGPQARQMLQSPMFRQMLTNPDMIRQSMQFAR MMDPNAGMGSAGGAASAFPAPGGDAPEEGANTNTAPSSNAGANADVNAGVNAGVNAGS NTAANPFASLLNPALNPFANAGNAAGTGMPAFDPALLASMFQPSTQASQPEDTRPPEE RYEHQLRQLNDMGFFDFDRNVAALRRSGGSVQGALDSLLNGDV SPAR_M03920 MTTQIRSPEGLPYPIQIDKLIPSVGSYLHEGDRLLVYKFWYLVE RASDTSDDDNEHDASPGGGTGSNGASPPTKQLRESIEFFESPYEGDLISWNVDVGDEV ATANQVICEIKRPCNHDVVYGGLCTQCGKEVSADAFDGVPLDVVGDMDLQISETEAIR TGKALKEHLRRDKKLILVVDLDQTIIHCGVDPTIAEWKNDPNNPNFETLRDVKSFTLD EELVLPLMYMNDDGSMLRPPPVRKCWYYVKVRPGLKEFFAKVAPLFEMHIYTMATRAY ALQIAKIVDPTGELFGDRILSRDENGSLTTKSLAKLFPTDQSMVVVIDDRGDVWNWCP NLIKVVPYNFFVGVGDINSNFLPKQSTGMLQLGRKTRQKSQESQELLTDIMDNEKKLQ EKIDKEVKRQEEKLNHQLATAEEPPANESKEELTKKLEYSASLEVQQQNRPLAKLQKH LHDQKLLVDDDDELYYLKGTLSNIHKTYYDMLLQQNELEPNLMEIIPSLKQKVFQNCY FVFSGLIPLGTDIQRSDIVIWTSTFGATSTSDIDYLTTHLITKNPSTYKARLAKKFNP QIKVVHPDWIFECLVNWKKVDEKPYALIVDSPISDEELQNFQTQLQKRQEYLEESQEQ QHMLTSQENLNLFAAGTSWLNNDDDEDIPDTASDDDEDDDHNDESDDENNSEGIDRKR SIEDNHDDTSQKKTKAEPSQDGPVQHKGEGDDNEDSDSQLEEELMGMLDG SPAR_M03930 MPQRILETVPSDLKGPISLWFKQDRNPETIEEVTALCKKSDWKE LHKRFDFRIQFGTAGLRSQMQAGFNRMNTLVIIQASQGLATYVRQQFPDNLVAVVGHD HRFHSKEFARAAAAAFLLKGFKVHYLNPDHEFVHTPLVPFAVDKLKASVGVMITASHN PKMDNGYKVYYSNGCQIIPPHDHAISDSIDANLEPWANVWDFDDVLNKGLKQGKLMYS REEMLKFYLEEVSKNLIETNPLKLEVKAKPWFVYTPMHGVGFDIFSTIVKKTLSLVEG KDYLCVPEQQNPDPSFPTVGFPNPEEKGALDIGINLAEKHDINLLVANDPDADRFSVA VKDMQSGEWRQLTGNEIGFLFAFFEYQKFKSMDKQFQHEHPLAMLNSTVSSQMIKKMA EVEGFHYEDTLTGFKWIGNRAILLEKKGYYVPFGFEEAIGYMFPAMEHDKDGISASIV FLQAYCKWKIDDNLDPLSVLERGFKKYGVFKEYNGYYVVPSPIVTKEIFEYIRNVYTP KGVSYPSSIGEEIEVLYYRDLTTGYQSDTPNHKPTLPVDPTSQMITISARPSNGNENE HIRFTIRGSGTEPKLKVYIEACANKEQRATFLAKLTWNVLKREWFRPDKMDIVTKF SPAR_M03940 MFPIFKKKTSVQGTDSEINEKTTMKTNDKVIVSTEDEEVTTIAS SIKSNQVTNDSPWQDPTYFGSFGKELMFIATCMLAQLLNQAGQTHALCIMNVLSKSFN SEANNQAWLMASFPLAAGSFILISGRLGDIYGLKKMLMVGYVIVIVWSIISGLSKYSN SDAFFITSRAFQGVGIAFILPNIMGLVGHVYKVGSFRKNIVISFIGACAPTGGMFGGL FGGLIVTEDPDQWPWVFYAFGIATFLSLLMAWYSIPNNVPTNIHGLSMDWTGSALAIV GLILFNFVWNQAPIVGWDKAYIIVLLIISVIFLVAFFVYESKYAEVPLLPREMTKNRH MIMILLAVFLGWGSFGIWTFYYVSFQLNLRHYSPVWTGGTYFVFVIFGSMAAFFVAFS IKRLGPALLLCFSLIAFDAGSIMFSVLPVEQSYWKLNFAMQAILCFGMDLSFPASSII LSDGLPMQYQGMAGSLVNTVINYSASLCLGMGGTVEHQINKSGNDLLKGYRAAIYLGI GLASLGFLISVTYMLENLWNQRRKCEKKSLEA SPAR_M03950 MANNNSGRQGLEPRVIRTLGSQALSGPSISNRTLSSEANPHFSE NVKEAMIKTTSPTPLSTPIYRIAQACDRCRSKKTRCDGKRPQCSQCAAVGFECRISDK LLRKAYPKGYTESLEERVRELEAENKRLLALCDIKEQQISLVSQSRPPTSIDNTVRGN FKQDLKDAPLNLSSTNIYLLNQTVNKQLQNGKVDSDNSTSAMSSLEAPPPLPHKDHLC DGVSCTNHLHVKPTSTSLNDPTAISFEQDEAPGLPAVKALKSMTTHQRSTQLATLVSL SIPRSTEEILFIPQLLTRIRQIFGFNSKQCLYTVSLLSSLKNRLPAPRLLLPSTSNTL KEKDEGKKPNDDPAFLKTFQTTNLSDFVDLKRFLIALKFNVNSFSKQSEKLANDYDNE LLSLTEIKELLHLFFKFWSNQVPILNNDHFLLYLNNFVEVVRDLSLENSKTSNTTKST VITNHEIFALKVVIMLQMGLLIKIKMEKIKYTVPKNPKAKYARLMAYYHQLSLIIPKN PYFLNMSTTSLPSLQLLSLASFYYLNVGDISAIYGVRGRIVSMAQQLRLHRCPSAVLS VHSNPVLQKFEQSERRLLFWAIYYIDVFASLQLGVPRLLKDFDIECALPISDVEYKDQ LSMENEKKNKKAKKIQLQGQVSSFSLQIIRFAKILGNILDSIFKRGMMDERITSEVAL VHENALDNWRNQLPEMYYFQITVNGTVNLDEVRANNQRNTETTFEKKDIILFEKKILL LFYFLAKSMIHLPVIATKPLPKNVDNVTKKKQSMFNNDSKGATDQDHMIVDVDMTSPA IRTSSSYIILQQATNATLTIFQTINSMYLPLPLNVSRTLIRFSLLCARGSLEYTKGGA LFLDNKNLLLDTIKDIENDRLLDLPGIASWHTLKLFDMTINLLLKAPNVKVERLDKFL EKKLNYYNRLMGLPPATTTSLKPLFGSQSKNSAENRSSKSIVKRENPEHEYLYGNGGS NDDNPESDQSPMTNASNGNKRLKYENDTKRNVDNDSIVKGQNASSLQNDNKKNVSTSN LFPFSFSNTDLTALFTHPEGPNCTNSHNNNVDESNRALTGTADANIGNLSFLNMAPFL QAGNSNTGQNAVDNKLMHMDAIFSLPSNLDLIKDNVDPKPERLEPVVNQNPGRLENSQ LQQKDKGTNMEKNNLGFSSKSNYSLTKLMRLLNNDNSFSNISINNFLYQNDQSSTSRD PGSNKKAATNTGSNFKPPSTASNTSQSSILGSTKHGMDNCDFNDLGNFNNFMTNVNYS GVDYDYIVDASLGLAPLLVDTPDISNTNTTSTTSNRSKNSIILDTTFNDDSDRSHMNA REVLNPTDSILSQGIISSIGTRTTSNQRSLSSGNNSKGDSNSQENPDSTNGHQLDTPS TLFQMRRTSSGPSASHRGPRRPQKNRYNTDRSKSSSGGSSNTNNIPDLFQWQNAK SPAR_M03960 MKMLGRAKVNFSKLLYKITKLAIVLTILYIYFTPKIISRNNESL QHFFPHKYGDYQINLVIAHPDDEVMFFSPMISQLNSYFPSTVPFNIFCLSKGNAEGLG KTRVRELNESAALLLHNERTVSVQVMDFQDGMDKIWDIDSITSSLSQKIDIKNRNLNQ IIVTFGSYGVSDHINHKSCHAAVKKLVDDYAQLGTKKNERTPHITALYLRSYRNNIVL KYNSFIWEILKMLYNLISPFRRVIHALPSKTTAESNRILLMNTHAQYTLAFAAMLNAH KSQVVWFRYGWWILSRFVFVNEFDVYTY SPAR_M03970 MWMSKLVKHPSYSVLGFYTKRLCTESFKSLHEFDVLPNSTIFHS VYSRRTCTTGRALIRDTSIHKPYSTHRIYAIDRSKNENTRRAYDKCEVKGIPIDAYTL KRIINSCGMNEVEFSKSISYLYVKTAGSGLRDVLSSEELSFLLNKLYSQRFQIRGICR DINVKYSEFWFKLFSLYAEKVDAKRNQINLRNIKLDACEIFDMNLLIKNFIELGQLGK AQKILSFIVDRNPDILLSPKTADISTIVHFLQLRCGALAAYWKIPDNSEQKQWHLRRM VRLGAKNTSIRLSSTYKAMDHQTLLKIADLALQEKRFLNSEDLLSTLIQSFGHLGQTQ ILERCIEHVWQISPQEFPSHVVVKSRGCYPSSKILVSILVSFYLNDNDLHRGLSILDS FIKHYPDVKLDALFWRRLLQLSHFAWAPTNDKRATSVVRCWDLMKQWYTSKRLNPSVD YETLRQLYDIMKKTGNFPLGIDVLRNFKLGIERVRTANDGRVNNIIIKYQKCIIKELV NRGKFSAVRKFINNFGFDRKMTKDLNIFCANRMLIRSRKMKNKIENKKEREKLRQGSF DDDEDDGMIIGSLW SPAR_M03980 MDENIHLSLSQINKDVRKENKSVRNRLQSIILDNKFLQDRVVPI FPHFPLIPNERCGMWYCKPSTFKQTSYFKSTDGHVNQWDFSTRRLNFHLLETIRDNKG IIIVDSTRRGKKIPDALSKTVPIWCAVLNTLMLQETEKNVDIDKVLYLPPETVPKSEY DMIKGKIPELVAKLQKLNIIDSNRLNELFMGKILRPIWVHPGSSLLDHSVDYFTGEVQ DYEAWETPEDQNIIPIILCTVSYQAQDGMDKRYGFTYVQGAADDHELWSFGLDSKMFW AHIDCLGDMNYSDGQLHDYIMDLAAEKLRNQLYIQDIGSLDEVFGNIDKITNEISLGK VSPGLTINEKLKQKLKSEYGKVMIFSDSVTVVEDTDDAGESRTDSFISIYKLQSGDKK SSKALRSTFPKIHGEVQSALTGRDEQMKPILVCCNTGTDMSIGVILSILCTKYTEEWM LTSELPDVSKIIIRKHLTKLISHLEGRNVNPSRATLNSVNSFLM SPAR_M03990 MQSVNNAFGSSGEPNDQMDETGYRKYDIHEGIMFCIELSETIFK ESSELDCKSPLLEILESLDELMSQLVITRPGTAIGCYFYYCNREDAKQGIYELFPLRD INAEFMKILNDLLEDLSSGRISLYDYFNFQQTGDEKRLPLSVLFTFMLDTFLQDIPGQ KQLSNKRVFLFTDVDKPQEAQDTDERARLRRLTIDLFDNKVNFATFFIGYANKPFDDE FYSDILQLGSGINKNMGLNSEFDGPNTKPIDAKYIKSRILRKKEVKRIMFQCPLIFDE KANFIIGIKGYTMYTHEKAGVRYKLVYEHEDIRQEAYSKRKFLHPITGEDVSGNTVKV YPYGDLDINLSDNQDQIVMEAYTQKDAFLRIIGFRSSNKSIHYFNNIDKSSFIVPDEA KYEGSIRTLASLLKTLRKKDKIAMLWGKLKSNSHPSLFVLSPSSAKEYNEGFYLYRVP FLDEIRKFPSLLSYDDGSEHKLDYDNMKKITQSIMGHFNLRDGYNPSEFKNPLLQKHY KVLHDYLLQIETTFEENEKPNAKKDRIMREDDSLRKLYYIRNKILESERADDPAIQRL NKYVKIWNMFYNKFNDYNISIKEEKKPFDKKPKFNI SPAR_M04000 MTQDKEVKVVAPDVVSAPGVKINRSVKDTKHQSSDDSVLQTKKK GKKGKKSKPVVTPEHIAKVRAEREAMRRAKRDAMLAQGVDPDCPPELHFIKRPFLSLH EEEPVTGFRFKLMTYNCLAQALIRRKLFPDSGDALKWYRRSKVLLNEFKHYNSDVICL QEIDHIQFQSFWKDEFNKLGYDGQYYRNPTKNHGVAIMWRRELFHQVDKMLIDYDKES SESIPTRTTTNNVGLVLALKFSEKVLLSLGKTSSKKCGILIGTTHLFWHPFGTYERTR QCYVVLRKMKEFMGRVNVLQNENDGDLSHWFPFFCGDFNSQPFDTPYLSMTSKPVHYK SRAKTVIECSTSFKFSKVRDGEEGADDEEGGNIEKYGKDQPESPVPENFHANEEQSEL VDKMAKLHNSLDMRAISLYSVGYKNVHPENAGLDNDRGEPEISNWANTWRGLLDYLFY VKKWDLQNNCQEVEDLSDFEEENEIKCRGFLRMPSSNEMTKHGQPHVGEYASDHLSMV CDLELQL SPAR_M04010 MVFYKVTLSRSLIGMPHTTKSIVKSLGLGRRGSIVYKKVNPAIA GSLAKVKELVKVEVTEHELTPSQQRESRKSNPGFIVEKRTIV SPAR_M04020 MVIRGKAHALLIARSFHSYTPCFRVTTRGKRQRSKSKQQAKALL DYTQELDNGRATEAVADCSVGTEKNIESINKDFLQRTKGLEPDIELKQLPQIKEEFYQ RYRDRYVKPSEDWYINTWSSLTKPKIPLYKLINSDIQLVTKLNTPNPIEFQPVQLMES PLNVGDLVLLKMRPNELAMCVSLPNSTMDPRYTFVATDGTMCFATKNRVLLRIPHKLP IGVNSLIQPESHHKHLPIGTIKNFSNQTNILPIVARQLITSKYPAQISKLAWKDLPIT TKKLQLLHRSLQNYMGPWQIPFFTLVGLVQKLDLNKALDDENGINYLTSLVNNYHTVN NAPINSSTFVSTYWAIMQQQESNLWGKIHLNTALLSPVSVTIIPLKSQHLYYAQVIEK LEANSYKEVNKFVKLVNERKYRDISALYPSVIQLLKDFAAGNFHSNGIVVTLISKIFR KIERYKGCDITRDICQDLVNEIIPNSMSNPLLLNMDLALPASSKLMKSQQKLYDLTNI EDLQKKKSGTDGDRYDFGDLKVFCIDSETAHEIDDGVSVKNHRKDGLYTLYIHIADPT SMFPESTNVDTEGISTDILNVALKRSFTTYLPDTVVPMLPQSICHLSDLGKQGQRTKT ISFSVDVKVISRGSGKSLEIMHDSFKIREGIVSNFPKATYEDVDMILSTPNGEASPIK KDLESLSMISKLLREQRIKNNNAVIFGEGFNKGLVALNANAEGELTEVTFSDQEETLS TILVSEMMILANTLTGKYFADNKISGVFRCYKQLPLDLLAQQQYDSMITSTKKGLFPQ LKDIVKLSSLLNSSFYTGRPFRHEMIGAKQYLTVTSPLRRFPDLINHLQIHRHLQKKP LCFNQTQIDSLIWPIQSRADILKRASRSSSTYWTLNYLKKLTKLEPERTYDVMITSVP QNGFAGCVFPDLSFARGTLKLHPSAMHYPMIGDIVKNCKISKIDCLEGMLELEKL SPAR_M04030 MSRPVQFVNADNSDKSHQLGGQYSIPQDLRENLQKEAARIGEKE KDVLQEKMETRTVQNREDSYHKRRFDMKLEPDSDTKIVASYENTHNAVVPRKRKSRWD VKGYEPFDESSTAVREHSDNTLVNIEGIHDLMFFKPSDHKYFADIVSKRPTDELNKDE KKERTFLMLLLKIKNGNTASRRTSMRLLTEKAATFGPEMIFNRLLPILLDRSLEDQER HLMIKTIDRVLYKLGDLTKPYAHKILVVAAPLLIDEDPMVRSTGQEIITNLSTVAGLT TMLTVMRPDIENEDEYVRNVTARAAAVVAKALGVNQLLPFINAACHSRKSWKARHTGI KIVQQIGIILGIGVLNHLTGLMSCIKDSLMDDHVPVRIVTAHTLSTLAENSYPYGIEV FNVVLEPLWKGIRSHRGKVLSSFLKAVGSMIPLMDPEYAGYYTTEAMRIIRREFDSPD DEMKKTILLVLQKCSAVESITPKFLREEIAPEFFQKFWVRRVALDRPLNKIVTYTTVI LAKKLGCSYTIDKLLISLRDEAEPLRTMAVHAVTKTVNLLGTADLDERLETRLIDALL IAFQEQTNSDSIIFKGFGAVTVSLDIRMKPFLAPIVSTILNHLKHKAPLVRQHAADLC AILIPVIKNCHELEMLNKLNIILYESLGEVYPEVLGSIIIAMCCITSVMDLNKIQPPI NQVLPTLTPILRNKHRKVEVNTIKFVGLIGKLAPTYAPPKEWMRICFELLELLKSTNK EIRRSANATFGFIAKAIGPHDVLVALLNNLKVQERQLRVCTAVAIGIVAKVCGPYNVL PVIMNEYTTPETNVQNGVLKAMSFMFEYIGNMSKDYIYFIIPLLEDALTDRDLVHRQT ASNVITHLALNCSGTGHEDAFTHLLNLLIPNIFETSPHAIMRILEGLEALSQALGPGM FMNYIWAGLFHPAKNVRKAFWRVYNNIYVMYQDSIVPFYPVTPDNDEDYVEELDLIL SPAR_M04040 MDGKRKDVYRLVSPMNNPKTDMESYEEVNLVANSNFEVLATFRY DPGFTRCSPSKKEIFESPDPRLGLRDEEIRREIIKEDYLSYLRVREVNSGSGLLENIQ HPDVWKQDCKTIVCHSIEDMLQVIYERFFLLEEQYQRLRIALSYFKIDFNTSLNDLLK LLVENLINCKEGSAEYDVIQKMINEKQCYKIRVLVSKKGSIRIEAIQLPMAPILTLTT NCDSASTYFIKTMLNGFLNHSTINWDVVISSEPLNGSAFTSFKTTSRDHYARARARMQ TAINNLRGSEPATAVSQCEILFPNKSGQLMEGSITNVAVIRRDHNGTKKYVTPRLATG CLCGTMRHYLLRLGLIEEADIKIESLTIGDEVLLFNGVMGCIKGTVKTKY SPAR_M04050 MATPSKKRSRDSESSEEPTVDEKNTTKQNNAAPEEEQTTYAEKF EELKLSQPTLKAIEKMGFTTMTSVQSRTIPPLLAGRDVLGAAKTGSGKTLAFLIPAIE LLHSLKFKPRNGTGIIVITPTRELALQIFGVARELMEFHSQTFGIVIGGANRRQEAEK LMKGVNMLIATPGRLLDHLQNTKGFVFKNLKALIIDEADRILEIGFEDEMRQIIKILP NEDRQSMLFSATQTTKVEDLARISLRPGPLFINVVPETDNSTADGLEQGYVVCDSDKR FLLLFSFLKRNQKKKIIVFLSSCNSVKYYAELLNYIDLPVLELHGKQKQQKRTNTFFE FCNAERGILICTDVAARGLDIPAVDWIIQFDPPDDPRDYIHRVGRTARGTKGKGKSLM FLTPNELGFLRYLKASKVPLNEYEFPENKIANVQSQLEKLIKSNYYLHQTAKDGYRSY LQAYASHSLKTVYQIDKLDLAKVAKSYGFPVPPKVNITIGASGKTPNTKRRKTHK SPAR_M04060 MTTTSTSASQLQQRLPEEKPWPQLSGANEDAQTFKCKYVTNHNS LGDGNFSVVKECMNVHTKDLYAMKLIKKQTVKNKIQLIQREFDLLRSISEKIRDMEKR NEHSLDIFEGHHHILQLFDYFETADNIVLITQLCQKGDLYEKIVENQCLDLETQVTSY CACLVSVLEFLHSQGVVHRDLKAENVLFRLRVNENEKNLQGEHHGDFKYDLLAHDLVL ADFGLAAEYNTSKVNSLKEFVGTISYIAPEIVKCKGVGEMTPDQVDKLDKYGCPVDIW ALGVLTYFMAFGYTPFDCTTDDETLECISKCDYYVDEQMMHDPKYEQFWNFVQCCFTI DPAVRRSAKNLKQHPFIRDYFATSNSLNTKDTPNFSFNPTIRRVSSTASMHTLRSPSK SRKTTTLAYLNMDGGSSETTTAFSSKMDLPDLYVDRTINSRERSLNRIRDTLKKTLSM TSLKPAATFDYLHANKNGTSLSTSKSGLVKKNSTFVLDPKPPKNSLMNGCFSTTPESR SNFNTPKTLSRQGSSTSVKKYVNEVDLLLTPRTASMSSNDTTAINDNDITNDKNSARK HAASFQVNVDDSDGDETMQI SPAR_M04070 MWLTEAQKFGVAFTFGGFLFFLFGIFTLFDRALLALGNILFLIG VFLIIGSQKTYIFFTRPNKRRGSLFFLVGAFLILLKWTFLGFIIESLGIIGLFGDFFG VIVQFLRSMPIIGPILSHPAVAPIVDKLAGVRVLPV SPAR_M04080 MSLKRSLKESIERLTSLQSKYNIFTSINPSPYLITNKEGTKETL TNYVASIKDNIVTKDLPTTCASHILENFKSPFDATVVKLLIQAGVHILGKTNLDEFGM GSGGIHSIIGPVINPLYPHEDKKIMGGSSSGAAASVACDLVDFALGTDTGGSVRLPAC YGSVFGFKPSYGRLSRFGVIAYSQSLDTVGILSKKINVLRKVFHTLDKYDKKDPTSLS VELRELIEKNKKAKSPLKIGIVKEFNHESMPSEFPKLYFSLLEKLVNLGHEIYPVSIP SVKNSLPIYYTLSPAEAASNLSRYDGIRYGYRDSELDIKDGILFAPTRFKFGTEVKNR IILGNYNLCSDAFKNNFIKAEKLRVNLIDEFDRIFRFPNVLTNSKGNPEGLDILLVPT SSKLPESIKEFEEEETKSPANSYINDVFTVPMSLAGLPSLSMPLREKTPIALQIVGQY GDDSTVLDFVESIS SPAR_M04090 MNVIDLSDPATNVDYDSLIGINDGESQEIFENEVKEDEQQEEGE EASSKKDGLIVEPRRDVESLRRAIRDQLLFKVYRQSQLDSADARKVTSDEDDESRQQK LERIRQELEELRTEDSTPEMQTDIKELCQLQSKLATESSNRFASLRKELIEKYEGQDT VILPNINLDTTTIKRLQRLDQKMSEMESYVGNPEALEAEEDRKSVYSKVNELYRSIQL LQGDDKEKGKLQKFRDRLVDLNEEFENSLLGKKIQQDPRLKDETMSKVIKPENKINEI NIMYSMFKEYQDLLPLLAERMKSLNKMNNRVVEVYETTKGLDSQITSIQEQEKLWLKA LNELDKKFDEQEVKIRQNMEQIRRKIDTLEDKALQRDSK SPAR_M04100 MMHFRKKSGISNTSDHEGGNRASDVKISEDDKARLKMRTASVAD PILDAVQEAQPFEQAADTFHDNMNRQSYFSNEEGHVLCDVFGQPITQADISNPTRARD ERPLDTIRSFEYAVSGDPVWAQQLETPTYGFRVRPDFPMFGAAVTYDANGMPQQVGGA SSQMYGEQAVYQPQQHVQTEEKQKKKKKGLFGRMKKK SPAR_M04110 MAHIPEVLPKSIPIPAFIVTTSSYLWYYFNLVLIQIPGGQFIVS YIKKSHHDDPYRTTVEIGLILYGIIYYLSKPQQKKSLQAQKPNLSPQEIDALIEDWEP EPLVDPSATDEQSWRVAKTPVTMEMPIQNHITITRNKLKEKYTDVFNLASNNFLQLSA TEPVKEVVKTTIKNYGVGACGPAGFYGNQDVHYTLEYDLAQFFGTQGAVLYGQDFCAA PSVLPAFTKRGDVIVADDQVSLSVQNALQLSRSTVYYFNHNDMNSLECLLNELTEQEK LEKLPAIPRKFIVTEGIFHNSGDLAPLPELTKLKNKYKFRLFVDETFSIGVLGATGRG LSEHFNMDRATAIDITVGSMATALGSTGGFVLGDSVMCLHQRIGSNAYCFSACLPAYT VTSVSKVLKLMDSNNDAVQSLQKLSKSLHESFASDDSLRSYIVVTSSPVSAVLHFQLT PAYRSRKFGYTCEQLFETMSAMQKKSQTNKYIEPYEEEEKFLQSIVDHALINYNVLIT RNTIVLKQETLPIVPSLKISCNAAMSSEELKNACESVKQSILACCQESNK SPAR_M04120 MKAFTSLLCGLGLSTTLAKAISLQRPLGLDKDILFQAAEKFGLD LDLDHLLKELDSNVLDAWAEIEHLYPNQVMNLETSTKPKFPEAIKTKKDWDFVVKNDA IENYQLRVNKIKDPKILGIDPNVTQYTGYLDVEDEDKHFFFWTFESRNDPAKDPVILW LNGGPGCSSLTGLFFELGPSSIGPDLKPIGNPYSWNSNATVIFLDQPVNVGFSYSGSS GVSNTVAAGKDVYNFLELFFDQFPEYVNKGQDFHIAGESYAGHYIPVFASEILSHKDR NFNLTSVLIGNGLTDPLTQYNYYEPMACGEGGEPSVLPSEECSAMEDSLERCLGLIES CYDSQSVWSCVPATIYCNNAQLAPYQRTGRNVYDIRKDCEGGNLCYPTLQDIDDYLNQ DYVKQAVGAEVDRYESCNFDINRNFLFAGDWMKPYHTAVTDLLNQDLPILVYAGDKDF ICNWLGNKAWTDVLPWKYDEEFASQKVRNWTASITDEVAGEVKSYKHFTYLRVFNGGH MVPFDVPENALSMVNEWIHGDFSL SPAR_M04130 MSQPPPIITTKPAARPKPKIFNLFRVCFISLLLIAAVEYFKYGT RINYEWFHCTPIKEPQSGSVIKLWARGGPSCDKRGEYKTIVKRITRDYEPNDEHLSFC IIENDNVAPVHYPIHEDKGEPGYVAYVGYDTDSELVQELCADSTVYHM SPAR_M04140 MNNGNAWDKLLSQNGSAINSTETAATTAIIYSPSSKTLHQFINI CFPEGSDSKLDTNLINYGTIAWTNDLEQHYCLGVYTLIKNTHNALDLLKPFLQEHTSK IHWLILLDWSLNDQKLWLNELSNTFSKIKQLNDDNEFSIWCLNSSEILNLQRNTTAWQ SVHIDFILQTLRSFCYFNDSSLFYICEDRAEGEEEEAQRLRHQEVLKHFVEDRDMKDY IEMTKRSRISIPKGCDSIGLIKTIDERFEPTEVEEEHFLARYMDFIPAMDEIMENRKT CIGIGLDELSPLETFKVNIQEELGKMFTKYREDSKI SPAR_M04150 MCGILGIVLANQTTPVAPELCDGCIFLQHRGQDAAGIATCGSRG RIYQCKGNGMARDVFTQQRVSGLAGSMGIAHLRYPTAGSSANSEAQPFYVNSPYGINL AHNGNLVNTASLKRYMDEDVHRHINTDSDSELLLNIFAAELEKHNKYRVNNEDVFHAL EGVYRLCRGGYACVGLLAGFALFGFRDPNGIRPLLFGERENPDGTKDYMLASESVVFK AHNFTKYRDLKPGEAVIIPKNCSKGEPEFKQVVPINSYRPDLFEYVYFARPDSVLDGI SVYHTRLAMGSKLAENILKQLKPDDIDVVIPVPDTARTCALECANVLGKPYREGFVKN RYVGRTFIMPNQRERVSSVRRKLNPMESEFRGKKVLIVDDSIVRGTTSKEIVNMAKES GATKVYFASAAPAIRYNHIYGIDLTDTKNLIAYNRTDEEVAEVIGCERVIYQSLEDLI DCCKTDKITKFEDGVFTGNYVTGVEDGYIQELEEKRESIANNSSDMKAEVDIGLYNCA DY SPAR_M04160 MLHLSRSPVIGRIVRSKLKPGLIRKCSPIIFTVSKLSTQRPLLF NSVTNLWNQAQKDTSQKKLVEQPSPAPKVKTQVKKTSKAPTLSELKILKDLFRYIWPK GNNKVRIRVLIALGLLISAKILNVQVPFFFKQTIDSMNVAWDDPTVALPAAIGLTILC YGVARFGSVLFGELRNAVFAKVAQNAIRTVSLQTFQHLMKLDLGWHLSRQTGGLTRAM DRGTKGISQVLTAMVFHIIPISFEISVVCGILTYQFGASFAAITFSTMLLYSIFTIKT TAWRTHFRRDANRADNKAASVALDSLINFEAVKYFNNEKYLSDKYNSSLMNYRDSQIK VSQSLAFLNSGQNLIFTTALTAMMYMGCTGVIGGNLTVGDLVLINQLVFQLSVPLNFL GSVYRDLKQSLIDMETLFKLRKNEVKIKNTERPLMLPEHVPYDITFENVTFGYHPDRK ILKNASFNIPAGWKTAIVGSSGSGKSTILKLVFRFYDPESGRILINGRDIKEYDIDAL RKVIGVVPQDTPLFNDTIWENVKFGRIDATDEEVLTVVEKAQLAPLIKKLPHGFDTIV GERGLMISGGEKQRLAIARVLLKNARIMFFDEATSALDTHTEQALLRTIRSNFTSGSR TSVYIAHRLRTIADADKIIVLDNGRVREEGKHLELLAMPGSFYRELWTIQEDLNHLEN ELKDEQGL SPAR_M04170 MLLVRTASLNMAKMPVPCLARGIGILKGKYRLRNLINAQPSVRH VSSEIQQKDQQAGESNTATDTGVIHKSDEETLIYFDNVYARATSVWNPTLWYNLLLRN QSREGVREKIRNLASPPNNPIYGLELKSTIPVKRDGGVFATFVVPPKYTKAQVNSLIQ QNTARESSKNLLSYFTRASAFPVKGSPWIEDLRRLPSTTIVIKFQGPALTEEEIYSLF RRYGTIIDIFPPTAANNNVARVRYRSFRGAISAKNCVSGIEIHNTVLHIQYENIIRGH LVSNFFTNHTRIAIPVLFALLSIFAVLVFDPIREFSIEQKITHKYSLSWDNKFWKQLK TLTSSTMTSIKYYWGGPDDNHQRKHLWEERIEKVNDLKMWLEENNNTFVVIRGPRGSG KHDLVMQHTLQNRANVLYLDCDKLIKSRTDPKFLKNAASQLGYFPIFPWIDSVTGVLD LTVQGLTGQKTGLSETKESQFRNMLTTSLMSIRRIALKNYKAFVSTGDGTVNVKEEDY LQQHPEAKPVIVIDRFEGKSEINGFVYKELSDWAAMLVQMNIAHVIFLTETVASNQRL SESLPNQVFKNLILSDASKENSRNYVLSQLEDYLYYNKKSKGESVKEPEVGKETTENN DSDSEVDTSIKKAEVILNEKELQEIDASLEPLGGRMLDLQAFVRRVKSGEEPSEALDK MIEQASEQITQMFLSDKIDSNKSAQAWELIELLSANPVIPFHEIVNKPLFKAAPETGI MELENNGLITVSRDRGVLQEIRPAKPLYRAAFTYLINDPELAKVLKTRYLLKVVGFET GRIKKWEEELKPLGKVPDQKLFKTRLDYLSGKITASNAVITKCEEEIKNLSK SPAR_M04180 MSIPKTQKGVIFYESNGKLEHKDIPVPKPKPNELLINVKYSGVC HTDLHAWHGDWPLATKLPLVGGHEGAGVVVAIGDNVRGWKVGDLAGIKWLNSSCMACE YCELGNESNCPHADLSGYTHDGSFQQYATADAVQAARIPEGTDLAEVAPILCAGITVY KALKSANLRAGHWVAISGAAGGLGSLAVQYAKAMGYRVLGIDGGEGKEELFTSLGGEV FIDFTKEKDIVGAVVKATDGGAHGIINVSVSEAAIEASTRYCRANGTVVLVGLPAGAK CSSDVFNHVVKSISIVGSYVGNRADTREALDFFARGLVKSPIKVVGLSSLPEIYEKME KGQIAGRYVVDTSK SPAR_M04190 MSSGDELGSIGTVSHGTAIDKSIESILPQFDEEVETLLEDSFTW NIPDWNELTNPKYKSPRFKIGDFEWDILLFPQGNHNKGVAVYLEPHPEEKLDESAGEM VPVDPDWYCCAQFAIGISRPGNDETINLINKSHHRFNALDTDWGFANLIDLNNLKHPS KGRPLSFLNEGSLNITAYVRILKDPTGVLWHNFLNYDSKKVTGYVGFRNQGATCYLNS LLQSYFFTKYFRKLVYEIPTEHESPNNSVPLALQRAFYQLQVSDIPLDTLELTRSFGW DTAESFTQHDVQELNRILMDRLENNMKGTPVEGKLNEIFVGKMKSYIKCINVDYESSR VEDFWDLQLNVKNFKNLQESFDNYVEMELMNGENQYAAQDYGLQDAQKGVIFESFPPV LHLQLKRFEYDFNYDQMVKVNDKYEFPETIDLSPFVDKEVLKKTLDSEDKDKNPYVYN LHGVLVHSGDISTGHYYTLIKPGVEDQWYRFDDERVWRVTKKQVFQENFGCDRLPDEK VRTMTRDEYQNYIIQRHTSAYMLVYIRQEQEEDLLRPVLESDVPKHVITRVREEIKER ETKEKEIREAHLYVTLRLHSIKELIHYEGFDYFAHDGFRLFSEELNDSGLQQINLKVL RTTKLSDIFASIKEAMNIPQERDVRYWKMDYRRNNTLRLTQPINFESVNITLQEALKT EKKRTMETQYGEGGVASTDEDDKALSETVSFLDLFIEEPYLELQFLSKLKEASLISKA EPDDELISNVRTNLPKLTEGGIEPVFATENKSNLLFVKKYDPHTQKLLGFGHFAVNQL QQLSDLSEIIENSISSNEKLTFYEEVQPGTINEIYMKGTVYDADIDTGDIVSFEVPDA VLPDIFPVYATIKEYYSYLRYRVKLKFSKFDGSSEEYGVSNEIPESFEFWISAYAPYD DLARMVSKFAHVKPEYLKIFALYSNGRFVLKSTSLLNDYLLKDFNCDQIPPFAFEVLS VPLKELERLRPIKLYWLKNSYIHYQSFEFEVANDYTESQFLEKVQHKIGFTDEEKENI LLWTNSNFQFQGLLSDQNTFKDVSKNSLLFGRILPEESKLFKELNRLENLQASSLEDF MDDENATDRPIDDEQDLGMAIEHSDDVKGRIVVVQQYFKDLENRHGISFLFNLIPGET FPKTKDRLHAKFGLGQKEFSKIKLSIGYSTEEGTVFRTLQGFSDEELDKVILYDIMSN LDYIYMDHPDRLRSHSSYDRPMIIKN SPAR_M04200 MVLLYLFFFLKPTKYGSNKLSHIYSPILITLLFIYVFSVKLFNL SPPFSLLAAGPCCSIM SPAR_M04210 MRFSNFLTASALLTGALGAPAIRHKHEKRDVVTATVHAQVTVVV SGNSGETIVPVNENAVVATTSSSAIASQATTSILEPTTSANVITSQQETTTLESSDIA STVGSSTSSSSSSSTSSSTSSSASSSASSSISASGAKGITYSPYNDDGSCKSTAQVAS DLEQLTGFDNIRLYGVDCSQVENVLQAKTSSQKLFLGIYYVDKIQDAVDTIKSAVESY GSWDDITTISVGNELVNDGSATTTQVGEYVSTAKSALTSAGYTGSVVSVDTFIAVINN PDLCNYSDYMAVNAHAYFDENTAAQDAGPWVLEQIQRVYTACGGKKDVLITETGWPSK GDTYGEAVPSKANQEAAISSIKNSCGSSSYLFTAFNDLWKADGQYGVEKYWGILSSD SPAR_M04220 MNFTSPKLSLADVEYPAWCQDDEVPITMQEIKEIFIQLTDKFGF QMSSMENMYQHLMGQLDSRASRTGAQNALISLHVSYIGGEHANYRKWYFAAQLDLDEE IGFQNMQLHGKARQRNVKMAKKRGVSIKEQIKQWNEKEQEFINNHPKITLTQEQLEDQ TNLKSADYKWKLKMKILSPEEMIKQLALYFLCWGEANQVRFAPECLCFIFKCALDYEI STSSSEKTAKLPEYAYLNDVITPLYEFLRGQVYKKDSKGNWKRREKDHKNIIGYDDIN QLFWYPEGFERIILNNGERLVDKPLEERYLYFKDVAWSKVFYKTYRETRSWKHCFTNF NRFWIIHFAPFWFFTTFNSPTLYTKNYVQLLNNQPTPQVRLSVIAFGGTIACLVQILA TMFEWGFVPREWPGAQHLSSRMIGLLFCLAINLGPSVYVLGFFEWDVHSKSAYIVSIA QLIIAFLTTFFFAVRPLGGLFRPYLNKDKRHRRYVSSQTFTASFPKLTGRSKWFSYGL WVFVYLAKYIESYFFLTLSLRDPIRVLSIMDLSRCQGEYLMGPILCKWQAKITLVLML LSDLGLFFLDTYLWYIICNCIFSIILSFSLGTSILTPWKNVYSRLPKRIYSKILATSE MDVKFKAKILISQVWNAIVISMYREHLLSIEHLQRLLFQQVDSLMGDTRTLKSPTFFV AQDDSTFKSMEFFPSNSEAKRRISFFAQSLATPISEPVPVDCMPTFTVLVPHYSEKIL LGLKEIIREESPKSKITVLEYLKHLHPTEWECFVKDTKLLSMEKSFLKEADNSNDEDR LEIPDALYGSRSTPLSDHTDSRKLPTEDDLIKEKINDLPFSYFGFNSSEPSYTLRTRI WASLRTQTLYRTLSGFMNYSKAIKLLYRIENPSLVSLYRGNHEALENDLENMASRKFR MVVAMQRYAKFNKDEMEATELLLRAYPNMYISYLLEELEQTTSERVYYSCLTNGYAEF DEEAGLRKPIFKIRLSGNPILGDGKSDNQNHSIIFYRGEYIQVIDANQDNYLEECLKI RSVLSEFEELELNSTIPYIPGIEYEEEPPPIAIVGSREYIFSENIGVLGDIAAGKEQT FGTLFARTLAEIGGKLHYGHPDFLNGIFMTTRGGLSKAQRGLHLNEDIYAGMNAICRG GRIKHSDYYQCGKGRDLGFGSILNFTTKIGAGMGEQLLSREYYYLGTQLPMDRFLSFF YAHPGFHLNNLFISFSVQLFFVLLLNLGALNHETIACFYDKNAPITNLETPVGCYNIQ PALHWVSIFVLSIFIVFFIAFAPLLIQEVLEKGIWRAASRFLHHLLSMAPLFEVFVCQ VYSNSLLMDLTFGGAKYISTGRGFAITRLDFFTLYSRFVNISIYSGFQVFFMLLFAII SMWQPALLWFWITVISMCFAPFIFNPHQFAFMDFFIDYKTFMHWLFSGNTKYQKESWA SFVKSSRSRFTGYKSKTVDDISEDSGLESKKARFWNVFFAELFLPFCVFLFNLTAFSF INAQTGVPGSKPTSAIFRLLLVTFLPIVLNSIVLFLFFWVSLFVVPGLSYCCKNAGAV IAFIAHTFSVLVYLLDFELMWFLQGWNFTRTLILLITCINLHLVLFKVFTTIFLTREY KNNRAHLAWWNGKWYNTGMGWSVVLQPIREYLVKIMESSYFAADFFLGHFLLYIQTPI ILLPFIDYWHTMVLFWMNPRNIIAHKRVLTRKQRALRSSIVSKYFSLYFVMLGVFLFM LIAPFFANVFVSNPQELLEGTLLEGIFQPNGQNNNDTGPNAPSTILTTTPPLPTFRTV A SPAR_M04230 MLFKSLSKLATAAAFFAGVATAADVPAIEVVGNKFFYSNNGSQF YIKGVAYQADTANETTGSTINDPLANYDSCSRDIPYLKKLNTNVIRVYAINTTLDHSE CMKALNDADIYVIADLSAPDTSVNRDDPAWTVDLFNSYKTVVDSFANYTNVLGFFAGN EVTNNYTNTGASAFVKAAIRDVRQYISDKNYRKIPVGYSSNDDEDTRVKMADYFACGD DDVKADFYGINMYEWCGKSDFKTSGYADRTAEFKNLSIPVFFSEYGCNEVTPRLFTEV EALYGSNMTDVWSGGIVYMYFEETNKYGLVSIDGNDVKTLDDFNNYSSEINKISPTSA NTKSYSATTSDVACPATGKYWSAATDLPPTPNGGLCSCMNAANSCVVTDDVDSDDYET LFNWICNEVDCSGISANGTSGKYGAYSFCTPKEQLSFVMNLYYEKNGGSKSDCSFSGS ATLQTATTQASCSSALKEIGSMGTNSASGSVDLGSGTESSTASSNASGSSSKSNSGSS GSSSSSSSASSSSSSKKNAATSVKANLAQVIFTSIISLSIAAGVGFALV SPAR_M04240 MSALPEEVNSTLLQIVQAFASPDNQIRSVAEKALSEEWITENNI EYLLTFLAEQAAFSQDTTVAALSAVLFRKLALKAPPSSKLMIMSKNITHIRKEVLVQI RSSLLKGFLSERADSIRHKLSDAIAECVQDDLPAWPELLQALIESLKSGDPNFRESSF RILTTVPYLITAVDINSILPIFQSGFTDASDNVKIAAVTAFVGYFKQLPKSEWSKLGV LLPSLLNSLPRFLDDGKDDALASVFESLIELVELAPKLFKDMFDQIIQFTDMVIKNKD LEPPARTTALELLTVFSENAPQMCKSNQNYGQTLVMDTLIMMTEVSIDDDDAAEWIES DDTDDEEEVTYDHARQALDRVALKLGGEYLAAPLFQYLQQMITSTEWRERFAAMMALS SAAEGCADVLIGEIPKILDMVVPLINDPHPRVQYGCCNVLGQISTDFSPFIQRTAHDR ILPALISKLTSECTSRVQTHAAAALVNFSEFASKDILEPYLDSLLTNLLVLLQSNKLY VQEQALTTIAFIAEAAKNKFIKYYDTLMPLLLNVLKVNNKDNSVLKGKCMECATLIGF AVGKEKFHEHSQELISILVALQNSDIDEDDALRSYLEQSWSRICRILGDDFVPLLPIV IPPLLITAKATQDVGLIEEEEAANFQQYPDWDVVQVQGKHIAIHTSVLDDKVSAMELL QSYATLLRGQFAVYVKEVMEEIALPSLDFYLHDGVRAAGATLIPILLSCLLAATGTQS EELVLLWHKASSKLIGGLMSEPMPEITQVYHNSLVNGIKVMGENCLSEDQLAGFTKGV SANLTDTYERMRDRHGDGDEYNENIDEEEDFTDEDLLDEINKSIAAVLKTTNGHYLKH LESIWSMINTFLLDNEPILVIFALVVIGDLIQYGGEQTASMKNAFIPKVTEGLISPDT RIRQAASYIIGVCAQYSPSTYADVCIPTLDTLVQIVDFPGSKLEENRSSTENASAAIA KILYAYNSNIPNVDTYTANWFKTLPTITDKEAASFNYQFLSQLIENNSPIVCAQSNIP AVVDSVIQALNERSLTEREGQTVIGSVKKLLGFLPSNDAMAIFNRYPADIMEKVHKWF A SPAR_M04250 MSRFFSSNYEYDVASSSSEEDLLSSSEEDLLSSSSSESELDQES DDSFFNESESESEADVDSDDSDAKPYGPDWFKKSEFRKQGGGSNKFLKSSNYDSSDEE SDDEDNKKVVKSAKEKLLDEMQDVYNKISQAENSDDWLTISNEFDLISRLLIRAQQQN WGTPNIFIKVVAQVEDAVNNTQQADLKNKAVARAYNTTKQRVKKVSRENEDSMAKFRN DPESFDKEPTADLDVSANGFTISSSQGNDLAVQEDFFTRLQTIIDSRGKKTVNQQSLI STLEELLTVAEKPYEFIMAYLTLIPSRFDASANLSYQPIDQWKSSFNDISKLLSILDQ TIDTYQVNEFADPIDFIEDEPKEDSNGVKKILGSIFSFVERLDDEFMKSLLNIDPHSS DYLIRLRDEQSVYNLILRTQLYFEATLKDEHDLERALTRPFVKRLDHIYYKSENLIKI METAAWNIIPAQYQSKFTSKDQLDSVNYVDNLIDGLSSILSKQNNIAVQKRAILYNIY YTALNKDFQTAKDMLLTSQVQTNINQFDSSLQILFNRVVVQLGLSAFKLCLIEECHQI LNDLLSSSHLREILGQQSLHRISINSSNNASADERARQCLPYHQHINLDLIDVVFLTC SLLIEIPRMTAFYSGIKVKRIPYSPKSIRRSLEHYDKLSFQGPPETLRDYVLFAAKSM QKGSWRDSVKYLREIKSWALLPNMETVLNSLTERVQVESLKTYFFSYKRFYSSFSVAK LAELFDLPENKVVEVLQSVITELEIPAKVNDEKTIIVIEKGDEITKLEEAMVKLNKEY KIAKERLNPPSNRR SPAR_M04260 MSSTKKFKKVEKPLSQTRHYSLCIPTTLVSDCCNLSQITHKVYQ VAKFASLFNVSELVILEDDFLANATKKKISTAKLILALLQYFVTPPYLRNTVFNEKFR PYLSAASKLPRLSALPFTRYQKQDHGRYREGLTIKMQKPTLARKKTGKAFKQTKYINI GKSEAMTLQSQLVPINARVTIDTVTRKIVSPQEAYGDFTGLESQYGYYTRIASSFTDL FMKGPLKEGYTQSIYVPLTTGDTPIPELSSLPAVGTDSNILLVFSAWDTLTRAFKLDQ DQFVDCQGPQEFFDAQLPCPVSNSDIVDAIPMTLTTLSTVF SPAR_M04270 MGGILKNPLALSPEQLAQQDPETLEEFRRQVYENTQKNAKLTSH KRNIPGLGHAEEEEAEIIGTSKTFLPKDTLSLKHEQDMLAKMTPEERVQWNQRNLAEN EVTKKQFQDIHIDEPKTPYQGAVDPHGEYYRVDDDEDEDSSDKKPSQVANDDIDDLSL GEPEFEIKENKQPDIETNDENDEDSPEARHKRFEEMRKKHYDVRAIFNKKSREAAEDD DENDNTTKEQ SPAR_M04280 MSGVQRQDLVLFSDQSVLPAQFFQDSNSHNLFFITHQSSTQPLW LINALIETHILGSPNSLNESSLSRLPSSIRSHAVLASFIHEQSHFMNSLHKLKIPSNN YCVLDFLSDFIVSNILNKPKDKILGDLLAKFSAAVQNNPTDTIVVIEQPELLLSLISG LTYSELNNKFITPLLRQCQVLIIVSNSDVFNIDEYDVPVHSSNLQNFYKSSFIKSMIN LNLNPLKTGFARDVTGSLHVCRGGAPIATTSNTSLHVVENEYLYLNEKESTKLFYR SPAR_M04290 MKEVAQEYKVSAVIPTLLKNWILRIVYATLDHIPPFVWEILHVI TDIYFFWVQKLVNYVRPHSRVIYYNAIKKLDECDTYQMWCQQASVVDEITGANLWRRN FFSRRYDFNSVIEQYTILENMLREEKYDVVKEKFSTTGPCMLRNFAGIGDKKLFTKSL MGTKLLIEQYLTRILEGLDILNSQTLTPTSFFQRCKLSLGTTALILQGGSLFGLFHLG VIKGLLLQDLMPNIISGSSMGACVASLFGCLSNEQLKQLLTDDNLLNIIKNDVDLLKS CGYGNLEQHLNLGTLIQNLIHHGYSQDVYLFIRFVMKYIVKEKTFEEVYQITGKVFNI VIHPTDKSCPNLLNYVTTPNVLIKSAIECSLGSGVISQDTSLLCKNLENEIEPFLNIN KDKQVKFLTPENATNPNITESPYTRLTELFNVNNFIVSLARPYLAPLVVNDLKHEIKT SKYYYYKHYPNMPPIKANTVGKTHSSSSQSPIKAAAVEELEAEPLMSPATPSSAVNDS AEYIIPELGIPQLNFTEMEPLAFKFKYHLERKLKNIATMEFRHRMEVLDNLGLLCSLI KRLIIDEKTPRSATEIAVVPRMKSLSLTRIIEGQLNNIPYWIKSGERSTWPALALIKT RCAVEFKLDDIIRARRSR SPAR_M04300 MFRNNYDGDTVTFSPTGRLFQVEYALEAIKQGSVTVGLRSNTHA VLVALKRNADELSSYQKKIIKCDEHMGLSLAGLAPDARVLSNYLRQQCNYSSLVFNRK LAVERAGHLLCDKAQKNTQSYGGRPYGVGLLIIGYDKSGAHLLEFQPSGNVTELYGTA IGARSQGAKTYLERTLDTFIKIDGNPDELIKAGVEAISQSLRDEALTVDNLSIAIVGK DTPFTIYDGEAVAKYI SPAR_M04310 MSPLNVGIVGTGIFARDRHLPSYQEFPDKFKVAAAFNRHKEKAL DFAKTANIPENKVYDNLEEILKDPHVDYIDALLPAQFNADIVEKAVKAGKPVILEKPI AANLDQAREIVELAESTPLPVGVAENWLYLPCIKIAKEQIKKIGPVVAFTHNSTGPFV TQNKYLTTTWRQKPEHIGGFLSDGGVHQLALVISLLGEFGSVSALTRQVRERSGADDI VFATVQLKDAEVIGSFTYGSAFGATEKSVFLKVYGKNGTVTVDLSDKKNPVVKVKLGG SAEDNGDEQTFKVDNDESFGVNAEFLNFHEAVSKKDKSLYLGSPRTAFHHLACVDAFL KSSAKNGDHVKVEQP SPAR_M04320 MGAISRYLLKKAADGLKDEQRLKIEMSDSKTLPECFHFNRERRM PIAEINGEDGFFMFPSQQSLENFENTKKNSNELSRDAIGIPLFQIINSTLPFGKRGSH NKTVNNVLSYKIFKFILRTAEEPPPYAVAKIVSSNNGLVLYKVPLYDIYKNVSQINIT YNFLGAMSTEPNSLAMAHREGYRDLDTKVNNLNLRWHVTYSPVVINDHYKLTLLEDYE VNRFDEDAIRTAKKRIPTDQKDQKGQRFVAAHYTREFSTSLFRWVSQEGHLILGEYCT DQGSFGLHNIPPLTEDLGCQSLLIHYIEYMKRQPKRVVRETRKQNRRNLANTTNMRGN LM SPAR_M04330 MGSSGSKSTTATTTSHSSTTTTSSTTSTTTPTTTSTTSKTSTTS TSTTSTTTPEIVVSSSSTLVSSVIPELTSSSSLSSDTIASILSSESLASILSSFSYTS SDIPSTSFNNIESSTPDPSNSYSAPSSTTTQSPGPTTAAGSISSSISQTSSSQISSSS GSGSSSEPSGESPVLETSVLSSDTTAVTSSTSTTLTELLSSSEPNSSKPAAASVSTTF DSSKEISTSTSDVSPASLLSSTSSLITSNLPTSRAASSTFERSSTASSLNISELSATS SSTVSSALPFTSTISSITSSLTTSEALSMASSSVTSSLSTTSGLASSSMTSSSVSSEA LSTSSSSVSSEALSTSSSSVSSEGSSMTSSSVSSEALSTSSSSVSSEGSSMTSSSVSS EALSTSSSSVSSEALSTSSSSVSSEGSRAIYSLASSRLYTSKAASTTSSLVTTEAPSV TSSLRSFSEPLASNSVIESSLSFGYNLTVSTIPSATSSSLTSEASSSSSSFANSKTSS ASAGLTRSRAPFVNSSTVASSALTSISLSASQLPSARSSIAFSEASSISSSLTSSSAS SDNNPTVTSASLIASSTKTSGVSSMVSSMTPSEATNTSNLATSSASFLSNKATVRSSS ETNTASSFSVPTVSSSSVSSDTSLTTPSFATTSAPLVSNATVISSSLADSSFLAPGTS PATSTSVPSGASSTIPSRTTGTPFTSNSTVDRSSSSAAGLVTDSASPASTLRTSSAPL VSSDASSSLAARNLTTSSVSSSQFVSKSTTPSSILVAPSIHRSENSSIVSTIATSLPN HTTFASSSSTFTSPTSISDDTTFLTTAKQIVTLTSTANCSRASVTSNITKTAIISREI TIAETVTSCSGGCVKGRNTTTFITVTDINTSTATTCPEKEATLTTSGDVTEHITSTKI NNVGTFTSSENSRDTRTSQKTAKIVPESRIVRGSSTFAETTSPTTDLTGKASMETNPS STYSSATKVTETRSVKENASPVTHSPATKVTGNTTVDGTKSKSTKTTKDTSTIARSSA SPVGKGETTLETIIVSSKKLLSTSQLISSTKKATGSTTKLAASIHGTSSSAKQSTTFT VSTAKQNAGTFLNINMKVFAIGAIALVA SPAR_M04340 MSYPEKFEGIAIQSHEDWKNPKKTKYDPKPFYDHDVDIKIEACG VCGSDIHCAAGHWGNKKMPLVVGHEIVGKVVKLGTNSNSGLRIGQRVGVGAQVFSCLE CDRCKSDNEPYCTKFVTTYSQPYEDGYVSQGGYANYVRVHEHFVVPIPENIPSHLAAP LLCGGLTVFSPLIRNGCGPGKKVGIVGFGGIGSMGTLISKAMGAETYVISRSSRKRED AMKMGADHYIATLEEGDWGEKYFDTFDLIVVCASSLTDIDFDIMPKAMKVGGRIVSIS IPEQHEMLTLKPYGLKAVSISYSALGSIKELKQLLELVSENDIKIWVETLPVGEAGVH EAFERMEKGDVRYRFTLVDYDKEFPN SPAR_M04350 MGKISEFLGNPGARPDVHHRAPTVDCKQYEEFGDSNDYKNDDVV RVISHSDESTDDELCNVNLSETGAIFTSKGFTGLSKGFTDKSLDFLVRVAGSQAVFFI VWVILIIWVVIGIVYNAPFNWQVVMQDGQSIQSYVWDTLLMRQQLMSTHEQILICGRL KSRLASFKNYLTRSTPEEEKAECTVEANEVTSVEKHIDPSAINGELPVENWYDRLSNL ASKYMGSIAAMVIFWIGIFVWIGCGAIPKDAGNTAPYTGETTGSNPRLKKFSDAWQMY INTAVAVSLLICTTFLQNIRARHDYFTGKFLVDIFDMDEKIDYRIRKHFNDFETPHPV VTIESKKRSTGRKMIDWYADIIGTGIGVVIGVAVFATWIGIGSPMKWDDNWWLIIGTY TGLIGFLDGFVLREVYFRIVQHEEKNYSDVAREDLELFQELGIECPEEFTGKAPEVNT VGYRTSRYINRICSTPWSVLVSVVIIIALICIASGMRWSTTGQLIANTPTMIIEEFFL LVLLQAHNWADRQRRVEVTALYARRRILLSYVEKRFPEVMVLEK SPAR_M04360 MARLPLKQLLADSPKKVLVLDGGQGTELENRGIKVANPVWSTIP FISESFWSDESSANRKIVKEMFNDFLNAGAEILMTTTYQTSYKSVSENTPIKTLSEYN NLLNRIVDFSRNCIGEDKYLIGCIGPWGAHICREFTGDYGAEPENIDFYQYFKPQLEN FNKNDKLDLIGFETIPNIHELKAILSWDESILSKPFYIGLSVHEHGVLRDGTTMEEVA QVIKDLGDKINPNFSLLGINCVSFNQSPDILESLHQALPKMALLAYPNSGEVYDTEKK IWLPNSDKLNSWDTVVKRYISSGARIIGGCCRTSPKDIQEISAAVKKYT SPAR_M04370 MDILKRENESDKFTKIETESTTIPTGSGRPDSLVRRMKDSFKQS NLHVISEDLENSEQTEQEKIQWKLASQPYQKVLSQRHLTMIAIGGTLGTGLFIGLGYS LASGPAALLIGFLLVGTSMFCVVQSAAELSCQFPVSGSYATHVSRFIDESIGFTVATN YALAWLISFPSELIGCSLTISYWNQTVNPAVWVAIFYVFIMVLNLFGVRGFAETEFAL SIIKVIAIFIFIIIGIVLIAGGGPNSTGYIGTKYWHDPGAFAKPVFKNLCNTFVSAAF SFGGSELVLLTSTESKNISAISRAAKGTFWRIAIFYITTVVIIGCLVPYNDSRLLSGS TSEDISASPFVIALSNTGSMGQKVSNFMNVVILVAVVSVCNSCVYASSRLIQALGASG QLPSICSYMDRKGRPLVGIGISGAFGLLGFLVASKKEDEVFTWLFALCSISSFFTWFC ICMSQVRFRMALKAQGRSKDEIAYKSMLGIYGGILGCILNALLVAGEIYVSAAPVGSP SSAEAFFEYCLSIPIMIVVYVAHRIYRRDWKNWYIKKSDIDLDTGCSVENLELFKAQK EAEKQHIASKPFYYKIYRFWC SPAR_M04380 MSEIGDMSTISQDKVKSKIYEADVDERDIGSVEETVKQGVLHND RTKLKQGLKERHIKMLTLVGVFGTGLFLSSGGTLKKTGPVGLLIAYLFVGIVVGCNQI AIAEVASFMPATGATIRHAEQFIDESVGFTFGWISTYSSLMPGELSATAVIMRYWTDV SPAIFITVFGILFVATNIYTIRFYGEIEYIFGWLKVILIIILIVSGLVIDLGGTKGQD RLGFHYWRDPGPFANYLVGGHIGKFVGFWAAISSVVYSYSGIQNIAILAGETKNSRHA IFHGAKNVFLRIIVLYLVTVFILTLIVPYNDKLIATGTGTAKSSPFVIAMNRAGIKVL PHIVNALILTSAWSAGNLAIIEGSRNLFCLATKNQAPKIFLKTSKRGIPYVGVIFISS FLPLAYMSCSKSSATVFGWFQELVSSNTLLRWILISANHIHMDRALKAQGYSRSDLPY STPIGPFAAWFSGIMSFIFLLTGGFYNFIHGHFDIESFFTRYFIIPLAVGLFTFWKLF KKTRYLRPHEVDLESIFEDIKENPEHIEETKPIWARFSIKRDLAEKEKV SPAR_M04390 MSSPQSSVESTIDIRNAPNTDTHVAPPVEKEWSDEFDNEVLNGD NIEPPKRGLLGYLVIYLLCYPISFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTGE YYLSNVRMGLLVAMFSIGCAIGGLMFARLADTLGRRLAIVIVVLVYMVGAIIQISSNH KWYQYFVGKIIYGLGAGGCSVLCPMLLSEIAPTDLRGGLVSLYQLNMTFGIFLGYCSV YGTRKYDNTAQWRIPLGLCFLWALIIIIGMLLVPESPRYLIECERHEEARASIAKINK VSPEDPWVLKQADEINVGVLAQKELGEASWKELFSVKTKVLQRLITGILVQTFLQLTG ENYFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAGM MACMVIFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAES FPSKVKSRAMSISTACNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFFL PETIGLSLEEIQLLYEEGVKPWTSASWVPPSKRGIPAEERNTEKKDWKKFLKFSKGSD SPAR_M04400 MTKSNETTAISLNAKTLKSFESTLPIPTYPREGVKQGIVHLGVG AFHRSHLAVFMHRLMQEHHLKDWSICGVGLMKADALMRDAMKAQDCLYTVVERGIKDT NAYIVGSITAYMYAPEDPRAVIEKMANPDTHIVSLTVTENGYYHSEATNSLMTDAPEI VNDLNHPEKPATLYGYLYEALLLRYKKGLTPFTIMSCDNMPQNGVTVKNMLVAFAKLK KDEKFAAWIEDKVTSPNSMVDRVTPRCTDKERKYVTDTWGIKDQCPVVAEPFIQWVLE DNFSDGRPPWELVGVQVVKDVDSYELMKLRLLNGGHSAMGYLGYLAGYTYIHEVVNDP TINKYIRVLMREEVIPLLPKVPGVDFEEYTASVLERFSNPAIQDTVARICLMGSGKMP KYVLPSIYEQLRKPDGKYKLLAVCVAGWFRYLTGVDMNGKPFEIEDPMASTLKAAAVK GGKDPHELLNIEVLFSPEIRDNKDFVAQLTHSLETVYDKGPIAAVNEILDQV SPAR_M04410 MEPISSGSSLQSNMRETVQTQHAEILPQVSNENKNKPSCEHFES VNEYIMVGGRLFKKGDTNSFLEDLGSGTPVEQPRQVGFANPLPLGLASFSFMCLTLGL VNARVRGVTNLYLLNASFIFGGAVVLLSGLLSFCVGDTFCMTVFGSFGGFWISWGCLN LEQFGVTKAYADDPQALQNVLGFYLAGWTVFNFLVMVCSMKSTWGIFLLLLFLDLTFL MLCIGSFTQSANVSMAGGYFGILSSCCGWYSLYCSIANKDSSYVPLVAYPMPGSQIV SPAR_M04420 MRIKNVVLLGLSLASVKASPIERLWKRFLANEPSETQMVNTTTF VYPQTQSVELFPMNMCRGITLEDATIDQLQGYFDKGVLSSEDVVRCYLDRYFQLNSYV NGVLQVNPDAISIAQERDRERAAGVVRSPLHGIPFLVKDNYATKDKMDTTCGSWMLLG SVVPRDAHVVSKLRDAGAVLFGHSTLSEWADMRSSDYSEGYSARGGQARCPFNLTTNP GGSSSGSAGSVAANMIMFSLGTETDGSIIDPAMRNGIVGFKPTVGLTSRSGVIPESEH QDSTGPMARTVRDAVYAFQYMWGIDEKDVYTLNQTGKVPDDGDYVKYLTDKSALKGAK FGLPWKKLWSYAKTDEIPRLLEVIKVIEDAGATIYNNTDFGNLDVISDSGWDWDFGSA NESEFTVVKVDFYNNIKSYLSELENTNIRSLEDIIAYNYNFTGSEGGYNNTHPAFSSG QDSFLDSLAWGGIKNATYWEAVEFVRRTSRDEGIDHALNYTDPNTGENFKLDGLLVPS GLSITYQQAAKAGYPMITLPIGVKKANGRPFGLGIMQSAWQEPQLIKYGSAIEDLLNY KCKPQYYDYVAKNIPVI SPAR_M04430 MQLFPLLSLALSLTYSQTVFGSSSNSYVKLPVQKLANIPDMDSQ GASDIFKRDEVFNSTLVNALGMYVVKLEIGTPPQTVYLQVDTGSSDMYVNDADNAYCE LMSYGSDYASTDNYELTATFTELPSSTISSEAYTTLCSYWGAFSASNSSTFKYNDTHF DETYGDGTYYKGTYGTDVVSLGNITLESFSFGVANSTENQAGILGISLPVGENTDSLE NAYNITPFEYKNFPMALKSHGKIEKTAYSLFLNEPDAHFGSILFGAVDKSKYSGQLYT VPMLQAFNTIDSLNPGMFVTAQGVAIVDSDSKNKTASEVQFPVLFDSGTTYSTLPTGI ADAIGKIFGGKYSSVDQGYIVDCSKVNNTQLSFDFGGFNISANISNFVTPAKDRCLLN IEATDSGFVLGDAFLVDAYVVYDLENREVSIAQASFNDQEEDIKIISDGVPGATTAPG YYSTWVYTPGSPIGTGDFYNISWTSYSENSEYQTLIATAIPSSSSSSSSSSSSSDETT TKNRNSGDSLYQSSLFFSLISFFLSHIFL SPAR_M04440 MANLNKFGQEVGADVNGWTTRVFPEKVVLKGNYCRLEPLDREKH GSELFSAYNKAGQKLWTYLPVGPFNTLEEFLEFIKKLNETRDTVPFAIINEETKRAVG TLCLIRIDEANGSLEVGYVIFSPELQKTIIATEAQFLLMKYVFDDLQYRRYEWKCDSL NGPSRRAAMRLGFKYEGTFRQVVVYKGRTRDTQWFSIIDKEWIFIRKTFEEWLDGTNF ENGRQKRGLAAIRENLLN SPAR_M04450 MKNNEIEDEKSVALSSFGHLESQKIVLPQNVFRSQFTWMCYQIY KSLAFRIWLLLWLPLSVWWKMTTNWIYPFIVSLLVLFLGPIFLPVIHVLSRKRSLSKQ LTQFSKEIAKNTPGTHTHDWEVIAINLNSYFYEIKAWNTKYFFFNAADCQEAFRRTLL EPFSLKKDEAAKAKSFKDSVPYIEEALQVYFTEVEKQWKLFNTEKAWSAVSLEDIQLP REAHRFKLTWVFKRIFSLGCLPLFLSVLYNVYVSRNLPPLFRILYLGCFLLTAVKSFQ NIRVSLMKMEHKMQFLSTIINEQESGADGWDAIAKKMNRYLFEKKVWKDEEFFFDGID CEWFFSHCFYRLLSSKKSDSFVSLNVELWPYIREAQVSRSDEF SPAR_M04460 MAAIKDYKTALQFAKSLPRLDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMAIFMALSGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKKKYGFAGFPVTEDGKRNAK LVGVITSRDIQFVEDDSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLIVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFQLNMLKWVKESFAGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSEFDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTVLKKNVQSGKVRFEFRTASAQLE GGVNNLHSYEKRLHN SPAR_N00030 MKDNEVEDEKSVNALSLKHLEPQKIVLPQNVFRSQLTWICYEIY KSLEFRIWLLLWLPLSVWWKMSSDWIYPFIVSYFFLFLGLIVLPLIHLLSRKRSLSKQ LTQFSKEILEHTPGIEADDWEAVARNLNSYLYENKAWNTKYFFFDAADCQGMFRTTLL EPFSLKKDEAAKAKSFKGAVPYIEEALEVYATEVDEQWKLFNSEKAWSPVDLEDVQLP KEVHRFKLTWVLKRIFTIHSLAEFLAFLNCIYVSRNYCIIFRILYLGGIFLIMVPAFQ NLRVSLMKMEHKMQFLSTIINEQEGGANGWNEIAKKMNRYLFEKKVWTNEEFFFDGID CEWFFSHFFYRLLSLKKPMRFASLNIELWPYIKEAQLSRSEEPLV SPAR_N00040 MSQYGFVKVPREVEKAIPVVNAPIPHTVISPPNSEIATLVREYA AKELTGPVLNHSLRVFQYSVAIIRDQFPEWDLDQEVLYVTCLLHDIATTNKNMGATKM SFEYYGGILSRELIIDATGGNQDYADAVTEAIIRHQDLTETGYITTLGLILQIATTLD NVGSNTDLIHIDTIKAINKQFPRLDWLSCFAMVVDTEYSRKPWSHTSSLGDDFSKTVI NNTFGYD SPAR_N00050 MSEFKVKTGLAQMLKGGVIMDVVTPEQAIIAERAGACAVMALER IPADMRKSGQVCRMSDPHMIKEIMEAVSIPVMAKVRIGHFVEAQILEALQVDYIDESE VLTPADWTHHIEKNNFKVPFVCGAKDLGEALRRINEGAAMIRTKGEAGTGDVSEAVKH ITKIKAEIQQYRETLKDESDFAAKAAELRVPIELLKTTLSKGKLPVVNFAAGGVATPA DAALLMQLGCEGVFVGSGIFKSSDPEKLACAIVEATTHYDNPEKLLKVSSDLGDLMGG ISIQSINEAGGKNSARLSEIGW SPAR_N00060 MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDMAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEGKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLNAIKKATDYVLADPVKAWKEYIDFKPQLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRKEGTFK RLALPA SPAR_N00070 MNDLFKPLPEPPTELGRLRVLSKTAGIRVSPLILGGASIGDAWS GFMGSMNKEQAFELLDAFYEAGGNCIDTANSYQNEESEIWIGEWMKSRKLRDQIVIAT KFTGDYKKYEVGGGKSANYCGNHKRSLHVSVRDSLRKLQTDWIDILYVHWWDYMSSIE EVMDSLHILVQQGKVLYLGVSDTPAWVVSAANYYATSHGKTPFSVYQGKWNVLNRDFE RDIIPMARHFGMALAPWDVMGGGRFQSKKAMEERKKNGEGLRTFVGGPEQTELEVKIS EALNKIAEEHGTESVTAIAIAYVRSKAKNVFPLVGGRKIEHLKQNIEALSIKLTPEQI EYLESIVPFDVGFPKSLIGDDPAVTKKLSPLTSMSARIAFDN SPAR_N00080 MVYEATPFDPITVKPSDKRRVAYFYDADVGNYAYGAGHPMKPHR IRMAHSLIMNYGLYKKMEIYRAKPATKQEMCQFHTDEYIDFLSRVTPDNLEMFKRESV KFNVGDDCPVFDGLYEYCSISGGGSMEGAARLNRGKCDVAVNYAGGLHHAKKSEASGF CYLNDIVLGIIELLRYHPRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEFFPGT GELRDIGVGAGKNYAVNVPLRDGIDDATYRSVFEPVIKKIMEWYQPSAVVLQCGGDSL SGDRLGCFNLSMEGHANCVNYVKSFGIPMMVVGGGGYTMRNVARTWCFETGLLNNVVL DKDLPYNEYYEYYGPDYKLSVRPSNMFNVNTPEYLDKVMTNIFANLENTKYAPSVQLN HTPRDAEDLGDVEEDSAEAKDTKGGSQYARDLHVEHDNEFY SPAR_N00090 MKASLTFSLSGIYAPCSISRDIYLEYGDKKAECLYGTIRLPQYG PGCTPGKIVHCVLDDSLPFCSIVVPSKLFGFMPTQPTMDFCYFEPILDNVVPVLDSVT FLINEQLYSKLMDLPQEMQQIQFLHYKYNINSMETVVHSRDILTSGLCQILNCSPFPQ GLVDFTETQLILVNDTEQKLSTLKYANEDEEYALSKIGTNSALSVDLESLPCTISRDL LRPAPHINDDDSIYAFTDAETLLRLDVTSGSFITVSNMGCVRLVKLFVLLLPNGFKKR TIYAPPKIIASFPDCSVVTISKSNIGHTDIPIANQVFISRVGGWLQSQKCFQNIILTT LKKFFSESKRILCQNDLIPIAFDSSMADLNIAEENHESDDEDELGQYYKNDSLVWFFV TSAELDCFSKDNSHFIIDPNRTKLITTNITNRRPLPLSRSNLQRYYGFAETFYYDLHI FPYVRQLVNILETSFNCSQRGITLNASVLLHSTTNNVGKATMVRFASKYLGIHLLEID CLSLTSNSRQLDSTSKIIGYIRAKCENVLPYASPAVIFLAHLDSILLDVNANQDPEAI KLQKSINFEMSKLLDDFTFKFPGTTFVGSVNNIDNVPSSFRSHMRFEILVPVPSEAQR LRIFQWYLSSHELNRDVQQKVPVSYMDNISFSSLSSYSAGLTPLDIKSIVETARMTAT ARFYQESKKYGWLPQSILITQEDLSKATSKARNEFSVSIGAPQIPNVTWDDIGGIDFV KGEILDTIDMPLKHPELFTSGMKKRSGILFYGPPGTGKTLMAKAIATNFSLNFFSVKG PELLNMYIGESEANVRRVFQKAREAKPCVIFFDEIDSVAPKRGNQGDSGGVMDRIVSQ LLAELDGMSTDADGVFVIGATNRPDLLDEALLRPGRFDKLLYLGIPDTDTKQLNILEA LTRKFVLDNDVKLIELAKLCPFNYTGADFYALCSDAMLNAMSRIARMVEKKVSQHNEL TEENISTRRWFDKIATKEDTKVVVKMEDFLKAQEQLTPSVSRAELNHYEAVRANFEGA SPAR_N00100 MVLPIIIGLGVTMVALSVRSGLNAWTVYKTLSPLTIAKLNNIRI ENPTAGYRDALKFKSSLIDEELKNRLNQYQGGFASRMTEPEALLILDISAREINHLDE KLLKKKHRKAMVRNHPDRGGSPYMAAKINEAKEVLERSVLLRKR SPAR_N00110 MNKLLLHLVRVISILGLANALTQTQPILKDIQITDSYTKTKECT DPDHWFIIEGQLSIPKGSQQNITFQVPDAFSSFPQEPFSIKHNSNSVATISRPDKSTN NFTISIPEKSSEDITTTFNFLAQLTSDAKSKVTEPKSIVYSFYSENTMFNDVIDYVAK NTSAITTDGGIYKTNNTAWFTVDLPMRTFISPVYLTSQTSSSSDYVFDTSLTKFEVVT AVDSFNEPINAIPYTTVHDYSTEDEIRCLFNSTISGGLYFRVTYFTKKLSTSSISNTV ELTYPDEGTSVRLLGKRDTSTTLASELYSESAANIDSTTSDDTTSSDAAITPTYSNST LSSYTSQSSAIPEVAVTASLSSGILSSTVNGASTSADASMSAVSTVSSSSEQASSSSI SLSAPSSSNSTFTAPSSSLSATETYSIISSASISVTQASYIDNSTTTAATQSTSTIAV SSAEQLSSTLSYTSNVTISVSSATQHTTTPSYVSNSTTLSSSSVLESVISSPYLANTT VSGASSASQSTNPPYVSNSTTSSATQSATIAPFAINITGTSISSSITNTSSVSSTTSS LSSGPFVSNTTVASGSYILTTTTESAQLTEIGSLIPISTITTSTTTSGTDKTGSNKVA SSTEIAQSIVNNSSLSVSTINTNAATAAANARNATFTHATLSGSLQPSYHSSSLLSST IDTKATTATTSTSRDGSSSLAFTTGLNQSVVTGTDKSDTYSVISSTESAQVTEYDSLL PISTLKPTVVTGTSRNSTFSMVSSTKLTEATATDKGDAYSVISSTQSAQVTEYGSMLP ISTLETPTVIMSTDESGYFTLTTCTESGQATEYGSLIPISTVDGSVIYTFTGESVVVG YSTTVGAAQYAQHTSLVPVSTIKGSKTSLSTEESVVAGYSTTVGAAQYAQHTSLVPVS TIKGSKTSLSTEESVVAGYSTTVDSAQYAEHTNLVAIDTLKTSTFQKATATEVCATCT ALSSPHSATLDAGTTISLPTSSSTSLSTIITWYSSSTIKPPSISTYSGAAGQLTIRIG SLLLGLISFLL SPAR_N00120 MNDRLSLTSLFPRCLTTCLYIWTAYITLTRIHQIPRWFLALTIV PTLAVALYTYYKVIARGPGSPLDFPDLLVHDLKAAENGLELPPEYMSKRCLTLKHDGR FRVCQICHVWKPDRCHHCSSCDVCILKMDHHCPWFAECTGFKNQKFFIQFLMYTTLYA FLVLIYTYYELGTWFNSGSFNRELIDFHLLGVALLAVAVFISVLAFTCFSIYQVCKNQ TTIEVHGMRRYRRDLEILNDSYGTNEHLENIFDLGSSMANWQDIMGTSWLEWILPIET FKYKKSKHTKDEKGLYFNVRPQVQDRLLSSRCLEDQLLRRVTPRPSLEADRASVEIIG AN SPAR_N00130 MNNDAMEHTLGGGILTTSGSKQRKTSKFVMGKYTLYETKDRMYI VGSNKRETMFRILEIDLTVPRGELTVLEDNVFFTRNEIMNVLASLEEATEDGLHKKIT GYGLLGFIKFTCWYYLIMVTKYSQVAVIGGHGIYHIDGIDIIPITNNYKKPEKSSDEA RLLNIFKDLDLTKTFYFSYTYDITNTLQTNILREKLKAVDRCDITIPCGITDYNEMFV WNNNLLSPIFACIDTVFDWFQCIIHGFIDQVNVSVLGKSIYITLIARRSHHFAGARFL KRGVNNKGHVANEVETEQIVTDMILTPFHQPGIGFFDSDRYTSFVQHRGSIPLYWTQD ASNLTTKPPIRINVVDPFFSPAALHFDNLFQRYGGGTIQILNLIKTKEKTPRETKLLW EFEQCIDYLNEFLPTLKKLDYTSWDMSRASKQDGQGVIEFLEKYAVNTVTTTGIFHNG PDFASTKIQEGICRSNCIDCLDRTNAAQFVIGKRALGCQLKSLGIIDNSYLEYDSDIV NILTELFHDLGDTIALQYGGSHLVNTMETYRKINQWSSHSRDMIESIKRFYSNSFVDA QRQDAINLFLGHYSWREGFPSLWEMNTDFYLHNAYSLNMPKRSYTHWWNDYNIKSVKE LINEELIATGNDVTREKIIKNVRGYPGAFDNYWNEYYLPRSVTWIRDLFAYNMNSTRR YHNALSKQDKAMSPFTSRKQSWLNNKLKMITSSKSLEKAEGRVVETTDLDRDTSPKQE LELYEHYLHIISDRSQKLEEKMNSFSYSKYPIFISHESSEIPPMRKVIGEPLVDIAED FTDVYDDDDDGDDENDEMTTEALLIAPDHVSVDEKFYEKVLNVDDYKPALDDYSAVIH IKPDNLQLYRDLCFSKDIQLDFQ SPAR_N00140 MVNFDLGQVGEVFRRKDKGAIVSGDNPEEEEDVDASEFEEDEVK PVRTKNRRPKEDAFTQQRLAAINPVLTPRTVLPLYLLIAVVFVIVGGCILAQNSKVDE VTIYYQDCMTNATSSWSDIPSEHWQFVFHKYKTYNTAPQWRFVDDESDDFTKQRGTCQ IRFTTPSDMKNNVYLNYVLEKFAANHRRYVLSFSEDQIRGEDASYETVHDATGINCKP LSKNADGKIYYPCGLIANSMFNDTFPLQLTNVGDTSNNYSLTNKGINWESDKKRYKKT KYNYTQIAPPPYWEKMYPDGYNETNIPDIQDWEEFQNWMRPGAFDKITKLIRINKNDT LPAGEYQLDIGLHWPVLEFNGKKGIYLTHGSHLGGRNPFLGIVYLIGGCICAAMALIL LTFWLFGGRKIADASSLSWNMK SPAR_N00150 MMRRTLLHSFATLLFSLSLWSAAVMAAVTTQVTVVTNVAGDLVT ETTIWDPATAAAAATTTAQTGFFTTVFTTTNDVGTTVILTQTVNRATMLPTTTTSTSS TGKTTTTVPTATSSLSSGLYLSTVTTTNDLGTTVTLTQTFTHSSTSATSSASSSVSSS VSSSVSSSGSSSSVKTTTSAGSAVAETGTRPDPSTDFTEPPVSAVTSLSIDSYITITE GTTSTYTTTRAPTSMWVTVVRQGNTITVQTTFVQRFSSQYVTVASPSVGSIGMGTLTG TVGVIKSAIKKTVSHNEAQHLGMSSFTSILGGLLTVLIWFL SPAR_N00160 MAKNNHISASGNSTSGDHRLKEEVLTPTTSASTPHRIFSVDDDP KEIQNDIRYLEGLHEGLKFALHANKSKRSVSSQSPIVHSSNNTLHHHEHQQHLPPTLE SLSSKSHSVPDLNTATPSSPKRMHSSIRELPHDDNDDEDANDDSRFIIHDSHGHDLLI DEINCQSPSHLENNDQASNASSTESFTLRERQDAINETHPFGIRIWKPALYKKHRSVQ RTAAQDIHETQLKTITWEVTCSNVLWFILFGFPIAILFYSAAIVVFLLGGGGLVTNSA KEYSKCLYKLANYFLWPFGKMVYLLQDEQYLQEDKDEGISMQQFYNWVTSYSNRLVFH QSQAKFQQREDHPAPATESSSLMPPANTTATPLNSNHPSYNSIRHEIPHAAAQRRYFG RGKWSWGRVLFYTIFHLVLQPILAVLSLCLWLLVFTIPMSNVLWQIMYHCRRHPLALG FKYVENSSQSHENEITQQQLNKNILLCTFRAAGWHYYKYTVDGTNVIVVNLISIVFFT IFDFYVLKNFLHWKTWFTYESSIFILCLTSTIPLAFYIGQAVASISAQTSMGVGAVIN AFFSTIVEIFLYCVALQQKKGLLVEGSMIGSILGAVLLLPGLSMCGGALNRKTQRYNP ASAGVSSALLIFSMIVMFVPTVLYEIYGGYSVNCADGANDRDCTFSHPPLKFNRLFSH VIQPMSISCAIVLFCAYIIGLWFTLRTHAKMIWQLPIADPTSTAPEQQEQNSHDAPNW SRSKSTCILLMSTLLYAIIAEILVSCVDAVLEDIPSLNPKFLGLTIFALVPNTTEFLN AISFAIHGNVALSMEIGSAYALQVCLLQIPSLVIYSIFYTWNVKKSMINIRTQMFPLV FPRWDIFGAMTSVFMFTYLYAEGKSNYFKGSMLILLYIIIVVGFYFQGALSE SPAR_N00170 MLWKVSKMFLGGLVALTTISVATLYHYQNRLVYPSWAQGARNHV DTPDSRGIPYEKLTLITQDHIKLEAWDIKNENSTSTVLILCPNAGNIGYFIPIIDIFY RQFGMSVFIYSYRGYGNSEGSPSEEGLKLDADCVISHLSTDSFHSKRKLVLYGRSLGG ANALYIASKFRDLCDGVMLENTFLSIRKVIPYIFPLLKRFTLLCHEIWNSEGLIGSCR PETPFLFLSGLKDEIVPPFHMRKLYETCPSTDKKIFEFPLGSHNDTIIQDGYWDIIRD FLIEKGFI SPAR_N00180 MTAQIPYQHSSGYISHFHNNELDADGGRDYNVTIKYLDDKEENE EGQTTKVSHTASLHIPILLCLVISLGGFIFGWDIGTIGGMTNMVSFQEKFGTAKFIND DETIFVSTKQLTDLQIGLIISIFNISCGVGALTLSKVGDWVGRKAGIWFALVVYCIGI TIQILSYGRWYFLTLGRSITGIGVGITTVLVPMFLSENSPLKIRGSMVSTYQLIVTFG ILMGNILNFICEKCYKDPTQNIAWQLPLFLGYIWAVIIGMSLVYVPESPQYLAKIKND VPSAKYSFARMNGIPATDSMVIEFIDDLMENNYNNEEANNESKNQSLVKKNPFEFIIG KPKLGLRLVIGMMIMAFQQLSGINYFFYYGTSVFKGVGIKDPYITSIMLSSVNFLSTI LGIYYVEKWGRKTCLLYGSTNLLFYMMTYATVGTFGRETDFSNIVLIIVTCCFIFWFA ITLGPVTFVLVSELFPLRTRAISMAICTFVNWMFNFLISFLTPMVVSKIDFKLGYVFA ACLLALIIFSWILVPETRKKNEQEINKIFEPE SPAR_N00190 MDGNNQNQYQNQNQNQSQQSQQPPLKKYVTQRRSVDVSSPYINL YYNRRHGLPNPVVEPETSYTIDIMPPNAYRGRDRVINLPSKFTHLSSNKVKHVIPAIQ WTPEGRRLVVATYSGEFSLWNASSFTFETLMQAHDSAVTTMKYSHDSDWMISGDADGM IKIWQPNFSMVKEIDAAHTESIRDMAFSSNDSKFVTCSDDNILKIWNFSNGKQERVLS GHHWDVKSCDWHPEMGLIASASKDNLVKLWDPRSGSCISSILKFKHTVLKTRFQPTKG NLLMAISKDKSCRVFDIRYSMKELMCVRDETDYMTLEWHPINESMFTLACYDGSLKHF DLLQNLNEPILTIPYAHDKCITSLSYNPVGHIFATAAKDRTIRFWTRARPIDPNAYDD PTYNNKKINGWFFGINNDINAVREKSEFGAAPPPPATAEPHALPNMHGFINKKPRQEI PGIDSNIKGSTLPGLSI SPAR_N00200 MGRKALRVLFLGPKGTYSHQAALQQFQSSSNVEYLPAASIPQCF NQLENDTSIDYSVVPLENSTNGQVVFSYDLLRNRMINNALSSAAPAGTHRIMPDIEVI AEQYVPITHCLISPIELPNGIQSLGKFEEVIIHSHPQVWGQVEGYLKSLAEKFPRVTF KRLDCSSTSESVNQCNSSLTTGCDNILHLAIASETAARLHKAYIIGHSINDKLGNTTR FLVLKRRENTCEGEAEDNELPQVNLLTFTTRQDDPGSLVDVLNVLKIHSLNMCSINSR PFHLEENDRNWRYLFFIEYYTDKNTPKDKERFYKDISEKSKKWCLWGTFPRNERYYHK SPAR_N00210 MWGLVSKVSARIHISTRLSSVSNKTIGTFPVFRFYSSSAEQKYR KKLLEEAQKQGFNSIEELKNHLKGTIESKKREFNKIDPLKELEDYQQRTKMENNNSKH MMTKSRSPLDPSAPKVPFKTLDSFLDVAKLKDLSKQEVEFLWRARWAQKDNTLCAVIP VPVYDKMMANAKNNPIFVLPLPRQVQSNDAKSSEEQGMELHYIQWQFVGPQTTHCMMT SLAEYKLHQEFARPHTTLQFHSDLVKDKEIVFMNGHVEPDTNVNVQDAQLLLLNVQRF YGAMGEETPVAKQRVQLLRDFSKGSPEFNVEKLISLSQSMEN SPAR_N00220 MDESVDPVELLLRLLIRHKPHLKPYAYRQDSWQRVLDEYNRQTG SRYRQSRTLKTKFRRLKDLFSADRAQFSPSQLKLMGALLDEAPEHPKQRTKFGNESSS SSSSSSSSFIKSHPGPDPFQQLSIERPNNHSSDDEHSGSQPLPLDSITIGIPPTLHTI PMILSKDSDAGKAIKSPKINKGTNRFSETALPPQMASEQSWSDSNMELEICLDYLHNE LEMIKKRQEDFECKVLNKLNIIEALLSQMQPPNQGDEL SPAR_N00230 MDTLLHAKILLTAEVESLRTGPFDQTNVGKAEHIIRGESYQLVQ QFVNQFEGKINISGGISTSSVIAALNDFLNVEVFKVSQENEMLFLAIAFLQTFIQNNY TGPAARLKAVSSLFSKTGIEIRAVNKALAHSLAIMGQPAYEFMDDPLYLVLSLLLLEK ITGQKSLFTVSPDQEVPLPVISGESTPGLLAVTYWWWARALLTQLSLIPEPSGFQASV ASAIYQSGDLAIAVIKDLPESVHEDFKRELYAMYYLENVKCSLAINTEHLCLPSLTKA KKITNFEFVMTGARATRTKYQQKAHAGLIILAKSFTFQNFALKTNSATPETFSLESDL LLEKPHFESIADEPLDEQIYGKKQKLDLSSGYEEDKLLPLALRQENIPKSLLDLNPND QPTLSDYDNIQLLLRLYTIKNTTPAKDPLVEEELTALLSRILYQNGEKNWSIFARSLW ERSIIETTKAKTIERGLLQMQSLVEELDLKIKSKLIPSSNEINVASRLSYIHQLPFIP RWQLDATLAEKYMSLGILKSAVEIYERLGMACETALCYAAVGDEKKAEEILLQRISEN DSDARAYSILGDIKQDPSLWEKSWELGKYVNAKNSLAKYYFSPPPKSGIQPNYSATLK HLNDSLRQYPLSFETWYFYGCVGLQCGKMQIAAEAFTRCVSLDPYHALSWSNLSAAYT RMDKLKEAYSCLKRAISCDAQKNWKIWENYMLVAVKLNEWEDVLTACKQLVSIRRDKS GEGSIDLPIIEKLVELLVTSEYPEDPEKLSYFQKSCSEFICNTLPQVITTSARCWRLV ARVELWRKRPWAALECHEKAYRAVSHNPDLEIEEKVWNNTVDACEDLVAAYESLGEME GKYGPGSLVCKDWKYKCRSTIKTLMSKGKGRWDDSPGWDRLVEARSQI SPAR_N00240 MATYQPYNEYSSVTGGGFENSESRPGSGESETNARVNTLTPVTI KQILESKQDIQDGPFVSHNQELHHVCFVGVVRNITDHTANIFLTIEDGTGQIEVRKWS EDANDLAAGNDDSSGKGYGSQVAQQFEIGGYVKVFGALKEFGGKKNIQYAVIKPIDSF NEVLTHHLEVIKCHSIASGMMKQPLDSASNNNGQSLFVKDDNDTSSGSSPLQRILEFC KKQCDGKDANSFAVPIPLISQSLNLDETTVRNCCTTLTDQGFIYPTFDDNNFFAL SPAR_N00250 MKRLQLLGRSKYFSLVSGAAKEEEEEEESNPDAKSPLLSTSNVI RSRSALLNDKSSLMCLPTKVLLLILRTLDFNTLVTLCLVNSRFYNLITNEFLFQNVIL DSKLSLLKFNALIHSEFHTSNIVAHSGDLNTQSRSQNARFLVRSIEFKNPQSQDSLLK YSKFYNKSGQDSIIAGSYKLDSYDKDVAKVKNIRLNDETPTITSERIKLLDKFESNYF HYTYIELMLDIIDYLPNLSKVILSEVEPNFKIPLWYSVFNDGSRDFFKKIIKGQQSIT NEDLRTFQLSKKFVKEYESKYYSLPRLKILEIKANNKRQRNSNRQRHHQKLILRPSLF CCFGIISELKLENVTIDTESLDTPMEFLPLFLKNENTELYSLQSPITALTLNSCDVVP GNGILRLFHSYFKMVKHLSLLKINSKFDLLLCSCFPSLSNLTIDCNSECFTDEQVVSE PYYFQQRNLDTDDDFDDCNSMTETLFEAPSDSKIITPPPTSSVVLSLNLNYISRTTGN DVSNNPSPNNNKKPAILTAAQLQTFQRQRIPEFHSFYHYYRLLWERLPSKNISINVIN IPFTNVYPLSPLSFWEHLARTITSIDETDEDVSDENDQETLIGYESNPLRDNIPSANA VPNLSTVMGPESDIHHTYYWNNSVRRCLRDSLIKLKNRTIEYRDLDVEEFLQNMTLEN FFNDFQDPENFKDIPNINLWCFLRNLSKFKAVKIRMLRHFSLCTPRTRYDWELLLKPV LRVNVPIEVRDKDGFVLYSYGQK SPAR_N00260 MNMISRTRTLLRRSIPITRCVVGSLEPRVSYNVCRTLPRAALHT NIIAYNEVKKDDKKVHLGSFKVDKPKMMIAFTCKKCNTRSSHTMSKQAYEKGTVLISC PHCKVRHLIADHLKIFHDHHVTVEQLMKANGEQVSQDVGDLEFEDIPDSLKDVLGKYA KNNSGNASQLPHPSQKE SPAR_N00270 MSQPQMSPEKEQELASKILHRAELAQMTRQLKLGLSNVPSTKRK EDSKTKKRSGEDTEDVGEDHKSLLEAISPAKKPLHDDTNKMTVLSPVKFVEKPNTPPC SRQRIVEDRPQQLKPRKEDTPSTPRASATPIILPHAPSHYQRPHDKNFMTPKRNNNNS SNHSNNNHNNSNSNINNNNNNSMKKKAAASKDAPQDSDNTAGADLLMYLATSPYNKSS HHGTPMNVRIPTTPRSYHYASQFSLNGNTASTSNDAVRFSHIKPSASSPQSTFKSNLL PNFPDESLMDSPSLYLSNNSGSVQATLSPQQRRKPTTNSLHPPSNVPTTPSRELNGTN FNLLRTPNFNMGDYLHNLFSPSPRVTTQQGTSNTSASIPSVPAIVPASSSHTSAITTA AISSHTTNNFLDMNAGGIPLIVGPGTDRMGEGESIDDKLTD SPAR_N00280 MPRKKSAAKRAREEAKKEAGVAATDSATEKTSENPFTTVKPSIE PRKPYIPSEDEEEDDEEEEEEDDYGELITDEVENGINQVLDAIKNNKTDKLLDPKVKF FEDPESAAANVANHEGKHKPIYLKDYHRMNILSGNALKEDDEENEHATVDGKQSFVSQ QREEKTQLLNEIKNAFNDEDNEEVSGDEDDDGFLKKKEPSTKKEGKSLPDPTVNEEDF LEEFVNQQAWIPKKGDKVISLDLNNNEEDDEEFEDAAEKFENAYNFRYEDPNAAEIVS YARSQATLRRSDNSSRRRKREEERKIKEQVKVEKETAVQKKKTKKLNKLTDILEQLTK EYGAEINADMVKKITDTLMKNDFKEEEWDNVVAELFNEEFYQQEGKPTWNEDDEIMGD FYADGDGNGDVEGEEDEVQKEQKEEDEEEGPKRKKSKKEEKLQKKREKRKVNELVENA LEQNKLALIEEVEKEEEERKSRTRTKEEQDFKFRYREVSPESFGLTAREIFAADDTDL NEFIGLKKFAPYRAKELRAKDKRKVMKARRLREWRKKTFKSEKGLAPVGSEAGEKDED TILIPVEKDSKSKHKKKHSHKHKSHQKN SPAR_N00290 MSTEEQNGVPLQRGSEFIADDVTSNKSNNTRRMLVKEYRKIGRG AFGTVVQAYLTQDKKNWLGPFAIKKVPAHTEYKSRELQILRIADHPNIVKLQYFFTHL SPQDNKVYQHLAMECLPETLQIEINRYVTNKLEMPLKHIRLYTYQIARGMLYLHGLGV CHRDIKPSNVLVDPETGVLKICDFGSAKKLEHNQPSISYICSRFYRAPELIIGCTQYT TQIDIWGLGCVMGEMLIGKAIFQGQEPLLQLREIAKLLGPPDKRFIFFSNPAYDGPLF SKPLFSGSSQQRFEKYFGHSGPDGIDLLMKILVYEPQQRLSPRRILAHQFFDELRKDG TFLPRGYTDPIKLPNLFDFNDFELQILGEFADKIKPKEVTE SPAR_N00300 MLLQPFWRGSRWTRLVGPIRRWNSTGTNGGAPFSFKDISNQEEI TNISYPSSPSTVSTKANGNSEVYKPQEEVVKYILHGKFTKNNTHLTFSSVMEDKNFHK NKGLTYNDTMLYYLNLPQKVRISLSTGCLGFRKAARGEYEAAFQTSSRMFELIKDKNM LNKDIEVVMDDFGKGRAAFISALVGKEGANVVKKVVKISDATKLKFGGVRSPKMRRL SPAR_N00310 MSGPPPPYEEQSSHLYGQPARDSQDGNAFIPEDFKYSTVVVSCE PIIRQRFMHRVYSLLSCQLLASLSFSYWASVSTSLQNFIMSHIAIFYICMVVSLVSCI WLAVSPRPEDYEASVPEPLLTGHNEESTQAQRRLPWYVLSSYKQKLTLLSIFTLSEAY CLSLVTLAYDKDTVLSALLITTIVVVGVSLTALSERFENVLNSATSIYYWLNWGLWIM IGMGLTALLFGWNTHSSKFNLLYGWLGAILFTAYLFIDTQLIFRKVYPDEEVRCAMML YLDIVNLFLSILRILANSNDDN SPAR_N00320 MSQRKRYSLNVVTSPSIPSPTPSAPLRTSESNWEAASTAGVASS FLPNSHHGGTVLNPGLGIMRSPSLNKSGAFGRSGSSGSSAVIEPSNIKLLLIGDANVG KTAMILSYCHELMTRAEMSRSVRLRHQQQQQHRDLRLKKTVVNHRLSMKEKRKRYSSN DFEKEFKDISHFTDKTSDFGNPNISDDNSHEMVDPNEIVIETRSTIGIDIKTNLVNID NRFFNVILWDTAGQERYQNAIIPSLYKKTNAVILTYDITNAKSFQNCMERWAVQALEN FSSQDLSKARFFLVGNKIDLYKERQVTHYDVMQMVQEMQLKHGIKISGNFEVSCKWVN VVERTMNMVILDLVENGCFENNDPCVPITASDEFQGQEQEFHDTVEEPFNITRQRQQQ FEKNNTVDITKPNDDITNNQSICCV SPAR_N00330 MGIDHTSKQHKRSGHRTAPKSDNVYLKLLVKLYTFLARRTDAPF NRVVLKALFLSKINRPPVSVSRITRALKQAGAANKTVVVVGTVTDDARIFDFPKTTVA ALRFTAGARAKIVKAGGECIALDQLAVRAPKGQNTLILRGPRNSREAVRHFGMGPHKG KAPRILSTGRKFERARGRRRSKGFKV SPAR_N00340 MKFSTVATFATVTALVSADSTSDGVTYVDVTTTPQSTTSMISTV KTTSTPYTTSTIATLSTKPISSQANTTTQEISTYVGAAVKGSVAGMGAVVGAVALALL SPAR_N00350 MTRPKAQYSPSKGKRKENKHTKRMRKSSFTRTQKMLEVFNDNRS HFNKYESLAIDVDDDGTFGNFVLMENDKGDVDIPVIEENTSSDDEKRIESRKRGHSLE DNHDFIAFSDSSEEEKEQIKEDEDERSSFLLADQYKTSTFSSQHPQNTDSACNTEYPW IRNHCHSRQRRIADWLTSEIKDFVHYISPSKSEIKCRNRTIDKLRQAVKELWSDADLH VFGSFATDLYLPGSDIDCVINSRNRDKEDRNYIYELARYLKNKGLAIRMEVIVKTRVP IIKFIEPQSQLHIDVSFERTNGLEAAKLIREWLRDSPGLRELVLIIKQFLHSRRLNNV HTGGLGGFTVICLVYSFLNMHPRIKSNDIDAPDNLGVLLIDFFELYGKNFGYDDVAIS ISDGYPSYIPKSCWKTLEPSRNKFSLAIQDPGDPNNNISRGSFNMKDIKKAFAGAFEL LVNKCWELDSATFKDRVGKSILGNVIKYRGQKRNFKDERDLVENKAIIENERYHKRRT RIIQEDLFINDIDELPVEEIYKLDEPLKKKRKVTKDKREGRIKKSAIPSPPPDIAVSK RKIQGKVKEVDHGSPPHQKNLSIDDLMGLSENDQESDQDQKEKDTPPGQDEEPPLETK TVDAQTRRDYWLSKGQAL SPAR_N00360 MSLSAAANKISDNDFQNIGPAPRPPSSNSQGRTGYNQTQPITKL MSQLDLTSASHLDTNLSKKKSGWVSYKDDGILSFIWQKRYLMLHDSYVALYKNDKQND DAILKIPLTSIISVSRTQLKQYCFELIRCNDRNSVSSGSSSSLNVSSDNNSKKSIYIA TKTESDLHTWLDAIFAKCPLLSGVSSPTNFTHKVHVGFDPETGSFVGMPTNWEKLLKH SRITGEDWNNNSAAVIQVLQFYQEYNGAGNSTNTSDKSQSSETASSQKSLPSSYSESK LRNNSVNSKSSSGVSSGMVSQRQAPQPPNTKSPVSLGSGSLPPINTKLPNSQSNIPRH LQNVPNQQYTKMRNGHSPTNGQFPRGPMHPNHSQRSLQQQQQKQQHQQYPYHQQGASP SPSTSSSPLNPYRPHHNMINPYSKQPQSPLSSQSTQNQAIPRYVQNSSPTATHFQPQR TAPKPPVSAPKVPYPSNQSAASNTPIQHVAPKNDQSTLQAMRQAPKRPDADAKQPAGV TKPKKPTRPTMSTAEIMSKLKSVTVNADPSQCFKVIEKAGQGASGSVYLAERTHIPTE SNMIELINNEIDEPHVGDKVAIKQMVLSKQPRKELIVNEILVMKDSRHKNIVNFLEAY LRTEDDLWVVMEFMEGGSLTDIIESSPTNDTSHSPLTEPQIAYIVRETCQGLKFLHDK HIIHRDIKSDNVLLDTRARVKITDFGFCARLTDKRSKRATMVGTPYWMAPEVVKQREY DEKIDVWSLGIMTIEMLEGEPPYLNEDPLKALYLIATNGTPKLKYPETLSLEIKRFLS VCLCVDVRYRASTEELLHHGFFNMACDPKDLTSLLEWKE SPAR_N00370 MTMNTGGFASMQRQLEAELRSLSSESKRRNSTIRHASDKSIEIL KRVHSFEELERHPDFALPFVLACQSRNAKMTTLAMQCLQGLSTVPSIPRSRLSEILDA FIEATHLAMEIQLKVLQVVPIFFKTYGKFIYGPLCKKLLLCCSNLLHVPNKAPVVVGT ASATLQQLIDEIFDRLSIDSVVDDKQYEVLISNSEAIKVNVYRYDANKLFDNICSLNE ISSNGSVNDEDMLLDIGDIPIDYGLEILESILKNNQKNLLECEDLQYLLRVKAIPLFL RCISSSKHFSTAVRSCRCLKLLIRKEYLSILELELEVILSLLIHGISVESNLSGWQRV LSLELFKDLSQDPEIVNTLYMDYDNYPDKKHVFKYLLKECIDLLNSPEYITFLAPSKV VEKMDSPLITTENTMVKTKFMHLLDKSNAPSINITYIISLILTICNHLCEGLNKSALE SSPLEKKIEDKECEEGPGNDSTVVKVYNGLFSGLFEVNKLFLHSTSLETSIFHLVVRA FQKLAHSAGVLSLKDKLRACMKLFSILITNNVTSSNQFPLNDASKSAKNQHMRNVSAS SIAASSVDATKDSNNAIADSVKNKEKKRRLHPRYINSRQVSLLRALVSLSISLGPIFD SESWKYTFLTWQWISYYIYGPSADFKESFYSEDIPPPPILTKSDVTSIESSLTKFFES TSNYSCSTFHLVLTRLILDSKNTLTLEQTNLNPTNDIGYHPLDAKDEIIPCIYNKAFF VNKIGELATYNCKKFLFGKNGKELWGLISSYMIKLISNREMDNDSLRLYTVRVFTDII KKATNEVGNSDEQDNKVEQFGTLENLVIDSLMATINSIKQLDIGRQEIYNGTINVESD ILFQLLLTLKEILNEFGELLMNSWTNIFNIINSPFEWTVEDTDLSVNEDMDDSSLFEG IVQKHKNMIQVSYDVFKLISDDFLQSLPMSVIKFVIDTLVNFVTQKRNLNISFSSISQ FWLVGDYLRVRFDPDTLDLNDEKRRSFSEKISNQKLIEIITSGSSHDWELYNGLWIYL LKNLINCTNDDRIEVKNGAVQTFFRIIDSHSVCFPPWDLIFLEVIEPLLTKEWSNEEL ENETDFINVTLQGLIKLYPEHFKNFKNNTTCTKEWSMLLDFLKRLLFSTSNNTKNAVI LNYQTMLKEIVTIEDVPSDILKKCCEIFTDYNITYSDLSTSASNKTEYDCIYELITGF PSLYQLISKYDAMTDEFVEKVLLLFNSAIKYPLLPEFVQDKTKPSSMQNAILSGLDIF MASDSKDTDILILLQLSTISILAFDTREKITKKLGPKLPKASLNRLPTFEAISYMSCS NLRNRIAKIDQFGISTLKAKHILRILKNLAEIIKRKSLIAGSANDEIPIWVLASNCFC DLSNKIFKSLQEDAENPLKDNFCDLFINVIVITLQRINPELDSLTEIDDLNEYSKYRE ILLEKNIIDLFNERQLNIFISAVWNCSFLYEFDELENALMQDCSTFSELSQKLSSFDF SCLFGSTTNPRFQTKYKCSLESLQDLVNFMLNSNEKLRKLTAPYLSARIALALRRYIS DEYLIGRAPIPKLRKTELATLLNGLCLILRVVLDQNSALGNKQIGVENLQTLSPLILR TIPVSHKMDGLQDKVLELSLGFTKLD SPAR_N00380 MEYQALRRLVLYYPKVVRHSNLRHNMTTVTCRHMSGKGGGRDEK GDCNEEKDSSKELGRVPSKMKRAYDGETVVKEGDSRASSVLQQRKQPADITCNSRSRI SGSNLHLLVPKVASTDCIPNKEVHTEGLFAGYRPLFLGNSSFPSDARKGKNFHELDDV LPNIQVVDASEKDGKLNVQEIIEDLQRTSLRESINSMEQLPSSHKRKPVIPWDASISG MVYNDMPFKYVPKNIVLKMKPFKLLRIERKAQAKNARKPAMIKLQFHNGKINDTPELV NLYQNKSRLHQSLYNSRSAQVSRYSSTNISKRQKMLKARSDFEHKLKNYAYKHTFIKN DQELFRNELTKLNKILAREFKKLTKLSIHNEFKREHLPLAVYVSKSKGTKKLFRRSLK MKIMDHIYPVYTTILSTLTNPKDSKKFENKIKGYIEKIIARLSDEVPSTFFFQDGVDC IIQPSPIHNFKRMHWLRYTKRHNTFWGRTINKDVQVSFNDKYVVTRSGVRYTRYPTNL NTQLLETAFEEWDYYE SPAR_N00390 MNLWRHGPEESTAYNSCHPMDLGSGVLIQLPLYENSAVYADTIT FRSFCCERVPVYVSTVLKNSSPYRYLDEVIRDWQKFIQVSDYVGGSAEYAIYAVILSI TSNFVITVFLTVICCINISGRAYKRILQLLRIASLLASLNLTIFITKVLRRLEREHNV YGVVRAHSIMHIFSDDMTFVVLDFLATLMFQFCQVGIVIRLFQRAQEKRIIFFIGVIL TMTANILWVIPPFANHTTKHRNDWQILRPFVYLFRIAIATSYASIVIYHIWQKKKLWF KFNQMGLLTLLTILVVLLLPGFFLADVSNLWISELGEVFNTTCYVTSTVITWEWLDRL NVLERKEEAQSILGRPIFEEEQQDYRFAKYALRVQNALTRRESHDASIDRHETSSNSE VCDLQTISRYDPEDQISEGRSIDRMHFSDKGSYKDLALKKLSYARDKILYFTDQIVQK SVGHNNSSSSKNEKAKQRKAMVRKRLGLDKPGIYVYSTKDVVFNSDEDGNEDAEDEEE SQDEDGCENNNDNSADFSSDHMSHI SPAR_N00400 MQNDQQRFSLQNRTVLTHPYKRLGGAFTVKSPSVPNFHDRMHSD HSSSDSALVNGNTRGNDHRSVEPSCLGQVSPSEHDGNLSVIDLYGDEVESQRAEVEDD DNYDNNDNEDLEEVHSDDLDLVPDEGNRQRVDGATNATSANSNGVKNTHFDRYGFKKQ NNYISEAEYDKWWVEYSQYCVRRKHKWQLLLEKSGLPVTDDSPSRFPSKSERLKRYVR KGIPAEWRGNAWWHFARGQEKLNKNKGVYSQLLQKMKQIKKQNPNEKQVQDLDIIERD LNRTFPDNLHFQSGSHNKEGPPIIKSLRRVLVAFSLYNPKIGYCQSMNFLAGLLLLFL DEERAFWMLVIITSRYLPGVHNINLEGVNIDQGVLMLCVKEYIPEVWSYIKPSIDHHQ KNNKTFSPSNSKVLFNMQKNEFLYRLPPITLCTASWFMSCFVGVVPIETTLRIWDCLF YEESHFLFKVSLAVLKMSEHELSKIKPRNNSLNYSWGTNLNQRGGSMGQEDSDMEIFQ VIQTFPKTLLNPNEIFEKIIFKRRFNLNRLDQDEIDRCRKFVAAQRLKFKTYGELLGN STSESDLPINNNNNTDNKGIHITSDAVNEALSSEVYGFKKSLAGVHWNNSIKEKVKQM RKKKDRND SPAR_N00410 MNGIFAIEKPSGITSNQFMLKLQHALTKSQVFSKEIQRATAERK QQYEKETGKKASKRKLRKVSKVKMGHGGTLDPLASGVLVIGIGTGTKKLANYLSGTIK VYESEALFGVSTTSGDVEGEILSQSSVRHLNFDDLKTVEEKFVGQLKQTPPIYAALKM DGKPLHEYAREGKPLPRAIEPRQVTIYDLKVFPDSLKRDHDYPLLRPTTEEAVDTVKN LNANMLNDVLYFSKEYTEKHGLDSEVAKVEEPFPLSEQEEQEIQKEGNSYRAPKLHFK ANVSSGTYIRSLVSDIGKSMRSSCYMVKLIRLQQQDWSLERNNVFQLRDFTDRDEKVW SKVLEKVLDEGATVDVIEELKKAEKEVPSDVKESTIPDDQSGNGASTESTETANVEEQ HNALKRKIEQVQER SPAR_N00420 MIVLQSLFVVYCLFATSIQGLFQDFNPFANKNISLKFPSLNGWE RNIMTTGQQTIINSDSIYEWTPILSNITGGKKDSFVFTIDAEASGYGFAPTYEVLMFI SGNICQMPVNKSDVDLTIYYSFNETVLENPNIGQNAVFQDGYIQALAISPVQSSSSNA TSTYSNLYVVAELVNSTTKQPLSSSDASENWEYRLSISENDLVFQWDVRPWVEVLDTD MNSALLSTGNVTADAKVYHNYSIYDPSLYDLYVYSYEDSIQIDQNYNLSLCAVKNGPY LVSSQNTSNATVTSNSTNPLERTDLAIQKKITEYGGSVTEMFYITGLNASTTYVAYLA KKISNGDGLSSVGGILFSHVYFTTRNTDACSLIFGLDFCSDVAYSVPTSSFSVDNKTL MAQTYDHIAEALYANFSKALQLVSCDADEDARYSPVMSCNDCAEAYRDWVCAVSIPRC STISSQYYIHRDKSHNRNDYLDKYIKPLDDYYEILPCIDMCYTLVRNCPSDFAFSCPD DVTTEDLLYQSYNFYMDTDFSTCNYIGNSSLMEIHPLDDT SPAR_N00430 MSTSIDKRSKENLPWVEKYRPETLDEVYGQNEVITTVRKFVEEG KLPHLLFYGPPGTGKTSTIVALAREIYGKNYSNMVLELNASDDRGIDVVRNQIKDFAS TRQIFSKGFKLIILDEADAMTNAAQNALRRVIERYTKNTRFCVLANYAHKLTPALLSR CTRFRFQPLPQEAIERRIANVLVHEKLKLSSDAEKALIELSNGDMRRVLNVLQSCKAT LDNPDEDEISDDVIYECCGAPRPSDLKTVLKSMLEDDWGTAYYTLNKVRSAKGLALID LIEGIVKILEDYELQNEETRVHLLTRLADIEYSISKGGNDQIQGSAVIGAIKTSFENE TVKANV SPAR_N00440 MCEYSKALHILLKSPVTDDIIKFLTDTTLRVVPSSNYPTPPGSP GEKHVARLPSLMTFITRLVRYTNVYTPTLLTAVCYLNKLKRILPKDATGLPSTIHRIF LACLILSAKFHNDSSPLNKHWAKYTDGLFTLEDINLMERQLLQLLNWDLRVNTEDLIL DLQPLLEPIKQDLARSSDQRKRINMMMSMNKRACAGTSPLRSNNRFKLYEKQRNVSIA SDLSSATLVDSCNDLRRLKDVTNIANNTATSTNYVKTVEKWNDNVNRQSWDLEQIMSQ HGF SPAR_N00450 MFSAQKPIYGNGAGVNMGGGGPSTNNPGSMSMPGAPAPMGPGMN QQIPGGGPMLMGNTPNNNNNNDNGENNGNNGNNGGNDANATRNNPNMANNRGAVHALD DPNVYHWICQLTYGPQKEQALLELGRKREQFDDLAVVLWSSFGVMTSLLNEIISVYPM LQPQMLSNNLSNRVCNALVLLQCVASHPETKHLFLQAHIPLFLFPFLNTTSRQRTFEY LRLTSLGVIGALVKNDSQDVITFLLRTDIVPLCLRIMESSSELSKTVAIFILQKILLD DVGLQYICATLERFYAVTNVLKDMVEHLTVSTPPGRLLKHIIRCYLRLSDDLEARRLL KVVLPAKLRDNTFTEVLRDDVGSKRCLAQLLLTLNEETS SPAR_N00460 MSAHTYKKFENSTSGDLPDKMTIYQDCMNTFNESPVNSKRCRLL ISRLLRLLAQGETFPQNEATALFFSISKLFQHQNDPLRQAVYLAIKELSGISEDVLMA TSSIMKDVQNGSDLIKPDAIRSLTYVLDESTAFSAERLLKSAVVSRHPSISSAALCTS YHLLPISEVTIRRFTNETQEAVLDLKQFPNQHGNSEYYPNSTYISQYHALGLLYQLKK TDKMALLKLVRHFSENNSMKNQLAKVELVKIVNDLIYRDPQLFNQFRPLLSDWLSNKF ESVQLETAKLITSFATRNSRLVAPELYAAAISALQSLLTVPRVSSRFAALRILNRISM LSPEKIVVCNPELESLINDSNRNISTYAITTLLKTGTSKNISSLISTITNFIHDVSDD FKIIIIDAVRTLSLNFPQEWKSILNFLIDVLKNSEGGFKFKNSIVEALIDIVSFVPQS KELALENLCDFIEDCEFNEILVRILHLLGKEGPSAPNPSLYVRHIYNRVVLENSIIRS AAVVALSKFALTKNDPTLYESIISLLKRIANDKDDEVRDRATIALEFIYSARNKEDIT AQNLIESKYYYDIPSLESKLNSYISSNTDSFATAFDVNQVRKFTEDEMKAINLKRKQE QIFNQKSETTLDTTPETDNVSEKRADANSFAGPNLDDHQEDLLATKYADELLSIEQIK PFGQLVNSSRAISLTEPEAEFVVRGVKHLFKDNVVLQFNITNTLTDIALDNVSVVCTP EISDEAELEELFTLQVDRLLPSEEAACYVAFKKLDEIVMEGFLNNLTFTTKEINPDTN KPFEGDEGFQDEYEIDSIFLNAGDYIKSSFTGNFSATFDELPCEEIAVFNIQEDLSIQ EVIDKIILNSSCLPVESTQFAPSDSNSHILKLFGKSALTGSKVALQIKMIKSSKGLAL KVQGKGEDSLLCSDLVNGLM SPAR_N00470 MDADELELKGHLKKLKKEELLKRKQSKENDIQKRELEYKNASKN TSIYISDLPTDKITKEALTEQFSKYGRIRTNRDGEPLCKLYVNDKGVSKGDALITYSK EESVTLAIEMMNESTFLGKQIKVERAQFQDKEGDNMHVKEDNLQEFSGSEPPMKKLKK TKSEKEGEVIDYNDDESLAKADQTVIFANVFNIYKLYTNDDINDIQEDLLEGCEEIGQ VDSISVSPDKGEASVVFRKSKDALQCCKTMTGRYFDGQKLLAFIFGDENPSSTSDENK DSEVEDDLI SPAR_N00480 MDTLKLSGHLEVLRKAERQRPLRNSFTTVAGRKLINNFVLVMML TSVAGKDIFFRGIFKSPVLAFQSYRYVSILGRLKPSDGSTKSFKRLGRGPSSGLGKTS GRGQKGQKARGKVKSWFEGGQTPIYKLFPKVGFTNVGAKPLKELNLERIQWFHDKNRL HLQPGEVLDMNKMRKLGLVTGPIKYGVKILASGKFHYNLPIALEASRASAKAIAAIER AGGKFTARYYTPLGLRAHLNPQWFLEKRGRVPLQARPTKRRDIDFYSKEEKRGYLVME KDKLLQDIKNAQENGSRHFLKQNVKKSALEIELEKLSPEHDQVPVVSTSKVMNVKALG R SPAR_N00490 MYLQLIHRSFIVVWLICIRAALADQFTYKACYAASDIRKLGLTY KGVYEYQSVSYCENECPGQAVVALFNGTGCYCGGSVSQLQSLTQLDSSKCDVTCAGWP YQNCGGSSAMNVYINNAASTADPTSSTSTSTSSTKTSSSTSVNSKTSTKSDTKTSTSS SATQSSSSSSITSTTTSSSETTSSSSSTSTSTTSTSISTTSTTSSTTSSTFASSSSET SSTLTTSSSTASSSSSTSTATVTSALSSTSIGTSTHYTTRVVTQSVVSQANQEASTIF ITRTSVYAAVSSTPSSTSTSLNGKSNSSKSKGLSGGAIAGVVVGVVCGTLALLALAIF FFVWKKRRQSSQHVDLEETKQYQPYSLGDADANPVIPPSTSSSNWHIPSRNNTALSKN TASTFATYDLPTRVPGGRDSVITGDAHNINKRSHFPSVVYEEPPSIYNGNQRFSATSL PDMMEERQLHIVNPDNVSSNIGSNVSDGDDDYDDAKDSNNSSLR SPAR_N00500 MSGSLKSLDKKIAKRKQVYKPVLDNPFTNEAHMWPRVHDQPLIW QLLQSSILNKLAHIQSKEDYPWELYTDFNEIVQYLSGDYGNSDPVCLFVCNKDPDVPL VLLQQIPLLCYMAPMAVKLVQLPKSAMDTLKSISKYGMLLLRCDDRIDRKFVSQIQKN VDPLQFPWLNAVKYRPTSVKLLKTTVPIVSKKRQK SPAR_N00510 MVVLNPNNWHWVDKNTLPWSKDYLNGKLTSLSTVSSDGKSIIEL TQVSSITGDSNVSQRKGKPICYFDLQLSMNVKVTNLDTNKDNEDDDGILADGKLEIPE FMHDESDFPILSQGFDAFDGLVRSEFVPKVVETLLKYQDDLIEEHSKDIQI SPAR_N00520 MVSALNPRTTEFEFGGLAGALGISIGLPVFTIILNQMIRPDYYI KGFFQNFDIVELWNGIKPLRYYLGNCELWTVYCMWYGILAVLDVILPGRVMKGVQLRD GSKLSYKINGIAMSTTLILVLAIRWKLTDGQLPELQYLYENHVGLCIISILFSFFLAT YCYIASFVPLIFKKNGNGQREKILAQGGNSGNIIYDWFIGRELNPRLGPLDIKMFSEL RPGMLLWLLINLSCLHHHYLKTGKINDALVLVNFLQGFYIFDGVLNEEGVLTMMDITT DGFGFMLAFGDLSLVPFTYSLQARYLSVSPVELGWVKVIGILAIMFLGFHIFHSANKQ KSEFRQGKLENLKSIQTKRGTKLLCDGWWAKSQHINYFGDWLISLSWCLATWFQTPLT YYYSLYFATLLLHRQQRDEHKCRVKYGENWEEYERKVPYKIIPYVY SPAR_N00530 MSGFKCYLQLGDRLSQVWLNKYTLALLLAMLKLLFFSQSVQHAI EVSETYILSNCHSIDSLYSKVTDNTPHYLGVMGNFLIEKGMEETVKATLETLSLLVYA SEGLVNFAIDLYLGTYACLIVSAVDGTVDVATNTTEKLISLVNDTVSSVADELDTGLN DISKIINKVIKTASKIEDFFTGDDDDDSNMTSSIKSVNLTISALHNLYIPSSINDKLE ELSAKTPDFAQVKNTTKNLISVPFNEVRKNIKAVNASNLIGDTSVLYVPPVSVDNSTG ICSSNESEIIAFYGILGQVLKITTIVCIIMLICFAVGAMIPVAWNEIKLWRRLCGMRD HYILSRQDSYTSYSSGSSHELKDPFADPPIQNVQYDVIASYQQCFRIWNTRIAGWMTN LVTFGKSPENIDPETRKKIEWVVAYMTSERALCVLGIGILGILVCVCQFAMIALLKHK ISHSLTSNSGDSVQSLLKSSTAVDIENQMSLWSVQTNDYINTTESNINQEVFGWISTT TLSINNTVATMISDIDTTVADVFNGTLLYNPMKTAVGCAIENKLYTVEKAMTWIHDKA QLHIPRINGTQIKQALAKQADNSTTSTASSTSATTASLLENLVNDMREGLLKILRAYH RITMGELTVALVIVAVWLVQLPIALVILRLRLRKATFN SPAR_N00540 MRIFSGDNKAADSPASNPGLMSPSNFGGDFGSRLKVSVASKKKV NESSPTSPTETSPVSPELVPILTLLNAHTHRRYHEGVFLILQDLNNNGTHAARKWKDV YGVLLGTQLALWDAKELAEFTDPSCPVSEKKLKEVASKPTYINLTDATLRTLDSSDNI VMECGKNLTNALVVSTTLRNRYFLQFGNKESFNEWNAAIRLCLYECSSLQEAYTGAFI SSRGAKLGDIRILLTNRKYDYKDWVSVRFGAGMPWKRCYAVISQSSSKKKGHFGEINL YENDKKVKKNHAMATIVEAKALYAVYPSSPKLIDSSTIIKVVGSVKFEKKESAQEKDV FIMPEKHQAVPSYDTIIRFLIPAMDTFKLYGRPEKLLSSKNDPHSLLFGLPVLPHIYY LEVEDLLPLTNSVSSVHWSNNEWREHISDILQKKIAQGYCGCSSTSNITSPLPSPFLG SADLFERADGVLSPKLSYGSKSSSNNSSKNSLPKRERVKVSSSSEHGLEITDNAIVKQ ESPPLVTSGSPHKVHTPTEASFRTRVTEDSPYGKQRHHKLFASSVNDSPSDRAKSRTV PYNNKEMNVTTSGNFERGEAPYNNNIDESLKKVRSMKLEIPESNFNKFMTDKNLLSVD SKSSNEKKLSVESDLSAIYEKYSNGPFGHTEGLNGSSDETYLRFQRASVHSENNYNPR KSFTPSDFSNDNEGEHAVLQELNSLTQRINELGMESINSNSDSDRINGSYSQMELGNN DNEDDMNLFDPDFMAQDQLRAEERDYNKDDRIPLVAAPATFQSTGLGITPDDDIKRQY ITEHTPRREAPRQSPDKTSNPLDMGNPYAKPGARLNTTHTDIKTDRNPTPQKGHPMVP SQQMPSYVQPANINGSNKMYGANNQVTGSPRNPKARAPPGPYNPGWNNRPSPSKNYQH PHPSDTQPQAYHLPGNPYSTGNRPNVQMQYHPQQVNRPYQPHAMNAHMGSPGGYSGVA AFQPGNMNYNNRSQSPWPMPGSPSAQYRPPPNMNQPQNGSAGYYRPPAPQLQNSQVRP QKKDGFSQFMPSATTKNPYAQ SPAR_N00550 MSHTLKSKTLQELNIEEIKESNPLLKLVQGQRIVQVPELVLESG VVINNFPIAYKTWGTLNEAADNVLVICHALTGSADVADWWGPLLGNNLAFDPSRFFII CLNSMGSPYGSFSPLTINEETGVRYGPEFPLCTVRDDVKAHRIVLDSLGVKSIACVIG GSMGGMLSLEWAAMYGKGYVKNMVALATSARHSAWCISWSEAQRQSIYSDPNYLDGYY PVEEQPVAGLSAARMSALLTYRTRNSFENKFSRRSPSIAQQQKAQREETRKPSSVSEH SLQIHNDGYKTKASAAITGISGQKRQSVVSTVSSSDSLNSSTSMTSVSSVTGEVKDIK PAQTYFSAQSYLRYQGTKFINRFDANCYIAITRKLDTHDLARDRVDDITEVLSTIEQP SLIIGIQSDGLFTYSEQEFLAEHIPRSRLEKIESPEGHDAFLLEFKLINKLIVEFLKT NCKSITDAPPRAWGGDVGNDETKTSVFGEAEEVTNW SPAR_N00560 MSKESTRVTVNRGLTASGECTQASEGTNDELDRESSVSESRNDE ESQEKLSRRRFPKLGMGIWLDLRDRVPYYRSDWVDAFNYRVIPSIVDTYFNNLLPAIA FAQDMFDRTDNSYGVNEVLLSSAMAGIVFGVLAGQPLCIVGVTGPISIFNYTVYEIIK PLNSSFFGFMFWICMWSMIFHLVLAFTNAVCLLQYVTTFPCDIFGLFINVVYIQKGIQ ILTRQFNATSGDKSVQDGFASVVVALVMTAFGLFFKSFHHYPLFTHRIRTFISDYSTA LSVLFWSSFTHFGGYLNDVKFKKLPITKSFFPTSKFNRPQNTWLAYESIPVKDVFIAL PFGIILTILFYFDHNVSSLMAQRHQYKLKKPSSFHYDFALLGLTTCISGVLGIPAPNG LIPQAPLHTETLLVRDSNQKVISCVEQRFTNTFQGLMILGTMTRPLLVCLGEIPQAVL SGLFFIMGINGLMTNAIIHRLIFLFSDPTRRNNTSPLSKVSKKSLLIFLCFSLTGFAG EFAITNTIAAIGFPLVLLLSVLVSFSFTYIFPTEELKILDTNVAQKFTIKNLLLENIR DAKFSDKHKD SPAR_N00570 MSKKPIVLKLGKDAFGDQAWKELEKIADVITIPESMTREQFLRE IKDPQNKLSQVQVITRTARSVKNTGRFDQELALALPSSVVAVCHTGAGYDQIDVEPFK KRHIQVANVPDLVSNATADTHVFLLLGALRNFSIGNRRLIEGKWPEAGPACASPFGYD PEGKTVGILGLGRIGRCILERLKPFGFENFIYHNRHQLPSEEEHGCEYVSFEEFLKRS DIVSVNVPLNHNTHHLINAVTIGKMKNGVVIVNTARGAVIDEQAMTDALRSGKVRSAG LDVFEFEPKISKELLSMPQVLGLPHMGTHSVETRKKMEELVVNNAKNVILTGKVLTIV PELQNESWPNDVKPLI SPAR_N00580 MSVDMQQGATNAADFSLTVLRARIALLATAIGGPDYTSQIDPPP YKLGDDCLACLKDLKRWFKLVDDQQKRWDVAMAVAEYRILTDDLLPILIDWENKCSLA AKLAKNNPGHEEFRNKVYYDKIALNCLQLLVLMTWPLIVTEQSSSNQITLYSELKKHQ LIYKKAILSMESGKVLRAAIRLALDVIKIDRLSRTPRDNMVLKLVLNFFRNVIAIEPG EFTINTKRSMPRKGITSIDTLPPNVSMDDISLNTVISSFHKNKVFGLLLTLSSSLSKE FDQDFINIPLLEIMFYLTKDVNQELLFPRQPESRTHLRVANNNGDTTTNNVVTTAGFE LSKLLQKEHQMKKNVIKHTSARHSRFGGLLSIQTPDKNRLTVSGSQALVDEKIALQKL DDSKKWNKRIIQKHQSVAAEGLPNSLLNSQTGKAIFFTESNGKHFKRFINNFIDSGFN ILLHSVTNYFTTEQDRMVTLEQVQYLLFFAWFTKYQLLRSKMDNSAELSQVSEALKEV SFILVSSLLRSAYDLKNWIVAHAGMIAFNELLNLVSRTKAAQEDDSADVEFIVSRLFS DERIQLLSNLPKIGSKHSLQFMKSCIELTHSVLKVLEQYSDNKTLVIEGKARRQKKLN ISEDDINKLIEEENVDREEALDILASTLRSVGVNFQKVQANYMTEPVIETYINFLERF RELEDDSIKKVFSFFHRVFVQAKEQALLFRFDLIILLREMLSPDGLNRMSRSRKYVSQ FSDYFLSRLKKRLKKSPAWFVGLLFPPLHNSEVGFYQRYGEYNIISNESEYTSPASQF KPIPDEEALPPSILLDMKYGILVSTLLDDGKVELLDLLFKHITHALDVFKSWLTVNVN ASRETMNPPNEYFTLTGTLNNEPIFKDKDYRALLLLIGYSIPRKINEPCFLPGTVEIS DLTVACELVKKYLSTPFETPNGLPSSSYLLRVRSKKNGLPHGEQDGWKEDDDYDYNDP YIVPDDQVVSESDAAYFKDLDNNVSDKLKGIKLSKGIARSKNRDKRKRKKGITKTNFS MFGDQDGERPHSVRERHSVFSKEFISDSEDDENLMNPIFFENETYMRWLLDKNNGQLT EERYIQFAKFAAERMNNGGVITGDYTNLFDGAIPSIESIRAAEGGSFAPDKSLVSLAS HVASEMSVLDVNTNNNQLSHEDVNLESKDSLGSPQLSDSTNEFQSEGHNTKESRKRSL EGNIADESDEDEEAIRPSTKKSRIFLNQGDSDYE SPAR_N00590 MDASEEAKRISIQVTSLSTQLIESVDKQSHLEEQLNKSLKTIAS QKAGIENYNQLKTDYETLKKALLERDGEVKKLREDIAKENELRTKAEEETDKLNKEVE DLTASLFDEANNMVADARKEKYAIEILNKRLTEQLREKDTLLDTLTLQLKNLKKVMHS LDNESTVTNNSNRYSTILSDSATSSSTSLNKVPTSYSLASQDMYSGIVYSPSISSIRY DISLYNEFLKFVAALPHCENIKATSSESKLIRRLVNDEIQPILKIDNASGIGWLVKKT LLSLIIDGLVVVEPLSGVNATYQIGYNSSSPVKQATSNMPKMFKFPLDSPPVAVHAAC SFCGESRDDIVEHARMYVLKTLHKTDDGKEQVTNTYPLCHWCLLKLRQTCEIFAFLRS LKVGAWHLEKLTTQNITKGDLEKFSEVTKHTKRDGKVSSQDKKTKRLSFMAGLGINSS TKNKPKMVFTSETNAKPGQPTTNIQRAWLQLCKLRCILHWTHIGIWAVDDSISSKIGP LVDDDDSDENQNDAISVHLQDNAAWKKGEERPLSSSSAEESQKSDTFDFESADTENGT TDESSTDGSSSDGSSTDGSSADSSSVGESSSAASTTSSADSTSPEDMGENEGDDTVTK DGKSTIKSIKNNEENSNCNDEKGQNIEKKKASQHKIQKKKLLQDLDDLEEQFREESAI NQTELESSGDIVKEVVSSKEAFSEDKDSTKYNSENTLKTNLTISDKHQEQTRENSPNS GLHASSSNDDNFDDAQEQQ SPAR_N00600 MLKNSGSKHSSSKDSQSNSSSGLFQNLKRLANSNATNNNTGSPT YASQQQHSPVGHEASTSPASSSFRKLNGPSRSTSTEARPLNKKTTLNTQNLSQYMNGK ISGDIPVSSQHARSHSMQSKYSYSKRNSSQASNKLTRQHTGQSHSASSLLSQGSLTNL SKFTTPDGKIHLEMPSDPYEVEILFEDIMYKRNIFQSLSEDKQEALMGYSIEKKWLIV KQDLQNELKKMRANTTSSSTASRTSMASDHHPILTANSSLSSPKSVLMTSASSPTSTV YSNSLNHSTTLSSVGTTTSKGKKLVTGSLKKQPSLNNIYRGGSENNTSASTLPGDRTN RPPIHYVQRILADKLTTDEMKDLWVTLRTEQLDWVDAFIDHQGHIAMANVLMNSIYKT APRENLTKELLEKENSFFKCFRVLSMLSQGLYEFSTHRLMTDTVAEGLFSTKLATRKM ATEIFVCMLEKKNKSRFEAVLTSLDKKFRIGQNLHMIQNFKKMPQYFSHLTLESHLKI VQAWLFAVEQTLDGRGKMGSLVGASDEFKNGGGENAILEYCQWTMVFINHLCSCSDNI NQRILLRTKLENCGILRIMNKIKLLDYDKVIDQIELYDNNKLDDFNVKLEANNKAFNV DLQDPLSLLKNLWDICKGTENEKLLVSLVQHLFLSSSKLIEENQNSSKLSKQLKLMDS LVTNVSVASTADEESNMNMAIQRLYDAMQTDEVARRAILESRTLTKKLEEIQAERDSL SEKLSKAEHGLVGQLEDELHERDRILAKNQRVMQQLEAELEELKKKHLLEKHQQEVEL RKMLTILNSRPEESPNKSGSTRGTNSSFNSSEKANIQKVLQDGLSRAKKDYKDDSKKF GMTLQPNKRLKMLRMQMENIENEARQLEMTNFAEFEKDRLEPPIHIKKPKVKRMKNKD KKPLVKPQEADVNKLNDLRRALAEIQMESNDISKFNVEERVNELFNEKKSLALKRLKE LETKYKGFGIDFNVEEIMESPKKNSVDGKAEGDANYASLDPKTYQKKLDEISRITDQL LDFQTQAEHKKEGKDDEESGLSSSSSDAESEEVYQDASPNQEIKSEHSELSSGSGPGS FLDALSQKYGTGQNVTASVALRDTNYVSGVGHMHSKVEKTFMNRLRRSTVSSAPYLEE LTQKVNKVEPYEQNEDEGLSEESLAEDSTVSAASALDKVEKGVRQHVKKGEEGHMVSD EESTTSNLIAVGETDNAAQVEKSSTPSSAVSPSPPPPPPPVPVELFGKSLDKEKELED DAIKQETSREIDTSHPPPPPPPPPMALFGKTKGETPPPPPLPSVLSSSTGGAIPPAPP MMPASQTKSVVTSPLLPQSPSLFEKYPRPHKKLKQLHWEKLDCTDNSIWGTGKAEKFA DDLYEKGVLADLEKAFAAREIKSLASKRKEDLQKITFLSRDISQQFGINLHMYSSLSV ADLVKKILNCDRDFLQTPSVVEFLSKPEIIEVSVNLARNYAPYSTDWEGVRNLEDAKP PEKDPNDLQRADQIYLQLMVNLESYWGSRMRALTVVTSFEREYNELLAKLRKVDKAVS ALQESDNLRNVFNVILAVGNFMNDTSKQAQGFKLSTLQRLTFIKDTTNSMTFLNYVEK IVRLNYPRFNDFLKELEPVLDVVKVSIEQLVNDCKDFSQSIVNVERSVEIGNLSDSSK FHPLDKVLIKTLPVLPEARKKGDLLEDEVKLTIMEFESLMHTYGEDCGDKFAKISFFK KFADFVNEYKKAQAQNLAAEEEERLYIKHKNLVEEQQKRVQEKEKQKENSDSPSSEGN EEDEAEDRRAVMDKLLEQLKNAGPAKSDPSSARKRALVRKKYLSEKDNAPQLLNDLDT EEGSILYSPEAMDPAADAVIHAESPTPLATRGVMNTSEDLPSPSKTSALEDQEEISDR ARMLLKELRGSDTPVKQNSILDEHLEKLRARKERSVGEASTGNKLNFK SPAR_N00610 MRETVDMQTAKEGQCEINSSSTIKEEELVVDRHAGENATETIVT KKSIEDDVAREAERLPRESREVKRKLKQRHIGMIALGGTIGTGLIIGIGPPLAHAGPV GALISYLFMGTVIYSVTQSLGEMATFIPVTSSFSVFAQRFLSPALGATNGYMYWLSWC FTFALELSVLGQVIQYWTDAVPLSAWIVIFWCLLTSMNMFPVKYYGEFEFCIASIKVI ALLGFIIFSFCIVCGAGQSDGPIGFRYWRNPGAWGPGIISSNKNEGRFLGWVSSLINA AFTYQGTELVGITAGEAANPRKALPRAIKKVVVRILVFYILSLFFIGLLVPYNDPKLD GDGTFVSSSPFMISIENSGTKVLPDIFNAVVLITILSAGNSNVYIGSRVLYSLSKNSL APRFLSNVTKSGVPYFAVLSTSVFGFLAFLEISAGSGKAFNWLLNITGVAGFFAWLLI SFSHIRFMQAIRKRGISRDDLPYKARMMPFLAYYASFFIALIVLIQGFTAFAPTFQPI DFVAAYISVFLFATIWLSFQVWFKCRLVWKLQDIDIDSDRRQIEELVWVEPECKTRWQ RVWDILS SPAR_N00620 MGRLSNIITSNKWEEKQTNFGEQSIRELPEDQIEHEMEVIDTSN KTTPYSIDEKQYNIKKKHGLLEGDAIADVNSVTNSLTRSQIVSHQPDVDEEDEEEAHY EDKHVKRALKQRHIGMIALGGTIGTGLFVGISTPLSNAGPVGSLIAYIFMGTVVYFVT QSLGEMATFIPVTSSITVFSKRFLSPAFGVSNGYMYWFNWAITYAVEVSVIGQVIEYW TDKVPLGAWIAIFWVLITLMNFFPVKVYGEFEFWVASVKVLAIMGYLIYALVIVCGGS HQGPIGFRYWRNPGAWGPGIISSNKNEGRFLGWVSSLINAAFTYQGTELVGITAGEAA NPRKTVPRAINKVVFRIVLFYIMSLFFIGLLVPYNEPRLSASSAVIASSPFVISIQNA GTYALPDIFNAVVLITVISAANSNVYVGSRVLYSLAQTGNAPKQFGYVTKQGVPYLGV LCTAALGLLAFLVVNNNANTAFNWLINISTLAGLCAWLFISLAHIRFMQALKQRGISR DDLPFKAKLMPYGAYYASFFVTVIIFIQGFQAFCPFKVAEFFTSYISLILLAVVFIGC QIYYKCRFIWKLEDIDIDSDRREIEAIIWEDDEPKNLWEKFWAAVA SPAR_N00630 MHKASSSKKSFDDTIELKKNEQLLKLINSSEFTLHNCVELLCKH SENIGIHYYLCQKLATFPHSELQFYIPQLVQVLVTMETESMALEDLLLRLRAENPHFA LLTFWQLQALLTDLSTDPASYGFQVARRVLNNLQTNLFNTNSGNDKNVKIHENVAPAL VLSSMIMSAIALPQLSEVTKPLVESQGGRQKAFVFKLARSAMKDFTKNMTLKNTLLNK KTSRSKRVNSNRSSTPTSPIDLIDPIKTKEDASFRKSRHSEVKLDFDIVDDIGNQVFE ERISSSIILPKRKPKFLDNSYVHRTYDGKNINRDETILKTGKTIDGKISDYVSSKGFN DENANNVDETGGETEEDTDALNSDQFTSSMPDLHNIQPRLSSASFASLEGTPKLNRTN SQPLSRQAVKKGKKANSSLSQEIDLTQLSTTSKIKMLKANYFRCETQFAIALETISQR LARVPTEARLSALRAELFLLNRDLPAEVDIPTLLPPNKKGKLHKLVTITANEAQVLNS AEKVPYLLLIEYLRDEFDFDPTSETNERLLKKINSNQGGLIFDLNYMNRKDNDNKNES TLASISTRSSVYDNSTFNNRISRNENLSDTSRNDSASTAQVRTEVNKEEDLGDMSMVK VKNRTDDEAYRNALVLQSAASVPILSDDSQDRSPELNFGSNLDEVLIENGINSKKIHS QTDALADQMRVSAVMLAQLDKSPQQLSESTKQIRAQIISSMKEVQDKFGYHDLEALHG MAGERKLENDLMTGGIDTSYLGEDWATKKERIRKTSEYGHFENWDLCSVIAKTGDDLR QEAFAYQMIQAMANIWVKEKVDVWVKRMKILITSANTGLVETITNAMSVHSIKKALTK KMIEDAELDDKGGIASLNDHFLRAFGNPNGFKYRRAQDNFASSLAAYSVICYLLQVKD RHNGNIMIDNEGHVSHIDFGFMLSNSPGSVGFEAAPFKLTYEYVELLGGVEGEAFKKF VELTKSSFKALRKYADQIVSMCEIMQKDNMQPCFDAGEQTSVQLRQRFQLDLSEKEVD DFVENFLIGKSLGSIYTRIYDQFQLITQGIYS SPAR_N00640 MAPSIIPFNIKLKTCLKMCIQRLRYAQDKQQAIAKQSRRQVAQL LLTNKEQKAHYRVETLIHDDMHIELLEILELYCELLLARVQVINDISTEEQLVKEHME DGINEALRSLIYAILYVDEVKELSQLKDLMAWKLNAEFVNGVITDHIDVPDKIMQKCS PSVPEEELVDLYLKEIAKTYDVPYSKLDNPLSSSSSNVSSDFNGPSGNVKDNDEERPI LALDNDDNDNADAKHPITIKKPRQNSENINNELKIPKDIKNEVTDKKQSEKKTTKRKT KKEQENDELDELKKRFDALRR SPAR_N00650 MGLFSRKRDHTPAVPKEKLIPCDKIFLDPPAKYGNAAPLESISE DQNEKYRAVLGHFQDDSLELPENLSDLDNGTHANARPLSDWEKFWLSRECFLRYLRAN KWNTANAIKGLTKTLVWRREIGLTHGKEDKEPLTAEKVAVENETGKEVILGFDNAKRP LYYMKNGRQNTESSFRQVQQLIYMMETAVTVAPQGVEKITVLVDFKSYKEPGIITDKA PPISIARMCLNVMQDHYPERLAKCVLINIPWFAWAFLKMMYPFLDPATKAKAIFDEPF ENHIEPSQLDALYNGLLDFKYKHEIYWPDMVKKVDDLRLKRFDRFLKFGGIVGLSEYD IKGQHDELRYPVDMVI SPAR_N00660 MSYNPYAYATSEQNGVNDRFAHTAQQQQPMQMPRNMPVNGQVNA NANANANVNGGGGGFPFQDPRGSMAFQLGQSAFSNFIGQDNFNQFQETVNKATSNAAG SQQISTYFQVSTRYVINKLKLILIPFLNGTKNWQRIMDSGNFLPPRDDVNSPDMYMPI MGLVTYILIWNTQQGLKGSFNPEDLYYKLSSTLAFVCLDLFILKLGLYLLIDSKIPSF SLVELLCYVGYKFVPLILAQLLTNVTMPFNMTILIKFYLFIAFGVFLLRSVKFNLLSR SGAEDDDIHVSISKSTVKKCNYFLFVYGFIWQNVLMWLMG SPAR_N00670 MFGKGKNKGGSSTARYSTSNKYNTLSNNYALSAQQLLKASKIDD IDSMMGFERYVPPQYNGKFDTKDIDQIPGRVGWLTNMHATLVSQEILSGGGNSGGNSN DGERVTTNQGISGVDFYFLDEEGGSFKSTVVYDPYFFIACNDESRVNDVEELVKKYLE SCLKSLQIIRKEDLTMDNHLLGLQKTLIKLSFVNSNQLFEARKLLRPILQDNANNNVQ RNIYNAAASCTEKVDARHLIEDIREYDVPYHVRVSIDKDIRVGKWYKVTQQGFIEDTR KIAFADPVVMAFDIETTKPPLKFPDSAVDQIMMISYMIDGEGFLITNREIISEDIEDF EYTPKPEYPGYFTIFNENDEVALLQRFFEHIRDVRPTVISTFNGDFFDWPFIHNRSKI HGLDMYDEIGFAPDAEGEYKSSYCSHMDCFRWVKRDSYLPQGSQGLKAVTQSKLGYNP IELDPELMTPYAFEKPQHLSEYSVSDAVATYYLYMKYVHPFIFSLCTIIPLNPDETLR KGTGTLCEMLLMVQAYQHNILLPNKHTDPIERFYDGHLLESETYVGGHVESLEAGVFR SDLKNEFKIDPAAIDELLQELPQALKFSVEVENKASMDKVTNFEEIKDQITQKLLELK ENNIRNELPLIYHVDVASMYPNIMTTNRLQPDSIKTERDCASCDFNRPGKSCARKLKW AWRGEFFPAKMDEYNMIKRALQNETFPNKNKFSKKKVLTFDELSYADQVIHIKKRLTE YSRKVYHRVKVSEIVEREAIVCQRENPFYVDTVKSFRDRRYEFKGLAKTWKGNLSKID PSDKHARDEAKKMIVLYDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGITCLTGATI IQMARALVERVGRPLELDTDGIWCILPKSFPETYFFTLENGKKLYLSYPCSMLNYRVH QKFTNHQYQELKDPLNYIYETHSENTIFFEVDGPYKAMILPSSKEEGKGIKKRYAVFN EDGSLAELKGFELKRRGELQLIKNFQSDIFKVFLEGDTLEGCYNAVASVCNRWLDVLD SHGLMLEDEDLVSLICENRSMSKTLKEYEGQKSTSITTARRLGDFLGEDMVKDKGLQC KYIISSKPFNAPVTERAIPVAIFSAEIPIKRSFLRRWTLDPSLEDLDIRTIIDWGYYR ERLGSAIQKIITIPAALQGVSNPVPRVEHPDWLKRKIATKEDKFKQTSLNKFFSKTKN VPTMGKIKDIEDLFEPTAEEQSARIKFARTTKKKSGSKRKRNQLINEEDPLVLPSVIP SMDEDYVGWLNYQKIKWKIQARDKKRRDQLFGNTNSSRERSALGSMIRKQAESYANST WEVLQYKESGEPGVLEVFVTINGKVQNITFHIPKTIYMKFKTRVMPLQKIKNCLIEKS SASLPNNPKMSNPTIGQLFKIILPESVFLEEKENCTSIFNDENVLGVFEGTVTPHQRA IMDLGASVTFRSKAMGALGKGIQQGFEMKDLSMAENERYLSGFSMDVSYLLHFPTSIG YEFFSLFKSWGDTVTILVLKPSNQAQEISVLSLEQIYKQMFEKKKGKIDKFSYLVDIK EDVNFEFAYFTDISKLYRRLSQETTKLKEEKGLQFLLLLQSPFITKLLGTIRLLNQMP IVKLSLNEISLPQLNWQPTLLKKLVNHVLSSGSWISHLIKLSQYSNIPICNLKLDSMD YVIDVLYARKLKRENIVLWWNEKSPLPDHGGIQNDFDLNTSWIMNDSEFPEINNSGVY DNVVLDVGVDNLTVNTILTSALINDAEGSDLVNNNMGIDDKNASSNSPSEFVQDAFSN DALNVLRGILKEWWDEALKGNGTADLLVNSLARWVQNPNAKLFDGLLRYHVHNLTKKA LLQLVNEFSALGSTIVYADRNQILVKTNKYSPENCYAYSQYMMKAVRTNPMFSYLDLN IKRYWDLLIWMDKFNFSGLACIEIEEKEKQDYTAFSQWQLKKFLSPIYQPEFEDWMMI ILDSMLKTKQNYLKSNSGTQRPTQIVNIKKQDKEDDVENSLNGFSHLFYKPLMKRIKK LFRNQQEFILDPQYEADYVIPVLPGSHLNVKNPLLELVKSLCHVMLLSQSTILEIRTL RKELLKIFELREFAKVAEFRDPSLSLVVPDFLCEYCFFLSDIDFCKAAPESIFSCVRC HKAFNQVLLQEHLIQKLRSDIESYLIQDLRCSRCHKVKRDYMSAHCPCAGAWEGTLPR ESIVQKLNVFKQVAKYYGFDILLSSIADLSI SPAR_N00680 MNVATPEIDFREYQTSCLASYISADPDITPSNLILQGYSGTGKT HTLKKYFNANPNLHAVWLEPVELVSWKPLLQAIARTVQYKLKNLYPNIPTTDYDPLQV EEPFLLVKTLHNIFAQYESLQEKSCLFLILDGFDSLQDLDAALFNKYIKLNELLPRDS KINLKFIYTMLETSFLQRYSTHCIPTIMFPRYNVDEVSTILVMSRCGELVEDSCLRKR IIEEQITDCTDDEFQNVAANFIHLIVQAFHSYTGNDIFALNDLIDFKWPKYVSRITKE NIFEPLTLYKSAIKLFLSTDDDLNTNGQGESAITINHDDLKDSQTYDLSIISKYLLIA SYICSYLEPRYDASIFSRKTRIIQGRAAYGRRKKKEVNPRYLQPSLFAIERLLAIFQA IFPMQGKAESGSLSALREESLMKANIEVFQNLSELHTLKLIATTMNKNIDYLSPKVRW KVNVPWEIIKEISESVQFDIGDYFSDIHE SPAR_N00690 MKIKINLFKSLSCSKNRRKVHATEQMKMDFDNLLNLEEQYYQEG FQEGQNENIKQSFLEGKQYGLQVGFQRFTLLGQMQGLCDVIESYELHSPILEKNIHTI RTLMKGLKMNNDDESVMAFEKLLVKLKNKFRTILITLQRLIKDEKKSAVTFEIFEDVS RAISGEIRGFVENEDIAKNKVAQDQAQSW SPAR_N00700 MVEVNHYQFNVVMSCSGCSGAINKVLTKLEPDVSRINISLEKQL VDVYTTLPYDFILEKIRKTGKEVKSGKQL SPAR_N00710 MESFFPDKGEIIRELLKDPLIIKDDSKRINGSELQLDSSDLLQR EALLANELNILDNLKTLSNLIKEVKANLHLLELENCYYSLQSLRKKMRNNAAFLKQSF NFQQSISIYVDTLHLELVTALYKILTNGFWKITENSIQFTSTLEWGKDNVHIEYDTFM DFVAQQYFPQGSLDNQAWFILDMANANSQEQVRAKLNTIIKDYMNLSSIVGMIKSSIF ISGREISYENETDMLMFSKSSHGQNSVSTALTSFEAVCDFILDKLVFRDRKTLSYELG PLFNTEFTKFVKNNASIILESLDSPLKNLVSVINNKLTRLASESEVANWSYSGKEIQD LLMNKQLYYNLLLDKVLESHISEIRDIFEDPKKSWQNLDVVELVRVSGNIDAMNEKIG KNDTNVQNEKEHQTAASKDDDWNWEVDDDADAWGDEIDVNIDDEEEKTTQEVEKEPEE EENAWDEAWAIEENIDDASLENGKKPFKVHDVGLLNKDHIDVTQLPKLFLAISQNFKS AFTDSHVDEQYFAYKYNLLQTSYVAMCTTNFSHNWCQLYVDMRYLIERDENLYRIKEL TRNVLETRLNMKYRIVCKLIKHQLTEFRENERNPSWDATIEKLLPYISKEIVRPLEKI RGEEGSRYLLSFLNFLYNDCVTNEILKWQIISEVNSENLGELVSLLVNNTDIQLLTKE PSYKKMREKFAIMGKFLPLHLKEIMEMFYNGDFYLFGTDELIQWIELLFADTPLRRNA IDDIYEIRGAALDD SPAR_N00720 MSVHGRDPKKRQLRLISVAFKEASIDSPSFRASVNFFQTRVDAL EDWIEKTVDFFDQKYKVSFEDFRRAKETLLSQLLPSPALLSNGFVSNQSFTPRLIDSF NKDYYDFSMKLLQIVKGDDSSHSTALLELMTNAIEPYRNVRKNFDFYQGKYDSMLASY QAIRVSKTSLEPSSIKSDALQLFEVQKSYLKASLDLISAISEVKLSLDKFILDSMKVL KGRSIFITKDTGRKIDLSPCINEYLDNYSIWVENSIEGSKVLDSDISNAKKQAYKYTL KRITPSNDTSDYNIRSIHSSKLLSKDTQVPLKSPEKSGWLYMKTQVGKPTREIWVRRW CFLKNAVFGMFLLSPSKTYVEETDKFGVFLTNVRYDPEEDRKFCFEVKIFGNKVTESH DNMSKDITLVFQTSDFRDLKSWLVAFEMTKKYVMNIRHDSLEYELAFKRFSPKFFEFA SSTTTSIDQLITTFDKETESLYETLNCSISEYDILTLGEEKVFQFQMPTTPISTKMTQ LAILSNFLTKGSWFPNAVLANIWGTTDWSEYTILPGKGKKPSSLLTIDGKRLPIRNST VYPQYYSNELKVLDLQFKSLVFSPDQRLEKLPEELLLFKFEAFWCPNKKQKFSATCFC TKDFIYCYMNSMEFICLTKISLSEIVSVEADRSSKKTLKLYDASGLQMKAIVLFSDYK LIASKLQYLLENKAIKNPKSNEEILVKFEQMEKEFQEKKQEELYKVEQENSFDHKAMT SISKIIKSRVTFWEMPDDTSSLLNRLKKLQTEYTITYNHEYDISSKGLAHILFGDKSN AFPKCLFLARKDGKEHVKSFWYKSKDTKGKLQLVRKVPFRLDMTGNFLNTGKYHRDKE SKMIFATQRIIKIVENKYYEVDLDPFFIKVPFCHLLRASIKFIITESYDADNHLEIKL NMTASSSSLHVLYKLEYIDSKTGKTIKNLSLAEIICQTWALKFAHSEFLLIRKVLRYY LEKIGKHGKVIKAIKLCGILGALSNKTEEPAAEKSDKSKGSEGLQYDIRYSCTILFLV FIKLMVYRVTNLTFVFFRILIGILLLCAEKFSRINRTMVVGLLASIMINMLLSGKSSV PYWSIKRAEKLFHDRLGSDKFTMQRAIYISDSDLLSSQLSVASNNPIFEKFSENNFNE DYQYSETRKQLAMRRNELLIELRILQDMEKQLVHDDYEKFLLEEVNKCSMVSIEMADL WFNDTQLQNYCSVCNEELEKLGSLIT SPAR_N00730 MSKLFSTVSSARHSVPLGGMKDYVHIKKLEMNTILGPDSWNQLM PQKCLLSLDMGTDFSKSAAMDDLKYSLNYAVISRDLTNFVSKKKNWGSVSNLAKSVSQ FVMDKYSGVESLNLEVQADTTHIRSDHISCIIQQERGDPESQEFDVVRISELKMLTLI GVFTFERLKKQYVTLDIKLPWPKKAELAPPVQSIIDEVVKFVEESNFKTVEALVESVS AIIAHNEYFQKCPDSPLVVKVLKLNAITATEGVGVSCIREPREIGVANLSHVSSIHDS SDIKFELPSLQNTSTEGKDTWKRAYLAFGSNIGDRFKNIQTALQLLSREKTVKLLNIS SIFESEPMYFKDQTPFMNGCVELETLLTPSELLKLCKKIEYEELRRVKHFDNGPRTID LDIIMFLNSVGEEIIVNEPDLNIPHPRMLERTFVLEPLCELLSPLQLHPVTAEPIVDH LKQLYEKQHDEDTLWKLVPLPYRDDAEPRFLKFKTATKIDEFTGETSRITISPTYVMG IFNATPDSFSDGGEHFADTKSQLDYVIKMCKDTLYLHESVIIDVGGCSTRPNSIQVSE EEEIQRSIPLIKAIRENSELPQDKLILSIDTYRSKVAKEAIAAGVDIINDISGGLFDS NMFPVIAENPEICYILSHTRGDIATMNKLAHYEDFALGDFIQQEFVYNTSIQQLDKLK DITTLIRNVGQEIGQRYIKAINNGVKRWQIIIDPGLGFAKNWKQNLQIIRHIPILKNY SFIMNSRNSQVYVNLRNIPVLLGPSRKKFIGHITKDIDAKHRDFATGAVVASCIGFGS DMVRVHDVKNCSKSIKLADAIYKGLE SPAR_N00740 MSQKACYVCGKIGHLAEDCDSERLCYNCNKPGHVQTDCTMPRTV EFKQCYNCGETGHVRSECTVQRCFNCNQTGHISRECPEPKKASRFSKVSCYKCGGPNH MAKDCMKEDGISGLKCYTCGQAGHMSRDCQNDRLCYNCNETGHISKDCPKA SPAR_N00750 MVGPGVGMNQVRRKGVYSTKKGNGDNLLLMKRQGKHGIHDTESD ELSSRETSPPLKKRGKVDDIIKDGTDVRKFSSVTTFDKALKPSSNSETEAAKNAGIEG EEKKEEDASIPLIQNLKNEDIESVKCRNYNFLDGKKLLLEAELSAVEDNQVFSSSFPE EKKLSLQSSLSSKEQIIKKLQIREEYAGKFNLPPMLFSDELLAEVEPFMPIVVDILKG RISSAYYFEAKNAYKNSQKAYLSVDEFRKLNLNKFTAGFYGLKRQLKVGEEIAKRYKR ELTHNQPATLKWWGVTDFCNYVLAPETLTSFCIHQLNLSNKSRWPKTQNKHSRQQSNE KEYYYDHELRMQAYDLFEDTVDYGIIVADTDPIEQWEAAIEEDRLRELDLNVHNYSSK RWRLNTHD SPAR_N00760 MSTSGTIDILNQKTITSEVAASVTSKYLQSTFSKSNTSHIEDER FIHVSSRSHSRFTSTPITPNEILSLKFHVSGSSLAYSRMDGSLTVWFIKDASFDKSVE VYVADCCGSDKLATDLSWNPTSLNQMAVVSNSSEISLLLINEKSLKASRLRTLSLGSK TKVNTCLYDPLGNWLLAATKSEKIYLFDVKKDHSLVCSLNIGDISPDDNDVVYSLEWS NNGNHVFVGFKSGHLVILKAKDGMLEVSTKIKAHTGPITGIKMDPWGRYFITGSIDGN CYIWNLKSLCCELIINDLDSAIITLDVCHLGKILGICTEDEMVYFYDLNSGNLLQSKS LANYKTDPVLKFYPNKSWYIMSGKNDTLSNHFVKNEKNLITYWKDIFDNTMIEKRRKN NGGGNNHSKRTTKNTDRIGKDRIGKDRPSRFNSKK SPAR_N00770 MPSSSTMKVNLMLKRGLATATASASSIPPKIKVGVLLSRIPIIK SELNELEKNYYEYQSELEKRLMWTFPAYFYFKKGTVAEHKFLSQQKGPISKKNGIWFP KGIPDIKHGRERSTKQEVELPNDNTAAFANDKKEQSKDDVNRPVIPNDRITDADRSND MKSLERQLSRTLYLLVKDKSGIWKFPNFDLSDDSKPLHVHAENELKLLSGDQIHTWSV SATPVGVLQDERNSTAEFIVKSHILAGKFDLVAQKNDVFEDFAWLTKGEVSEYVPKEY FNKTGFLLADN SPAR_N00780 MQQDDDFQNFVATLESFKDLKSGISGSRIKKLTTYALDHIDIES KIISLIIDYSRLCPDSHKLGSLYIIDSIGRAYLDETRSNSNSGSNKPGTCAHAINTLG EVIQELLSDAIAKSNQDHKEKIRMLLDIWDRSGLFQKSYLNAIRSKCFAMDITNNTAN AASQQLSLEPKQRSKQILSNLRKNPPLNLNISVPTDLTSSDPAKQQTALFQIIAALQK HFKTSSSQASVGTVPPPQAHTITEYGSRRERERERERERYNSRRNRSRSPPAPFSQPS TGRKDRYPSVAQDQYSIGAPNTTFGTNNHHLYPDELNVSNNPHYRPKPVSYDSTLPPD HIKVYSRTLFIGGVPLNMKEWDLANVLKPFAEVQSVILNNSRKHAFVKVYSRHEAENV LQNFNKDGALPLRTRWGVGFGPRDCCDYQHGYSIIPMHRLTDADKKWSVSAQWGGTSG QPLVTGIVFEEPDIIVGEGVSSKAISQKMPTDSGRNGPRSGKPNKSGSISSISPVPYG NAPLASPPPQQYVQPMMQQPYGYAPNQPLPPQGPPAAAPPVPQQQFDPTAQLNSLMNM LNQQQQQQQQQS SPAR_N00790 MSAIYKLSIQGIRSFDSNDRETIEFGKPLTLIVGMNGSGKTTII ECLKYATTGDLPPNSKGGVFIHDPKITGEKDIRAQVKLAFTSANGLNMIVTRNIQLLM KKTTTTFKTLEGQLVAINNSGDRSTLSTRSLELDAQVPLYLGVPKAILEYVIFCHQED SLWPLSEPSNLKKKFDEIFQAMKFTKALDNLKSIKKDMSVDIKLLKQSVEHLKLDKDR SKAMKLNIHQLQTKIDQYNGEVSQIESQLNEITEKSDKLFKSNQDFQKILSKVENLKN TKLSISDQVKRLSNSIDILDLSKPDLQNLLANFSKVLMDKNNQLRDLETDISTLREQQ SSLQSLSDSLIRRQGELEAGKETYEKNRSHLSSLKETFQHKFQSLSNIENNDMAQANH DMSHFKAFISQDLTDTIEQFAKDIQLKETNLSELVKSITVDSQNLEYNKKDRSKLIHD AEELAEKLKSFKSLATQDGLNHELENLKTYKEKLQSWENENIIPKLNQKIEEKNNEMI ISENQIEKFQDRIMKTNQQADLYAKLGLIKKSINAKSDELQEITEKLQTDSRIKQVFP VTQEFQRSDLEMDFQKLFINMQKNIAINNKKTHELDRRYANALYNLNTIEKDLRDNQQ SKEKVIRLLNENLPEDCTIDEYNDVLEETELSYKTALENLKMHQTTLEFNRKALEIAE RDSCCYLCSRKFENESFKSKLLQELETKTDANFEKTLKDTVQNEKDYLHNLRLLEKHI ISLNSVNEKINNAQECLEKAKEETKTSKSKLDELEMNSTKLKNEKEFAESEIRPLIEK FTYLEKELKDLENSSKTISEELSIYNTSEDGIQTVDELRDQQRKMNDSLRELRKSISD LQMEKDEKVRENSRMINLIKEKELMVSEIESSLTQKQNIDDSIRLKKANIKDIDSRVE TLEARIISLKNKKDEAQNILDQVKNERDFQVRNKQKTVADVNRLIDRFQTIYNEVVDF EAKGFDELQTTIKELKLNKIKMQELKEQLDLKTNDVNEEKRKLADSNNEEKNLKQNLE LIELKSQLQDIESEISELDVQNAEAERDKYQEESLRLRTNFEKLSSENAGKLGEMKQL QNQINSLTHQLRTDYKDIEKNYHKEWVELQTRSFVTDDIDVYSKALDSAIMKYHGLKM QDINRIIDELWKRTYSGTDIDTIKIRSDEVSSTVKGKSYNYRVVMYKQDVELDMRGRC SAGQKVLASIIIRLALSETFGANCGVIALDEPTTNLDEENIESLAKSLHNIINMRRHQ KNFQLIVITHDEKFLGHMNAAAFTDHFFKVKRDDRQKSQIEWVDINRVTY SPAR_N00800 MNCSPQVGIGIDVGSSSARVGVYNYNNNALLGMAQEPVRYYHDS SKKSWKFWQKSTEIINALQKCLQKLKITEYDVKSCGVSATCSLAIFERDHTSNRLRPY PNEENVIFWMDSSAVNKCKWLNMECSQQLLDYLGGKFIPEMGIPKLKYFLDEYSHNQD KHFHIFDLHQYIAYELSRLYRWNIEGLLRGENLNGIGNDGEVSGWSSSFYKNILKVPF NVSIGPAGLVSDEKFSTTVVRSCIDSYASWFAVSSPHLETSLFMIAGTSSCYMYGTSV SNARIPGVWGPFNTILDNRDDFSVYAAGQSCTGKLIEHLFESHPCAREILKSGTDIYQ VLEQTIHDIEKNNGVSIHILTKDMFFYGDYEGNRTPFADPRMKGSFIGESTDTSVLNL AYKYISILEFLSFQTKLIIDTFQSQSTDIRIQELRISGSQGRNERLLSLISLVNDGVT IIKPKDRVDMMGIKGAYVLAKSAKEKKELADVIKERDITNDSEQFKPLAEYRLGNDSI PLKKLLCVKYYIHLDMAKQQKRYHKLVDQVFSTSN SPAR_N00810 MSVKRSVSEIEIESVQDHPSVAVGSFFKGFRAPSETRFDLYKKK RSGNDEFVLHGENERLEYEGYTDSSSEASNQYVVGLYNPEKKSIQLYKAPVLVSKVVS KSSKDLRGPKIKSKSDTRPSALRNALGEAFGTKKAKKAIADLERNRIDSDKLTDSAID IVDSVRTASKDLPTRAQLDEITSNDRPTPLANIDATDVEQIYPIESIIPKKELQFIRV SSILKESDKEKKLELFPYQNNSKYVAKKLDSLTQPSQMTKLQLLYYLSLLLGVYENRR VNNKTKLLERLNSPPEILVDGILSRFTVIKPGQFGRSKDRSYFIDPQNEDKILCYILA IIMHLDNFIVEITPLAHELNLKPSKVVSLFRVLGAIVKGATVAQAEAFGIPKSTAASY KIATMKVPFKLPEMTRRGRGPRR SPAR_N00820 MNIFTRVLRRYTIMSTPKVVQPKWNVPTPQAKETVLKLYNSLTR SKVEFIPQSGNRGVTWYSCGPTVYDASHMGHARNYVSIDINRRIIQDYFGYDVQFVQN VTDIDDKIILRARQNYLFDNFVRENNAKFNVSVVDKVKTALFQYISKNFTVEGNEIKT IEEFKSWLSKADTETLKLENPKFSMHVTAVQNAIESITKGDSMDPEAAFEKVKDVTVP LLDKELGSTISDPEIFRQLPAYWEQKFNDDMSSLNVLPPTVTTRVSEYVPEIINFVQK IIDNGYAYATPDGSVYFDTLKFDKSPNHDYAKCQPWNKGQLDLINDAEGSLSNFADNG KKSNNDFALWKASKAGEPEWESPWGKGRPGWHIECSVMASDILGPNIDIHSGGIDLAF PHHDNELAQSEACFDNKQWINYFLHTGHLHIEGQKMSKSLKNFITIQEALKKFSPRQL RLAFASVQWNNQLDFKESLIHEVKSFESSMNNFFKTVRALKNDASSAGHISKKFSPLE KELLADFVECESKVHSSFCDNLSTPVALKTLSELVTKSNTYISTAGSALKIEPLIAIC NYITKILRIIGFPSRPDDLGWAQAGSNDGSLGSLEDTAMPYVKCLSTFRDDVRSLAIK KAEPKEFLQLTDKVRNEDLLNLNVALDDRNGQSALIKFLTNDEKLEIVRLNEEKHANE QAKKQKKLEQQKLRERKENERKQKAQIKPEDMFRDVALYSAWDEQGLPTKDKDGNDIT KSMAKKLKKQWEQQKKLHEEYFGKDK SPAR_N00830 MGSGDLNLLKSWNPKLMKNRKKVWETEQDLINEQQKLNTRLKEI EKERELNELLNESNKNKPDALKNDLALKKSGLEWMYQDAKLSDEKEDYLLGKKKLDSS ILNQPATMTARATTTATVSGAATPISSQKKKSKLLKDDPMSKFKVTKQQTRTPNAVKK RTTPQRGKSLSKPAPDLDY SPAR_N00840 MSIENLKSFDPFADTGDDETATSNYIHIRIQQRNGRKTLTTVQG VPEEYDLKRILKVLKKDFACNGNIVKDPEMGEIIQLQGDQRAKVCEFMISQLGLQKKN IKIHGF SPAR_N00850 MSRIDSDLQKALKKACSVEETAPKRKHVRACIVYTWDHQSSKAV FTTLKTLPLANDEVQLFKMLIVLHKIIQEGHPSALAEAIRDRDWIRSLGRVHPGGSSY SKLIREYVRYLVLKLDFHAHHRGFNNGTFEYEEYVSLVSVSDPDEGYETILDLMSLQD SLDEFSQIIFASIQSERRNTECKISALIPLIAESYGIYKFITSMLRAMHRQLNDAEGD AALQPLKERYELQHARLFEFYADCSSVKYLTTLVTIPKLPVDAPDVFVINDVDESKEI KFQKRETSATPARTPARTPTPTPPVVAEPAVSPRPVSQRTTSTPTGYLQTMQTGATTG MMIPTVTGAANAIFPQATAQMQPDFWANQQAQFANEQNRLEQERMQQMQQQQAQQELF QQQLQKAQQDMMNMQLQQQNQHQNDLIALTNQYEKDQALLQQYDQRVQQLENEITTMD STASKQLANKDEQLTALQDQLDVWERKYESLAKLYSQLRQEHLNLLPRFKKLQLKVNS AQESIQKKEQLEHKLKQKDLQMAELVKDRDRARLELERSINNAEADSSAATAAAEALT EDKMNPILDAILESGINTIQESVYNLDSPLSWSGPLTPPTFLLSLLESTSENATEFAT SFNNLIVDGLAHGDQTEVIRCVSDFSTSMATLVTNSKAYAVTTLPQEQSDQILTLVKR CAREAQYFFEDLMSENLNQVSDEEKTDIVINANVDMQEKLQELSLAIEPLLNMQSVKS NKETNPHSELVATADKIVKSSEHLRVDVPRPLLSLALMIIDAVVALVKAAIQCQNEIA TTTSIPLNQFYLKNSRWTEGLISAAKAVASATNVLITTASKLITSEDDEDTSPEQFIV ASKEVAASTIQLVAASRVKTSLHSKAQDKLEHCSKDVTDACRSLGNHVMGMIEDDHST TQQQQPLNFTSEHTLKTAEMEQQVEILKLEQSLSNARKRLGEIRRHAYYSQDDD SPAR_N00860 MAFWLPQNIQKRLLLYVLQQISLFSNIDLSNLDVSIGSKSQFSF HDVNLSLDDLNIPNVQINEGIVDELVLKLTVSGGVEIDGSGLRFIITPLYSSSSQELH SDFLAKSIQDLTNSMLQFDDPLTTHNRYKEDDISSSDSSSDLNSNTEASKSTGNGSYT LQNMRNKALNVALAKLKIALKDITIRFIMNDRNPSESVVEVHLESIQLTTTDANLRHI NIGNITLSSIQKQAVSDSPAHPSNNDDLSQSVYLSKMEATSLYMSAMEEQSNEDRDGH EVTQEEQGDDECKETLIEINNLNIAFKGLSSVNDLRVYDIAIDVQDVHLVIYKFVEIK NSILKNIIDIIVTHLDADNTFSSQDSQSPSPGEQKSSTLSSIDIKCIYLNLGKDITVI LKSLELEQKENNSLAFSLGSFYSNSSSLTISHKTKPILIGEQALQSIELNINDELEIV INDNGIAHFFKIFQFISQCLSFYRSKSKRAVPKITSDTTRSIRFTSKAMKLSIKFSYF LLCFQVSPFIYDSDGEFYIELIDVFKKFPSRCTKILTMSSITISNSQSRLQLGSYDDT LKEALIYSSIHASIKEVILQEEYFGIVQLVKDISAIGELFTDSKNSECTGKSKSKRGS FLQRSVRVLNSSRFVYKQSTSANFSLQIDSIKLKVSEIIGPQFGFVEALLSNNFFAIT DDFQIVYFTRNLLVERKTPSLLEPQEIISVVLNKAVNEPVLYIHRRANGKLKVIFNNI RIHYYARWLEILKKNIDPDNLESKDEPGAQNPSRELLNSGFPWELKCVDCSLILHPFR LKSVMVIVLDNLTTGGSSFIPQAKLLSKVNTLFLIDDFANFKIQKDKNWPTLISFYAG QGFSAIGKIDTLTLLVNKSDGTLLLDCKMEQVGLSLCADSFQTFCQLCIDLKYPQTFP DEEKFRTELKNPIDVFRDIDCDLFNSAFIRENNDQNDYDSVHLVDSFLDKTQEFNNGT RSKLSSQGSYEMDSSSGTATGGVLLPHESYLDSVQAKEEDSPLMASKEQEGNVDIRAS IDVEKVVIKLFDGYDWKYTRKFIANTVEKLDKELSEAEVSGSKSNLPRSEANIFDSIY ISANKNNVTDLRKNLDGEIQGVQNSFSDVSKVNLRPSKHYKALLQLSKLHVNLKNYRV DEPDEFHSDNSTDVLNRCLVSVYEFEIIDNVPTSTWNKFVTLLKHEPWSHNSPMFLLN LEFIRPIDFLQAVELVMQLKIAPLRLHVDQDTLEFLIRFLGFKDKRFELIDEYPDIIF VQKFSTNSIKLRLDYKPKKVDYAGLRSGQTSELMNFFTLDGSKIILKSVVLYGLNGFD ELNNKLKAIWTPDITKKQLPGVLEGLAPVRSFMAIGSGVKTLVTVLMSEYRQEGHLGR SLKKGGNVFLKTTTGDFVKLGVKLTSGTQAILENTEELFGGVGSNGRVYDASKLSSTD DADSDAAAVLDLDTIFEEDQLVGSKYSRIRDHEPTAVVIDMSSSGDHNEPTIVSLYAD QPLDLPTGLREAYSSLEKHMHIAYDAVWRAKGQMKDDKRGGPSAAAVYVARAAPVAII RPLIGATEAVSKTLQGIANQVDKTHNEQIHDKYKSNRADS SPAR_N00870 MSEGPVKFEKNTVISVFGASGDLAKKKTFPALFGLFREGYLDPS TKIFGYARSKLSMEDLKSRVLPHLKKPHGEADDSKIEQFFKMVDYIAGNYDTDEGFDE LRSQIEKFEKSANVDVPHRLFYLALPPSVFLTVAKQIKSRVYAENGITRVIVEKPFGH DLASARELQKDLGPLFKEEELYRIDHYLGKELVKNLLVLRFGNQFLNASWNRDNIQSV QISFKERFGTEGRGGYFDSIGIIRDVMQNHLLQIMTLLTMERPVSFDPESIRDEKVKV LKAVAPIDKDDVLLGQYGKSEDGSKPAYVDDDTVDKDSKCVTFAAMTFNIENERWEGV PIMMRAGKALNESKVEIRLQYKAVASGVFKDIPNNELVIRVQPDAAVYLKFNAKTPGL SNATQVTDLNLTYASRYQDFWIPEAYEVLIRDALLGDHSNFVRDDELDISWGIFTPLL KHIERPDGPRPETYPYGSRGPKGLKEYMQKHKYVMPEKHPYAWPVTKPEDTKDK SPAR_N00880 MSALLSEADLNDFISPALACVKPTQVSGGKKDNTNLNGEYEVST EPDQLEKVSITLSDCLACSGCITSSEEILLSSQSHSVFLKNWGELSQQEDKFLVVSVS PQCRLSLAQYFDLTLEAADLCLMNFFQKQFQCKYMVGTEMGRIISISKTVEKIIAHKK QKQNTDVDRKPLLSAVCPGFLIYTEKTKPQLAPMLLNVKSPQQITGSLIKATFEDLAI ARDSFYHLSLMPCFDKKLEASRPESLGDGIDCVITPREVVTMLQELNLDFKSFLTEDT SLYGRLSPPGWDPRVHWASNLGGTCGGYAYQYVTAIQRLHPQSRMIVLEGRNSDIVEY RLLHDGAIIAAASELSGFRNIQNLVRKLASGAGPERKRNITVLRKRRTGPSANSREMA AATADPYHSDYIEVNACPGACMNGGGLLNGEQNSLKRKQLVQTLNKRHGQELAMVDPL ALGPKLEEAAARPLSLEYVFAPVKQAAEKDLVSVGSTW SPAR_N00890 MSSSIDISKINSWNKEFQSDLTHQLATTVLKNYNADDALLNKTR LQKQDNRVFNTVVSTDSTPVTNQKSSGRCWLFAATNQLRLNVLSELNLKEFEISQAYL FFYDKLEKANYFLDQIVSSADQDVDSRLVQYLLAAPTEDGGQYSMFLNLVKKYGLIPK DLYGDLPYSTTASRKWNSLLTTKLREFAETLRTALKERSADDSKIVTLREQMQREIFR LMSLFMDIPPVQPNEQFTWEYVDKDKKIHTVKSTPLEFASKYAKLDASTPVSLINDPR HPYGKLIKIDRLGNVLGGDAVIYLNVDNETLSKLVVKRLQNNKAVFFGSHTPKFMDKK TGVMDIELWNYPAIGYNLSQQKASRIKYHESLMTHAMLITGCHVDETSKVPLRYRVEN SWGKDSGKDGLYVMTQQYFEEYCFQIVVDINELPEELASKFTSGKEEPIVLPIWDPMG ALAK SPAR_N00900 MKVRKYIILCLWWAFSTSTLVLSQQIPSKDHTSRQYFAIESNET LSRLEEMHPNWRYEHDVRGLPNHYVFSKDLPRLGKRSSLEELQENNIDHILSVHDLSP RNDLFKRLPVPAPPMDSSLLPVKEAEDKLSINDPLFERQWHLVNPSFPGSDINVLDLW YNNITGAGVVAAIVDDGLDYENEDLKDNFCAEGSWDFNDNTDLPKPRLSDDYHGTRCA GEIAAKKGNNFCGVGVGYNAKISGIRILSGDITTEDEAASLIYGLDTNDIYSCSWGPA DDGRHLQGPSDLVKKALVKGVTQGRNSKGAIYVFASGNGGSRGDNCNYDGYTNSIYSI TIGAIDHKDLHPPYSEGCSAVMAVTYSSGSGEYIHSSDINGRCSNSHGGTSAAAPLAA GVYTLLLEANPSLTWRDVQYLSILSAVGLEKNTDGDWKDSAMGKKYSHRYGFGKIDAY KLVEMSKTWENVNPQTWFYLPTLYVSQSTNSTEETLESVINISEKSLKDSNFKRIEHV TVTVDIDTEIRGTTTVDLISPAGVVSNLGVVRSRDVSSEGFKDWTFMSVAHWGESGVG DWKIKVKTTENGHRIDFHSWRLKLFGESIDPSKTETFVFGNDKEEVEPTTTKDAPSQF STGTISISASTTSVSTTSIGVETSAVAQTTSTTTDPDSDPNTPKKLPSPRQAMHYFLT IFLIGAIFLVLYFMFFMKSRRRIRRSRAETYEFDIIDTDSEYDSTLDNGTSGITEPGE VEDFDFDLSDEDHLASLSSSENGDAEHTIDSVLTTENPFSDPIMQESPKDSREATASN VLQKLESDVPPSSGQS SPAR_N00910 MTSFSKRMVSGFSGTISICLLTCFFFEKVCGDMEHDMGMDDTSE YTRPEIVEAGSKSFHWLCALGFLLLLPSVVTCLSFAGRIYSATFLQCICAVYAFFEAA VFRFQDNDGVENRTSRGTAWFLAGLTWITLFFGGLAGGTGFLVRSKRLQTFISNAGEK RLSYIHRGLSFLTVLTGWVKVCLAPVALFGFCREAHTGQCIAHGIMGSAFVLYGFIYV LVLVIPWIRSAQTSYSQDYVDSWVMCVWGVVNTFTEHRWGREGWSVHDYQHTFMGIIW WTGGILGIFLSRNGRRTFVPSLIIIFTGWAMSEHAQHLIISTKVHNMFGLVLMCGGAL RIIEISFLLHDKRTLGNIHSFQYLAPFCLVCSGLLFMGANEEQLILVLRLGGDHSAYV LIIVSGAFLVYFWMIACLEFYLYLFERGKQGFLPKSYELQEESNNVSFELDNISDNDV DEDTTSFNV SPAR_N00920 MMLGEHLMSWSKTGIIAYSDSQSPNANICLTFLESINGINWRFH TPQKYVLHPQLHEFQYPENSSTSSTHSTTTSVNGSTTAAVGTTPNFGANSNKSAPQFF YNISSIHWNNWFSLPGDMLAVCDELGNMTMLITGQRPDRATTYEKLTMVFQDNVYKIY NHVMPLKPVDKLKPMNIERKQTRKEYNTSILEFRWLTSSKSVIVSQFCAFDSSSNTYR SRAQQVPPYGVYHPPFIKYACLAIRKNGQIDFWYQFSNSKDHKKITLQLLNTSNQRFK DLQWLEFARITPMNDDQCMLITTYSKLSKTISFYKLHVNWNLNATKPNVLNDPSLKIQ FILSTTLDPTDDEGHVLKLENLHVVSKSSIEKDSSPEILVLYNVCGTSKSLVKRYRLA PTQLSAEYLVILKSDLNIDRNNTANQIFQSRRYNLRRHSDILLDKKVTLITSEMFDGF VSFYFEDGTIESYNQNDWKLETERLISQSQLGKFKNIIASPLSAGFNYGKLPLPPSVE WMKVSPSMCGVIVKQYNKKWPQFYAAVQENYADPEKDSINATALAFGYVKSLHKQISA EDLTIAAKTHILKISSLDKKRAKEFITTLLKSLYAFFNISPDAPKEIMDKIITSRPLQ KIMLLQLELGSCFSQENIEEMARVILYLKNVLFAFNGVARNFHFAIEQISNNSNQQQN PKLFQTIFSKQDLIHSLIPVAKWFVKFVTYLTQEILILINDPTNKEYTLVHGIFGAKM SRTLILSILNEIKKVTQIVAKFPETSYPILNESSTFLKLVLSESPVDFEKFETFLVDV NNKFIALCEQQPSQEREFSLLVKAEIPPEYAKVGDFLLQYANNAVISHANAAAVYFAD TSGLKISNSEFFNPEIFHLLQPLEKGLIIDTDELPIKNRTSKSFSKLLYDDVTCDKLS ILEISDGKLKRCSRCGSVTRAGNVISSDKTIVPTSIQTKRWPTMYTRLCICSGMLFEM DG SPAR_N00930 MTEGKILHSQLLFNKNMCGGNVHHKKPMMYNVSLPSYNSSSIGP LDNLKNNERAGSHDHSMRSEMSSKNSGSEFMPQSISRAEGSVYQMKMEGDGSPNMTRF DFKVNARDLLLLRMSWDILLKEYLTPQELKVFQALLYSNKNTTSTERPYLNTTLDGMI SNTIDPTVRLRKSKQKDNDSKVDTALFCSQFYDNLIAMDPLLEEYFPSLKHQAVSFCK VLNSAIDNLENVHVLDDYIVKLGKRHSRILGIKTVGFEVMGKAFMTTLQDRFGSFLTL ELKNLWGQLYSYLANCMITAGKDPMEKIEPDFSYNGDSVVLNFSIPKLAKHDISTINK LQLVKTKNAIIPDNLTQVPTNKTRSEILLESSSTQIKGDRALTPPITPKGSGSTKPSI GSSTVVESTTKKNSYDEKIYLLQKTAQQKNCSIM SPAR_N00940 MSDSVSDSKSSELLNSTFYSSTSINTLDHAKTFRNSLILKEISD QSLNSSIKPCESILDGDADSSVLRRSFGDSTARDSEVQTVNMTTSPSLSALADILNER SKYADQKTRNAQNIEPSIIEEEEEEEEQDKSINYSEGLTGSRLGVREEANENLAMASP NLIDIDGSNSIQVASSSLLSFDEPDFLSTPRITPNPQGPRGKVDTQPTILEQEISLPV KLEDEAIVHSGTKDTTNSIPPLKESSKPSPPPNKLHHPKVRSNKVEARKYTDSSAQRT TSAGSVLEDTSVHKKKKSIFSFLKRKEPKAAISNNSILNEKNKMSSSSTFSTNIQTSL KTPEKLKKKSHSSSSIFNSFLKGKIDTSDSPRKEPIRQKKRTPKSKGKKQDIEPRIDT ASVSSAESPLLRRNYDDTAVKTDHITKSVDQRKPTPLNMDLILGGDEHKINSSLQEQI RKDDDTKNDPQLPTKDNFLSLDYEAPSPAFSKHDTGEVLFPKFLDSHEVDSIVSLERT RSTKSNKRSSMNSQRRSLTDTLSIKAHSEGMFITEASSVVLSTPDLTKSPASSILKNG RFEYSDNFSREYSYEGTTNEEQNDFLNISNDNGPSKKDDIFLDSIEQKFDQLVMASDE EKTEVERDVPKSRKESLKKDSESQSIYADDDNELISDIMEFASFINFGDDDLNLDLDL GNTTTPYAAETVELVNRDDTNISGAFNTRNNKEPGYTGKDSQSYPAAEQVTTYEDEHQ GQFLTCEQDGSGMNDNDFENEDFNKRTELPTEVTPRNNAYLPEFEPNRPVSMSFKGLK APRMNTSFIDSMTPDSPVKSDMTSLGDVDVNGKNEQGVRFSSQIILYDTYGEFEYDRH PEISTCNQLTPQLAQMIKLELNELKSAMEVHDDSRCYTHFY SPAR_N00950 MAYNFQFPEIAYPGKLICPQYSTENKDGEDIIFNYVSGPGTKLL QYEHNGSTLEAITATLVGTVRCEEERNTDEEEEREATDQSTEEEKSVDASPSDVTRRI VKYIRVSVVPGTGNDCKAGKYANNDFANNLPKEGDIVLTRVTRLSLQRANVEILAVED KPSPIDSGVGSNGTGIVAAGGGSGAATFSVSQASSDLGETFRGIIRSQDVRSTDRDRV KVIECFKPGDIVRAQVLSLGDGTNYYLTTARNDLGVVFARAANGAGGLMYATDWQMMT SPATGTTEKRKCAKPF SPAR_N00960 MFKRFSKKKEVPEDPKNLIDIDKPIKELPASIPIPKEKPLTSEQ QKMYDEVLKHFSDPDLKIYTSEKNKSENDLKPLEEEEKAWLTRECFLRYLRATKWVLK DCIDRITMTLAWRREFGISHLGEEHGDKITADSVAVENESGKQVILGYENDARPILYL KPGRQNTKTSHRQVQHLVFMLERVIDFMPAGQDSLALLIDFKDYPDVPKVPGNSKIPP IGVGKEVLHILQTHYPERLGKALLTNIPWLAWTFLKLIHPFIDPLTREKLVFDEPFVK YVPKNELDSLYGGDLKFEYKHNVYWPSLVETAREKRDHYFKRFQSFGSIVGLSEVDLR GTHDKLLYPVNSESTV SPAR_N00970 MKSLQTLCEISLMRNHSNIQSVSNVPYHLLKRILQKVKIPQLLR LEKSNVLLIFDDDELWLGFLKQDFPTNVHEQFVSKRDTICKYYFDFVKENDIERYRSD QDLLKSCVRQSVVKDIRNNKYRIPYRMLYSKYQREVEKKQEESAERLRLEMQKLQQER EKKQTIVVDHTVYFKKRNTKKTTRLDNEAHSQLYMKSLKDHESRLKHFKDGGFNIAKR HAQRVAFGGKAGGQSSTLKRGSVPIKAEPVTVNRQIDNLTVEKKDIPQPITPVKKRRS ESPSIFLVRKKPALLRPAPKANAAASRLHPTPITDDHPTQPHPHPYPHKDIVTSMPSV TVNTVPKGHKKKKSGIFVRNAGSDGDTFPHVTATAPTTRPYIYEPRK SPAR_N00980 MMNNNGNQVSNLSNALRQVNIGNRNSNTTTDQSNINFEFSAGVN NNNNNSSSSNNNNNNNNNAQNNNSGRNGSQSNDNGNNIKDTLEQHRQQQQAFSDMSHV EYSRITKFFQEQPLEGYTLFSHRSAPNGFKVAIVLSELGFHYNTIFLDFNLGEHRAPE FVSVNPNARVPALIDHGMDNLSIWESGAILLHLVNKYYKETGNPLLWSDDLADQSQIN AWLFFQTSGHAPMIGQALHFRYFHSQKIASAVERYTDEVRRVYGVVEMALAERREALV MELDTENAAAYSAGTTPMSQSRFFDYPVWLVGDKLTIADLAFVPWNNVVDRIGINIKI EFPEVYKWTKHMMRRPAVIKALRGE SPAR_N00990 MKTCYYELLGVETHASDLELKKAYRKKALQYHPDKNPDSVEEAT QKFAVIRAAYEVLSDPQERAWYDSHKEQILNDTPPSADDYYDYEVDAAVTGVTTDELL LFFNSALYTKVDNSAAGIYQIAGKIFAKLAKDEILSGKRLGKFYEYQDDTFEQDINNI GYLKACDNHMNKTDRLLYPLFGYSPINYEYLKHFYKTWSSFNTLKSFSWKDEYMYSKN YDRRTKREVNRRNEKARQQARNEYNKTVKRFVVFIKKLDKRMKEGAKIAEEQRKLKQQ QRKNELNNKRKCGNDNDDKENFHLQSWQTVREENWDELEKVYDNFGEFENAKNDKEGE ILIYECFICNKTFKSEKQLKNHTNTKLHKKNMEEIRREMEEENITLGLDNLSDLENFD SADESVKEKEDIDLQALQAELAEIERKLAEASSENETEDESVKIEMEIEMEDVSSDEN VHLHSKNRKKRKKKKKGKVETEMEESESFDDTKDKRIDALNDLLASLEDKGLQTYDDE DWSTKAKKKKGKQPKKNSKSTKSTPSLSTPPSSISSTAAIEVCATCGESFDSRNKLFN HVKIAGHAAVKNIAKRKKVKAKKK SPAR_N01000 MTDFDLMNFPFHERLDSPVSDDAEMKDEKPIPQNWLNENHVGKS ILPLFVNPEDVINCNFSNARDSYEENKPPSMDRMNYDRNTSYQESPRLQERPKNEQNK SPIVTDTHKKDVPNFIHSTPRENSSTKHFTKASEQVPSQGSDENASSVISIEDCNGAK LSLQSSLSKEDFRVLENVILGYQKKVIELDRDNLRQEERSNSLQKELEAAMRSNDKKL DDEKKIEEQTVLIENLTRDLSRNREMLGKANDTIQTKHTALLSLTDSLRKVELFEIPI GILFFDLYDSEENSSKLDHILQEKYPKIKGFLCVSHQEEQNRLSQRFKNAKSEIEDLQ NEIETKKSEIQTMREKNNNLIGTNKTLSKQNKILCDKFDKLTIDEKEILKGCNEEIKT KLERLNERLGSWEKSKENYEASLKDKDKLLGDAEKKTSTMSKELDNLRSRLGNLEGNT SEKITVRNILQSRPDISAEECNFLLVEQIDSANLTTLQNTVKEIVLAVGIPYPKLRRK IPLLAIKLKYENIMLSNFAQRLHRQVYNQEMNLKKFTDQAYYEFMSTRRMDSIDHHLE RCLDHLYDHILEKMVK SPAR_N01010 MAKRHSHYQGSRSRHARGGNSKRGGKGNGKGLVGRKIKKKSASA GGWHNSSVPLGEGDLNDVGADFNPGRAFISPKTIEDYYFGRDAKSRSMKMGGLRPGNR FDSSTNLQEGRTAFRKRPMQFVKAKEVYDPSHDMIQKLRAKNQTESSEEIVETDAEYF KEPEKVASDVEDIRNEDNKSEDDESEDDENKDDNSRVLPLPSHSLSSNEGKIKDSDLF FVDEEAQEGPDLSKIKRVRIEEIPKPREVAIEFDPILTIGKVELNISERKESEDISVD IPNKGNETYHPFSGYISSVLHGIHNSDSDHDELDYEIETENSSEPLYESSESVEVEDE FNSLEQRRNSRGDKNLLPSPSPQLAEEIKSLSINGTKPLESRNDNLPSPVSEELEFGF KEEDFVINTNDILVTNIRMGGMDNSYYLQCYRLLGDYNFHWIDQDLLTDFVVDELGLP EYRLPAYLDFIKNSLIPKVETAEPTYSDIPISDSSDEEDSYEDDEDIDPSIMHSDMEE GLDDLIAYTLKHDTERFKTFETKSLETQGKGKKKKLLIDESLALDTETLETLQSKFTK RLETKAKKRKAKEDFIDQENKNSNDMLKKYPYGLHIQNIKDEFESFLSKNKDRLTFPP LDPHGNKTIMKIAKHYNMKSSKIGKANHTSVVVEKIKKTKWSTPNHSLIDQLMRRRPV FMRIDVRRPREEQAAFERTKTIRGKFHVKEGEIVGENAPEIGNENIGRRMLEKLGWKS GEGLGIQGNKGISEPIFAKIKKNRSGLRHSES SPAR_N01020 MQRWLELWKMDLVQKVSHGVLQGSSEEPVTLTNHDYVVLGEMYS ERDQELDPEQCEQDCGYRGEAVSGGFLSTLFGRENSSYTKEFLLDVRSRVNFTYRTRF VPIARAPDGPSPLSLNLLVRTNPINTIEDYIANPDCFNTDIGWGCMIRTGQSLLGNAL QILHLGRDFRVNGIESLKMESKFVSWFNDTPEAPFSLHNFVSAGTELSDKRPGEWFGP AATARSIQSLIYGFPECGINDCIVSVSSGDVYENEVEEVFAENPNSRILFLLGVKLGI NAVNESYRESICGVLNSSQSVGIAGGRPSSSLYFFGYQGNEFLHFDPHIPQPAVEDSF VESCHTSKFGKLQLSEMDPSMLIGILIKGEEDWQRWKLEVAESTIINVLPKRMDDFDV SCSMDDIESVGSNSMKKDTSNNENLGVLEGDYIDIGAILPHTANTEDAEEYDCFQDIH CKNQKIVVMGNTHAANANLTDYEVEGVLVEKETVGIHTPIDEKC SPAR_N01030 MPSHRNSNLKFCTVCASNNNRSMESHKVLQEAGYNVSSYGTGSA VRLPGLSIDKPNVYSFGTPYNDIYNDLLSQSADRYKSNGLLQMLDRNRRLKKAPEKWQ ESTKVFDFVFTCEERCFDAVCEDLMNRGGKLNKIVHVINVDIKDDDENAKVGSKAILK LADMLNDKIEQCEKDDIPFEDCIMDILTEWQSSHSQLPSLYAPSYY SPAR_N01040 MSGSSSKGNGGKKLLNKNQLLKRNRIRNARSIRAEAVAVSSSKT GVPSDLSESGSKLNVGQFISSRQFEVKQLQLAMHNSKGASSTRIFQALPRKLRRRTAS HNVRRIPKRMRNRALREMRKSDQQEVLKNSSTASRKTHGLNAKQLYRAKMSIKLLRLA SKSTSMKLSMPPEITSSKCHVRQKIKTLKRMIKESSKANPNIKLLNNCMGSYDATGLN ELASIPIGRIKYTKRQKHFTWLPTHIWNAKRSHMMKRWGYQLAWAPTQKCFKLTHRLG GDTCSSDGALCMDSSHIGTIVIKDKGNESEGDFLKFVIGKLTAGRANSKKYREGQVLF QGLIYDFSEGGSEDSSKPLGPCDIFWVQMDTAIIRLHPSIYTQVFNILLQHTKKLTVQ DCRYSLASVTLKGAKALESLASCLRSTEHCESFEQFKTISMITDYNALPQRCTFAFEA IDPRHLAAPKKLNDSQRNSVNFDDIISLYENYPQDEINAVLNKLCDPESRTESYKNQS TLKEISARRSKLLTVTPNHINRTTVPFKGNDDPSIPLVIIRRPKTNDWIVVLPWFWLL PLWHLLNRIPRMYHIGLRQFQQIQYENKQLYFPDDYPFTQFGYLENSLYKREASKTKW DRKPMGKRINFEKVKDIHNTQLPAYSGEIGDFFSSDWRFLQILRNGIDYLRRNDKNLE LVDSKKTGQFNSQGVRDINCINDVLELCKDYEVKAKAISSPIEDNIPIMLCKDSKCRS RTSNRINSDSSSVHLTSCPRCAIAVSCTLLERGHPKDNARIYQVPEKHLQHWLQLAKG VYRSNGRKDHDLKMPLPEVHDLIGFITSGTYHLNCGNGMGIGFIDHHLAIQQRTRYVL IRNVGTNTYRLGEWSKISV SPAR_N01050 MVNVVLGSQWGDEGKGKLVDLLVGKYDIVARCAGGNNAGHTIVV DGVKYDFHMLPSGLVNPNCQNLLGNGVVIHVPSFFKELETLEAKGLKNARSRLFVSSR AHLVFDFHQVTDKLRELELSGRSKDGKNIGTTGKGIGPTYSTKASRSGLRVHHLVNDQ PGAWEEFVTRYKRLLETRRQRYGDFEYDFEAKLAEYKKLREQLKPFVVDSVVFMHNAI EAKKKILVEGANALMLDIDFGTYPYVTSSSTGIGGVLTGLGIPPRTIDEIYGVVKAYT TRVGEGPFPTEQLNEEGEKLQTIGAEFGVTTGRKRRCGWLDLVVLKYSTLINGYTSLN ITKLDVLDTFKEIPVGISYSIRGKKLDLFPEDLNILGKVEVEYKVLPGWDQDITKIKK YEDLPENAKKYLKYIEDFVGVPVEWVGTGPARESMLHKEIK SPAR_N01060 MNCKAVTISLLLLLFLTRVYIQPTFSLISDCDETFNYWEPLNLL VRGFGKQTWEYSPEYSIRSWAFLLPFYCILYPVNKFTELESHWNFFITRACLGFFSFI MEFKLHREIAGTLALQIANIWIIFQLFNPGWFHASVELLPSAVAMLLYVGATTHSLRY LSTGSTSNFTKSLAYNFLASILGWPFVLVLSLPLCLHYLFNHRIISTIRTAFDCCLIF SLTAFAVVVTDSIFYGKLAPVSWNILFYNVINANEETGPNIFGVEPWYYYPLNLLLNF PLPVLVLATLGIFHLRLWPLWASLFTWIAIFIQQPHKEERFLYPIYGLITLSASIAFY KVLNLFNRKRILKKGIKLSVLLIVAGQAISRIVALVNNYTAPIAVYEQFSSLNQGSMK TPVVNVCTGREWYHFPNSFLLPDNHRLKFVKSGFDGLLPGDFPESGSIFKKVRTIPKG MNNKNMYDTGKEWPIAKCDYFIDIVAPINLTKDVFNPLHLMDNWNKLACATFIDSENS KILGRAFYVPESINQIMQKVLPKQWNQLYGVRYIDYCLFEKPTETTN SPAR_N01070 MNNKRTSVEQLISCPICSRRVFFSLINSHLDICGKEKSKPSSRP QTVSSLLAGPKKRKQTNAEKFIDLDNEDHEIKTDIKNEDEDMTAENESKRFKEAPSTD SAKSVIDSASSRGQLHNDYESRLLQKISHLPLSEKLRPKELRDYVGQQHILSQDNGTL FKYIKQGTIPSMILWGPPGVGKTSLARLLTKTATTSNSESNVGSKYFMIETSATKANT QELRGIFEKSKKEYQLTKRRTVLFIDEIHRFNKVQQDLLLPHVENGDIILIGATTENP SFQLNNALISRCLIFVLEKLNVNELCIVLSRGIALLNKCRKQVWNIENPLKLSRSILE YVVDLSVGDTRRALNMLEMIEVSTRERKANEEELSTDDVRDIIKSNSSNGLNTYYDPK GDNHYDTISAFHKAIRGGDENASLYYLARMLQGGEDPLYVARRMIRIASEDIGLRDNS LLPLAVAAHDAVMKIGLPEADLALAQCCVALARAPKSVELYRAWKKLRAMMSENMYSL ASSEIPMHIRNAPTKLMEELGYHKGYKYNPDYIEGKVEQDYFPKEVLEKCPNKTDLKF LDGKHLGDKEDPDLKQAYQS SPAR_N01080 MDNKRKTRAATVSTVLIFFVTCCVYTLYTLKFDDPQLSPPVSLL PTISTLKKIEHVVDLNKEYVFVGDVHGNYDEFMELIDDKIGGLGENTTMILLGDFIHK GPDSDKVVSYILDHKDQVKCVLGNHEILVMMAYLNPDFSKRVRRPKLMTPLTFSTETD FIPQDISKISSAHGQLARELGFFKLTQLAEHCSMAIELDLDITGDKLFGAHAGMVPGD FMKPNQIPGVSSLSNMKYVDKKHWSRTSREKENKNYVRWYTLWDKYGDHFNNAKVFYG HDASMGLNLRKQTKGLDTACVKNNLLSSMKVKYNIKKGQYDYTLIQVECS SPAR_N01090 MSSPDDFETAPAEFVDALDPSMVAVDSGSAAVTAPSDSAADVKA NQNEENSGVAGVDTSVKADQAEIEKKDDDTTEVGITTTTSPVADTAAATDIVKTSTAS VAESTADERAAEEKKEQVSGPPLSNMKFYLNRDADAHDSLNDIDQLARLIRANGGEVL DTKPRESKENVFIVSPYNHTNLPTVTPTYIKACCQSNSLLNMENYLVPYDNFREVVDS RLQDEPHSNDINNSNSNSSHSIGPKAEIISTNTNGATEESIKEKVMVDAEQQARLQEQ AQLLRQHVSSTASMTSGGHNDLVQIEQPQKDTSNNNNNNNNNNNNNNVNDEDNDLLTQ DSNPQGADEGNASFQAQRSMISRGALPSHNKASFTDEEDEFILDVVRKNPTRRTTHTL YDEISHYVPNHTGNSIRHRFRVYLSKRLEYVYEVDKFGKLVRDDDGNLIKTKVLPPSI KRKFSADEDYTLAIAVKKQFYRDLFQIDPDTGRSLITDEDTPTAIARRNMTMDPNHVP GNEPNFAAYRTQSRRGPIAREFFKHFAEEHATHTENAWRDRFRKFLLAYGIDDYISYY EAEKAQNREPEPMKNLTNRPKRPGVPTPGNYNSAAKRARNYTSQRNAQPTANAASANA AAAAAAAASNSYAIPENELLDEDTMNFISSLKNDLSNISNSLPFEYPHEIAEAIRSDF SNEDIYDNIDPDTISFPPKIATTDLFLPLFFHFGSTRQFMDKLHDVISGDYEPSQAEK LVQDLCDETGIRKNFSTSILTCLSGDLMVFPRYFLNMFKDNVNPPPNVPGIWTHEDDE SLKSNDQEQIRKLVKKHGTGRMEMRKRFFEKDLL SPAR_N01100 MDSEASDVELELSDSASAGGEEYIDDDDYTEDLDDQVVTTKSSR RTARKRGSKGVRTSKRIRDKELTGGVDEDYDEDEDVLSPSKKRHLHTRSMDKRQLVAT AFENSDIDDSKVNDGEMEDGVTEEESLEKELNKGEEKDELEKSEETYYDQKDVGQKRE GEQDGESGGYEDNEASGSKESDELASVVNGNGNGNEEDDELEATKENTTDSTRSATTR SKMLLDLLEDGGSKKKLTDEEIQLRRAENARKRKNLSEKRLEEEKQDTINKLLKKRAG KSRSHLPNDEEKNDGSSSFVKPRRPYNSEGMTRILRKYKEDLFCTF SPAR_N01110 MTSIKSLPRYIDWPSDVDIKRAERTNPTVKAIKSLLYNGGSIYA FLYFFVAMFVEPMLQKQYQQRNDFSLFVILRLRRIIAQLQKRLVMTPVSSLGYNEQNN SVERSTQTSDDNVIQKDNSHWAEITYQLQSVKQELQYFNRSLDQPTESMDDFVFQIKM ATDQVELTDRTQTFSNKSRDVIQEIREIKGWFVNCQVPR SPAR_N01120 MNILRIACRSFHCLRCGSLLNENKGWSSKKIIKLVNKSSLSNKE FAGKVRDDTKEIPEWKKQKMAVRKKLQGNRWNPSKKISQEQMEALRLLKFNFPELTAS DLADRFKISPEAVRRILKSNWKRTDEENNNTHERWKRRGERIKEMYQKKENAEFVSSQ IITGRKLILGSNANSSELMAKSIRTPRHFKHNNDIPEKKSTNKLYILKHLASKQ SPAR_N01130 MNILKKFMDSGSKPELITIPSGQFNLLRSKNSPKAALECIYNNA TLSVRKIGKFDYELAVYRVEDDSEGGTGDEAENFEDDTISVLSTQSKKKEEEWSVEIS DKIMFHKTWDKQGNMALVWENLRGDEQDEKVQFVVASDVSLSDVEQFIQTVYRCQYEV RNKKSSLTASADDLKEIEHKSTRLFVQDDDDESDSSSDDFQDAKDISFEYKEDSEILE RTPSPLKKVPDGDYRCLMMSSLYMYDPIQEKFILQEPMVKVAIIDIGKYEFWLAIEGK DNRLGTQIAPNINPTFELVTDAFLFNYTLQNITLSYMLKFKDLDKCIQFRSTWVECLW MTLNKETWNDVPEREKDYILDSSSVPLEKQFEDILHIGESSNERSDKESSDSENDSED EEDENDHSKRIISSEAFEDPKRATSKGNSSLTVAFRNNRSYVTRDNKIGVFKTDDEDD SLEFVTAIKNVSNLSGKRIDPHKPMLYMEDRNLILTDGENENKLYKMDIERGKVIEEW ITGDKNVVQYGPTKKFDQMTPEQTIVGVSQKGVFKIDPRISGNNKIVVDESKDYVGKY NFSSIGTTESGYIAIGSEKGDIKLYDRLGIRAKTAIPSLGQAIKFITISADGKWLLAT CESTLLLMDLQIKDGKNAGNIGFLKSFPASENVKTYVLKIRPEHSASILTYTKKPIRF TKAYFNTGIGQQEQTIVTSTGPYAISWSLKGILDQDGSKNYPYRIRRYNDDVVADNFE FGSNKKVIVALKDDVSLSKVKSFKQPTKGVLMPSASLQDFYG SPAR_N01140 MPPRSIEEWFYYKLLSSPGFHRFVRKVYRKVNGIKEDPFTDQPT AFQYLYKPTPLQKFKALRLLFWDEMRSTFGFRRRLGDRFK SPAR_N01150 MSIKTMSGRRSPQLLQLDTILIKILGELQEGKLFNNKIIFPEET LYLKLVLNYSFFKNNLLDFCGHLDKIKAIIRSNFDTIYILCTMDEDLLNLAYSNGILE ICLPRFILREDLKIFNNSFYTYHDNHLRILQEDISQLFEKVKIKASVLCFTIEEIPVI NQEVLPQSLTVAELQKRNYKVQGNGPQRQNFIVTLEIKLSKTQITFLIGAKGTRIESL RERSGASIKIIPISEKMTMHERHHPDTVQQTILISGDLYSIALAVTNIESALITLDL SPAR_N01160 MAEGVFQGAIGIDLGTTYSCVATYESSVEIIANEQGNRVTPSFV AFTPEERLIGDAAKNQAALNPRNTVFDAKRLIGRRFDDESVQKDMKTWPFKVIDVDGN PVIEVQYLEETKTFSPQEISAMVLTKMKEIAEAKIGKKVEKAVITVPAYFNDAQRQAT KDAGAISGLNVLRIINEPTAAAIAYGLGAGKSEKERHVLIFDLGGGTFDVSLLHIAGG VYTVKSTSGNTHLGGQDFDTNLLEHFKAEFKKKTGLDISDDARALRRLRTAAERAKRT LSSVTQTTVEVDSLFDGEDFESSLTRARFEDLNAPLFKSTLEPVEQVLKDAKISKSQI DEVVLVGGSTRIPKVQKLLSDFFDGKQLEKSINPDEAVAYGAAVQGAILTGQSTSDET KDLLLLDVAPLSLGVGMQGDIFGIVVPRNTTVPTIKRRTFTTVSDNQTTVQFPVYQGE RVNCKENTLLGEFDLKNIPMMPAGEPVLEAIFEVDANGILKVTAVEKSTGKSSNITIS NAVGRLSSEEIEKMVNQAEEFKAADEAFAKKHEARQRLESYVASIEQTVTDPVLSSKL KRGSKSKIEAALSDALAALQIEDPSADELRKAEVGLKRVVTKAMSSR SPAR_N01170 MSANEFYSSGQQGQYNQQNNQERTAGPNNGQYTGDNANPNGERG LFSTIVGGSAGAYAGSKVSNNHSKLSGALGAIGGAFLANKISDERKEHKQQGQYNNSN FGGAPQGEHNNHHRQNNNNNNGGFGGPGGPGGQGFGRQGPQGFGGPGPQEFGGPGGQG FGGPNPQEFGGPGGQGFGGPNPQEFGGQGRQGFNGGSRW SPAR_N01180 MKLDTSHMRYLTTDDFRVLQAVEQGSRSHEVVPTSLIHQLSGMR SQSGTNRAISDLAKLSLISKMRNIKYDGYRLTYNGIDYLALKTMLNRDTVYSVGNTIG VGKESDIYKVSDKNGNPRVMKIHRLGRTSFHSVRNNRDYLKKSNQGANWMHLSRLAAN KEYQFMSMLYSKGFKVPEPFDNSRHIVVMELIEGYPMRRLRKHKNIPKLYSDLMRFIV DLANSGLIHCDFNEFNIMIKDEVEDEKDCGFVVIDFPQCISIQHQDADYYFQRDVDCI RRFFKKKLKYEPKPDSSMLDTEGFGDGYKYAYPDFKRDVKRSDNLDELVQASGFSKKH PGDRGLETAVESMRNAVYNSDDDISDNEAEYESEEGDYSEDDEYYDSEFDDEGSEDNS EDAQEEENERIIEALSSGVENLKMDKLGNYILE SPAR_N01190 MIKLSKYAKNACPKATSQSDSSTDFMSKLFLDELPEALSRKIGS VVRVLPNSLEIFEELYKYALNENNNNRSKHHKKPRTHDFSGQVKTDKISEANTIFKLE GVSVLSPLRKKLDLVFYLSNVDGSPVITFLKENERELSIHQLNKSIKMASFLPVPEKP NLIYLFMTYTSCEDNKFSEPVVMTLNKENTLNQFKRLGLLDSSVTDFEKCVEYIRKQA ILTGFKISNPFINSKSADADAEKINSFHLQCHRGTKEGTLYFLPDHIIFGFKKPILLF DASDIESITYSSITRLTFNASLVTKNGEKYEFSMIDQTEYAKIDDYVKRKQMKDKSMS EELKAKSKSKGQPTDGATDQPSILQEATRQMQDEKKAGIFSDDDEENDQNFEAESDLS DGSGQESSDDAEDGEEAEEDDEEEDEEDEEEHQKSQTAFNEDNSLAPIHDKLDQELQY KEFKEPLELEDIPIEIDNDDDEDDEDGSGVEYD SPAR_N01200 MRLFENSKDMENRKRLLRAKKAAGNNNCFECKSVNPQFVSCSFG IFICVNCANLLRGLGTNIFCVKSITMDSFEEKDVRRVEKSGNFRFGSFLSKNGILQNG IPLREKYDNLFAKSYKRRLANEVRSNDINQNMYLGFNNFEQYTDSATNQIRDRTLREI SNNTNNSEGAEFILPEKVLGSDNFQDCERFPTRLSSQRNLDENNLASATSTLTIEKFQ NDPIGTISRSWVLLSDALYKSYEDFKGSVVQPTIENIQQRNLSNDIKRSLVQFNEKLH ETPHLPSPVFSCFTGGDILPPEFN SPAR_N01210 MDTMNTGNTLNSKFITEGSWRPDLFKGKVAFVTGGAGTICRVQT EALVLLGCKAAIVGRDQERTEKAAKGISQLAKDEDAVLAIANVDVRNFEQVEKAVEKT VQEFGKIDFVIAGAAGNFVCDFVNLSPNAFKSVVDIDLLGSFNTAKACVKELKKSKGS ILFVSATFHYYGVPFQGHVGAAKAGIDALAKNLAVELGPLGIRSNCIAPGAIDNTEGL KRLAGKKYKEKALAKIPLQRLGSTRDIAESTVYIFSPAASYVTGTVLVVDGGMWHLGT YFGHELYPEALIKSMTSKL SPAR_N01220 MSLPGTPTTSPTPMDEDTEQAVSVNTEPKRVKVYILENNEWKDT GTGFCIGEVEEGKFAYLVVSDEDSPTETLLKSKLEGNIEYQRQEETLIVWKDLGGKDI ALSFEESMGCDTLCEFIVHVQRNIESNISLVTVKSSDNGLGSVHDIITGPVTLPSNDL QQNSQTLLEALKILNENTSFDFLKNETIEFILQSNYIDTLISHFHKAEEEKIPKDLFL LSNIIKTLILYNQRDILESMVEDDRIMGIVGILEYDTEYPTSKANHRKYLGSKGPNFK EVIPLENEDLKIIMKKCFRLQFLKDVVLVRFLDDHNFNLISEIVMDLETCIIDFLQVG TFLDRLIELYDTNTLPKNPSEKEKFVQKRKDGIRLLQQCVQMSINLDAVDRSKFYKTL VRKGLFKVLDYAFHMETDSNVRILATDTIITIIEHDILLIHNVQNEDSFKRQHRLAPD DKSSVRKNPQDYSSSTDSKLLLILSTILLSDRSPGLREQVVQALNTLLHPEGCLGNGE GSYDLTGRSSYESKNTSEDFSNFGYGLNSDSINLNNYHYNSDEMNNLEPESESEFQVM EYFANFYNKIAPILFGPLIKKDSTMEISELNGQIEKVQKDDLLLIHLVKLVSFVCTEH DRVLSRRFILENGILDSVSKLIGGNHILQLRLTAVRCVKNLMCLDDKYYHRYMISKNL YAPVFKLFQENIDKNNLANSCIQDFFRIIITECRGYQGDGQNRKGESNSSYNSNSNNV KLNVNNNRTNFTILNKYLVQTYGDTLKKATDIPFIKDMLEIGEENQPDHSSFENSIEG ENDISVNISSDGFTSNHLEEIDIKNVKRLHSEIDHFENDPHYSSDQLAFKKSVDQMNA ST SPAR_N01230 MSTLKVVSSKLAAEIDKELMGPQIGFTLQQLMELAGFSVAQAVC RQFPLRGKTETEKGKHVFVIAGPGNNGGDGLVCARHLKLFGYNPIVFYPKRSERTEFY KQLVHQLNFFKVPVLSQDEGNWLEYLKPEKTLCIVDAIFGFSFKPPMREPFKGIVEEL CKVQDIIPIVSVDIPTGWDVDKGPIAQPSINPAVLVSLTVPKPCSTHIRENHTTHYVG GRFIPRDFANKYGFEPFGYESTDQILKL SPAR_N01240 MHHQTKLDVFIIRAYNLLSNESVISGASLQSVTNSPQTTTNTPS GMVNGVVGTGIANPTGLMGSDSTPNIDEIITSNGTNSLAKTSSDSANATPNGNSSSTS AISNTSNPTTTGNNASSSITSNGIYTQAQYSQLFAKISKLYNATLSSGSIDDRSTSPK SAIELYQRFQQIIKELELSFDASPYAKYFRRLDGRLWEIKANSELENDELWRLVSMSI FTVFDPQTGQILTQGRRKGNSLNTSTKGSPSDLQGINNGNNSGSNGNIGNGNNIKNYG NKNMANNRTKKRGTRVAKNAKNGKNNKNSNKERNSIADTNAFSNTTISNPGTNMLFDP SLSQQLQKRLQTLSQDVNSRSLTGYYTQPTSPGSGGFEFGLSHADLNPNASSNTMGYN TMSNNGSHSWKRRSLGSLDVNTLDDEAVEELLQLTNTNKRQRPMATTAEGALINDGPD TNLNATNTQMKVDLNPPNSMGPMDTEAVIRPLKEAYDAIISEKGQRIVQLERELELQR QETQWLRKMLIEDMGCVRSMLRDLQR SPAR_N01250 MQSSVYFDQTGSFASSSDNIVSTTTNTHNISPSHRSSLNLNTSS HPHEMSGRGSASGELYLNDTNSPLAITSMLNTLALGSMPQDIPSSNSNNHDNNIKGNY SLKLSNVPKDITLRECYAIFALAEGVKSIELQKKNSSNIVPASLEDENDIFIIARFEL LNLAINYAVILNSKNELFGPSFPSKTTVEIIDDTTKNLVSFPSSAIFNDASRLTKSTS GMKRPSLLSQRSRFSFSDPFSNDSPLTQQQSQQQQQQQQQQQSQQQQQQQHSSQKHSP QQCNQQQTNSSVPLSSQGQVIGLHSNHSHQDLSVEPSIPTSDIGKSFLLRDNTEINEK IWGTSGIPSSINGYMSTPQPSTPTLEWGNTSASQHGSSFFLPSAASTAIAPTNSNTSA NANANANNGTSNTGANTVLSASSQQPMMQIGNAMNTSLTSSNSLPPYGLMSSQSQHMS NMVNTSDMNITPQKQNRFMQQPQPEHMYPVNQSSTPQKVPPARLSSSRNSHKNNSTTS LSSNITGSASISQADLSLLARIPPPANPADQNPPCNTLYVGNLPSDATEQELRQLFSG QEGFRRLSFRNKNTTSNGHSHGPMCFVEFDDVSFATRALAELYGRQLPRSTVSSKGGI RLSFSKNPLGVRGPNSRRGGTSNPNPNVNMLSSYNSNVGHIKN SPAR_N01260 MNKVDQVIGYKKYEVKLPKDRQITKNRSKGGNVEQNDIKRERDN MRTFGEERKKFLEKMTKNKRKSTSRKDKEKPKETERENYKREDKKLKEQKKLSISREF RFKEPHLAAINQSTAAKNLKSKPQPGLDFDVDHQTEDKIMIDQAVQTSSPLNVQLSEL FNDNILNVPKDSRFVLQDTEFTSWERRWSNCSTTSNATTVSSVPDPKNNINYRDLPSF NSIVLITQDHNIFASSNNQRDGAKKLLQQEMEYSNKVKSITLGLEALCMDEKLIPDAE IIGRQASRWSEFPTCCDQALI SPAR_N01270 MLGLGQSAQAYSSDDTLNMNQTKDKMHEVPVYGRASDIKYPHRG EHVSPHEQHSGLLPSECPGPTLNTGAGRIGIPGCGKVINKVVSDHGNNARSSLANFDS SKMTEARMNSKNVPIGCQDTSIPHFNGPIDQHIPGAGSPQSKPHHIEAWNSVSSRRSD NNDQEMMDPQAAPLDRYNEHMVRDETSGASASSYTAHVRGYPANVPPVNQKTGGGKNC EYGMNDRYNVPRNQIRNETNPSINLPNPADPNIPNPKNKVLNS SPAR_N01280 MSYKKFVYFINLFFLLGATLLTFFLILAGGRNTGVLKNFYWFQA STSGFNSAPSITRWYNYNWCGWESRGVAVNCSSKMAAQPFSPRDNFGSSPLMPSTFLN NRNTYYYLSRVGWAMLLIALFFLLITLVSVIASLIRYNRPTASLATAMSWITLFFMTL SACLYTGCYAKAVKAFHHENRDARLGPKNFGLIWTTVFLLIVNAICSTIMLAAHKRNE YIYDRSFASTKTVDSQTPTPVPSNGGVPSSVPVTEVQQPQSHHNGRFFKKLRAKKRTV TSTGDEPDRVQEEHIYTEQNVPVVS SPAR_N01290 MGPSKHFKHSSKDNKRKNEQKALITQEDFYLAAIDCEEQADRWL LSDVKKCLRFYLKALEHYESGLTALDSTQEGKYNIYYNETRLFLQIYTDYLANNGYIN ILQYVKMDDMPDLSNLVLSLPQITQRFEIVYETFPEQRTWDLQFNLLTCYLTLIESLD DTFPPTVAMEGSDILTLTNKYIEIFQHLVNYLLQELQNWNNNTEQNSDDTDAELERDT LDEDAMQVTRDGTGIRTNGPSQPQAETMEVSEQVTPSSLTEVLVNSLKFNHALMELIF ESKISTEKNPETEILNPIQINFLEDTTNKFYLQLCDIIDSISAAIPLDLKEIGLAKVL IEGFNIITSGTFESLQDFVLQTASFTDLLDENDIQGKIDLSLIRVDIVEFAILCLSEF SSDSAWKLSGLLTKVLTEARALLTNHRNHILFAKNQKLNEQLSHVVFQLCDVLVNSSD NELRRYAIKQCSEKAQKPPEGARTLEILMKNANVFLNNAVLISSKQCGLQETIIDKLK RNYIHNQAKERLLFLQGLEEKSNGDDVTSASPTTMTFDMPPDHPFYSHYR SPAR_N01300 MSDQNNRSRNEYQSNREDETGYELQNSHSGLFHSSNEELTNRNQ RYTNQNTSMGSFTPVQPLQFPEQSQRTNMLYNSDDDNNINTDDSERDIYGGFVNHYRQ RPPPVTAEYNDVFNANNQQLPSQQYSNASSYPIPSINVIQTTPELIHNGSQTMTTPIE RPFFNENDYYYNNRNSRTSPSIGSSSDGYADQEARPILEQPTDNMNSGSIPQYHDQNF VYNNGYHGLQAKSYYDDPEGGYIDQRGDDYQINSYLGRNGEMVDPYDYENSLRHMTPM ERNRYLRNDNRPLDDGKEELDSVKSDYSHRDLGEYDKDDFSRDDEYDDLNTIDKLQFQ ANGVPASSSVSSVGSKQSDIIVSNENLTANRSLKRSGTEIRKFKLWNGNFVFDSPISK TLLDQYATTTESASTLPNEFKFMRYQAVTCEPNQLAEKNFTVRQLKYLTPRETELMLV VTMYNEDHILLGRTLKGIMDNVKYMVKKKNSSTWGPDAWKKIVVCIISDGRSKINERS LALLSSLGCYQDGFAKDEINEKKVAMHVYEHTTMINITDVSESEVTLECNQGTVPIQL LFCLKEQNQKKINSHRWAFEGFAELLRPNIVTLLDAGTMPGKDSIYQLWREFRNPNVG GACGEIRTDLGKRFVKLLNPLVASQNFEYKMSNILDKTTESNFGFITVLPGAFSAYRF EAVRGQPLQKYFYGEIMENEGFHFFSSNMYLAEDRILCFEVVTKKNCNWILKYCRSSY ASTDVPERVPEFILQRRRWLNGSFFASVYSFCHFYRVWSSGHNIGRKLLLTVEFFYLF FNTLISWFSLSSFFLVFRILTVSIALAYHSAFNVLSVIFLWLYGICTLSTFILSLGNK PKSTEKFYVLTCVIFAVMMIYMIFCSIFMSVKSFQNILKNDTISFEGLITTEAFRDIV ISLGSTYCLYLISSIIYLQPWHMLTSFIQYILLSPSYINVLNIYAFCNVHDLSWGTKG AMADPLGKINTTEDGMFKMEVLVSSSEIQANYDKYLKVLNDFDPKSESRPTEPSYDEK KTGYYANVRSLVIIFWVITNFIIVAVVLETGGIADYIAMKSISTDDTLETAKEAEIPL MTSKASIYFNVILWLVALSALIRFIGCSIYMTVRFFKKVTFR SPAR_N01310 MCRFLIFKGKQPIRLSHLLTRPAHSIINQSFDSRLRLDRRRPMN GDGFGVAYYPLDSELSEDGPCLFKAITPAWNNQNLSTLAEKTKSDLVFAHVRASTYGV LSETNCHPFTYHSLCFMHNGGISNFKGIKRRLLNHINDEYLNFIQGSTDSECAFALFL DTLDKLGYDPRKQDGDFGNVALRKAMLRTIDYIRDWTKEANRNEVHVEPSLLNFAVTD GSTVIVSRYITSKTDEAASLHFSCGSSFVETSPGEYRVERLDRNQDVIMVASEPLTFE RGDWTAVPTNSILTIKKQTILLHPIIDEYYQEDPLYLRSSTLAESKGLMGSIPLAKAV EKNVPPLEREGRTRPPTAVAHIA SPAR_N01320 MKFSSVTAIALATVATVATAKKGEHDFTTTLTLSSDGSLTTTTS THTTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKT PNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTKHD TTTYGPGEKARKNNAAAGPSNFNSIKLFGVTAGSAAVAGALLLL SPAR_N01330 MDSGTDSSTSKFVPEYRRTNFKNKGRFSADELRRRRDTQQVELR KAKRDEALAKRRNFVPPTDGADSDEEDESSISADQQFYSQLQQELPQMTQQLNSDDMQ EQLSATVKFRQILSREHRPPIDIVIQAGVVPRLVEFMRENQPEMLQLEAAWALTNIAS GTSAQTKVVVDADAVPLFIQLLYTGSVEVKEQAIWALGNVAGDSTDYRDYVLQCNAME PILGLFNSNKPSLIRTATWTLSNLCRGKKPQPDWSVVSQALPTLAKLIYSMDTETLVD ACWAISYLSDGPQEAIQAVIDVRIPKRLVELLSHESTLVQTPALRAVGNIVTGNDLQT QVVINAGVLPALRLLLSSPKENIKKEACWTISNITAGNTEQIQAVIDANLIPPLVKLL EVAEYKTKKEACWAISNASSGGLQRPDIIRYLVSQGCIKPLCDLLEIADNRIIEVTLD ALENILKMGEADKEARGLNINENADFIEKAGGMEKIFNCQQNENDKIYEKAYKIIETY FGEEEDAVDETMAPQNAGNTFGFGSNVNQQFNFN SPAR_N01340 MNVTSPKDGNHRFSRKNRFNTNKPRFHKLNEQVQGINIPEDRDS IVSSNTTSIMTDDAFDYNRGIPSHTKDINSDNDGNNDTIKQENSSKRDTGYNPFYNGS GINQRYTQFRKREFEPTLAENETVGGISDEDMVKIDEDNIENELQFTPRIKEPSILRS SLLGQSKALHAWNPISKEPQIKTKPIVNNKSSSKRKSSAVLRKQLGKPLPLPYLNNPN SNITTTLQKKEEVFTDEVVQKKRELIESKWHRLLLHDKKMVEKKLESLREYERKKMSS QGTDVSSFQQDNSFKISTPTKSYVSLGQESSPNLPAVKTPNDIFDNREKGETNNNVLK FQGQRDPLQKLQFEIERHTKKLDTIIELLKDATDTKEGSRVIANDNIALEQRSNEGWR KNMMVIYKRSGNIMGKYKEYFLWTICILILLYCNIYVYYRL SPAR_N01350 MNTTPYCDTGKVFEADTIVDVWKREDKEWLQRTQSDPSGYVYPP LKESPYINVKDDVRMEKKVLCQDIFWSCDGASFVSVHNDFGIRQYLVPEEANTDKTKK SLLLPFTRFFKNQSILSCAVDPFYSLYSENSGELAGDRIVIGGKNFPLQLYSLMDGQC IFSYDTKNEMNGEYESVYSVRINAESRIYTGSHRNKVAIYDMSRREAVWMHRSTRKAS RGRQSIISCFEEQPMGGQTSSRGPLLCGSYANEMFQVDSRHQRLEIVNYARTTAGGIL QILASDNGRYVYVVRRNSDTISVYDRRNLQRELNLLLLPFRIHHNSAKLHAYIDMTCG LSMGTPQGMVLNWGRDLVEFGGVSSRSSVEELPTASIQAESVWHTSLDSGIPASVVKN CPGDPELLALSHGGTISLSRLGG SPAR_N01360 MTTQESIKPLVDRILSNPLQFNAAMISNKSNNNDTSAAPENGSY IVIGKQHNNNSSSTAITATANSEQILDDNSMERPNGKNSSVPKSMAEALLLYTSKNSK NAADATATKKPAELSTELSTEPPSSASEDDREGKEEEEEIFHEARDYVEPRKASLKER GNIDEDVGEDVGEDVGEELSEELGSPLTTVDDLANENEKKKEEQKELSTSISGDDEED EEDEDDMDYDSSAMEKELPEEEENDSTSTISEDEKKGLYQDLVENSTVKAKQYQPLNN DAKENKNGNERDEQEEEEEELKHKARSITPPITISNLSNFHQFNENINDHGSLNSTRI VKNWGDQFTNLKPRGLLNHGVTCYTNAAVQAMLHIPPIQHYLFDILLGKYDSTIPKNS VSYILAETSKKMWLPVSKNPRKNISASYINPKHLISRLDDINCMMSEWQQEDSHEYFM SLMSRLQEDSVPKGHKLIESIIYDIFGGLLKQIVTCKSCGSISKTEQPFYDLSLHLKG KKKLDPNPHLLGDSNNIISAANSNTTSNTAAQPSSSSSLSASLNNGSPAVAVSDLSSS NRRFSIEKSIKDFFNPELIKVDKEQKGYVCEKCHKTTNAVKHNSILRAPETLLVHLKK FRFNGTSSSKMKQAVSYPMFLDLTEYCESKDLPVKYQLLSVVVHEGRSLSSGHYIAHC KQPDGSWATYDDEYINIISERDVLKEPNAYYLLYTRLTPKSIPLPLAKSTMTTDDVTS KSKLEQAVNETNNRPLKINNRKNRKKWKKNKKRKSTK SPAR_N01370 MSQAAKNVIVKLIVGAGQAAPSPPVGPALGSKGIKAVDFCKEFN ARSANYQPGVPVPVLITIKPDRTFTFEMKSPPTGYLLLKALKMEKGHGQPNVGTMFSS SAPAKGPTRVLGELSLKHVYEIAKIKKSDERHSLLEMEGIVKSIIGVAKSMGIKVVP SPAR_N01380 MSSLTRLLQEKRKNETSNSSPRTSADTLTTTPESQPLDVHSRNK SSSHIGSVSNGSSSDRNRAIVPVPGSVTTVTQIYSEEDSSSTAGSSFDDRNQFSSSFL NANFAHTASFYGTSAQSKDRFGSLINDQGAAGFSSHGGSLAAQNRITSRLSATSHTSG RAIPSLSSSIPYSVPNSNKDNNSSNSNSSSLSSSWLETYAGGMPNNISAIDSNVISSP KVDSVEPRFVISKQKLQKASMDSNNANATQSRSISRSGSFSSQLGNFFFSKNSKESSN PNSAGMSFSVNSNGPSPNIKNPNATNGSTPIPKPIRARQSSIYSASRQPTGSYTDNFY GSPSSVHDHLPPSQSVPRSQHSSIGDLKRFFKKSSNSNISSNNNNVIPNGSPLSSGIA VPSHSHSSSHFSAGNNSYSTSYNGNGDTIYSHNHGGSGIPFSKRYVKTGADLGAGAGG SVKLAQRISDNKIFAVKEFRTKFENESKRDYVKKITSEYCIGTTLNHPNIIETIEIVY ENDRILQVMEYCEYDLFAIVMSNKMSYEEICCCFKQILTGVQYLHSIGLAHRDLKLDN CVINEKGIVKLIDFGAAVVFSYPFSKNLVEASGIVGSDPYLAPEVCIFAKYDPRPVDI WSSAIIFACMILKKFPWKIPKLRDNSFKLFCSGRDCDSLSSLVTRTPDPPSYDESHST EKKKPESSSNNVSDPNNVNIGPQRLLHSLPEETQHIIARMIDLAPACRGNIEEIMEDP WIRSIDMCHLVEDGLSFKVVRGEDHHHTQVDQSEAHIAGLEKKKKKQNNQ SPAR_N01390 MDEQVIFTTNTSGTVASVHSFEQVNLRQCSTQSRNSCVQVGNKH LFIAQAQKALINVYNLSGSFKRESVEQRLPLPEILKCLEVVENTGVQYDRIQGINHNL PEFNLPYLLLGSTESGKLYIWELNSGFLLNVKPMAHYQSITKIKSILNGKYIITSGND SRVIIWQTVDLVSSSNDDPKPICILHDHTLPVTDFQVSSSQGKFLSCTDTKLFTVSQD ATIRCYDLSLIGSKKKQEANENDVSIGKAPVLLATFTTPNAIKSIVLDPADRACYIGT AEGCFSLDLFYKLKGNAIVNLLPSAGMNTVQKSRVFSLVQRNLVTSSGNQDLDALYAM GQLVCDNLLNSNVSCLEISMDGTLLLIGDTEGKVSIAEIYSKQIIRTIQTLTTSQASV GEVTNLLVNPYRLESGNLLFEGEPKDKQSSNSNGHNSMKIPNLQRVIFDGKNKGHLHD IWYQIGEPETETDPSLALPLNHFNAYLEQVKAQESIFTHIGKVSSDVKVIDNETNVTQ SLDGIAAKDEEITELKANIEALTHAYKELRGMHEKLYEEHQQVLDKQ SPAR_N01400 MPLNIIGTALLDGTDKIPYFQTIKKVAPYVLGAGAIKYWSRGPS NTWERKLHGKVYLVTGATSQGMGTSVAYKMAELGAQLIILAREVDEWVTEWCEELREK TKNELIFVEKCDLSNLWEIRKFATSWLDNSPPRRLDGVIVMSGDMEPWGIPKISLPQR RSSKDGLELQIATNYVAIFHLLNLLQPSFKAQPPDRDVRIIIATCWLQVVGDINIEDP LWQNAKYKSALKFFASSKLQLGLSMMELQRRITEDIKNQKTNGTERTGKNVTITMVQP GTMRSNSLRRVISNGSVALLIIVYCILLYPILWLFTKSGRRGDQSFLYALMTPELEEI NLKDPKTKYISDCSLVKFARKEFDDEELQKKLFENTERDILQLEKKVAAKRNANKKTE QGSKKKSQSKSRKDD SPAR_N01410 MRSIKCVIIGDGAVGKTSLLISYTTNSFPTDYVPTVFDNYSTTI AIPNVTANGPLELDKGDDKRGSLSSASSSPTTDRKLYKINLWDTAGQEDYDRLRPLCY PQTDIFLICFSVSEHASFANVTEKWLPELKQTSNIEGTSLYTKLGKYPILLVGTKSDL RDDPATQKKLQETNSDYVSQEEIDELVQRCGFMGYTECSAATQAGVREVFEQAVRYAI YEPESTNQKSANHTLTDELTTATTNTNGDKNIREQKQQPHHNNSTDSTLPKVNLQQEK EALNIKPTKKEQRDKTHGLSKGKGSKMVSNGHHNKQAKQKTRNEKKKKKSKCVIL SPAR_N01420 MVALISKKRKLVADGVFYAELNEFFTRELAEEGYSGVEVRVTPT KTEVIIRATRTQDVLGENGRRINELTLLVQKRFKYAPGTIVLYAERVQDRGLSAVAQA ESMKFKLLNGLAIRRAAYGVVRYVMESGAKGCEVVVSGKLRAARAKAMKFADGFLIHS GQPVNDFIDTATRHVLMRQGVLGIKVKIMRDPAKSRTGPKALPDAVTIIEPKEEEPIL APSVKDYRPAEETEAQAEPVEA SPAR_N01430 MNFTARISHASGISRILLSPVSRRWIHITPISLDNSRGSLFGSI TENRTKEGKNREDEHASSFSNRLAVASDSSGEAPEVDRDSITIENDKLLQQHIVSLQQ PEQLASQTLLSPLKRKIYEANCKINGGFYKKDTIVKLPNSSERYKLNLTRKEIEVLEP SVYVQSYRIKSSMKKATLLLRLLGGLDVMKAISQCHFSNKKIAREVAELLQKAVKDGQ KLGLKPENLYISQIWTGSDGFWRKRVEFKARTRVGIISHPYIHVRCILRTKSVTKQRL AYEAHSKEQRRAPWVQLGDKPIRGVTGGVYKW SPAR_N01440 MNSNSTIGKVSFGESDTISLSFREPSSSQISSSTDAAFTSTSTL IPQQGSLTSLPPVSSTVSSAYYSPSLTSDETLYMSFAVSSTNTLVSSTVSSSSSEQDS GSSQYDPTTASYSIITPSLSILSSTSLTSSTLSIPSRSASSTSTLSPSTTSQPLSWSS LSSSWSSLSSSWSSSLMLSSSSLSPSSPYSDTKMFSLDSSSSIFSPSTPTAVSPSYTY LSSISTTSSQISATSDLSSTWFSAISLPSTTSNKDTTLSSSDRNTSTSVYTSFLSSTT DFSITGKSSKLNLSDSSSTVLTSSKSTISMPKLVSSRISSSTSFPNRPSSSSSSDDTT GAYLGTHPLQSTLSTSSSSISLTSQVPSTSATSTSPIPTSSQAYNTAAVSSSEDSKTI YYFYTQAYDITDSSTTFVTGLPTTIAVAKSEVTSFSAPSSTITADMSFYQHWLDGSLD NNQNQDTSKSNTGTIVGSVVGSVGGILICALVVWFMLLRKRKAKREFKETDSFCHEIG RRTGFPTTAQARKASLQAQDSRSQQANIEVASTNNPFSNEFNFKARGAPPPVPPPRNA TSMNGSSQNMRSNFIDPENRFSYGSSFTYSSLGSSTQGGFSTLSSNSIRLGHGLDNNV SNDERSSVQNNSQGFLREII SPAR_N01450 MSETQTSKEDVVMKKDNEEQVKKALLDPTKKRKAEDEIEIDLKS SIPLSKKQKRLLRRGKVTLEELNAKYNIDPKSIEEYKEDAEKKKSGASEEDAQGDESK TDAVTGNTSGEAITKKKKDENKYGVWIGNLSFDTTKDDLVRFFIAKTKDNEDERSRVT EQDITRLSMPRVAAKNSNSMKNKGFCYMFFKNAEQLKAVLELSESHLNGRNMLIKDSE NYSGRPDKDDLVAMSKNPPSRILFVGNLSFDVTDDLLRKHFQHCGDIVKIRMATFEDS GKCKGFAFIDFKNEEGSTNALKDKSCRKIAGRPLRMEYGEDRSKRQVRKKVENVTNDK SPSFDISNDRGYDRPRQDSGNKSEYKRSNANRRPPVDSNNRTKSSVALATAQRGSAAI VPSQGKKVKFD SPAR_N01460 MQSNLPQFTFKWPKGPETVILTGAFDDWKGTLPMVKDPSGAFEI TLPVKFDSPDNEVSFKFIVDGQWLPNKDYKVTTDAGVENNFITEEDVKRQYGNGTGML VPESAGLAVSKNTSLIEAEAEKRAKLRKFKIKRVIKTNKETGERSIFSQEVIELPDSE DEAQQVNKTGKNADGLSGTTTIIENNVGVNEEKAVRPYEEKHPKVTLVKSEGYITDGL GKTQSSESRLYELSAEELEKEEEEEEENKGADEDADTSTGAVVLDIQNKKPSNEAAKI ESPEEKVPAGSITSIVKEIPGKSIGKVVAESQTFETKQGPPASATKKIEVERATRAAK SSGAKGLPNKDVQKSPAKKGGFFKKLAQFLK SPAR_N01470 MTSKLSIGNDCLPRKTHNGEYGGDTPEWQLQVNITNKSGGINGE IWLSRDGRSVKWCIEDQCLRQFTYNQEIIKAGYIDFEKTPDCFVVVLSDIAHVYMLKK GDSTTVCFPFQIGNAFWYANGVILERDTSTFFMDGGYDLKPIEFDLKHKYITLTDPMT PFGLISITNTFKGGINSASGNKSDSLQDFQLVLFPSDKEKCIAVFLDRNSKVLRFYYS RVLSSDQSRKGELTISSTKKIGLDTAGNSQKTGGIAKDMRKFSLLTRRSTSINSNSHD FNATERVLSGNVGNAPGRTDIFALPSSCSRRSLSATLDRMGNNIAATNRATPSAFFDS SANTGTHSSINPVSQPMQQQQQEYLNQTATSSKDIVLTEISSLKLPDDIIFTSRRLSS ILSTLKFLSLRFERREGILIFHEPTHFCKIWLIDLSPDVLDSIPFKIYGNSPQNMIRL ENLKLKEPSRIRAMHLHKRLKGCLILVSEGQNEGEYESFICNPFVKISSPSKNIPKDL TKQNSLPSLQRLFPYPESSFTKLCFEALKYITSPAFNISFIFLWQFAYSILPSKVSNG VGCSKIEHDAFSLVLSLLILPIPSFSAQEYNEYKEIYEHDLFHQLKQDSEITSSVLPR IVIGLHLIREEYSLNVLCRNEHALLGQFLSFATAAMGWPDLWQSYYVPKIDSESKTFL HPREQNSTFFHPLDEPPSITKSLYSITENSSIPLCPFISFSRLAATDTQVELRITPRS FKILGLYELVHSPNFLPDYILGILSSLKVDKNELQTYPLGILFPLQNILRILEDKLSE VRDNLELLDRADLQRCSAIINSIRNDSKEVIKRGERDSSMLYKVPLAKNRSCSSKKPS DIYTVLSEIVKSASQVPLDGSAMRMSNIQDDEDIDEGRSLKLNAGLIFSEDKRFTHVV SLLAYYRPTQTQFFTTKTEYAQILAQKKYFAKIMALRTCTNGVGWGAVAYATEKPIST QKWIVQPLNLISMFPDDTKITVKVPEDIGHDVVEWGQFHAGVSSGLRISKKATGITGS WIAFNKPKELDAYHGGFLLGLGLSGHLKNLEEWHIYNYLSPRNTHISIGLLLGMSSSM KGSMDSKLVKVISVHLVAFLPSGSSDLNIDLKLQTAGIIGMGMLYLNSRHKRMSDSIF AQLVSLLNLNDEMIADEEYRLAAGISLGLINLGAGQTKLRKWDSSLLSLGDYLPEDVY DTNDVEENMMYEDLTTKLLEIITSTYDIENDWIPENSQIGAVIAIMFLFLKSNNFEIS NVLKVDLKKILKANISNRPELLMYREWASNMILWDFMGDDLSFIMKDVDIGGKFSELN TDLLPIYYTMAGRILAMGIRFASTGNLKIRNVLLSLVDKFLPFYQYPGKQNLDFRLTI SVINVLTNVIIVSLSMVMCASGDLEVLRRVKYLHEVVSGPYSDLFQVIPSSKNNGSGV THASSNTNRSGNSDHYERIDETAASLDDERSINGSDISDPTAFLEDKKDMDDHYGKFL STNLALGFLFLGSGQYALNTSTLESIAFLSMSVLPIYATPHPLQELKHFWSMAVEPRC LVIKDIFTGDAVNNVPIELVVEEDIEKEEVIKEMSTPCLLPDFSKIKSISVKMHSYFP LEINFTKGYSASDFFSGGTIIYIQRKSESIFEDKASFRNVEDIHVALKRKATGSKDYS KLNLKTEQGNAPSSQLVESLGIQDLTMVELDTILNTENNTALTDSESYNLGLLCSDRN SGDILDCQLELWYKSFGPHVE SPAR_N01480 MSIMPVKNALAQGRTLLMGRMPAVKFSTRMQLRNRTAVLWNRKF STRLFVQQRRSSGEIVDRAKAAAANSGRKQVSMKWVVLTSFTIVLGTILLVSRNDNTE EEGATESKKGRRTRKIKIFNNNWLFFCYSTLPLNAMSRLWGQVNSLTLPIWVRPWGYR LYSFLFGVNLDEMEDPDLTHYANLSEFFYRNIKPGTRPVAQGEDVIASPSDGKILQVG IINSETGEIEQVKGMTYSIKEFLGTHSHPLMSKSASSLDLTSDEEKHREFARVNKLQL AGSEDTEQPLLNFKNEGDQSVQEFKPSVSKNMHLLSQLSLNYFSNGFSCSEPHDTELF FAVIYLAPGDYHHFHSPVDWVCRVRRHFPGDLFSVAPYFQRNFPNLFVLNERVALLGG WKYGFFSMTPVGATNVGSIKLNFDQEFVTNSKSDKHLEPHTCYQAVYENASKILGGMP LVKGEEMGGFELGSTVVLCFEAPTKFQFEVKVGDKVKMGQELGIIGNRDRK SPAR_N01490 MSYNYLKAARKIVCIGRNYAAHIKELNNSIPKQPFFFLKPTSSI VTPLSSSLAKTARPANSTFNGLNEDGTNPGPIFIPRGVKVHHEIELALIVNKHLSNVI KMKPEEVYDSISGVALALDLTARNVQDEAKKKGLPWTISKGFDTFMPISAMVSREKFS SYKSNLQDIFRVKCFVNGQLRQDGGTDLMLHPLHKILQHISTMISLEPGDIILTGTPA GVGELKPGDRVHCELLQNNDKLVDMNFECENRPGPYEFREI SPAR_N01500 MSSEERSRQPSIVSTFDLEPNPFEQSFASSKKALSLPSTISHPS QSKETSRNNSTSTITQHSQRSTHSLNSIPEENGNSTVADNTNNNGVKKDSPTFLPGQQ RPTIVSPPILTPGGSKRLPPLLLSPSILYQANSSSNPNQNSHSASTSNSNPSALGFSS TSGSLYPNSSSPSGTSLVRQPRNSNVTANSSSNGFPSNDSQMPGFLLNLSKSGLTPNE SNIRTGLTPGILTQSYNYPVLPSINKNTIANSKNVNKSVTVNGSMENHPHVNIMHPTV NGTPLTPGLSSLLNLPSAGVLTNPGYKSTPSTNITDVTVNNSIGNSNFSPNTSTKAAV KMDNPVEFNGIEQSTHNHNENEHLSTQLENNDQFNNKTRKRKRRMSSTSSTSKASRKN SISRKNSTVTTAPAQKDDVENSKISNNVIVDETEEQERKRKEFLERNRVAASKFRKRK KEYIKKIENDLQFYESEYDDLTQVVGKLCGIIPSSSSNSQFNVNMSTPSSSSSSSSSP STSLLSLLESSISRSDYSSAMSVLSNMKQLIYETNFYRRGGKNPRDDMDGREDSFNKD SNVVKNENAGYPSINSRPIILDKKYSLNSGANISKSNTATNNVGNSTQSIINSCYSVP NPLVISANPDTHDTNKHDVLSTLPHNN SPAR_N01510 MGLDQDKIKKRLSQIEIDINQMNQMIDENLQLVEPAEDEPVQDD AENSGMIDVPKAVETAGATLLSINDETSSNLGDAAQFEEQKALQGSTENETNKSVEAP SQPSDTQPVIAKEQINHTTIAIGDSYNSFVANSAGNEKAKDFDTEANEVGTVNVNQNR GDVNVETVENNDDEWEDEKSDVEEEHIDKGTEGNTEVKSLESPMPRNITLWGDNNVDA ELVLDRFSSANNDLDIQPQTISVGSSNGDDHESNHLANQTPQADNLEAFPNRSRGSTP LDSQTKIFIPKKNSKEDGTNINDINSDGHEQKRTTNLERRRPTNPFRVISVSSNSNSR SGSRKSSLNKYDSPVSSPITSASELGSIAKLEKRHDYLSMKCIKLQKEIDYLNKMNAQ GSLSMEDGKRLHRAVVKLQEYLDKKTKEKYEVGVLLSRHLRKQIDRGENGQFWIGTK SPAR_N01520 MDRVRSLIGSRRGRGRNRQHPSYSHNGSPSTVNLLGADGYGDDQ STIFTRENDPLEISANEGDDNADAATLNTVISEGSTIGDLQRQGYVNRAPRFTSERAI PFISVLLQRGFFAFPSEESFQLFLHNKRKLDNIDPKTGLGLPLFHAISLNLVKSLFSD QNTPVMRIYKYVMIDSQCEKPPLNSEVISQINENVSIYKYEFCTILKKMESHNFSSRV EHVFIFHRKNEPDVHIPMINYNQRKNADTTVHGLNLRWYGTTSLASPFGSNSINLLVL DDTMASYMNQRTIEEFDSYSRSRPTRPLGYLPVWARYTDDKVSVIPKKRTLRVATFYL QETDSFDDGSSLTSTNYSEMGSNIIDNVPWDSQILTCMCMLLHEYESRKEKRHTAWGS STTYMLNGPAGLLM SPAR_N01530 MTPTNQSNGTTNASVEVLSEDGPMPINVMMQEGVKALTKILSNQ LQDRQAFQNAPHAMQFVIRNGGKALSNARLEELKDTLPKMDSLSLEDELAKIDGQSTY HIDSAEEKENFGRKIGQIASTNNADFIMDHDLQNILDDDLKDPELNLNGEEAEIIFDY ESQELDTPDGIGEKISQMIESVLPGGFGNEGQGRLRTVTNVDNLDVAEEVTDIDHNTV DIAHLHADSQHSVSSGRHSRSKNSKKNGHVRRHDFYGDSRDHKSCCPHHHYENLSKLR NYYYHDFEYISKTENRVPDFSVLINESSPMCLFCEYYMVFGEPPRNMIKWYNRTFGYN RMPNPSRDEQDSRKRNR SPAR_N01540 MPRVESETYKRLQNDPSCIRNICIVAHVDHGKTSLSDSLLASNG IISQRLAGKIRFLDARPDEQLRGITMESSAISLYFRVLHKQEGSDEPLVSEHLVNLID SPGHIDFSSEVSAASRLCDGAVVLVDVVEGVCSQTITVLRQCWTEKLKPILVLNKIDR LVTELQLTPQEAYIHLSKVIEQVNSVIGSFFANERQLDDLFWREQLEKNENAEYIEKD DSGIYFNPTDNNVIFASAIDGWGFNIGQLAKFYEQKLGAKRENLQKVLWGDFYMDPKT KKIINNKGLKGRSLKPLFTSLILENIWKIYQNIIMSRDSEMVERIAKTLNIKLLPRDL RSKDDKQLLRTIMGQWLPVSTAVLLTVIEKLPSPLESQTDRLDTILVSEADMVAMDPK LLKAMKTCDREGPVSAYISKMLSIPREELPVESKRLASSDELMERSRRAREEALNAAK HAGIVENMAMMDLNDNSKNTSDLYKRAKDTVMTPEVGEQAKPKPSTNNDVFCVVSEPS PALDLGFEYEEDDDADTQDSFGLDFAPTDIDPNDPLSSMFEYEEEDPFLNNIQQTSED MNDEADDIFDEKEECLVAFARIYSGTLRVGQEISVLGPKYDPKHPEEHIETALITHLY LFMGKELVPLDVCPSGNIVGIRGLAGKVLKSGTLIEKGVQGVNLAGVNFNFTPIVRVA VEPANPVEMNKLVRGLKLLDQADPCVHTYVENTGEHILCTAGELHLERCLKDLTERFA GIEITHSEPAIPYRETFLSVSDMNPAQNSQLGRGVHELLLSQYKIIFRAVPLNGKVTG FLSQHQNSIKNILRTSTSNMDPIIETTGNSLLDKKSFLVAFEEAINQDEKSKELLSGF ELKLAGFGPSRVGCNILLSENNLLGSLFEGTPAAFEYSDSVKNGFQLAVSEGPLANEP VQGMCVIVESVHKMSQNEIESIEDPHYQQHIVDLSGRLITSTRDAIHEAFLDWSPRIM WAIYSCDIQTSVDVLGKVYAVILQRHGKIISEEMKEGTPFFQIEAHVPVVEAFGLSED IRKRTSGAAQPQLVFSGFECIDLDPFWVPTTEEELEELGDTADRENIARKHMNAIRRR KGLFIEEKVVENAEKQRTLKKN SPAR_N01550 MSEKPDSQGFCPDCNEKLQKCLIQQNYAIIICPSLTCGYPFNQR EVLENLTYVDDNDVLKVAKKRLSTRSKP SPAR_N01560 MVNVPKTRKTYCKGKTCRKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGFGGQTKPVFHKKAKTTKKVVLRLECVKCKTRAQLTLKRCKHFELGGEKKQKGQ ALQF SPAR_N01570 MYNNSSNHHEGAPTSGQGYYMSQQQDQQHQQQQYANEMNPYQQI PRPPAAGFSSNYMKEQGSHQSLQDHLQRETGNIGSGFTDIPALNYPATPPSHNNYTAP NQMINTPPPSMGGLYRHNNNSQSMVQNGNGSGNTQLPQLSPGQYSIESEYNQNLNGSS SSSPFHQPQTVRSNGSYSSGLRSVKSFQRLQQEQENVQFQQQFPQAQQQNSRQQQQQQ QQLQFQQQQQQQQQQQHMQIQKQQQQQQQSQSPVQSGFNNGTISNYMYFERRPDLLTK GTQDKAAAVKLKIENFYQSSVKYAIERNERRVELETELTSHNWSEERKSRQLSSLGKK ESQFLRLRRTRLSLEDFHTVKVIGKGAFGEVRLVQKKDTGKIYAMKTLLKSEMYKKDQ LAHVKAERDVLAGSDSPWVVSLYYSFQDAQYLYLIMEFLPGGDLMTMLIRWQLFTEDV TRFYMAECILAIETIHKLGFIHRDIKPDNILIDIRGHIKLSDFGLSTGFHKTHDSNYY KKLLQQDEATNGIPKPGTYNANTTDTANKRQTMVVDSISLTMSNRQQIQTWRKSRRLM AYSTVGTPDYIAPEIFLYQGYGQECDWWSLGAIMYECLIGWPPFCSETPQETYRKIMN FEQTLQFPDDIHISYEAEDLIRRLLTHADQRLGRHGGADEIKNHPFFRGVDWNTIRQV EAPYIPKLSSITDTRFFPTDELENVPDSPAMAQAAKQREQMTKQGGSAPIKEDLPFIG YTYSRFDYLTRKNAL SPAR_N01580 MKFQVVLSTLLACSSAVVASPIENLFKYRAVKATHSKVSNSTLP TWNGSNTSNVTYANGTNSTTNSTTSESSQLQIIVTGGQVPITNSSLTHTNYTRLYNSS SALNITELYNVARVVNETIQEKSSSGAIVVTNAKSLEAVSFFFSIIFDTEKPIVVTED SAYAIPVANDKNAAKRGVLSVTSDKLVYSGVFTPPTACSYGAGLPVAIVDDQDEVKWF FDASKPTLISSSSVIRKEYSNFTTPYGLLENGVPIVPIVYDGGYSSSLIDSLSSAVQG LVVVSSGSTNSTSSSIESTEIPVVYAQANTPLNFIDNKDVPKSAVGAGYLSPIKAQIL LSIAAVNGVTSKSALESIFP SPAR_N01590 MSRHQHHRRSYGTERDDNDDYLYQRFLEESETRASREPSPVTEQ SQQELQQDVQQAIDGIFNSLRRNMSSTSNPNRATNTDASTDGNVGINVDTRRATNANT ADSPFIARQQSPLRTFLRNLFILDYFIGLILFPFSVYNILKSGFNSMTFSENDFIVEI VGYWKFAKIFGSGGTTLIAYKDTGKLGLLGKFHNIIVFYSSPIIQHIMKNRDGNEPHL NWLRLIFSKVIELFVKISTILIYLAYGVSGTIYMVTAGFFFILCLLFTVVRRYKGVHR MLVSQRMAGPGVF SPAR_N01600 MARSQDVHWFVAFIIFCTGFVCANTESILYKVPHNFPLSKSGDG SISTKDVNLIPSISLSGEAMSQTTIRANTTDLQLHNTTYIELTGLQRDETYQIKVCWS AIHPISVGDLQTVTIPRFTEFQGTRSDYARILMTFQVLSDSYPSEHAMVPIQVSLITT RLGIPVDIYPTLVLMVLLVAVLVVTRSAHVLNDILLKF SPAR_N01610 MSNENLSPNSSNPDLSKLHNDEGGAIDTSKFSPNEMKLYKMYGK LPSKKDIFKHTMQKRKYFDSGDYALQKAGIQNNDPINYGKNNLPLTNPSKLREDIIRR RISTCPSTASTAGVVDNATLIQKEGSISSGPPSSNNGAIGGSSTSSTPVGNHSSSSSS LYTESPIR SPAR_N01620 MANRREPDPKRSTESICSLTKPQLYSLYDDDVVRSEDNEIYEEL KRSVSIDSGKYSRDQTIDSTFYLGDKVGSSLPRNTISSNNLERILSASSIHENFPSRT RQTRQNVLHYLQAVLILSLSGFAYHELSRNLHDNHLLHPDFASRPLLLGVKLCNWLSN GVLPNWLGYAVEGVLFGSVIPILDNIFQTEVVKSSAHHDSLTSVIRSINAMLGVTFGI RKIQWNSSLQAAGAWGLLNVILWLFFDGSISMLMSCICIGAGCCISCYKDIIDGSQFL YFMDFYFLGSLMFGKLGRYLYSH SPAR_N01630 MSAAIEKETGMLDVGKHCAYCRQLDFLPFHCSFCNEDFCSNHRL KEDHHCRWLLEQEKALKSQKSHSKSQNGSSSNNEAYFKSLLPERGNVRVQRASEKREP LRDSNTAKVGSTLNSKTLDKIFRFFQKNEKKNSNKTSKKNFSSSSNKIIQLANLKKIA KGDSKIPIQNRVYIWCYLVDGDEKDTGKEDARMPIFINKMWPVGRAMDYLSIQLNAKS STVTNSSFGEKFQLCKLREGKPVSFYNIGASSRVTNEIRDLDTLYLVHGNANENSN SPAR_N01640 MSQVQSPLTATNSGLAVNNNTMNSQMPNRSNVRLVNGTLPPSLH VSSNLNHNTGNSSASHSGSQSRDDSTIVGLHYKIGKKIGEGSFGVLFEGTNMINGLPV AIKFEPRKTEAPQLKDEYRTYKILAGTPGIPQAYYFGQEGLHNILVIDLLGPSLEDLF DWCGRRFSVKTVVQVAVQMITLIEDLHAHDLIYRDIKPDNFLIGRPGQPDANKVHLID FGMAKQYRDPKTKQHIPYREKKSLSGTARYMSINTHLGREQSRRDDMEAMGHVFFYFL RGQLPWQGLKAPNNKQKYEKIGEKKRLTNVYDLAQGLPIQFGRYLEIVRNLSFEETPD YEGYRMLLLSVLDDLGETADGQYDWMKLNGGRGWDLSINKKPNLHGYGHPNPPNEKSK RHRSKNHQYSSPDHHHHYNQQQQQQQQQQQAQAQAQAQAQAQAQAQAQAQAQAKVQQQ QQLQQAQAQQQANRYQLQQGDSHYDEEREASKLDPTSYEAYQQQTQQKYAQQQQKQLQ QKSKQFTDTNANGQTNKYAYNAQPTTNGEQNAKNATQDRNSNKSSKGFFSKLGCC SPAR_N01650 MELLPQGQRNNTQVTFEDQQKINEFSKLIMRKDAIAQELTLQRE EKEYLDDVSLEIELIGEDEPVQYKVGDLFIFMKQSKVTAQLEEDAERLDNKIQTLEDK QRDIDSRLDALKAALYAKFGDNINLER SPAR_N01660 MSEEVWNGNQGILSVYVSKARDLPNLNKLDKQNVMLRLRIAHMT RASNTLHRAGQNPVFHYLEKFDITPEIKPLMYVEVYCDRRKKSPLPIGRCEIDLLNAI RADPKEGYCTWYELKRSGDEFAGTIFIELTFTPKVPHLNRDDLNKEMDRLDSSMAMRP IPPLPTESEYDYVHGSTMRQVTPQCASTSSENKPEEKSYSNANIFSMSSKSDTAVSGN GSDPIVLPPTFAASMGTTSTLETNDTAISNTSDTKFHFANLRKLKEKINIFKNPDSSI NNCQNESNKVDIEALQKAIGVTSLSYDDDDDDDEDAHKAFYSSSHRVSHNYNQPPLPP IPTRDDMSNYSHSHNRPLVGRDRTSRLSFSSSSPNPHSSALNSPKLPPLPTTLNSNFS SRKNSVSPTRRRPPPRLS SPAR_N01670 MSSYRGGGRGGGNNYMSNLPFGLGYGDVGKNHITEFPSIPLPIN GPITNKERSLAVKFINFAKTVKDGPFYTGSMNLIIDQQENNKSGKRKSNIILDEDNTN DGIERYSDKYLKKRKIGISIDDHPYNLNLFPNELYNVMGINKRKLLAISKFNNADDVF TGTGLQDENIGLSMLAKLKELAEDVDDASAGDGTTKGTKTGEGDDDDLADDDFEEDED EEDDDDYNAEKYFNNGDDDDYGDEEDPNEEAAF SPAR_N01680 MSEVAETWMETLVAKLINYDYKHFIRLVIIVGGYLLLRNIASRE LAKKQLAAQVEKDKRDQEEKRSKELIDRPDDAATAETTSFGWGKKTRRRVKRQQELFE NALEEAKKRNQGTDPDSDADIEELLDE SPAR_N01690 MVRVVIESELVRTEKQFPQELKINQFKDRLYHITGVEPKDMEIV VKRQHDNKEIFSTKKGGSYSDEDEDANFLKGKEELIVVVTDSNTQSITNQLAMQAEGI PSTQTISEEDYLRRDQSVLRWKMAHGYGRFNAARQSQRAVQSKQDEAYAREQLAAAIG RHCHITVDGSAPREAVLRYVGPLPSGATGTWCGVEFTEAAGKNDGRINGVTLFGPVAP GYGSFVRPRAVEIQPKNEESAEKGNVNGDVESDDEI SPAR_N01700 MHQQQHSKSENKPQQQRKKFEGPKREAILDLAKYKDSKIRVKLM GGKLVIGVLKGYDQLMNLVLDDTVEYMSNPDDENNTELISKNARKLGLTVIRGTILVS LSSAEGSDVLYMQN SPAR_N01710 MSNTKHTTSHHIEFKRIINLALLFIIIALISRNSVYFKTALQEL ISRFYRNNSDSVGNNRGPISIFSENLIDFDDVNMVDKTRLLVFLFFCFIITIPFMV SPAR_N01720 MSSSIFEMTIDHDGMDSGVLKLSQTASSVSMGEEFLCSSNTSTS ILDSPLPKVTFNHIDSITDIDTNVMNEIVRPRSEVDVDMVDNNMLYCIDPYPVQPPCY ESANPSKVIRYPIYEHCRPCLTSVKPPSYTPSVEHYTVVSMKMEKLSPFENATSRLWN NFILQINSTQINFFFIDESLTKHIKNYRGGDIFDPSHHSKNASDRHHSARSLLNAFTT KTTYQFDKYDKERICGEIAQNERRFLSNERLSKSYSLQCAKVGLPIDYSSRDFVLRMR CEGQQFLIQFSHVDELIYWAMYLNMGISLSLDLELREMPTYRSVPRRRHPRTRRLKQH NKNKNKGKGKQSSDRNDSQPHSLLLRRSHTSSVVTKVATAKERPTNKSRSRSLSLLHP PVSDESCDTDGPTNSGSLARESSQGELCGLFTSKLRNFFKTDSSSRKNSNMNIGQKRR SSELNSVQEEIDDNGDTTNTNTSALSPTFSPVAHSVFTLQSSIHENFRSRSSSNPMDP LHCDRSVLKTKIPEPQYEGPRRSSVSNFSERTIREEELNNGGEDEDDDEEDVNYEEDD EDGYVEDDDINRLMYLDERQSHYENANVQYGTIFSTRNFSVANCMNHDFPKRLSLKCG IKRGFSDDSKWAPAAQLVSRKRYIKDSLRCIKPLTEDHPWVGKIIFKPALPPTFETNN PPIRTCGGESSTDLMLVKNHYLKPYIVGSCGFLKTGLKLFHSYGKTNELTNL SPAR_N01730 MSYNFTGTPTGEGTGGNSLTTDLNTQFDLANMGWIGVASAGVWI MVPGIGLLYSGLSRKKHALSLLWASMMASAVCIFQWFFWGYSLAFSHNTRGNGFIGTL EFFGFRNVLGAPSSVSSLPDILFAVYQGMFAAVTGALMLGGACERARLFPMMVFLFLW MTIVYCPIACWVWNAEGWLVKLGSLDYAGGLCVHLTSGHGGLVYALILGKRNDPVTRK GMPKYKPHSVTSVVLGTVFLWFGWMFFNGGSAGNATIRAWYSVMSTNLAAACGGLTWM VIDYFRSGRKWTTVGLCSGIIAGLVGITPAAGFVPIWSAVVIGVVTAAGCNLAVDLKG LLRIDDGLDCYSIHGVGACIGCVLTGIFAADYVNATAGSYISPIDGGWINHHYKQVGY QLAGMCAALAWTVTVTSILLLTMNAIPFLKLRLSADEEELGTDAAQIGEFTYEESTAY IPEPIRSKTSAQMPPPHEHIDDKIVGNTDAEKNSTPSDASSTKNTDHIV SPAR_N01740 MVSLEFLQELPKCEHHLHLEGTLEPDLLFPLAKRNGIVLPEGFP KSVEELNEKYKKFRDLQDFLDYYYIGTNVLIGEQDFFDLAWAYFVKVHKQGLVHAEVF YDPQSHTSRGVSIETVTKGFQRACDRALAEFGITSKLIMCLLRHIEPEECLKTIEEAT PFIKDGTIFALGLDSAEKPFPPNLFVECYQKAASLNRDLKLTAHAGEEGPAQFVSDAL DLLQVTRIDHGINSQHDEELLDRLARDQTMLTICPLSNVKLQVVQSVSELPLQKFLDK DVPFSLNSDDPAYFGGYILDNYIQVSKDFPHWDHETWGRVAKNAIKGSWCDDKRKDDL LSRVDQVVTKYSH SPAR_N01750 MTEQTLLSKLNTLSQEVISSASPKEATVLTEETVRNWSERSKVL CSEFASLESNDEKEDWLRILFIELFDFIYKDDENSPLKLSDIALFIEELVNHGRQASQ ASIVGKMFIAVSSTVPNINDMTTVSLCKLIPSLNKELFKFSWISSKLLNKEQTTLLRH LLKKSKYELKKYNLLVENSVGYGQLVTLLILAYYDPDNFCKVSAYLEEIYHIMGKYSL DSIRALDVILNVSSQFITEGYKFFIALLRNSDFWPFRHVANNSSYSFLNEGGNMIGAN IISFNLSQYDEEVDKENYQRYMDMCCILVKTGFVNFYSIWDNVTPEMEFLQKYTEDLE TELEEESTKGVENPLAMAAALSTENETDEDNALNVGDDDNANDKIFEASNDDIDSKGK QKTPQDILSFGKIKLLERLLIHGCIVPVVHVLQEYPKMLYVSESLSKYFGRVFEYLLN PLYASMTSSGESEDMASALMITHIDNGILAHKPRLIHQYKTHEPFESFELNSSYVFYY SEWNSGLTPFASVDDLFENSHTYLSIIGPYLGRIPTLLSKISRIGVADIQKNHGSEFL QTTVNNWIDYVRKFIFPATSLLQNNPIATSEVYELMKLFPFEKRYFIYNEMMTKLSQD ILPIKVSFNKAEREAKSILKALSIDTIAKESRRFAKLISTNPLASLIPAVKQIENYDK VSELVVYTTKYFNDFAYDVLQFVLLLRLTYNRPAVQFDGVNQAMWVQRLSIFIAGLAK SCPSMDISNIITYILKTLHNGNIIAVSILKELIITVGGIRDLNEVNMKQLLMLNSGSP LKRYARHLIYDFRDDNSVISSRLTSFFSDQNAISEIILLLYTLNLKANTQNSHYKILS TRCDEMNTLLWSFIELIKHCLKGKAFEENVLPFVELTNRFHLSTPWAFHIWRDYLDNQ LNSNENLSIDQLIEGAEFNDVDLTKIPKDLFTTFWRLSLYDIHFDKSLYDERKNALSG ENTDHMSNRKKHLIQNQIKDILVTGISHQRAFKKNSEFISEKSNTWNKDCGEDQIKIF LQNCVVPRVLFSPSDALFSSYFIFTAFSTENLMSMLNTFITSSILKTLLFCCTSSEAG NLGLFFTDVLKKLEKMRLNGDFNDQASRKLYEWHSVITEQIIDLLSEKNYMSIRNGIE FMKHVTNVFPVVKAHIQLVYTTLEENLINEEREDIKLPSSALIGHLKARLKNALELDE FCSLTEEEAKQKKIYEMELEEIKNYETAYQNEQKQVALRKQLELNKSQRLQNDSSKSA ASDGTGISSKERYTYSRNEPVIPTKPSSSQWSYSKVTRHLDDINHYLATNHLQKAISL VENENEAWNLRKLSKQNMPIFDFRNSTLEIFERYFRTLIQNPQNPDFAEKIDALKRHI KNISREPYADTTSSHSETSASEYTKRSSRYGGNAGGKDAYGSSSYRGPSNDRSGPKNS KPISGYAHKRSELPTRPSKSKAYNDRSRPTRPAGPDRGDGFDQRENRSREEYKKNNSQ RPQLRFPEKPFQESKDSSKTTTYQPSTYKRDSPSENEEKPNKRFKKDEGNRNKFQAQD YRNTRDNNTSHRGNENQRYNGNRKSNTQALPQGPKGGNYVSRYQR SPAR_N01770 MPDSKYTMQGYNLVKLLKRLEEATARLEDVTIYQEGYIQNKLEA SKKNESLNSGTDASSTIQASTDDVPEAQQDPKCITAFQSYIAENIDPLVELSGKIDAV VLDALELLKGGFQSQLTFLRAAVRSKKPDYSSQTFADSLRPINENIIKLGQLKESNRQ SKYFAYLSSLSEGAPLFSWVAVDTPVSMVTDFKDAAQFWTNRILKEYRETDPNAVEWV KKFLASFDNLKAYIKEYHTTGVSWKKDGMDFADAMAQSTKNTGAASSPSPTSSTGASA PPPPPPAPPASVFEISNDTPAGGNDANKGGIGAVFAELNQGENITKGLKKVEKSQQTH KNPELRQSSTVSSTGSKSGPPPRPKKPSTLKTKKPPRKELVGNKWFIENYENETESLV IDANKDESIFIGKCSQVLVQIKGKVNAISLSETESCSVVLDSSISGMDVIKSNKFGIQ VNHSLPQISIDKSDGGNIYLSKESLGTEIYTSCSTAINVNLPIGEDDDYVEFPIPEQM KHSFADGKFKSAVFEHAG SPAR_N01780 MPRKANLLKSLARGRVRTSFNKYNLFNLYKKGGVDLKSKSLYQQ KWTAKQETRAYHGEHLTERRWQTAFKPKLDSVAQLDASLRGSEIKETPFLLQTFAVLE KRLDFALFRAMFASSVRQARQFILHGNVRVNGVKIKHPSYTLKPGDIFSVKPDKVLEA LGAKKPSFEEALKIDKTQIVLWNKYVKEAKTDSKEVWERKLENFEKMSDSNPKKLQFQ EFLRQYNKNLELQQYSALKECTQEGILRKLLNIEKQMGKPSNDPLSIDELKKGLPEIQ DRQLLESVNSAYQEFFKSGEIKRETLSKCQPEELTSLVTEMMNPKETTKKELSDGAKS AIRSGKKILAESVKLWTKNITEHFKTRMSDASGGSITFDPKWAKNLKYHDPLKISELE GDEQKARKLINLPWQKNHVYGRQDPKKPFFTPWKPRPFLSPFAILPHHLEISFKTCHA VYLRDPVARPGQSEVISPFDVPVHERAYMYYLRNGK SPAR_N01790 MFCIVERMNSPDKYPVTLLQKETMKLGKVFTAKDIWDKLSQSYN LEKIDEMENTYSLKTTTEGSRNGDGNDDDGEINKETLLELNNRIRVHKQDFTLPWEEY GELILENARKSPNSNEEQTQIEDRDGKDNTTPKKSLSGGLQNEENNEENNEEKNVAIK VKELSECHTEENDSPMDSQRELTAEVQSDEKELQREYASDEEQKTKTTSKTTAPVRKS RRLKRNKEVKFEDEEREEKKEEDTKEEERKEKKEEIQKVKENANEEIGKENNENEEGD DEREKSTSYENTNGSESEEVDEGLEYESEREIEGTGKEPESEGDNLKKKIENKKDSKD KNEPLAKRTRHSSSAGNTSNETSPKRKRRKAGSRKNSPPATRVSSRLRNKK SPAR_N01800 MSEIIEGNVKIDRISPGDGATFPKTGDLVTIHYTGTLENGQKFD SSVDRGSPFQCNIGVGQVIKGWDVGIPKLSVGEKARLTIPGPYAYGPRGFPGLIPPNS TLVFDVELLKVN SPAR_N01810 MSASIPETMKAVVIENGKAVVKQDIPIPELEEGFVLIKTVAVAG NPTDWKHIDYKIGPQGALLGCDAAGQIVKLGPNVDAARFAIGDYIFGVIHGASVRFPS NGAFAEYSAISSETAYKPAREFRLCGKDRLPEGPVKSLEGAVTLPVSLTTAGMILTHS FGLDMKWEPSKAQKDQPILFWGGATAVGQMLIQLAKKLNGFKKIIVVASRKHEKLLKE YGADELFDYHDADVIEQIKKKYNNIPYLVDCVSNTETIQQVYKCAADDLDATVVQLTV LTEKDIKEEDRRQNVTIEGTLLYLIGGNDVPFGTFTLPADPEYKEAAIKFIKFINPKI NDGGIHHIPVKVYKNGLDDIPQLLDDIKHGRNSGEKLVAVLK SPAR_N01820 MSSTSDSTTNDTRGKKPLKFVSEGVGNIEAQKIREQVEQKKYEA EYKRKTRKSLRDQLRSNAISKQKQYNGLVRNRESFTRLTKEDLEFYQTSKDELLKKEK ELDGYLNAKAINFERKKKALIMEEDSTSNEENRPEPGTSLGSKTKIKGVKPYSLKPKI KVSIKKLTEMKKPKK SPAR_N01830 MAKKAIDSRIPSLIRNGVQTKQRSIFVIVGDRARNQLPNLHYLM MSADLKMNKSVLWAYKKKLLGFTSHRKKRENKIKKEIKRGTREVNEMDPFESFISNQN IRYVYYKESEKILGNTYGMCILQDFEALTPNLLARTIETVEGGGIVVILLKSMSSLKQ LYTMTMDVHARYRTEAHGDVVARFNERFILSLGSNPNCLVVDDELNVLPLSGAKNVKP LPPKDDDELSPKQLELRELKESLEDVQPAGSLVSLSKTVNQAHAILSFIDAISEKTLN FTVALTAGRGRGKSAALGISIAAAVSHGYSNIFVTSPSPENLKTLFEFIFKGFDALGY QEHIDYDIIQSTNPDFNKAIVRVDIKRDHRQTIQYIVPQDYQVLGQAELVVIDEAAAI PLPIVKNLLGPYLVFMASTINGYEGTGRSLSLKLIQQLRNQNNTSGREGTQTAIVSRD NKEKDSHLHAQSRQLREISLDEPIRYAPGDPIEKWLNKLLCLDVTLIKNPRFATRGTP HPSQCNLFVVNRDTLFSYHPVSENFLEKMMALYVSSHYKNSPNDLQLMSDAPAHKLFV LLPPIDPKDGGRIPDPLCVIQIALEGEISKESVRNSLSRGQRAGGDLIPWLISQQFQD EEFASLSGARIVRIATNPEYASMGYGSRAIELLRDYFEGKFTDMSEDARPKNYSIKRV NDKELAKSNLLKDDVKLRDARTLPPLLLKLSELAPHYLHYLGVSYGLTQSLHKFWKNN KFVPVYLRQTPNDLTGEHTCVMLNVLEGREPNWLVEFAKDFRKRFLSLLSYDFHKFTA VQALSVIESSKKAQDLSDDEKYENKELTRTQLDDIFSPFDLKRLDSYSNNLLDYHVIV DMIPMLALLYFGDKMGDSVKLSSVQSAILLAIGLQRKNIDSIAKELNLPSNQTIAMFA KIMRKFSQYFRQLLSQSIEDTLPDVKDEAIAEMNGEEIKNYNAAEALDQMEEDLEEAG SEAIQAMREKQKELINSLNLNKYAINDNSEEWAESQKSLEKAAKAKGVVSLKTGKKRT TEKAEDIYRQEMKAMKKPRKSKKAAN SPAR_N01840 MVELTEIKDDVVQVDEPQLSGNQAIVEEKASATNNDVMDDEDDS DSDFEDEFDENETFLDRIVALKDIVSPGKRQTISNFFGFTSSFVKNAFTKSGNLAWTL TTTALLLGVPLSLSILAEQQLIEMEKTFDLQSDANNILAQGEKEASATAN SPAR_N01850 MGFFIPQSSLGNLKLYKYQSDDRSFLSNHVFRPFWRKFATIFPL WMAPNLVTLLGFCFIIFNVLTTLYYDPYFDQESPRWTYFSYAIGLFLYQTFDACDGMH ARRTGQQGPLGELFDHCIDSINTTLSMIPVCSMTGMGYTYMTIFSQFAILCSFYLSTW EEYHTHKLYLAEFCGPVEGIIVLCISFIAVGIYGPQVIWHTKVAQFSWQDFVFEIETV HLMYAFCTGALIFNIITAHANVVKYYESQPDKSTTPGKTAENISKAVNGLIPFMAYFS SIFTLVLIQPSFISLALILSIGFSVAFVVGRMIIAHLTMQPFPMVNFPFLIPTIQLFL YAFMVYVLDYQKESIVSALVWMGLGLTLAIHGMFINDIIHDITTFLDIYALSIKHPKE I SPAR_N01860 MTSKKVILVALSGCSSSGKTTIAKLTASLFRKATLIHEDDFYKH DNDVPVDAKYNIQNWDSPEALDFKLFGKELDVIKQTGKIATKLIHNNNVDDPFTKFHI DKQVWDELKAKYDSIDDGKYEVVIVDGFMIFNNTEISKKFDLKILVRAPYEVLKKRRA SRKGYQTLDSFWVDPPYYFDEFVYKSYRENHAQLFVNGDVEGVLDPRKSKNIKEFIND DDTPIAKPLKWVCQEISKLCKD SPAR_N01870 MSEGESELEMERGFLKWKSVNLMKKVVSLPMKKTKNDIGLRLDI SYILVNLIVCSYPVNTYPKLLYRNSLDDLILFLTVYHGKGNFRIFNFRAEKEDTDYKD KDLIDIAAKYESRDIQIQELRSTLINDGKIPISPIDLETRTLVEEETNNVICERIGWL DHFPPPFELLEDIVDSIENYLSASKNRVAVLHCRMGKGRSGMITIAYLMKYLQCPLGE ARLIFMQARFKYGMTNGVTIPSQLRYLRYHEFFITHEKAAQEGISNEAVEFKFKFRLA KMTFLHPSSLITSESAIVTTKIQHYNDDRDVLLTRKVLLSDIMTHECDGNMTFIFDRD YLTLENDLRIEFTLGTSKSKAASSIISWTSYASCWLNVYLETLMHIIKDDSSPDYFQV ERLKKDEMLTATINWQELDGFGELSTHGLKLFQALKLEWEII SPAR_N01880 MNPSGRSHSKGPILRSVSLEDLKRNSSFKSNLKYKDEVTSHKEP QVGTLSNEELLKDLDNMLRGKLNMGRNSFHTDKRNKSDGNISALTFKARSGLEGDTRT IDVQQDGNDDGENFKLNDDSANKDRNGEKDSNTDNAVEFQDDAEEAEEENEDESFANV DELDGFDLNKASDGKHVPINEKGEVDYNMPVDKEFQKSLDQCAASLEERSSAPYALQR AVDWELKMFYSLEDELSEWFCSSDYMHFGQTQTLFKQKVNHPQLFFDDENYAAGVVGR LIEDMPNSLSSNLLALSYISMGCFAYTSNKSEHAKIIRRNNLMFVPRIQEIVHAFKKI AISCRDDNKNLKKQTILLFHSSTILYFISSICIEGREENSEAVDSVINSFDRTDLLEF LTKYIENWRWNSRLAMRIRNMVLLLFKLIVLQFGDSSVYKQTKSSVYKLHGLIYPSKH PEKLSISPLHYQAFREDITSRFPDYNMPTSGLPKDVDKSESLSQFLEIPRPKSKNPLN MTLNVPEKHIATPAPSPPNSPQLMHLSEGPRPRKSFQTNMAYPCLYPSDNEGPEDDSL ENRVDSNLERKPDNDIVIPFSTEEAARILSESLEIKLSTKQLWYERDLFMITERGWKQ QLENEPYDYSTFNYDASSSKKEKSAIYIMQRIDKYYKSCLSSFNSLVFVLLQTMESSL TNNFHRKSEVSDKNLLNMLAPQLEIVRAKELSLKSAAGILHALLKWFKLSHILKFEHL AVVIHDSRYINTCASILSKYSEVYPERVFNKYVLTPNSFWKECSLSNESYRECYSVDD SGEVNTEILPSFAYLLRILRKITGNKTQRLKELPLSIGILFKRYYRLFNLDMYHPILK ITRELTPFKNKRWKSEHMELISGVYLFEKLELTDNWVTGKDISGELSDACGQEIALRA LLQFYNFQHYEISMEDLGYGHRNSSSQDLLNKESEYLNI SPAR_N01890 MELEPSLFGIIEALAPQLLSQTHLRTFVSDVVNLLRSSAKSATQ LSPLIDFYKLQSLDSPEVTIMWHKIEKFLDALFGIQNTDDMVKYLSVFQSLLPPNDRA KISHTSNGLNKENLTNHEHLLSPARAPSIYTEASFENMDRFSERRSMVSSPNRYIPSS TYSSVTLRQLSNPYYVNTIPEEDILKYVSYTLLATTSALFPFDHEQIQIPSKIPNFES GLLHLIFEAGLLYQSLGHKVEKFRMLNISPMKKALIIEISEELQNYTAFVNNLVSSGS VVSLKSLYREIYENVIELRIYCRFTEHFEELSGDTFLIELNIFKSHGDLTIRKIATNL FNSMISLYYEYLMNWLTKGLLRATYGEFFITENTGKNGTDDDFVYHIPIEFDQERVPA FIPKELAYKIFMVGKSYIFLEKYCKEVQWTNEFSKKYHVLYQSNSYRGISTNFFEIIK DQYSEIVDYTNHVLNQKFHYRDVVFTLKNILLMGRSDFMDALIEKASDILATPSDSLP NHKLTRFLQEAVQLSSLRHLMNRSGNSSVINGLDARVLDLGHGSVGWDVFTLDYILYP PLSLVLNVNRPFGRKEYLRIFNFLWRFKKNNYFYQKEMLKSNDIIRSFKKIRGYNPLI RDIINKLSRISILRTQFQQFNSKMESYYLNCIIEENFKEMTGKLHRTENKRQTQFDLI RLKDGTIELNGILPPKVDVLANSSGSNPQRHRVGKRLNIDELESAHNAFLVNILSHKL FATNASEINVGDYSGQPYPTSLVLLLNSVYEFINVYCTLNEIAYEIFIKMNLNDHEAS NGLLGNLNTILKEAVDQYKNFKDRLYIFRADLKNDGDEELFLLSKSLR SPAR_N01900 MSTQSNDYVSASSGMASEISSEVSSINSSQLASYSKASITGPVP RSDLHSIKSNDGSRKLSISRTLTNRLNDIKKAVDDDNMQTEETSADVNKILESRFDVA DAIRLHHNESVQSKLNIPATHTTTGGASSSTQSSASSIQNDITGHKASMDSKLMRNRV YPASTKGSGKDLEAQGISEFEPDEPTVKRVFTNKSTGQLELPPDGGYGWVVAFCVFLT MFSTWGCNASFGVDLAYYLNHDTYPGASKYDYALIAGLTVFLGQILSPLVMALMRIIG LRTTMLFGDAVMLAAYLLASFTTKLWQLYLTQGFMIGCSISLIFIPATTVLPGWFLKK RAVAMGVSLLGTGAGGVVYGLATNKMLSDFGNTRWCLRIIGISCSISVLVAIALLKER NPTPPVGLKSPRAMFEQLKAMFSLKVITKPFVILIALWFMFALFAYNLMVFTLSSYAI SKGLSSHDATTLTAILNGSQSIGRPLMGLAGDKFGRANVTIVLTTLLTIYMFAFWIPA HTFVQLIFFSILVGSCVGVANVMNTVLIADMVKPEEFLPAWAFVNYCGAPFLLVCEVI AQALTVEKDKSNPYLHAQIFCGCCFIAALILISILREYSIRMKLTERQAMTDEKLKEW KASEYDTDSAEEDWDKLRERKNKYDILLGPGTKKYFLRMVYPMKV SPAR_N01910 MSDDLFSKALENPDQDLNVELPKDDVDLGLLGDGGNEKKGDEPV ADAVKSVSGSSDSDSDSDFDSSGSEDDSADQDVEGEDEDEDVVENEDEDEDPSPSGPI LSKNEILEETVPELPEDYEISEKTIITPIGVLKSAFENNIIVHATLSGEKRVLKEGSI FCLEDRTLIGMLTEVFGPLQNPFYRIKLPDSKKDIFNELKVRLGEKAYIVTPDAHWID TFELKRNKGTDASNGYDEELPEEEQEFSDDEKEALFKKMKKQQQQQRKKRDNRKQTND TDNVKVKKARQPKPNNLPKLVPPMGMGMGSNAPLQHGYKSRNARENTKRESSTTSNRN RSPPVPMIQQQQHFPVNNYPYPPQPNSMPYPPYPSFPPPSNFQYPPPPFGQVPPAQFS NTIPYGSASPAYNNMPPPTQPPFMPMAQSQTPLPYGVPPMGQMQNPMYIQPPPQMPPQ MPPQGNGNFQQVMELHQILLQQQQQQQQQQQQQQQQQHQHQQGPRT SPAR_N01920 MTISLSNIKKRDHAKISGGISSETSLVKRKQLESATEEEEEEYT DHEIIIEPLHFANNNNTVLTDSENYLRWQNTISNVVKSVVSIHFSQVAPFDCDSALVS EATGFVVDAKLGIILTNRHVVGPGPFVGYVVFDNHEECDVIPIYRDPVHDFGFLKFDP KKIKYSKIEALTLKPSLAKVGSEIRVVGNDAGEKLSILAGFISRIDRNAPEYGELTYN DFNTEYIQAAASASGGSSGSPVVNIDGYAVALQAGGSTEASTDFFLPLDRILRALICI QTNKPITRGTIQVQWLLKPYDECRRLGLTSERESEARAKFPENIGLLVAETVLREGPG YDKIKEGDTLISISDETISSFMQVDKIQDENVGKEIQLVIQRGGVEHTIICKVGDLHA ITPHRYVEVCGATFHELSYQMARFYALPVKGVFLSSASGSFNFDSKERVGWIVDSIDN KETPDLDTFIEIMKTIPDRKRVTVRYHHLTDQHSPLVTSIYIDRHWCNEFRVYTRNDT TGVWDYRNVADPLPADALKPRSAKIIPIPVSNEKVAKLSSSLCTVATMAAVPLDSLSA DILKTSGLIIDAEKGYVLVSRRVVPHDCLDTFVTIADSLVVPATVEFLHPTHNFAIVK YDPKLVKAPLITPKLSTTRMKRGDKLQFIGFTQNDRIVTSETTVSDISSVSIPSNLIP RYRATNLEAISIDCNVSTRCNSGILTDSDGTVRGLWLPFLGERLENKEKVYLMGLDIM DCKEVIDILKNGGKPRVSIVDAGFGSISVLQARIRGVPEEWIMRMEHESNNRLQFITV SRVSYTEDNIHLETGDVILSVDGKLVTEMNDLNGVVSSADGILPPAILNFKVVRDGNI VDLKIKTLEVQETDHFVIFAGGILQKPHHAVLQAMVDVPKGVYCTFRGESSPALQYGI SATNFITHVNEIETPNLDAFLKVVKTIPDNSYCKMRLMTFDNVPFAISLKTNYHYFPT AELKRNNITHKWIEKEFTGNNQSEK SPAR_N01930 MSVFNVLNPLFKGPNSFKAKFNDFLFNSVSTIPIRTLMKTHKGT AKRWRRTGNTFKRGIAGRKHGNIGWSHRSLKALTGRKFAHPGYLKHLKRLLPYH SPAR_N01940 MKSFISRNKTAILATVAATGTAIGAYYYYSQLQQQEQRGKKNTT TKDEKKDAKDSQKKTEGAKKSTAPPSPPIYPVSSNGEPDFSNKANFTAEEKDKYALAL KDKGNQYFRNKKYDDAIKYYNWALELKEDPVFYSNLSACYVSVGDLKKVVEMSTKALE LKPDYSKVLLRRASANEGLGNFADAMFDLSVLSLNGDFNDASIEPMLERNLNKQAMSK LKEKFGDIDTATASPTELSTQPPKERKDKQESLPSVTSMASFFGIFKPELTFANYDES NEADNELVNGLSNLYKRSPESYDKADESFTKAAKLFEEQLDKNNGDEKLKEKLAISLE HTGIFKFLKNDPLGAHEDIKKAIELFPRVNSYIYMALIMADRNDSTEYYNYFDKALKL DSNNSSVYYHRGQMNFILQNYDQAGKDFDKAKELDPENIFPYIQLACLAYRENKFDDC ETLFSEAKRKFPEAPEVPNFFAEILTDKNDFDKALKQYDLAIELENKLDGIYVGIAPL VGKATLLTRNPTVENFIEATNLLEKASKLDPRSEQAKIGLAQMKLQQEDIDEAITLFE ESADLARTMEEKLQAITFAEAAKVQQRIRSDPVLAKKIQETLAKLREQGLM SPAR_N01950 MECQRCPVSGRSLATVESRKEKFCDECFIKFVSTKQRKQMMRDE YFRNLFKVIYPLGKEGSVSKILLPLSLSDSGSLVMLDIVHDLLLEQTEQHNNRTGFTV DILTVFTEENVSVIKEHMGSLINEKMLQLNEISNVFNVHFIDVNEFFNNMSEVSTLIV DDENFEIFSQSKVVGDNNELTLREILSAYCLNNSSRADLISIIKTQLIKHFAYENGHD AIMWGHSMTKLSEVIISLVVKGKGSQVATFLDSESFDTLNNKPCKYKNLYPMKDLLSV EIESFLQIKNLAKFLINVQEANVKPNCLIARRSLPSLSQQKLVKNMTINEITTKYFQD IQNDYSNIISTVLRTADKLTPPKSSLAKPSQCQICQSKIYTNPSNWLNRITVTSPYPV ETNEEKYLFKQWQDSKLGQSHTHYVDLLNEIKQDSSNSLDVDGGDVKLCYGCLILLNT SIKDKNLVWPKVGTMDSMANATNNNKELSQILDQFEINSDGEE SPAR_N01960 MSLPLRHALENVTSVDRILEDLLVRFIINCPNEDLSSVERELFH FEEASWFYTDFIKLMNPTLPSLKIKSFAQLIIKLCPLVWKWDIKVDEALQQFSKYKKS IPVRGAAIFNENLSKILLVQGTESDSWSFPRGKISKDENDIDCCIREVKEEIGFDLTD YIDENQFIERNIQGKNYKIFLISGVSEIFNFKPQVRNEIDKIEWFDFKKISKTMYKSN IKYYLINSMMRPLSMWLRHQRQIRNEDQLKSYAEEQLKLLLGITKEEQIDPGRELLNM LHTAVQANSNNDVASNGQVPSSQELQHSKEQSEEQNQQKGPQLPFSPQKQPSVFPSLS EPFANNKNVIPPTMPMANAFLSNPQLFATMNGQPFAPFPFMLPLTNNSNGANPLPTQV PPNFNAPPNPMAFAVPNMHNLSGPAVSQPFSLPAAPLPTGSGYSSSSPGQLLDLLNSK KPEGNVQSNKKPKLKILQRGTDLNSIKQNNVDESTHSNSQALLDLLRKPTLPQKTHTS TVESSLLSNDSVSDIQQAEYEDFESSSDEDMGTTKDERNSSDTDIEVNIIPSEKNSRT RQKEKPRNDANKFSPSARVESNIIEWRPSKSSPSSQGKQDSSVGIHKPYGQETHISDS DAYEAFESSSDEEDGKKLEELEQNQDNSKLISQEILKENNFQDGEVPHRDIPSDSNKS INETAGFSSTTNTVKKTPKVKILKRGETFASLVNDKKKFDSSSNISSSKDLLQMLRNP VSSTVSSNQQSPKSQHLSGDEEIMMMLKRNSVSKPQNIEDNPSAFTNKSDANAGELLG MLKQKDKDIVSSKQPYNVDSYMEKNPAKGLLNILKKNDSTGQPRTEDRLSNELSTSMK YNGVTENQESNKSSTGLPNFLKPKPLNDGYGKTSDEDSSHELLNILHGNKNGSTFNSS VYSRPTEISTAGTGGYSMMPNNNENSSNKLLSMLQNRSSTISESNLDVRSNGTSGSNE LLSILHGK SPAR_N01970 MVKVSLDNVKLLVDVDKEPFFKPSSTTVGDILTKDALEFIVLLH RTFNNKRKQLLENRQVVQKKLDSGSYHLDFLPETANIRNDPTWQGPILAPGLINRSTE ITGPPLRNMLINALNAPVKTYMTDFEDSASPTWNNMVYGQVNLYDAIRNQINFDTPRK SYKLNGNVADLPTIIVRPRGWHMVEKHLYVDDEPISASIFDFGLYFYHNAKELIRLGK GPYFYLPKMEHHLEAKLWNDIFCVAQDYIGIPRGTIRATVLIETLPAAFQMEEIVYQL RQHSSGLNCGRWDYIFSTIKRLRNDPNHILPNRDQVTMTSPFMDAYVKRLINTCHRRG VHAMGGMAAQIPIKDDPVANEKAMTKVRNDKIRELTNGHDGSWVAHPALAPICNEVFI NMGTPNQIYFIPENVVTAANLLETKIPNGEITTEGIVQNLDIGLQYMEAWLRGSGCVP INNLMEDAATAEVSRCQLYQWVKHGVTLKDTGEKVTPELTEKILKEQVEKLSKASPLG DKNKFALAAKYFLPEIRGEKFSEFLTTLLYDEIVTTKATATDLSKL SPAR_N01980 MYTPIPANTPAPTAPTSSMTSNSSSASNANTTSSSSMNTRNRAS GSSTNERARPSSGISSFLNTFGIRQNNQAASSSAAPDQRLFGTTPSNSHMSVAMESSD MAPPQQEPRLHHPIQMPPSAQFHVHRNYQLPISISLTAPTLTDQHQHQHHQQQSSQNF EGSNIGNFQEPVSQRQPNNTNNTTTSIISMTPAATTRNNTGFIAAGTEGSTAVITNSQ EMYKNLRHLIYAANQPNGTEILHLDLPATSAEDSSNVTNVDEVTLKQRKDKHGLFSIR LTPFIDSSSTTNQGLFFEPIIRKAGPGSQLVIGRYTERVRDAISKIPEQYHPVVFKSK VVSRTHGCFKVDSQGNWYIKDVKSSSGTFLNHQRLSPASSLSKDTLLHDGDILQLGMD FRGGTEEIYRCVRMRIELNRSWKLKANSFNKEALQRLQNLQKLTTGVEEEDCSICLCK IKPCQAIFISPCAHSWHFRCVRRLVMLSYPQFVCPNCRSSCDLEASFESSDDEDESDV ESEGDQLVDQLSVLMETSKDVDNHP SPAR_N01990 MNANSLDSDPARTPKQRTDIDNEHPEAQPLLNNNHRTLGGGSAN DPTLNESRDIESDGFIKDSLFQIRKGYRIFIHNSKWILNILILVNTIWLVTTLISDFF FNINILFGFSNRYASFNDLTLIFISIIANSFNLWFNKLGLYSALDYSLNVTLCVLTLF NLALTYLIKYTRQRIGFVGTFTYLWTSFSFFIGAILDWYLLFYNNSINEPLEERRIDD ATTTTFNDNHTNSAENRDRGQYGSSSPAPTHRSQLVQNKHTLTEWVSIGFRNTIKFFI LIFFALFTLNTLLTTLDTYRLTHKLPITVQSPSYEAFHYVDAAKTYQLHITCYGDVFD QENNTDSVGKDKKKQPIILFEHGGYDTGYLSATWIEELYHLDKIQRYCLYDRPGYGLS DSPPAPISIAMVAESLRYALIKDAKIKGPFTTVGYDLGGLFTRVFTAKNVDIVDSMML VESWHEELLLKNYIQRLLPPGRGDGDDGDGDDGDDRDGRNHDKTWLPSEIERHNEFRL WWKGIWSSLGWRLQTSWLLAHHGSKERIYGRDMKYQGRFLRSKFLESVTSSILSYRDV TNNAESLQNVKTSIVSSKEMVKKSALWGDWQRDLTKISHKTQEWKIVDGGHEIYKYGL GKQQTQEVLLRLIGELGKLTQD SPAR_N02000 MTEDIEQKKIAAEVTPQEPKHIQEDQDVDMTGDEEQEEEPDREK IKLLTQATSEDGTSASFQIVEEDHTLGNALRYVIMKNPDVEFCGYSIPHPSENLLNIR IQTYGETTAVDALQKGLKDLMDLCDVVESKFTEKIKSM SPAR_N02010 MTYGGRDQQYNKTNYNSRGGDFRGGRSSDRNSYNDRPQGGNYRG GFGGRSNYNQPQELIKPNWDEELPKLPTFEKNFYVEHESVRDRSDSEIAQFRKENEMT ISGHDIPKPITTFDEAGFPDYVLSEVKAEGFDKPTGIQCQGWPMALSGRDMVGIAATG SGKTLSYCLPGIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQTECSKFGHSSRIRNT CVYGGVPKSQQIRDLSRGSEIVIATPGRLIDMLEIGKTNLKRVTYLVLDEADRMLDMG FEPQIRKIVDQIRPDRQTLMWSATWPKEVKQLAADYLNDPIQVQVGSLELSASHNITQ IVEVLSDFEKRDRLNKYLETASQDNEYKTLIFASTKRMCDDITKYLREDGWPALAIHG DKDQRERDWVLQEFRNGRSPIMVATDVAARGIDVKGINYVINYDMPGNIEDYVHRIGR TGRAGATGTAISFFTEQNKGLGAKLISIMREANQNIPPELLKYDRRSYGGGHPRYGGG RGGRGGYGRRGGYGGGRGGYGGNRQRDGGWGNRGRSNY SPAR_N02020 MPKVYSYQEVAEHNGPENFWIIIDDKVYDVSQFKDEHPGGDEII MDLGGQDATESFVDIGHSDEALRLLKDLYIGDVDKTSEPVSVEKMSTSENQSKGSGTL VVILAILMLGVAYYLLNE SPAR_N02030 MVKSAGKTSTKEAVIKKSTEEKPIQEKEELQLETSSSSSDEEDE KDEDEIEGLAASDDEQNGMHKIKRLNPKKQADEKKSKDKKKLDEYSGIIYVSRLPHGF HEKELSKYFAQFGDLKEVRLARNKKTGNSRHYGFLEFVNKEDAMIAQESMNNYLLMGH LLQVRLLPKGAKIEKLYKYKKRVLVEKGITKPVKQLKENMKQKHEERIKQLANSGIEF KW SPAR_N02040 MAIENIYIARHGYRSNWLPKGPYPPPPTGIDNDVPLSEHGVEQA HELANYISKLDVKPELIFSSPFYRCLETSRPTVEALKIPLYVDRGVGEWYKPDRPIIP EPATHEVMSNFFPAMINPDWEPSIIPSNKGETEEDIFERCHKFWPVFIERVEKKFPDV KTIMIVTHAATKSALGMNLLKFPNAKEPIDDKGTFIRNGSCAIDKFELVEGGDESKPF EKRKWELTMNGNTSFLTNGEEMNWTFMNAFEAGSDADIKARRAAESGKLKME SPAR_N02050 MAPTVSKRIKTLSVSRPIIYGNTAKKMGSVKPPNAPAEHTHLWS IFVRGPQNEDISYFIKKVVFKLHDTYPNPVRSIEAPPFELTETGWGEFDINIKIYFVE EANEKILNFYHRLRLHPYTNLVPSSNNGNEQNTTDHNSKDAEVSSIYFDEIVFNEPNE EFFKILMSRPGNVLPSNKTDSCVYSKQLEQEEIDRIEIGIEKIDKEIDELRQKLESLV KEEAINGS SPAR_N02060 MKILLSKQQTRRIAIVSETHGLVFRPIDSKNSRRSTCAVELVPK VDLNGHGFRRLSSHEIYGFIGLIEIEGLIFIATITGKSKVAQPIPNKTVNKIYAVDFF CLNNSKWDFMDIDSSGYPIITNDGDFASSSRPNISTHSSRSSLHSSSSRNLSAQDQVP KHPCHELKKLLSNGSFYYSTDFDLTCTLQKRGFTEHSLSFDDFDREFMWNSFLMNEII TYRDRLDVATKELLDQQGFLTTVIRGFAETIFSYINRLKVGLTIISRQSWKRAGTRFN ARGIDDEGHVANFVETEMIMYSSQYCYAFTQIRGSIPIFWEQDTSLISPKIQITRSVE ATQPTFDEHFMRLFKKYGPVHIINLLSTKSSEVQLSRRYKEQLKNSKKMKVGRDVFLT GFDFHRETSQDGFAAASRIIPKIRNTILAAGYFSYDVKERRLISEQDGVFRTNCLDCL DRTNLIQQTISLTVFKLFLEDFRLIKPNGFIDDNEFVQKENVLWADHGDQISQIYTGT NALKSSYSRKGKMSFSGALSDATKSVSRMYINNFVDKGKQQNIDTLLGKLPHQQVVEL YDPICEYVNEKLLESEEKFTTHSNINLLVGTFNVNGNSRRADLSEWLFPIGDKFKPDV VVLGLQEVIELTAGSILNADYTKSSFWETMVTDCLNQYEEKYLLLRVEQMSSLLILFF VRSDRAYNVKQVGGSTKKTGFGGITGNKGAVAIRFDYGATSFCFVNTHLSAGASNIDE RRHDYNNIYRNITFPRSKTIPHHDSLFWLGDLNYRITLTNDEVRRELRAQKDGYIDRL LQYDQLTQEINEGVVFQGFKEPTLQFRPTYKYDYGTDNYDTSEKARTPSWTDRIIYKG ENLHPLAYSDAPLKMSDHKPVYAAYKANVKFINEDKKLNLVEKLYAEYKNTHPEGSIT GFDELPRARLETQKESITLDATVQSAGIKLIDLDDTSLCVSPLLSAPRSQSSIVGPGG SNTNAPYDKSKLNVLPPPPPTSRHNKEPSLKPPTPIEEIPIVSVSPYRGESSLPAIER HATPKPLPPVPASSRPAYSPKSSSECQRSKETVGKKKIVSPPCTPSRRKVSATLDETA ASTKNSRVSSRVTTTEDTQPLKAPTKPEKPPVVKKPEHLSAVVTKLDTTQEHTIKVSS PSSKSDEELIFKKKSKPKVPAKNPELEKLSVDSLKPHDPN SPAR_N02070 MVKQSIIALAEHAVSRASRVIPPVKLAYKNMLKDPSSKYKPFNA PKLTNRKWPDNRITKAPRWLSTDLRDGNQSLPDPMSVEQKKEYFHKLVNIGFKEIEVS FPSASQTDFDFTRYAVENAPDDVSIQCLVQSREHLIKRTVEALTGAKKATIHTYLATS DMFREIVFNMSREEAISKAVEATKLVRKLTKDDPSQQATRWSYEFSPECFSDTPGEFA VEICEAVKKAWEPTEENPIIFNLPATVEVASPNVYADQIEYFATHITEREKVCISTHC HNDRGCGVAATELGMLAGADRVEGCLFGNGERTGNVDLVTVAMNMYTQGVPPNLDFSD LTSVLDVVERCNKIPVSQRAPYGGDLVVCAFSGSHQDAIKKGFNLQNKKRAQGVTQWR IPYLPLDPKDIGRDYEAVIRVNSQSGKGGAAWVILRSLGLDLPRNMQIEFSSAVQDHA DSLGRELKSDEISKLFKEAYNYNDEQYQTISLVNYNVEKFGTERRVFTGQVKVGDEIV DIEGTGNGPISSLVDALSNLLNVRFAVANYTEHSLGSGSSTQAASYIHLSYRRNADNE KAYKWGVGVSEDVGDSSVRAIFATINNVIHSGDVSIPSLAKAEGKSSAASGSA SPAR_N02080 MKQEQSREGDSYSTEFINLFGKETATHASNNNGANNNGMGSATT LDQFVATASSSSSLVGNSENRRPLVGDVSSRGNTNLYDHAVTPEILLEQLAYVDNFIP SLDNEFSNVDWNVNTTHNNANNNGTDAFSSINANPFDLDEQLAIELSAFADDSFIFPD EDKPSNNNNNNNNNNNNNGNNDNNGHDVLHEDTSDSNRQRNPHFLTQRRNTFLTSQYD QSKSRFSSRNKRNGNNGETNSFDNNGQNSRNFEPNFIENPPQFPVDTVDMTSIDHGAF TNVDITSTENNTHGDNEVDALSHLLHRTTHTPNRSSPLSNITSAQNSSLQQRKQSDSK VDSINDNNSSNKDPNITVPDYSIIPTSVLVTLLPRVNVPKGAYDSLTTAGFDNDQIDA IAAIMAYHHQKKIRESNNNNNNNNVNANANQEAPILKNINELLSVLIPPSSTDTTAPS ALSTSPSSFNEHGVVTEASFLSSILELGIKNPKSNNIHNQRQSSRNNHKISRQENGSN LNDNVNNNNAVIKSNTTRGDEIAKIRSEPTLNAASSAHKENSLKRSHSGDLKDKKAPV NRKYSDNEDDEYDDADLHGHGKKQLVKKELGDDDEDLLIQSKKSHQKKKLKEKELESS IHELTEIAASLQKRIHTLETENKLLKNLVLSSGETEGIKKAERLKKQIFEKVQKE SPAR_N02090 MSSKSEKLEKLRKLQAARNGTSIDDYESDGDRLYDEIDEKEYRA RKRQELLHDDFVVDDDGVGYVDRGVEEDWREIGNSSSDEDAGNPTGKDAKRKKTVKRE KDHQITDMLRTQHSKSTLLAHAKKSQKKSIPIDNFDDILGEFESGEVEKPNILLPTKL RENLNSSPTSEFKSSIKRVNEKDNSNPDAGISKRVKIDPDSSTDKYLEIESSPLKLQS RKLRYANDVQDLLDDVENSPVVTTKRQKVLEDSLLANPPSAQSLADEDEDDAEDSDED IIPKRRTMRSVTTTRRVNIDSRSNPSTSPFVTAPGTPIGTKGLTPSKSSQVNTDAATL AVSVKKEDVVDPETDTFQMFWLDYCEVNNTLILFGKVKLKDDKCVSAMVQINGLCREL FFLPREGKTPADIHEEIIPLLMDKYGLDNIRAKPQKMKYSFELPDIPSESEYLKVLLP YQTPKSSRDTIPSDLSSDTFYHVFGGNSNIFESFVIQNRIMGPCWLDIKGADFNSIRN ASHCAVEVSVDKPQSITPTATKTMPDLRCLSLSIQTLMNPKENKQEIVSITLSSYRNI SLDSPIPENIKPDDLCTLVRPPQSTSFPLGLAALAKKKLPGRVRLFNNEKAMLSCFCA MLKVEDPDVIIGHRLQNVYLDVLAHRMHDLSIPTFSSIGRRLRRTWPEKFGRGNSNMN HFFISDICSGRLICDIANEMGQSLTPKCQSWDLSEMYQVTCEKEHKALDIDYQNPQYQ NDVNSMTMALQENITNCMISAEVSYRIQLLSLTKQLTNLAGNAWAQTLGGTRAGRNEY ILLHEFSRNGFIVPDKEGSRSRAQKQRQNDENTDAPVNSKKAKYQGGLVFEPEKGLHK NYVLVMDFNSLYPSIIQEFNICFTTVDRNKEDIDELPNVPPSEVDQGVLPRLLANLVD RRREVKKVMKTETDPHRRVQCDIRQQALKLTANSMYGCLGYVNSRFYAKPLAMLVTNK GREILMNTRQLAESMNLLVVYGDTDSVMIDTGCDNYADAIKIGLGFKKLVNERYRLLE IDIDNVFKKLLLHAKKKYAALTVNLDKNGNETTVLEVKGLDMKRREFCPLSRDVSIHV LNTILSDKDPEEALQEVYDYLEDIRTKVETNNIRIDKYKINMKLSKDPKAYPGGKNMP AVQVALRMRKAGRVVKAGSVITFVITKQDEIDNAESTPALSVAERAYALNEVMIKSNN LKPDPQYYLEKQIFAPVERLLERIDSFNVVRLSEALGLDSKKYFRREGGNNNGEDINN LQPLETTITDVERFKDTVTLELSCPSCDKRFPFGGIVSSNHYRVSYNGIQCKHCEHLF TLLQLTSQIEHSIRSHISLYYAGWLQCDDSTCGIVTRQLSVFGKRCLNDGCTGVIRYK YTDKQLYNQLLYFDSLLDCEKNKKQELKPIYLLDDLDYPKEQLTESSIKALTEQNREL METGRNVVQKYLNDCGRRYVDMSSIFDFMLN SPAR_N02100 MIKNNGDGEHLGVRRNGNLRHPSNNMKIPRRAQSTVLNSNPFSG RKYSMSTLTPRDICRSVDSRVFVDMSSPNFQTLEDPHRDEIINSVRLNYLNSSKRSSV SHGHEVNPRVNPAKNSSASTIAAANIDSDEDESNLNSAGGDITHDIYKLVKAEDPKRL RRPRSMENVTSKIEHHTKLSSASGLNVPGGFRREFIVNKKRQEHQLNDSASSDFTSHE SDSINQPSSSSDQDIDKVPFLTRNFLEFLYVFGHFAGESFEDDFIPDSSNMMIRGEDE RSALLSRPDHMKVLPSAKGTTSTKKVFLILLKSFIGTGVLFLPNAFHNGGLFFSVSML AFFGVYSYWCYYILVQAKSSCGVSSFGDIGLKLYGPWMKIIILFSLVITQVGFSGAYM IFTAKNLQAFLNNVFHVGVLPLSYLMVFQTIIFIPLSFIRNISKLSLPSLLANFFIMA GLVIVIIFTAKRLFFDLKATPAMGVVYGLNVDRWTLFIGTAIFAFEGIGLIIPVQDSM RNPEKFPLVLALVILTATILFISIATLGYLAYGSNVKTVILLNLPQSNIFVNLIQLFY SIAIMLSTPLQLFPAIKIIENKFFPKFTKIYVKHDDLTTRVELRPNSGKLNWKIKWLK NFIRSIIVIIVVAIAYFGSDNLDKFVSVIGSLACIPLVYIYPSMLHLRGNSLPETKGE FWRFKPMLDTILIFFGIASMLYTSYQSIFGV SPAR_N02110 MVNFYDDVSESNSNDEFPLIPVVFQNSSELSVRTIPTGNEIIES VHLTKWLREYRNALANQLDCYEKKWQSKIAKFRFQVQHVINYSRKNIFNVDFENKHTV VPGSLIAVGAFFAGSIAVNRSNWGAKRLIFGHKSTILEKLCTSLPSRIFLPWVLAAAT FRYWAPQTSRNLVNATENDLLPSDFVKSYHDTWKQIYEEGYLAKKNSLKHQIEQTLQK NIRYAREQLHEKLEQA SPAR_N02120 MTSNVNEYENVPDDESCLTEENVSIPEEVEDEDEEEDDDDDHIY INEETESGREKVLVSHAPQERIVPPLNFCPVERYLYRSGQPSPVNFPFLLNLKLKTII WLSNEEPQDTLLEFCDTHRINLQFAAINPDAGEDDNPWDGLTEHSIINVLQTIVTQEN YPLLVCCGMGRHRTGTVIGCLRRIMGWNLASVSEEYRRFTGSRGGRILVELLIEAFDT KLVKIDKNKAPSWLLTALE SPAR_N02130 MPLNKSNIREYKLVVVGGGGVGKSALTIQLTQSHFVDEYDPTIE DSYRKQVVIDDEVSILDILDTAGQEEYSAMREQYMRNGEGFLLVYSITSKSSLDELMT YYQQILRVKDTDYVPIVVVGNKSDLENEKQVSYQDGLNMAKQMNAPFLETSAKQAINV EEAFYTLARLVRDEGGKYNKTLTENENSKQNSQDTKGSGASSVPRNSSGHRKMSNAAN GKNVNSSTTVVNAKNASIETKAGLAGNQTTNGKTQTDHSDIDNSTGQAVQTNAQNTNT VHNRVNNNNKTSQVPNAKQARKQQAASGSNTSEASKSGSGGCCIIS SPAR_N02140 MSSPANLFPGLNDITDVLEEFPLATSRYLTLLHEIDAKCVHSMP NLNERIDKFLKKDFNKDHRTQVRLLNNINKIYEELMPSLEEKMHVSSIMLDNLDRLTS RLELAYEVAIKNTEIPRGLRLGVDNHPAMHLHHELMEKIESKSNSKSSQALKSESRRE AMAANRRQGEHYSASTQQQDDPKNDASYGGSRQGSQDHTGNNTNSRKRANAANTNIAE SETKKRKRRVATTSVSPNAISTATAVNNNRMGTSTASRGVSGVGNSNNSRISRPKTND YGEPLYCYCNQVAYGEMVGCDGADCELEWFHLPCIGLETLPKGKWYCDDCKKKL SPAR_N02150 MSSVQSKILSQAPSELELQVAKTFIDLESSSPELKADLRPLQIK SIREIDVTGGKKALVLFVPVPALSAYHKVQTKLTRELEKKFPDRHVIFLAERRILPKP SRTSRQVQKRPRSRTLTAVHDKVLEDMVFPTEIVGKRVRYLVGGNKIQKVLLDSKDVQ QIDYKLESFQAVYNKLTGKQIVFEIPSQTN SPAR_N02160 MVHITLGQAIWASVKPIIKIYLIIGVGFLMAKMGILPVEATRII SDIVLTVLLPCLSFNKIVANIEDEDIKSVGIICLSALLIFGTGLFFAYVVRLLLPVPK QWYGGILAGGMFSNISDLPIAYLQSMDQGLIFSEEEGNKGVANVIIFLTMFLICIFNL GGFRLIESDFKYNDDENAARISETTATQPAVSANTINTDTNKSLLSNEPQLFNDKYTA RDTLTETIGTKANGNADISPISRQSTSNIAPLSPLHTCSNSNITKPVHTKARDTIACL PSEGSQVTSGSNPLDRQSSASTIHSYNTSESYNSSIDTMRARRTPSQPRAYNTATALE ENCLDEKYPKNMSMAALEPVRSIDMRALPSQNIHHLIREYSNVDQYGHLRRNSSLYGI DMNDAHSIRSNSTLQTIKTANLTRILTSDATVSKKDIETSGESLPEWMKKFPLTPLLV FFLKNCLRPCSMAVIIALIVAFIPWVKALFVTTANTPHIRQAPDNAPPLSFFMDFTSY VGAASVPFGLILLGATLGRLKIGNLYPGFWKAAVTLVILRQCVMPIFGVLWCDRLVKA GWVNWQDDRMLLFVIAISWNLPTMTTLIYFTASFTPPEITDPIQMECVSFFLMLQYPL MVVSLPFLVSYFLKVQMNL SPAR_N02170 MNGQDYDESSSPAAATSSSASGDPRMGKKQRFMNLIRTTKDVYI PNLTSSISQKTMDGIRSTTNSFEGYNDSPVQLPHNTMITYFPTYTTTNLVNSDGLSAP RKDFETTVRCAVSYPGNPASRRNRWLLSLCKQYLRTGTVEADATPVAPPHLEEDSGDL TDSQSSIESSLSSKSENRYLHMGIQEEDILNERIQGFLSKKVPNTPIVVDLLPKDRLG GDTASFFGTTDSYGNLLIKAETDFLPSKINITLDAPTEGRADPISETFPVNYVSPYGI GLISDIDDTIKHTGVTGDRRSMFRNVFIHDVKSWVVDGVPLWYKTLHDVADVDFFYVS NSPIQTFPLLKEYICTNFPPGPIFLKQYSGNFFSTIMTSSANRKIQPITNILRDFPKK KFILVGDSGEHDLEAYTTTALQFPNQILAIYIRCCSNSMSDVPSHDEEVMKEVNNIIE LQQQPMQITKSTARTRRRPPPPPIPSTQKPLLTEEQTESIRLSRRNKDENNTKGVVPP PLPKRQLPSLDTDTYYVPSSQNDYGMYGAFMDKKADEWKRRVMDSIQKLSNQDTTLMF FSDPALSLEDSIRRIREKYSN SPAR_N02180 MDTSTAAIPRFTIKVVLLGESAVGKSSIVLRFVSNDFKESREPT IGAAFLTKRITKDNKVIKFEIWDTAGQERFAPLAPMYYRNAQAALVVFDVTDEASFYK AQNWVKELHEKVGENIVIALVGNKIDLLNNDGENSNRAMKEPEVQSLCKRENLLYFEA SAKTGENIHQIFQTVGEKVPYPKQNTQQNSGHDHTITDNQRFDLESTTVEGTRETGSC NC SPAR_N02190 MDGHEYADQRENEAMARVIISFLKYEEYALKEIYNLRVKKWESI SDRQKAMVPSYTKYLANLKAAIQENGKFFRSIAEYTMQSISFRPEEIVQPNDSDMCKT CSLLTQVYREWSAEAISERNGLNSRLIPFLKTLSPPKTDILIPGCGTGRLLVDLGRMG YNCEGNEFSYHMLLVSQYLLNAGLLQNQTIIYPFIHCFSHWNRIEDQLSPIKIPDIEA RSSNKGMGSMSICAGSFVDCYGRNQGTKISSHYTFSRRMQLNRAKAENSKDVVVTNFF IDTGSNILDYLDTIVHVLKPGGIWCNFGPFLYHFENDHGVETTYEVNPYSGFQDKIND HTPLMGLELSSDDIINIATNRLNFELIRRESGVLCGYGRYAGPESCAMPGYMCHFWIL KWNPLNES SPAR_N02200 MPPNSKSKRRKNKSKQHNKKNGNLDPEQNINPTQLVPRMEPELY HTESDYPTSRVIKRAPNGDVIVESINTDDDKKKRTANLSHTKDDMDSASTLAFTLDSH WESLSPEEKKTILRIEKEEVFNVIRNYQDDHSCSCSVCGRRHLAMDQEMERIYNTLYA MDKDKDPETNPVKFHLGIIKELQISKNQQQNDFSPTKGEAGKDFLSSSTVGSLKEEVL HFKQKQLSKQEPTHNETADNTSLLEENLNNIHINETPRAISTSFDSVSDEELKQKYSN FTKTFISSHPKIAQEYVQKMMMYPNIRALTDDLINSNGQGFLNAIEDFVRDDQRPTSK IDDSTTEDKASYADLTDPKEFTTMLHSGKPLTENEYSDLQRNIAERMTNAYDTTSKQF KDVSQLEKELFTRFMSGKDKKSFRELIIQSFRKKFDGELGPSVLAATLSSCFSSQSKD NSLDTDSIYEDEDEEDYDDYSEYAEDSEEASEYEGVEAVKKLAQYDEKNDEVRDILHL SHDHDRKRENHPHHHYHSVSTHSEDELSEEECVSDIELPHDPHQHYHHDDGILDEDED DLEEGDENEEDDEDDTYDSGLDETDRLEEGRKLIQIAITKLLQSRIMASYHEKQADNN RLKLLQELEEEKRKKREKEEKKQKKREKEKEKKRLQQLAKEEEKRKREEEKERLKKEL EEREMKRREAQRKKVEEAKRKKDEERKRRLEEQQRREEMQEKQRKQKEELKRKREEEK KRIREQKKIEQEKLQKEKEEEEKQRLIAEDALRKQKLSEEQVPADIRSTKPLTENGVC NSVASQLHPDMISYQEDNGRSINDEILKMVSSVAASKPVSPTGFNIHDLLLPSSDNQI ATMEQSHLSHPGNQNSHFGSATIPNALDLSAKSSSQAENNYLMNSQTLENTSLLVPNG SSPTKLLPNAFGLSSWDGLTNTLSNNPTCKPPIIQTTRMESEAQKSSPQPAIPSFGLP NDGTHRKSFTDELNSLTSMLSSAGFADTSLSSSGFPPSQRSVWNDQRSSFSGPTTAGN FNNSSIQGGVLLPPTLGSVESFPNRTSIWDNSSTPMISKSELAGRSITGTVQDTPTFM TSNIWSSNSQYSSPYLASNALQLPGISSGVDESHILDSIYNAYLVISPQDSLNQYVAI GRLFQNVVGLNLDYSTFINKLISMQATYNCEFFTDSNGSITHVRFMRESPTGHSKGLL NQLFNGMNDPTTTSFTSRPHTSAAATFPIASSTTQTT SPAR_N02210 MSEKAVRRKLVIIGDGACGKTSLLYVFTLGKFPEQYHPTVFENY VTDCRVDGIKVSLTLWDTAGQEEYERLRPFSYSKADIILIGFAVDNFESLINARTKWA DEALRYCPDAPIVLVGLKKDLRQESHFKENGTDEMVPIEDAKQVARAIGAKKYMECSA LTGEGVDDVFEVATRTSLLMKKEPGANCCIIL SPAR_N02220 MSTEPTSASDKYQKISQLEHILKRPDTYIGSVETQDQLQWIYDE ETDCMIEKKVTIVPGLFKIFDEILVNAADNKVRDPSMKRIDVNIHAEENTIEVKNDGK GIPIEIHNKENIYIPEMIFGHLLTSSNYDDNEKKVTGGRNGYGAKLCNIFSTEFILET ADLNVGQKYIQKWENNMSICHPPKITSYKKGPSYTKVTFKPDLARFGMKDLDNDILGV MRRRVYDINGSVRDINVYLNGKSLKIRNFKNYVELYLKSLEKKRRLDSGEDATANSDI PTILYERINNRWEVAFAVSDISFQQISFVNSIATTMGGTHVNYMTDQIIKKILEILKK KKKKSVKPFQIKNNMFIFINCLIENPAFTSQTKEQLTTRVKDFGSRCEIPNEYINKIM KTDLATRMFEIADANEDNALKKSDGTRKSRITDYPKLEDANKAGTKDGYKCTLVLTEG DSALSLAVAGLAVVGRDYYGCYPLRGKMLNVREASADQILKNAEIQAIKKIMGLQHRK KYEDTKSLRYGHLMIMTDQDHDGSHIKGLIINFLESSFPGLLDIEGFLLEFITPIIKV SITKPTRNTIAFYNMPDYEKWREEESHKFTWKQKYYKGLGTSLAKEVREYFSDLDRHL KKFHSLQGNDKDYIDLAFSKKKADDRKEWLRQYEPGTVLDPTLKEIPISDFINKELIL FSLADNIRSIPNVLDGFKPGQRKVLYGCFKRNSKTELRVAQLAPYVGECTAYHHAEQS LAQTIIGLAQNFVGSNNIYLLLPNGAFGTRATGGKDAAAARYIYTELNKLTRKIFHPS DDPLYKYIQEDEKTVEPEWYLPILPMILVNGAEGIGTGWSTYIPPFNPLEIIKNIRHL MNDEDLEQMHPWFRGWTGTIEEIEPLRYRMYGRIEQIGTNTLEITELPARTWTSTIKE YLLLGLSGNDKIKAWIKDMEEQHDDNIKFIITLSPEEMAKTRKIGFYERFKLISPISL MNMVAFDPHGKIKKYTSVNEILSEFYYVRLEYYQKRKDYMSERLQREVEKYSFQVKFI KMIIEKELTVTNKPRTAIIQELEDLGFPRINKEGKPFYGSPNAEIAEQINDVKSSTSD GEDEESSHEDAENIVNGPEELYGTYEYLLGMKIWSLTKERYQKLLKQKQEKETELENL LKLSAKDIWNTDLEAFEIGYQEFLQRDEEARGGNVPNKGSKTKGKRKRKLADDDDYDP SKKSKKTTARKGKKIKLEDKNFERILLEPKLVTKSKGPTRIKKEITPPVSETKTGGED EEENAPSTSSSSIFDIKKEDKDGGELSKMSNKFKKINTIFDKMGSTSATSKENTPEKD IIATTTKPKAAKKAPVKPKLAKKPVRKQQKAVELSSESDLEILDSYNDQEDSNVDEDD AIPQRPRRQRSSRAASIPKKYYADTLELSDDSFIEDDEEEEQGSDVSFNEED SPAR_N02230 MSPNSSKTRTDLISPMPGINETTKVESKNVVNDAVPVKSEAEIN GTSIARERQEASYVGWKQVGGWEEKDELTPEDLLVDVNKETFLDNLLPDKLYGDWYHE VVVLAIAGLSSFALGYFKFSLASVLIVMLATGLLYRTSSKKYRESLRDLAQKEQTVEK ISSDYESVEWLNTFLDKYWPILEPSVSQQVVDGTNTALSENVAIPKFIKAIWLDQFTL GVKPPRIGAVKTFQNTKSDVVVMDVCLSFTPHDLSDLDAKQCRNYVNSNVVLKAKIFG MNIPVSVTDVSFRVFARFRFQLMTTLPLVETINIQLLEVPEIDFIGRLLGNSVFNWEI LAIPGLMRLIQKMAFKYLSPVLLPPFSLQLNIPQLLSKTGLPIGVLEIKVKNAHGLRG IMGMIKKTVDPYLTFELSGKVVGKTKVFRNSTNPVWNESIYILLQSFTDPLTITVYDK REAFSDKKMGTVIFNLNKLHANHYDKNEKVHFLRNSKPVGELTFDLRFFPTIEPKKSL NGDEEPLPYMNTGITKIVIGELKGLDELSDKKFVFAELYVNAELVMTTKKEKRTAHLK WNSDYYSVITDRRKTICRFVLKDQSGKVISSSVQPLNHLIDRTEVDKEWVPLRDGKGE LKVTTYWRPVDIDLGLKSVGYTTPIGMLRVFINKAENLRNPDNLGKISPYAEVSVNGI GRGRTNERIETLNPIWNQSIYVSVTSPLQKVSIDCFGTDTNGDDHTLGSLNIQTQNIY HKDNDDKYTIFIDNTPRTGNLIGKKGVKGTVTYYLSFYPVVPVLSLEEAKEVDDINEK KDKLEKQKSTLGDKNISKEEKERIRKEEARLTEKYDMYSYKMKLDLDELLQYNAGVLG VTVLGGELSQPGLYVQTFFDSCGYAAITSAKNAIRTIRTGWSGDFMIKELEWSVTTFR VTKTKDANKAENFICEVKIPTIELVKNCYYKPSVLNLIGKKSAKLLVQVSWFPINATE LPQSDLITNSGDLKITAKSAENLVGVNKNGYSDPYVEFFLNEKSTSPIFKTAVQKKTL NPSWNETKTIEISNRVNDYLTINVKDYEATNSNRSIGKAIVPLSTIDPESDTTFNIPL VGPKGEDSGVLHLEFEFEPRYTTNVVKREARLGNFATKGLGTGIKAGSTVFALGTNVV STGLGTIDKVKVGVFGGKKRTNTGDGKSEEKQ SPAR_N02240 MVGDNISGDSSAVHPVELSVYSVLSTDLDGLYQSINELRESQAL LILMLRKVRDKLRRESQVLYDPEPFKPTMDKLADLSTRVHILSQRYEKLQGNVRSLNN SPAR_N02250 MAIKSLESFLFERGLVGSYAIEALNNCTLGIDVNHYVSRLLTNK REQYLDAIGGFPTSLKMYLESDLKIFKDFNITPIFVFNGGLTYNQLEASGHFTAASAS ASISSATAGSGGTNATTRSNTESVLLQRNRGWTQWNNLVSSNQNSYIDQPIQPQEPFR HNTPIDSKAYQNDLIAYFIEHGYMYQVAPYSSWFQLAYLLNSAYIDAIYGPTDCLMLD CVDRFILGMEFPNKEFRFIDRSRVMKDLGCTHEEFIDIAMAVGNDLQPTTLPPLQIYP VPQLFDIALEMVLNTGTNFYAYQLSTALQNDSKENIQNYQRGISALRYMPVLKDTGKV ELFVQEVVVSEEDSEKNNKDGKKSNLSSPSSASSSASPATPMAKNASEKLAYEKFSTK EVRKPRDIPNDVHDFIGQMLPHEYYFYRSIGLVTGKLFDAIVTGVYPEESPLGGGSAT SYRKLVSKSVEIFKNKEINLLTQPINRYYQIKQIKQVKWYAPNEPITLANRMTPSMFE TINHLIVKTETSGEKEFSIYEFIAAINASSDMAKDFIYEKVIFPNSVPIESKLNSPFD LLSTNFLRLLVLLEFFTFDFKEKLLKPTKWGEVFLKLNELDIDRMYHESVVIFLVFLK CDVLKLNEEVQPPAPSALSQATLRSYPEESLYVLLITRVLTLFQVDQKPSNYHGPIDK KTLIFRDHLSFIKENLNELFEAVLISSLTSGEFNRLSLDNFGWAKEIVTHLPFKLNSP NTIMAMMWEFFLQKYLHNGNAKNDALSLVATEFNTYKSTPNLDEQFVESHKFLLEVAK VMGKLNDAKLIGENEFKLFTKAIEFATTALSS SPAR_N02260 MPKLEQFEIKKYWQIFSGLKPIENKVNHDQVLPILYNSKLDSSV LNKIWFLADIDDDDNLDFEEFVICMRLIFDMVNKNISSVPDELPDWLIPGSKVNLIKE RKKRKQIENADLPPKKEIKVDWYMSPDDLNQYEKIYTSCAKLTDGTITFNELSTKLST KFFNISKTDLNKVWSLINPQNLQSIDRDPTFYFIHCLRQRNDLGAEIPASLPNSLAEV CNKKKVSYDLQSSQPPTKRKDQASERNNVRDNGLNSSADSSGNNALVNENSIKQKYAS LTDDQVANMREQLEGLLNYKKGEKSQGGSKRSKRINIRSITDDLDNIEQQVEVLENYL NNKRHELQSLQAEIN SPAR_N02270 MLLKNFETDKQRNKRYACLFKELDVKGNGQVTLDNLICAFEKND HPLKGNDEAIKMLFTAMDVNKDSVVDLSDFKKYASNAESQIWNGFQRIDLDHDGKIGI NEINRYLSDLDDKNICNNEFIHESSNEKMNKFSRFFEWAFPKRKTTIRLQGQTSHKDE IDNRRSKQTINPDLYVTYDQWRDFLLLIPRKQGSRLHTAYSYFYLFNEDVDLSSEGDV TLINDFIRGFGFFIAGGISGVVSRTCTAPFDRLKVFLIARTDLSSILLNSKTDLLAKN PNADINKISSPLAKAVKSLYRQGGIKAFYVGNGLNVIKVFPESSIKFGSFEVTKKIMT KLEGCHDTKDLSKFSTYIAGGLAGMAAQFSVYPIDTLKFRMQCAPLNTKLKGNKLLFQ TAKDMFREGGLKLFYRGVTVGIVGIFPYAALDLGTFSALKKWYIAKQAKALNLPQDQV TLSNLVVLPMGAFSGTVGASVVYPINLLRTRLQAQGTYAHPYVYNGFRDVLLKTLERE GYQGLFKGLVPTLAKVCPAVSISYLCYENLKKFMNLE SPAR_N02280 MTQINQISDIDVHRITSGQVITDLTTAVKELIDNSIDANASQIE ITFKDYGLESIECSDNGDGIDPSNYEFLALKHYTSKISKFQDVAKVQTLGFRGEALSS LCGIAKLNVISTTSPPRADKLEYDMLGHIASKTTTSRNKGTTILVSQLFHNLPVRQKE FSKTFKRQFAKCLTVIQGYAVINAAIKFSVWNVTSKGKKNLILSTMRNSSMRKNICSI FGASGMRGLEEVDLVLDLNPFKKRMLRKYTDDPDFLGLDYRIRVKGYISQNSFGCGRN SKDRQFVYVNKRPVEFSTLLKCCNEVYKTFNNVQFPVVFLNLELPTNLIDVNVTPDKR MILLHNEQAVIDVFKTNLTDYFNGQELALPKRMCSQLEQQAPKRPKTEAADNKSIAHE SSSENCHDARSESNQSNHARFSSVCEETMGATDKSKDTELTSVMDGNYAISTDAIGSE CEVSVDSSLSLDEGNSSTPTKKPLSIKADSQNVSALNLGSFSNPEFQIMTSSDKARSL EKVVEEPVYFDIDGEQFQEKAVLSQTDGLVFVDDECLQHSGCHHKKRGSADAEQDDEV DSVYAEIEPVEINVRTPLKNSHRSISKDNYRSLSDGLTHRKFEDEILEYNLSTKGFDE MIKNGKQISGKQMSRIISKRKSQAQENIIKNKEELEDLQRGEKYLTLTVSKDDFRKME VVGQFNLGFIIVTRKIGNKYDLFIVDQHASDEKYNFETLQAVTVFKSQKLITPQPVEL SVIDELVVLDNLPVFEKNGFKLKIDEEEEFGSRVKLLSLPTSKQTLFDLDDFNELIHL IKEDGGLRRDNIRCSKIRSMFAMRACRSSIMIGKPLNKKTMTRVVHNLSELDKPWNCP HGRPTMRHLMELRDWNSFSKDYEV SPAR_N02290 MVVHILGKGFKGKEVIKIALASKFYGIGKTTAEKICSKLGFYPW MRMHQLSEPQIMSIASELSTMTIEGDARAIVKDNIALKKKIGSYSGMRHTLHLPVRGQ HTRNNAKTARKLNKIDRRGLHTFSQAEAQHNPSLWSYIFGK SPAR_N02300 MTWILSTSMGPHEDKYAKHDRATFKKTYSSMKTLSLNHLTAKQH MLMALCRDISLLPPLTYIFTSLRKAWRVSMRTSITLYEPQSLRDAFTYFWQKLNSAYD NNSSFEGTSQEAVSGDSKDSLLLSALTTARASEYLLCSLWCLVSLYLSYAILDSLMVR WIVKYSTVAAILRMFSMSLIIVTLELLLLSSLSPELDYFLHTWILISCVLTAVYIWQS YLTSDLRYIRNQEGEVQEDTNVPEETEDYDDGEDDGDEDSHVVLADENTADIPSNDIL SDNSDDGLFSVNRSSSSHSQSPKRPKKYPKKAFNFTTKRTIDLYKITVLCVVPVGLAS FITMLGLLRNLFIQRLDVEQLERILHEMHPPA SPAR_N02310 MDKIREKLSNLKLEAESWQEKYEELKEKNKDLEQDNVEKENQVK SLTVKNQQLEDEIEKLEAGLSDSKQTEQDNVEKENQIKSLTVKNHQLEEEIEKLEAEL AESKQLSEDSHHLQSNNDNFSKKNQQLEEDLEESDTKLKETTEKLRESDLKADQLERR AAALEEQREEWERKNEELTVKYEEAKKELDEIAASLENL SPAR_N02320 MESYGTTTGTQTFTPTGFPPPLGTGGFTTSGYIHALVDSTSNSN SNSNTNTNTNTNTNSNSNSETRIPVVQISDDSHITHDSFKPYMEYHDASHLRNRNINK ADQVDNTEVMEQFTEWSNYKMRSHSPATNTKPIRHTSQRRTDFTNKNELSKFSKNHNF IFHKGFLKRQRSISREDRQIKVRSRFRNKKELASVLNYIELEQMDITNVLTSQSVSLH AIRNLTSRDPAVTANPFLRSQVYATSSRPPYLRNHSIRRKLPKSLPVGLPKTSTATTP ITTKQDSASQTTRSVYNKNVRRSNTSPSVLYHPRRKGKLDPNSQARKQQLLLRLWREY LMLVITQRTQLRLTFLCSPGSASNQSSPRSSNASDLDMSLLSTPPSLFQMAGQTKGNP IIIPDSQDDSIHSSSAF SPAR_N02330 MQQNTSLYDSLNVTATASTSEIKKAYRNAALKYHPDKNNHTEES KRKFQEICQAYEILKDNHLRALYDQYGTTDEVLIQEQQAQAQRQQAGVFSPSAGFDAE AMSFPDLSPGDLFAQFFNSSATSSSNSSKNSFNFSFNNSSTPNFSFGNGSGVNNLYSP SSKYNSNDESHHLDRGPDIKHNLKCTLKELYLGKTAKLGLNRTRICNVCDGHGGLKKY TCKTCKGQGIQTQTRRMGPLVQSWSQTCADCGGAGVFVKNKDICQQCQGLGFIKQRKI LQVTVQPGSSHNQLIVLTGEGDEVISTKGGGHEKVVPGDVVITILRLKDPKFQVIDDS NLICKKCKIDFMTSLCGGIVYIEGHPSGKLIKLDIIPGEVLKPGCFKAVEDMGMPKFI NGVPSGFGNLYVKFDVTYPERLEPENAKKLQNILANDKYIKAERSTMETTDADCYCDL EKSYDNVEEHVLSNFEAPDLNNEVIENDDLDDLIHERDSRKRNNRRFGESNNNNNNNE TKRNKYSSPASGFYDHDINGY SPAR_N02340 MSREAFDVPNIGTNKFLKVTPNLFTPERLNLFDDVELYLTLIKA SKCVEQGERLHNISWRILNKAALKEHNINRSKKRDGVKNIYYVLNPNNKQPTKPKQAA AKQPQLQKASLPPITAKQTVLTRPMTSPAITQGAHDRSFDNTNTTNNDVKNDVISNKQ FSKSSASGLFSNFADKYQKMKNVNHIPNKEEPQTIITGFDTSTVITKKSLQARRSRSP FQHIRDMSMNCIDNETSKSTSPTLENVGSRKSSFPQKESLFGRPRSYKNDQNGQLSLS KTSSRKGKNKIFFSSEDEDSDWDSVSNDSEFYADEDDEEFDDYNEEEAEQYYRRQWDK LLFAKNQQNLDSTKSSVSSANTINSNISHDPVRKSLLSGLFLSEASSNNNNNHNTAHN EYASKHISPTPQTPHSNTAPEPQQNPPSANGIKQQKPSLKTSNVTALASLSPPQTSNS GRLPMDIQKDFKTNSSSNQLYESNAPLTAQTILPTALSTHMFLPNNIHQQRMAIATGT NTRHRFSRRQSMDIPSKNRNTGFLKTRMEISEEEKMVRTISRLDNTNAANSNGNGNDD ATNRRTEALGRKASNGGRI SPAR_N02350 MLRRQARERREYLYRKAQELQDSQLQQKRQIIKQALAQGKPLPK ELAEDESLQKDFRYDQSLKEGEEADDLQVDDEYAATSGITDPRIIVTTSRDPSTRLSQ FAKEIKLLFPNAVRLNRGNYVMPNLVDACKKSGTTDLVVLHEHRGVPTSLTISHFPHG PTAQFSLHNVVMRHDIINAGNQSEVNPHLIFDNFTTALGKRVVCILKHLFNAGPKKDS ERVITFANRGDFISVRQHVYVRTREGVEIAEVGPRFEMRLFELRLGTLENKDADVEWQ LRRFIRTANKKDYL SPAR_N02360 MCVYKSSSNNSNPSFIFERTVQEASSNDLFLQPPVSASNTSHSS RSNSFYNLQTISPIPISSSKVRIPSLRKNSNNVSSPLDNIAPTSRSASNSTTSSLAHQ EYILNPISNIHNHHHRRRTLENSVAPALDASCSIVNDENTDLSDVDMVYSRRPSSAVS LNMALLGRTNSATLPSSETSPASPDLKLSRSHSHSAATRPTLNNINNTGMTTTTSSGE PNSRILRFYSYVDMLNDEKLAQTNNTPTSRPSMKSQAYSCPFLLKRSPPQAYSSSSAT TTFSNPFIKNTELPAGSPYVSPQQNARRYSNNTNNTSKSPKNRSSSILFQRQSVLSNI DPVASMRKNPKFQIESSDSEEEDLTMDMLEPSFPLASSLRSSANLASNPELATQMPLS SSSSYTAIGKPMPLSTDPSYVSSSNTLTSDHELRVEKVSEVLKKKVSNGGFSTEFNSC DT SPAR_N02370 MNVLLGRRSFTYAPRCLWCRCRSSRSRPYSLAHAVDTSKMEATR RNAQIEKDLARYYPSMSEPAFDELCQGYKEFSISDFNKRFLGNPSVLNHEDNPKWLLS INGRIRNIRFSGQKIVFIDLYSASGGLKNDQLQLIVNYNQIDGRSEDKANFSEHMNFL KKGDYIKAFGYPGFSQSRLKMLSLICNRLPVVLSVSQLPLPSKLNDDTKIKSNRVIDY QLNGTQTLLVRAHIVKLLRSFLDDRSFVEVETPILSSKSNGAMAKPFITSSKDFDHLE LRIAPELWLKRLIISGLHKVYEIGKAFRNESIDSTHNPEFSTLEFYETYMSMDDIVVR TEELFKFLIMNLQKFFQDTHFPIPKTFSELYLALSENDWKFKKLEFLPTLNKELGIDL INSGLDINSPSELLRVLPKDVTDKYFSSAGDSEQLSSLQILNKLSDVFLEQRHCQSIL PTVIYHQPAILSPLAKTDPQNKQITKRFEVFIKGKEYINAYEEENCPQLQLEKFLQQK QINELTGNKIETLSPVIDYQYIETMKYGMPPVGGFGLGIDRLCMLFCDKKRIEEVLPF GCVDDVNRQ SPAR_N02380 MVPPTVEASLESPHTKSYFSPVPTALLEQNVSPVIMGIDEAGRG PVLGPMVYAVAYSTQKYQDETIIPNYEFDDSKKLTDPIRRMLFSKMYEDNEELTQIGY ATTCITPLDISRGMSKFPPTRNYNLNEQAHDVTMALIDGVIRQNVKLGHVYVDTVGPP ASYQKKLEQRFPDVKFTVSKKADSLYCMVSVASVVAKVTRDILVESLKRNPDEVLGSG YPSDPKTVTWLKRNQTSLMGWPANMVRFSWQTCQTLLDDTTKDSILIKWEEQYMDSRK NAAQKTKQLQLQMVAKPVRRKKLRTLDNWYQ SPAR_N02390 MSAFVRVLPRISRSSVLTRSLRLQLRCYASYPEHTIIGMPALSP TMTQGNLAVWTKKEGDQLAPGEVIAEIETDKAQMDFEFQEDGYLAKILVPEGTKDIPV NKPIAVYVEDKNDVPAFKDFKLEDSGSDAKTTTKAQPAEPQGEKKQEAPAEKAKTSTP VAEKSNAPAPQGRIFASPLAKTIALEKGISLKDVHGTGPRGRITKADIESYLEKSPKQ SSVTSSAPAAAASSATTGSAPSPSSTASYEDVPISTMRSIIGERLLQSTQGIPSYIVS SKISVSKLLKLRQSLNATANDKYKLSINDLLVKAITVAAKRVPDANAYWLPNENVIRK FKNVDVSVAVATPTGLLTPIVKNCEAKGLSQISNEIKELVKRARINKLAPEEFQGGTI CISNMGMNNAVNMFTSIINPPQSTILAIATVERVAVEDAAAENGFSFDNQVTITGTFD HRTIDGAKGAEFMKELKTVIENPLEMLL SPAR_N02400 MSFLPSFILSDESKERISKILTLTHNVAHYGWIPFVLYLGWAHT SNRPNFLNLLSPLPSV SPAR_N02410 MSSSNFNEMNELNMTQTNYGSTKYTAQHHQGVINAIISSLTAPD QPTTVSLQYSNEKNMATEIQAYAKLSGPNWTYYVKDLEVSIGRNTDPLNSALQENSDG VKSSYRVNIDLGPAKVVSRKHAIIKYNMNIGGWELHILGRNGAKVNFQRTHNGPNNPP IRLSSGTLLDIGGTQMMFILPDSDPVVAPICIEHLMPNLINMFGLEGNNNPLLRDIIK QSNYAKQRQLTSNQQIKGFKLYGSGGNAPFGNGSNLSSNEQGIFNNNNSKNKNGYFTS INPNYTASATGNTVNPQVASPQGPSNTIIAANFVDSYKSANAYPQALDFTSDLSHDEN RNVKPPHSYATMITQAILSSPEGVISLADIYKYISSNYAYYRFAKSGWQNSIRHNLSL NKAFEKVPRRPNEPGKGMKWRISESYQQEFLNKWNTGKVGKIRRGSSVARQLQLHMAK FNTLPMEMDYRLSLNMAQPPKRQLQSHNVLESSNNNIIEGFVPHVPPQGNLPPPQRSQ PPVSHQPQPQEQHQEIQFTFTDTQNRSIALARPIKTPQLQAPNSNSNADLNPNNMKEY KESLHPPAISISQMNRQSPNNALVSFTNACANSKIINNISDSTNKPTNNGSGSKMNLP AISTSSPDENGNSEPTTTTSSGNSNPVPQTGITNSSLAANNLRLSQPYDTLLRSPTKA FHITAMEAYTPERGSANRARSPLHSNSNNTNNNNANNANLQTNGMENKQTGIVLDSNV LKSMESNNDNRRLTPSTSKSQNVKSSPGVWNLLQFSSTNNTPAADNGGNKRGFSINQD TKANENENAASEKDSDSNSNDLETKDINSSPLKNQGGSAANAKELILDTDGAKISIIN N SPAR_N02420 MKYIQTEQQIEVPEGVTVSIKSRIVKVVGPRGTLTKNLKHIDVT FTKVNNQLIKVAVHNGDRKHVAALRTVKSLVDNMVTGVTKGYKYKMRYVYAHFPINVN IVEKDGAKFIEVRNFLGDKKIRNVPVRDGVSIEFSTNVKDEIVLSGNSVEDVSQNAAD LQQICRVRNKDIRKFLDGIYVSHKGFIVEDM SPAR_N02430 MKLSAATLTVASLIGCSTIASALPYAADIDTGCTTTAHGSHQHK RAVAVTYIYETVVVDKNGQTVTPSSTETSPTFSSTTTLVPESSVAKSSAKVASSSGVT EQASSTVITSSSALATFTSSEASTIESSVSVSTSGAVATSSAVSSASSSASGSIYGDL ADFSGPNEKFEDGTIPCDQFPSGQGVIPISWLDEGSWSGVENSDTSTGGSCKEGSYCS YACQPGMSKTQWPSDQPSDGRSIGGLLCKNGYLYRSNTDTDYLCEWGVDAAYVVSELS DDVAICRTDYPGTENMVIPTYVQAGDSLPLTVVDQDTYYTWQGLKTSAQYYVNNAGIS VEDACVWGSSSSGVGNWAPLNFGAGSSDGVAYLSLIPNPNNGNALNFNVKIVAADDSS TVIGECIYENGSFSGGSDGCTVSVTAGKAKFVLYN SPAR_N02440 MSRSNSIYTEDIEMFPTHNEQHLTREYTKPDGEAKSEKLNFEGA YINSHGTLSKTTTREIEGDLDSEISSHSGDDKVNPIQQITTETGAPYTLLSYGQKWGM VAILTMCGFWSSLGSPIYYPALRQLEKQFDVDENMVNVTVVVYLLFQGISPTVSGGLA DCFGRRPIILAGMLIYVVASIGLACAPSYGVIIFLRCIQSIGISPTIAISSGVVGDFT LKHERGTFVGATSGFVLLGQCFGSLIGAVLTARWDWRAIFWFLTIGCGSCFLIAFLIL PETKRTIAGNLSIKPKKFINRAPIFLLGPVRRRFRYDNPDYETLDPTIPKLDLTSAGK ILVLPEIILSLFPSGLLFAMWTLMLSSISSGLSVAPYNYHLVIIGVCYLPGGIGGLMG SFFTGRVIDMYFKRKVKKFEQDKADGLIPQDAEINMFRVRLVCLLPQNFLAVVAYLLF GWSIDKGWRIESILITSFVCSYCAMSTLSTSTTLLVDLYPTKSSTASSCFNFVRCSLS TIFMGCFAKMKTAMTVGGTFTFLCALVFFFNFLMFIPMKYGMKWREDRLLKQQK SPAR_N02450 MVKETKFYDILGVSVTATDVEIKKAYRKCALKYHPDKNPSEEAA EKFKEASSAYEILSDSEKREVYDQFGEEGLSGAGGAGGFPGGGFGFGDDIFSQFFGAG GAQRPRGPQRGKDIKHEISASLEELYKGRTAKLALNKQILCKECEGRGGKKGAVKKCS SCNGQGIKFVTRQMGPMIQRFQTECDVCHGTGDIVDPKDRCKSCNGKKVENERKILEV HVEPGMKDGQRIVFKGEADQAPDVIPGDVVFIVSERPHKNFKRDGDDLVYEAEIDLLT AIAGGEFALEHVSGDWLKVGIVPGEVIAPGMRKVIEGKGMPVPKYGGYGNLIIKFTIK FPENHFTSEENLKKLEEILPPRIVPAIPKKATVDECVLADFDPAKYNRTRASRGGANY DSDEEEQGGEGVQCASQ SPAR_N02460 MPRISTSLIRKAARIRPGLHLLLPECRTLEQAKLEYKWLTEELP SKKSIRWACFQRYKHVPLQYILRSQPFGALDIICKPGVLIPRWETEEWVMDIIRALNN SALSSRTIPLHICDTFTGTGCIALALSHGIPHSTFTAIDVSRKAIKLVKENMLKNNVS GGKLIEQNILSSKVCDEYPSHIDILTGNPPYIRMRDFNRDVTTSVKLFEPRLALVGEL ECYRNLVDHWLPKTDSFFYEIGDINQFSYVENRIKEDSNLSRSWSIGLKYDSNGKARV VYGFNTTPKGRMLLQIFAPFGTIRHLATALSKHKANSK SPAR_N02470 MDALTTIDFNQHVIVRLPSKNYKIVELKPNTSISLGKFGAFEVN DIIGYPFGLTFEIYYDGEEVSSDENRHSKPKNKIPIGKVRLLSQEVKDDNNDKDDGQS EPPLSIKEKSMSVELSSIDSSATNQNLVNMGSKAQELTIEEIEKMKQESLSSKEIIDK IIKSHKSFHNKTVYSQEKYVNRKKQKFAKYFTVEYLSSSNLLQFLIDKGDVQRVLDMS QESMGMLLNLANIQAGGNYLCMDETGGLLVYFLLERMFGGDNQSKSKGKVVVIHENEH ANLDLLKFANYSEKFIKEHVHTISLLDFFEPPTLEEIQERFTPLPKEEARALKGGRKN SYYRKLRWYNTQFQILELTGDFLYDGLVMATTLHLPTVVPKLAEKIHGSRPIVCYGQF KETLLELAHTLYSDLRFLAPSILETRCRPYQSIRGKLHPLMTMKGGGGYLMWCHRVIP APEPVLEAVTEVESNNKLAEHGAKKQKI SPAR_N02480 MGSRRHKNKQAAPPTLEEFQARKEKKANRKLEKGKRPSATQGDE ASDRKKKKSKPFKKSRKEEEEVVEEDKNLPEVDLEELSKARKSLFDDEEDDGEAESVD EELKDEFDLEQEYDYDEDEDKDAHPIFSDDDDEADLEELNAQNMEALSKKLDEEEAEE AEEAEMELMEADNMQPRADILPTEEQEEMMAQEAPNLTSTRTRMIEIVKVLENFKTLG AEGRSRGEYVDRLLKDICEYFGYTPFLAEKLFNLFSPAEAMEFFEANEIARPITIRTN TLKTRRRDLAQTLVNRGVNLQPIGSWTKVGLQIFDSQVPIGATPEYLAGHYILQAASS FLPVIALDPHENERILDMAAAPGGKTTYISAMMKNTGCVFANDANKSRTKSLIANIHR LGCTNTIVCNYDAREFPKVIGGFDRILLDAPCSGTGVIGKDQSVKVSRTEKDFIQIPH LQKQLLLSAIDSVDCNSKHGGVIVYSTCSVAVEEDEAVIDYALRKRPNVKLVDTGLAI GKEAFTSYRGKKFHPSVKLARRYYPHTYNVDGFFVAKFQKIGPSSFDDNQASAKEKET AARKEALEEGIIHSDFATFEDEEDEKYIEKSVKNNLLKKGVNPKAKRPSNEK SPAR_N02490 MSSRDASLTPLKAVVIDDPPLRQTPEPFDEQSTFDPQSPIAIDF GSSKLRAGFVNHATPTHIFPNVLTKFRDRKLNKNFTFVGNDTLLDQAVRSQSRSPFDG PFVTNWNLTEEILDYTFHHLGVIPENGIPNPILLTERLATVQSQRANWYQILFETYNV PGVTFGIDSLYGFYNYNPSGNKTGLVIDCGHEDTNVIPIVDGAGILTDAKRINWGGHQ AVDYLNDLMALKYPYFPTKMSYLQYETMYQDYCYVSRNYDEDIKKILTLESLDTNDVV VEAPFTEVLQPQKTEEELRIQAEKRKETGKRLQEQARLKRMEKLVQKQEEFEYFSKVR DQLIDEPKKKVLSVLQNAGFDDERDFKKYLHSLEQSLKKAQMVEAEDDSHLDDMNEDK TTQKFDLLDIADEDLNEDQVKEKRKQKFLKASQDARQKAKEEKERVAKEEEEKKLKEQ QWRETDLNGWIKDKRLKLNKLIKRRKEKLKLRDEMKDRKSQVSQNRMKNLASLAEDNV KQGAKRNRHQATIDNDPNDTFGANDEDWLIYTDITQNPEAFEEALEYEYKDIVELEGL LLEHDPNFTEEDTLEAQYDWRNSILHLFLRGPRPHDSENIHEQHQMHLNVERIRVPEV VFQPTMGGQDQAGICELSETILLKKFDSQPGKLSQTCIDMVNNVFITGGNAKVPGLKE RIVKEFTGFLPVGTNITVNMSSDPSLDAWKGMAALARNNEQYKKTVISKQEYEEYGPD YIKEHNLGNTKYFED SPAR_N02500 MVRKNFIPSVSLVRRHLPTLATTTTSSTTVSKSTSSVASQTSSK SLPSLASSTSSAPSSSSGTTSSSSLVVPSITPPSTIGNPFILNAANKTNGTVYIAVGA VIGAIFVSILIWWLVSNYLSRRFTMTTSYAKDNKYFYRGHHKHSSSLQSNPFDINDEK FSMHDDWDSMSQLESSQYEDAASPFNPIQDPFTDNRRSLFISPTLQVSQYEKSHSRHQ SRDTNIFIDDPSLYAGTYLGEEEEEKTLNLNRPQRAASPERKEKKINSMEGYHRRNQS SLGLIPVASATSNTSSPKKAHKRQAPSMFLNDVLNGREII SPAR_N02510 MKYIPPLNFSPVVSTDVSLYRSGYPMPLNYSFIKHQLHLKTIIY IGDKDRPLEEYQSFLELEKIKYYHIFMDSSRDEGIQERMNQVLHLVLDVRNYPILVHS NKGKHRVGVVVGIIRKLLQGWSTAGIYQEYGLFSGGMKDGVDLEFITMFETNLKIPRN IIPGFAEHCLYLNELEAVEGSDDESESESILTAKQSL SPAR_N02520 MISATDSPNTTQQSVSKIKIKPTPSTMSPPVYSDISRNINDLLN KDFYHATPAAFDVQTTTANGIKFSLKAKQPVKDGPLSTNVEAKLNDKQTGLGLTQGWS NTNNLKTKLEFANLTPGLKNELITSLTPGVAKSAVLNTTFTQPFFTARGAFDLCLKSP TFVGDLTMAHEGIVGGAEFGYDISAGSISRYAMALSYFAKDYSLGATLNNEQITTVDF FQNVNAFLQVGAKATMNCKLPNSNVNIEFATRYLPDASSQVKAKVSDSGIVTLAYKQL LRPGVTLGVGSSFDALKLSEPVHKLGWSLSFDA SPAR_N02530 MTEEDRKLTVETETVEAPVANNLLLSNNNNVAVPNPSIPSASTS TSPLHREIIDDSVTTANTTSNAVQHNLSTIDNNLMDSDATSHNQDHWHPDINRAGTSM STSDIPSDLHLEHIASVPSTNNNGNNALINHNPLSSHLSNPSSSLRNKKSSLLVASNP AFASDIELSKKKPPLISNNIPTSNIALYQTARSANIHGPSSTSASKAFRKASAFSNNT APSTSNNIGSNTPPAPLLPLPSLSQQNKPKIIERPPMHVTNSREILLGENLLDDSKTK NAAANSTTHDNDLMANDGLHIPNHSSVDDTEKNNKTKKNNSNSGRSERNDDANKTSAA LTKTAPSTTPLATADNTLAPTANISNSNADTYNNNKKKISNINSNNNNHGASNKANAD IKNANADIKNVNADSSASTSNNNTTNDDSHESNSEKPTKADFFAARLATAVGENEISD SEETFVYESAANSTKNLIYPDSSNQQQQQQQQQQQQQQQQQQQAPKQQQQQQNHGITS KISAPLLNNNKKLLSRLKNSRHISTGAILNNTIANISTNSNLNSNIMQSNNNLTSGHN HLDELSSIKQEPPHQLQQQQLPMDVQSVDSYTSDNPDSNVIAKSPDKRSSLVSLPKIS PHLLSSTSSNGNTISCPNVTTTSQELEPNNDISTKKSLSNSTLRHSSANRNSNYGDNK RPLRTTVSKIFDSNPNGAPLRRYSGVPDHVNLEDYIEQSHNYPTMQNSVKKDDFYNSR SNKFPHGLNFYGDNNVIEEGNNGDSSNPNRPQHTNLQHEFIPEDNESDENDIHSMFYY NHKNDLETKPLISDYGEDEDVDDYDRQNATFNSYYNSASNTHELPLHGRMPSRSNNDY YDFMVSNNTGNNNQVNEYTPLRMKRVQRHLSRTNNSIMNGSIHMNGNDDVTHPNINNN DIVGYSPHNFYSKKSPFVKVKNFLYLAFVISSLLMTGFILGFLLATNKELQDVDVVVM DNVISSSDELIFDITVSAFNPGFFSISVSQVDLDIFAKSSFLKCDSSGDCTVIEHEWK ILQMTTNLSLVEESAKNDVSDGNIETVLLGTVKKLETPLKFQGGAFNRNYDVSVSSVK LLSPGSREAKHENDNDGDDGDGGGGDDDDDGDDENNINKRQYKSKPNAREDKEDDTKK WKLLIKHDYKLIVRGSIKYEVPFFNTQKSTAIQKDSMVHPGKK SPAR_N02540 MQFHSDKQQLDSKSDIDFKPNSPRSLQNRNTKNLSLDIAALHPL MEFTLQSQDVPGSVKFPSPTPLNLFMKPKPTVLEKCPARVSPRPTPPSLSMRRSEASI YTLPTSLKNRTVSPNVYTRSSTVPSISKLSSSSPLSSFSEKPHLNRVHSLSVKTKDLK LKGIRGRSQTISGLETSTPISSTRGGTLDNSDVNRFSSQKNMQTTLIFPEEESDLNID MVHAEIYQRTVYLDGPLLVIPPNLYLYSEPKLEDILSFDLVINVAKEIPNLEFLIPPE MAHKIQYYHIEWTHTSKIVNDLSRLTHIMHTAHLQGKKILVHCQCGVSRSASLIVAYI MRYYGLNLNDAYNKLKGVAKDISPNMGLIFQLMEWGTMLSKNLPGEEGETVHMPQEID IGNNEPSSSTTKSYSSASFRSFPMVTNLSSSPNDSSVNSSEVTPRTPATLTGGRTTLA ADHGDDDEHRKRLSQPTDSLEPSIDNESISTAPEQMMFLP SPAR_N02550 MLRNTFTKAGGLSRITSVRFAQTHALSNAAVMDLQSRWENMPST EQQDIVGKLSERQKLPWAQLTEPEKQAVWYISYGEWGPRKPVLNKGDSSFIAKGVAAG LLLSVGLFATVRMVGGEDTKTMNKEWQLKSDEYLKSKNANPWGGYSQVQSK SPAR_N02560 MAKTKKSAKDSCIQNNMVTAPMPNDLEDFESLLEPDFDAKQFGN DLLKATNNNDTTTLDLNTPLKKLNYDLHEIDSRIDQLINTNPLEIIELIYKNENANST IVGELKPSLEYLNISYDRLKTQVLDPYERARKVQVALSKVYQTSLLLRGALLYIHLSD KLNTMSKTAQLNTSIAVNLASLHYQLEITLDENKNLKSLQKIKQLDQDIVSPKKRELI TFLSLQMSKECLNSIKIKSNKETISQLAYSLYLLSPQEFESTVTKIILSNVTISSQIL SKTLNSIRMFPEAFNEVVEKGYNIYFLETLLRNIKTDNITTSSKSVVANKSHLGNLLS EYNSMKSKAGPGTPRDLFWNKVSFTFKKDFEISVNRGGPVGKSLLKNKDFIIDTIKQN MKKSSDNSDYQSYLDIMLNSVSISPNK SPAR_N02570 MSELKIVSRKDLYNEGEELGEDNNYDNSGSNNSETVEVLVPPTE FEFVEVERTDSSLDLKASDNSAQEQKEEKQDEFEFPLFSFGVVEASNGPAQEDQGTSN GEKNAAQTEVNLMKISLKEPEEEIIDQKRPEDYYFANYSTEQKLQFLQSSVDYDMVVQ ESSKILEDDQRVHDKWPYCQGRIMDLHEHNAKIESQQQKELKIRKRRPGQKQRAAKKM ALERTKERDAKAREIKKMLKKKFHKRGGKKNKKKIALNPLANAGSTPKFRTE SPAR_N02580 MSHHKKRVYPQAQVSYTASVPIVAEQQQSQQQIDQTAYAMGDLQ LNNNSYSLTQLAQNQQFPGTGKVVNQLYPVDLFTELPPPIRDLSLPPPPITISQDNIV TPSESSNIPYQYVRSTLNAVPKTSSLLKKTKLPFAIVIRPYLHLQDSENQVPLNTDGV IVRCRRCRSYMNPFVVFINQGRKWQCNICRFKNDVPFGFDQNLQGAPINRYERNEIKN SIIDYLAPVEYSVREPPPSVYVFILDVSQNAVKNGLLATSARTILENIEFLPNHDGRT RISIICVDHNLHYFYVPLDDDYEESDNDSEELDEADEDEEEEEEDEEDDTSETIQMFD IGDLDEPFLPMPSDELVVPLKYSKKNLEKLLKKIPEIFQDTHSSKFALGPALKAASNL IKSTGGKVEVISSTLPNTGVGKLKKRSEQGVLNTPKESSQLLSCKDSFYKTFTIECSK LQITVDMFLASEDYMDVASLSHLGRFSGGQTHFYPGFNATSLNDVTKFTRELSRHLTM DISMEAVMRVRGSTGLRATSFFGHFFNRSSDLCAFSTMPRDQSYLFGISIEDSLMAEY CYLQVSTLLTLNTGERRIRVMTLALPTTESAREVFASADQLAIADFITQNAVTKALNS SMYSARDFITKSLEDILSAYKKEISMSNINSVASLNLCANLRMLPLLMNALSKHIALR PGVVPSDYRASALNKLETEPLHYLIKSIYPTVYSLHDMPDEVGLPDFEGKTVLPEPIN ATISLFERYGLYLIDNSAELFLWVGGDAVPELLIDVFNTDTISNIPVGKSELPPLNNS PFNERLRNIISNIRENNDTITFQSLYIIRGPSINEPANLNSERDMASLRLWALSTLVE DKVLNCASYREYLQSMKTAINR SPAR_N02590 MDGIWTDYNFKEIKSHFGFKKYAVSCLVIVYGLIKVFTWIFRQW VYSNLNNFSNKSSLLKRATASCGEKNVKLFGFFHPYCNAGGGGEKVLWKAVDITLRKD AKNVIVIYSGDFVNGENVTPENILNNVKVKFDYDLDSDRIFFISLKLRYLVDSSTWKH FTLIGQAIGSMMLAFESIIKCPPDIWIDTMGYPFSYPIVARFLKRIPIVTYTHYPIMS KDMLNKLFKMPKKGIKVYGKILYWKIFMLIYQSIGSKIDIVITNSTWTNDHIKQIWQS NTCKIIYPPCSTEKLVDWKQKFGTARGERLNQAIVLAQFRPEKRHKLIIESYATFLKN LPDSVSPIKLIMAGSTRSKQDEDYVKSLQDWSENDLKIPKNLISFEKNLPFDKIEILL NKSTFGINAMWNEHFGIAVVEYMASGLIPIVHASAGPLLDIVIPWDSNGDIRKVQSQW ELQKKYFATLEDDGETTGFFFKEPSDPDYNTGKDSLRYPNLSELFLQMMKLDYDCLKV MSARNQRYALYKFSDLKFDKDWEDFVLNPICILLEEEERG SPAR_N02600 MSYQRNSGRTSLDLRSQYQQLEGRMRSEHFNPAYQQQQQKGQNM PLSLPSSLAQRNPVPYPIDAVTSDHTIPAHSDVYDQDRQNSMVDAAAGTNVTHSLNSN NIPPSQNNNNNNNNDVSNIGSFTDPSILAMPRMSLHSHQKQYNSNQNDPRSPLVILIP TSAQPTDVLSARFSAWRNVIRAILVYLSETASIQDEIVRQQLRLSHAVQFPFFSIENQ YQPVSNEDKSLQKFFLPLGSGSIQDLPTMLTKYHENLASLALKSSKELTSEIIPRLED LRRDLLVKIKEIKALQSDFKNSCGKELQQTKHLMKLFNESLKECKLGTPKSDPFLIKL QLEKQIKRQLVEENYLHEAFDNLQNSGAQLESVIVMEIQNGLTSYAKILGKEAQVVFD SVISKLDSTILNKNTNLEWDSFILRNISSFVPPNLPMRKFKEISYNNQNDPFTLEVKS GFLEKRSKFLKSYSRGFYVLTPSFLHEFKTPDRHKFSTPLMSIPLVECTVTEHSKKTK SNSEQGKNKFILRTNSNGLIHRGHNWVFKVDSYDDMIEWFENLKALSSLPNYDDKCKF VNKVTRLSKNKAMNNENIMESVTAQATNEQNTKPDDMSSSNFPLNSIPKLDNLTITNT TSSIPETDDSQIQNRVPEFYIENVDSPRKSNQP SPAR_N02610 MEHVPKKSFGHFFKRKTTTVDGSKPQVSGTANRLRKLLHKGKIQ QQMVPAESQYRIPGYFRDNRSVRVKTPMCNNSPLAAPSTLHINERYVRYDINTRPLIV VLAISIVFFGCLLVLKDIIIQSSENILSVSKWKIIGASFMGTPYTGLLTGLIGTAFSP FSAVSSWLSFIF SPAR_N02620 MFLLPFLTRHPSSIHQSSVQFRGLLTVISRNIHIPIPHKMLPLS IEQRRPSRSPEYDQSTLSNYKDFSVLHTHLSLSVSFDKSAISGNVTFQLKKLYDEKKS RDFHLDTSYLDVQEVQIDGSKADFRIEQRKEPLGSRLVINNTSCNDNINLNIQFRTTD KCTALQWLNSKQTKGGKPYVFSQLEAIHARSLFPCFDTPSVKSTFTASIESPLPVVFS GITIEDTTTDTNIYRFEQKVPIPAYLIGIASGDLSSAPIGPRSTVYAEPFRLEDCQWE FENDVEKFIQAAEKIIFDYEWGTYDILVNVDSYPYGGMESPNMTFATPTLIAHDKSNI DVIAHELAHSWSGNLVTNCSWNHFWLNEGWTVYLERRIIGAIHGEPTRHFSALIGWSD LQNSIDSMKDPERFSTLVQNLNDNTDPDDAFSTVPYEKGFNLLFHLETVLGGKAEFDP FIRHYFKKFAKKSLDTFQFLDTLYEFYPDKREILDSVDWEAWLYKPGMPPRPHFITAL ADSVYQLADKWVEMAQNLKNTEEFRSEFDAIDIKDFNSNQLVLFLETLTQNGHSSKKP KDFDWAKFPVASKALLDIYQDKIVKSQNAEVVFKMFKFQIFAKLQETYKHLADWLGTV GRMKFVRPGYRLLNSVDRQLAVATFDKFKDTYHPICKALVKQDLGL SPAR_N02630 MNQLGALAQVSRFTQNFSMENIKSEFQSLQSKLATLRTPQEFFN FKKISKPQNFGEVQSRVAYNLKYFSSNYGLIIGCLSIYTLLTNLLLLFVIVLVVAGII GINRLKGEELVTPFGSFKTNQLYTGLICVAVPIGFLASPISTLLWLIGASAVSVFGHA SLMEKPIETVFDEETV SPAR_N02640 MSTFNSYSQPKESSDNSHNNVNRSKSLLDIIFGTNVSEWAFSEN ALMKAMDLKIEQEKTKQQYYKLENLNRSIELFKLASSSGLPINQIHKLFNTDHGAPAP SPMKAGGNQLHNNTESAQPSEHLPRLSGSIKSLKPLNMNTVSPTPMSRQPSPYKFPAP SSTNGLPHSTATNVQRRANSPARIGASAVAALNDNISIKEEDMARRIPSGTTSQESPL NKKPTSLHSRNLSLPIGKFTNPNIPSTMTSILSFNRDQQQPTSQLPPQQQQQQQQQDF HTHNLHTIPRKPGMVQKKHRRARSTSSFGVIDLSIIDEAKEKQLQRSPSPIHSNVPVA LTSQDKPIESNMKEQPNMPQSVREGRQVHDDLDDRTCSESSSRNESPVRTITKDNSVG KILNSS SPAR_N02650 MDFVVDYQTYAMADAATSELPEPEPRLNLTSDAQSQPAGKVGLQ FKLPDLEHHANNHTNLAIENDGAGSKDLHKRMTHYAMSSIEKIQLSNPNKHLGQNSPN DKLPQQEAQNFTNYESNNLDLSKLVSTSNGSNKNTTNLVLSNKLSKILNNYTLINYQA TIQLRKSLKILEENKERLSLDEQKLMNPDYVGTLARRALRTDLESQLLKEHITVLEEF KPIIRRIKRFSSSVEKIQKTSENLLSNETDKVPKNNVALQEINQYRLKADQLKLKKKI LISIRDRFTLNQIEDDVITNGTIDKTFFDVVRKVIAIKDESSFLLTLPNLNAGNALIV GVNEILQKTNKKIFNYLIDFLYSFESSSNLLNDHGTTEQENLTIFRKSLVFLSSDLEL FNELLKRVTTLRSKSILDEFLSQFDMNSATSKPIILSAHDPIRYIGDVLASVHSIIAN EADFVKSLFNFQDEDLKDTPLSILQQNGTFLNGIDNKLLNDIIQSLSNSCRIRIEQIV RFEENPIINFEIVRLLKLYRVMFERKGIQDDSSIINNLQSLEDISKHRIIGYYEDYIK QTVPTETANSSDDLLPPEWLSEYINKLVELFEIYEKTHAAEDEESEDNKLLSYKNLQT IVEQPIKGILMKQLLASFPLAKKNEKVKASLLTIEINCLDLIKSRLQPFEGIFAQDDD SREITNWVYDKLNEFTKQMQTLQIKFLFENTGLDLYNNLVNMIFPVDSVKDELDYDMY LALRDNSLMELDTIRKNVHDKLNDYLPQALTDVQGNLLFKLTSPTIADEICDECFKKL SLFYNIFRKVLVHLHPNKKDQVFEILNFSTDEFDMLVGIDH SPAR_N02660 MSTAMTPARVGALACQRNSFLFDGFKTLVVSCEPIKNKKDKIED YEIELQDTILFPEGGGQPSDSGSLKIVEGNGDSSLIEKISVSHVSRTGLHAKHHVNEY IEPGTTVEVAVDGERRMDYMQQHTGQHLLSAILERNYKVDTVSWSMGGIITKKKLALE PSDYFNYIELNRRLTVDEVTQISDEINQLIINFPQEITVEESTGEEKVDEVDTSKIPD DYDLSKGILRTIHIGDIDSNPCCGTHLKSTSQIGSILVLSNQSAVRGSNSRLYFMCGK RVSDYAKSTNKILLDSKNLLSCSETQIPEKITRQTKQIQQSNKREQYWIKKLARTVSK ELKNSLEASGRKRAYFMEEEFGTLELLLQIHKEISIFLKNGLEAYEIILCGYERQTTT GSLLILSESGEKISTLAINLGSVLRNLKGGGGKKGGKWQGKFTSISNAEFAALSDYLS HDFASC SPAR_N02670 MSSIVNKSGTRFAPKVRQRRAAAGGTPTPKPRTPQLFIPESKEI EIDNSDNDKVADENETAVVGKAPLGEEHSLEGFTLTATTGHDNGIEHEGPIDASTQNP ELNVTEDNATLKPAPLRTQLDQKTSRSSRLASLSKDNESRPSFKPSFLDSSSNGNGTA RRLSTISNKLPKKIRLGSITENDMNLKTFKRHRVLGKPSSAKKPAGAHRISIVSKIPP PTAMTESLDRNELSSEVPTSRAPNENENYVISKVKDIPKKVRDGESAKYFIDEENFTM AELCKPSFPIGQISENFEKSKMAKKAKLEKRRHLRELRMRARQEFKPLHSLTKEEQEQ EEEKRKEERDKLLNADIPESDRKAHTAIQLKLNPDGTMAIDEETMVVDRHKNASIEND YKEKVDENPFANLYNYGSYGRGSYTDPWTVEEMIKFYKALSMWGTDFNLISQLYPYRS RKQVKAKFVNEEKKRPILIELALRSKLPPNFDEYCCEIKKNIGTVADFNEKLIELQNE HEQHMKEIEEAKNTAKEEDQTAQRLNDANLNKKGSGGIMTNDLKVYRKTEVVLGTIDD LKRKKLKERNSNDNEDNEESGGESEIDQ SPAR_N02680 MRIVYGGRKYKNDMISKDYEFGKTSILNRKKYTLVIDEDTNGSY IRFTVLPVSNIKFKKVKQNGRMEINMGTQYHQIILILLLNITFYAICVRSRFLEHINR TFELRIALSREIVIMMGLFVLGTIILVREPSVETVTIFKETGLQLSTVKGIVIFPQQW NRKLFEQVEFISNERIIDVVINEGFCRGFRVIFYLAAIVRKSSALKLLFPSNLPNIDD QRLIYNISRKYLSKQEKPLRRPKN SPAR_N02690 MLNRTIAKRTLATAAQAERTLPKKYGGRFTVTLIPGDGVGKEIT DSVRTIFEAENIPIDWETINIKQTDHKEGVYEAVESLKRNKIGLKGLWHTPADQTGHG SLNVALRKQLDIYANVALFKSLKGVKTRIPDIDLIVIRENTEGEFSGLEHESVPGVVE SLKVMTRPKTERIARFAFDFAKKYNRKAVTAVHKANIMKLGDGLFRNIITEIGQKEYP DINVSSIIVDNASMQAVAKPHQFDVLVTPSMYGTILGNIGAALIGGPGLVAGANFGRD YAVFEPGSRHVGLDIKGQNVANPTAMILSSALMLNHLGLNEYATRISKAVHETIAEGK HTTRDIGGSSSTTDFTNEIINKLSTM SPAR_N02700 MWTNVDTINTKQLIILDDQYSLSPSYSCSHPTKTSTIVTTQLQL LHIKMSATESSSIFTLSHNSNLQDILAANSKWASQMNNVQPTLFPDHNAKGQSPHTLF IGCSDSRYNENCLGVLPGEVFTWKNVANICHSEDLTLKATLEFAIICLKVNKVIICGH TDCGGIKTCLTNQREALPNANCSHLYKYLDDIDTMYHEESQNLVHLKTQREKSHYLSH CNVKRQFNRIIENPTVQTAVQNGEVQVYGLLYNVEDGLLQTVSTYSKAIPK SPAR_N02710 MAGYSLVESNSFGAENWCLKLQPSYKHGLLTGLSNGEIHLLDWT TGKSSQKIKASETAINDMKVIGSDFAAGHLVSSASIDAVKVFDIRTNSRIAKIQNEAN SPFISLDSRHGLLACGTELQGIDAAVHIYDIRKWDTPLRSLIDSHHDDITCIKFHPSD VNVLLSGSTDGYTNIYDLKQDEEEDALHQVINYASIHSCGWLSPKRVYTLSHMETFAI HELNDKSDELKEPQPLDFGDIREAWNCDYVVDVYPGLIATGKTQESSGELRLLPFNDE KINNENCIVIPHAHGDEVVRDIFIPAQHSDMLYSCGEDGCVKIWKSNQGPLNTPENFW DYSKKMNVLGEDDREASVNLEEPLIIQRESVSTRPRKEKHKKAKKHSVKSRFKPY SPAR_N02720 MPTSFQEFKAFCNKVGLDFQWLNLQSSKSVPENNPAESLPTVNE IEQENLRPATEPLKAEQPKDSAGNFFYDFKNAQIWSVHKQSNEGHSATVPCSKQVSEN IPEAATNKTARTNHENVTIGNTSLPTYSAVHQEENTAITTSYSLSYSSSESCNIITSS SSHNRAMIPSSPVQGNNTAISPTTACTSANNESVPSLASSVSTSSSVYSPWNPPGSPH LSSFPEGIFTSLNPEVTCFDFCRTKDSRTKEKNESTIPTDIFFPVNTIDHQKHDPGRE SEENVCPPAPCVQDVSCGTRSAATFTESNCTVTTAVPSYIQRYLDKPQNWFESTMGKY CPLFLRGTKNIDYDSPEFKFERKMIAVQYLLLDEHSEPRKYYNPSNKTVPFWKRPFNF DTLPSYDQLLDEAEDRFYSYQYRYEGFQRIEPYSIFCPWENTQREIDLVLDHIHYSLD IGKKKSLNRKGNITLDTLDSEVDRNAQIKPYHFFPSNNLVYEGLPHAAEQSLIVSPDT SLVERAFQALVNICKESIPSSDDFPTRNHNSAPQLAQPEPSKPCRLLLIRESRTATES ETNKKFWLYPKRKDIEVTVPMPPPQRGTKSLLQKWFPTFVRQ SPAR_N02730 MVYSKESRIFVLLLALVISLNVLCHSVDVTTVLTTSTVTEVTVT TAAPQPPNKAETVLNTATNIIQTMQFLFNCAPFKWKGPLKITSCALNFIVLLLTAWGY LLKYLQENKLNSDADMEKMVGLGFGEMVSRVVGKGVGKAFTKMDITQKLVYPFEGGNR QKCLLMTVGENSIVPYHDLSTEICFDRHILDSLSHRNHGSISALDAGSVSTLGLADIS SQMSVVSELYTLFGDYTVEVVGGIIKMASALNREGWQREKNGFVVLSRDQPNEMLLSV HMYSSGLL SPAR_N02740 MGLYQAKNNEGSDPKSSSKIDDLIENEAEIIRLIKEDGKLLIDN GDGRDIHNIIQEDKLLSVEFNEVLKRFHGGEKTDVPQKELDEDVDDKYDSNEHHEKTI QVMNTLNHVINKEVIPPENFSHVVGEIYRSSFPRQENFSFLHERLKLKSILVLIPEEY PQENVNFLNLTGIKLYQVGMSGNKEPFVNIPSHLLTKALEIVLNPANQPILIHCNRGK HRTGCLIGCIRKLQNWSLTMIFDEYRRFAFPKARALDQQFIEMYDDDEIKRIASKNNW LPLKW SPAR_N02750 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRFQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQESVEAYLV SLFEDTNLAAIHAKRVTIQKKDIKLARRLRGERS SPAR_N02760 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEEVRAVLKSFLESVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G SPAR_N02770 MLLVRRTINAFLGCIQCNLTVICILIAFVVTMCVVFVSESAPVD GIMNAFLPFSKMDLATKRDRPFYPNCVDTQDYLLNPSYTKQNASFVMLTRNGELEDVI KTINSIEEHFNQWFHYPYVFLNNKPFEEDFKAKVRDVTMGAGVEFGTIDEISWNFPSN VKDTFEFYNAIEDQGDRSILYGSLESYHKMCRFYSGLFYKHPLIQKYEWYWRLEPDVE FFCDITYDPFWEMLRTNKKYGFTIIIPELYWTVPNLFRHTKTFISQKGVKLGSLWKLF TKDYNIFESNDAELRDWINHDLDAKAKVSEKVAIEQLLKKGNDLEKINDDKEGIMNLI NKARSKKHIVEDKFFNEEYNLCHFWSNFEIARLSVFDNDIYNSFFQYLEESGGFWKER WGDAPVHSIGLSLTLDLDDVHYFRDIGYRHSTIQHCPQNALGNEEFPYVASSRKSKRK NVVYDEGRDFGCGCRCRCPKKKRDIEDSMGFCVNIWVNLINQQRGHERQAEVLNGNEM ERHIREDYLKQFKN SPAR_N02780 MSFSNGNMASYMTSSNEKEQSINSKNDTDDSSAYRRNNIRNSSN SGSHTFQLSDLDLDVDMRMDSTNSSENISKNFSSGIPDSFDSNVNSLLSPSSGSYSAD LNYQSLYKPDLPQQQLQQQQQQQQQQQQQQQQQQTPTLKVEQSGTFQWDDILTPADNQ HRTTLTNQFLSPRSNYDVNNRSSGNDSNYSDTESNYHTPYLYPQDLVSSPAMSHLTAN NDDFDDLLSVASMNSNYLLPVNSHGYKHISNLDELDDLLSLTYSDNNLLSASNNNDFN SSNNGITTTDTQNSTIAINKSKTGTNQKMLLTIPTSSTPSPSTHAAPVTPIISIQEFN EGHFPVKNEDDGTLQLKVRDNENYGTTNSNNLLRPDDNDYNNEALSDIDRSFEDIING RKLKLKKSRRRSSQTSNNSFSSRRSSRSRSISPDEKAKSISANREKLLEMADLLPASE NDVNQERHDNDSRASYNDTINSGNSNEENSNNNLLTSQAEIESGIVNIKTELDNTSKD LGILLGIDNLNQFEPKVGFKNDDNHENKDNGPFSFKKNDNLEKLDSSTNNRKNPANFA CDVCGKKFTRPYNLKSHLRTHTNERPFICSICGKAFARQHDRKRHEDLHTGKKRYVCG GKLKDGKPWGCGKKFARSDALGRHFKTESGRRCITPLYEEARQEKSGQEN SPAR_N02790 MAAPSDASNEISLDSLTPIFNESSASKPIRVAGVVTTGTDNIDP RVLQAYLDETILKSITLGQLVKNADVLNKRLCQHHIALNAKQSFHFQSNRYISDEKST HDTVPLMEVVSQLDVLPPKTFTAKTGTNFGNDNDAEAYLQFEKLVDKKYLKLPTRVNL ELLRGTKIHSSFLFNSYSSLSPQTILNLKVFSQFYNWNTNKGLDIGQRGARLSLRYEP LFLHRLLHNPHSNERPTLFHEWFLETCWRSTKICSPGTGAPYMYSDTMLSQAGDQLRT VLGHTFVLDKRNHMMCPTKGSMLKWSSELSPGKHLKTQFELNNVKSWLNDDFITFSTT FRTGYLKNLSSQQSLPVHICDKFQSGGPNDIRSFQTFGLGPRDLYDSIGGDAFVSYGL SVFSRLPWKKVEKSNFRLHWFFNGGKLINHDNMSLSNCIGQLSREHSTSTGIGLVLRH PMARFELNFSLPITAHENDFMRKGFQFGLGLAFL SPAR_N02800 MSGSFWTSTQRHHWQYTKASLAKERQKLWLLECQLFPQGLNIVM DSKQNGIEQSITKNIPITHRDLHYDKDYNLRIYCYFLIMKLGRRLNIRQYALATAHIY LSRFLIKASVREINLYMLVTTCVYLACKVEECPQYIRTLVSEARTLWPEFIPPDPTKV TEFEFYLLEELESYLIVHHPYQSLKQIVQVLKQPPFQITLSSDDLQNCWSLINDSYIN DVHLLYPPHIIAVACLFITISIHGKPTKGSSLASVASEAIRDPEHFSSPAQIAFNRFM AESLVDLEEVMDTIQEQITLYDHWDKYHEQWIKFLLHTLYLRPASAI SPAR_N02810 MSALFNFRSLLQVILLLICSCSYVHGQWPSLLDRYKNHEVLGAF WKMARVGERASPYVSLACILMAISQFNS SPAR_N02820 MESIFGGFGDLVVPRPKEHLGQTDLSFGGKLSPALKICEDGGES GCGGKVWIAGELLCEYILEKSVDHLLSKTVNGRKQFKKVLELGSGTGLVGLCVGLLEK NTFHDGTKVYVTDIDKLIPLLERNIELDKVQYEVLARELWWGEPLSADFSPQEGDLQT NNVDLVLAADCVYLEEAFPLLEKTLLDLTDCIAPPVILMSYKKRRKADKHFFNKIKRN FDVLEVTDFSKFDDYLKQRTHLFQLIRK SPAR_N02830 MTEHEFLGLEQNQDSGGACRGHSLSDGNVSNVENSNEQPSSYEG ESDDDMQYYERAIQEISSGDSYVCMICTVEMDYTCQMFACKRCYRVFDYGCIREWALK STEKTADGIWKCPNCYHVSKKVPAKNRPTCWCGKVVNPEPNLLDPNSCGQTCNAPTCM HGCSKICHLGPHPECTRMIEIMCRCGKHSKCISCYQSKIMKKNFNCQEECGLPLSCAI HNCKKKCHPGLCGPCPALIISEESPNKQIRCYCGNHTRANIKCSEAKFPNSGKPSKDE NGNEWIGMFACADIRVIDYSCHKHSFIEPCLSPPTISGKKACPFLPSLLKTCPCGRTA LDELTKPRKYCDDPIPTCNSRCGKPLKCGKHSCPFICHDKACMDPCSQIDSIKCACEQ STFSVPCGFQEKPRCNIKCESLMSCRRHRCTDRCCSGRPSAIRRKKSLFRSQDLLDES LVEAKHICLKPCNLTLSCGIHKCQRKCHPGKCPPCLESDSNDLVCPCGKTVVPAPVRC GTKLPTCNHPCIKVVRGESMCGHKPMPHTCHSLEVSCPPCTETVFKPCKCGKKDRVRT VCFQTDVSCGTTCGISLSYCRHTCQKACHLPGNCQKVCKQTCGQKRLNCDHTCPKPCH GKIGCPDLPCATLVKISCKCGRIKKSVTCGAKNDTASVTESSVLDCNEECEALNRLKE LREAFGIKEESNNFTNNELDALKKLVSVASTFEELQLPFTEATLSVYSKQERWCSQIE AILNKLMDDKTRSSLHFKPMRPPQRHFIRELAKAYSLYSESQDREPMRSVFIKKEDNG TSSKPVLSLEEAYPLYESFKQLQKERKVQEFQARTTAKLINFEVQDTEPKVEVAKNNG FLVQNLVAGNTAEDLKRFFEPHLKHTLVVNPQYLILDDGKTALVYPENYETASANTER DMELLVGHFDFMAKEAFLADSILLCSIDEELEKRLDTPVIQEDAPIVDNNT SPAR_N02840 MNFYRDATWVLEDIEKEAAKERISGSMQTLVLKSCKRYKLKSNP KHIYAVLDSCWKYKPYLEKVMKRARILENIPKKKGKPLFSSLTLLLLCHDLLLSKQKR IQMGKHPIKDYVLKFKSPLHSELVKLKLKLKVRDLSELVLSEDITNDLPPVRWIRINP LKCHPNGETEPVLAELRKKFTLKVDKWSQLVPGSIYYDEYIPNLFGIHPSDKITAHEL YKHGKIIIQDRASCFPAHILHPGPSDIVIDACSAPGNKTTHTASYIYPEPPKDKTTRI YAFEKDPERAKILQKMIKIAGCSPNIDVNVGDFTKLATPEKCKGATCFIIDPSCSGSG IFGRKFFDSLNRRRMDDKDGDGDVVPDEQEEFIAKEELQTRLAKLSSFQFQMVKHAMS FPAAKKIVYSTCSIHAEENERVVIDLLLDKSVQEWGWKVAPKRKVIPSWPRRGKIEEF EEVFRDGVTHDPQQLADGCIRALPKSDGGIGFFAVCFERK SPAR_N02850 MNSAVVKKEVLENPDHDLKHELEETKDDENSLSTTSKNKRQVIV PICTPKIHYSPLKTGLCYDVRMRYHAKIFTSYFEYIDPHPEDPRRIYRIYKILAENGL INDPTLSGVDDLGDLMLKIPVRAATSEEILEVHTKEHLEFIESTEKMSREQLLKETEK GDSVYFNNDSYASARLPCGGAIEACKAVVEGRVKNSLAVVRPPGHHAEPQAAGGFCLF SNVAVAAKNILKNYPESVRRIMILDWDIHHGNGTQKSFYQDDQVLYVSLHRFEMGKYY PGTIQGQYDQTGEGKGEGFNCNITWPVGGVGDAEYMWAFEQVVMPMGREFKPDLVIIS SGFDAADGDTIGQCHVTPSCYGHMTHMLKSLARGNLCVVLEGGYNLDAIARSALSVAK VLIGEPPDELPDPLSDPKPEVIEMIDKVIRLQSKYWNCFRRRHANSGCNFNEPINDSI ISKNFPLQKAIRQQQQHYLSDEFNFVTLPLISMDLPDNTVLCTPNVSESSTLIVVVHD TSDVWAKRNVISGTIDLSSSVIIDNCLDFIKWGLDRKYGIIDVNIPLTLFEPDNYSGM ITSQEVLIYLWDNYIKYFPSVAKIAFIGIGDSYSGIVHLLGHRDTRAVTKTVINFLGD KQLKPLVPLVDETLSEWYFKNSLIFSNNSHQCWKENESRKPRKKFGRVLRCDTDGLNN TIEERFEEATDFILDSFEEWSDEE SPAR_N02860 MNQPQIGTYNVGTQLTVGSHQVEIIKYLTSGGFAQVYSALISPP DPHSNSNVACLKRVIVPDKPSLNTLRAEVDAMRLLKNNRYVVSYIDSHAAKAILHNGS YEVFVLMEYCERGGLIDFMNTRLQNRLHEFEILQIMSQVTQGVAAMHALQPPLIHRDI KIENVLISANNEYKLCDFGSVCGIIRPPRNSQELSYVQQDILKNTTAQYRSPEMIDIF RGLPIDEKSDIWALGIFLYKLCYYTTPFEKGGEPAILSGRFEFPLYPNYSEQLKSLIR DILVQNPCHRPNVYQLLKRISIMQNVPCPINDIQVVQAPSSHLNLTELHQLSATQNIQ SLNSHMVMDNTMPNATFQISVPDNIITAQAHHGRKNSQTAYDATLSNSTKDSQPRFDK SQNMHHALGSPLVESGASNVSNNVSKSNANSTTKLKQAIVSEAHSFRQNNSINIPLQN IVPQYEDSSSSSDESYSGNIDELKKTRSLGSYSTRGNNKKDQSVKQSLTSSSLPGSSF TPTSTEADLKQESLPFGSTSVNTIDNFNDDLPILIKNNKPAYEDVDVSKQNLKNSIQQ RMLDKLNSSEESFNTRKMSKAKLSEKGEADKPILLKSSAPILKDKKPKPIPPPKPLHL KPKPPPKPLLLAGRKLSLEK SPAR_N02870 MVYSKESRIFVFLLALVISLNVLCHSVDVTTVLTTSTVTEVTVT TAAPQPPNKAETVLNTATNIIQTMQFLFNCAPFKWKGPLKITSCALNFIVLLLTAWGY LLKYLQENKLNSDADMEKMVGLGFGEMVSRVVGKGVGKAFTKMDITQKLVYPFEGGNR QKCLLMTVGENSIVPYHDLSTEICFDRHILDSLSHRNHGSISALDAGSVSTLGLADIS SQMSVVSELYTLFGDYTVEVVGGIIKMASALNREGWQREKNGFVVLSRDQPNKMLLSV HMYSSGLL SPAR_N02880 MPTSFQEFKAFCNKVGLDFQWLNLQSSKSVPENNPAESLPTVNE IEQENLRPATEPLKAEQPKDSAGNFFYDFKNAQIWSVHKQSNQGHSATVPCSKQVSEN IPEAATNKTARTNHENVTIGNTSLPTYSAVHQEENTAITTSYSLSYSSSESCNIITSS SSHNRAMIPSSPVQGNNTAISPTTACTSANNESVPSLASSVSTSSSVYSPWNPPGSPH LSSFPEGIFTSLNPEVTCFDFCRTKDSRTKEKNESTIPTDIFFPVNTIDHQKHDPGRE SEENVCPPAPCVQDVSCGTRSAATFTESNCTVTTAVPSYIQRYLDKPQNWFESTMGKY CPLFLRGTKNIDYDSPEFKFERKMIAVQYLLLDEHSEPRKYYNPSNKTVPFWKRPFNF DTLPSYDQLLDEAEDRFYSYQYRYEGFQRIEPYSIFCPWENTQREIDLVLDHIHYSLD IGKKKSLNRKGNITLDTLDSEVDRNAQIKPYHFFPSNNLVYEGLPHAAEQSLIVSPDT SLVERAFQALVNICKESIPSSDDFPTRNHNSAPQLAQPEPSKPCRLLLIRESRTATES ETNKKFWLYPKRKDTEVTVPMPPPQRETKSLLQKWFPTFVRQ SPAR_N02890 MSENNEEQHQQQQQQPVAVETPSVVEAPTSADPSSEQSVAGEGN SEQVEDDQGENDPSVVPANAITGGRETSDRVLYVGNLDKAITEDILKQYFQVGGPIAN IKIMIDKNNKNVNYAFVEYHQSHDANIALQTLNGKQIENNIVKINWAFQSQQSSSDDT FNLFVGDLNVNVDDETLRNAFKDFPSYLSGHVMWDMQTGSSRGYGFVSFTSQDDAQNA MDSMQGQDLNGRPLRINWAAKRDNNNNNNNYPQRRNYGNNNRGGFRQYNNNNNNNMNM GLNMNMNMNMNNSRGMPPSSMGMPIAAMPLPSQGQPQQSQTIGLPPQVNPQAVDHIIR SAPPRVTTAYIGNIPHFATEADLIPLFQNFGFILDFKHYPEKGCCFIKYDTHEQAAVC IVALANFPFQGRNLRTGWGKERSNFMPQQQQQQGGQPLIMSDQQQPVMSEQQQQQQQQ QQQQQ SPAR_N02900 MTKNFIVTLKKNTPDVEAKKFLDSVHHAGGSIVHEFDIIKGYTI KVPDVLHLNKLKEKHNDVIENVEEDKEVHAN SPAR_N02910 MSDSQQSITVLEELFRKLEVATSETRDGISSELSSFLNGNIIEH DVPEVFFDAFQKAIQSKQKALNTLGAVAYIANETNLSPSVEPYIVATVPAVCSKAGSK DNDVQLAATKALKAIANAVNPVAVKAFLPHLIHSLETTNKWKEKVAILEVISALVDAA KEQIALRMPELIPVLSESMWDTKKEVKEAATATITKATETVDNKDIERFIPKLIECIA NPNEVPETVHLLGATTFVAEVTPATLSIMVPLLGRGLAERETSIKRKSAVIIDNMCKL VEDPQVVAPFLGKLLPGLKNNFATIADPEAREVTLKALKTLRRVGNIGEDDVLPEVSH AGDVSTTLGVLMELLELLKPEKVAPRFAIVVEYIAAIAANLIDERIIDQQTWFTHVTP YMTIFLHEKTAKEILDDFRKRAVDNIPVGPNFQDEEDEGEDLCNCEFSLAYGAKILLN KTQLRLKRGRRYGLCGPNGAGKSTLMRSIANGQVDGFPTQDECRTVYVEHDIDNTHSD MSVLDFVYAGNVGTKDAITSKLKEFGFSDEMIEMPIASLSGGWKMKLALARAVLKNAD ILLLDEPTNHLDTVNVQWLVNYLNTCGITSVIVSHDSGFLDNVCQYIIHYEGLKLRKY KGNLSDFVQKCPTAQSYYELGASDLEFQFPAPGYLEGVKTKQKAIVKVSNMTFQYPGT TNPQVSDVTFQCSLSSRIAVIGPNGAGKSTLINVLTGELLPTSGEVYTHENCRIAYIK QHAFAHIESHLDKTPSEYIQWRFQTGEDRETMDRANRQINENDAEAMNKIFKIEGTPR RIAGIHSRRKFKNTYEYECSFLLGENIGMKSERWVPMMSVDNAWLPRGELVESHSKMV AEVDMKEALASGQFRALTRKEIESHCAMLGLDAELVSHSRIRGLSGGQKVKLVLAACT WQRPHLIVLDEPTNYLDRDSLGALSKALKAFEGGVIIITHSAEFTKNLTDEVWAVKDG KMTPSGHNWVVGQGAGPRIEKKDDEGDKFDAMGNKINSGKKKSKLSSAELRKKKKERM KKKKEMGDEYVSSDEDL SPAR_N02920 MQKLLIVFSVLLTLVLATAPFQVQCPSSPLIREAKHELCPEETL YLKKKEIRTKNKLIGFLKSLTEAKFNSKFYKRVMKDPPKLGIAISGGGYRSMLIGTGF ISQMNDYGLFEYSDYIAGLSGGSWILMDLVVQNFEVNSLLQEWDLEEDLLLGIPEFDI SEEEIVTNAKKEYKDSDMGIKKRHESTQVTSFSNFYEQIEVVTNSIEEIPEDCMMTKR NLNPLTRLKRIFFPNNTFTETDAKIETFKTVLDFYKNLHLKIKPKKMEGFQISFTDYW GKAIVQRLKKNFDGDPNHTFSFSKLVHSSKKFSECSVPIPIFVANCKNGLLSNVIFEF TPFEFGSWENILRLFVKLPYLGSKIVSGKAIKCINNFDDLGFITATSSSIFNNVLIFI WNLASQSSREAMKALNMVMGIFGLGKEEIFSISKDSSRLETDYAVYQPNPFYLYPERD NVLTNRNHLYLVDGGEDGENIPLRTLVIPERELDVIFVLDSSSDIDNYPNGSKLKKIF EKLDEENIHYQFPNNIKTFTRPVVIGCNATKRSGHDSFLPIIVYHANAYHGNASNTST FKITYNQSEVDSMLLTGREVFSNDHDIYYKNCLGCILTKRTMDRLPRKKKFSPFCLQC FKDYCYS SPAR_N02930 MNVVVCSGGTATNSLTPCFSNISTLKGHELTYILPISDNGGSTS EILRIVGGPAIGDIRSRIVRLLQDEQLVELFGHRLPDDKLQAKKEWNEIVEGSHPIWK NISVEVKEMCRSFIIHMQAELLKKIKHSNPFQFERASIGNFFLTGARLFLGSLDASIE LMMRIGRCSPLVHVIPCINTNHTHHISALLTNGEMITGQSQISHPSKSLPEDNGIAHS AKFIHLLGSYDDHLKILLDGEEEEEEEEAEEEYANPIYILPELKNSQLHFDKLDESQN LPAPVHRILYINPYGEEIKPMGNPRAISKVKRADMVVYSIGSLMTSLLPILILGNLAE VILESNNTKKVLLINNKYDREVFGLDGLHYVQMIIDSMSRAIAGYRQSKGIYSENGSF EWQDFITDVIYLKNGEIKIDETIFKKHNIRCHQIASSDKMESEELEKVLNEIGLKN SPAR_N02940 MVKAVIFTDFDGTVTLEDSNDYLTDTLGFGKEKRLKVFEGVLDD TKSFRQGFMEMLESIHTPFPECIKILEKKIRLDPGFKDTFEWAQENDVPVIVVSSGMK PIIKVLLTRLVGQESIHKIDIVSNEVEIDAHDQWKIIYKDESPFGHDKSRSIDAYKKK FESTLKAGEERPVYFYCGDGVSDLSAAKECDLLFAKRGKDLVTYCKKQNVPFHEFDTF EDILASMKQVLAGEKTVAELMEN SPAR_N02950 MSKIRVVNPIVEMDGDEQTRVIWKLIKEKLILPYLDVDLKYYDL SIQERDRTNDQVTKDSAYATLKYGVAVKCATITPDEARMKEFNLKKMWKSPNGTIRNI LGGTVFREPIIIPKIPRLIPHWEKPIIIGRHAFGDQYRATDIKIKKAGKVSLQFNSDD GKENINLKVNEFTKSGGIAMAMFNTNDSIEGFAKASFELALERKLPLFFTSKNTILKN YDDQFKQIFDNLFDKEYKEKFQALGITYEHRLIDDMVAQMLKSKGGFIIAMKNYDGDV QSDIVAQGFGSLGLMTSILITPDGKTFESEAAHGTVTRHFRKYQRGEKTSTNSIASIF AWTRAIIQRGKLDNAGDVIRFGKLLEKATLDTVQVDGKMTKDLALMLGRTNRSSYVNT EEFIDEVSKRLENMVISSNGGKKDMCKL SPAR_N02960 MVTSILQQVKRLLHNKDVFGVFRSKSGNFSNLDSTTPKLEVYAS PNSTVVPAPTLDSFETMLERGNFTTLGLAKAGIRMFFSYSVSKYAVLCFSTAIILNRL TVMSSLRSNSTSIRLPLWSKTLLHLVAALSLINALLQILNQFGFKHNLHVNDMNAYAL SIYLFIALSDCIEIFVSSTTNAPSLMCSDFSIWGLSLNLYIISKVPAGQQHIGDNVEL LGAVFHRLAIHLVELFHIRAYRLCAEVILNVGFFTTFIARAYLNGLDFINICIIHNYF PGFFYISIILVASIYISLKALFTGNPFQSLYSRYKILTKWWRSNNYSGEEEFNEIALS LCLLLVSNDYKVPKKTDNIKSVDEIAAFNNSYMVSGHLNQLQSTPEDLLSHKEINTDS QLPGFINTYLGLFELISTIILKYSRLLKNLLWSKDLENDIDQKPKVKKRNKRDLNRYV TEKNYKKFLYKPDVKELDSESDLRRQELLLPEDDSSKDYFPPRKIDDSVSDEEFDDDR ENQLIMEEEKELTHLSSNAVDSDDLKEIAWNVSMWSILNYEMDDHNKVEGPLTRSQYG KKNPEGVLVDVVIERLLHHTNFRYMYKRLDMKDNDELEFKFDFPLDGCDEVENIDLSC LICKVNKRNIVTWPCRCLALCDDCRISLGYKGFATCVSCDNEVKGYSKLNIV SPAR_N02970 MVKETKLYDLLGVSPTANEQELKKGYRKAALKYHPDKPTGDTEK FKEISEAFEILNDPQKREIYDQYGLEAARSGGPSFGAGGPGGAGGAGGFPGGAGGFSG GHAFSNEDAFNIFSQFFGGSSPFGGADDSGFSFSSYPSGGGAGMGGMHGGMGGMPGGM GGMPGGMHGGMGGMPGGFRSASSSPTYPEEETVQVNLPVSLEDLFVGKKKSFKIGRKG PHGASEKTQIDIQLKPGWKAGTKITYKNQGDYNPQTGRRKTLQFVIQEKSHPNFKRDG DDLIYTLPLSFKESLLGFSKTIQTIDGRTLPLSRVQPVQPLQTSTYPGQGMPTPKNPS QRGNLIVKYKVDYPISLNDAQKRAIDENF SPAR_N02980 MSVILVSAGYDHTIRFWEALTGVCSRTIQHSDSQVNRLEITNDK KLLATAGHQNVRLYDIRTTNPNPVASFEGHRGNVTSVSFQQDNRWMVTSSEDGTIKVW DVRSPSIPRNYKHNAPVNEVVIHPNQGELISCDRDGNIRIWDLGENQCTHQLTPEDDT SLQSLSMASDGSMLAAANTKGNCYVWEMPNHTDASHLKPVTKFRAHSTYITRILLSSD VKHLATCSADHTARVWSIDDDFKLETTLDGHQRWVWDCAFSADSAYLVTASSDHYVRL WDLSTREIVRQYGGHHKGAVCVALNDV SPAR_N02990 MWNPVLLDACSISRQKNVSGVFVQVRNATKRAAGSRTSMKDSAG RRLGPKKYEGQDVSTGEIIMRQRGTKFYPGENVGIGKDHSIFALEPGVVRYYLDPFHP KRKFIGVALSRDLKLPSPHFEPTIRRFGRFELTNKRAASKEEGSISRKDFLAKPNILK QLEIRESKRKELQNKLTKALRDELKLDIQDIELATSYLIRVRASLKNGYPIEDARFNS RYHLKAEERLKAKRENWTNEKLSESLSKIDKCSDLLNSSTSFNNKLELHQYISEQEKQ ALKVKLIEDLEKSQHLQTKKDKNFIRTLFKDACNFLTLSEEVHLRRKYLKPVFPETDS TVETKIGKKTIVSRRFDYTKNKVEVIARSKRAFLSKL SPAR_N03000 MSDQEKSSENNNRSRSRSRSPVRRRMSDDRGYERDNHSSRGSGS YNGRRRFADTYRGSRGSGEYKGGRERPDYRERERFNNRDNPRSRDRYEDRRRGRDGAG RYGNRRDDYSRNYKSRHNTRDDSRRGGFSNSGARGDYGPLLARELDSTYEEKVNRNYS NSIFVGNLTYDSTPEDLTEFFSQIGKVVRADIITSRGHHRGMGTVEFTNSDDVDKAIR QYDGAFFMDRKIFVRQDNPPPSNNIKERKGLDRGELRHNRKTHEIIVKNLPSSVNWQA LKDMFKECGNVAHADVELDDDGVSTGSGTVSFYDIKDLHRAIEKYNGYDVEGNVLDVK LKESVRNHNDGDDIDIPMEDSPVNEEARKFTENVFGGGERNKLIYCSNLPFSTANSDL YDLFETIGKVNNAELRYDSRGAPTGIAVVEYDNVDDADVCIERLNNYNYGGCDLDISY AKRL SPAR_N03010 MNTFFLSLLSGAAAGTSTDLVFFPIDTIKTRLQAKGGFFANGGY KGIYRGLGSAVVASAPGASLFFISYDYMKVKSRPYISKLYPQGSEQLIDTTTHMLSSS IGEICACLIRVPAEVVKQRTQVHSTNSSWQTLQSILRNDNKEGLRKNLYRGWSTTIMR EIPFTCIQFPLYEYLKKTWAKANDQNQVEPWKGAICGSIAGGIAAATTTPLDFLKTRL MLNRTTASLGSVIIRIYREEGPAVFFSGVGPRTMWISAGGAIFLGMYETVHSLLSKGF PTGEEMKA SPAR_N03020 MSSTQDSKVQTLNSNPEILLRKRRNADRTRIERQELAKKKREEQ IKKKRNNKNKFVRAESIVAKTLATSREKERIKRVSILEDKKAKNETQHIASGRDFILK ITEKGNNAEEDSVDLEGSDDEEDDGLLREKTTYDGRPTLLFIVRVRGPLAVNIPNKAF KILSLLRLVETSTGVFVKLTKNVYPLLKVIAPYVVIGKPSLSSIRSLIQKRGRIMYKG ENDTELHEIVLNDNNIVEEELGDHGIICVEDIIHEIATMGETFSVCNFFLQPFKLNRE VSGFGSLNRLRKIKQREAESRTRQFSNAATAPVIEVDIDSLLAKLN SPAR_N03030 MKVISLKKDSFNKGGAVITLLPEDKEDLFTVYQIIDKDDELIFK KKFTSKLDEAGKKKSTDLVKLKIKVISEDFDMKDEYLKYKGVTVTDESGASNVDIPVG KYLSFTLDYVYPFTIIKQNFNKFMQKLLNEACNIEYKSDTAAVVLQEGIAHVCLVTSS STILKQKIEYSMPKKKRTTDILKFDEKTEKFYKAIYNAMKKDLNFDKLKTIILCSPGF YAKILMDKIFQYAEEEHNKTILDNKGMFFIAHCSTGYLQGINEVLKNPLYASKLQDTK YSKEIMVMDEFLLHLNKDDDKAWYGEKEVVKAADYGAISYLLLTDKVLHSDNIAQREK YLHLMDSVESNGGKALVLSTLHSLGEELDQLTGIACILKYPLPDLDEDDDEE SPAR_N03040 MSAILSTTSKSFLSRNSTRQCQNMQKALFALLNARHYSSATEQT LKERFAEIIPAKAEEIKKFKKEHGKTVIGEVLLEQAYGGMRGIKGLVWEGSVLDPEEG IRFRGRTIPEIQRELPKAEGSTEPLPEALFWLLLTGEIPTDAQVKALSADLAARSEIP EHVVQLLDSLPKDLHPMAQFSIAVTALESDSKFAKAYAQGVSKKEYWSYTFEDSLDLL GKLPVIASKIYRNVFKDGKITSTDPNADYGKNLAQLLGYENKDFIDLMRLYLTIHSDH EGGNVSAHTTHLVGSALSSPYLSLAAGLNGLAGPLHGRANQEVLEWLFKLREEVKGDY SKETIEKYLWDTLNAGRVVPGYGHAVLRKTDPRYTAQREFALKHFPDYELFKLVSTIY EVAPGVLTKHGKTKNPWPNVDSHSGVLLQYYGLTEASFYTVLFGVARAIGVLPQLIID RAVGAPIERPKSFSTEKYKELIKKIESKN SPAR_N03050 MSDREQSSGNTAFENPKALDSSEGEFICENNDHSRHSQESLCKI YTAGKNNEYIYIGRQKFLRDDLFDAFGGTLNPGLAPAPVHKFANPAPLGLSGFALTTF VLSMFNARAQGITIPNVVVGCAMFYGGLVQLIAGIWEIALENTFGGTALCSFGGFWLS FGAIYIPWFGILDAYKDKESDLGNALGFYLLGWALFTFGLSVCTMKSTIMFFALFFLL AVTFLLLSIANFTGEVGVTRAGGIVGVIVAFIAWYNAYAGIATRQNSYIMVHPFALPS NDKVFF SPAR_N03060 MSGMIENGLQLSDNAKTLHSQMMSKGIGALFTQHELQKQMGIGS LTDLMSIVQELLDKNLIKLVKQNDELKFQGVLESEAQKKATMSAEEALVYSYIEASGR EGIWSKTIKARTNLHQHVVLKCLKSLESQRYVKSVKSVKFPTRKIYMLYSLQPSVDIT GGPWFTDGELDIEFINSLLTIVWRFISENTFPNGFKNFENGPNRNVFYAPNVKNYSTT QEILEFITAAQVANVELTPSNIRSLCEVLVYDDKLEKVTHDCYRVTLESILQMNQGES EPGADNKALEDEEDFSIFNYFKMFPASKHDKEVVYFDEWTI SPAR_N03070 MHRQREEIPRMIDLYSYSTLEGLLDGLTDLNRIPEEYSPLLEPY FQNIAKHAHLRSRALKICRSNFHKWNEEGAKTGNPEIIRRCLNLWYVLKGKDYKKLKN SPPADNIIKDEIDVSSANDLNVARLEFDEFGKLMSNPLENLILEEVEVNDFIQE SPAR_N03080 MSVSTPNELDALIEQATSESIPNGDLDLAIALEISDVLRSRRVN PKDSMRCIKKRILNTANNPNTQLSSWKLTNICVKNGGTPFIKEICSREFMDTMEHVIL REDSNEELSELVKTIVYELYVAFKNDSQLNYVAKVYDKLISRGIKFPEKLTLSNSPTA MFDSKTPADWIDSDACMICSKKFSLLNRKHHCRSCGGVFCQEHSSNSIALPDMGIYEL VRVCDSCFEDYDLKRHDESKKSKKHRHRKRRDKDYSTPENEEELIKRAIELSLKESRN SASSEPIVPIVESKSAGKIEKIEEEEDPDLEAAIQESLREAEEAKLRSERQQATRQLQ PQQQPPKPQPIQSVDLSDEEKDNIYMFASLVEKLKSRPLNEILEDSKLQSLAQKVFSS KARLNYALNDKAQKYNTLIEMNGKISEIMNIYDRLLEQQLQSINLSQQYTLPQVPSDP YSYPTENMANQAQNYQIPPLQQPSSHQYKPQQEISYQQPVKANPSPTTNIDHLKTINV VPHAQQESQAQVELAPSDPPYPKEEGDDEEKQTAQDEGSSTQESRERPYPVETETGEN SRNERPQGITRYDFPTVPARKVVQPELTVPISASPSEIPIKEERPPTPQEELLIEL SPAR_N03090 MIRSTLSSWREYLTPIMHKSTFLTTGQITPEEFVQAGDYLCHMF PTWKWNEESSDISYRDFLPKNKQFLIIRKVPCDKRAEQCVEVEGPDVVMKGFAEDGDE DDVLEYIGSETEHVQSTREGGTKDSPIDDIDELIQDMEIRDEDENDNTEEFNAKGSLT KDMAQERYYDLYIAYSTSYRVPKMYIVGFNANGSPLSPEQMFEDISADYRTKTATIEK LPFYKNSVLSVSIHPCKHANVMKILLDKVRVVRQRRRKEQQEEQEWDGVGDWEDLQDD IDDSLRVDQYLIVFLKFITSVTPSIQHDYTMEGW SPAR_N03100 MGTLFRRNVQNRKSDSGENDRGNSAHNKRENRNHIHHQQGLGHK KRRGISGSARRKEHGNEFDKKRDGSGRKRWRDSRRLIFILGAFLGVLLPFSLGAYHVH NSDSDLFDNFVNFDSLKVYLDDWKDVLPQGLSSFIDDIQTGNYSTSSLDDLSENFAVG KQLLRDYNIEARHPVVMVPGVISTGIESWGVIGDDECDSSAHFRKRLWGSFYMLRTMV MDKVCWLKHVMLDPETGLDPPNFTLRAAQGFESTDYFIAGYWIWNKVFQNLGVIGYEP NRMTSAAYDWRLAYLDLEKRDRYFTKLKEQIELFHQLSGEKVCLIGHSMGSQIIFYFM KWVEAEGPLYGNGGRGWVDKHIDSFINAAGTLLGAPKAVPALISGEMKDTIQLNTLAM YGLEKFFSRIERVRMLQTWGGIPSMLPKGEEVIWGDMKSSSEDALNNNTDTYGNFIRF ERNTSDAFNKNLTMKDAINMTLSISPEWLQRRVHEQYTFGYSKNEKELRENELHHKHW SNPMEVPLPEAPHMKIYCIYGVNNPTERAYVYKEENDSSALNLTIDYESKQPVFLTEG DGTVPLVAHSMCHKWAQGASPYNPAGINVTIVEMKHQPDRFDIRGGARSAEHVDILGS AELNEYILKIASGHGDLVEPRQLSNLSQWVSQMPFPM SPAR_N03110 MSIMKQRLPLGEFSSSKINKLAIANIADVNEARSHGENNVGAVC LPSIKSLMVSPEVYENSKSLPIPLVRSGGGGIACASKTSCQDSVRAKAMPRDYSELSK KLQIRLQFAYYKYKTKQTNKNFTDLKSKHSITRPSEVATHTKSEPLAKRRKLVLSQGH YKTPAKSRIKTPYSICSHGNTSSFTCFRGGSEGLSITADMNIADTTTPIRNNINTKHS NSHNRTLYQRQETPTSIKAAKSLIHLFTSNQ SPAR_N03120 MNLQNNFLNQIHQILLPTAPTLDKPNTDTIKEEFSSVENRDEKD HLTNQQQPKNLSAPSTNSNGEFIPHIFYSLHQIRKDPNNLSNQLETSTGSIRHRLKLC KSLISENEDTKELLSKSPSEWQDIIHQREQELQIKRDVLDDLYRKLQR SPAR_N03130 MSNTTSGTGKRRVKRTYEVTRQDETAIGTGSSSVGEEEDKEAEN KNFTSQPKRSRYDPNKTFSYTNQASKSNDLKEEPLESQKRSLKYGGKTRSNNELTSKK GVLSDSENKAEDASSNAGCELEATHKIRNAKESDKINRQHIWEEQQLRNAMARKSDHP DDIVVEGSDKYDYVFDTDAMIDYTNEEDDMLPEEKLQYEARLAKALETEEKRILTIQE ARKLLPVHQYKDELLREIKKHQVLIIMGETGSGKTTQLPQYLVEDGYTDQGKLQIAIT QPRRVAATSVAARVADEMNVVLGKEVGYQIRFEDKTTPNKTVLKYMTDGMLLREFLTD SKLTKYSCIMIDEAHERTLATDILIGLLKEILPRRPTLKLLISSATMNAKKFSEFFDD CPIFNVPGRRYPVDIHYTLQPEANYIHAAITTIFQIHTTQSLPGDILVFLTGQEEIER TKIKLEEIMSKLGSRTKQMLITPIYANLPQEQQLKIFQQTPENCRKVVLATNIAETSL TIDGIKYVIDPGFVKENSYVPSTGMTQLLTVPCSRASVDQRAGRAGRVGPGKCFRIFT KWSYSHELELMPKPEITRTNLSNTVLLLLSLGVTDLIKFPLMDKPSIPALRKSLENLY ILGALNSKGTITRLGKMMCEFPCEPEFAKVLYTAATHEQCQGVIEECLTIVSMLHETS SLFIGQKRDAAASVISEVESDHMLYLEIFNQWRSSKFSRSWCQDHKIQFKTMLRVRNI RNQLFRCSEKVGLVEKNDQARMKVGNIAGYINGRITRCFISGFPMNIVQLGPTGYQTM GKSSGGLNVSVHPSSILFVNYKEKAQRPSKYVLYQQLMLTSKEFIRDCLVISKEEWLI DMVPQIFKSLIEDKTNRGRQ SPAR_N03140 MSHRIAPSKERSSSFISILDDETRDTLKANAVMNGEVDVKKTKG KSSRYIPPWTTPYIIGIGGASGSGKTSVAAKIVSSINVPWTVLVSLDNFYNPLGPEDR ARAFKNEYDFDEPNAINLDLAYRCILNLKEGKRTNIPVYSFVHHNRVPDKNIVIYGAS VVVIEGIYALYDRRLLDLMDLKIYVDADLDVCLARRLSRDIVSRGRDLDGCIQQWEKF VKPNAVKFVKPTMKDADAIIPSMSDNGTAVNLIINHIKSKLELKSDEHLRELIKLGSS PPQDVLNRNMIHELPPTNQVLSLHTMLLNKNLNCADFVFYFDRLATILLSWALDDIPV AHTDITTPSEHTMKNVISCQFDQVTAVNIIRSGDCFMKSLRKTIPNITIGKLLIQSDS QTGEPQLHCEFLPPNIENFGRVFLMEGQIISGAAMIMAIQVLLDHGIDLEKISVVVYL ATEVGIRRILNAFDNKISIFAAMIIAREKLQNHQYKWALTRFLDSKYFGCD SPAR_N03150 MKFSHSLQFNSVPEWSTKYLAYSQLKKLIYSLQKDKLYSNNKRH VVEPGDTDDENLPLLADASPDDQFYISKFVAALNQELKKIDKFYISQETGLIANYNEL KDDVMELENTNKATQLFNQQQQHQSQSVARNRKSKSQQRQRRFSSVSSTDSNPSLTDM SIDSAPVIHTQVSNATNNGNSIQNLASTSMSLSNSNPVYLSPFTQHRLSLKKRLISIY TQLSELKDFIELNQTGFSKICKKFDKSLNTNLKQNYLNYIKFHSHVFNPATINRIQHH ITETILTYASLNKGTRRLSNTFNLDADRINNDENGGGNEEDEDGNRQEVLDFQDAERE LSSHLRDHVVWERNTVWKDMMNLERKYQSAKTDNKKFSKLSSSQLRPSANITESMAMS SGGAGIIAPSTDSITFHELMHLPPKQWLKFIISQTSFLKFVLITSCFIALLTFNLTPF TKDSLQKNCFAILIYASLLWATETIPLFVTSLMIPLLIVVFPVIKDPATSQPMSPRDS SQFILSTMWSSVIMLLLGGFTLAAALSKYNIAKVLSTHILASAGTNPHFILLTNMFVA LFVSMWVSNVAAPVLCYSIVQPLLRTLPRNCSYAKALILGIALASNIGGMSSPIASPQ NIFSIGIMDPSPSWAEWFMIALPVCFICIMTIWVLLIITFPPEPNVKILQLHPSRDPF TLKQWFVTLVCIITIILWCLSNQISGIFGEMGIISIIPIVVFFGTGLLTSDDFNNFMW TIVVLAMGGTTLGKAVSSSGLLSTMAQLIKAQVEHEPIFIIVLIFGLVILVMATFVSH TVAAMIIVPLMSEIGSNLPSGDHSRLLIVIAALLCSSAMGLPTSGFPNVTAISMIDEV GDRYLTVGTFITRGVPASLLSYAAIVTVGYGILKVMGF SPAR_N03160 MTSTDIKPCAVNIPVSAHITFHYKSTTDRSRSSSSSCCSSATSN TSSPRGSSAGLPPALSTDNEIIETVLNVSAPVVADPTPPSLFKNNYTAASCLTSDPTS PPALPSSRRNSVLPASDFHQCAHHKNFQRRASEPQLPSFDNRSSSEMKRSISCAQHSM MFPISDQREPQTPAYPNSHSDPSCPCNRHHHRRNSVAVKFDKPLYKRLEP SPAR_N03170 MVTYAGKLVLAPMVRAGELPTRLMALAHGADLVWSPEIIDKKLI QCIRKENTALQTIDYVVPQKVQTRPETLVFRTYPKLESSKLIFQIGSASPALATQAAL KVINDVSGIDINAGCPKHFSIHSGMGSALLRTPDTLCLILKELVKNVGNPYSKPISVK IRLLDTKQDTLQLVKRLCATGITNLTVHCRKTEMRNREQPITDYIAEIYEICRANNVS LIVNGAIRDRSHFHDLQTNHWKNANIGGMIAECAERDPTVFDHTAKPSEDSLHWVVAC REFIQWATKFDNHVGNTKYMLSRIVPGKSVFFQYFARCKSPEEVSFVLKELKDDGSAQ TDPSEYLENCRAQEKALKNANAIAKQKRKLADHAGGDAKKQKVVPLPADI SPAR_N03180 MSEESLFESSPQKMEYEITNYSERHTELPGHFIGLNTVDKVEES PLRDFVKSHGGHTVISKILIANNGIAAVKEIRSVRKWAYETFGDDRTVQFVAMATPED LEANAEYIRMADQYIEVPGGTNNNNYANVDLIVDIAERADVDAVWAGWGHASENPLLP EKLAQSKRKVIFIGPPGNAMRSLGDKISSTIVAQSAKVPCIPWSGTGVDTVHVDEKTG LVSVDDDIYQKGCSTSPEDGLQKAKRIGFPVMIKASEGGGGKGIRQVEREEDFIALYH QAANEIPGSPIFIMKLAGRARHLEVQLLADQYGTNISLFGRDCSVQRRHQKIIEEAPV TIAKAETFHEMEKAAVRLGKLVGYVSAGTVEYLYSHDDGKFYFLELNPRLQVEHPTTE MVSGVNLPAAQLQIAMGIPMHRISDIRTLYGMNPHSASEIDFEFKTQDATKKQRRPIP KGHCTACRITSEDPNDGFKPSGGTLHELNFRSSSNVWGYFSVGNNGNIHSFSDSQFGH IFAFGENRQASRKHMVVALKELSIRGDFRTTVEYLIKLLETEDFEDNTITTGWLDDLI THKMTAEKPDPTLAVICGAATKAFLASEEARHKYIESLQKGQVLSKDLLQTMFPVDFI HEGKRYKFTVAKSGNDRYTLFINGSKCDIILRQLSDGGLLIAIGGKSHTIYWKEEVAA TRLSVDSMTTLLEVENDPTQLRTPSPGKLVKFLVENGEHIIKGQPYAEIEVMKMQMPL VSQENGIVQLLKQPGSTIVAGDIMAILTLDDPSKVKHALPFEGMLPDFGSPVIEGTKP AYKFKSLVSTLENILKGYDNQVIMNASLQQLIEVLRNPKLPYSEWKLHVSALHSRLPA KLDEQMEELVARSLRRGAVFPARQLSKLIDMAVKNPEYNPDKLLYAVVEPLADIAHKY SNGLEAHEHSIFVHFLEEYYEVEKLFNGPNVREENIILKLRDENSKDLDKVALTVLSH SKVSAKNNLILAILKHYQPLCKLSSKVSAIFSTPLQHIVELESKATAKVALQAREILI QGALPSVKERTEQIEHILKSSVVKVAYGSSNPKRSEPDLNILKDLIDSNYVVFDVLLQ FLTHQDPVVTAAAAQVYIRRAYRAYTIGDIRVHEGVTVPIVEWKFQLPSAAFSTFPTV KSKMGMNRAVSVSDLSYVANSQSSPLREGILMAVDHLDDVDEILSQSLEVIPRHQASS NGPAPDRSGSSASLSNVANVCVASTEGFESEEEILVRLREILDLNKQELINAAIRRIT FMFGFKDGSYPKYYTFNGPNYNENETIRHIEPALAFQLELGRLSNFNIKPIFTDNRNI HVYEAVSKTSPLDKRFFTRGIIRTGHIRDDISIQEYLTSEANRLMSDILDNLEVTDTS NSDLNHIFINFIAVFDISPEDVEAAFGGFLERFGKRLLRLRVSSAEIRIIIKDPQTGA PVPLRALINNVSGYVIKTEMYTEVKNAKGEWVFKSLGKPGSMHLRPIATPYAVKEWLQ PKRYKAHLMGTTYVYDFPELFRQASSSQWKNFSSDVKLTDDFFISNELIEDENGELTE VEREPGANAIGMVAFKITVKTPEYPRGRQFVVVANDITFKIGSFGPQEDEFFNKVTEY ARKRGIPRIYLAANSGARIGMAEEIVPLFQVAWNDASNPEKGFQYLYLTSEGMETLKK FDKENSVLTERTVINGEERFIIKTIIGSEDGLGVECLRGSGLIAGATSRAYHDIFTIT LVTCRSVGIGAYLVRLGQRAIQVEGQPIILTGAPAINKMLGREVYTSNLQLGGTQIMY NNGVSHLTAVDDLAGVEKIVEWMSYVPAKRNMPVPILETKDTWDRPVDFTPTTDETYD VRWMIEGRETESGFEYGLFDKGSFFETLSGWAKGVVVGRARLGGIPLGVIGVETRTVE NLIPADPANPNSAETLIQEPGQVWHPNSAFKTAQAINDFNNGEQLPMMILANWRGFSG GQRDMFNEVLKYGSFIVDALVDFKQPIIIYIPPTGELRGGSWVVVDPTINADQMEMYA DVNARAGVLEPQGMVGIKFRREKLLDTMNRLDDKYRELRSQLANKGLTPELHQQISKQ LADRERELLPIYGQISLQFADLHDRSSRMVAKGVISKELEWTEARRFFFWRLRRRLNE EYLIKRLSHQVGEASRLEKIARIRSWYPASVDHEDDRQVATWIEENYKTLDDKLKGLK LESFAQDLAKKIRSDHDNAIDGLSEVIKMLSTDDKEKLLKNLK SPAR_N03190 MSWLFGDKTPTNDANAAAGGQDTSKPKELSLKQSLGFEPNINNI ISGPGGMHVDSARLHPLAGLDKGVEYLDLEEEQLSSLEGSQGLIPSRGWTDDLCYGTG AVYLLGLGIGGFSGMMQGLQNIPPNSPGKLQLNTVLNHITKRGPFLGNNAGILALSYN IVNSTIDALRGKHDTAGSIGAGALTGALFKSSKGLKPMGYSSAMVAAACAVWCSVKKR LLEK SPAR_N03200 MKILTQDEIEAHRSHTLKGGIEGALAGFAISAIIFKVLPRRYPK FKPSTLTWSIKTALWITPPTVLTAICAEEASNSFDATMYGSGSSSEDALDEHRRWKSL STKDKFVEGLSNNKYKIITGAWAASLYGSWVIVNKDPIMTKAQKIVQARMYAQFITVG LLLASVGLSMYENKLHPNKQKVNEMRRWENALRVAEEEERLEKEGRRTGYVSNEERIN SKIFKS SPAR_N03210 MDKKKDLLENQQFLRIQKLNAVDAGRRQSITVDDEGELYGLDAT GDSSADEHATTNIITQNHSVVASNGDVAFIPGTGTEDNTEIVTQEVIETDDHTFKTHV KTLSSKEKARYRQGSSNFISYFDDMSFEHRPSILDGSVNEPFKTKFVGPTLEKEIRRR EKELVAMRKNLHHRKSSPDAANSVGENDGVVPTAAPTAATTETVVTVETTILSSNFSG LYVAFWMAIAFGAVKALIDYYYQHNGSFKDSEILKFMTTNLFTVASVDLLMYLSTYFV VGIQYLCKWGVLKWGSTGWIFTSVYEFLFVIFYMYLTENILKLHWLSKIFLFLHSLVL LMKMHSFAFYNGYLWGIKEELQFSKSALAKYKDSINDPEVIGALEKSCEFCSFELSSQ SLNDQTQKFPNNISARNFFWFTMFPTLIYQIEYPRTKEIRWSYVLEKICAIFGTIFLM MIDAQILMYPVAMRALAVRNSEWTGILDRLLKWAGLLVDIVPGFIVMYILDFYLIWDA ILNCVAELTRFGDRYFYGDWWNCVSWADFSRIWNIPVHKFLLRHVYHSSMSSFKLNKS QATLMTFFLSSVVHELAMYVIFKKLRFYLFFFQMLQVPLVALTNTKFMRNRTIIGNVI FWLGICMGPSVMCTLYLTF SPAR_N03220 MGTSGNNAGFDWWRRTMQYKTGIGLTPEEKTRYEEDSKARALKK ECLKCYEHRDWMLKYSPTVRFMVQAIRKLNKGSDSKFDDSKIICDYCPDWKGGGFHPE LGILLCQNRLRDKWHLEDTLSHELIHYFDDLKWQVDWLNLKHHACSEIRASSLSGECR FWEEFKRRGFRTGFHVARGHQDCVRRRAVISVSGNPNCKSKEHAAKIVDEVWDSCFAD TRPFDEIYR SPAR_N03230 MSSSIFGPLVGFLERVNSLNAPYQALSYDEQKAMTIWQRVKFYN WTFELCALGMLFLVFAFYKFGNSVNLKRGNQIFQSLHSFLANDLKFSRVGFNINDSKI FTVEHQNTWFSSFATGRSAIESINLNLHLVARSNPFSMCLEYLLGFFFTSLKSKQLEE FMEIVIKPNGIFVAGESAHPNKNAQEVLTKFRFVTSIVNKEFMNQARTENYFLSIAHT SENDKLPNNFVYMSDVNQLSGFMFHYSKPYEILSQAGNLLKYISFTDLPVDAPRDDKE WESSIEPKAIIRCAVPQNENELKLLNQIIALVVEIYDGCTQDLVQKSPNLFITNDILK RTTNLRQQELNKIKKFMKETELELAKEKKLELEKAKRRQLKASGEQEKVDQKMKEKRE RRLRNKQRTRIQ SPAR_N03240 MFRSTQVCLSALTKRTHRVKVQVLKDFPRFQLYKGQVANVKPSL MRNYLHNFNGAKYILSDEHDINTELLKQYQARELKLEEDRQQLSKRQEMETQRNIELQ RQSVFGHEKEERPKEQKKGLLDSEITIEEVKIPGLDI SPAR_N03250 MSKVIKPSNTKGSRKSSKGATPDTKNFFHAKKKDPVNQDKSNNA SQVTPAVAHFHPSDMVIPDHLAELIPELYSFQQLMDSEKRLDHFIHLRNLHMKRMVAQ WDKSKQSQEFLYPHLDSPSVKYLRIFISNVSENQPWQMDTNNEPDLMALENATWTMRI EGRLLDGVQANDPAREKFSSFIESIVVDFKNKETDNMLSTRVDTAPEENAIEIPGEKK LNLNLPLQFSLPNGDGSTTSNRVQNSDMLAKETVKKDMSSTTPKLEPVKWQYDPNNPV DFDGLDIKRAGSENVECTISILRKSSPEEQFMSYSSALTAIIGLKSGTSHDAIFSIYK YIQLNELLTNDESAFENLMGNRNSHNSNTGTNKILDTISNPVPIVKLNSQLITLLPGR LKESSPDTIKLTDLLSLINNTHLLSLQPIEIDYTVRVDKASTYGELVLDIEVPDVDAL KLNNAQRESQIGAAELNENVKDLEHIKTKVALQDKEITSILSNLHESNKRYRFFKKIS EDPVKALNECIASTSNALKVLSGDEGYNEDMVRRANFYKENEAMLRENIEVILSNGRM SPAR_N03260 MSTNNGVTGKLSSRVMNMKFMKFGKTDDEESSNSNTPSIINSDV ESNEQREKLFGRDNSAWDLNSYNDDVKKISGKQKKKMRKVVYKKRPHLIISNVGYSEL RKPEGVMNGRKVFGDNPNDIGSRKRKLEESEQNEEGKSDAKDKEFTGSQEEGEDEYDL DKLFKDSIKKKKTTHNTKNKNGNSKQ SPAR_N03270 MKFVTASYNVGYPAYGAKFLNNDTLLVAGGGGEGNNGIPNKLTT LCVDPTKENEKEQFHILSEFALEDNDDSPTAIDASKGIVLVGCNESSTKIAQGKGNKH LRKFKYDKVTDQLEFVTSVDFDASTNADDYTKLVYISREGTVAAIASSKVPAIMRIID PSDLTEKFEIETRGEVKDLHFSTDGKVVAYITGSSLEVISTVTGSCIARKTDFDKNWS LSKINFIADDTVLIAASLKKGKGIVLTKISIKSGNTSVLKFKQVTNKFKGITSMDVDM KGELAVLASNDNSIALVKLKDLSISKIFKHAHSFAITEVAISPDSTYVASVSAANTIH IIKLPFNYANYTSIKQKISKFFTNFILIVLLSYILQFSYKHNLHSTLLSYAKDNFLVK RDTISSPYLIDEDLHQTTLFGNHGTRTSVSITDSIRVHDVHETGLVNGTEGLHTESNL INTEAAEFEITNATFRGRDDA SPAR_N03280 MTSTLHKTKRVLSIQSHVIHGYVGNKAATFPLQYRGWDVDVLNT VQFSNHPGYAHFTGFKCSTDELVDIVEKGLVGALGIKYDAVLSGYLPNVQALQKVAGI VGQLCEEDENVKWVLDPVLGDNGRLYVDEECVAVYQDILQNFKIFLATPNQFEMELLV GMPIRTLDNAKRAFELFHRKYPRVTRVVVTSLELSEFLSDNTYVVAGFDSSVSDEIFL YKIPKINATFSGSGDLISAMLTDSLLNGHGRNQLPLSASLGQVLWLVTSILQKTYDLN VAGQDPQDSAIKIKDLKLIQCRDILKQDPVPSMGKPETIKI SPAR_N03290 MKSLFLYIYVAFMFTCIMALPLPVDNKRASSDSLDLSKKYAPNP PATHTVNILIMFTEPEHSEVAAHLITIDLYGTMVPKTVMNFCQYADSMKDRIPPDHIV PSERDFDKILSNGAIEGGPVPSSSGEETGVLASLFKENHGLIHDRPGRVSMIKDDAGL KFIIGTSDTAPGGGNIVFGQVTSGLKDLMDKLANVKTDENGKPDQPMTIAYISSNENK FQDAKKAHEQYLQRLQDYQNGDLEKGITLKNYLYPSNQRKLEDVKYNQLHHPLPKVMF GITILLLFYVLAKYRKRIFSRSSSKIVSIRED SPAR_N03300 MYIFDYYGILNVQCDYEQENILSIEEFSTSGSGRMSALRNIRFN EEEDGELPCLVTGEENNLEEILENVSYDGGNIVSDAKVERVNKQVENISAGATDLREK KRIPVSIITGYLGSGKSTLLEKIALKGADKKIAVILNEFGDSSEIEKAMTIKNGSSSY QEWLDLGNGCLCCSLKNIGVKAIEDMVERSPGKIDYILLETSGIADPAPIAKMFWQDE GLNSSVYIDGIITVLDCEHILKCLDDISVDAHWHGDKVGLEGNLTIAHFQLAMADRII MNKYDTIEHSPEMVNHLKERVREVNSIAPMFFTKYSDIPIDNLLDIHAYDSIRISDIL DNNTGNGTIHDHRMGTIMLTFRSLKNEEEYNEKFIKKFLQPLLWKNFGAMTVLGERSQ DDGHDWEVQRTKGLILIEGENPIARVIQGVRDTYDVFPGEYDGSNKECKIVLIGKYLE KDSIEELLHNTLE SPAR_N03310 MRWSVLDTVLLAVISFHLIQAPFTKVEESFNIQAIHDILTYSVF DISQYDHLKFPGVVPRTFVGAVIIAMLSRPYLYFSSLIQASRPTSIDVQLIVRAIIGL TNGLSFIYLKNCLQDTFDKITRKKKEENEGKDIYIYDSVGSWFILFLISSFHLMFYST RTLPNFIMTLPLTNVALGWVLLGHYNAAIFLSALVAIVFRLEVSALSAGIALFSVIFK KVTLFDAIKFGIFGLGLGSAISITVDSYFWKEWCLPEVDGFLFNVVAGYASKWGVEPP TAYFTHYLRMMFMPPTVLLLNYFGYKLAPTKLKIVSLASLFHIIVLSFQPHKEWRFII YAVPSILLLGATGAAHLWENMKIKKVTNVLCLTILPLSVMTSFLISMAFLYISRMNYP GGEALTSFNDMIVEKNITNVTVHVSIPPCMTGVTLFGELNHDVYGVRYDKTENVTLLQ EMWPSFDFLITHEPTAAQLPFGNKTADRWELIGTTKMFTGFDPTYITTFVFQERVNVL SLLKQIIFDKTPAVFLKELTTNSIVKSDVFFTYRRIKQNERVD SPAR_N03320 MSHSDYFNYKPYGESSEKPSSSKKRQSSSSSSSRLRSESLGRNS NTTQARVASSPISPGLHSTQYFRSPNAVYSPGESPLNTVQLFNRLPGIPQGQFFHQNA ISGSSSSSARSSRRPSNIGLPLPKNPQQSLPKLSTQPVPVHKKVEASKTESEIIKKPP PMNSNQDPLLTTPTLVISPELASLNTTNTSIMSTPQNISNQTSNKHIPTRSQPNASAS SSTLQDIVTTNSSQRSVAHHGGSTTSLRTYKKQYVLNEQLYLRKMRNRANDDYYTRGI VASSNFEDDEENYSNKGEDELELEMDDLLKVEGDDKDNDFNFGYNFITSNSKNNENVV SMSLNYLKGKLDWLRDMNNDQPREIEDEEWHSILGSEDLLSKLLQNPMVNNRFEWQTM LSKVLKGDIVRNEKTKIANQGKGPGFNTQFSDDIWIELKAWMNGRTVEDQNKSLRIFR DSTDSVFQEIMAFKLEDNMSADEAAETIKSLVDKYYRVLNLWPNIKRMHSEKPITKTE AFRNRIDTLNSWLNFKFNFDTNIAYLKKWIIGNKDLESTTELDNSNVNLDDPAVFATN CKRFAEQIIKEKDIELIFQKKIFFPLAPWILKAKFFFLKYQKTWNELNLSYLDQDLEF LLMFPMRLVKDIILIRLSYAKKIQNPTLMMIDQMMDDFSTYIKLAVQMKFTVASYCND WFFKVKIDPEFDHTVVEGLEYFFSILELRILYSGKNSFKTSKEPDLLLKYWEMFRNVG YYIDDAGELIAAEFTKLTLRLVHRLHAYLLRQQNTPPKLEDEAAAEKWLVQIFEILGS MKRKLNRFTNILTKAFQNFVRYKIEDHNYVLRQLKETGHFLIYTGGYLEQNGTYLIGS PELLGCKDDDILRIIKNSDIGCDLVPKLEINNSLTIYNALDDNWNSNSSLGSDISNDG TPFYYIKNDLTTQPRSYNGNRVNREPDFENSKSTEEEIYELETRLNSLGYVLVLTPQE PLLWEGEMYNLSDNKIIKPEDLNLKVIPNSIDLMCQGSSYALEYQCDRFQQIAGSSVS FLEKKSSSETVKNNLQRINKAYFRCTYSVLKNYTKIMTTFKKVSPVNDLLNNIFLFGR DFGLNFLRINIANNEKRSIIILLMMRLSIGWLKFLAEDCDPTDQRVFRWCVTSMEFAM HMVSGWNILALDECQFSSLKQKISECMSLLISHFDIIGARSMEVEKINQQARSNLDLE DVFDDDMMLQVNSEFRVQSIMELEERIKRNPHQTGKVIDDSDKGNKYLVSLASSISNV SMRWQKRNFIGGGTFGRVYSAVDLDNGEILAVKEINIQDSKAMQKIFPLIKEEMSVLE ILNHPNIVSYYGVEVHRDKVNIFMEYCEGGSLAALLEHGRIEDEMVTQVYTLQLLEGL AYLHESGIVHRDVKPENILLDFNGVIKYVDFGAAKKIANNGTRLASMNKIENTDGEHE DDTHVADSKAVKNNENGLLDMMGTPMYMAPESITGSTTKGKLGADDVWSLGCVVLEMI TGRRPWANLDNEWAIMYHVAAGHTPQFPTKDEVSSAGMKFLERCLIQNPSKRASAVEL LMDPWIVQIREIAFGDDSSSTDTEERE SPAR_N03330 MELDECLERLYKAQLLPEVTVRALCFKLKEMLVKESNVIHIQTP VTVVGDMHGQFHDMLEIFQIGGPVPDTNYLFLGDYVDRGLYSVETIMLLIVLKLRYPS RIHLLRGNHESRQITQSYGFYTECLNKYGGNSRVWQYLTDIFDYLVLCCIIDGEIFCV HGGLSPNVQTIDQIKIIDRFREIPHDGAMADLVWSDPEENNNPTLDHPDNSGQHFQVS PRGAGYTFGRSVVEKFLRMNDMNRIYRAHQLCNEGYQIYFDGLVTTVWSAPNYCYRCG NKASILELYSKDQFYFNVFEEAPENKLLKENSLNDNSSEDIISNPVVNRKLIADYFED DSASTDGSADPEMYMFSDVYQARSASNRHVDYFL SPAR_N03340 MIEVVVNDRLGKKVRVKCLGEDSVGDFKKVLSLQIGTQPNKIVL QKGGSVLKDHISLEDYEVHDQTNLELYYL SPAR_N03350 MLSDTIDVKQQQRQQHYHVLFIDSYDSFTYNVVRLIEQQTNISP EVNAVHVTTIHSDTFQSMGQLLPLLPLFDAIVVGPGPGNPNNGAQDMGIISELFENAD GNLDDVPILGICLGFQAMCLAQGAVVSELNTIKHGQVYEMHLNDAAKTCGLFSGYPDT FKSTRYHSLHVNAEGIENLLPLCSTEDENGVLLMSAQTKNKPWFGVQYHPESCCSELG GLLVSNFLKLSFINNVKTGRWENKNLNGKSSDILSRLDRTIDRDPIYKVKEEYPKSED VTYVKQFEISKDPKLTFEICDIIGEPKFVMSSSVISENRGEWSIIALPNSASQVFTHY GAIKKTTVHSWQDKEVSYTLLKKCLDGQDSDLPGSLTVINEDKSQFWITLGEFMENKI IDNHKEIPFIGGLVGILGYEIGQYISCSRCNDDDNSLVPDAKLVFINNSIVINHKQGK LYCISLNNTFSSALEQSLIDGFVNKEDVRQTLSWPKYLPEEVDFIITMPDKLDYANAF EKCQDYMHKGDSYEMCLTTQTKVVPSAVIEPWRIFQTLVQRNPAPFSSFFEFNDIIPR QDETPPVLCFLSTSPERFLKWDADTCELRPIKGTVKKGPQMNLAKATQILKTPKEFGE NLMILDLIRNDLYELVPDVRVEEFMSVEEYATVYQLVSVVKAHGLTSASKKTRYSGMD VLKHSLPPGSMTGAPKKITVQLLQDKIESKLNKHVNDGARGVYSGVTGYWSVNSNGDW SVNIRCMYSYNGGASWQLGAGGAITVLSTLDGELEEMYTKLESNLQIFM SPAR_N03360 MTTTVPKVFAFHEFAGVAEAVADHVIHAQNSALKKGRVSRSSQM SGTSLNGNGSTESKTMERVNSVRSNASSRGGSEDGATKKLKKEKERRFKIALSGGSLI QVLHEGLLKRDDVQWGKWDIYFADERLVPFTSSESNYGLAKRKIFDLIDTEKYGTPKI YHIDESLINDPQECADNYEKILIKGFAGRDSVKLPMFDLFLLGCAPDGHIASLFPNFQ ENLRENLAWVIPVENAPSGPSNRISLTIPVICHSHRVTFVVEGATKAPVIKTIMERPE KGLPSSIVNEGAAGRVSWFVDDDALKDVFVIKKKYKFYDDENLTE SPAR_N03370 MKSSIPITEVLPRAVGSLTFDENYNLLDTSGVAKVIEKSPIAEI IRKSNAELGRLGYSVYEDAEYIGHAFKKAGHFIVYFTPKNKNREGVVPPVGITN SPAR_N03380 MLHLQPQNLLIQKTLNEAIEALHKDSPLTMDRIVSDFDYTTYHI SNSAEDKSILLLSVKTKAWVSVSECQLDGSLTLVKFLADHYSSLGGVTIPGQVEPGYD YTLQVTLSELTQDSILQLSVLKTIILSFPFELAITKFIELSQQQPAPVEAEITGGEVA ANGDNTLFTIKYRDEENIFIKPSNDRVTIIFETIFQDETDKIFGKVFLQEFVDARKRN RQIQSAPQVLYSHEPPLELKRLYQPPKVAEQSRRFITFVLFPRHFQTKELQFHSICQL TLFRNYFHYHIKCSKAYMHSRMRFRVDSFIKVLNRAKVDEDDENDELSAEARQQARRT FTGRKIVY SPAR_N03390 MLSRFMSNTWCAPWRQAQRLFSSTTTMQATLNQIKRGSGPPRRK KITTAPQLDQCPQRKGVVLRVMVLKPKKPNSAQRKACRVRLTNGNVVSAYIPGEGHDA QEHSIVYVRGGRCQDLPGVKYHVIRGAGDLSGVVNRISSRSKYGAKKPSKS SPAR_N03400 MQPAARLLSRSVWKGPNIVPLPIREAMTKGTPIRTNARAATILP QFVGLKFQIHNGKEYVPIEISEDMVGHKLGEFAPTRKRFSYTQTKNK SPAR_N03410 MFASRFDPSQLNTPAASTPEETIRPTPGAIVPLKRQATGSDDED DDFRQDSNESSSSSEEDEDRMQIEYGADEEDSSEAEGKESKPSTHSTVLSRFKQTVSL QDKLNVSDIAGNNEDERLEDYTASTHQLEQIPQPEFVKNPMNLNRNSLQFKSTGWLNT EKIYYDNFLIKPFSDYGNELEAKLLQNICKNFSINTFPIQSIILDSILPVLNFTLSIS KRNFTRRIGDILVNAATGSGKTLAYSIPIVQTLFKRQINRLRCLIIVPTKLLINQVYT TLTKLTQGTSLIVSISKLENSLKDEHKKLLNLEPDILITTPGRLVDHLNMKSINLKNL KFLIIDEADRLLNQSFQGWCPKLMSHLKTDKLDTFPGNVIKMIFSATLTTNTEKLNGL NLYKPKLFLKQTDKLYQLPNKLDEFNINIPTAKSVYKPLILLYSVCQFITHSPTAAKI LIFVKSNESSIRLSKLLQLICESRSQSNMLKNLQNLEMSINSVNSNNSKSENRKIVAN FSHHSENAGITILITTDIMSRGIDINDITQVINYDPPMSSQQYVHRVGRTARANEVGS AYNLLVGRGERTFFDDLNKDLDRDGKTVKPLELDFALLESDSELYSSSLESLKNYHNN TAQV SPAR_N03420 METPQMNAIQEEDNLSPEIAFQTPKLNDSDASSFSLSNMNAVGN VDGMPSQNRTLFASPRPSSLFYSAKEGNNSSSSIIYNPSFTFGENASSNNNINEAAFL KGKSNEGRRQSLKYIPAPKLVPPPPRTRSPVRGISPDSGNSKRSSMTLDSPFNFTTST LQPHQQTPPSSAASRTSFRKGHRYKHSSVSMNFFQEPEVKIPLNIAKSLPIPDLNDLM SNLPWPRAHIQLSIAALQITACLITFQVGHLYSWSNFITLSHFITYDIIGSLVIIFVE NLSQFQVWFTGTITFPFGLNRIDVLLSFALAVSLCFVGLDLLFHIIEELIVLFVESGS TLTNNHDHDEINEQIPHSHIANANDSQNENITLWYSILMINLVLSTLSLYKTFYANKY SNLKTKNPIITITYTAYLFIYPLLLDLLSSISDYMATLVISILILWHGLTIARWTSTV LLMGFSTTSLSNSPLFNNNLSTDMTSPTQQVESKSAKGRPSVRPRSMSSLPIATRNTK MGNNGFLNPIASTENPTTIKNVIKDQIERLNEFKSRCILNYDDIVISKVNFTLYVVLI KITMKGGSNDDELMLRLAIDKCIQTSIPTCETTIDIDRI SPAR_N03430 MTKMVAKNQFKGSSATLAQLIEEIGRSGGKKPVFQYKVPLSIRW ASTALAVVFLTYGAAYTDMSWRTAREVYGNATEEEKGSLWFKCKTFGPVALGVLPVIL AAGTRHITSRLVTEMKYLPPLKNNTVPRCQLTRRTSLLGRPVSITREINELSKNKTTK IFTGVGLQGMEDRATFVFFIVDKKATSFFSKIYIFSRSGSVFRDDARILDCFFNDAAG NKLLNKSILTQILSRTSAKTQFHSNNSRSSIKNIVGPK SPAR_N03440 MFIWQRSSILLGRSILGSGRTTVAGIIGIGNKRSMSSSPSSSKE SAPVFTSKELEVARKERLDGLGPFVSRLPKKWIPYAELMRLEKPVGTWLLYLPCSWSI LMGAMMQGATLGATAGMLGIFGVGALVMRGAGCTINDYLDRKLDQRVIRSVERPIASG RVSPRGALVFLGAQTLVGMGVLSLLPAQCWWLGLASLPIVFTYPLFKRFTYYPQAALS ACFNWGALLGFPAMGVMSWPTMIPLYLSSYLWCMTYDTIYAHQDKKFDIKAGIKSTAL AWGPRTKSIMKAMSASQITLLAVAGLNSGLLWGPGFIGGLGVFAYRLFSMIKKVDLDN PKNCWKYFNANINTGLYFTYALTVDYVLRLLGLL SPAR_N03450 MRQGCSNSQTVSPSTMTIHTSSVTAPVNIATLKYWGKRDTKLNL PTNSSISVTLSQDDLRTLTSAATAPEFESDTLWLNGEPHSIDNERTQNCLHDLRQLRK EMESKDASLPTLSQWKLHIVSENNFPTAAGLASSAAGFAALVSAIAKLYQLPQSTSEI SRIARKGSGSACRSLFGGYVAWEMGKAEDGHDSMAVQIADSSDWPQMKACVLVVSDIK KDVSSTQGMQLTVATSELFKERIEHVVPKRFEVMRKAIVEKDFAAFAKETMMDSNSFH ATCLDSFPPIFYMNDTSKRIISWCHTINQFYGETIVAYTFDAGPNAVLYYLAENESKL FAFIYKLFGSVPGWDKKFTSEQLEAFNHQFESSNFTTRELDLELQKGVARVILTQVGS GPQETKESLIDAKTGLPK SPAR_N03460 MTLSPAHFTYLFTILLGLTNIALASDPETVLVTVTKTNDANGIV TTTVSPALVSTSTVVQADTTTLYTTWCPLTVSTANAVAISPSISYATTLSRFSTLTLS TEVCSHEACPSSSTLPTTTLSVTSKFTSYLCPTCHTSAISSSHKGETTTVTSSSVVTS STSTSTISPSTTTSSSTIITSTAQTSSTSPSSTSTSPSSTSTSTTSTSTSTTSTSTST TSTSTSPSSTFTPPSSTSTSPSSTSTSTTSTSTSTTSTSTSTASTSTSPSSTFTPPSS TSTSPSSPSTSTTSTSTSPSLSSSSSTPTTSTSISSIVVDSTPSFVSSMAPSSTPVSS YSPSTSFSSVSSTSPHVVIPSTTSSAVETTINSQSSSEYTSKSSISTVIPSFSMSTYF TTVSGVTTMYTTWCPYTSGSEATTLTKVRETTATDTKVCTHESCMRSQTASSIISSKK IMSTTNVATSVATSTVESSYVCSTCTKTLGSYSSAQPTSANSVTQEITSTENWSSSTT ASDEDYNKHATGKFHPTSPGTSLISSSTSQVTSTPSIDSESQEQPSSLSPTSVPLSSS FDATLSSGSTALLSNSVPSSSVENSPITTLQISSSSEVSPSTSSSAIATPSTPTSPMV SQSTYFSATATPSTPTSSAFTTSTFTESIVESLLTSMVSSISLSSSPASSSLQNSATT TRVSSSSISMQYQTSSMITINQYVGSGSQTHLPLDKLIFAIVAVACNAIF SPAR_N03470 MHLKKGKRSISTVWRLLWKRFYSVNSKTNMHFSRSRKKPVTNFT RANGLLLSCNGDTFPYLRTLWRYFNAPGNLMFVTTNIVTFMGIVTYNTLVTISNERAF EEQMMAAQVSLAKQREDLETRALSLPRDIELGGQEDDIKWKQPAVGHAEEDPLVEEQN EELDSPIKQYTLGGLILNKKANVADYDSQRAKASIFHMLYAYMLYRDTIQPKTDTQNH SSDEWRHEVELLAKGKQVQGTHQRIDVFYDLWNKNFDKIVTSPEKVQNFQLPNWSQYP TILKFICSELHDNNLKTLGEFKQFYGKVRSKEVKKLLGLWLYDHSFLFPHNIYDNKNE EDFYDTLINDSMQDNKIFQKYSSIVMNPYNERTQLFFPNINTPSVNKPVPSISLETYT QLLKGYINLQETDCKYDYNDNIFKLISILKLNCVLQRNKKKHAGPSVRILLPRDEDRS QILGTISQAEKRTCYQILSKNRDVIRLLKRISDIQAGSS SPAR_N03480 MKFLTTNFLKCSVKACDTSNDNFPLQYDGSKCQLVQDESIEFNP EFLLNIVDRVDWPAVLTVAGELGNNALPPTKPSFPSSIEELTDDDMAILNDLHTLLLQ TSIAEGEMKCRNCGHIYYIKNGIPNLLLPPHLV SPAR_N03490 MAGHHHEHEHEREHEQEHEHDSLQRPVTGSERTRSISFSKLLTR SWKRNASSSNSMSASSTNLYSDPENSRESDHNNSGSEGQSSRFSKLKSMFQSSNSSKN ASAHNSSQSSLEGDSASSSSKLRYVKQMTSVANASPASPPLSPTIPETDVLQTPKMVH IDQHEHEREHSNCGSPIMLSSPSFSPTVARTGTGRRRSPSTPIMPSQNSNSNNGTSAV RPNNYRHHSSSQGFSSNNPFRERAGTVRSSNPYFAYQGLPTHALSSHDLDEGFQSYPN ANGIHFLSTPTSKANSLTNTKNLSNLSLNEIKENEEVEQFNNEDFFFHDIPKDSSFKD SLNGSPSRGTSKSPTITQPFPSIIVGFDNEYDEDNNNDNHSEKEEQQMKINDKARNLS PTKQNGKSTHSRIKIPLRRAASEPNGLQLASPTSPTSSSSARKTSGSTNINDKAPGQS VPPSNSFFPQEPPPKISDFQEPRRSRRLRTKSFSNKFQDIMVGPQSFEKIRLLGQGDV GKVFLVREKKTNRVYALKVLSKDEMIKRNKIKRVLTEQEILATSNHPFIVTLYHSFQS EDYLYLCMEYCMGGEFFRALQTRKTKCICEDDARFYASEVTAALEYLHLLGFIYRDLK PENILLHQSGHIMLSDFDLSIQAKDSKVPVVKGSAQSTLVDTKICSDGFRTNSFVGTE EYIAPEVIRGNGHTAAVDWWTLGILVYEMLFGFTPFKGDNTNETFTNILKNEVSFPNN NEISRTCKDLIKKLLTKNESKRLGCKMGAADVKKHPFFKKVQWSLLRNQEPPLIPVLS EDGYDFAKLSSNKKRQTSQESHNHLDEQEKNMFEERVEYDDEVSEDDPFHDFNSMSLM EQDNNSMIYGNTNSYGKIAYTPNSNRSRSNSHRTFFKR SPAR_N03500 MGLVLRWKEKKELSSKQKIQKSRKPANTSFRQQRLKAWQPILSP QSVLPLLILMACIFAPIGIGLVVSTISVQRLVVNYTECDTLAPAKNFETIPSEYVNYH FSKKVTAQPQWMVLTDPELGNQTCRIQFEIPNHIKKSTYVYYRLTNFNQNYREYVQSL DLDQLKGKALIGDDLDPNCDPLRTINNKTIFPCGLIANSMFNDTFGATFTGVNDTADY LLTTKGIAWDTDRHRYGKTIYNASDIVPPPNWAKLFPNGYTDDNIPDLQNWEQFKVWM RTAALPNFYKLAMKNETNGLGKGIYIVDIELNYPVRSFYGTKSFVLTTNSIIGAGNEA LGIVYLIVAGIATLFAILFLIKVIFKPRPMHDHSYLNFETNDIPPDESSVVSIPLREI L SPAR_N03510 MMSQVSHSQEGSGRFWNKFKSSTKSLSTSLAHLSIKAEKDGDTV NTTLVHKGLVKFYENQHPFQGFPGWLGEKEDLPNERKILDTQVKHDMKKQSSRHFSPS FSNRRKASSEDPMGTPTPNGSAPEYTPASKSFQDIYNNHTSSSSATPRRASSRPTRPS AGQEFRASLGRSKTSGSSSASNTPTPPPDASSGVMAMKDRLKRRNNDYGF SPAR_N03520 MGKNVLLLGSGFVAQPVIDTLAAADDITVTVACRTLANAQALAK PSGSKAISLDVTDDSALDKVLADNDVVISLIPYTFHPNVVKSAIRTKTDVVTSSYISP ALRELEPEIVKAGITVMNEIGLDPGIDHLYAVKTIDEVHRAGGKLKSFLSYCGGLPAP EDSDNPLGYKFSWSSRGVLLALRNSAKYWKDGKIETVSSEDLMATAKPYFIYPGYAFV CYPNRDSTLFKDLYHIPEAETVIRGTLRYQGFPEFVKALVDMGMLKDDANEIFSKPIA WNEALKQYLDAKSTSKEDLIASIDSSANWKDDEDRERILSGFAWLGLFSDAKITPRGN ALDTLCARLEELMQYEDNERDMVVLQHKFGIEWADGTSETRTSTLVDYGKVGGYSSMA ATVGYPVAIATKFVLDGTIKGPGLLAPYSPEINDPIMKELKEKYGIYLKEKTVA SPAR_N03530 MGVTVQDICFAFLQNYYERMRTDPSKLAYFYASTAELTHTNYQS KSTNEKDDVLPTVKVTGRENINKFFSRNDGKVRSLKLKLDTIDFQYTGHLHKSILIIA TGEMFWTGTPVYKFCQTFILLPSSNGSTFDITNDIIRFIPNSSKPYVPIDGSLSQPNE ENSVSAVEEDKIRHESGAEKEKEKEKSPEITKPKVKKETVKETTGQTESLNQENPIID HNQPHAIPVTKDSKIHIETVPSNTKGNYKQDESSTQELGNVAKLNEKSLKTEKKTVPI KTKEGSVEVINTVGNSSLPNGKEVSDEAPVLGGAKEAEIEVKPIEPHVSDVKEPNGNA PTPSPSPEPVANPPKMTWASKLMNENSDRISKSSTTVEYIRSETLPKKPTERKFEMGN RRDNVSANNKNKKKPVFSTVNKDGFYPIYIRGTNGLREEKLRSALEKEFGKVMRITAA DNFAVVDFETQKSQMDALEKKKKLIDGIEVCLERKTVKKPTGNNPPGIFTNGTRSHRK QPLKRKD SPAR_N03540 MQSMNVQPRVLAVGGEQFFSQRQASEQHQQQNMGPQVYSPQVNR ARMFPQGMPVNTINGSVNQEMNNAYLLKQKGDPLLTQQQQQQQQQQQQQQQQQQQPFT IGTPVSVASLPPGLNVLQQQQQQQQQQGVGLNRPLASQLPKHLTNQSMPPIFLPPPNY LFVRDVWKSNLYSEFAVIRQLISQYNHVSISTEFVGTLARPIGTFRSKVDYHYQTMRA NVDFLNPIQLGLSLSDANGNKPDNGPSTWQFNFEFDPKKEIMSTESLDLLRKSGINFE KHENSGIDIFEFSQLLMDSGLMMDDSVTWITYHAAYDLGFLINILMNDAMPNNKEDFE WWVHQYMPNFYDLNLVYKIIQEFKNPQLQQSSQQQQQQQYSLTTLADELGLPRFSIFT TTGGQSLLMLLSFCQLSKLSMHKFPNGTDFAKYQGVIYGIDGDQ SPAR_N03550 MGTGKKEKSRRIREGDTKDGNLRVKGENFYRDSKRVKFLNMYTS GKEIRNKKGNLIRAASFQDSTIPDARVQPDRRWFGNTRVISQDALQHFRSALGETQKD TYQVLLRRNKLPMSLLEEKDTDESPKARILDTESYADAFGPKAQRKRPRLAASNLEDL VKATDEDINKYEEKQVLDATLGLMGNQEDKENGWTAAAKEAIFSKGQSKRIWNELYKV IDSSDVVIHVLDARDPLGTRCKSVEEYMKKETPHKHLIYVLNKCDLVPTWVAAAWVKH LSKERPTLAFHASITNSFGKGSLIQLLRQFSQLHTDRKQISVGFIGYPNTGKSSIINT LRKKKVCQVAPIPGETKVWQYITLMKRIFLIDCPGIVPPSSKDSEEDILFRGVVRVEH VTHPEQYIPGVLKRCQVKHLERTYEISGWKDATEFIEILARKQGRLLKGGEPDESGVS KQILNDFNRGKIPWFVLPPEKEEEAKPKKTEVGKTA SPAR_N03560 MSEKGNSDFEDFSSDEENDQHNVLIQTKKKISSKDDVFSKKVED VESENESEIEKEQGQEETEGLEQTEQQNKNKLEREVEEQSSSTSALDLKTEKLRQLVK SKAAKKSKHKTGVVYFSSIPPYMKPAKMRQILARFGEVDRLFLKKEDDQKYKQRVKGG GNKKNKYEEGWAEFIRKRDGKLCAETLNGNIIGGKKGTFYHDDILNVKYLPGFKWADL TEQIARENDIRQAKLEMEISQANKLNAEFIRNVEQSKMIQNIKNSRKRAGKEEEPAVS HPRREFKQRRVETSRANAPSDIKQQSSKSKNLGSVLNNLL SPAR_N03570 MDKYTNRDHPDYIPGTFNIYSSQNLENGIIYESKLKKNSTGVVL IPQPSYSPNDPLNWSSWRKLAHFGLMAFITAFTAATSNDAGAAQDSLNEIYGISYDSM NTGAGVLFLGIGWSTLFLAPFANLYGRKITYIVCTTLGLFGALWFALAKRTSDTIWSQ LFVGISESCAEAQVQLSLSDIFFQHQLGSVLTVYIMCTSIGTFLGPLIAGYISAFTNF RWVGWVAVIISGGLLVAIIFGCEETYFDRGQYMTPLTSCQSGYEDGTTLQNSDNTAVS RRKRHLDAKLSTPDAMGEKGVDVSETAEFEVNNEEEATIPETRELIDGSKEHLKSYPK RIAIFTKATNLKGYGFKQYFKYLKINLRMFLFPPVWLSGMFWGIQDVFLTFYLTTQES AYYDPPWNYSDFGVAIMNVPTLIGAVIGCICAGIVSDYFVLWMARHNRGILEAEFRLY FSIATAIIGPAGLLMFGIGTARQWPWQAIYVGLGFVGFAWGCSGDIAMAYLMDCYPEM VLEGMVCTAIINNTISCIFTFTCSDWLAASGTENTYIALAVINFGITAFALPMYYYGK RIRLWTKRWYLQSVNLRDGV SPAR_N03580 MSSSERSEVKFDKHFNWWSLLGIAFSLSCSWVGISASMAVGIAS GGPLLIIYGLIIAAFFSLMCGISLGDFAAILPNSSGGSFWVLKMLEQESAPLKTPEYE DPSDDDEEVFLENYCQTANVEVSSKFQKVSSMVVGLLNYFGAIFTTASICSSLSMSCI GIHNLLHPDYELKHWHVFVGYQCINAVLTLFNIYSTPLPYISQFGLYTSLLSFAMTFI ICIVSRSDNTVDPWPKASNIFGSFDNQTGWNSSGMAFVVGLVNPIWAFVGIDSATHMI DEVGYSKSRFLVPKVIITTIIVGFVTSFIYCVGLFFCITDKTAVVESILPIVEIFYQA TGNRNLSVFLQCMCITTGFVSGIASGTWQSRILQSFGKSYAPFYKEGSLGNKSLKKLA ILTPGFKSPLYAHFLSQICVTIIGCIFMGSSTAFNAIITACITLLLMSYAVPSFIFLF VVNKEKFVHRIESDVNCISRPNRRRMSLIPHIICILWTLFCLVFLSFPYTLPVTAGNM NYTSVVYAVVFCIISIVVFPACI SPAR_N03590 MNSKSQQQQPIVFVTGTDTDVGKTFVSALLVHKWKAAYWKPVQT GIESDQGDSETLKNFKVAASTWQPRIFTPTYTLQKPLSPLQAMEYEPNVDIKLLDFVI PEEWSAENPLVVEGAGGVCVPITRKLEITTDLIKHLIETSGRPVYVVVVARSGLGTLN HTLLTWNHLCDNGLRSHLFGVILNGEPNEGNVQALKKFGVNIMAQVAQCTTGHDLDMA LHELPSVESLMTQQDNKYVK SPAR_N03600 MSQEISYTPAVAELLDFDKKHIWHPYTSLRSPLNVYPVKSAHGC KLVLDTDSPVDVEVIDAMSSWWCVIHGYNNPELNEALTNQMLKFSHVLLGGFTHKGAV NLVQKLLKVIDEPPLQYCFLADSGSVAVEVALKMALQSNMSQEATKNRTKFLTIKNGY HGDTFGAMSVCDPENSMHHIYNDRLSENIFAQAPSIVDGLPTSQNGFEDLWNEEDVND LKKQFELHSGEICAVILEPILQGAGGLRPYHPQFLIEVQKLCNQYDVLFIMDEIATGF GRTGEIFAFKHCQKYQDQRGISPSDQVKVVPDIMCVGKGLTSGYMTMSAVVVNDKVAS RISSPNSPTGGCFMHGPTFMGNALACSVAEKSMDILLRGEWRRQVSAIENQIYRELYE YIKNPDNGLIGTVVKRVSVVGAVGIVELYKKTDPEWFQKKFISKGVHIRPFNCLCYIM PPYVITSEELTQVNQVLIEVLHEWKAHINQ SPAR_N03610 MVLRIRRTKKLALLIFTSLLFLIVLFRVYPQYSFSDHFETHRKD DPSGNVHCLSRVLQLGGYEKPGLTSGFYEPNRWKSFISYMTGGRKDVKTVSQSLSNLD LYQKCSKETHVDQDVPLLRRVESKLFPYVNFTALNNEESQDFWPVHTRFDGTTFRGRV LQFSSENNSFIGTSPIEFKVSEPFWENWLNSALQRNSKGLVMSVSDYLVADTIRLIRV LRLLNNSLPIEIVHKSDLHESSQQLLIASARESGSLNYPPQELWFLDVKDMLNDEYIA KFKRFSNKWLAITFSSFQIPIFLDSDTVPFVPLDTLYEMDEFKRTGTLFFKDRSFPTS KLSSLQVRVLKQIINNSLGISSDSKQGFEILKKNLNDEMATDAIESLILKKQKHYMDS GLLIFDKQKHFFCLPIAIMLQFSPIQEYFHGDKEWFWLSLFIFKKEFTFYPVEASNVG EVEELRTPESGKICSSQLSHTDMYGNLLWLNGGLSVCKKNCWDYDFRKHKDIAAEYKS VKELQKHYQSPVKLEAVIIPDVNKAPWLQQNECVMYSYCTHYKKGKYGKLIDFTDSQR RNYEKVVKLWNEVV SPAR_N03620 MLIHIISFLLCFQLSVAKAPPSKTSLINTHERRSIYSCYVGLRK ETWGFNGSAICRYEPAIQSMLYCLYEDTHEKGYSNKTLEKGFEEMRQFCYTAKFLNMT DAEFYTSLDNGTYYIQDQPKAGINITYPIRLNTTLRKAYYDAYYGYYYNHDIPYYFGG IICAYFVGVMLLAGLIRFLNYTPIKKIMLKQKLINYVRGYTTLPTLYKKHAEPFSYLK VITGYFPTRFETLVILGYLILHTIFMAYKYQYDPYHIIFAAHRAEVAHFVAYRSGILS FAHLPLIVLFAGRNNFLQFISGLKHTSFIVFHKWLGRMMFLDAVIHAAGFTNYYLYYK KWNTVRLRVYWKFGIAATCLAGMLIFFSIAAFRRHYYETFMALHIVFAALFLYTCWEH VTNFSGIEWIYAAIAIWGVDRIVRIARIALLGFPKADLQLVGSDLVRVTVKKPERFWK AKPGQYVFVSFLRPLCFWQSHPFTVMDSCVNDGELVIILKAKKGVTKLVRNFVERKGG KASMRLAIEGPYGSNSTAHRFDNVLLLAGGSGLPGPISHALELGKTTAANDKNFVQLV VAVRGLDMLNACKKELVALKGLNVQVHIYNSKQELASAEETCSNKVKNGETTAEKTPS SLNNSEKALSESENTEQPLSLSDMSVADLDFATLHTGRPNVEELLNESVNHPGSLAVV CCGPPVFVDTARNQTAKAVIRNPSRMIEYLEEYQAW SPAR_N03630 MSKLTTVSVAQWNTKATFHVLRCFQICLSLTNLLLASFAIITNY RVDRILRLSLAVSIISSVYFGIMRFLPVLLIFAVEIVQTILWFTAFVTLTSKFGSMSC SSMPRGINFDYSGSCKITKIAILPEAILFILFLATTYASYFTVLSQARERGSSTRFIL KACVKALRDIVERLESSLEESEPLLDLEVQGDAKVETKDIENSTVSEDNALIEPGKKI DGSIEPSER SPAR_N03640 MIKNGETDPDKFLFRIQAISAAVQVFVSFIIGDIAAFFGSIKWV IVGLYFLSFVGNFLYSCGGAVSLNTLLGGRIICGAASASGAIVFSYITSIARDRGIVF KLLSIYRTAAGIFMALAQLIVIFFGYCDFKIKGYRIASYNAPTFASSFIILAVCVLLT IVLENPKVKVSNSENNSLFSALRQFFSVKREKLISCLILLWSMFLSSFIMSEVVYFMP VFLTLHVNWDTKFQGIAFMAASILGVAGSYFAPKLINIGCPCDRAKEDGLDESETTGS ETAEEKKKDSLYSGQVFLSVSALFVSLLGQAFMIGASEALKHKSIPSTNCGIFFSAGM SITLLGYNFLSSSIPALFSMYIDPKLKVHLMPSIGAISGIGKLVAPIVLAALYDTRLG LSIAVGFGMILVAFSIPPLIWLKKKRC SPAR_N03650 MGRSNGVRTRATSLACTVCRKRKLKCDGNKPCGRCVRLNTPKEC IYSIDKRKDKRKIQNGSKVFLFKNNNIDNGNNSTLENKGLSEDPASHIYKEETPQFDS DIDISRFGTNDAVIFNNDGWNASLPIDFNFDELNTETTDFDDFLKLLGDNSPLPERKG LSYSPTVTGLSDVAKATESEDHALTRSRLIDVLFENELHAVPGISKRHLIELESRYPN LECTEGNSDEKFLLSTVLCLGSLTIRKRELLNHSNIENSPLLSENGISRLTTDAFKYY NAAKTLVPDLLSHPTIDGFCGLVLMANFMTMMISLERQLYLSINALQLAVALNLNDSI KCKEFLELNSDGIGVILLFWNIWCSSCMLATIHGKNPFITLEQITTPLPCEICPRNKT NELPINFMQIRIKLATLQSKIFQQLYTSSTTNEVPFVDLEKEFEEVSIQITRLKGFPI FEEHLFYRSRVLMLELSCLRAQASFLLYRPYLITGKSLQAVTMAKSIIHEIWSQYTKQ FPDNEKERHEHLDWNFCYPLRTASLTLCISCVILLRYKQVVQFLEVTELFEYVLALEI LQDLVQVLPIERNLIDIVKYPVSPVQLSGDSFVEFWGRILYQTSS SPAR_N03660 MSNIIARFHKIQVQDGVKVWYREAGAAGNPTILLLHGFPTSSNM FRNLIPLLAEHFHIIAPDLPGFGFTETPENYTFSFDSLCESIGYLLDTLRIEKFAIYI FDYGSPVGFRLALKFPSRITGIVTQNGNAYEEGLDDRFWGPLKKYWKSYQSDPVFVQS LIPYLQDPANVIRQYHDGVADIESVDPAAYTLDIALIQRSGQADIQLRLFFDYQNNIK LYPAFQRFLRDSKIPVLVAWGANDTIFSVAGAEAYRKDVDDLKVVYYDTGHFALETHV VAIAEEIISMLAENQGY SPAR_N03670 MILFHALYVIWVFLFLPLLNAEEFIPKVTETLSEYTFSLVGFDD SNTLIRLDNRAVWISFDAGENWETVKEIKERIFRLVIDPLHGQDRAFASIYASPKFYV TDDGGKSWRALTIPIPEEYHIGTDCIITTHPTKKEYLIADCPSYTLNSGVFYGTNKIY LTHDGESFYKIESSLKEKDDDDITTSRCNFVKSCKDSDISGNDASIICLFSNIIYDSE HRLTDTYTQLALSSDGGRTFKEFDEFKDKIVNRYKILKSHVVVLTQDDGHNEMSSMDI WISNDASTFQKAQLPTQLRHVNVNEIDEDSIGRIIIPISTMFTDEKNDQPAPSEILIS DSQGLKFSPVKWTPNHQFGYIRLASPDFLKGTIFGSFHPYIDYSHRKGKYGGKIARDE TKISVDNGLTWSNLKVVDRENADSFGCDITRSERCSLQNPFYQLEVSNPSAGIMLMTG SVGDGSEFDWEDQKTFISRDSGLTWRLAHNSSGLYATGDLGNIIVYIPYDPNEDGDLQ SEFYYSLDQGGTWSEYELTDGISGIRPSQLINTTPDGSGSKFILDGHLITTTNREGKL AILSSSIVYAIDFSAAFDRKTCEEEDFEDWNLADGKCVNGAKYKYRRRKQDARCLVKK AFKDLSLHEIPCNSCSASDYECSFEFVRDTNGQCVPDYNLIALSDICDKSKSKSVLVE PLQLIKGDKCKTPMKIEPVDIPCDEIPKEGSNGKEIVTTENKFDFEIQFYQYFDTVAD ESLVMLNSIGDAYISHDGGQTMKRFDTDGEKIVEVVFNPYFNSSAYLFGSKGNIFLTH DRGYSFMIAKLPEARQLGMPLDFSAKAQDTFIYYGGKNCESILSPECHAVAYLTKDGG ETFTEMLDSAIHCEFAGTLFKYPSNEEMVMCQVKEKSSETRSLVSSVDFFHSDKTTVF ENIIGYLSTGGYIIVAVPHENNELRAYVTIDGAEFAEAKFPYGEDIGKQEAFTILGSE EGSIFIHVATNIESGRDFGNLLKSNSNGTSFVTLGHAVNRNTLGYVDFEKVQGLEGII LINIVSNSDKVSENKEDEQLKTKITFNEGSDWNFLKPPKKDSEGKKFSCDSVSLDKCS LHLHGYTERKDIRDTYSSGSALGMMFGVGNVGDRLLPYEECSTFLTTDGGETWAEVKK GSHQWEYGDHGGVLVLVPENAETDSISYSTDFGKTWKDYKFCGDKVFVKDITTVPRDS ALRFLLFGEAKNMGSGSFRTYTIDFRNIFERQCKFDITSKESADFEYSPLGSHNGCLF GHRTEFLRKTDEKCFIGNVPLSEFSRNVKNCPCTRHDFECDYNFYKAKDGTCKLVKGL SSANAADICKKEPDLIEYFESSGYRKIPLSTCKGGLKLDAHLAPHPCPGKEWAFKEKY PINTGAYVSVFVTILLVIFFAAWFVYDRGIRRNGGFSRFEEIRLGDDGLIENNNTDRV VNIIVKLGLYLSLITKSAFQHTKTGAAHFSSKLRARFGNKKGPTYSSLLHDQFSNESD GLHEDANDLSSFTDQGSNFEIEQEDAHRPEQERTSQTDQPATSDIPDALPARSSAHKP DSTATRNEDE SPAR_N03680 MQLYLTLIFLLSLVECSYISFISNNADEILETDVIETLSYATVT IGEPYVAQSVVVTRVSASSHSPLTVSPKNRVSASPINSQGSDSTLRTVIQPSLLSSNY ASQFSQTISAQSNNDPTVTGLNSYTNDGSKTISSVDSLSSVSGVPSVSGVPSVSGVAS VSVVASVSVVASVISSAPTMRKVTTLLSQTASTSTSTLFSSSLSISGTQLNGTLLTSV SRGTIDPLVTQMPSYSSQETKIIPSSLTSNKTIYTISVSANTATATSRESSFASTPAS STLFYPSNSTQDLVQSLASSTVSPTYPSNTTQITLSPSVSLYSTASPIYPSNITESVL SSSSPLLSSTVSPVYPSNSTQNTLSTSLFSTVDSSSSSLYSTLDTIYVSSSTQATISS SSSSEQTSTSPSSLSTSTSSTATATENFSTTTIVNLFNAVSTDEPPTVFNRSPNPMSL ADGVSNDGPIQTNKFYTNLIVGSQESPAFVYPYSLWKYTSSSYGFAVQHTTVDQYSYG GYDSSGNAEYLVNPLGIAHVVFSASNFDSSMTMQVDEMTLSSTRVVLSESNGSSNYLE IPLVQGMGFATGIYHGSLNAKIGSSVGFNTIVSESSSNLAQGILKYRITLLNGVTWLC FVIGPDDLASTDFSLQVTSEYEIEANTSVDGLIIQLAVAPSETDYEVFYDQAAGMYVT DFKLQGVSDGSTATYEFSYTTQGESASGSTMIFALPHHESSFSDAMQDYYTGIQLAST TKGVMNGYLTTSLQFSTSLNRQISWLPWSSQLGSTPLEYSEEQLQLLAEVANSELQVS ISESISGLNTYYLGKVIDKYSYILLTVSEIIQDEASTKSTLENIKSAFEILLQNAQTY PLIYDTKFNGLVSSGDWGSTSTQYDFGNTYYNDHHFHYGYIIHAAAVIGYVDSKLNGT WATDNKDWVNSLVRDVANPSEKDAYFAQSRMFDWFNGHSWAAGLYENGNGKNEESSSE DYNFAYAMKLWGATIGDQSMELRGDLMISIMKDSMNDYFYYQNDNTVEPEEIIGNKVS GILFDNIIDYTTYFGTNTEYIHGIHMLPITPVSSNIRSETFVEEE SPAR_N03690 MQESREPQNNFSDCQRISSSSSTFFGGVSPEKPRCERSLGKEKD DSAYNSGDHSSSITNVQDDDFVLPELLPSFEMYENLLSNIPQSSFDTYFPENPPYYEV TSRSRSIPSEGESGHDMRILTDDIAGLENHEVTVDSRRFTSGSSSSQIRNYYDTKGIP VEKIYALPRVKTPIAIELYVTKTAPKFGQLPKHESMLKEYTSGDIIHGYFTVQNKSTK PIKFDMFYLTLEGTTSSKIKSPFGIQKTTKRILRMVDMAASWSYNHEDVNTGEDLCGF FDSIDKTSFGLPNNRTLNPGDKRKKFFTFKIPNQLLDVTCKHGNFSHSLLPPTLGFDR PPSSHPDLSTLKFSESLGYGRLSERGSSLWLSDNSNGSLINYSINAMIVGKDIASGRV CLMSEKKYSIRIVPFGFQCNPISKETCLKDLEDFDIEIANRLGRIEKIFCKTRRAIPI RKEDIQEMSRSDQLAPSRGKYEWNAAAGNIENGTPKKKHYSEEDKIQTEITYSISSVF STGLKNVLFKKVRNTQSSQPDNTASRINSEKIGLITIKIRPPSNALPYWCPNLIQKQN VFSLRNRQNQQNWINLRGLLSFEEREKLENLRVELVCMQAANSIPHDPPEISSLETEL ICLTTNTEDCKPVRFHTDLLLKEHKYNKIKKIFQEMLENIEAYRDEFTKNQTKINLLL TEDARVSLHDRPLVFSELMPLSTIKDVQVLARMDANVVVMKNALKTKLVGEKREHVGS SPISSMVPRASRNIKKTSPSNCGHSILSHLKLNEWNQVNPTEYKKTLLLNIKFNEDLK ATIVPSFESCLCSRSYFFRVKFHFDKGAGSCEIDIPVQVKNSYI SPAR_N03700 MALHTIREDDTELGCPNPDTFDASAIIKSYVKEASEQGIHLRKA GVAMECVSVEGLDSSFLEGQTFGDILCLPWTIIKGIRERKNRNKMKIILKDVSLLAKQ GEMVLVLGRPGAGCSSFLKSAAGETSQFAGGITTGDISYDGIPQKEMMQHYKSDVIYN GEQDVHFPHLTVKQTLDFAISCKMPSKRVNDVTKEEYVVANREFYAKIFGLTHTFDTK VGNDFISGVSGGERKRVSIAEALAARGSIYCWDNATRGLDASTALEFARAIRVMTKLL GTTALITIYQASENIYETFDKVTVLYAGRQIFYGKTAEAKNYFENMGYLCPPRQSTAE YLTAITDPNGLHQIKPGFEYEVPHTADEFEQYWLDSPEYTCLQDEIQNYKHDVNPERT KKTYNESMAQEKSKGARKHSYYTISYWEQIRLCTIRGFQRIYGDRSYTVINTCAAIAQ AFITGSLFYQAPSSTLGAFSRSGVLFFSLLYYSLMGLANISFEHRPILQKHKVYSLYH PSAEALGSTISSFPFRMIGLTFFIIILYFLAGLHRSAGAFFTMYLFLTMCSEAITSLF QMVSSLCDTLSQANSIAGVVMLSIAMYSTYMIQLPSMHPWFKWISYILPIRYAFESML NAEFHGRHMDCGGTLVPSGPGFENILPENQVCAFAGSRPGQSWVLGDDYLRSQYEYEY KNTWRNFGIMWCFLIGYIVLRALFTEYKSPVKSGGDALVVKKGAKKALQRSWSSKNDE ENLNVSIATQDMKEIASSNGDSTQADFEGLESTGVFIWRNVSFTISHSSGQRKLLDSV SGYCVPGTLTALIGESGAGKTTLLNTLAQRNVGMIAGDMLVDGLPMDASFKRRTGYVQ QQDLHIAELTVKESLEFSARMRRPQSIPDAEKMEYVEKIISILEMQEFSEALVGEIGY GLNVEQRKKLSIGVELVGKPDLLLFLDEPTSGLDSQSAWAIVKMLKRLAQAGQSILCT IHQPSATLFEQFDRLLLLGKGGQTVYFGEIGKNSSSVVGYFEKNGARKCQQNENPAEY ILEAIGAGATASVQQNWSDIWQTSPENANVDEKVNAMIKDLSSTTLQKAAVKASKYAT SYFYQFWYVLRRSSLTFWRNLNYIMAKMMLLMISGLFIGFTFFHVGINAIGLQNSLFA CFMAIVISAPATNQIQERATAAKELYEVRESKSNMFHWSLLLITHYLNELPYHLLFST IFFVSLYFPLGIFFEVSRSGVFYLNYSILFQLYYIGLALMVLYMSPNLQSANVIVGFI LSFLLSFCGAVQPASLMPGFWTFMWKLSPYTYFLQNLVGLLMHDKPVRCSEKELSVFN PPIGQTCGEFTKPFFEFGTGYIANPDATSGCAYCQYKVGDEYLARINASFSYLWRNFG LIWAYIIFNIIAMIAVYYVVQVKHFSPMKIGFVKRITISFKRK SPAR_N03710 MSNSNSDNKYSVITIGDEKRFQATIAPLGATLVDLKVNNQSVVQ GYSNVQDYLTDGNMMGATVGRYANRIAKGVFSLDDGPHKLTVNNCGNTNHSSISSLNL KQYRASPVENPSKGVYVVEFKLLDDHTQPNPNEFPGDLEVTVKYTLNVAEMTLDMEYQ AQLVRGDATPINMTNHSYFNLNKVKSEKSIGGTEVKVCSNKSLEVTEGALLPTGKVIE RNIATFDSAEPTVLHDDAPVFDCTFIIDANKDLKTTDSVSVNKLVPVFKAYHPESHIK FEVSTTEPTVHLYTGDNLCGKFVPRSGFAVQQGRYVDAINRDEWRDCVLLKRGEVYTS KTQYHFGI SPAR_N03720 MQSSTESDRDIQNNSAVDIHVAPPVEKEWSDGLDDDEVINGDNI EPPKRGLLGYLVIYLLCYPISFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTGEYY LSNVRMGLLVAMFSIGCAIGGLMFARLADTLGRRLAIVIVVLVYMVGAIIQISSNHKW YQYFVGKIIYGLGAGGCSVLCPMLLSEIAPTDLRGGLVSLYQLNMTFGIFLGYCSVYG TRKYDNTAQWRIPLGLCFLWALIIIIGMLLVPESPRYLIECERHEEARASIAKINKVS PEDPWVLKQADEINVGVLAQKELGEASWKELFSVKTKVLQRLITGILVQTFLQLTGEN YFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAGMMA CMVIFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAESFP SKVKSRAMSISTACNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFFLPE TIGLSLEEIQLLYEEGVKPWTSASWVPPSKRGIPAEERNTEKKDWKKFLKFSKGSD SPAR_N03730 MTKSNETTATSLNAKTLKSFESTLPIPTYPREGVKQGIVHLGVG AFHRSHLAVFMHRLMQEHHLEDWSICGVGLMKADALMRDAMKAQDCLYTVVERGIKDT NAYIVGSITAYMYAPEDPRAVIEKMANPDTHIVSLTVTENGYYHSEATNSLMTDAPEI VNDLNHPEKPATLYGYLYEALLLRYKKGLTPFTIMSCDNMPQNGVTVKNMLVAFAKLK KDEKFAAWIEDKVTSPNSMVDRVTPRCTDKERKYVTDTWGIKDQCPVVAEPFIQWVLE DNFSDGRPPWELVGVQVVKDVDSYELMKLRLLNGGHSAMGYLGYLAGYTYIHEVVNDP TINKYIRVLMREEVIPLLPKVPGVDFEEYTASVLERFSNPAIQDTVARICLMGSGKMP KCVLPSIYEQLRKPDGKYKLLAVCVAGWFRYLTGVDMNGKPFEIEDPMASTLKAAAVK GGKDPHELLNIEVLFSPEIRDNKDFVAQLTHSLETVYDKGPIAAVNEILDQV SPAR_N03740 MGENIPLLSSRGLEFQSTFSLPKDIFRNRLTWSCCEICNSLGFC IWLLLWLPLTVWWGMSSTSTYSFFIALVLLFLGLIILPPIQILCRKYTLSNHLTQLSK EIIKSTPGAFSDDWDTVALHFNSYLYENNAWNTGQFFFNGTDCQEAFRKTILEPVVLR RENEGARFTSFEVSVFHIEKAVQVYFTKVHEQWKLIHTEKECSATGLENVKLPKETYR CKLAWSFQRIRFSYYPVESLSDLVDIYLYAQFSSLCLVSYCLVDILFRVVEDFQNIRP KSMKVEDKMQYLSDIISEQGAPTERWDKIARKTNRWLFEKRVWKNEEFFFDGTDCQVF FKRNFSTLLFSKISVPSRSLNVELWQYIQEAQSASTDEPLV SPAR_O00010 MVPLFGLFYIFSQLCSLCSAYVDVTSGYQVFLGLPNNMTNNQIC WLFQTSYFDINSDKSGRTLRTGRFEPGDQQSLVYRDTLVELEAITDFYEYSNLDLSTY NGPEPYNSETDYCRDIMDLVMRVYDEEGNYVHPTTEEYSATASATVQRRDSDADMEEC IDEPCYDVRDCQILNSKCGHCSNSGGREQRYCDWQYTYFVHSFVLHCWYTWQHTCS SPAR_O00020 MVETDLSRNDNLDDKSTVCYSEKADTDVDKSTASGLRRIDAVNR VLSDYGSFTAFGVTFSSLKTALLVALFLQGYCTGLGGQISQSIQTYAANSFGKHSQVG SINTVKSIVASVVAVPYARMSDRFGRIECWIFALVLYTVGEIISAATPTFGGLFAGII IQQFGYSGFRLLATALTGDLSGLRDRTFAMNIFLIPVIINTWVSGNIVSSVAGHTAPY KWRWGYGIFCIIVPFSTLILVLPYAYAQYISWRNGKLPPLRLREKGRTLRQTLWKFAE DVNLIGVILFTAFLVLVLLPLTIAGGATSKWKEGHIIAMIVVGGCLGFIFLIWELRFA KNPFIPRVYLGDPTIYVALLMEFVWRLALQIELEYLVTVLMVAFGESTLSAQRIAQLY NFLQSCTNIVVGIILHFYPHPKVFVVTGSLLGVLGMGLLYKYRVVYDGISGLIGAEIV VGIAGGMIRFPMWTLVHASTTHNEMATVTGLLMSVYQIGDAVGASIAGAIWTQRLAKE LIQRLGSNLGMSIYKSPLNYLKKYPLGSEVRIQMVESYSKIQRLLIIVSISFAAFNAV LCFFLRGFTVNKKQSFTTEEREKEKLKIKQQSWLRRVIGY SPAR_O00030 MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNDDCFALIEKAHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDENGKPIPPNNWRSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVAGLPDAPVIDDNTKWQPSDPFTMNGPRIHEFHQEMNKFIRDRVKDGREIMTVG EMQHASDETKKLYTSASRHELSELFNFSHTDVGTSPKFRQNLIPFELKDWKVALAELF RYVNGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLVSLTGTLYVYQGQ ELGAINFKNWPIEKYEDVEVRNNYNAIKEEHGENSKEMKKFLEALALISRDHARTPMQ WSREEPNAGFSGPSAKPWFYLNESFREGINAEDEVKDPNSVLNFWKEALKFRKAHKDI TVYGYDFEFIDLDNKKLFSFTKKYDNKTLFAALNFSSDAIDFTIPNDDSSFKLEFGNY PKKEVDASSRTLKPWEGRIYISE SPAR_O00040 MFNRFNKLQAALALVLYSQSALGQYYTNSSSIASNSSTAVSSTT SGSVSISSSIVESTSSASDVSSSLTELTSSSSEVSSSIAPSTSSSEVSSSVASSTSSA SGVSSSITSGSSISGSSTSITSSGSSGSSVSGSSSATQSGSVSGSSSATQSGSSVSGS SSATQSGSVSGSSSATQSGSSVSGSSSATQSGSSVSGSSSATQSGSVSGSSSATQSGS VSGSSSATQSGSSVSGSSSATQSGSSVSGSSSATQSGSSVSGSSSSASGSSASSSSGS ITQSGSSSSGSESSSITQSGTASGSSASSSSGSPSSVPGSSASSGPGISSSIPQSTSS ASTASGSVTSGTLSSITSSASSATATASNSLSSSDGTIYLPSTTISGDITLTGSVIAT EAVEIAAGGKLTLLDGDKYVFSADLIVHGGVFVEKSKPTYPGTEFDISGENFDVSGTF NAEEPAASSASAYSFTPGSFDNSGDISLSLSESSKGEVTFSPYSNSGAFSFSNAILNG GSVSGLQRRAEDEGSVNNGEINLDNGSTYVIVEPVSGSGTINIISGNLYLHYPDTFTG QTVVFKGEGVLAVDPTESNTTPIPVVGYTGKNQIAITADVTALSYDGTTGVLTATQGN SQFSFSIGTGFSSSGFNVSEGTFAGAYAYYLNYGGVVASSATPSSTSTTSGVANSTSG STSFGASVTGSTASTSFGASVTGSTASTLTSGSQSIYTTTLTYATATSTVVVSCSETT DSNGNVYTITTTVPCSSTTATITSCDETGCHVTTSTGAVVTETVSSKSYTTATVTHCD DNGCNTKTVTSECPEETSATTTSPKSYTTVTVTHCDDNGCNTKTVTSECPEATTTTVS PKTYTTATVTQCDDNGCNTKTVTSECPEETSATTISPKTYTTATVTQCDDNGCNTKTV TSEAPKETSETSASPKTYTTATVTQCDDNGCNVKIITSQIPEATSTVTATSASPKSDT TITSKATKATSLTTVISEASSAISTYSKSAAPTKSSTGIIIQSEGIAAGLNTNTLNAL VGIFVLAFFN SPAR_O00050 MKFSSGKSMIFATIASLALSAPVTYDTNSTVELQSSLSQEVLGW SHATFPTIYQTCNETNARMLNAAFKDTAEVTAYGKDRLLNYGVDDVYYKRWFGNGSIF TVMGVFDQLMEASKGGMLMRCDDVDGLCAANPNYYAGHHRQSAPAETVICDYFYTARK PLSSICFEGTIVDVGPKHYAGIDMLHRYLHVPTMSMDGYVGEYAETLEEVVDYAQNNA TFAVRNTDNYLYYLADVYSASVIPGGCLGNL SPAR_O00060 MTETHHAPLPDEYPSSRQPTSSTYSRYKKFVLPLIGLFTLVLAY TTNVAKPVSNSTFHVPASPQCKKPQAYRPSFNKSVNLILNDKQFKIDSIKKLSGAIQI PTEINDTNPLPDDDLEYYSEFFKLHKYFEETFPLVHSHLKVEKVNQLGLIYTWEGTDP SLKPILFMAHQDVVPVNREIWDSWQYPPFSGYYEQETDYVWGRGSNDCKNLMLAELEG IEQLLTDGYRTKRTVILSMGFDEESSGFMGAKALAPFLLERYGPDSMFSIIDEGAGLL RLDKNLYIAAAVNAEKGYVDVRIAVHGHGGHSSVQPDHTTIGVASELIFMMENHPFEY DFSLDNPIYDVLQCAAEHSSFLPPHVREAILKAPVDESKRKVLTEFAASHPDIRDLIR TTRAIDVINGGVKANALPGLTSFIVNHRVDIHSSVNETIENDLYWARVIAEKHGYGLT FHDEVIIPETKLGHISLASEKMLEPAPVSPTAGHVWEIFAGTVQNLFQNEILAEQKDA DVYVTGGLFSGNTDTKYYWGLSRNIYRFVAGIFPFDQLRTIHSVNEHISASSHVSAVA FVYEYIVNVNEYGHD SPAR_O00070 MVEVKDLVLNNGVRCIADIHSELYAKLKKESQAATPWIYQKEYG KFVTYFIAVIIFLCLIKKLAFMYYDSSEEFLPEKKDSPITPPELLARIMKRLVAFNRY ICYRKFPTLIFSYLGIPTSVGTFLVVMAATLYTLLYCFVPHPFYRPCAGFGSPPLSVR AGIMAVSLVPFVFSLSGKVNVIGWLVGLSYEKINIYHQWASILCLFFSWVHVIPFLRQ ARHEGGYERMHQQWKASDMWRSGVPPILFLNLLWLFSLPVARRYIYEIFLQVHWILAV GFYISLFYHVYPELNSHMYLVATIVVWFAQLFYRLAAKGYLRPGRSFMASTIANVSVV GEGCVELIVKDVEMAYSPGQHIFVRTIDKDVISSHPFSIFPSAKYPGGVKILIRAQKG FTKRLYESRDEMKKILIDGPYGGIERNVRSFTNVYLICSGSGISTCLPFLQKYGPIFH KTNLESITLDWLVRHREDISWIEDEIYTLSNDLRQLFLDGRIVIRIYVCSSSTVPDTT KTLSRIADTTSDQSDLAKKEKGTEFGQDNTESSSTLDKSKNEYKSIVTIISSKPDLNQ VINGYEIGFRNCFICSGSDSLRYIVGNSVASLQAKVFSSKNVEECYLHSESFGY SPAR_O00080 MSVFVSGANGFIAQHIVDLLLKDDYKVIGSARSQEKAENLMKAF GNNPNLSMEIVPEISKLDAFDHVFQKHGKDIKIVLHTASPFCFDISDSERDLLIPAVN GVKGILNSIKKYAADTVERVVLTSSYAAVFDMAKENDKSLTFNEESWNPATWESCQSD PINAYCGSKKFAEKAGWKFLEENKDVVKFELTAVNPVYVFGPQMFDRDVKKHLNTSCE LVNSLMHLSPEDKIPELFGGYIDVRDVAKAHLVAFQKSETIGQRLIVSEGRFTMQDVL DILNEDFPVLKGKIPVGKEGSGATHNTLGATLDNKKSKELLGFKFRNLKETIDDTASQ ILKFEGRI SPAR_O00090 MTGAATAAENSATQLEFYRKALNFNVIGRYDPKIKQLLFHTPHA SLYKWDFKKDEWNKLEYQGVLAIYLRDVSQNTNLLPVSPQEVDIFDSQNGSNNIQTNN GAENNNRNSNGNGNGCKNNDSLTYNCGKTLSGKDIYNYGLIILNRINPDNFSMGIVPN SVVNKRKVFNAEEDAHNPLECMGVEVKDELVIIKNLKHEVYGIWIHTVNDRQNIYELI KYLLENEPKDSFA SPAR_O00100 MSANSPTGNDPHVFGIPVNATPSNMGSPGSPVNVPPMNPTVANV NHPVVRTSSNNNANEGARTLTREQIQQLQQRQRLLLQQRLLEQQRKQQALQNYEAQFY QMLMTLNKRPKRLYNFVEDADSILKKYEQYLHSFEFHIYENNYKICAPANSRLQQQQK QPELTSDGLILTKNNETLKEFLEYVARGRIPDAIMEVLRDCNIQFYEGNLILQVYDHT NTVDVTPKENKPDSNTSSSPPSNNGSTQDNSKIQQPSELNSGVANTGANTTNKKASFK RPRVYRTLLKPNDLTTYYDMMSYADNARFSDSIYQQFESEILTLTKRNLSLSVPLNPY EHRNMLEEAAFSEPHWDDQKKSFVHEHRAESTREGTKGVVGHIEEHDEFPQHSSNYEQ LMLIMNERTTTITNSTFAVSLTKNAMEIASSNSNGARGASSSTSNSASNTRNNSLANG NQVALAAAAAAAAVGSTMGNDNNQFSRLKFIEQWRINKEKRKQQALSANINPTPFNAR ISMTAPLTPQQQLLQRQQQALEQQQNGGVMKNANKRSSNNAAGNNNSNNNLDKPKVKR PRKNAKKSESGTPAPKKKRMTKKKQSASSTPSSTTIS SPAR_O00110 MVCDTVVYHPSVTRFVKFLDGSAGREKILRLLQYLARFLAVQNS SILARQLQVQFTTVRKFLRFLKPLNHLQAAAKFYDNKLASDNVVRICNVLKNIFFAAY LSLDQVNLLRILKVIPVTILTGKKIPRWSNWCWLFGLLSGLAMDLRKIQTSHAQISAF VKAKSQSQGDEHEDHKKVLGKAYQDRYSALRRLFWDAADSFIVLNNLGYLSSNEEYVA LSGVVTSILGMQDMWKVT SPAR_O00120 MGYYDIDDVLADGTDFPCKFQYDIPGLGYLENNPGRPITKNTKL NLPLWLARILAIVGGDEALIDEEPVPFVELLPPDMFSTKVMNAIKTDPVALDLHSINS HFFSLAIKWITLFSEKELANVVSELLLQRAQELNHHASSLSIDLSSAGKNSANTNIAT STFLLKLEEMEKEIYKKSHESYKDTKGWMFKK SPAR_O00130 MTNAIKVEGYPSMEWPTSLDIPLKASEELVGIDLETDLPDDPTD LKTLLVEENSEKEHWLTIALAYCNHGKTNEGIKLIEMALDVFQNSERASLHTFLTWAH LNLAKGQSLSVETKEHELTQAELNLKDAIGFDPTWIGNMLATVELYYQRGHYDKALET SDLFVKSIHAEDHRSGRQSKPNCLFLLLRAKLLYQKKNYMASLKIFQELLVINPVLKP DPRIGIGLCFWQLKDPKMAIKSWQRALQLNPKNTSASILVLLGEFHDSLTNSTNDKTF KEAFAKALTDLNNIFSENQHNPVLLTLLQTYYYFKGDYQTVLNIYHHKILKMSPLIAK TVLSESSFWCGRAHYALGDYRKSFIMFQESLKKNDDNLLAKLGLGQTQIKNNLLEESI ITFENLYKTNESLQELNYILGMLYAGKAFDAKAAKNIPAKEQSNLNEKALKYLERYLK LTLATKNQLVISRAYLVISQLYELQNQYKTSLDYLSKALEEMEFIKKEIPLEVLNNLA CYHFINGDLVKADDLFKQAKAKVSDMDKSVNITLEYNIARTNEKNDCEKSESIYSQVT SPHPAYIAARIRNLYLKFAQSKIEDSDMSTEMNKLLELKESDLEIRSFYGWYLKNSKE RKNNEKSTTHNKETLVKYNSHDAYALISLANLYVTIARDGKKSRNPKEQEKSKHSYLK AIQLYQKVLQVDPFNIFAAQGLAIIFAESKRLGPALEILRKVRDSLDNEDVQLNLAHC YLEMREYGKAIENYELVLKKFDNEKTRPHILNLLGRAWYARAIKERSVSFYQKALENA KTSLDLFVRESSKSKFIHSVKFNIALLQFQIAETLRRSNPKFRTVQQIKDSLEGLKEG LELFRELNDLKEFNMIPKEELEQRIQLGETTMKSALERSLNEQEEFEKEQSAKIDEAR KILEEKELEEQERMKQEEEAKRLKLEKQAEEYRRLQDEAQKLIQEREAMAISEHNVKD DSDLSDKDNEYDEEKPRQKRKRSTKTKNDGEPKRRKAAKKTVSDSDEDEDDVVKKPSH NKGKKSQLSNEFIEDSDEEEAQMSGSEQNKNGDNDDDNDNDDNDGLF SPAR_O00140 MNRVIQKRIFVGNIFHDADDCYSELLDRFGKFGDCQDVQFEKHN HFAFIDVKFNDDADFNKLRKSFNNVKFKGNILKVDEAKPNWETTWAVQHAKDLKEDII LKMKMKKKNWQHYKKMENVAKSWKDHKEVIAGRMREAPRKRSQLRNVTFRINVNGSLK VYKCYKTKLWGYERNKELNDLVYKFTNNFWKNGYNHIVDRLDYSRTARTVRFKNGLKQ LTVSQVENTGNGEMDSDEHMSEEEKEKNNVILNDLLKGFDFDKPIALNDSDEEPIAQH EEEEVDASKHENVKMEKVPEVNEPEDESTIPQDVSEEKTEHDDDGVDVQEDHEFIPTF TKEIGQGTISNTETLRNLFNPTEAEPVSQFKLIEHSDNDIDHAKDVDVNQLEEKVSKS HNVGLTSPISQISKDKDTKNFLFFPHSQSPFLVGQTQLSKVRATGKETILSNWDEEFW ANRGNWTRDMRRKMKDALKHRKRKQSKSGLLL SPAR_O00150 MAVKGLGKPDQVYDGSKIRVGIIHARWNRVIIDALVKGAIERMA SLGVEEKNIIIETVPGSYELPWGTKRFVDRQAKLGEPLDVVIPIGVLIKGSTMHFEYI SDSTTHALMNLQEKVDMPVIFGLLTCMTEEQALARAGIDEAHSMHNHGEDWGAAAVEM AVKFGKNAF SPAR_O00160 MSTFIFPGDSLSVDPTVPVKLGPGIYCDPNSQEIRPVNAGILHV STKGKSGVQAAYIDYSSKRYIPSVNDFVIGVITGTFSDSYKVSLQNFSSSVSLSYMAF PNASKKNRPTLQVGDLVYARVCTAEKELEAEIECFDSTTGRDAGFGLLEDGMIIDVNL NFARQLLFNNDFPLLKVLAAHAKFEIAIGLNGKIWVKCEELSNTLACYRTITECCQRN DAAAFKDIAKKQFKEVLTVKEE SPAR_O00170 MKNLTAVKQTSKDAKQERRKKYADLAIQGTNNSSIASKRSVELL YLPKLGSANNFQRDKNDKLLEYFKFFVPKKIKRSPCINRGYWLRLFAIRSRLNSIIEQ TPQDKKIVVINLGCGYDPLPFQLLDTNNIQSQQYHDRVSFIDIDYSDLLKIKIELIKT IPELSKIIGLFEDNDYVDKSNVDFLTTPNYLARPCNLNDSKMYSILLNECQLYDPNIV KVFIAEVSLAYMKPERSDSIIEESSKMENSHFIILEQLIPNGPFEPFSKQMLAHFKRN DSPLQSVLKYNTIESQIQRFNKLGFAYVNVGDMFQLWESANEVTKNELLKVEPFDELE EFHLFCHHYVLCHATNYKGFTFTQGFLFDRPMFETDLAVDEDYQLLEWECAINRKFGD AAVAGNDVFYMGGSNPYRVNEVLQLSIDHDKMDIKSIEVSSSEVPVPRMCHTFTTLCK NDQLLLIGGRKAPHQGLSDNWIFDIKKKEWSMIQSLSKTRFRHSACNLPDGNVLILGG VTEGPVMLLYNVTKKVFRDVTPKHGFFQKSLVSAGLAFDFVSNQGVILGGGYMDQTTV SDKAIIFKYNPEDVIEPITVVKKLQHPLFQRYGSQIKYITPKKLLIVGGTSPSRLFDQ TNSIISLDPQSETLTSIPISRRIWEDHSLMLAGFNLVTTATGIIHIIGGGATCYGFGS VTNLGLRLIANTKERSCLDSNGCQLAM SPAR_O00180 MFKRYLSSTSSKRFTSILEEKAFQVTTYSRPEDLCVTRGKNAKL YDDVNGKEYIDFTAGIAVTALGHANPKVAEIMHHQANKLVHSSNLYFTKECLDLSEKI VEKTKQFGGQHDASKVFLCNSGTEANEAALKFAKKHGIMKSPSKQGIVAFENSFHGRT MGALSVTWNSKYRTPFGDLVPNVSFLNLNDELTKLQSYISTKKDEIAGLIVEPIQGEG GVFPVEIEKLTALKKICEDNDVIVIHDEIQCGLGRSGKLWAHAYLPSEAHPDIFTSAK ALGNGFPIAATIVNEKVNNALRVGDHGTTYGGNPLACSVSSYVLDTVADESFLKQVSN KSDILKKRLREIQAKYPNQIKTIRGKGLMLGAEFVEPPTEVIKKARELGLLIITAGKS TVRFVPALTIEDELIEEGMDAFEKAIEAVYA SPAR_O00190 MSVEEVSKKFEENVSVDDTTATPKTVLSDSAHFDVKHPLNTKWT LWYTKPAVDKSESWSDLLRPVTSFQTVEEFWAIIQNIPEPHELPLKSDYHVFRNDVRP EWEDEANAKGGKWSFQLRGKGADIDELWLRTLLAVIGETIDEDDSQINGVVLSIRKGG NKFALWTKSEDKEPLLRIGGKFKQVLKLTDDGHLEFFPHSSANGRHPQPSITL SPAR_O00200 MSLSPHMENASVPKGSTPIPKNRNFSSLGKAEFLGSSSSNNSSF RVNHYSNSGQPSVLDSIRRPNLTPTFSYSNGVYMPESHRTSSFNDNYLPYDKNSYVKT AGSMGNKSNIKVKTKKNASNTTTRKSSGLIYTTKVDKELSSIDKVNDPNINGLVCAGK THLGLYKFSPSDRSIKCVHDFITPNNNTSTRGTTSLLPKLSKRTRQNKFSTIADVKTG FNNYKNCIAVCNNSTAISIYDLNKSSSIDNPLITSLCEHTRSINSFDFNMVESNLIIS GGQDSCVKIWDLRSNKSKSSNRSDININTASDSIRDVKWMPGYNFAIKNEQGTSTYGN FKSGYKFASIHDSGYLLKFDLRQPTQYEKKLNAHTGPGLCLNWHPNQEYIATGGRDGK CCLWYVGDNANGAENTVLNYGNSPSLHTPSTSLNNSSSLAFPKLTINTGYPVTKLKFK PAYSNNIYNSLLGMSSMGDEAEVRIYSLARKYIPKHILLSETPSLGLVWWDENLIFNI DKGTRINGWDINKEPTVLENLSKNTTTWRDLDGNGLLSVDQEIGSYELSEPELQPALT ATGKKHPGAMKNLKNGNSENQGIIGGIKKGFSHTGLTSFAPERPPTLKAGPTFSTKSL TLTSAASSFNNSSASLTSLTPQTDNKEEIAIEPPCIITLDIPQIFNNIRLTKIAHLRK KDVISKNSSIRRSPVETFKFLARQLKFSYIQEQNIADSADAMYKKDVENISITKNVTE AHDGDKDDDGDGDDDDDDDDDDKIIESHLLKKYNFPENNTWATLMNEKGNSKQSKRNS NSSKEFDEKDVRSSISSISANNQSHGRSRKIDKNLEAELQDKMQTLVDLISIATHNAS VYLSIDDLTNFKIWILIRDSLLWDLKGMTSSQVSPDEASNMEVNESSDFEAGENLKAR KEFLEEDGEGTSGAESLIEERPQAFRASSDEPSETEKKPVSKLKEQLKNTERITYAQP NEESDEVLSKLKELQSQHPEPRGKIGETASDDVIIEEDEDEQEEEEEQPHNSSNKSEH AHMSPIAKSIPILQKREHRKSFIDTFMLHSPNGYNGDTDIGNEDDNISPRFVYNSVSP RSKVSSLQSYATTTSQLETFKKLSSQTAPVIGSPGHALSRPDSIGREQLSSSLTKKLA KCRKSVDDPPWDTKKLIKQLYNQATETGNVVLTVNILFLFQMIYQITEIDIAKDAIAH FLLLLHRYELFGIAADVLKYCPFEDIMGSEGDQSSIRLFCERCGELITNESSKEKLRA EAQQTGNKKIMDKFGYWYCDSCKKKNTPCVLCERPLKKLTMVILPCGHEGHFQCIQEW FLDEKEHECPGGCPGVAFI SPAR_O00210 MHNSIFNSILANDTLRNQEKAGNSRQDLNHIQVFNMDASPITPS ADDYGMYTTEISHHNTIELKNLLSSSDSRRNSQDEDRLSGNTNVVKEVDWQGEKVKTY PLNYQTVPLVKLQVIACLVMFVVFGMNDQTVGALLPTLIEYYHISRVDVSNVFIVQLC GYVVASLSNERLNKHFGMRGGMLLAAALCIVFLIVLATAPSNFYVCMFCGLPLGLGIG ILDSTGNVLMGSLLVHKNELMGIMHGLYGAAAMVTPPLVSYFVEWGHWSLFFLIPLFF SIIGMIIIFPAFKFETASKYDYLCSMENKENNNDVEGGGDESQMESTKTSPGFFELLK NPAIFLYSLYLFLYLGAEITTGSWFFSYLLETKSSNKVAMSYIAASFWTGLTVGRLFL GFVTERFFENEYKASKAYAFLTLTSYTLFVLVGLINSSSVFYFIVLFFVVFCCGTFIG PLFPNASIVALQVLPKRLHVSGVGVAVAVGGCGGAAIPYLAGVLAHTVGIQYIPLLCW IMVALFTLEWTLYPKFIRGHEEYF SPAR_O00220 MGGSSDSDSHDGYLTSEYNSSNSLFSLNTGNSYSSASLDRATLD CQDSDFFDNHKSSLLSAEVPRVISNDPLHLPITLNYKRDNADATYTNGKVNKFMIVLI GLPATGKSTISSHLIQCLKNNPLTNSLRCKVFNAGKMRRQISCATISKPLLLSNTSSE DLFNPKNNDKKETYARITLQKLFHEINNDECDVGIFDATNSTTERRRFIFEEVCSFNT DELSSFNLVPIILQVSCFNRSFIKYNIHNKSFNEDYQDKPYELAIKDFAKRLKHYYSQ FTPFSLDEFNQIHRYISEHEEIDTSLFFFNVINAGVVEPHSLNQSHYPSTCGKQIRDT VMVIENFINHYSQMFGFEYIEAVRLFFKNFENSSGETLTTLDSVVNDEFFDDLQSLIE SNGFA SPAR_O00230 MPTVGFMFSFFAPLEETIITIDDLQNGTLNKEPCIFKVHISYPS KIKKFHKPKMSNDPGNEVSSLYPPPPPYVKFFTQSNLEKLPKYKEKKVAGTKQAALNS SDGSDEEEITCALDYLIPPPMPKNQQYRAFGSIWQVKDQLPDLESMGLTQLYKKSTEN ESTNYQYKIQELRKLLKSLLLNYLELVGVLSINPDVYERKVEDIRTILVNIHHLLNEY RPHQSRESLIMLLEEQLEYKRGEIREIEQVCKQVQDKLTSIQDTLRTGSQSPPSSSR SPAR_O00240 MSNEADRMDVDEDELQNIAQSSNQGTPVETKKKRFEIKKWTAVA FWSWDIAVDNCAICRNHIMEPCIECQPKAMTDTDNECVAAWGVCNHAFHLHCINKWIK TRDACPLDNQPWQLARCGR SPAR_O00250 MIFLSFFIFLFLELFVLCEASVHTIQIKDKHFVDTVTGKPFFIK GVDYQPGGSSDVSEKQDPLSNTEACARDILLFQELGINTVRIYSINPDLNHDACMTML AMAGIYLILDVNSPLQNQHLNRYEPWTTYNEVYLEHVFKVVEQFSHYNNTLGFFAGNE IVNDKRSAQYSPAYIKELIGTMKNYISVHSPRTIPVGYSAADDLNYRVSLSEYLECKD DDKPENTVDFYGVNSYQWCGQQTMQTSGYNTLVDAYRSYSKPVFFSEFGCNKVLPRQF QEIDYLFSDEMYSVFCGGLVYEFSQEDNNYGLVEYQEDDSVQLLADFEKLKLHYQNVE FPSMKTLKETVQLEETPSCIGDYENLKIESKIAKNLGSSLIKKGVKVDKGKYIDICEN QLSTNVTILDMHGDSWDGPKKIEIRQSLTFSDLEGEGQENADEDDDDLKRKHRNSASI SGPFLPLGLFLLFFTLSIYY SPAR_O00260 MNTNKTMQDEVQDRVLQRAELAHSIWNLRFNLSKVTKRVHMETK VFREIKINESQLQTEQPSCRIFLPDLGEEHVPLIQGFKCLDSPPPVPPSSSQGEDKES TADSQY SPAR_O00270 MSSSSSSSESSPNLSRSNSLANTMVSMKTEDHKGLYDHRQHPDS LPVRHQPPALKKEEIAKISKPTTPKEQKGATRYNSHVEVGSAPTRGRMDFEDEVPDLD EAVAHHQLRASAILTSNARPSRLAHSMPHQRQLYVESNTHPSPKDVGVKRDYTMSPSG ASLGSKSKMSASSSASPITKVRKPSLVNPVLEIPHESKSDTHSKLGKPKKRTYSTTSA HSSINPAVLLTKSTSQKSDAEDDTLERKPVRMNTRASFDSDVSQASRDSQETEEDVCF PMPPQLHTRVNGIDFDELEEYAQFANAEKSQFLASLQAPNEQKYSNVSQDIGFTSSTS TSGSSAALKYTPRVSQTGEKSESTNETDIHEKKEEELEESKPGLRPGISFGKNKVEGE ENENIPPHDPAYYSYQSTDFQIPNRFSFFCSESDETVHASDIPSLVSEGQTFYELFRG GEPTWWLDCSCPTDDEMRCIAKAFGIHPLTAEDIRMQETREKVELFKSYYFVCFHTFE NDKESEDFLEPINVYIVVCRSGVLTFHFGPISHCANVRRRVRQLRDYVNVNSDWLCYA LIDDITDSFAPVIQSIEYEADAIEDSVFMARDMDFAAMLQRIGESRRKTMTLMRLLSG KADVIKMFAKRCQDEANGIGPALTSQINIANLQARQDNSNHIKNNNYPTVPNNYAPTT SQPRGDIALYLGDIQDHLLTMFQNLLAYEKIFSRSHTNYLAQLQVESFNSNNKVTEML GKVTMIGTMLVPLNVITGLFGMNVTVPGQDSSIAWWFGILGVLLLLAVLGWFLASYWI KRIDPPATLNEAAESGAKSVISSFLPKRNKRFNDRSKNLNVRAGPSNKSVASLPSRYS RYD SPAR_O00280 MDADDHVSLFRFPFKIPTFRGIRKGGVYLSGALYALGFWIFLDA VLYSRYSNASDVHVTFIDWIPFLCSTLGTLIVNSIEKNRLLQGALSSDGGAFGSGAGD LDSNMAWQARTVLFFGFALLAGGLSGSIVVLIIKFLVKDYNTYPTLGMGVNNVLGNVC ILLSCVVLWIAQNVEDDYSYSLTL SPAR_O00290 MLKAKKVFGSIPNTMTKLEDEHYFIDDIVSIKNRQKKKMYVREG KRIGHGSFGTVTQSYLSTNSTEWLGPYAIKRVVKSPKVQSLELEILQNIKHPNLVTLE FFFESQCSTKDGGHLYQKNFVMEYIPQTLSSEIHEYFDNGSKVPTKHIKLYTFQILRA LLVLHSMNICHGDLKPSNILIIPGSGIAKVCDFGSAQRLGNNEELKTYFCSRFYRAPE LLLNLKHYTTQIDIWSLGCIIGEMIKGQPLFKGDSAKSQLEEIAKLLGRFPESSINKS QELQNTLNDQKFKKFMHWFPSIEFFDVEFLLKVLVYDASERWDARQLMAHEFFDALRN ETYFLPRGSSMPVHLPDLFNFSASDKRALGEYYNSVVPPLD SPAR_O00300 MPHSVTPSIEQDSLKIAILGAAGGIGQSLSLLLKAQLQYQLKES NRSVTHIHLALYDVNQEAINGVTADLSHIDTPISVSSHSPAGGIENCLHNASIVVIPA GVPRKPGMTRDDLFNVNAGIISQLGDSIAECCDLSKVFVLVISNPVNSLVPVMVSNIL KNHPQSRNSGIERRIMGVTKLDIVRASTFLREINIESGLTPRVNSMPDVPVIGGHSGE TIIPLFSQSNFLSRLNEDQLKYLIHRVQYGGDEVVKAKNGKGSATLSMAHAGYKCVVQ FVSLLLGNIDQIHGTYYVPLKDANNFPIAPGADQLLPLVDGAGYFAIPLTITTKGVSY VDYDTVNRMNDMERNEMLPVCVSQLKKNIDKGLEFVASRSVST SPAR_O00310 MLQDYNGPVVKKAKPSERLQCEYFMEKKKRRCGMTRSSQNMYCS EHLNLMKKVVNSQIHNKNGGEAEKERERVPCPLDSNHTVWVDQLKKHLKKCNKTKLSH LNDDKPFYEPGYNGENGLLSSTVKMDFTANHHIQSIELLYKIFEGESMGELPLRQLNN ELMSRKRFPQLPSNIKHAVQQSSLIENLVAVGAFERPKSLNFIEFGCGRAEFSRYISL YVLTQLINFSAEHSESNSNEFVLVDRATNRMKFDKKIKDDFLEIKSQSASNTINCPSI NRLKIDIRDLKLDAILKSTPEDDRQYVSISKHLCGVATDLTLRCISNSSILHGDNNNK CKPKLKAICIAMCCRHVCDYGDYVNRDYITSLLEKYKANDSILTYETFFRVLTKLCSW ATCGMKPGTSITDTVNVVESFKGTEPYTITIKERENIGLMARRIIDEGRLAYVKEKFT GFNAELIKYVESDVSLENVAMLVYRK SPAR_O00320 MKKYLLYMVQVHLNFRRAELESLADLYNLSIDFSQYNADSPFFI VELENDKQAKDWIKRSILTRGIYEYWGQGSTLDELHKDIQLQSNFEQDLQLKFKHSTF KFEFECYKGNSKANRVKQIETFRYLGFEGKIDMKHPQEVFTVIEEYTPISENVGGKTP TRIFFGRQIQMSNRSAMEKYDLKKRPYKGTTSFEAELSLVSANIAQVKPGTIMYDPFA GTGSFLVAGGHFGSLVIGSDIDGRMIRGKGAQVNISANFKKYGESSQFLDVLTMDFTN NALRNNLVIDTILCDPPYGIRESIKVLGAKDPERFLGKEDVEIDGEKAYLRRDYIPTK KPYALDSLLDDLLQYSSKRLPIGGRLAFWMPTANDANIETIVPMHENLELKYNCVQEF NKWSRRLLVYINRGSTFNGPSNHGMKRSKDNFRDRYFNNFN SPAR_O00330 MSSDEEDFNDIYGDDKPTTTEEVKKEEEEQKKAGSGTSQLDQLA ALQALSSSLNKLNNPNSNNSSSNNSNQDSSSSKQDGTANDKEGSNEDTKNEKQQESAT SANANANASSAGPSGLPWEQLQQTMSQFQQPSSQSPPQQQVTQSKEERSKADLSKESC KMFIGGLNWDTTEDNLREYFGKYGTVTDLKIMKDPATGRSRGFGFLSFEKPSSVDEVV KTQHILDGKVIDPKRAIPRDEQDKTGKIFVGGIGPDVRPKEFEEFFSQWGTIIDAQLM LDKDTGQSRGFGFVTYDSADAVDRVCQNKFIDFKDRKIEIKRAEPRHMQQKSSNNGGN NSGNNMNRRGGNFGNQGDFNQMYQNPMMGGYNPMMNPQAMTDYYQKMQEYYQQMQKQT GMDYTQMYQQQMQQMAMMMPGFAMPPNAMTLNQPQQDSNATQGSPTPSDSDNNKSNDV QTIGNTSNADSGSPPLNLPNGPKGPSQYNDDHNSGYGYNRDRSDRNDRDRDYNHRSGG NHRRNGRGGRGGYNRRNNGYHPYNR SPAR_O00340 MVKVGSSHVAADVGISKAHKRNYVSEEVFELKDKKDFTVVVEDQ TPVRTFTANSSDHEREETYVSKRQVMRDIFAKYLKFIGPGLMVSVAYIDPGNYSTAVD AGASNQFSLLCIILLSNFIAIFLQCLCIKLGSVTGLDLSRACREYLPRWLNWTLYFFA ECAVIATDIAEVIGTAIALNILIKVPLPAGVAITVVDVFLIMFTYKPGASSIRFIRMF ECFVAVLVVGVCICFAIELAYIPKSTSVKQVFRGFVPSSQMFDHNGIYTAISILGATV MPHSLFLGSALVQPRLLDYDVKHGNYAVSDDQDKAKQSKSTEEVMEEKYFNYRPTNAA IKYCMKYSMVELSVTLFTLALFVNCAILVVAGSTLYNSSEADGADLFTIHELLSRNLA PAAGTIFMLALLLSGQSAGVVCTMAGQIVSEGHINWKLQPWQRRLATRCISIIPCLVI SICIGREALSKALNASQVVLSIVLPFLVAPLIYFTCKKSIMKTEITVDPAEENNHNNQ SSNDGSVTVRDAGSVIEQDGLSDMQIENGKDVKIVYMANNWIITVIAIIVWIFLSLLN VYAIVQLGMSHGNIS SPAR_O00350 MPGVSVRDVAAQDFINAYASFLQRQGKLEVPGYVDIVKTSSGNE MPPQDAEGWFYKRAASVARHIYMRKQVGVGKLNKLYGGAKSRGVRPYKHIDASGSINR KVLQALEKIGIVEISPKGGRRISENGQRDLDRIAAQTLEEDE SPAR_O00360 MGIDHTSKQHKRSGHRTAPKSDNVYLKLLVKLYTFLARRTDAPF NKVVLKALFLSKINRPPVSVSRISRALKQEGAANKTVVVVGTVTDDSRIFEFPKTTVA ALRFTAGARAKIVKAGGECITLDQLAVRAPKGQNTLILRGPRNSREAVRHFGMGPHKG KAPRILSTGRKFERARGRRRSKGFKV SPAR_O00370 MLNIPIIANSKRFLFPKDHESQSTRDHDVELETREGPSSGYNPN FNADDAILKKNSDQVDLDANKLTNVTSRVLNTPEASLIYDDDREFPDGGIKAWLVVFG AFMGLVPVFGLINSLGAIESYISKHQLAKISSSTISWIFSLYLAISFLSCILSGGYFD RNGSISLMCTGTVIYSGGLFALANCNSVWQFILAFSVCSGLGTGILMTPLIGTVATWF LKRRGIATSISTMGGSIGGIVFPIMLRKLYKEVGFQWAIRILSFICLTCLVCASVLAR ERTKPVVQPFKSKSEVAKWYISSVFNWRYFLEGKFLFVAIGASFAESSLTSCATYLAS YSMARGNTENVAYTMITASNAVGILGRYIPGYFADKFIGRFNVEIITISMAALLNFVM WLPFGGNTKVLWAYVCLWGFSTGSILSLTPVCIGQISKTTDFGKRYATVYLLQALVTI PVLPIGGTLIGKGTVANYNHFIVFNSALMAAGAACYIVSRHICVGAKLCKF SPAR_O00380 MSDEDNNYDDFMLSDDEGMESIEMEEETDDEAEQIVQLNEDKSR DDQDRGGRQHKQHVRGTFEKDDKVEDICEKLFEQGKTLKEDERYKEARDSFLKVYYTE EFSSDGGIERLMAWKFKSLNEILRLRALQLYFQKSGAQDLALQVLEDTATMSVFLQRI NFQIDGSIFELLSDTFEVLAPKWERVFLFDIEKVDKENMICKMEFQKNFMDQFQWILK KSGKDYKLQNLQRKIKKKMFIAVVWHRRLTTGDVFIPEISSQIQNIVQDNEYASFEEN NDLESVSMLLQYSILEFMKTARINNRRLFKKCIDFFEMMISKSLTFSQESGLMVVLNT SKAVRILDSDSENDLSFALMKYYDRKEELKNMFLYILKHLEEMGKLRERDITSFFHKF VLSGFIFTSMILEAISSDKINPFGFEQVKIALGSPIVKVLEAVYKCFERLELRQLNAN ISLIPELSMVLNGIIQDIYYLAQTLKLWRRIARLYSCISINDIISMLQISDDNQMTRD DLLTILMRSIMKDRSVVYFKLDLTNDLVYFGDENKVMLPRCSKEEFRLTISPKNEESA EKARLIDFEYVNDVAIYNNPTRIRTKSSKDFFNTLRKSRETVKLPRVNNQSNEDTFLP SYMKFSNKYLELTKLASNNLI SPAR_O00390 MANNQHMGASNLNENEAMLTNRVAELERRMSMFEGIFHALSNRL DLHFKKYDVVVNSQQQQINELTAFLSTLLNDQQRHAEILSEKLSGTLHGVSATSISLS QTLDPQGFTDGATAPGAQRNYSSVSMNNDETAHPQNEGAVSNETLFEDILNGNSQEND KGQQQANSSNPITQENSTNPSVDTRFNKPQNYNPNLVPSLEEYSANPPNNDGDQSQGL YISSTSSQSRQSPNLQKISPNRENAVEPNVQDSVPTFEEEQYETKTGLKRKRIVCTRP FEFIKSPHSVMEVWKEYTEGVNGQPSIRKMEALYQTAWRRDPAVNKRYSRRKVLWKAI QTGLNRGYSLNYVVEILENSRYVNDKQKVKQPIGWLCHSSHIPETLK SPAR_O00400 MGAKSIAASSTKKIKKQHNGKVKKSKKIKKVRKSKKPKSLSDEN GVEIVSSRNDQETNTSLKDHVTADGILVLEHKSDDDEGFDVYDGHFDNPTDIPSTTEE SRTPSLAVHGNEKDLANNDDFISLSASSEDEQAEQEEERQKEELEVKKKKQKEILNTD YPWILNHDHSKQKEISDWLTFEIKDFVAYISPSREEIEIRNQTISSIREAVKQLWPDA DLHVFGSYSTDLYLPGSDIDCVVTSELGGKESRNNLYSLASHLKKKNLATEVEVVAKA RVPIIKFVEPHSGIHIDVSFERTNGLEAAKLIREWLNDTPGLRELVLIVKQFLHARRL NNVHTGGLGGFSIICLVFSFLHMHPRIITNEIDPKDNLGVLLIEFFELYGKNFGYDDV ALGSSDGYPVYFPKSAWSAIQPIKNPFSLAIQDPGDESNNISRGSFNIRDIKKAFAGA FDLLTNRCFELHSATFKDRLGKSILGNVIKYRGKARDFKDERGLVLNKAIIENENYHK KRSRIIHDEDFTEETATSTATATTTDDDYEITNPPTKKAKIEAEPKIEPAKEESGETH IIISSEDDDDEDGYNPYTL SPAR_O00410 MTIFMGKFKLAGHFLMLSLQQTLRCKEQRFVEETVRLISNGKVG KKSDLVQARSWVGALNVTGLPSNQFILRYDRASGPGGQNVNKVNSKCTLTLPGLSNCT WIPQEVRNILRSGKFRYYAKSSDSIVIQSDETRSRETNKLKCVKKLVQEIQQTCQFPN ETTAETAKKWTKIKEKANKERLLEKKVHSDKKKNRGRITSNY SPAR_O00420 MKGVKKEGWISYKVDGIFSFLWQKRYLVLNDSYLAFYKSDKCNE EPVLSVALTSITNVSRIQLKQNCFEILRTTDQKENISPINSYFYESNSKRSIFISTRT ERDLHGWLDAIFAKCPLLSGVSSPTNFTHKVHVGFDPKVGNFVGVPDSWAKLLQTSEI TYDDWNRNSKAVIKALQFYEDYNGLDTMQFNDQPNTSLDLKPLKSPPRYIINKRTNSI KRSVSKTLRKGKTDSILPVYQSELKPFPRSGDNNCSVANIVDTKLYDECRMYASKENT AELQRNQLGKKEQQAIQNHLRRHDSNATLKPRRLAPSAPATKGHDSKIKSHREDLLEL RDNDDSYEIIMKMKTVAIDVNPRPYFQLVEKAGQGASGAVYLSKRIRLPQENDSRFLK SHCHRIVGESVAIKQIRLSEQPKKQLIMNELLVMNDSRQENIVNFLEAYIIDDEELWV IMEYMEGGCLTDILDAAAGSNTGNNSSPLNENQMAYIVKETCQGLKFLHNKKIIHRDI KSDNILLNSQGLVKITDFGFCVELTEKRSKRATMVGTPYWMAPEIVNQKGYDEKVDVW SLGIMLIEMIEGEPPYLNEDPLKALYLIANNGSPKLRHPELVSKETKQFLDACLRVNV ESRASVRKLLTFEFLLIACGPEELKAALKWH SPAR_O00430 MIMPSSMSTEAALLPNESVFETVSSFSEDDANYSVLDLYDDDDE KDDSSLVERKEILTTRELEKAKAFTSLVMADPENFDRYGFSKKGYFMSQEEYDKWWAE YSRYTERRKKKWENYLSKNRIELHNDNPLVYPARTEELSKFVRKGLPAEWRGNAWWYF AGGQQQLDANIGVYDRLKSDCRKGAVSGKDMEAIERDLYRTFPDNIHFHKESFQNGEP AIIRSLRRVLMAFSVYDKAIGYCQSMNFLVGLLLLFMEEEKTFWMLVIITTKYLPGVY ESDLEGANVDQGVLVLCIREYLPEIWSHIESSYMNGNGSTDQVSEPKSGEEYLCRLPT LTLCTASWFMSCFVGVVPIETTLRIWDCLFYEESHFLFKVALGILKLSESEFLESKSQ KLFRQYSSYTFGSSNDSDSTFKRLKNKIKTQEEADMEILQVIQNFPKRLLNPNDIFEK VLMKKKVPLNNITQEKIDRGREYVAMARNRQKASSRPRERRE SPAR_O00440 MSTSASGPEHEFVSKFLTLATLSEPKLPKSYIKPLKDVTNLGVP LPTLKYKYKQNHAKKLKLQQDQQVQENAAVHLTLKKIQAPKFSIEHDFSPSDTILQIK QHLMNEEKASRLSEIKLLLKGKVLHDNLFLSDLKITSANSTITVMIKPNPTISKESEA AKPTSSPAPTSATPQELVVPWDEIETLLKDNFKNDQSAVKQIMDRLQKGWSLAK SPAR_O00450 MSDSHHKEEDNSSTSERALFFNYHEFSYSFYEDLGSEDAKPTEH DEDHKLCITHFPNVYAARGSAEFQVTRVVRVPRRFDECRTSLETPQFSTQLPGSEPAA IVGDDGSRFARCGRYDTGGHVFGCSSVSPLSEHLSAAELAEVVHRVNGFLLREEGKVY GWCNLCGLVFDMLTGGLWSWVLGPLLSRLVFQESLALEQYVAQLNSPGGLLHERGVRL VLPRRSGCLSLDFVVPGPK SPAR_O00460 MSEIQNKAETAAQDAQQKLEETKESLQNKGQEVKEQAEASIDNL KNEATPEAEQVKKEEQNIADGVEQKKTEAANKVEETKKQASAAVSEKKETKKEGGFLK KLNRKIASIFN SPAR_O00470 MTNDIKEIQTIQPELSEIKEVKGELTNVKKRKRRSKKINKLTDG QIRINHVSSEKKRRELERAIFDELVAVVPDLQPQESRSELIIYLKSLSYLSWLYERNE KLRKQIIAKHKARTGSSSYGTEQQQDGNILDLVPKELIWELGDEQTGK SPAR_O00480 MQYSSRFLELNIPDSFLNINKIPDATKFITATYICLTATLFCIR RSLYNKLILEDPNVDYNLITSPILQMVPSHIWRYPTSLVLSNFIDTKVWKVVVNLLNL IIGGSFIERNWNSSKEMFKFIIVLGSLTNLLIIVLTLLLSFLSNRVRLDIPLDGNYTI LIGFPIIYRQLLPETTIVNLKTPQFLAKNFRFKLLPIFVMFTMTVTQIIWFHHFAQLF SIWVTFFASWSYLRFFQKLAPLNCPSLPTTSSQDGQEILVGDASDTFQLIYFFPDLIK PILRPIFNFIYDVVVVKFKVIKPFHDIDIDIGNSIAESRGAKKITTVEERRRQLALQV LEERMENP SPAR_O00490 MGRVWFAKLSNRKIIKFDYISFIFLSLLFQSLIGLVSADFNYEG CYSAEDIQSAGLSLKISYIYQSVSYCQNECPESAVVALFNGTDCYCGNSVSFLSSLAK STDSNCGSKCSGWPYQMCGGSSYMNLYVNAAISISSAESSSSMEGFSTSYVSSSTHLW SSTQISSTSKRTSLDIKTSVTMPTTTTSTSATSTTSTTSTTSTTSTTSTTSTTSTSST TSSTTSSTTSSTISSTISSTISSTISSTISPTTSSTSSVTPTSSLITSSSSEPATVDS RFSSPSSTLMPRSSSSSTLSTSKVASVALSTSSTIPIVTSVELVTSVVTKAIVSTSDQ HQETIFVTRTSVVERTSAVATADSLSNKSNSNSERRLSGGAIAGIVIGVVFGVIFIIL ILLFLIWRRRKSEDQLDLEETKHYQPYSFGDEDANPIRPPPSSGTTNWMRHSRGNTAA SIGTSNMYGLSMGNGANYSSPGSNTSGSIINNLAGLQDTTVQKQYLPSTVFEEANTLN SANERFSANSLPDMMMSGPLQVVNPDNPELSSTVSHNRA SPAR_O00500 MSKDKRLASISLQPVASSCGNCTEVQDLKLHNLRVELDCLPLKG FMSNICPPTFRDHKSYVFVLYCLNQVDLVTELRDSTKCHHPLQIFKDCQLISLVQKDF VHYFQFRRNKEGDNRSDSDTTLVNVANSKGSCYNSQLLRMSIIPRICSFDKPNSKTYK LILEYANRFETVLTKFGPEKDFTKIYANWSKLIESFNELILQDLLAKWQQWLELTQPD TTVHLSIPNVLTKLAMKLTQKYFTFQHSCNCSVDEFTTILLDKNSLSLFDVFRETRNY ELNFGLWLDSQNGILIFTNGIVHMSDKITSERLKSFVRPAHLLVLEDHSNDEIVKKLM FFIFSAILQCFTDEIPNC SPAR_O00510 MKNSIAASSRWTKSRFSHFLPSSTDNSDTGATSTDQSSTQGEEL HHRKHREEDNEGEKPKKSPVSTSTMQIQTGQDEDEDDGRIVIKPVNDEDDTSVIITFN QSISPFIITLTFVASISGFMFGYDTGYISSALISINKDLDNKVLTYGEKEIITAATSL GALITSVGAGTAADVFGRRPCLMFSNLMFLIGAILQITAHRFWQMAAGRLIMGFGVGI GSLISPLFISEIAPKMIRGRLTVINSLWLTGGQLIAYGCGAGLNHVKNGWRILVGLSL IPTVLQFSFFCFLPDTPRYYVMKGDLESAKMVLKRSYVNTEDEIIDQKVDELASLNQS IPGKNPISKFWNMVKELHTVPSNFRALIIGCGLQAIQQFTGWNSLMYFSGTIFETVGF KNSSAVSIIVSGTNFVFTLIAFFCIDKIGRRYILLIGLPGMTVALVICAIAFHFLGIK FNGASAVVASNGFSSWGIVIIIFIIVYAAFYALGIGTVPWQQSELFPQNVRGVGTSYA TATNWAGSLVIASTFLTMLQNITPTGTFSFFAGVACLSTIFCYFCYPELSGLELEEVQ TILKDGFNIKASKALAKKRKQQVAEGAAHHKLKFEPTQEIVES SPAR_O00520 MTQVVQKDKRDIHLSKALSYLLRHAAVKENLTIDSNGYTPVKEL LTHNRLKTHKCTVDDIHRIVKENDKQRFHIKTSGAGEEWICATQGHSIKSIQPSDEVL VPITEASQLPQELIHGTNLQSTIKIIESGAISPMSRNHVHLSPGMLHAKGVISGMRSS SSVYIFIDLRSPLFFQTLKLFRSLNNVYLSSSIPIQLIEKVVVKENLKDEKNLDTLRR ILHERNIPLEKM SPAR_O00530 MASLTTVEQGPAKCKATTGKDSNDTRETNPNVDAETKETKKGFP FHDLAKLQKQYKNKSSRNESLVALIYLLGSMLSFCLLIFFTDFYLIPLFPTTTTMTDY IVFNFYLLNVFVFCMVHFVYHFIKNISLQQHLEHWQKFSYLSNINLLISSQITILYYL FYDYVFFFKIFTLLMNFIGLVAYFFILTDKLISSKRFNKTVFFISVSVVCCSLPLLTA IITFDGLENLKERIKVDAITWELVALVSASIIYVTRFPESLFRRNKKEEGWNHSEYLF HLVISGTAFYHFFILIQSYILMHSSLNQPELINFKS SPAR_O00540 MYFDKDNSTSPRPLLPSDEQKLNINLLTKRGKFSHLDPRFDTKA IPQRSTSNRNVGDLLLEKERSTKPMIQKALTNTDNFIEMYHNQQRKKLDDDTIKEAMI SDENGKTVANANGKSYDDDYDNNDINDQKTLDNIAGSPHMERNRNEANIGNESSSQKT TPIKESPKIQKNIVKKGIKDFKFGNVIGDGAYSTVMLATSVDTKKRYAAKVLNKEYLI RQKKVKYVSIEKTALQKLNNSPSVVRLFSTFQDESSLYFLLEYAPNGDFLSLMKKYGS LDETCACYYAAQIIDAIDYLHSNGIIHRDIKPENILLDGEMRIKLTDFGTAKLLNPMN NSVSKPKYDLSTRSKSFVGTAEYVSPELLNDSFTDYRCDIWAFGCILFQMIAGKPPFK ATNEYLTFQKVMKVQYAFTPGFPLVIRDLVKKILVKNLDRRLTISEIKEHHFFKDMNF RDGSVWTKKPPEIKPYKINAKSMQAMPSGSDRKQVKKPITTLAKPHQATLRSASNSSV EETAHSIIYNNSTHASTESEISIKKRPTDERTAQILENARKGINSRKNQPGRRTPSGA ASAALAASAALTKKTIQSYPTSSSKSSRSSSPATTSRPGNYKRTSSTESKPFVKSPPS SASVLSSKVPIPPYTPPMSPPMTPYDAYQVTPPYATKQKDYFDGAIAAPKPSSKQNVK KSMESPLMNKQDIQWSFYLKNINEHVLRAEKLDFVTTNCDILERKILKLNGSLLDPQL FGKPRQTFLSQVARSGGDVTGFRNDPIMTAYSQTEDTYYLKNIIDLQLLEDGYRIEGG DLSELLTNKSGEEYKCSQNNSPVKNDDKSEPNSKGSSVFSGKFKKLFHPTTASETLSA SDGKSKYYKRTIVMTSFGRCLVFAKRRQPNPVTNLKYEQEYDINLRQQGTKIKELVIP LEMGTNHMVVIQTPYKSFLLRTDKKTTSKLFAVLKKILNSNTNKIEKELLQRNQKIIE RRTSLSGRALPKDLPTSKSPSPKPRPHSQSPSISKHNSFSESINSAKSNRSSRIFETF ISAKEQNSKKHATPVPLTGKLVNGLPKRQVAVGLGLNTGTNFKNSSAKSKRS SPAR_O00550 MGFRKLISFQPDYVPQYHITKYISERTKLQLVHIKHKTSTLVHG YFAVPTECPNDSGAPHTLEHLIFMGSKSYPYKGLLDTAGNLSLSNTNAWTDTDQTVYT LSSAGWKGFSKLLPAYLDHILHPTLTDEACLTEVYHIDPENLSDKGVVFSEMEAIETQ GWYISGLEKQRLMFPEGSGYRSETGGLTKNLRTLTNEEIRQFHKSLYSSDNLCVIVCG NVPTDELLTVMEEWDNTLPEIPSNIQKKRPFLDNELSHIPQSRDKVTESTVEFPELDE SQGELLFSWIGVPYTDFRNDLAVDVLLDYFTDSALAVFTRELVEIDDPMANSTDCCTD YFMRTIIDLRIQGVPTEKIPATKSKVMEILETHKIDLSRVRQVVENTKWEYLLNYEKN GESRFSSAVITDYIYGYEDGSSLVSSLKDLNDFDALLQWSQKDWQSLLTKIFVDNKPV IVTAKPSAQMYERLEEEKSNLIKQREAEFGDKEKLNLSTRLNNAKTINDRPIPKSLLQ KFEIDNPSKSVEFVDTKSIAAVDSYKNNDVTDPMTKEILEMRPGNFPLFIHLNHFPSQ FIELHFLVNSASIKDTSLLPYFNMFDELFSMPMKIFDEENNLETILSFEEVVAKLKSE TIDAQINQGLKGSCPDLIDFKIQCKAGSYSNSVQWIKHCLFDMVFDENRVRILLENYL NSIVEWKRNGNVMLNSLTNRNLYSARSLKKSTDPLFVEAKLQEIFAEIESGNFEKEIL PRIETMRKQLRANFNKFHILVLGDISKIADIYEPWNPLIACLNIEHPVGKLKIPPVPR ALDSISSVCENPSEKAFIITTPASESAYMNLITSIPFNLDYHDPEYAIVSLASEYLEC VEGPFWKGIRGAGLAYGASMLKLCEINSWGFNIYRGADIIKCYEVGKQIVHDYASGAL EFDEQLIQGAISSIINRMATIECGYFETALSRYIDEFCLQRGNNFNELYLERLQNVTK TDLKNAMQKYFVNMFDPNKSVAFVSCHPAKLEAVQEFLETQGFTVEIEELEEDEEEVD SEEDENA SPAR_O00560 MSNDEAVEKITQQVSELKSTDVKEQVVTPWDVEGGVDEQGRAQN IDYDKLIKQFGTKPVNEETLKRFKQVTGREPHHFLRKGLFFSERDFTKILDLYEQGKP FFLYTGRGPSSDSMHLGHMIPFVFTKWLQEVFDVPLVIELTDDEKFLFKHKLSINDVK NFARENAKDIIAVGFDPKNTFIFSDLQYMGGAFYETVVRVSRQITGSTAKAVFGFNDS DCIGKFHFASIQIATAFPSSFPNVLGLPDKTPCLIPCAIDQDPYFRVCRDVADKLKYS KPALLHSRFFPALQGSTTKMSASDDTTAIFMTDTPKQIQKKINKYAFSGGQVSADLHR ELGGNPDVDVAYQYLSFFKDDDAFLKECYDKYKAGELLSGEMKKLCIETLQEFVKAFQ ERRAQVDEETLDKFMVPHKLVWGEKERLVAPKPKTKQEKK SPAR_O00570 MLLRSRFLKAIHVRKQLGVCSRFAIQTQTRYKSTDASEDEVKHF QELAPTWWDTDGSQRILHKMNLARLDFVQRTLRNQVKIQNPEIFVPGFNYKEFLPEYV CENIQREMQESIETSLDNRPEMSVLDVGCGGGILSESLARLKWVKNVQGIDLTRECIM VAKEHAKKDPMLEGKINYECKALEDVTGQFDIITCMEMLEHVDVPSEILRHCWARLNP DKGILFLSTINRDLISWFTTIFMGENVLKVVPKGTHHLSKYINSKEIIAWFNDNYSGQ FRLLDLKGTMYLPLQGWVEHDCSDVGNYFMAIQRLT SPAR_O00580 MDKLTPSQWKVVNKPYEPESTLKVIAGPGSGKTLTLLYKVLHLV TVENIKPEEILIFSLTNKAVDSIIENLLSIFEDSHTNKEIVRQIGCYTVHGLANRIVV ENEGMINIIEEIGWRGLMKLLPPSKRTPHHFRSYKELEKVVKDYKLNSARNKNPVIEK LVELMDNCKVMTNDDLIIRAKKYLELDCSHSDASSSFTQDLQEKYKVVLIDEFQDLYP SLAPLITTICKGKQLIMFGDTNQSIYGFLGSNSEIMSQLDNLHPKNSTTVLKLFDNFR STPEIISLASKIINLPLAEKQVIDGTDETPSELVRKLPSGVLPQIVTFDDLAAESEFI VDKITQLICSSAKFSDIAILSRTNSHLTTLANILKKYGIPYQKLKSQPDWMDDLRIQF LLDILKVCSLASDEKHDRGFNTSDKWQSNFSILVTMSALKGIGDASIQALYKACSLKN LSIWKYLTIVPNFEWPLGLSIKKKIENYTSNLYEMIEDDQIHQLDDPMELLEKVSGIA NNLNLNPTYSQALGDPQSSLEFKTHLQEMAQVMKVSKSNKPPGISFVKWFLETYFDQT MVFHQAKQALQTTGPGTIKLSTIHSAKGLEFPIVFLTNGTMSNFPMDTNALYVGMTRA RNLLYMCNMKHQRLVSKSPPYSRNIMSNNSFWAYYNKDMNRSAFGAEMAHDHNIQRYN QLRKKFGFYRAYSSLKGCKSIFRRI SPAR_O00590 MSKTLSLQLPWVEKYRPQVLSDIVGNKETIDRLQQIAKDGNMPH MIISGMPGIGKTTSVHCLAHELLGNSYADGVLELNASDDRGIDVVRNQIKHFAQKKLH LPPGKHKIVILDEADSMTAGAQQALRRTMELYSNSTRFAFACNQSNKIIEPLQSRCAI LRYSKLSDEDVLKRLLEIIKLEDVKYTNDGLEAIIFTAEGDMRQAINNLQSTVAGHGL VNADNVFKIVDSPHPLIVKKMLLASNLEDSIQILRTDLWKKGYSSIDIVTTSFRVTKN LAQVKESVRLEMIKEIGLTHMRILEGVGTYLQLASMLAKIHKLNNKA SPAR_O00600 MSNDKIDQNEEKVKRTPPLPPVPEGMSKKQWKKMCKRQRWEENK AKYNAERRVKKKRLRHERSAKIQEYIDRGEEVPEELIREPRVNVNQINSGIEIILDCS FDELMNDKEIVSLSNQVTRAYSANRRANHFAEIKVAPFDKRLKERFETTLKNTNYEHW NHFKFLPDDKIMFGDEHIGRDNIVYLTADTEEKLEKLEPGMRYIVGGIVDKNRYKELC LKKAQKMGIPTRRLPIDEYINLEGRRVLTTTHVVQLMLKYFDDNNWKNAFESVLPPRK LDTEAKSTTGSPASKDT SPAR_O00610 MQFVPLELNRSTLSGISGSISISCWIIVFVPQIYENFYRKSSDG LSLLFVILWLAGDVFNLMGAVMQRLLSTMIILAAYYTVADIILLGQCLWYDNEEKPAV DPIHLSPANPINENVLHDVFNEQQPLLNSQGQPNRIDEEMAAPSSAGDVSNDNLREVN SRNLIKDICIVSGVVFVGVISWYITYCLNYTEPPPVEDPSLPVPELQINWMAQIFGYL SALLYLGSRIPQILLNYKRKSCEGISFLFFLFACLGNTTFIFSVVVISLDWKYLILNA SWLVGSIGTLFMDFVIFSQFFIYKRNKKFILN SPAR_O00620 MDNISKASNMEGTSTMTVTSRSSEDSSCISNHEQDTDTHNESNT SAAENNKISKRKWMKEFFKLSKSPASKSNRSIGSMKSNQSLVSMKSSDEGNSSRNDYS FVCGNNLSSAGLSRSNSMKESKLDLIGSQRSKNNVASLAPSSIPPQTTSSSSSSSSST SYDSMKRTENSSALQNNNRLRHNKEIPQSRGSSNMNPTSIMSQYNVDTQATAIMSDMQ KQYDSQQMASPFVNESLYFDPNGEVSHIIKSIFKEIGYKYDDFSDIPVFKLMQEMYQL VKKNSNARRTKLTGYASKLKDKETQLKSQYEKILKLETTNKAYKTKYKEISLENKKIK EAFKELDNESYNHDEELLKKYKYTKETLERVNREQQLIVDQNEFLKKSVNELQNEVNA TNFKFSLFKEKYAKLADSITELNTSTKKREALGENLTFECNELKEICLKYKKNIENIS NTNKDLQNSFKNERKKVLDLRNERNLLKKQILLIECHGSYSLLLVSNILTCYRFLLPS ETIIETEALIKELLNMNNSLSVHVSSSDESSAEFSKRLESKCIGFEEKLLYFYQEVVT KKIIDVIYKCFINYYKKSRQTDPKSSQNSSTPYKQSQRQVPHSIK SPAR_O00630 MSSTRPELKFSDVSEERSFYKKYTGLPKTPIKTIRLVDKGEYYT VIGSDAIFVADSVYHTQSVLKNCQLDPVTAKNFHEPTKYVTVSLQVLATLLKLCLLDL GYKVEIYDKSWKLIKSASPGNIEQVNELMNMNIDSSIIIASLKVQWNSQDGNCVIGVA FIDTTAYKVGMLDIVDNEVYSNLESFLIQLGVKECLVQDLTLNSNSNTEIQKVINVID RCGCVVTLLKNSEFSEKDVELDLTKLLGDDLALSLPQKYSKLSMGACNALIGYLQLLS EQDQVGKYELVEHKLKEFMKLDASAIKALNLFPQGAQNPFGSNNLAVSGFTSAGNTGK VTSLFQLLNHCKTNAGVRLLNEWLKQPLTNIDEINKRHDLVDYLIDQIELRQMLTAEY LPMVPDIRRLTKKLNKKGNLEDVLKIYQFSKRIPEIVQAFSSFLEDDSPTESVKELVR STWLTPLSHHVEPLSKFEEMVETTVDLDAYEENNEFMIKVEFNEELAKIRSKLDALRE EIHSIHLDSAEDLGFDPDKKLKLENHHLHGWCMRLTRNDAKELRKHKKYIELSTVKAG IFFSTKQLKSIANETNILQKEYDKQQSALVREIINITLTYTPVFEKLSLVLAHLDVIS SFAHASSYAPIPYIRPKLHPMNSERRTHLLSSRHPVLEVQDDISFISNDVTLESGKGD FLIITGPNMGGKSTYIRQVGVISLMAQIGCFVPCEEAEIAVVDAILCRVGAGDSQLKG VSTFMVEILETASILKNASKNSLIIVDELGRGTSTYDGFGLAWAIAEHIAGKIGCFAL FATHFHELTELSEKLPNVKNMHVVAHIEKNSREQKHDDEDITLLYKVEPGISDQSFGI HVAEVVQFPEKIVKMAKRKANELDDLKTSNEELKKAKLSLQEVNEGNIRLKALLKEWI RKVKEEGLDDPSKISEEASQHKIQELLRAIASEPENENDNYLKHIKALLL SPAR_O00640 MENQGGDYSPNRFSNSANNMNAMFNNEITGRSDISNANKQHGAS RLVPETQMWSMPVPDQLMTMPNRENTIMASNAGGPDISMNVAYPNAIYSPTEQQSQLQ TQQKRDVSTMMEHTNSNEVSGSGKNLKKRVSKACDHCRKRKIRCDEVDQQTKKCSNCI KFQLPCTFKHRDEILKKKRRLEIKHSAPEKPLQAQNNVPDPVASPTVPNSGRFEPFNG NNSLESNIIDKVSNIQNNLNQKMNSKIEKLDRKISYIIDSVARFEWLLDKAVKKQEDK HKEKNNLPKPTRKIYSTALLTAQKLYWFKQSLGVKVSNEEFLSPISEILSISLKWYAT QMKKFMDLSSPAFFSSEIILYSLPPKQQAKRLLENFHATLLSSVTGIISLKECLDLAE KYYSESAEKLTYPEHLLLNVCLCSGASATQSIIRGDSKYLRKDRYDPTSQELKMIENV ALLNAMYYYHKLSTICSGTRTLQALLLLNRYFQLTYDTELANCILGTAIRLAVDMELN RKSSYKSLDFEEAMRRRSMWWHCFCTDKLYSLMLSRPPIVGERDMDMLTDQNYYEVIK ANILPDLIDKKEDLDKITDVNSALNVVVNFCQHISLFISYYVSKLVSIESKIYSTCFA VRSTLDLSFDAMLDKIKDLNDSLNNWRNNLHVSMKLKSYKQYLSVLYAQKSQENPALS FEIACSRVLNCHFRALYSKVILSMVTTSLLIDNERLYKGSRHDIPQIFMLFSSQYLNA SKEMLQLFQGINYQAHMYNEIMYQFSTAVFVLFFYVVDNINNSKKKGEIKEIIDILKK SYDRLVGENDEQLLFDNVKWNTLIVFYSHFLRYVLQRYHEMSDSMPTFDPKPYDETIT KVIAHSRKIKDETVDQLIMSLKSYGSFHSLQKGNEAALADDELNTNDIASEDFAEEAP INLFGELSVEILKLLKSHSPISNVGDLSPPSNKKEISDDSSLYPIRSDLTSLVYPIHS SDPGDTLSSDLETPENSDSSNNDGIKEDFEAFSALLPLGKLIYDRDYSFVNTFRDYE SPAR_O00650 MKLYGFLFSVWSACVVILPTLAYGEAVTMVKSIEQYFDMCNRND SYTMIKYYTSWCQHCKTLAPVYEELGELYTKEVNKDDIPINFLEVNCEFFGPTLCTDL PGFPIIELVKPRTKPLVLPELDWSSMKFHERLWQKIKTRFHNPKYQLDSSRIVRFEGS RNTNSLSSFINTVRSKDAEERFIEHIFDDSKKCSEELLSQQPLCKAGKDYYSVTLSKL YDDINGLEKERRKLEALIKQNADDLSEEVKGKLEIIRLQLKLLLHMEEQLEYTSSHDE L SPAR_O00660 MNQLTVSYGLISPDYCTSQDAHILPITKILYPDIPSKNYFLTSG RDGSIILHRNAQLSNEVGSGATTPNDAIRMQVHSDWASDLIQVSMKNSDPSAGDTFIS VSHDFSIVLISVNAQLTTWDKKIIGDHDDYIKCIVPIHYEMSKDYELDEQEDGADDEY DGKNNGIAVDEQNNFLFATGGLDRKIKVWCLSSGPEKMTTLLHTFDNAQSNDTGSIYS MSPVIPKYNFSNNQTARPFDLVAGDCNGDLIFYSCRNRKEVIRIENAHKTNIKVVRTL NDSTRLISTSSDGVINAWDLNCKHDQTTGVLQAPKKIGSWSWDSSIWCVQGTNVDKLY FGDSQGNVMRANLSSYEDAKLTRIFRPDRHHHHHHHHHHHDEHEEQNTSTTDTKVKKY GGILDIALLPNEKLLFSFCTDSNLNVLDLTSNRFTVNEGGFALTRSSLLTNRRHVITE NTKGQMQRWDIVSCELLNTFDSSEGSFDDIVMKYTSKEILSHWCTVSVKVGMLFVKIN PKFLKTEIYGSALKDYQVVNNIEVNSDERYNLGKIVINSLFNEFISYEVQKDKLLRKK IFSLKKKDLNNSLTLDTGYNSEPKKNNKDKKRKSTFKLSSTLSIGNTNGNGTPPNSAP ATPVMAETIALEEQPLLQSASDKTIDDSLELVQPLPASKKPYFRTQSSGSLLSRKFKS FRSTSGRATTGLNTPEEPKGSLLDTAHVINDDTAFPQAINATQQSKDATPESILWNHP FKLEQKLSAISSQDLPSNNTHSKLKSTENSRANSTLTLEGNEKKKPEFMPDLLEQIQE SYKQQYMNTSSLKYLTKRLPVTKIIKASSCPIIRVKSATLVLVHLWKEGSCGGRVLFS TLLPPSRVDNETFNAGKENSKLPDDEELDPQAVDDDKLGQYDLIDGELGSRLNRRQIF EQLEENLPYWFAKALFRDIKTVEEQPKLNFLIMPWSSVGRSETNGNNENKKKYINASD TTESSANDSSDSSLGNGSEVVSPSTQQQFHNMLKFGRPKTSEQELNPTDLPKISEANV KLVAPGMIRVKKIKLYVADRFETKTPEMKAKIEPSLWLDLLCRGQVLDNDMTLNTVRT LYWKSQGDIILEYRRKVHNSPLIHEVNGNEGK SPAR_O00670 MNDDGDRPQLKARLWVHVEERLQQVLSSEDVKYTPKFINSLLEL AYLQLGEMGSDLQAFAEHAGRDVVNDSDLMLYLRKQPDLQEKVIQEE SPAR_O00680 MSIPETQKGVIFYESHGKLEYKDIPVPKPKANELLINVKYSGVC HTDLHAWHGDWPLPTKLPLVGGHEGAGVVVAMGENVKGWNIGDYAGIKWLNGSCMACE YCELGNESNCPHADLSGYTHDGSFQQYATADAVQAAHIPQGTDLAEVAPVLCAGITVY KALKSANLMAGHWVAISGAAGGLGSLAVQYAKAMGYRVLGIDGGEGKEELFRSIGGEV FIDFTKEKDIVGAVLKATNGGAHGVINVSVSEAAIEASTRYVRANGTTVLVGMPANAK CCSDVFNQVVKSISIVGSYVGNRADTREALDFFDRGLVKSPIKVVGLSTLPEIYEKME KGQIVGRYVVDTSK SPAR_O00690 MAESDDKSSSTSAFVTTLIVYGITALVFVWLFLLLRPKNRRVYE PRSLKDIQTIPEEERTEPVPEGYFGWVGYLLSKPHSFLIQHTSVDGYFLLRYIGIVGS LSFVGCLMLLPILLPVNATNGNNLGGFELLSFANVTNKNRFYAHVFLSWIFFGLFTYI IYKELYYYVVFRHAMQTTPLYDGLLSSRTVIVTELHKDIAQEGEMQMRFPKASNVAFA YDFSDLQELCKERAKNAAKYEAALNKVLNKCVKMTRNKTQEQLDKLYNNGTKPKDDLE TYVPHKKRPKHRLGKLPLCLGGKKVNTLSYSSKRIGELNEEIHEKQADWANNDRKPAC FIQFESQLEAQRCYQSVEAILGKKNFGKRLIGYSPEDINWGTMRLSSKERHSRRAVAN TIMVLLIIFWAIPVAVVGIISNVNFLTDKVPFLRFIDNMPDFLMGVITGLLPTIALVV LMSLVPPFIIKLGKMSGCITEQETDLYSQAWYYAFTVIQVFLVVTATSSASSTVDSII DRPSSAMTLLANNLPKASNFYIMYFLLKGLTGPTWTVLQAVNLLLSKVLGRLLDSTPR QKWNRYNTLATPRMGIVYPGIEILVCIYICYSIIAPILLFFSTVMLTLLYVAYLYNLN YVFGFSFDLKGRNYPRALFQIFVGIYLSEVCLLGLFIMAKTWGPLVLEVFWIVVTALA HIYMKRKFMPLFDAVPLSAIRHARGEPGYSYPTSDLGAQEIRDIADEMKGKYENDNTH GILTPVTKDDLKKANLIPDNDGSSENSAPSNPFESGSEHASLSGSNAEGDSIKKLNDT VIKKSSTLSSSSKGNNGTGNESTFVPEGEKFRKFHYSDVEALRNKRPYDEDDHSQHGP EGAVPVNADAGVIYSDPAAMMKEPQAFPPDVLETNTWTKRVLQFFNPRKSYPFDNVRM RFPLVFNTSIDYDEEYLNSAYTDPCVREKDPIVWCCKDPLGVSKQQIQEARSNGLDVR DDFTRYDEKGEVIFTYSPPDYEPEAKK SPAR_O00700 MKISIETTLFDFVVIDQFKKSTFSAPNTKVKTIKGCIDKFIEQF NVHDEQHIFWQPVKKSNVRLLLNTNDFGQLGTFLHRQIKCNIFIGEEALKKYGLTICG PYDEFVGDSPPSVNKVVDSDDFALSRKCLSIISEQLSILGESMNKTQNQVGSVVDKKK CIVLPEDKPELTKFFSNFKTSAQLQGVYEGYTVYEKLLQKFDGQKRRMEAFLNEDTPI LEAENVKQIDISEKLEEKDEHSTTPNDRSLHVEVSNEDNSLHFMLYNNTNSTVPGNCT FEFSSPISEVFSIKMGPHEIGINGQKELWYFPSLPTPLSNYTIKVINQDGDIILIGKC AGSNKITLKSSLSSFSTGSFHTLQDPNNVFRADALSSLDESSIMSTPFLDETEDVYNS GSTLSRPFTWEEL SPAR_O00710 MNNPKTNQKMNLSRGYPLTVCDQYNKFNLIVPTLDANIMLWCIG QLSLLNDSNGCKHLFWQPDDKSNVRILLNNHDYGHLFKYLQSQRKCSVYIGEGTLKKY GLTISTYFDNFLDNMDSKEKETLCREATHEDLAPPKAAPEREINSNSTTSVVVSRKCL DNFTKQLFKLEKSLNKLELEQKVTKKEPDHRISGAIDIPEDRPELVNFFKELKTVEQL EDVFQRYHDYEKLLQKCDSKTEIVADLSKKEAEIESEHPNGRSLQITMKHNDNSLYFQ LFNNTNSVLAGNCKLKFTDADNKPTTRIIEMGPHEIGIKEYKEFRYFPYAFDLTAGST IEIENEYGEVIFLGKHSFYSTINLRPPSRLSAESLQASQEPFCSFRIDTLPELDDSSI ISTSISLSYDDDDNEKALTWEEL SPAR_O00720 MSQPTKNKKKEHGTDAKSSRMTRTLVNHILFERILPILPVESNL STYSEVEEYSSFISCRSVLINVTVSRDANAMVEGTLELIESLLQGHEIISDKGNSEVI ESILITLRLLSDALEYNWQNQESLHYNDISAHVEHEQEQKYRPKLNSILPDYSSTHSN GNKHFFHQTKPQALIPELASKLLESCSKLKFNTRTLQILQNMISHVHGNILTTLNSSI LPRHKSYLNRHNYPYYCKMVDSTLGHILRFVAASNPSEYFEFIRKSVQVPVMQTHTHS HSHSHSLPSSVYNSIVPQFDLFSFIYLSRHNFKKYLELIKNLSVALRKTIYHCLLLHY SAKAIMFWIMARPSEYYELFNLLRDNNNEYSKSVNTLNHTLFEDIHSTFNVNSMITTS QNAHQGSSSPSSSSPSSPPSSSSSDNNNQNIIAKSLSRQLSHHQSYIQQQPERKLHSS WTTNSQSSTSLSSSTSTSTTTDFSNHSQSGEYDPSLPDTPTMSNITISASSLLSQTPT PTTQLQQRLNSAAAAAAAAAAAASPSNSTPTGYTEQQSRASYDAHKTDQTGKNYDEQF LSVARLDNVLELYTHFDDTEVLPHTSVLKFLTTLTMFDIDLFNELNATSFKYIPDCTM HRPKERTSSFNNATHEAGSEKTSGIKHITQGLKKLTSLPTSTKKTVKFIKMLLRNLNG TQAVSDVALLDTMRALLSFFTMTSAVFLVDRNLPSALFAKRLIPIMGTNLSVGQDWNS KINNNLMVCLKKNSTTFVQLQLIFFSSAIQFDHELLLARLSIDTMANNLNMQKLCLYT EGFRIFFDIPSKKELRKAIAVKISKFFKTLFSIIADILLQEYPYFDEQITDIVASILD GTIINEYGTKKHFKGSSPSLCSTTRSRSGSTSQSSMTPVSPLGLDAEIYPMNTLSLVG SSTSRNSDNVNSLNSSPKNLSSDPYLSHLVAPRARHALGGPSSIIRNKIPTTLTSPPG TEKSSPVQRPQTESISAIPMAITNSTPLSSAALGIRSPLQQIRTRRYSDESLGKFMKS TNNYIQEHLIPKDLNEATLQDARRIMINIFSIFKRPNSYFIIPHNLNSNLQWVSQDFR NIMKPIFVAIVSSDVDLQNTAQSFMDTLLSNVITYSGSDENISIEGYHLLCSYTVTLF AMGLFDLKINNEKRQILLDISVKFMKVRSHLAGIAEASHHMEYISDSEKLTFPLIMGT VGRALFVSLYSSQPKIEKTLKIAYTEYLSAINFHERNIDDADKTWVHNIEFVEAMCHD NYTTSGSIAFQRRTRNNILRFATIPNAILLDSMRMIYKKWHTYTHSKNLEKQELNDFR NFAGILASLSGILFINKKILQDIYPYLLDTVSELKKNIDSFISKQCQWLNYPDLLTRE NSRDILSIELHPLSFNLLFNNLRLKLKELACSDLSIPENESSYVLLEQIIKMLRTILN RDDDNFVMMLFSTEIVDLIDLLTEEIKKIPVYCPKYLKAIIQMTKMFSALQHSEVNLG VKNHFHVKNKWLRQVTDWFQVSIAREYDFENLSKPLKEMDLVKRDMDILYIDTAIEAS TAIAYLTRHTFLEIPPAASDPELSRSRSVIFGYYFNILMKGLEKSSDRDNYPVFLRHK MSVLNDNVILSLTNLSNTNVDASLQFTLPMGYSGNRNIRNAFLEVFINIVTNYRTYTA KTDLGKLEAADKFLQYTIEHPQLSTFGAAVCPASDIDAYAAGLINAFETRNATHIVVS QLIKNEIEKSSRPTDILRRNSCATRSLSMLARSKGNEYLIRTLQPLLKKIIENKDFFE IEKLKPEDSDAERQVELFVKYMNELLDSISNSVSYFPPPLFYICQNIYKVACEKFPDH AIIAAGSFVFLRFFCPALVSPDSENIIDISHLSEKRTFISLAKVIQNIANGSENFSRW PALCSQKGFLKECSNRIFKFLAELCRTDRTIDIQVRTDPTPIAFDFQFLHSFVYLYGL EMRRNVLNEAKHDDGDIDSDDFYKTTFLLIDDVLGQLGQPKMEFSNEIPIYIREHMDD YPELYEFMNRHAFRNIETSTAYNPNVHESTSSEGIPIITLTMSNFSDKHVDIDTVAYK FLQIYARIWTTKHCLIIDCTEFDEGGLDMRKFISLVMGLLPEVAPKNCMGCYYFNVNE RFMDNYGKCLDKDNVYVSSKIPHYFINSNSDEELMKSVGITGQGLKVLQDIRVSLHDI TLYDERRNRFTPVSLKIGDIYFQVLHETPRQYKIRDMGTLFDVKFNDVYEISRIFEVH VSSITGVAAEFTVTFQDGKRLIFSSPKYLEIVKMFYYAQIRLESEYEMDNNSSTSSNS SNKDKQQKERTKLLCHLLLVSLIGLFDENKKMKNSSYNLIAATEASFGLNFGSHFHRS PEVYVPEDTTTFLGVIAKSLAESNPELTAYMFIYILDALKNNVIPHVYIPHTICGLSY WIPNLYQYVYLADDEEGPENISHIFRVLIRLSVRETDFKAVYMQYIWLLLLDDGRLSD IIVDEVINHALERDSENRDWKKTISLLTVLPTTEVANNIIQKILAKIRSFLPSLKLEA MTQSWSELTILVRISIHVFFETSLLVQMYLPEILFIVSLLIDVGPRELRSSLHQLLMN VCHSLAINSALPQNHRKNLDEISDIFAHQKVKFMFGFSEDKGRILQIFSASSFASKFN ILDFFINNILLLMEYSSTYEANVWKTRYKKYVLESVFTSNSFLSARSIMIVGIMGKSY ITEGLCKAMLIETMKVVAEPRITDEHLFLAISHIFTYSKIVEGLDPNLDLMKHLFWLS TLFVESRHPIIFEGALLFLSNCIRRLYMSQFENESEVSLITTLLKERKFADTFLRKME HLSDIVWNEDNFTHILVSIINKGLSNPFIKSTAFDFLKMMFRNSYFEHQIDPKSDHYL CYMFLLYFVLSSSQFEELLGDIDFEGEMVNIENKNIIPKILLEWLSSDNENANITLYQ GAILFKGSVTDEPSRFRFALIIRHLLMVKPICALRFYSVIRNEIRKISAFEQTSDCVP LAFDILNLLVTHSESNSLEKLHEKSIERLTKRGLLIVTSASVFAKNSDMMIPLDVEPE DIYERKRIMTMILSRMSCSV SPAR_O00730 MALSSNWQALLASESSPTSIRKTKQSSRKIKNDKKVSKTKASSA TQYSSRKRKNGSKIMDMVYNMNKEISKHEKDKLEGKLFEFNPTKGNVVTTIKEPVQVG VSDDTRAGNNKSKEIGKYIAMDCEFVGVGPEGEESALARISIVNYFGHVILDEFVKPR EKVVEWRTWVSGIKPEHMKNAITFKDAQKRTADILESRILVGHALKHDLEALMLSHPK SMLRDTSRHLPFRQAYAKGKTPSLKKLTREVLKISIQEGEHSSVEDARATMLLYKKEK AEFEKIHRNTFN SPAR_O00740 MDTVTVLNELRAQFLRVCPEKDQMKRIIKPYIPVDGLSTEQCLD SSIRELYTNSDGVSFLPELESPPVSKDFMENYASLGKMRIMRENEGQKGKTNQNLIRA EKAEKDEEETRKQQDKSAKNTLIVEENGTLRYNPLNSSASNSLLNGDDHSSEKHRRPS SKEDSYLNSSMEMQKKSSKRSSLPFVRIFKSRRDHSNNSGNKNVTNTTNTRSKSSSLH PSAARHSKKGSKFDMNFDFDENLEEEDDDDDDDEEGDDIHSQFFQLDDGFDAKSSGAS SIHKGANGLSNNKNNTYTNNRNSTSILDDRESSNGNIGSASRIKPHFPTSQKGKIFLT ENKNDGQRSDSLNVNKGINGDGSSTSGNGSIGRDGMTETESNNISDMESYINEKDLDD LNFDTVTSNINKTVSDLGGHESTNNGTADTNIGSKGSHSNSDQLSAQNHERITPGSSY GKSLLGSEYSEERYLNNESSTMESGEMSLDSDMQTNTIPSHSIPMSMQKYGIYHGDDD STLNNVFDKAVLTMNSSRHPKERRDTVISGKEPTSFTSSNKKFSVSSNLTSTRSPLLR GHGRTSSAASSEHMKAPKFSDNVSHRARKSTLTLKQDHTQPNVSSNSHKSSKEGNILI EKTTDYLESKPKASQLSNMFNKKKKRTNTNSVDVLEYFSFVCGDKVPNYESMGLEIYI QASKKYKRHSFTTKVRKSSTIFEVIGFALFLYSTEKKPDNFEEDGLSVEDISNPNNFS LKIVDEDGEPFEDNFGKLDRKSTIQSISDSEVVLCRVDDAEKSQNEIETPLPFDIGGG LMDASTLDANSSHDTTDGTINQLSFYKPIIGNEDDIDKANGSKIIDVTVYLYPNVNPK FNYTTISVLVTSHINDILVKYCKMKNMDPNEYALKVLGKNYILDLNDTVLRLDGINKV ELISKKDARELHLEKMKPDLKKPVLPTIQSNDLTPLTLEPLNSYLKADAGGAVAAIPE NAKVTSKAKKISAKYKLGLAKQHSSSSVASGSGSTAGGLANGNGFFKNKNSSKSSLHG TLQFHNLNRSQSTMEHTPDTPNGVGDNFQDLFTGAYHKYKVWRRQQMSFINKHERTLA IDGDYIYIVPPEGRIHWHDNVKTKSLHISQVVLVKKSKRVPEHFKIFVRREGQDDIKR YYFEAVSGQECTEIVTRLQNLLSAYRMNHK SPAR_O00750 MGAAYHFMGKAIPPHQLAIGTLGLLGLLVVPNPFKSAKPKTVDI KTDNKDEERFIENYLKKHSEKQDA SPAR_O00760 MSSIYKALAGKSKDNKSEKKQGNVKQFMNKQRTLLISSRGVNYR HRHLIQDLSGLLPHSRKEPKLDTKKDLQQLNEIAELYNCNNVLFFEARKHQDLYLWLS KPPNGPTIKFYIQNLHTMDELNFTGNCLKGSRPVLSFDQRFESSPHYQLIKELLVHNF GVPPNARKSKPFIDHVMSFSIVDDKIWVRTYEISHSTKNKDEYEDGEEDISLVEIGPR FVMTVILILEGSFGGPKIYENKQYVSPNVVRAQIKQQAAAEAKSRAEAAVERKIKRRE NVLAADPLSNDALFK SPAR_O00770 MSEKIQEEILGLISKSNFKQCYARLGQLQKQFPNALYFKVLETY VKFKQSPGKFDYDKLLDEPYGLKGTKITGDTRTLEFLHNFFVELGKYDEALHVYERGN FKFPGYELSYHWFMRALKDSNYNQMSKASLQLAKYSDGGNLPKRAYYFWNAISILAVT RFQKSTLSEPKKVVLSKLARQSLLDLKPFQNVQEIIVYCLVLDELFPQSREISEEIVA ITFVNFDTSVNLYLKNFILKHAKLLDSPQKLFEVCSKLIEKGLDDYELIINLIDAAQR LSKPQEEVQNWIDKNLGDSRNTRLARLQLDIVYTDSVSESSLSYYLSKYHNKPCCSID LNHYTGHINIDMLKNVMAKYDPEDKDLIHHCNILELRLIESDSINNYNKFKGTLEKKS VTDYSSCSTFLLEMIKDKCKETNPELKDILLCITILENYQAQDPHNFDTMCWLIVLYM YLGLVPDAHFYFLNLKIKNVQTDSMDYMIFTRFSTLFPNKQSDFYSKTFQEHNNLYDI SLANLPSYIQVAFERSSYSKILGMFEMRDKLMKSYTRWIKTLENLQFSRLCNDKRGNL LQKLHEDWRSLEMTQNISFSDNRDFSILDKDFAQLLNRGKILEYANLNEESILLALIR ELIIEALPSGEKTAQISALLEKLPSNNLQELLNNNLTEVESTSFLIFFGIYENDGKEL YDLISKLMEIPIDAKQNWKISHTYLTKMATLKTLDGLKRVKDREIQKLIKNSLKELRG CCDDIFKEYSNALVQAYEELQKGEYSKLLKELDFKAENVKNIKNALLSIQKNIRNL SPAR_O00780 MSQLKNGDVATKLIENRLSFSRIPRISLHVRDLSIVASKTNTTL VNRFSMDLPSGSVMAVMGGSGSGKTTLLNVLASKISGGLTHDGSIRYVLEDPISEPEE TGPNTAHLGGQDHPTQKHVIMAYLPQQDVLSPRLTCRETLKFAADLKLNSSERTKKLM VEQLIEELGLKDCADTLVGDNSHRGLSGGEKRRLSIGTQMISNPSIMFLDEPTTGLDA YSAFLVIKTLKKLAKEDGRTFIMSIHQPRSDILFLLDQVCILSKGNVVYCDKMDNTIP YFESIGYRVPNLVNPADYFIDLSSVDSRSDKEEAATQSRLSSLIDHWHDYERTHLQLQ AESYINNATEIQIQNMTTRLPFWKQVTVLTRRNFKLNFSDYVTLISTFAEPLIIGTVC GWIYYKPDKSSIGGLRTTTACLYASTILQCYLYLLFDTYRLCEQDIALYDRERAEGSV TPLAFIVARKLSLFLSDDFAMTMIFVSITYFMFGLEADAKKFFYQFAVVFLCQLSCSG LSMLSVAVSRDFSKASLVGNMTFTVLSMGCGFFVNAKVMPVYVRWIKYIAFTWYSFGT LMSSTFTDSYCTSDNLDECLGNQILEVYGFSKNWITVPAVVLLCWSVGYFVVGAIILY LHKIDITLQNEVKSKEKKTSKKSPSGKKPEIQLLDDVHHQKDLEAEKERKVSITIKLQ DIDLRVIFSAPLSNWKEGNFHHETKEILQSVNAIFKPGMINAIMGPSGSGKSSLLNLI SGRLKSSVFAKFDTSGSIMFNDIQVSQLMFKNVCSYVSQDDDHLLAALTVKETLKYAA ALRLHHLTEAERMERTDNLIRSLGLKHCENNIIGNEFVKGISGGEKRRVTMGVQLLND PPILLLDEPTSGLDSFTSATILEILEKLCREQGKTIIITIHQPRSELFKRFGNVLLLA KSGRTAFNGSPDEMIAYFAKLGFNCPSFTNVADFFLDLISVNTQNEQNEISSRARVEK ILSAWKDNMDSESLSSTPISEKQQYSQESFLTEYSEFVRKPANLVLAYMVNVKRQFTT TRRSFDSLMARIAQIPGLGVIFALFFAPVKHNYTSISNRLGLAQESTALYFVGMLGNL ACYPTERDYFYEEYNDNVYGIAPFFLAYMTLELPLSALASVLYAVFTVLACGLPRTAG NFFATVYCSFIVTCCGEALGIMTNTFFERPGFVVNCISIILSIGTQMSGLMSLGMSRV LKGFNYLNPVGYTSMIIINFAFPGNLKLTCEDGGKNPDGTCEFANGHDVLISYGLVRD TQKYLGIIVCVAIIYRLIAFFILKAKLEWIKW SPAR_O00790 MSMEPPAGLTAMPVTKIAMITTLVVPLVASIASYKHIFLLQYDP FLQTYHQYYRLFIFQFCAINESDTVILALIWYLFRHLERLLGSHKYLTLIVLSWAYTT LGIWGLNLIWNAFVGQYKWLQWNNFSTGSLPIILSLVHFYKEYTPQIYEWNIRLLGPR GGGASHDGNKQENKSSLEWKINDQFLLNGLVLLLILNQGFAGILCGFISWMCGIFIDK GLLPGLDHWRIPFVSHFISQGPPTRANVAMAANAATNTAAARATADAATAAAGNGNTG NSGPTSLPIRGSSTTPTDTSNAGDDEPGADEPARPLGVQFLDTFRR SPAR_O00800 MDMANQLLNQLANGNFTQLTLNLSQNGKEIAILQQQLTGFDDKH LETLVEQHPAMPNDTRFKIMCTSYLNYARDVDPWSVWSSSDLIFEFYQCLINCLINDN APHIEALIPVATRETELIIGLAEKLDSFHLQLHTRSHQFLSHISSILSRLFNSIKPPR GGASSTSILGKQRILLYLVNKLNNIYFRIESPQLCSNIFKNFQPKSMLAHFNEYQLDQ QIEYRYLLGRYYLLNSQVHNAFVQFNEAFQSLLSLPLTNQAIIRNGTRILNYMIPTGL ILGKMVKWEPLRPFLSQEIIGNWNALYRYVRFGNIQGVSLWLRQNERHLCARQLLIVL LEKLPMVTYRNLVKTVIKFWTTQWGQNKLPYSLIERALQLSIGPTCEDSTTQEITIYN CIHSPRNVENVLVTLINLGFLRANCFPQLQLCVVKKTTMIQEIVPPVNERITKMFPAH SHVLW SPAR_O00810 MFPALTKTLSLQGYRIINPQTGSAAWLCSRRWYSSDKDDHDDVV TRIKIAPIKRTNEPVDKKRARLIYQSRKRGILETDLLLSGFAAKYLKQMNEEELEEYD SLLNELDWDIYYWATKNFKTSPLPDKWANSKLLKQLQEFSENKEKEILSMPDLSKYQ SPAR_O00820 MSEEREENAPSRATLNTQRLSAMIDSLNNEKDDRLFQSPTTTST MIPDEKGYQSNVFKPPSRLLRSPAGDVSLPTGDNRSSMISNYSGVIQEGVEVSYIVKN QQQGGERRTSKDSSSLSSLKQPVSREDLPMLPMLPSEATLTKHLSDNQSPNSKTDGDE IIIKPVTNAKPVGRFNSNTSKKVENRGSLKLLSSPRRQEKDMRSSIGSGNLASESGSS SYNAKFHQSIQEQLEEEEEANASDKLSIVSSVIPDLSTKTNEVPKEMNPIRSETNDYN PTIPPRSKDRPRSRLFIQEDDGEGDFLNEEILSTPVSTAGHYKNPSQISTVSEQKSES YYSAATSMPPEEDTYLTRPLPSTPNEDCRDTSGLKRDDTLKAIHDRTKHISSTTNELD DDKYEDIIEETPKKAKLKKDAKKKLDKKKSVKELRSFDIDTLNQLLSVTKGTLIGSEF AQLGMKIEEKRALERLVDSLSRLTADMVLDPDRYEEGLKRLDKATKALEGF SPAR_O00830 MSRNQDVFPVLDLQELVICLQSCDFALATQENISRPTSDYMVTL YKQIIENFMGISVESLLNSSNQETGDSHLQEENENIYSDTLNVLVLNKICYKFFESIG VQDFNMTDLYKPEAQRTQRLLSAVVNYARFREERMFDCNSFILQMESLLGQLRSKFDD YNLIQQQLKQYEDVDGDNIPDERELQKLEEQNKELEIQLKKLTKIQETLSIDYNDYKI SKQSIFKDLEALSFQIVELESNRDKLIKISNTDMEELSEGIKELNDLLIQRKKTLDNL AAQQKNLQGTVTTFETIISELYDVLRIISSEVQESNRTETELVGLKQNLINNKLKLMN VLETGILYKLEILQEQLDLQLKNLEKLSQDTKEKSRLNDSKLMDLQIKYENEIKPKID KTDIFIQDELISGKINKLNDEIKQLQKDFEVEVKEIEIEYSLLSGHINKYMNEMLEYM Q SPAR_O00840 MLKRPQEEESDNNGTKKLKARLTYPCILGKDKVTGKFIFPAITK DDVMNARLFLKNNDLKTFLEYFLPVEVNSIYIYFMIKLLGFDVKDKELFMALNSNITS NKERSTSIEVSAVQAKVEHEGELTDPLEKKHAVKLIKDLQKAINKVLSTRLRLPNFNT IDHFTATLRNAKKILVLTGAGVSTSLGIPDFRSSEGFYSKIRHLGLEDPQDVFNLDIF LQDPSVFYNIAHMVLPPENMYSPLHSFIKMLQDKGKLLRNYTQNIDNLESYAGIDPDK LVQCHGSFATASCVTCHWQIPGEKIFDNIRNLELPLCPYCYQKRKQYFPMSNANNTIQ TNANFNSPILKSYGVLKPDMTFFGEALPSRFHKTIRKDILECDLLICIGTSLKVAPVS EIVNMVPSHVPQILINRDMVTHAEFDLNLLGFCDDVASLVAKKCHWDIPHKKWQDLKK IDYNCTEIDIGTYKIKKQPRKKQQ SPAR_O00850 MSSIPAGTDPGSCGANFKNDRKRRDKINDRIQELLSIIPKDFFR DYYGNSGSNDTLSESTPGALGLSSKAKGTGTKDGKPNKGQILTQAVEYISHLQNQVDT QNREEVELMMKVTQLAKQTGTIVNDINLENTSAEVALSRIGVGPLAATNDDSVRPPAK RLSSFEYGGYGEYGNGT SPAR_O00860 MESSSNEASNEFNKLLNKEIEFAKEVKLRKDANRNNNRNETSSK VKDASGFRLRVIQTDGRKTKKTDPDYEVTIDGPLRKIEPYFFTYKTFCKERWRDRKLV DVFVSEFRDREPSYYAKTIAEGKVFLNDEPANLDTIIRDGDLITHKVHRHEPPITSKP IDIVFEDEDILVIDKPSSIPVHPTGRFRFNTITKMLERQLGYSVHPCNRLDKPTSGLM FLAKTPQGADKMGDQMKAREVTKEYVARVKGEFPIGIVEVDKPVRSVNPKVALNAVCE MSDENAKHAKTVFQRVSYDGQTSIVMCKPLTGRTHQIRVHLQYLGFPIANDPIYSNPD IWGPELGRNGLQNYNDIVLKLDAIGKTKPAESWIHPHSEGEYLLGRQCEECEAEMYTD PGTNDLDLWLHAFRYESLERNPDTQKPLWSYKTKYPEWALEPHRQYMEMAVKEADKCG PTKTAFSVGAVLVHGTQVLATGYSRELPGNTHAEQCALIKYSQLHPNCPSVVPMGTVL YTTMEPCSFRLSGNQPCCDRILATQGAIGTVFVGVMEPDTFVKDNTSLNKLESHGVNY IQIPGYEEECTIIAFKGHSDDDDKA SPAR_O00870 MNNTNWKVSVTTFNCGKEFPVENSKAIVKQLLFPYDDGISRLEL QDVYVLGFQELVPIWQGSFPAVNRDLISGITNTAIECLNEKVTAIQGNEQYSCLGVNS LGAITIIVLYNNNALKVKGDILKRNGKCGWFSTHLKGGTLLSFQMARNGEENWERFSY ICVHLNANEGVNNRNQRIGDYKRIMSEVCDSEIAKSDHFFFLGDLNFRVTSTYDPATD YSSTTTLRRLLENYEELNLLRKREDEPLCKGFQELEIKFPPTFKFKLFEKETYNTKRI PSWCDRILYKRYAMPTLAQEGIYHSVPRSNALLFSDHQPVNLTVRLPRSTGIAGPLSL NIEKYHLAWSSSLIGQIGDGVIGYCGWLITKNAHYWILGSVLLYLLLKIL SPAR_O00880 MALERELLVATQAVRKASLLTKRIQSEVISHRDSTTITKSDNSP VTTGDYAAQTIIINAIKSNFPNDKVVGEESSSGLSDAFVSGILDEIKANDNVYGKNYK KDDFQFTNDQFPLKSLEDVRQIIDFGNYEGGRKGRFWCLDPIDGTKGFLRGEQFAVCL ALIVDGVVQLGCIGCPNLVLSSYGAQDLKGHESFGYIFRAVRGLGAFYSPSSDAESWT KIHVRHLKDTKDMITLEGVEKSHSSHDEQTAIKNKLNISKSLHLDSQAKYCLLALGLA DVYLRLPIKLSYQEKIWDHAAGNVIVHEAGGIHTDSMEDVPLDFGNGRTLATKGVIAS SGPRELHDMVVSTSCAVIQSRNA SPAR_O00890 MPSQVPNETDDHFTRWLKSRAIIQRTVSTRECFDSEVFLASGGW NIINEMVTLKKYYQLKWPNLSCNSFHPKTVEFIKDRLHSLEEHDSSWKIPNPAHSSKE TFLEDIKSAFSNLEPVWGPSRLLNPAELLLPQDEKLLVQEIPLEFAPFQYTNRFAYGG LQFKNNLFVTYGSYSFLAAGQCVEVHNFDVLLNVSSLEICHALLPVIIPDDGDARNFR NSSYVKFKDTQFNSIPELSSINFLKICNFMHQDFLLACGDNGIVYIWEINKVIKIFNK FTSDILGGKDNSRERYINVDPYMVLRVEESCWSVDVIDTNGIIYIAVGHNKPGVTVFA FDKDVKKERRYIRPLDLPSSHNVPCVSFVPNSKDSTGYITLSYCSIFGNVVTVKLKEH DCIILTSFLDTQFFGDDLWTITPLTKKDFMKVDNFESLNLNYQDGFKESMLYSICRDD FLLGYYCDNTYLSGNFGIGTLLNQFQVPVTDLRLTSSEGIPDEVIPLRFTSFDRNYTT TGSIKYEYSREEFALILHAGDLDDMNDAVTKNTTCEQHLQQWTFWDDSGYKHYRATDR GFSKYKEIINTFPQLITPSGRNKPSQYQNASGRKICEPFTYKLTDLENDIEDISSEFN RSIRNLKMDKQRQLQTSKEFKSLSSVNHLPIIESGNFLWYDTDAAADWRTLFGKDLNT VLKNPEICSLQLNSTEENEVNSDPENEETGSNLTSFERRYRDTEQRAHLKSESQKSWG FHNYVRNVKRLLESVVPGSEDSPLGYQLSEMHDEFFFLSTAHRLVLMKANPLIITSAT HHEIFPLDGVVTCTSKSLLQALNRINFVCHIKELNCIAVASQLGLISLLRLTEYRGIY SFRQEYILGWEVQDPVNPRPECRCNRNLFDAPMYGVDGESSDIYCGVCDVYFPMGDIC GLDYTYTANDEELKRKGYATLYVASRGSLRAFKITTEHDATLL SPAR_O00900 MISGVLVYSSRGELILNKFFKNSLKRSISDIFRVQVINNLDVRS PVLTLGSTTFHHIRSRHGDNLWLVTITRSNANSAAIWEFLYKFDAVMNAYRLDREEAL KEEFMIVHEMLDIMLGGNGIPIDTELNSVIAQMSVKPVRNMGGLLDSPDGNDVLSSSS SPTSSGGELHFPKFLTKRSSSFLGQGDSNSDFYDNNKITWRPKGIIHKKDEVFLYVNE RINILVSRDGSILKSYVDGTIDITTHLSGTPVCRFGLNDSLGMQSEDEKKWLAQQQRH SRSDFENKNFIPKAAAGSVLLEDCKFHECVSLDKFNRNHIIEFVPPDGSMELMKYHVR DNINLPFKVTPIVTHSTRDNEIDYRITLKSLFPGKLSAKDVVLHIPVPPSTVDCKISV SNGHCKFVPEENAMIWRFNKFNGLTENTLSAVTVSTSDTTQLNLQQWTRPPISLDFEV MMFSNSGLVVRYFTISGKDSKHRAVKWIKYISKAGSYEVRY SPAR_O00910 MSMSNIVVFGGDSHPELVTKICENLDIHPSKVELGKFSNGETNI ALRESVREKDVYIIQSGCGQVNDTFMQLLILISACKSASASRVTAVMPYLCYSRQPDI PYTAKGAPIISKPKENYTFESHPGTPVSSSLMTQRPGAESSLKSLDSAIRSTINLENP QPIRTPNNNATSNNNFDIKKTLSFSRIPMIPGGKLQNTSNSTDAGELFNAQNAGYKLW VVQAGTLIAHLLSAAGADHVITMDLHDPQFPGFFDIPVDNLYCKPIAQNYIQHCIPDY QDAVIVSPDAGGAKRATAIADALELSFALIHKERRSQLLKGPPDATLTSGGSLPVSPR PLVTTLVSSQNNTTSGATGVAALEMKKTTSTSSTSSQSSNSSKFVQTTMLVGDVRNKV CIIVDDLVDTSYTITRAAKLLKDQGSTKVYALITHGVFSGDALERIGQSSIDKLIISN TVPQDRTLQYLGKDRVDVIDVSCIIGEAIRRIHNGESISMLFEHGW SPAR_O00920 MSLLSLRSRSRSGAPHWVYMFLYHIFTIPKIYSLPLITGSHALN SRDVADSGHSVGDEASVTTYYIISIILVLLGGVFAGLTLGLMGQDEVYLKVISTSGSN SEKKLAKRVLDLISKGKHWVLVTLLLSNVITNETLPIVLDRCLGGGWQAVVSSTILIV IFGEIIPQSVCVKYGLQVGAFFCPFVLVLMYLMYPVAYPIATLLDYMLGEDHGTMYKK SGLKTLVTLHRTMGVERLTKDEVTIISAVLDLKAKRVEEIMTPIENVFTMSADTILDD KTVEKIFNSGFSRIPIFLPNEPNNFIGMLLVRVLISYDPDDCLPISHFPLATLPETSP NTSCLNILNYFQEGKAHMCVVSKEPGSSHGAIGVLTLEDVIEELIGEEIVDESDVFVD MHQHIMRQQPGPLSKRHITSYLHHLYTSSHKEHRAPDHTDESSPLLSPSNSNHPSVHL QQDLNSKSWKRKSNDGYDRSNAVLSPTPQPTEHGTIIPSNLASNPLNVNKSFVTIKKP ANVPKIITTHTPHSSKEPSPAPHSNGKSLSAEEQQLLSDHAELSHQAILHTQRSGEPT QVTTSTKTTRNSPDSISIPNSDTDHLNENQNVTISSSYQNTKNGIVESVITVKGVPKT IIGPAKDWDESKSEHDNENINQEYSNRSDDRESSSSNASLFSSIKNKFKNENTNNNDR PNYTESLSRTSNYEGNGSSSTMKR SPAR_O00930 MLAVRRLTRYTFLKRTHPVLYTRRAYKFLPSRSASPRRSLLQIQ LRSKMTAHTNIKQHKHCHEDHPIRRSDSAVSIVHLKRAPFKVTVIGSGNWGTTIAKVI AENTELHSHIFEPEVRMWVFDEKIGDENLTDIINTRHQNVKYLPNIDLPHNLVADPDL LHSIKGADILVFNIPHQFLPNIVKQLQGHVAPHVRAISCLKGFELGSKGVQLLSSYVT DELGVQCGALSGANLAPEVAKEHWSETTVAYQLPKDYQGDGKDVDHKILKLLFHRPYF HVNVIDDVAGISIAGALKNVVALACGFVEGMGWGNNASAAIQRLGLGEIIKFGRMFFP ESKVETYYQESAGVADLITTCSGGRNVKVATYMAKTGKSALEAEKELLNGQSAQGIIT CREVHEWLQTCELTQEFPLFEAVYQIVYNNVRMEDLPEMIEELDIDD SPAR_O00940 MSKGKVCLAYSGGLDTSVILAWLLDQGYEVVAFMANVGQEEDFD AAKEKALKIGACKFVCVDCREDFVNDILFPAVQVNAVYEDVYLLGTSLARPVIAKAQI DVAKQEGCFAVSHGCTGKGNDQIRFELSFYALKPDVKCITPWRMPEFFERFAGRKDLL DYAAQKGIPVAQTKAKPWSTDENQAHISYEAGILEDPDTTPPKDMWKLIVDPMDAPDQ PQDLTIDFERGLPVKLTYTDNKTSKEVSVTKPLDVFLAASNLARANGVGRIDIVEDRY INLKSRGCYEQAPLTVLRKAHVDLEGLTLDKEVRQLRDSFVTPNYSRLIYNGSYFTPE CEYIRSMIQPSQNSVNGTVRVRLYKGNVIILGRSTKTEKLYDPTESSMDELTGFLPTD TTGFIAIQAIRIKKYGESKKAKGEELTL SPAR_O00950 MSHSFADHDAPLSMLSVKTEYFPQLTEKEQKYAHFMSKASHAGS RVVMRQVSHESEPIFDLILAIHAKLNGKYPEDGTTEKQQTDLYLEYVSQFLSNLGNFK SFGDTKFIPRCEVEFFKQLLDLAKIDPCSSPLTLSPADVNHEFTSHHLFSTINELIDI GIYRVEEKAALLGFPSQGYTSAYYLGLPVTPDDMALLKEQLFAELAILPENTRINKVG EKCFQIWVASENMKNKITESYPDGQITLSDDITKVEFIFGDHSREMRLVASYLKEAQK FTANDTQKAMLQEYINHFVTGSSQAHKEAQKLWVKDISPVIETNIGFIETYREPSGII GEFESLVAIQNRERTAKFSSLVNNAEEFISLLPWSKDYEKPIFNPPDFTSLEVLTFTG SGIPAGINIPNYDDVRLKIGFKNVSLGNILSAAAKSSSKHPPSFISQEDRSIFEKYQS DSFEVQVGIHELLGHGSGKLLTEFTDGFNFDKDHPPLGLDGKPVSTYYKVGETWGSKF GQLAGPFEECRAEVIAMFLLTNRKILDIFGFHDVESQDSVVYAGFLQMARAGLLALEY WNPKTGKWGQPHMQARFSIMKTFMKHSTNKNFLKIEMNGSKDDFAIKLDKSLIKTVGH ECVKDYLKHLHVYKCSGDVEQGSKYFIDRSTVTPDLASLRDIVISKRLPRRQFIQANS YIDDDNKVTLKEYDETPEGMLQSFLDREL SPAR_O00960 MTVTDTFKLFILRHGQSELNSENIFCGWIDAQLTEKGKSQARHS AKLIKRFCESNNISLPQIGYASRLVRTQQTMDVILEELGLEHVNQVITTKTNINEELQ NTRFEGQMPVLQTWRLNERHYGSWQGQRKPDILKEYGKEKYMYIRRDYNGKPPKVNLN LEMVQEENDQGSFTGYDFKEPNRHLKYGFEERANERLPESESLCEVVIRLKPFLNNVV LSTAKKSNQESCVIVGHGSSVRSLLKILEGISDQDIKDVDIPNGIPLIIELDRENYSF VRKFYLDPESAKVNAQMVRDEGFEKNS SPAR_O00970 MTYSTVSINTPPPYLTLACNEKLPTVMSIAGTDPSGGAGIEADV KTITAHRCYAMTCITALNAQTPVKVYSINNTPKEVVSQTLETNLKDMKCNVIKTGMLT TAAIEVLHEKLLQLGENRPKLVVDPVLVATSGSSLAGKDIVGLITEKVAPFADILTPN IPECFKLLGEERKVNKLQDIFEVAKDLARVTKCSNILVKGGHIPWNDEKEKYITDVLY LGAEQKFIIFKGNFVNTTHTHGTGCTLASAIASNLARGYSLPQSVYGGIEYVQNAVAI GCDVTKETVKDNGPINHVYAVEIPLEKMLSDECFTASDVVPKKPLKSSAEKIPGGNFY EYLINHPKVKPHWDSYINHEFVKKVADGTLERKKFQFFIEQDYAYLVDYARVHCIAGS KAPCLADMEKELVIVGGVRTEMGQHEKRLKEEFGVKDPDYFQKIKRGPALRAYSRYFN DVSRRGNWQELVASLTPCLMGYGEALIKMKGKVTVAEGSVYHEWCETYASSWYREAMV EGEKLLNHILETYPPEQLDTLVTIYAEVCELETNFWTAALEYE SPAR_O00980 MGDELHSRLLHQSDGTKDAVLYKIIESLVCSICHDYMFVPMMTP CGHNYCYGCLNTWFASNTQKELACPQCRSDITTIPALNTTLQQYLSFILDKLSSEDDE SFKKILTTKIKEETDYKNDKERDTLFDKVFKNSALAVADDSDDGITRCSNCHWELDPD EVEDGNVCPHCNARIRNYAGGRDEFDEEEYSEGELDEIRESMRRRREDRLASTDPFAS RDDVSSEEDDSSEEEPMREHIPQGRWARSHNRSIAVDAVDDEDDEEELEEDEEEMDSD LKDFIEDDEDDEDGDGSRRNLVLSAFKNRHVVITDDEEEEEERRNAIEEEDHDSDFYE HNDEGFVSGDSLDEGRKEVTEVQSSSDSEDHSISYPSSNDARDNDEHDAEELDDPQPK RQKRFRVVLGDSDDE SPAR_O00990 MWGLCKDYFPSSKIQVQERNKALKLKKSGSEHNKKKEKRGVMIR FTVLRNTKTPLLSARSICLFAQAPTGRQIRCNTLNKAITTKILLFTASKRHVHDGKHF FTTPHQQQQTKSGETEEGNRPNLEEDLKSIGQAITHQRNKRRKQIWSAIFGGIFGVII GYSVIYKVVYLKEQSFLPLFPSSKIRKLSARDLKRVDVNQVQKLSKLRVLEILSGHEM IKEQYGVPLLDKDGNSPTLNEFSMWCEDQDPCVTGIVMEPDDQRDSSHTWYRIPFVCK WRITHRPISIRRTIDDLLNRIGLETADLFEIISPERVYGSFKYEYPLQGDSHALHLWF HGEIELDDDSLIVYNGKFHVDVKLQEIDLFRREKNGQLVQYVLYKNDASDK SPAR_O01000 MKVSQVLISAVSVFGLATSVNAQNASNTTSNAAPALHAQNGQLL NAGVVGAAVGGALAFLI SPAR_O01010 MTVTIKELTNHNYIDHELSATLDSTDAFEGPEKLLEIWFFPHKK SITTEKTLRNIAMDRWIEILKLVKCEVLSMKKTKELDAFLLSESSLFVFDHKLTMKTC GTTTTLFCLEKLFQIVEQELSWVFQRTQGGKYKPFKVFYSRRCFLFPCKQAAIHQNWA DEVDYLNKFFDNGKSYSVGRNDKSNHWNLYVTETDRPTPQGKEYIEDDDETFEVLMTE LDPECASKFVCGPEASTTAPVEPNEDKGHNLGYQMTKNTRLDEIYVNSAQDSNLSFHH DAFAFTPCGYSSNMILDEKYYYTLHVTPEKGWSYASFESNIPVFDISQGKQDNLDVLL HILNVFQPREFSMTFFTKNYKNQSFQKLLSINESLPEYTKLDKIVYDLDDYHLFYIKL QKKI SPAR_O01020 MSAAPVQDKDTLSNAERAKNVNGLLQVLMDINTLNGGSSDTADK IRIHAKNFEAALFAKSSSKKEYMDSMNEKVAVMRNTYNTRKNAVTAAAANNNISPVEQ HHINNLKNSGSSANNMSVNMNLNPQMFLNQQAQARQQVAQQLRNQQQQQQQQQQQQQQ QQQQQQQQQQQQQRRQLTPQQQQLVNQMKVAPIPKQLLQRIPNIPPNINTWQQVTALA QQKLLTPQDMEAAKEVYKIHQQLLFKARLQQQQAQAQAQAQAQANNNNNGLPQNGNIN NNMNIPQQQQMQPPNANTNANPLQQQQSQNTVPNVLNQINQIFSPEEQRSLLQEAIET CKNFEKTQLGSTMTEPVKQTFIRKYINQKALRKIQALRDVKNNNNANNNSSNLQRAQN VPMNIIQQQQSTNNNDTIQSSATPNTTVFPQQQNASSKLYQMQQQQQVQAQAQAQAQA QAQAQAQAAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQRQPSQQPQ QAQPQTNPLHGLTPTAKDVEVIKQLSLDASKTNLRLTDVTNSLSNEEKEKIKMKLKQG QKLFVQVSNFAPQVYIITKNENFLKEVFQLRIFVKEILEKCAEGIFVVKLDTVDRLII KYQKYWESMRIQILRRQAILRQQQQMANNNGNPGTASAGNNNNIATQQNMQQSLQQMQ HLQQLKMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHIYPSSTSGVANYPAMA NASSNNMSYINHKNTSSMDFLNSMENTPKVPVSAAATPSLNKTINGKVNSRTKSNTIP VTTIPSTNKKLSMSNTASQQPTPRSASNTAKSTPNTNPSPLKTQTKNGTPNSNNMKTV QSPTGGQPSYNSAIIENAFRKEELLLKDLEMRKLEISSRFKHRQEIFKDSPMDLFMST VGDCLGIKDEEMLTSCTIPKAMVDHINGSGKRKPTKAAQRARDQDSVDISIRDNKLIM QSKFNKTNRSYSIALPNVAVIFKGIGGNFKDLSTLVHSSSPSTSSSNVDVGNPRKRKA SALEISPQDSIASVLSPDSNIMSDSKKIKIDSPDDPFMIKSGATSDEKQEVTKNEAPF STSAANSEQFNVWDWSNWTSAT SPAR_O01030 MYQVGTNMPRSPPSKDQLNELIREVNQWAITNGLAMYPPKFEEN PSNASVSPVTLYPTPIPKKCFDEAVEIQPVFNELYARITQDMAHPDSFLHKTTEALAL SDSEFTGKLWSLYLATLKNSQYKKQTFRLGIFRSDYLIDKRKGAEQIKQVEFNTVSVS FAGLSEKVDRLHCYLNKANKYDPRGPYYNEQNMVISDSGYLLSKALAKAVESYKLQQS SSISSDPIVAFIVQRNERNVFDQKILELDLLEKFGTKSVRLTFDDVNDKLSIDYETGG LFIKDTKEEIAVVYYRTGYTTTDYTSEKDWEARLFLEKSFAIKAPDLLTQLSGSKKIQ QLLTDESVLDKYISSSEKKDSLLKTFVKIYPLDDTKLGREGRRLALNEPSKYVLKPQR EGGGNNVYKENIPDFLKSIEERHWDAYILMELIEPELNKDNIILRDDKSYNEPIISEL GIYGCVLFNDEQILSNEFSGSLLRSKFNTSNEGGVAAGFGCLDSIILY SPAR_O01040 MKVGIELISHSQTSHGTHVNSTVLAEKTPQPLEKPSKEHSISKE SNINRWLKILRRQFDIWFPETIPTMKVRYELLKKNFIKEIFNSRAFIYPFLGFYEVLT NPVYWKHILLFAVCYALIFVTIAGLFYVTLVPLLVTWAILLLGPLGVILVHIQWILQT NVLTAFVCRTLVLTHITNQIFDISLVLQDQDEFLNEVKVLPKLQKPHRKIDEPDAVRN FNTIKGSWILKIPKLLFRMLFKVSNFTSLTLLSLIPIVGPILANQLMAPKRTFTYLQR YFLLKGFSKKQAKDFQYEHYASFICFGMSAGLLELIPFFTIVTISSNTVGAAKWCSSL LKGERKKD SPAR_O01050 MSTRPQPDWYYHRHPYAPTPLAEGEEPQLLPIQDQTNHRKSKIW MAYKAPIVQWYKNAMLIKDNFWKDLESSHQIIWYPYKGISESVGNSDYLHLFFLIFGY YLLNFLLIVAFTSILAWSLLVCIYLPFLGLFALPLAYVQTILISTTLCNSMVKGTDFV LFTRIYGVTFARKGLPELSKACETISFTSFVYRRSHRLGGLFSKRFYLVSLPQFFIFF FWYIFIAFMFLLLLLVPIVGPITINMLPFSPGMGFYYFEPYFVDVLHLDSRQLSEVYY KGFAKWLLYSISSGILDSIPILGGLFIGTNVVGASLWIVKEIKDQEQPAEPPSAPAEP EEPTVGSYAPPIQQSIAHINPP SPAR_O01060 MTCPVSAAAPADISYSKNTPLVGLSKPPCFYQHASSSVDSFSST FSDDDRSDLVAVPNESPHAFSYNPISPNSLGVRLTILRRSLEIMVNSPDILHELKKKA PVIAYPPSIRHTRNLTETATLSASGYPQSKGSPILPSVPNTPSPANRPVIQRATSLMV LPDNDAASKLNPAKSELENLLFLLNLALENNSFERASDLHMLSLLNIKKLNFDSGIQK SETLKKVLLDSLAEPFFENYKKFPHKELGIQSQYNQYEEKRDDIVSLADIKPQQDYSR ILHPFTSAKNSGPEAIFTCSQQYPWNFKAANDLACLTFGISKNVIKALTLLDLIHTDS RKFVLEKIMNAEDDNQEIVFTGETIPIVQPNSTNDNKLPNLIWASLWAKRKNGLLVCV FEKTPCDYIDVMLNLTDFSVDSIIDTTHFLENFDDKKQQQESTSPGTVKKTVKFANEI HDIRSISHSLSKLIDEVRFGKVFSADDDLLPLPIRVANHVNEERYFTLNCLSENIPCA VTTSVLENEIKLKIHSLPYQAGLFVVDSHTLSLLSFNKSVAKNMFGLRLHELAGSSVT KVVPFLENMISYVNKTYPMLNITLPENKGLVLTEHFFRKIEAEMHHDKDSFYTSIGLD GCHKDGNLIKVDVQLRVLNTNVVLLWITHSRDVVIENYITVPSQLPMLKENEIDIVGS RGSSSASSKKSSEKIPVNTLKAMADLSISSAETISNSDDEVDLNQVNKKLRETSSGTV RGTESNENDNYDDDMTMVDDPELKHKIELTKMYTQDKSKFVKDDNFKVDEKLIMSIIE PINGEEIKKETNELDKKNSALKATYLTTPEVNIGSQKRIKKFSDFTVLQVMGEGAYGK VNLCIHKKEHYIVVIKMIFKERILVDTWVRDRKLGTIPSEIQIMATLNKNSQENILKL LDFFEDDDYYYIETPVHGETGSIDLFDVIEFKKDMVEHEAKLVFKQVVASIKHLHDQG IVHRDIKDENVIVDSHGFVKLIDFGSAAYIKSGPFDVFVGTMDYAAPEVLGGSSYKGK PQDIWALGVLLYTIIYKENPYYNIDEILEGELRFDKSENVSEECIGLIRRILTREVDK RPTIDEIYGDKWLKI SPAR_O01070 MAVSEIMSHLPVHSLDSSLRDLLSDDLFNDDDESTKSRNDQRSE VFQECLNLFIKREIKDCLEKMAEAGFIDLAVFKSNPMILDLFVSACAIVPSFIGLGLT LQGDILNIFTLDDPQCIEIREIILNDLNKLLVINKFFRCCIKVIQFNVIDQAEQEEKT LELESIISNFIFIYTTKMRTTMDVFGLQELIEIFIFQVKVKLQHKKPSPNLYWTLCKT LPNLSSTLKGLYLSKDVSIEGAILNSIDNKMQKDKTKSKGKQRGVKQKIHHFQEPLLH GGSEEQIKIEDPFNQHTSTDDKVHSTGTTPRKIKNDITVLAGSVWTTLRHHFTGNMLN KNGLLFAGLLLLLCVKKYKSLMAIFKHVPAAFHTVYPQITGLLKLLTSI SPAR_O01080 MREISRPRKRKHIAVDVEEVEVRSKYFKKDDKTVDLSKENKINK DVQNYDEVNINWIKTLKPIEYFGWIESKTCDDPRTWGRPITKEEMINDSGAEIPESFL PIYNRVRLMRSKVNTPVDAMGCSMIPVLVSNKCGIPREKIDPRNFRLQFLIGTMLSAQ TRDERMAQAALNITEYCLNTLKIAEGITLDGLLKIDEPILANLIRCVSFYTRKANFIK RTAQLLVENFDSDIPYDIEGILSLPGVGPKMGYLTLQKGWGLIAGICVDVHVHRLCKM WNWVDPIKCKTAEHTRKELQVWLPHSLWYEINTVLVGFGQLICMARGKRCDLCLANDI CNARNLKLIKSSKFHQLEHRGDIAKVYSHWLDTLTNGITTERHKKK SPAR_O01090 MFTRRFIPVVQSTKQNIGKYIRRDARFTLLTYNMLSPSYMWPQV YTYVAEPYKNWSYRHRLLERELLNTFKADIMCLQEMTARDYEDYWHDSIGVDVNYGSK FISKTPPKYWKKPVKDMDGVSIFYNLAKFDFISSSGIYLNQLLNVFNERELKYLYNKR VTLTDGASNVIGEDSLLDVLKGKNQVCLFVSLKHKETGTIFVVLNTHLYWKYDEVKLT QCMIIMRELSKIIKQLLPGDVKGQGKVKILFTGDLNSTRDSLVVNFLQGQIVSHGDLN LINPMRPYLDRCVYDDIPKDYFVHTCYSGKLKGIFDYVWYHDSDFSLTKILTGNEVSD ELLASNQLGLPNENHPSDHIPLLTEFKIL SPAR_O01100 MSSAIDNLFGKIDEKKIESAVDKLFSSSCGPINKLEVKSKTRTV LPDSKKRERAAEDDQEGLEASNSDVSDEQTEEVALPEVKKAKKVKKDDENEDLEARYY AKLLDEEPKDDDDKPIVTTKADEPSAPLTSAAKKADFKEDELEKAERTVFIGNILSTV ITSKKVYKEFKKLFGTDPTTKAEASDDEKEEESSKKNDINAFAIESIRFRSISFDEAL PRKVAFVQQKFHKSRDTVNAYIVYKNKSAVRQICSNLNAVVFQDHHLRVDSVAHPAPH DKKRSIFVGNLDFEEIEESLWKHFESCGDIEYVRIIRDSKTNMGKGFAYVQFKDLQSL NKALILNEKPMKSQKQEDEDSKKPTKKARKLRVSRCKNMKKGTAIGAGLDRNGLTDSQ RTKAGRAKKILGKADRATLGQEITIEGLRAKKGEGSTHLKKKKQRSATGRVTKRSIAF KKAQAEKAKK SPAR_O01110 MSQAVNTKKRVFKTHSYRGVDLEKLLEMSTEDFVKLAPARVRRR FARGMTSKPAGFMKKLRAAKLAAPENEKPAPVRTHMRNMIVVPEMIGSVVGIYNGKAF NQVEIRPEMLGHYLGEFSITYTPVRHGRAGATTSRFVPLK SPAR_O01120 MKYLAAYLLLNAAGNTPDATKIKAILESVGIEIEDEKVSSVLSA LEGKSVDELVAEGNEKLAAVPAAGPASAGGAAAASGDAAAEEEKEEEAAEESDDDMGF GLFD SPAR_O01130 MSGYDRALSIFSPDGHIFQVEYALEAVKRGTCAVGVKGKNSVVL GCERRSTLKLQDTRITPSKVSKIDSHVVLSFSGLNADSRILIEKARVEAQSHRLTLED PVTVEYLTRYVAGVQQRYTQSGGVRPFGVSTLIAGFDPRDNEPKLYQTEPSGIYSSWS AQTIGRNSKTVREFLEKNYDRKEPPATVEECVKLTVRSLLEVVQTGAKNIEITVVKPD SDIVALSSEEINQYVTQIEQEKQEQQEQDKKKKSNH SPAR_O01140 MEYQDSSPPRFRNPSSNRVTVYNGATLPTMPKSATPTSSSTTVT THLQNIKEEEANDDELTQVDRSSPRVLGRISSTSSSSSNIDLHDNLDMLHEIEKSNTN LSLSAPNLHEEMGMLSDKGNSKEELALLPPLPHTGEMEITPQFDINEAIFERDDISHS SRLEADDVIAKLANSTRDATREDQEFAIVAHGHNASTNDDSQLSATILDNQTSFDLSK ALEMTSYSNISNIINSSGSEGRRSRTPVSNATLKPLSSSPESAEREENTTSSSSTSGH MATMQYDPKEKTNFTPVSPSSVFDQQQNNASLRERSRSNSSTLASTLRDTIISGLPQN NNAIERKLSRKSNRSRKNTVTFEERLQKLPPLSTQTSNQYTKVVPVENNIALHVHNLP TPVSNTQTPVTFQSESGLRGGEKKMPFLRRASSALLRKTSAKNGTNLTRTNTPSLSTS QTFESDLNGRQPMLIRRSSNIESKLPRRQLSCSKLYSRLDSDLKSANGNRASEEVLGS TANEAEHVYRKTSLGSKIRRGFTRILSDSNNSKEVLTSSPKSIATAGPTALSLSSLST TGSNPITPSSKENNRVSIDSVSTVNRTSTSLPQSSTDIVSPLREETRINVPKRSSSRK ILSKNSSKRDVLPEQQAKTSEIYLDKEALRSFVPVLSVTEDTHRINRSSLQTQSTIGL CIDNLRNKEGKKLDAKEYVEILTQQQRKEDERYAVLEKKFASCTWCSDKDLQYLKKKR ISVNKIWSDYVRFYRGKLNNP SPAR_O01150 MTSLIDLGRYVERAHHGEETEPRAKRVKISGTDLSSFQPGSIIK IRLQDFVTYTLTEFNLSPSLNMIIGPNGSGKSTFVCAVCLGLAGKPEYIGRSKKVEDF IKNGQDVSRIEITLKNSPKINDIEHIDARDETIKITRIITRSKRRSDYLINDYQVSES AVKTLVGHLNIQLDNLCQFLSQERVEEFARLKSVKLLVETIRSIDASLLDVLEELREL QGNEQSLQKDLDVKKSKIVHLRQESDKLRKSVESLRNFQKKKGEIELHSQLLPYVKVK DHKEKLSIYKEEYERAKANLRAILKDKKPFANTKKTLENRVEELTEKCSLKNDEFLKA KEKVNEIFEKLNTIRDEVVKKKNQNEYYRGRTKKLQATIIGTKEDLLRNQDILAQTHL PEKSVFEDIDIKRKEIINKEGEIRDRISEIDAKANAINHEMRSIQRQAESKTKSLTTT DKIGILNQDQDLKEVRDAVLMVREHAEMKDKILEPPIITVSAINSQFAAYLAQCVDYN TSKALTVVDSDSYKLFANPILDKFKVNLRELSNADINPPVPAETLKELGFEGYLSDFI TGDKRVMRMLCQTNKIHTIPVSRRELTPAQIKKLIKPRPNGKILFKRIIHGNRLVDIK QSAYGSKQVFPTDVSIKQTNFYQGSIMSNEQKIRIENEISNLKNEYGHRKSTLDGLSN QKSGYRHELSELASKNDDINRKAHQLNEIRKKYTMRKSTIESLQEKLDQLKREARKDV SQKIKDIDDQIQELLLKQSQLLSKMASSMKNLKNCQKELMSSQILQFEAQNMDVSMND VIGFFNEREADLKRQYEDKKKFVKEMRDTIEFQSWMREIRCYDEHTKEKLNKVAEKYE EDGNFNLSFVQDVLDKLESEIAMVNHDESAVTILDQVSAELRELEQTVPQQARDLETI RTKLKEDHALLEPKLDDIVSKISARFARLFNNVGSAGAVRLEKPKDYAEWKIEIMVKF RDNAPLKKLDSHTQSGGERAVSTVLYMIALQEFTSAPFRVVDEINQGMDSRNERIVHK AMVENACAENTSQYFLITPKLLTGLHYHEKMRIHCVMAGSWIPNPSDDPRMIHFGETS NYSFD SPAR_O01160 MILLKIPTRSYCSPSKITKGMGLSPLKKSLLSKKIKENIHPSLP VRTRFAPSPTGFLHLGSLRTALYNYLLARNTNGQFLLRLEDTDQKRLVDGAEENIYDI LKWCNINYDETPVKQSERKLIYDKYVKILLSSGMAYRCFCSKERLNDLRRSAMELKPP SMASYDRCCAHLGEEEVNLKLAQGKPFTVRFKSPERYPIFTDLLHGEINIQPQVNFND KRYDDLILVKSDKLPTYHLANVVDDHLMGITHVIRGEEWLPSTPKHIALYDAFGWVCP KFIHIPLLTTVGDKKLSKRKGDMSILDLKKQGVLPEALINFCALFGWSPPRDLASKKH ECFSMEELENLFNLDGLTKGNAKVDDKKLWFFNKHFLQKRISNPSTLRKLVDEIMPAL ESRYNTSTISREKIAKILLNCGSCLPKINDFSGEFYYFFDKPKYDDNDAVAKFLSKNE SPHIARLLKKFGQFQEGTNAQDVESMVETMYYEGGFPRKVTYQAIRFALAGCHPGAKI AAMIDILGIKESNKRLSEGLEFLQRKK SPAR_O01170 MFAAIASGNPLQLSVEVPNSNGLQHTIVLSRTKPKLYSHITLFI LPNVTFPQDYIATVYFKLSPQEEFKLFGYLSGEKPSAIFKVQIPSSKKETADTGDGLG EIDMDVDDGSGANDSFTDTNGSSSNNISELIIGISIEPREQGMMKLEEWRASMNAEAQ KNSSLVLSRPNLGIIRNITTAGQLAQVYPSLTQELAAKIVQHAYNYLSGFLDAQGNVP IKRFDTWWDKFRNRLANDGTFLDEVTKN SPAR_O01180 MVRILPIILTALSLKVVASTISHLPIPSVPSGGQIMSADDIQDL EISGNSICVNGRCYPKLFEPGHDWQPILPGQELPGGLNIRINMETGLKEAKLNDEESV NNNDSHELVISSEDTKASPDDYEFSSDFKEMRNIIDSHPTLSSHDISILEDKFDRIME FAHDYKHGYKIITHEFALLANVSLNENLPLTLRELSTRVITSCLRNNPPVVEFISENF VNFKSKIMAAVSNLNDSNNRSSNILIKRYLSILNELPVTSQDFPIYSTVVLRNVYEGN NKDKQLQIKILELISKIFEADMYENDDTNLILYKRNAENWSPNLQEWANEFQEMVQNK SIDELHTRTFFDTLYNLKKTFKNDITINKGFLNWLAQQCEARQSNLDNGLQDRDTEQD SFDKKLIDSRHLIFGNPMAHRIKNFRDEL SPAR_O01190 MLLRSLTSAFVLSAGLAQAASGSNISTPSIEVKGNAFFNSESGE RFYIRGVDYQPGGSSNLTDPLADASICDRDIPVLKDLGINTVRVYTVDNSQDHSHCMK LLQENGIYLILDVNTPTSAISRYDPACSYNADYLQSVFASIDAFADYDNVLGFFAGNE VINSVNTTNTATYVKAVVRDMKKYIKARKYRQIPVGYSAADIVANRQLAAEYFNCGDD SDARIDMFGVNDYSWCGESSFVVSGYSTKMKLYQDYSVPVFLSEFGCNQVKSSRPFTE IEAIYSTQMSSVFSGGLVYEYSNETNNYGLVQIDGDKVTKLTDFENLKNEYSKVSNPQ GDGGYSTSNNYSTCPDYQKGVWEANNTLPAMPSAASAYFTSGAGSPMGTGIATQQSCD SKDDDDEEDDEDSSSSSASSSSASSSSSSSASSSSESSSSTSKASSSSASASETSLVK SAASATSSSQSSSKSKGAAGIIEIPLIFRALAELYNLVL SPAR_O01200 MGQRRSVVAVSVKPKCFKLGHRQGSTSSTSPPPSSPDVSISTSG SPAVKLSRNWELPQRLKPGRKPKPKRGDASANNNASSKIKKAPASNQNDQMTTKDNGN EDANSHLGKSDDEGNESGDENGVDSVEKRRRQNRDAQRAYRERRTTRIQVLEKKVEVL HNLVDDWQKKYKRLESEFSDTKENLQKSMALNNELKKALPLIVNTPFQQQPENQPDNP ISILEMVENFKPMGAVNLKKRKVEGSLSTSHMPTLLTDQSLSEIDIANDYQYLSGRQF SSTNSSLDDHANSPLSSCSSSNNVYVGSGNKKLKCCGNKDAMKPITCCSQKNNITPPL IPGCCADKKVGPDSKKKISKCCGDKEIEDSDNKPITREDGSWIPGSCKQCRSDPHSKN FCQSLSNKRSSSSFPSNSALSADLNEQQSDVNYNSIKLPEICSCKNAPTNAASDTKKY LPISYTYQKIRQHMQKNKSIQEQLNTEDSASVSSALENLASGLNVRGQKVELQSIKDA LHKMDKNVLE SPAR_O01210 MLNFASRASCVTRRQASLYLVKNQGPRLITSTTPSCHWPLKAQE LQPYYPLSLRFYSTDKTKSVAKPVTSTSTDAPAKPKETLMVKVKHALKHYVNGTKLLG YELKVSTKLLIKFAQGYELSRRERNQLRRTMGDVFRLIPFSAFLIIPFAELFLPFALK LFPNLLPSTYESGKDKQAKRNKLIEIRKKTSEFLHETLEESNLITYNTIENAEKKQKF LNFFRKLYSAKEGKIMTFQHDEISAIAQMFKNDTVLDNLSRPQLAAMSKFMSLRPFGN DNMLRYQIRSKLKDIMNDDKTIDYEGVESLSQEELYQACVSRGMKAYGVSKEDLVDNL KVWLELRLRQKIPSVLMVLSSTFTFGGLPKENYSKAFSPLAEKKETKSKYDDLLDLYY DGILQVLSSIPDPVYNVAKLDVSESKSSAAETEAEKQAAEKKVKTDEKPEETAIPKGE AAAKDSVVATTASVVTPKLVVVNESAEAAKTETKLQETEKAEAAESKEAAEAEEKKTS DDNEFKLNVLKEQEELIKKEEEEAKQRASREHVSDDINLDEEEEAKSVPPIPADQAAK TSVIKKN SPAR_O01220 MTEAVGFWESVSDDESQDKGCMEVHNTPSSDESPLVQSLVSFVG SCSINLLLPFFNGMMLGFGELFAHELCWRFNWFNHRNKGYKVYPESRKIAALKEISSP ATGARAATKFL SPAR_O01230 MSLPISKLIEQYRSTRDNDLKYMLLRRNFEINDMEDELASLIND LLLPVLVEEQDMEILNLVSFQVFPNLVLSMISNSTATQVGWVTSLICDPLLNQSIIHA NRSFVLIETLRNILQKIENAPHLDYHQPVNNSFEFISKFIVEMKRHMCDVDAAQLSHS LSESNMLIYIESLNLLLKFSFFSDATCSSAMVTLPFDILNDVFSIAQDYSATSTNESI DRITEKLFLTSTQLTHPADLKKLCPKMKYNTLSVVSRIWYKFGPTVAELFTDYLLPVL LPSQIVEECSIEDVLEIIHNFHPYFSFRRLEDNRPLLSDSTISQLRKGLFDILIMLND SLTRTQNESVHSDGHLVDSDDGFASDNDSEQQAYLDELMSEGYDENMYNGDTDNEDAD DVNLEKNDELTKDITETNKILSIFAELHYPQEERFSELLVELQTKITINPFLIDKILS KETTELPTDGGELVDLNEILNEVKANKLTRKNVIFYTLEHTLSLKSCSDLSVLQLSIE VIDHLLVKNHSNNITRGEQFRLIKLILPHLKTNKSFIDTLKAGNFTQKIDEGVTLRTT IISLLLQLLPLDYSMLGDILPTIARYSVRDKDFTVRDLSLQLLNQVLRTYYNCLIGID WEWYNNDFYQVLQETCIKKDIDTNLLLQFPPYFPHD SPAR_O01240 MSKLSPCPHAADFINLEEPPEPKEFFQDLCAVPNSPRRRFENSR RSTHYCEALSYSRQKLPALLSKMTLQELRHNMSTFSLQEKDQMNDYDTYKVIDMGDRL LLETLSPQPRDLFEKLHTSEANLIAETVLDESTAVKTELRPSSSARNSSVFLYEDYKK FIYQQLDMFS SPAR_O01250 MLRRHGLFWLKTCPRINVLLKQSIPIPHLPHNRNIWQQRWYAKG RRRNQSSKKELRPLNFSIPNYISVNKLANLLNCRVEKLIKDLTALGFENITATYILSK EYVELILQEYNFALPNLSTSTNLDNVYDELKSPINPKLLAKRAPVVTIMGHVDHGKTT IIDYLRNSSVVAQEHGGITQHIGAFQITAPKSGKRITFLDTPGHAAFLKMRERGANIT DIIVLVVSVEDSVMPQTLEAIKHAKNSGNEMIIAITKIDRIPQPKEREKKIEKVINDL IVQGIPVEKIGGDVQVIPISAKTGENMDLLEESIVLLSEVMDIRAENSPKTIAEGWII ESQVRKQIGNVATVLVKKGTLQKGKILICGNTFCKIKNLIDDKGIPIPKATPSYATEV LGWKDVPHVGDEVIQVKSEAIAKKFISKRQDLIEVQKNSSIVEKLNEERALAKEHHFN KDKDKELEHEDTIQEHEQNAGPKLINYIIKCDVSGSAEAVSESISSLGNDEVRCNVIS SSVGVPTESDLKMAQITNSTILCFNLGNLPSEVINNRAGIKIKQYDVIYKLIEDVTET LTENLKPIFEKKIVSTVDVREIFDFKLKKKIIKIAGCKVNNGIIKKNSLVQVVRGPND DVVFDGTISTLKHNRDDVTEVSKGHECGITFENGFEGFKSGDRILVYENVRVPRYL SPAR_O01260 MSKIEELQPSDTDDHSYSSTPGDVFLAFVDAPVKENDEILIEDS FIGGEPKWLHPDSEPSAELLKCGACKSTDNMKLLLQAFSPLDDEQMGAIQQRIGIDNM NYINPQDDRVLYVFLCTKCQRKGNSVRCIRGLKKNKNVDSLSEKMASTSLEKDFQINP FDLSNNSESKYNAFSSNPFGGASANPFGADSINSNVSQNKEEGKKKESANISAKAARK LHELQKDKEYDESKCFKSYLLYVEEETFKNKKPAHLQLPKNLKIDKEALDLTGDEDLE KDPVKLDPRTEKLSKFLDDDTFQKFQEVVGYNPLQVLRYDLGGKPLLYAETKVDISST VPVPGYNPSSQRIFEMQLMPKMIFDLEEVVSVDNGMEWGTILVFTDVENYIPEFDEHG VGYVEECVKVQWESRT SPAR_O01270 MSVSAIAPRRKRLADGLSVTQKVFVRSRNGGATKIVREHYLRSD IPCLSRSCTKCPQIVVPDAQNELPKFILSDSPLELSAPIGKHYVVLDTNVVLQAIDLL ENPNCFFDVIVPQIVLDEVRNKSYPVYTRLRTLCRDSDDQKRFIVFHNEFSEHTFVER LPNETINDRNDRAIRRVCQWYSEHLKPYDINVVLVTNDRLNREAATKEGDFNIITKSL VQYVDLLPNADDIRDSIPQMDSFDKDLERDTFSDFTFPEYYSTARVMGGLKNGVLYQG NIQISEYNFLEGSVSLPRFSKPVLIVGQKNLNRAFNGDQVIVELLPQSEWKAPSSIVL DSEHFDVNDNPDIGAGDDDDNNESSSNTTVISDKQRRLLAKDAMIAQRSKKVQPTAKV VYIQRRSWRQYVGQLAPSSVDSQSSSTQNVFVILMDKCLPKVRIRTRRAAELIDKRIV ISIDSWPATHKYPLGHFVRDLGAIESAQAETEALLLEHDVEYRPFSKKVLECLPAEGH DWKAPIKLDDPEAVSKDPLLTKRKDLRDKLICSIDPPGCVDIDDALHAKKLPNGNWEV GVHIADVTHFVKPNTALDAEGAARGTSVYLVDKRIDMLPMLLGTDLCSLKPYVDRFAF SVIWELDDSANIVNVNFMKSVIRSREAFSYEQAQLRIDDKNQNDELTLGMRALLKLSV KLKQKRLEAGALNLASPEVKVHMDSETSDPNEVEIKKLLATNSLVEEFMLLANISVAR KIYDAFPQTAMLRRHAAPPSTNFEILNEMLNTRKNMSISLESSKALADSLDRCVDSED PYFNTLVRIMSTRCMMAAQYFYSGAYSYPDFRHYGLAVDIYTHFTSPIRRYCDVVAHR QLAGAIGYEPLSLTHRDKNKMDMICRNINRKHRNAQFAGRASIEYYVGQVMRNNESTE TGYVIKVFNNGIVVLVPKFGVEGLIRLDNLTKDPNSATFDEVEYKLTFVPTDSHKSRD VYVFDKVEVQVRSVMDPITSKRKAELLLK SPAR_O01280 MTEDFISSVKHSSEVLKERKSNFEFVEYKSKQLTSSSSRNSNSS HHDEEHQYSKRNIFQRCVDSFKPPLDGSFDTSNLKRTLKPRHLIMIAIGGSIGTGLFV GSGKAIAEGGPLGVVLGWTIAGSQIIGTIHGLGEITVRFPVVGAFANYGTRFLDPSIS FVVSTIYVLQWFFVLPLEIIAAAMTVQYWNTSIDPVIWVAIFYAVIVSINLFGVRGFG EAEFAFSTIKAITVCGFIILCIVLICGGGPDHEFIGAKYWHDPGCLANGFPGVLSVLV VASYSLGGIEMTCLASGETDPKGLPSAIKQVFWRILFFFLISLTLVGFLVPYTNQNLL GSSSVDNSPFVIAIKLHHIKALPSIVNAVILISVLSVGNSCIFASSRTLCSMAHQGLI PWWFGYIDRAGRPLVGIMANSLFGLLAFLVKSGSMTEVFNWLMAIAGLATCIVWLSIN LSHIRFRLAMKAQGKSLDELEFVSAVGIWGSAYSALINCLILIAQFYCSLWPIGGWTS SKERAKIFFQNYLCALIMLFIFVVHKIYYKCQTGKWWGVKALKDIDLETDRKDIDIEI VKQEIAEKKMYLDSRPWYVRQFHFWC SPAR_O01290 MKKNSSVVFFLVGLSQFVTMAFLIIGSITAPIFKQIGYSKYDEI TYGTFGYCKDGSCSKASYNYNPDALSDSDSNWKLNSNARSILGKIIFITPIAAGLNFL GFFSTIMSVLLINVLSSDRVGSASAIMFFVNLTFSTLGFLSASLICIVVFLLFYPHVT WCSWVLIPGAALSLLVIPLIFLAYSRSSGSRDDDEMEELEEKGMLLNDPYLNSETERF DIDADSGANLRGDSRTNLLGNNFNSGTNTMVLPNIISHSQDPKLSNITTSTTSDISTY DKEAKDMENSNASGLNDEEDDGMAYDKRRSASTYSVIESESGLKNGSISNNYMKNNSS NTSNNTNYKVPLGKTEISSSASLASSDYSQREVIPHRNPSRLLNDIMETSFNEPNDNH INGMSSYNDKDSTLTSISQRGVNPEVYGQMPRIAAVGPPNTRPYAGQPHPAPLVYPQQ QQLQPQQQYQSQQQYHQYNLYQRTTPAGPDPSNVILQSNPYFNVGPNQVPQRRNPVPS VGFAPNPLPNQSPITQGYKPAYKRRLQNKNLPRATTSLSNPYGFR SPAR_O01300 MFRDRTNLFLSYRRTFPHNITFSSGKAPLGNDRDIEMGSYPMVN MSHDISARLEDERKKEYESRSDSLPPIFIDIAQDVDDYLLEVRRLSEQLAKVYRKNSL PGFEDKSHDEALIEDLSFKVIQMLQKCYAVMKRLKTIYNSQFVDGKQLSREELIILDN LQKTYAEKIQTESNKFRVLQNNYLKFLNKDDLKPIRNKANAESTLLLDDEEEEAAREK REGLDIEDYSKRTLQRQQQLHDTSAEAYLRERDEEITQLARGVLEVSTIFREMQDLVI DQGTIVDRIDYNLENTVVELKSADKELNKATHYQKRTQKCKVILLLTLCVIALFFFVM LKPHGGGSGGGNHGGSKHNNDNNSSNNSHDDSSNTHTNDEDKNLPSTVKVREFENRAL NGLV SPAR_O01310 MTEIIDLELVDDFVKKPIVKQHKNQTSKPRVKRKGQLTFDDFRN IKIVEEPVVLSHNSSIDESLDAVTHNTNKHEKGEGVDDEDMQTKKLKANIVSKHSNIK IYPNDTNRVELVNENTAINNRPLNTLNWSPNIPLRYSDFASFVSDEKVTENKWEPPLC VPLPYAGDVIKVLSFIIKFKWVFSNDLLNLSFQDVEIGLELAMAGQSVKTIRLCQDKM NFLFCSLLRSLFYSEKGTESQTNKNFTLERFLSLKNPYGKLIGKLRNSIQEWGLPHEW RSGSDILSTLNANGGGLLTIEPLDRIILLRCMIDWNCGYSVLFHNEIQRLTHLKGDTG FSHQTFHANRFAMCGADNISDSCEVLYSLMSQKLENRKKRKPHDKNKLNKINGQMKFL KGVQKSLSEKVTADRLRAAVKINEEWGKHFANELSHTPIDDPRADEIYRLRSSEFMIA RIPKFGDFYLPPFRIGSEDSSVNTSYSFNDMATYLDYFIKFKKESTTAPKILPAKTVQ KENNCQLKLIYNNTPACVRNLQSSDVPLAKTPHWFEVAGDSNSLIDFIEYLESLSSLD ENAADDTKKGIDNLIEFLKIFNIFTNETIRDITDTATESAEGSHLRTSSRKITRVHYS TDVNSDDSEEIESEIESEIEIDVDDNYDSEYLSDENASKGNGGNRTSKSLRENEAYNG SKDRDEDYDDIEIFSEPVRQLQDNSRERRSLRRNARKGLSL SPAR_O01320 MPKESEVINSEFHVDVQDPERLNGHPVAKFINKLSGQPESYVNR TNYIFGRTLGAGSFGVVRQARKLSTNEDVAIKILLKKALQGNNVQLQMLYEELSILQK LSHPNIVSFKDWFESKDKFYIVTQLATGGELFDRILSRGKFTEVDAVEIIVQILGAVE YMHSKNVVHRDLKPENVLYVDKSENSPLVIADFGIAKQLKGKEDLIYKAAGSLGYVAP EVLTQDGHGKPCDIWSIGVITYTLLCGYSPFIAESVEGFMEECTASRYPVTFHMPYWD SISIDAKRFILKALRLNPTDRPTATELLDDPWITSKRVETSNILPDVKKGFSLRKKLR DAIEIVKLNNRIKRLRNMYSLGDDGDNDIEENSLNESLLDGVTHSLDDLRLQSQKKVG ELTEEQMKLKSALTKDAFVQIVKAATKNKHKVLAGEEEDDNNKAQHDDRE SPAR_O01330 MFIEYSRLPGFESINVSFSKGMLRLARFTNFATYEQKLEYFKLL AGPNKYIERISAGDFKGHPDEISYIYIILISVLQIEECMPVLVQCPTVYWIRFDWPGK CSVNNLNFTNETLRSAFDAVFTPYSAIMKKVLGRIKNNMLLFAEPQANLNNLFVKHFH DLIYNNVKDEKIGEAILYLRTNVNIPNVFVNNSKAVFHGDGMKIGKFTGKFLSFTFKR TIRWSKLNSVDSFTVTRVNYRVSVNWEKTPRKVFLSLDSDTKNLRYISKTKLNKKGNS AIIPKTTKSSCTNENLCDNEAYSVEFPLTTSAKTEYLLKSDFFLQKIDKKNSPTLQEL TLNGTYRLHQSDFSNEQNVTQRKYEIFGGIVPTNAGDKLLTFPRQKATRDTSPSSTEL AHTAVAASDGSALKGANNQSIAKTQCVNPGIGKIISRKTANWICSNPAPDPYAETSTW SRVLAPNLKTISESTPYYPIHIASPNSTFNPEQSTRSIFIRSSSECVQKQSSFFRNYE HLRNILSRRTSRVRACCSSLNVGMCRNKGEILLQKQLTLPNKTREKVKRFRHCFHRAA EALRAAKKNWDQHNSSIY SPAR_O01340 MSNSISSPTSTFSTSLPSNGTAFYCGNMGVLYMNSTEYGASYAR YYWQCGREYESAFATLTKEVPGTTFSADMPTSSWDSVLDCGYSSVINVAANKNSPDDY WNCGRTYARNYALSDALLLKPTNMLQYFLFALFFICIVL SPAR_O01350 MVPENRRKQLIIFIVITYLLTFYCVYSATKTSVSFLQVTLKLNE GFNLMVLSIFILLNSTLLWQLLTKLLFGELRLIEHEHIFERLPFTIINTLFMSSMFHE RYFFTVAFFGLLLLYLKVFHWILKDRLEALLQSINDSTTMKTLILSRFSFNLVLLAVV DYQIIIRCISSIYTNQKIDIASTSLYLMQVMEFTMLLIDLLNLFLQTCLNFWEFYRSQ QSQSNENNHIVHGDPTDGNGVEIDQSHAMLNDDDDDDDRQFTGLEGKFMYEKAIDVFT RFLKTILHLSLLIPFRMPMMLLKDVVWDVLALYQSATGLWKIWRNNKQLDDTLVTVTA EQLQNSANEDNICIICMDELIHSPNQQTWKNKNKKPKRLPCGHILHLSCLKNWMERSQ TCPICRLPVFDEKGNVVQTTFTTHTAATDNTGIATNRQVFANEVELLPTRTTSPDIRI VPTQSIDTLAARTMSTSASSTTWYTFPLQQTDDNSVESRRSAYEFLMTNSDEKEDSIP VKLIIESHEVNSLQGDGGQQTTKKKIVIPDKYIEHI SPAR_O01360 MSGKAHGGKGKSGAKDSGSLRSQSSSARAGLQFPVGRIKRYLKR HATGRTRVGSKAAIYLTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDDEL DSLIRATIASGGVLPHINKALLLKVEKKGNKK SPAR_O01370 MIRPLCSRVIVSYIFAISQFLLAANAWSPTDSYVPGTVSCPDDI NLVREATSISQNESAWLEKRNKVTSVALKDFLTRATANFSDSSDILSKLFNDNNSESL PKIAVAVSGGGYRSMLTGAGILAAMDNRTEGAYEHGLGGLLQSTTYLSGASGGNWLVG TLALNNWTSVQEILDNMQNDDSIWDLSDSIVTPGGINIFKTAKRWDHISNAVESKQNS DYNTSLADIWGRALAYNFFPSLYRGGIGLTWSSIRDFPVFQNAEMPFPISVADGRYPG TKVINLNATVFEFNPFEMGSWDPSLNSFTNVKYLGTNVSNGVPLERGKCTAGFDNAGF IMGTSSTLFNQFLLRINSTHLPSFITRLARHFLKDLSQDFNDIAVYSPNPFKDTKFLD SDYTTSIVDSDSLFLVDGGEDDENVPVLPLIQKERDVDIIFAVDNSADMRLAWPDGSS LVHTYERQFVKQGQGMSFPYVPDTNTFVNLGLNKKPTFFGCDANNLTDLQYIPPLVVY LPNAEYSFNSNQSAFKLSYSESQRRSMIQNGFEIATRNNFTDDPEFMGCVGCAIMRRK QQALNITLPPECETCFTNYCWNGTLDTTPLPDVEKDVHHSFINVGSFNSSLGQEEGQY VGSSASQPSSSTSSSGVPSATATLKKKAATNSGSHLSGISVKFVAIIMLALLPLTGAV SPAR_O01380 MSSSAPKYTTFQGSQNFRLRIILATLSGKQIKIEKIRSGDLNPG LKDYEVSFLRLIESVTNGSVIEISYTGTTVIYRPGIIVGGASTHICPNSKPVGYFVEP MLYLAPFSKKKFSILFKGITASHNDAGIEAIKWGLMPVMEKFGVRECALHTLKRGSPP LGGGEVHLVVDSLIAQPITMHEIDRPIISSITGVAYSTRVSPSLVNRMIDGAKKVLKN LQCEVNITADVWRGENSGKSPGWGITLVAQSKQKGWSYFAEDIGDAGSIPEELGERVA YQLLEETSKSAAVGRNQLPLAIVYMVIGKEDIGRLRINKEQIDERFIVLLRDIKKIFN TEVFLKPVDEMDNDDMIATIKGIGFTNTSKKIA SPAR_O01390 MSFDINWSTLESDNRLNDVIRKHLNSYLQNSQLPSYVSNLRVLD FELGKVGPAITLKEITDPLDEFYDSIREDAYEETEENNNDKDVTEHFGTEHTVADHQA PKDDSEPLVVMPSPNDIQFLLEVEYKGDLLVTIGADLVLNYPVEKFMTLPVKLSISDI GLHSLCIAAYLSKQLFFSFLCDVSDPALDDNQTVLDPKGPILAATKPLERISIVRSMK IETEIGEQYQGQGSVLRSVGELEQFLFTIFKDFLRKELAWPSWINLDFNEDDE SPAR_O01400 MVLVIRALQTSIVFRKAMLKPIVRYPLKRSFFGLSSTNHTIREQ RYVLRKTINTPPSTVYAAVSEVAQYKEFIPYCVDSFVDKRNPVDNKPVIGGLRVGFKQ YDEEFICNITCKDTANGNDTYTVIAETVSHNLFHLLYSKWTIMPHPSRPNAAMVELLL RFKFKSRIYNSVSLIFAKTVTELVMNAFAKRAYHLVRLAMLKPSSKESSQ SPAR_O01410 MRLPEISIWKVILLLNLFALQELQLVSAANLPSLSSTTKAADSS SKASSAAKTTTSSGQSSVTSKDVSSSHNITSSTKMPKITSSASTSVYSNSSVWSNNSV ISTSSITPSSVFIPVTDGNKFLYQAHHPNGTVFIAFAGCLGAILLSLTGAWIALSIKS WRSARRENKLRNLENQYQYDPFYFQANSNDDESETSSHSDDSDISEKVLKNNSSRMSL YTLGSTSVLNLLNNKTDANDNFRASMFISPTEILQCDANNSNTWSQQSNDSAIYDSLS STPKEPGATQILGKFTDSSNPFNYASYSLNPEAGDRSTPKSNVSQGKVKKYRPPSVHL DQLLDGDE SPAR_O01420 MTIADASKAKHELPSDDDDDVPLSQTFKKRKVESTNSASLQDDA DPYDSDEAISKISKKKTKKIKTEPLPSSSSSPPPVKKSAVSKPKKIKKEDGDVKLKTT KKEDQENEKKKKKKQEEEEDKKAKEEEEEYKWWEKENEDDTIKWVTLRHNGVIFPPPY QPLPSHIKLYYDGKPVDLPPQAEEVAGFFAALLESDHAKNPVFQKNFFNDFLQVLKES GGPLNEIEIKEFSRCDFTKMFDYFQLQKEQKKQLTSQEKKQIRLEREKFEEDYKFCEL DGRREQVGNFKVEPPDLFRGRGAHPKTGKLKRRVNPEDIVLNLSKDAPIPPAPEGHKW GEIRHDNTVQWLAMWRENIFNSFKYVRLAANSSLKGQSDYKKFEKARQLKSYIDAIRR DYTRNLKSKVMLERQKAVAIYLIDVFALRAGGEKSEDEADTVGCCSLRYEHVTLKPPN TVIFDFLGKDSIRFYQEVEVDKQVFKNLTIFKRPPKQPGHQLFDRLDPSILNKYLQNY MPGLTAKVFRTYNASKTMQDQLDLIPNKGSVAEKILKYNAANRTVAILCNHQRTVTKG HAQTVEKANNRIQELEWQKVRCKRAILQLDKDLLKKEPKYFEEIDDLTKEDEAAIHKR IIEREIEKYQRKFVRENDKRKFEKEELLPESQLKEWLEKVDEKKQEFEKELETGEIEL KSTWNSVEKIKAQVEKLEQRIQTSSIQLKDKEENSQVSLGTSKINYIDPRLSVVFCKK YDVPIEKIFTKTLREKFKWAIESVDENWRF SPAR_O01430 MNAPDRFELFLLGEGESKLKIDPDTKAPNAVVITFEKEDHTLGN LIRAELLNDRKVLFAAYKVEHPFFARFKLRIQTTEGYDPKDALKNACNSIINKLGALK TNFETEWNLQTLAADDAF SPAR_O01440 MSQVWHNSNSQSNDVATSNDAAGSNERNEKEPPLQGNQPGFVQQ QQQRITLPSLSALSTKEEDRRDSNGQQALTSHATHILGYPPAHSNIMPSITTDSALKQ PHEYHPHPKSSSSSPSINASVMNAASAPAPAPLPTVGAASFSLSRFDNPLPIKAPVHT EDPKSYNGLQEEEKATHRVQDCKEVLAGVQPADTDPNSNHTDANDDNNNENSNDEDAD YRPLNVKDALSYLEQVKFQFSSRPDIYNLFLDIMKDFKSQAIDTPGVIERVSTLFRGY PILIQGFNTFLPQGYRIECSTNPDDPIRVTTPMGTTTVNNNISPPGRGTVDAQDLGSL PETDGNGIQQSQNVPMVPSNVYHSEQNQDQQQSLPFSATSAGLPSIQQPEMPAHHQIP QSQSLAPQEDVKKNVDVEFSQAISYVNKIKTRFADQPDIYKHFLEILQTYQREQKPIN EVYAQVTHLFQNAPDLLEDFKKFLPDSSASANQQVQHVQQHAQQQHEAQMHAQAQAQA QAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQVQAEQQQQQKQQQQFLYPASGYYGHPP NRGIPQQNLPPIGSFSPPTNGSTVHENYQDQQHMQPPHLIPLPSMVQHGPNIVHQGIA NENLPLSDLRTSLTDQYAPSTIQQQQQHSQSISPIANTQYGDIPVRPEIDLDPSIVPV VPEPTEPIEDNISLNEEVTFFEKAKRYIGNKHLYTEFLKILNLYSQDILDLDDLVEKV DFYLGSNKELFTWFKNFVGYQEKTKCIENIVHEKHRLDLDLCEAFGPSYKRLPKSDTF MPCSGRDDMCWEVLNDEWVGHPVWASEDSGFIAHRKNQYEETLFKIEEERHEYDFYIE SNLRTIQCLETIVNKIENMTENEKANFKLPPGLGHTSMTIYKKVIRKVYDKERGFEII DALHEHPAVTAPVVLKRLKQKDEEWRRAQREWNKVWRELEQKVFFKSLDHLGLTFKQA DKKLLTTKQLISEISSIKVDQTNKKIHWLTPKPKSQLDFDFPDKDIFYDIFCLADSFI THTTAYSNPDKERLKDLLKYFISSFFSISLEKIEESLQSHKQNVSESSGSDDGGSTAS RKRPYQQEMSLLDILHRSRYQKLKRSNDEDGKVPQLSEPPDEEPNTIEEEELIDEEAK NPWLTGNLVEEANSQGIIQNRSIFNLFANTNIYIFFRHWTTIYERLLEIKQMNEKVTK EINTRSTVIFAKDLDLLPNQLSEMGLDFIGEDAYKQVLKLSRRLINGDLEHQWFEESL RQAYNNKAFKLYTIDKVTQSLVKHAHTLMTDAKTAEIMALFVQDRNASTTSAKDQIIY RLQVRSHMSNTENMFRIEFDKRTLHVSIQYIALDDLTLKEPKADEDKWKYYVTSYALP HPTEGIPHEKLKIPFLERLIEFGQDIDGREVDEEFSPEGISVSTLKIKIQPVTYKLHI ENGSYDVFTRKAINKYPTVANDDTHKEMVSQKKELISKFLDCAVRLRNNLDETEKSSM QKKWESLKETTAKMSIGDQVIDTKVEEGENTKQEQLDDLASSDVNVLPSFIPTVPQDG NVEATESTGPTDKEAKIQ SPAR_O01450 MAVKLKWPWLGVAIPTFLISFIGYGAHYFILSNFLSVTKQIIFE ISLSMIWLSYYLAIYTNPGRPSPNYKPTPDIWPNFCKKCQNYKPERSHHCKTCNQCVL MMDHHCPWTMNCVGFGNYPHFLRFLFWIIVTTSVLFCIQGKRIYFIWQQRHLPGYFFK KSELIFLTILTPLNSFVLLTIAVLFLRCLLNQIVNGRSQIESWDIDRLESLFDSRRLI PKLIDNAWRIYPESRCLQHERDAEELLAQQRPRFDELVNFPYDFDVYANAILYLGPMY LWLWPYGVPTGDGNSFPKNDISKYEVNSPLEDIILSLPWPPDGGKTNTLSNHGSSTIE TCNESGEQVIRSRLVQNGRPSSREKWYNDWGESLDDFGVDVDME SPAR_O01460 MLHVGEESFQMSTLLERTKSVQELKKRAAGNTSANPAEVTEAKK VLKRLYSWDEIPEWQRDNDFILHGYVKETSSFIETFKSLFYLHNESVNIYSHLIPALG FFTVLLLDKSTIKVFETTTWQDHMVIDLFYSGAFACLILSSSFHCLKSHSLRIATLGN KLDYLGICILIVTSMVSILYYGYYEKFSLFCLFALITVSFGIACSIVSLKDKFRKREW RPYRAGLFVCFGLSSIIPIFSGLYCYSFSEIWTQIQLFWVLLGGVLYIIGAVLYGMRF PEKICPGKFDIWGHSHQIFHFLVVIAAFCHLRGLLNSYELVHMKMENGIVS SPAR_O01470 MESTSGERSENINEDQGIPKVILPADFNKCSRTDLVVLISRMLV SLIAINENSATKKPDDQITLTRYHSKIPPNISIFNYFIRLTKFSSLEHCVLMTSLYYI DLLQTVYPDFTLNSLTAHRFLLTATTVATKGLCDSFSTNAHYAKVGGVRCHELNILEN DFLKRVNYRIIPRDHNITLCSIEQKQKKFVIDKNALGSFDLDSYSYVNRPKSGYNVLD KYYRRIVQLVGSFNASPDKSRKVDYVLPSNIDKSNENGSQIHQHKGSSSPNSHLSQKR YSEAKDAHIYNKRSKPD SPAR_O01480 MASKNQDVLLSRVINVVRTASSLAGQDVDFYRNLDREFSKVLKS KSDKLADMANEIILSIDEHHESFELKEEDISGLWNDFGNIMDNLLEMSDHSLDKLNRA MNSKSSGVDLQYLGDFSGKSFSPAKRVEKPQLKFKTPIDNSESHPFIPLLKEKPNALK PLSESLKLIEDEENIPSHYPHPYEYEIDHQEYNPEILQIRDEIPSKSWDDSEPIWVDT RTKLESMLGDLKNTKEIAVDLEHHDYRSYYGIVCLMQISTRERDYLVDTLQLRETLHI LNEVFTDPSIVKVFHGAFMDIIWLQRDLGLYVVGLFDTYHASKAIGLPRHSLAYLLEK FANFKTSKKYQLADWRMRPLSKPMTAYARADTHFLLNIYDQLRNKLIESNKLAGVLYE SRNVAKRRFEYSKFRPLTPTSEVYSPIEKESPWRVLMYQYNIAPEREILVKDLYLWRD LIARRDDESPRFVMPNQLLAALVAYTPTDVIGVISLTNGVTEHVRQNAKVLANLIRDT LGNIKNTYEETAPFPSFESKADGISLEAITTPQICDIMERFSVLCNSNVSNGRAKTVT TSSILLGKILPCEEYDISYSKNGLPNKVKIEDIRTRAQNFNSALASLEDIIFEVEKPL LVPPKVQNIETIKPEGVPEHSPKIENPDDIVVLKKKNIHKKQPAKEKDIMEKEAVDYS KIPNILSSKAGQNNRQQRKRKFDSSSNDNNGPRAAKKRRPAAKGKNVSFKR SPAR_O01490 MAIGKRLLVNKPAEESFYASPMYDFLYPFRPVGNQWLPEYIIFV CAVIVRCTIGLGPYSGKGSPPLHGDFEAQRHWMEITQHLPLSKWYWYDLQYWGLDYPP LTAYHSYLLGLLGSFFNPSWFALEKSRGFESPDNGLKTYMRSTVIISDILFYFPAVIY FTKWLGRYRNQSPIGQSIAASAILFQPSLMLIDHGHFQYNSVMLGLTAYAINNLLDEY YAMAAVCFVLSICFKQMALYYAPIFFAYLLSRSLFFPKFNIARLTVIAFATLATFAVI FAPLYFLGGGLKNIYQCIHRIFPFARGIFEDKVANFWCVTNVFLKYKEKFSVQQLQLY SLIATVIGFLPAMIMTLLHPKKHLLPYVLIACSMSFFLFSFQVHEKTILVPLLPITLL YSSTDWNVLSLVSWINNVALFTLWPLLKKDGLHLQYAVSFLLSNWLIGNFSFITPRFL PKSLTPGPSISSINSNYRRRSLLPYNVVWKSFIIATYIAMGFYHFLDLFVAPPAKYPD LWVLLNCTVGFICFSVFWVWSYYKIFTSGNKSMKDL SPAR_O01500 MKLSPILPILLASFSLCMIIPELDGIVRFIETIDGTRSARAGEG LVQQDAENFHIGEQHLAHKIEFLPYRYIIVFNEDILLQPIQSHMQMVQKEHSISVSKL TEDDVFWGMISSSASSESQVGGIDNSFDINGLFRGYTGYFTDEIIEMISQDPVVKFIE QESKVKISNSSLQEEAPWGLHRVSHREKAKYGQDLEYLYEDAAGKGVTSYVLDTGIDI EHEDFEGRAVWGAVIPGNDEASDLNGHGTHCAGIIGSKHFGVAKNTKMVAVKVLRSNG EGTVSDVIKGIEYVTKEHVESSKKKSKEFKGSTANLSLGSSKSLAMEMAVNAAADSGV HFAIAAGNEDEDACLSSPAGAEKSITVGASTFSDDRAFFSNWGTCVDVFAPGINIMST YIGSRNATLSLSGTSMAAPHVAGILSYFLSLQPASDSEFFNNALSPQELKEKVLKFST QGVLGDIGDDTPNMLIYNGGGKKLDDFW SPAR_O01510 MRQKRAKSYRKQLLVYSHTFKFREPYQVLVDNQLVLECNNSNFN LPSGLKRTLQADVKVMITQCCIQALYETRNEGAIDLAKQFERRRCNHSFKDPKSPAEC IESVVNVSGANKHRYVVASQDIVLRRKLRTVPGVPLIHLTRSVMVMEPLSTASAKASK MTEERKLYKGLNDPNIEKVQESGNGLGKESATKKRKFGLKAPNPLSVKKKKKANSPSY EAKGKEDTSKEKKRRRRKHKSNASDPITNGTTAAQQQSSLD SPAR_O01520 MLSAIGSIPEPQNFAPSPDFKWLCEELFVKIDEAQFDGTTGTGK SRSLKYYEIISNFVEMWRKTVGNNVYPALVLALPYRDRRIYNIKDYVLIRTICSYLKL SKNSATEQRLKDWKQRVGKGSNLSSLLVEEIAKRKTEPSGKAITIDDVNGHLDELSKD RNASGRGFRNLVKSNPFLYCLENMSFVELKYFFDIVLKNRVIRGQEHKFLNCWHPDAQ DYLSVVSDLKVVTSKLYDPKVRLKDDDLSIKVGFAFAPQLAKKVNLPYEKICHTLHDD FLVEEKMDGERIQVHYMNYGKFIKFFSRRGIDYTYLYGASLSSGTISQHLKFTNSVKE CVLDGEMVTFDARRQVILPFGLVKGSAKEALSFDNINNVDFHPLYMVFDLLYLNGTSL TPLPLHQRKKYLNRILTPLKNMVEIVRSSRCYGVESIKKSLEVAISLGSEGVILKYYN SSYNVASRNNNWIKVKPEYLEEFGENLDLIIIGRDSGKKDSFMLGLLVLDEKEMYKKD QGNSSEVVDDSNQGENIQNSRKKVKKILSFCSIANGISQEEFKEIDRKTRGHWKRTSD VVPPSILEFGSKIPTEWIDPSESIVLEIKSRSLDNTETNMQRYATSCTLYGGYCKRIR YDKEWTDCYTLDDLYENRAAKVNPSHQAEKSQLGLVRKKRKRVLISDNFHQNREQKPI SNIFAGLFFYVLSDYVTKDTGVRITRGELEDSIVKHGGKLIYNVVLKRHCIGNVRLIS CKTTTECKALIDRGYDILHPSWVIDCMTYKKLILIEPIYCFNVSQKLRAVAEKRVDCL GDSFENDISEVKLSLLYKSQLSIPPTGEVEIDSEVQLFPLFLFSNRIVYIPRCKIGMK DEILEMKIRLFGGKITDQQSLCNLIIIPYNDPILRKDCIKEVNEKIKEQIKAFETIPK IARIVAPEWVDHSINENCQVPEEDFPVVNY SPAR_O01530 MGKGKNKTHEPKNGRPHRGANGHSSRQNHRRMEMKYDNSEGMKF PVKLAMWDFDHCDPKRCSGKKLERLGLIKSLRVGQKFQGIVVSPNGKGVVCPDDLGIV EQHGASVVECSWARLEEVPFNKIGGKHERLLPYLVAANQVNYGRPWRLNCVEALAACF AIVGRMDWASELLSHFSWGMGFLELNKELLEIYQHCTDAASVKKAEEEWLQNLEREIQ ERKSHTKDEDIWMMGNINRRGNGLQSDESESEESEESEEQSELEGKNQCIEYDSLGNA TLRDSLKSRETLSEESEDEESDLEENEEPVSYDSLGNLI SPAR_O01540 MSASKEEIAALIVNYFSSIVEKKEISEDSADSLNVAMDCISEAF GFEREAVSDILERSEFKGQNLTDMLNSASKASESNKKDDAENVEVNIPEDDAETKAEA EDLKMQGNKAMANKDYELAINKYTEAIKILPTNAIYYANRAAAHSSLKEYEEAVKDAE SAISIDPSYFRGYSRLGFAKYAQGKPEEALEAYKKVLDIEGDNATEAMKRDYESAKKK VEQSLNLEKTVPEQSRDADANASQGAGVGGLPDLGSLLGGGLGGLMNNPQLMQAAQKM MSNPGAMQNIQKMMQDPSIRQMAEGFASGGGTPNLSDLMSNPALRNMAGNLFGGSDTP STEGAPDNENKQ SPAR_O01550 MRSNKTSLLLALLSILSQASAYTFVNCFSSLPSDFSKADSYSWQ SSSHCNSECNEKGASYFALYNHSECYCGNTNPSDSESTSSSCNAYCYGYSSEMCGGAD SYSVYQLDSDTNSNSISSSDSSMGTTSATSSTTSSTASTISSTTSSMASSSTLETSSE STQAAASVSTSQSSSTLSSESSLTSNTLTTSSISSQSQDATSIIYSTAFHTEGGSTIF VTNTITTSAQNSGSATGTAGSDSASGSKTHKKKANVGAIVGGVVGGVVGAIAIALCIL LIVRHINMKREQDRMEKEYQEAIKPVEYPDKLYASSFSSNHGPSTGSFEEEHANGQTD INPFDDSRRISNGTFINGGPGGKNNVLTVVNPDEAD SPAR_O01560 MAYSKIALLAALAAIAYAQTQAQINELNVVLDDVKTNIADYINL SYTPNSGFSLDQMPAGIMDIAAQLVQDPSDESYTTLYSEVDFSAVEHMLTMVPWYSSR LLPELEAMDASLTTSSSAATSSVASSTSEATSSSVATSSSEAASSSVAPSSSEISSSS VVSSTSEVASSSVASSTSEVASSSAAPSSTGVTSSSAITSSSVVSSSTEAGSSSSIAS SSAVSSSEAVSSSVVSSVVSSSAEPASSSIASSNSTVASSSASATETSSSSIAPYNST IVSSSTSASQTSISTIAPYNSTTTAPASSASSVIFSTRNGTTVTETDNTLVTKETTVC DYSSTSAVPASTTGYNNSTKVSTATVCSTCKEGTSTATDFSTLKTTVTVCDSACQAKK SATVVSVQSKTTGIVEQTENGAAKAVIGMGAGALAAVAAMLL SPAR_O01570 MAYTKIALLAAVAALASAQTQEEIDELNVILNDVKSNLQEYISL AEDSSSGFSLSSLPSGVLDIGLALASATDDSYTTLYSEVDFAAVSKMLTMVPWYSSRL LPELESLLGTSSTAASSTEAKTSSAAASSAATSSAATSSSDATSSAVASSSEATSSAV ASSSEATSSAVASSSEAVSSTKATSSKASSAVVSSAAASSTKASAISQISDGQIQATS TVSEQTENGAAKAVIGMGAGVMAAAAMLL SPAR_O01580 MSISKYFTPVADGSLTFNGANIQFGADAQSDSGKSYDAEGGMQA PANQLNDITFQAEAGEMVLVLGYPTSTLFKTLFHGKTSLSYSPRGSIKFKNNEFKSFS DKCPHQIIYNNEQDVHFPFLTVEQTIDFALSCKFDIPKGERDQIRNELLREFGLSHVL KTIVGNDFFRGVSGGERKRISIIETFIANGSVYLWDNSTKGLDSATALDFLGILRKMA KATRSVNLVRISQASDKIVDKFDKILMLSDSYQLFYGTVDECLTYFRDTLGIEKDPND CIIEYLTSILNFQFKNKNLGGLSNSSSTSVLKTATEEVTKYTYNSDFDLYDQWKHSSY YRNIKQQIQGSSIDESTKEVDPSDVSPIFNIPLRKQLLFCTKRAFQRSLGDKAYMTAQ FISVVIQSLVIGSLFYEIPLTTVGSYSRGSLTFFSILFFTFLSLADMPIAFQRQPIVK KQSQLHFYTNWVETLSTTVFDYCFKLCLVIVFSIILYFLAHLQYKAARFFIFLLFLSF YNFCMVSLFALTTLVAPTISVANLFAGILLLAIAMYASYVIYLNNMHPWFVWIAYLNP AMYAMEAVLSNELYNLKLDCSETIVPRGPTYDDVPFSHKACAWQGATLGNDYVRGRDY LKQGLSYSYNHVWRNFGIIIGFLVFFIACTLFASQYIKPYFNKDEIERNNGGFTRWLP FFNKRRSIRSSARSDSKYDGIPKSHSVSSSSSSLSAVPYQVSPSNKEMALNDYHEQPI TETVETQKHVISWKNINYTVGDKRLIKNASGFISSGLTALMGESGAGKTTLLNVLSQR VETGVVSGEILIDGHPLTDEDAFKRSIGFVQQQDLHLDLLSVKESLEVSCILRGDGDR AYLDTVSNLLKLPSDTLVADLNPTQKKLLSIGVELVTKPSLLLFLDEPTSGLDAEAAL TIVKFLKQLSLQGQAIFCTIHQPSKSVISHFDNIFLLKRGGECVFFGPMDDACGYFMS HDNTLVYDKEHDNPADFVIDAVGNSNSSTEKDGAEGGFALNKEAIDWSTLWESSVEKK LVEKETTRLEDEARASGVDYTTSLWKQPSYLQQLALITRRQYICTKRDMTYVMAKYCL NGGAGLFIGFSFWHIKHNIIGLQDSIFFCFMALCVSSPLINQIQDKALKTKEVYVARE ARSNTYHWTVLLLSQSIIELPLALTSSTLFFVCAFFCCGFNNAGWSAGVFFLNYMLFA AYYASLGLWLIYTAPNLQTAAVFVAFIYSFTASFCGVMQPYSLFPTFWKFMYRVSPYT YFVETFVSILLHNWEIKCDMTEMVPGQPLSGQTCGQFMEAFIEEYGGYLHNKNTFTVC AYCTYTVGDDFLKNENMSYDHIWRNFGIEWAFVGFNFFAMFAGYYLTYVGRIWPKVYK VITKIIPHKGKKPVQN SPAR_O01590 MVASSNAEESSLAVNLTNDVEKASKTLFKAFEKSYANDYLMKKF FHIPITEKVSRARINAMIHYYTTCYHDLDGEIAEANDFDAVAIWSRPGCHLPATLSDD ESFNKIFFDDLTERKHEVMPGGMDYYYLYAIGKDPRHPEIRGSVRKILEEYKLKADKG NCALALEAISEHARSVYEYFGFRTYLVFQFGVGEVNSKGEPDPQGKGFTAYLMLYHKD ADTIFHA SPAR_O01600 MMRGFKQRLIKKTTGSSSSSSSKKKDKEKEKEKSSTTASTTKKP VSVSSSSHGTTHSTTNSTGSKSTTEKGKASGSVPSQGKHHGSSNSKTKTTTTPSSSSS SSSRSSSVSRSGSNSTKKTSSRKGQEQTKQSQQPSQSQKQGSSSSSTAVVNPTPVLTV TKDDRSTSSEDHAHPTLLGAVSAVPSSPISSAPGTVVSSDVENGNSNNNNVNINNGNT QDANHASSQSIDIPRSSHSFERLPTPTKLNPDTDLELIKTPQRHSSSRFEPSRYTPLT KLPNFNEVSPEERIPLFIAKVDQCNTMFDFNDPSFDIQGKEIKRSTLDELIEFLVTNR FTYTNEMYAHVVNMFKVNLFRPIPPPVNPVGDIYDPDEDEPVNELAWPHMQAVYEFFL RFVESPDFNHQIAKQYIDQDFILKLLELFDSEDIRERDCLKTTLHRIYGKFLSLRSFI RRSMNNIFLQFIYETEKFNGVAELLEILGSIINGFALPLKEEHKVFLVRILIPLHKVR CLSLYHPQLAYCIVQFLEKDPLLTEEVVMGLLRYWPKINSTKEIMFLNEIEDIFEVIE PLEFIKVEVPLFVQLAKCISSPHFQVAEKVLSYWNNEYFLNLCIENAEVILPIIFPAL YELTSQLELDTANGEDSISDPYMLVEQAINSGSWNRAIHAMAFKALKIFLETNPVLYE NCNALYLSSVKETQQRKVQREENWSKLEEYVKSLRINNDKDQYTTKNPDSRNSFNTGN ENNTLNEENENDCDSEIQ SPAR_O01610 MRVFTLIAILLSSSLLAHAFSSNYAPVGISLPAFTKECLYYDLS SDEDVLVVSYQVLTGGNFEIDFDITAPDGSVIVTERQKKHSDFLLKSFGIGKYTFCLS NNYGTSPKKVEITLEKEKEIVSSHERKEDIIANNAIEEIDRNLNKITKTMDYLRAREW RNMYTVSSTESRLTWLSLLIMGVMVGISIVQALIIQFFFTGRQKNYV SPAR_O01620 MRLYNCRFLSRCFPVEPCSISSAAKSCYKRSIYHSSAALTNATN GRETPNKLHSDLHSALEMVDEIYDTNSTAEDVGNKDKGGRQKYTEEMDKAINLLKTNI KKECRHDKYLEHTRVRMHPTSRNYPTRRTYPTRRTYPASKTYPASSSYTFRINVQKIK HALVRYNQDGGRGDDQKPPRIGHGLTRVLYQPLSLQKLRDNRSRMYNFDPAVENINPG YLERKSEKDVNTDSSGEGQAEPIFITPHKDESLLKVAKEHKKKYISSSSSMTSVLSQL HYLLSNFRRLNIIDSSISKNFPQKNCNYSESAYFPSAVILRKKRNGICSIDSDRSLDR EIVLSVLGHYLEDFLTEKSLNSSKSENYHYSSIDEFIVRSQLDAYDPNLPGTGVFDLK TRAVSAIRYDLSHVENNNNRTGYEIDKVYGEFESLEREYFELIRSALLKYSLQARLGK MDGIFVAYHNISKMFGFQYLPLDELDYIIHSSYNSRFDSLLEQKNEIIKGIYGEEDYI LHYDRDDRKIACLVANREFKMSMNLFSNILKHVEQLLNSSSTKWEKCKIMLKTEVEEK QSKNGRFFNEPVLNIVALPLSSEYEDKSLLVKDTSNEQLTKELKNLRSYNENLLEGSL NSLIGFKVNVKHFYHHHPNTTHVPAFASKKNDILDTEARKYISDVMKRDWYKDIPSTQ TPNFFHASDISTWEVNSTFTDIIDKRALRQLYLKYLDVKLNALKNQVITRQKPDISKK DEIINRIKSLRTRRDHHDSGSSKRSSNFGPTRLQIKLRAYAKKGALRRKLLEGATSSM SKSQ SPAR_O01630 MFSSSSSSRPSKEPLLFDIRLRNLDNDVLLIKGPPDEASSVLLS GTIVLSITEPIQIKSLALRLFGRLRLNIPTVLQTVHGPHKRYSKFERNIYSHFWDDFN IKSYFQNLYDNHNNGKITISSKSSTNLAALPKRKRALSTASLISSNGQTSTNKNYHTL VKGNYEFPFSAIIPGSLVESVEGLPNAAVTYALEATIERPKQPDLICKKHLRVIRTLA IDAVELSETVSVDNSWPEKVDYTISIPTKAIAIGSSTMINILIVPVLKGLKLGPVRIS LMESSQYCGSYGGVINQERIVAKLKLKDPLKHVAQMKKKRSLNEVNDEGIDIDTGEFQ DKWEVRALLNIPASLTKCSQDCRILSNIKVRHKIKFTISLLNPDGHISELRAALPVQL FISPFVPVNVKTSDVIERTLKTFGPSYQITSQHDNSFSNKSFVDDSEEDVIFQRSVSA LQLSSMPTIVSGSTLNINSTDAEASATTDATMVTSLMVPPNYGNHVYDRVYGEITNQD ETSTSPSSAAIESQATHDIQNLCISDSNNSNNPILAPNPQIKIENDSLNNCDSRGDSF NNSSLSLVNNNLTVSDSWNSNSISTNRYNNTINAGLNSPSLTPSFAHLSRRNSYSRQT SSTSLKNDLELTDLSRVPSYDKAMKSDMIGEDLPPAYPEEELDVQENRKIKLERPQIL HYKSTSSLLPLPGSSKSSNSVKRSSSRTHLSHSPLPRNNSGSSISLQQLTRNNTDSSF NLNLSFTSTKNGVGSRNFPFNMTPSLASANSKNNSYFDKTESTSNVNKPREEENHTGS THNRRSRSSSVRSNNSNSPLRQGTGSFANLMEMFTKRDRS SPAR_O01640 MVPVCPDYDLQRSYRSLTFNVSGQNFKETNGQNLKKLSKEKDTF QPSVAFDTVPTTAGYSSIDDSREGFKGVPVPSYYTMEECYDDETDSFSPNLQYYLRDT FQSSPSLKTRKENNSESNGFPARSSKIMEKNADIRNFFLVSKNGKIVRRDYPSTPVIA NETLMINRFEENWIKSWRQRKSQINERLNDRKRWFTYPELIFSEERIRPLYRGDDTAP CTKEQKRKHKIFQQKIGYPNNPKTIVCYINGKKHTWVALDWTVCKFARDLDHIVVITT LPKLISKRKKTSEDDTEWAPGYQKEVINQKLNDIFNYILQLVKVVKISVKITLEIVIG KIKKSLVDVINVHTPDFLVLATLKHERNENLITYRSKKLTDVFPVSYPIPTFVVPSKR MYSFELNLQKQVNEQVDKNNHTKNEHTDGDSMSSSMLKKNTLSDISSHISLDSYAEDF KRQGYIKKHLNASEDSIPRKLIDVAQHSRTRITGDIKKLQNVEKDRKSTKEKILLTKI DIVIKESLKSSLAIETLPGKKLSRSSHTDQIASFKNALIGNESKGTRFRKSLIPYSSS EEQDITTTIKPSSSPTSQIKFATSVKHRDGRAALGKARNLPDIRHSISFEKENSFDPS DKSSSVDNSIPLRKVKSAGTLRKIKTNDSSSSAGSRKSSSSFSTVNTFTGGGVGIFKV FKSGNSTGNKSSSRRNSSSADVLENDDRNGKKKKKKKKKKKKSLFSFGKI SPAR_O01650 MSTLLKSAKSIVPLMDRVLVQRIKAQAKTASGLYLPEKNVEKLN QAEVVAVGPGFTDANGNKVVPQVKVGDQVLIPQFGGSTIKLGNDDEVILFRDAEILAK IAKD SPAR_O01660 MGAAYKVFGKTVQPHVLAISTFVATAAVTSYFTMKPKTKNEYKS SSPLDQPKNGENSNSNAEGKDDDVIKSIEGFLNDLEKDTKLDTKAN SPAR_O01670 MKYIIEHMEEGFSEWVILEYSQILREVGAENLILSSLPERTTEK DIPQKLLKLGLRWTTKDLKGINEDFKDLELLKDGRVCLLDPRAKIDLQPEDAAKFDYF VFGGILGDHPPRDRTKELKTTYPNLLISRRLGDKQMTTDTAIRTTQLIIEDGIEFEDI KFIDYPEFRFNKNEATEMPFRYVLDKEGKPILPEGMLDLIKKDSAQSLDDLLI SPAR_O01680 MLRFTHRGLRSSTRFRNLFLRLNHTYVPWFYAIDVPNSKPYLPI YKTLQSPKKFKPFSIDDSNRLEKASKRQERRPVLVNEDYLFKVDLSHMELSPTYWEGP TYQVRRGVWFDSSNQPLPSDLTLEIEGLYKRLKFDGRIGDPTTAPPAELQDIFRFKGK YPVDKENEEKQKKGSIDEDEHESTFKFILFANEQTAFLLSDLDGGKLQLAFLRSNLAQ SLPINATMITRSYKNASSATTKHTSTSFKSGKVPQEAVADGSNSPKSKTIETKLEKKV SNLFNLSDFLQLFNGNSNKDQDDSQSLEKQMETDYNNADNSQGANASSKIKNGKNSDA SDGQTRSNRRDVNNLVLCVHGIGQTLGKKYEYVNFAHTVNLLRSNMKRIYNNSEKLQS LNTAPDHKSNCNVQVLPITWRHSISFQTDAKEENIENPELPTLSQVTVNGVLPLRKLL ADGLLDILLYVEPYYQDMILQQVTSQLNKTFRIFKEFNPDFEGKVHLVGHSLGSMILF DILSKQKKYELEFQVDNLFFIGSPIGLLKLIQRTKIGDRPEFPNDLERKLAVQRPQCK DVYNLYHVCDPISYRMEPLVSKEMAHYEQTYLPHCSEAYGLTSKVLEFGENIWKDLPG TDENYSQSKKNSSEKTKVKLSENLTKMLTNLNYTGRLDYAMSPNLLEVDFISAVKSHV SYFEEPDIAAFILKEILINHENASEIYVKIKTN SPAR_O01690 MMSPAQDKLHHQHHHHNPSSSSSKMANVYQVTTPKSPQDLDNNM DEPFKMGTATGNADKDSENTQRLKYECAKGEILNVLNLHIMLNHKHIGHLRRNVQRVN AKLALLETLHNDTSLLNKIERTYQLKIKQQQQHSALSGHPHYSMGMENTNASDYNLSY PALSDYNINCQPLSSSSNSNMSTTRIPHHHYHTRSKSNGLLLEPSALRPANSNIIDYR LTGSKSLSEAITKPTLVSLPHTKSDGTSSPRSSSISPLDEQPGFQILPFKPSQMHLNH RRNYSSTCLTSNSGIIGKTEDNEPIFRRYDGILVIITCSKCDRSGFTSAQGIVNHTRL KHSKLYSSQPLAVLNNQKLLPNDKQDPEILSKFEKLKLNPNKDYLPSDIAIPKPQSPI NPTENHTRVQKTIKDTPHLEKLYENKDDFRKLIDMVNETPNDLNEFLKQREIQLRQQK EQEEESSKSDDEASYVPSPSLSATTTTPTTTDPPSPPVLSSSLQRKLLRKRKLGLNST APIEDLPLRERLRAHPTDKKPRKVALLTNELEGPATVAKSSSYYNLRSKSRLRGSHT SPAR_O01700 MTSASPSPPASRSGSMCSDLSSSLQTEKLAHITNLNADDELLRL VTKQLGRSRRIACLTGAGISCNAGIPDFRSSDGLYDLVKRDSSQYWSIKSGREMFDIS LFRDDFKISIFAKFMERLYSNVQLAKPTKTHKFIAHLKDRNKLLRCYTQNIDGLEESI GLTMSNRKLPLTSFSSHWKNLDVVQLHGDLKTLSCTKCFQTFSWSRYWSRCLRRGELP LCPDCEALINKRMNEGKRTLGSNVGILRPNIVLYGENHPSCEIITQGLNLDIIRGNPD LLIIMGTSLKVDGVKQLVKKLSKRIHDRGGLIILVNKTPIGDSPWHGIIDYQIHSDCD NWVTFLESQIPDFFKTQDQIRKLRQLKREASDLRKQMKAQKDSIGTPPTTPLRGVEQI DTQGNNELNTKIKSLNTIKRKILSPENSSEEDEEEKKLDTRKRAKIRPTFDNNQAS SPAR_O01710 MQIVEIEQAPKDYISDIKIISSRSLLLITSWDGSLTVYKFDNQG KNVKLLQSLRYKHPLLSCNFINNPDLQIYVGTVQGEILKVDLIGMPSFQALTSNEANL GICRICKYGDDKLIAASWDGLIEVIDPRNYLDEVTPVKNLNSNNTKVKNKVFTMDTNS SRLIVGMNNSQVRWFHLPLCEDDNGTVEESGLKYQIRDVALLPQDQEGYACSSIDGRV AVEFFNDQGNSDSSSKRFAFRCHRLNLKDTNLAYPVNSIEFSPHSEFLYTAGSDGIIS CWNLQTRKKIKNFAKFNENSVVKIACSDNVLCLATSDDTFKTNAAIDRTIELDASSLY IIFDYES SPAR_O01720 MSLTADEYKQQGNAAFTAKDYNKAIELFTKAIEVSEAPNHVLYS NRSACYTSLKKFSDALSDANECVKINPSWSKGYNRLGAAHLGLGDLDEAESNYKKALE LDASNKAAKEGLDQVHRTQQTRQAQPDLGLTQLFADPNLIENLKKNPKTSEMMKDPQL VAKLIGYKQNPQAIGQDLFTDPRLMTIMATLMGVDLNMDDINQSNSMPKEPEASKGAE QKEDAKPQSDSTTKKEHSSKAPQKEEGKESEPMEVDEDDSKTEADKEKAEGNKFYKTR QFDEAIEHYNKAWELHKDITYLNNRAAAEYEKGEYETAIATLNDAVEQGREMRADYKV ISKSFARIGNAYHKLGDLKKTIEYYQKSLTEHRTADILTKLRNAEKELKKAEAEAYVN PEKAEEARLEGKEYFTKSDWPNAVKAYTEMIKRAPEDARGYSNRAAALAKLMSFPEAI ADCNKAIEKDPNFVRAYIRKATAQIAVKEYAAALETLDEARTKDAEVNKGSSAKEIDQ LYYKASQQRFQPDTGNETPEETYQRAMKDPEVAAIMQDPVMQSILQQAQQNPAALQEH MKNPEVFKKIQTLIAAGIIRTGR SPAR_O01730 MLMQIKMDNHPLNFQPILVSHPMTRDSTKPKKMTDKTFIPNPPV GFIKEENKADLHTISVVTSNVTLPQIQLPKIATLEEPGYQSRTDSFTDLSARRNSVNI GALCDDMPNTAGPHIPRPVTMNNLIPPPLPRLNTYQLRPQLPDAHLNCHFNSNQYTTA SQAPLESAYTTASTFTNQPAASYFASNSTPTTRKNSATTNPLYEERRRLSVSLSEQVF NEGERYNNDGQLIGRTGKPLRNTKRAAQNRSAQKAFRQRREKYIKNLEEKSKLFDGLM KENSELKKMIESLKSKLKE SPAR_O01740 MNIRLHFYYLLILIYTLGLVGAYEKAARKRIQPPDLIPGPPGHK PGDERPPHYDHRPHSYKKHINNIPAYNLTDLIDDKILNKYGNSCRASVLTSGFISLAS NSWHLRTYNYTLNYPSFLIRCDNGSDFSHILQDFVYDISNKFNIQDDSSKYIGKDPFP LGMIMITFASGCICVATWMLFLVVLLLPSDNHNRRNKVVHIYVLFSAIIKTVFLNETI AVIFDSQYHDDYQDASQFESSIVETAPYKICELVTNILSDINWIYIVHYLQSNYGKPT WNWIPFKMKKGSHFIIIVGCLLSLVDNILFGNLLWRRNIVVLKVFFKVIDLLIYTIFI SIICYFTWHNFAYILLPRTAETNTDGKCKTQLRILWENYHETIPLLAYNMIIFILFYF TTIFFTAFTKHVRGWTFNFVHFLRVLITVNVWGLIGVLEKRELHISKKTVLGRKINNR DKFFANPTVNYYGDDLGKHVSTITLNRELNPTQSKATSHGSSSLVASPLPIWKSPIER IRERRRRHKIMKNENKLEQSLGFGSKSNFKPNTKTAFSKYRQLLRKPKRKANSHGPKP EIGPNKKSSVVRPGAANHTGDSNYLATDFSDNESMETELRTNHIYNYESSE SPAR_O01750 MPNFQKPFSGSSDGNPVMNDLGNKVAIKVFDCRSAQDGNEEQNV NVTTNQMYLMFQSNNYNVPPPNYHADDLGSQVPPTHSYYAPFQPPAHLQPPMPPIYKN NAYPVTDQYSDSSFPNTSGHASVIDGNYYNDALASIPTTTTGSTTITTDNGNTIDSEE YIDNMEVFSNEDNENIDNVKQIELKSEKGSNLLSAASIVKKEQLSGFENLLPLSRTES GLVTADEIKSSLDLENLDDDNTDSNDNDRVCGDGLSFKLKNSPMRKHFHLNPKRITRV RTGRVSHNIIEKKYRSNINDKIEQLRRTVPTLRVAYKKCNDLPITSRDLVDLDGLEPA TKLNKASILTKSLEYICHLERKCLQLSLANQHLSNDTRDSFVQLSEPSQPLSDNSSSE QVQRQNRNYQRQRQRHQRQQQPLHNIQYNIPHQNGLMSGTNNNSHDMDFNNAGDF SPAR_O01760 MGIQGLLPQLKPIQNPVSLRRYEGEVLAIDGYAWLHRAACSCAY ELATGKPTDKYLQFFIKRFSLLKTFKVEPYLVFDGDAIPVKKSTESKRKDKRQENKAI AERLWACGEKKNAMDYFQKCVDITPEMAKCIICYCKLNGIRYIVAPFEADSQMVYLEQ KNIVQGIISEDSDLLVFGCRRLITKLNDYGECLEICRDNFIKLPKKFPLGLLTNEEII TMVCLSGCDYTNGIPKVGLITAMKLVRRFNTIERIILSMQREGKLIIPETYINEYEAA VLAFKFQRVFCPIRKKIVSLNEIPLYLKDTESKRKKLYECIGLVIHREAQKKQIVHFD DDIDHHLHLKIAQGDLNPYDFHQPLANREHKLQLASKSNLEFRKTNTSSSEVKIKPIE SFFQKMTKSDHTPKVANNIHSLRQVEDKLTKAIKRRKLSNANAVQETLKDTKSKFFNK PSVAIVEDFNEKPNYSEKFKEDTNSQSLAESISESQLSTQIPSSFVTTNLEDDDNLSE EVSEVVSDIEEDRENFDKKTIRNEIRSTDDDDDGDTSEDYSETAEQMIPTSSTSSLPE SSQRSISGCTKVLQRFRYSSSFSGVNANRQPLFPRHVNQKSKGMVYVNQNKDDDYDNN DDKNQVVQRPSLRKSITGAKSQRIVISMKSVDEQKSFNSSPVLHKESKKRDVETTRSS QARPAVRSISLLSQFVYKGK SPAR_O01770 MDNMPISHDENMKKTSNGTSAVVDVAQHAASDSDNNKAQLLGIE SDTEFVIDIFIEAAKDGNLKLVKDVVESGAVDINNDCIDELPGLHWACINNRFSVVKF LLLRGANPNQTVGSERATALHWAARYGNVYIVDLLLKHGADPTLKDGQGLNIMHFSVY SSNILLVVYVLYFVVNNSNSVDIDSKDNNNRTPLLWAAYQGDFLTVELLLKFGATVAL TDNRGFNALHCALVGGDQRVICDLILSGANFNERNNQKQDCFDLAEGMGTKSLFEQAL QHHGYDRLGNQKDKLFKKSSYAQFTTFLSPFLLMVYIYLISLVLSPVLAIMLSLLVVV VTVNTLKKFILPSFPRKNTYRVSLTRTPFFSGLFLSTFCFLIYIWTEKLYPYSVSDYT MKNVQFLVTSFFTIILFLKLVRSDPGCVKTDDSLTSIQETIKQLIHLGKFDRENFCVE TLERKPLRSRYSFFSGALVARYDHYCPWIYNDIGLKNHKLFLFFALTVQYHMFLFMWL CLAYFKKTNYIYEQVDEYARCALLKNETLCKGSNYDPSTFFLFIWVSVNFMWLGAMLI VQCFQVLKGITTPELFTLIREERRAKIVSLIPFENSMCSSTSSRDYDMMPEGPTGTTV THTISIDSLEPRNKRRAILSACFSMIGINQWFVTIKEMLGITHFLHGQVQQQHHSSLL RSFLVTNHWKTNLTDFWLNSDVTAPLWQRLFYSSDTSKAMLGGIEVDYYELYEYPARE GEVIRSN SPAR_O01780 MPLFEKEDNPIDTFTIDSLCAAFDKTLKSTPDVQKYNDAVDAIF QLRQNSESGRIPADVTNSEAFGDRQKIEEILTRSYQDHSGSRVHLSKLVQEDIPFALN LFEILSRSSVHVFVGCFSNKEATIALLNELQMRIHYGEDVHVTYLLSIILQLLNKFKY SFKEVRFLVKELILRISEDEVKSMMLIIFAELQSSFQKDFDKVVVDFMSSLIVEAEID VGNDPLSIIVKTLSELYPSLTALCSEIFLTNGLNKLFKKRVFEEQDLQFTKELLQLLS AACIDETMRVYITENYLQLLETSLNVEDIQIYSALVLVKTWSFTKLTSVSLTQLSEIF ISAISRYVMPKIENVDEGAVKPDEVPKVDMSVEALAYLSLKPSVKNMIRNKDSFIKIL LNMIKSQKMMHFLYGLLVILANLTTLPEEVNGSSQSINDLKNYADLKGPSAGKVGAEK ESKEDIVLFNEKYVLGTELISSLKSGMHNLSPNCKQQVVRVIYNITRSKNFISQCILQ GGTTIILEYLANKQDIGEPIRILGCRALTRMLIFTNPGLIFKKYSALNAIPFLFELLP RSTPLDDNPLHNDEQIKLTDNYEALLALTNLASSETSDGEEVCKHIVSTKDYWSTIEN LMLDENVPLQRSTLELISNMMSHPLTIAAKFFNLENPQSLRNFNILVKLLQLSDVESQ RAVAAIFANIATTIPLIAKELLTKKELIENAVQVFTDQIDDIELRQRFLMLFFGLFEV IPDNGTNETYPLLQQNQKLKNALNMSLERGDSGPEFSAAIPVILAKIKV SPAR_O01790 MSEDEFFGGDNEVVWNGSRFSDSPEFQTLKEEVAAELFEINGQI STLQQFTTTLKSFIDRGDVSAKVVERINKRSVAKIEEIGGLIKKVNTSVKKMDAIEEA SLDKTQIIAREKLVRDISYSFQEFQGIQRQFTDVMKQVNERARESLEATEMANDAALI EEEQGQNSSISTRIPSSQIVIERDPINNEEFAYQQNLIRQRDQEINNIERGITELNEV FKDLGSVVQQQGVLVDNIEANIYTTSDNTQLASDELRKAMRYQKRTSRWRVYLLIVLL VMLLFIFLIMKL SPAR_O01800 MLWKNYALSGSRITHRLHKLPRKSSFSKKFFTTSCLLTAGVVGS YLSYRYSSHRGNKYELSPSYFVKYKISHKQDIDSSHFLVEVTPLIKQKVNIWSLMTAE NLWSVEVKQPEVMVVRSYTPLPLSFNPASREIEILKDGDNAGGKLSFYIKKYENGEVA RWLYHLPKGHVIEIRGPFVDYEFPHLPNELKRSRECLYMNNCNEKDYIDKEDSKFVYQ PYDIMMFTAGTGIVTALQLLLTESPFRGNMKLFHTNENVKQLGPLYPILLRLQASKRV QLQIFETDRQTKQEILKNIQKLITKPSPYKGLLPFSNVTDEDFKPVLALVCGPESYIS SISGRKYDLNQGPVRGLLSKKGWDSDNVYKLS SPAR_O01810 MRLLKYPLDIHNEQVNALAALGPYIILAGSEGHVMAWKQQQLVD TAFDRVMIKDLKPEISFQVDQDTTGDIFFLTGDLETLYIGSEHRLRGYSGWLCRDTTN INSVEKMESKLLFECKPPSTITDVKYDINLGILFVLLSNENKILLFRHKSFDKLSEIP IDKASKPITGIVDPTGQTFTIMTSDRSILVYQVNKTGTHKLINKLTQHVQMYPLHYRI SMSPQADVLPVINSVKGVPNNATSCTTLLDRNNNYKVSKTLVTPSSNGCRVLVYSPAF YEKPNLKKGTSTRYNLIATSGSTDGTILVWNTKRMKPLFNALQVSSTAINDMSWSQDG FTLFAISNDATLYTFAFQEKDLGVALPQKEIKLLQEINKKLPKLEEPLTEQIPRNSPE NVKLEESSSTASIPNDVARSTAGRKLSKKKTANNQTNGIKTIQSTSMEFNAPSYTVPR DLKRKPKEVTPSNIASNSKKQKRELQPIDFLDTGLLLPNTSFSRVRLATPKIRSTFKY SPINNPNLILDVKNGSGNEQRPTIVKLTSKVLDQDQVLFQDFIPKLITICTAGDTFWS FCSEDGSIYIYSDSGRKLMAPLLLGVSISFLEACGNYLLCLTSIGELYCWNIEQKKLA FPTNTIYPLLNPSLRYSDDILTRAENITLCSITKKGVPLVTLSNGDGYLFDKNMETWL LVSDGWWAYGSQYWDTTNTTGLSSSKANTNTFNGNESNINEIVSDIKNDNQSVINFLE RKTNDELNRKGRIKNLQRFARTILMKEGFENMEEIVTLSHLENKILISIRLEESEEFS KLMMVYCIRLSELGYMERLDDVFQWLYDDVPVSGTGSTFAEKDFMRNLLKKILIACGD IRQVQRVTTRYAKEMNIIS SPAR_O01820 MGSRSENVGTVDRESSRVEQDDVLMDDDSDSSEYVDMWIDLFLG RKGHEYFCDVDPEYITDRFNLMNLQKTVSKFSYVVQYIVDDLDDSILENMTHARLEQL ESDSRKLYGLIHARYIITIKGLQKMYAKYKEADFGRCPRVYCNLQQLLPVGLHDIPGI DCVKLYCPSCEDLYIPKSSRHSSIDGAYFGTSFPGMFLQAFPDMVPKHPTKRYVPKIF GFELHKQAQLTRWQELQRLKLVKKLESKDVDLTKSGGFKT SPAR_O01830 MKFLLQHIRNMHVKPIKMRWLTGGVNYSYLLSTEDRRNAWLIDP AEPLEVSPELSGEEKEGIDAIVNTHHHYDHSGGNLALHSILCQGNTGREIKIIGGSEA SPGVTEIPEHLQQYHLGNLIVTCIRTPCHTKDSICYHVEDLEKGEQCIFTGDTLFIAG CGRFFEGTGGDMDTALNRIILRTVGEANWNKVKTYPGHEYTSGNARFIRAKIYPGIGE NKKFDALEQYCKSNECTTGHFTLGDELGYNPFMRLDDLAVRAAVGDTAGVYPRAAVMQ ELRKLKNTM SPAR_O01840 MEEKEDIKDSSLLDKSNVPESTNEDISKTTDVDLNSDEKIENDI SVKSSTSKVEENVTKSSGNDEDTVVAPAGDAKEEEEEHPPLPARKKPEEDPSKENPIL QELKDAFPNLEEKYIKAVIIASQGVLGPAFNALLFLSDPESGKDIELPTQPVRRIPEA PARRRQTQLEQDELLARQLDEQFNNSHSRRRNRDRAPKGMHEQRLKDRQRRLHNPNER EEHQEDSEEEEDSWSQFVEKDLPELTDRAGRSLQDTANKVSSWISDAYRRNFAPGSEQ TDNQYGPQDQQEEWEPEIVDLSQGGKKPRPQQPERKRFNSFGVQVGDDSLESHGITLH NEDGFEDDEDVPPQLPTRTKSGESAGKVVAETTYIDTPDTETKKKWQPLPPEPLDTTP TKANAVSRNKKNPDEDEFLINSDDEM SPAR_O01850 MDDIITQVSPDNAESAPILQEQQQQQNAQYEGNEEDYGDSLIHL NIQENHYFITRDQLMSLPESLLLCLFPSGVFLDRCGQVITNLTRDDEVYIVNFPPDCF EYIMEIYTKAHDDLPSSSFVSNAKGFFGLSSNNSISSNNEQDILHQKPAIIVLREDLD YYCVPQEEFQFDSTNEENNEDLLRHFMAQVKMAAGSYLTSKTSIFQGLYSSNRLKQQQ QQQRIEKEANSSSKAKSTSKKLGPAEQHLMDMLCSSGFTKETCWGNRTQETGKTVISS LSLCRLANETTEGFRQKFSEAKAKWEAEHKPSQDTFITPMQSNISVNSLSASKSNSTI STARNFTSGSTVPATARDKRKSRLSKLADNVRSHSSSRHSSQTRSKPPELPKLYDLVP KPNINAKLLLFWRKPARKCWWGEEDIELEVEVFGSWKDESKKIIELVLPTNVDPEAEL HKIIVPVRLHIRRVWTLELSVIGVQ SPAR_O01860 MIEALEIVLLLVIQSLQYICRTCIAFLLIPFLGLYAFDLFLYIY RMTLYLSQMFNYKRKLGRSKRISRPHSPRLHKRYNSGDCMDTVIGQIRDLRIFLLSAI HSHSKRFFSTTLHTKSAINTAVDTTDVETTSDVSSFTNLHLTRSSEEGYYIAGSI SPAR_O01870 MNGMFAMPGAGAGAASPQQPKSRFQTFKESPLYTIALNGAFFIA GVAFIQSPLMDMLAPQL SPAR_O01880 MSDTKRDPADLLASLKIDNEKEGTSSNEVSTKETDKAQPENAPD PTKPEEKLVPKAEDKKSKEKDSNLISSEYEVKVKLADIQADPNSPLYSAKSFDELGLA PELLKGIYAMKFQKPSKIQERALPLLLHNPPRNMIAQSQSGTGKTAAFSLTMLTRVNP EDASPQAICLAPSRELARQTLEVVQEMGKFTKITSQLIVPDSFEKNKQINAQVIVGTP GTVLDLMRRKLMQLQKIKIFVLDEADNMLDQQGLGDQCIRVKRFLPKDTQLVLFSATF ADAVRQYAKKIVPNANTLELQTNEVNVDAIKQLYMDCKNEADKFDVLTELYGLMTIGS SIIFVATKKTANVLYGKLKSEGHEVSILHGDLQTQERDRLIDDFREGRSKVLITTNVL ARGIDIPTVSMVVNYDLPTLANGQADPATYIHRIGRTGRFGRKGVAISFVHDKNSFNI LSAIQKYFGDIEMTRVPTDDWDEVEKIVKKVLKD SPAR_O01890 MFVSPPPATARNQVLGKRKSKRHDENSKNVQPSVDIEITKSLPP IGFNNNLPHNNQDINTSNHYSLSSNSGNVRSNNNFVTTPPEYADRARIEIRKRLLPTA GIKPMDVNNATPGNAIIQRITTPDSQSFVSDHSSSYESSIFSHPSTVLTHITTGSSLI DAKTPKFVTEVTLEDALPKTFYDMYSPEVLMSDPANILYNGRPKFTKRELLDWDLNDI RSLLIVEQLRPEWGSQLPTVVTSGINLPQFRLQLLPLRSSDEFIIATLVNSDLYMEAN LDRNFKLTSAKYTVASARKRHEEMTGSNEPIMRLSKPEWRNIIENYLLNVAVEAQCRY DFKQKRSEYKRWKLLNSNLKRPDMPPPSLIPHGFLAHDCANSGSLLKKALIKNLQLKN YKNDAKALGAGTQKNVVNKVSLTSEERAAIWFQCQTQVYQRLGLDWKPDKMS SPAR_O01900 MGVPSFFRWLSRKYPKIISPVLEEQPQVVDGVILPLDYSAPNPN GELDNLYLDMNGIVHPCSHPENKPPPETEDEMLLAVFEYTNRVLNMARPRKVLVMAVD GVAPRAKMNQQRARRFRSARDAQIENEAREEIMRQREEVGEIIDDAVRNKKTWDSNAI TPGTPFMDKLAAALRYWTAFKLATDPGWKNLQVIISDATVPGEGEHKIMNFIRSQRAD PEYNPNTTHCIYGLDADLIFLGLATHEPHFKILREDVFAQDNRKRNNLKDTINMTEEE KQFLQKQNSEQPFLWLHINVLREYLSAELWVPGLPFTFDLERAIDDWVFMCFFCGNDF LPHLPCLDVRENSIDILLDIWKVVLPKLKTYMTCDGVLNLPSVETLLQHLGSREGDIF KTRHIQEARKKEAFERRKAQKNMSKGQDRHPIVATEQLQMYDTRGNLAKGSWNLTTSD MVRLKKELMLANEGNEEAIAKVKEQSDKNNELMKDVSKEEIDDAVSKANKTNFNLAEV MKQKLINKKHRLEKDNEEEEEIAKDNKKIKTEKAESECDLDTEIKDEILADVNDRENS ETTEISRDSSIRSTTIVSEGPKNGVFDTDEFVKLFEPGYHERYYTAKFHVTPQDIEQL RKDMVKCYIEGVAWVLMYYYQGCASWNWFYPYHYAPLATDFHGFSHLEIKFEEGTPFL PYEQLMSVLPAASGHTLPKIFRSLMSEPDSEIIDFYPEEFPIDMNGKKMSWQGIALLP FIDQDRLLTAVRAQYPLLSDAERARNIRGEPVLLISNKNANYERFSKKLYSKDNNNNH VIVKFQHFKSGLSGIVSKDVEGFELNGKMVCPIQGGSLPDLSTSLILKMSYRLIPLPS RNKSIILNGFIPSESVLTAYDLDSIMYKYNNQNYSRRWNFGNDLKQNIVPVGPKGITQ YKPRTGGYRAFFYFAELSRNNTQPAYNYGRNSYNTQSGFNNSRYDGGNNNYRQNSNYR INNYSGNRNGGQYGGNSYSRNNKQSRYDNSRTNKR SPAR_O01910 MSNATNDTLGSLLPQLEAAADSNSLYGGMVPNLRFNITMIVIWG ILLAIHVVQLLLRQYWFSVAFICTGILEVLGYIGRTWSHSNVDNMDAFLLNMICLTIA PVFTMGGIYYQLAKLIEVYGHRFSLLPSPMAYSFIFICSDIISLVVQAVGGGLCGVAV TDGTSTTTGNHVFIAGLAIQVASMAIFLMLWFHFLFRIYISVRWEHVNSKPISLSLLK ISQTEVDYLYREKFHFLRLEPKRWVFHYFNLAMTVAVLTIFTRCCYRLAELVVGWDGY LITHEWYFIILDALMMAIATVTLTIFHPGFAFKGKSTSIPITPGHVDPETLPHADDVE DILDTSDSKQFDIEKEEFQASMKYPISTFKQFISKMTNLFSSKKKAKL SPAR_O01920 MAKRPLGLGKQSREKKRKVESGEKKNNEPSRESTPVRSQMSVEL DDDADLDDELTQLKGLWSKYFHSDRDDEYVLNGIVHECDRLLRLSEEDSEIKKTLNDV FHGIYALALSELTIFKAGDEEATEEKRRKDVSSFFESAIERIELGLSHFPESQFLKLV LAKIIFQRIPLEYISNLDLKSKDKELDLVEQLEHGKKHFLIYENDNEFTFEVLQMVND LLDIVENFGREQSIQEGIDSDNEEEEELVDIELEPEHPVYPLQKSLEDNYEWLRNHFD KLLDSTNTDMKIYPSIANTLGELYLKKAEEPSKIFLSLQYDDDGSKKVSDKEAKNAQK TALKHTKKALEYLEKAKLEDDPDTWVQVAEAYIDLGNLLDNETAEQEDAYKTAEEILG KANKASHGKFQDVLDNFLQS SPAR_O01930 MSDINEIEIPSRKDEIRQVTPKDTMHEIEDKSTYHAKIKKSDSG TVLGAIPLNSRSSSNSSVTSTGQSSRRVTKKTTKKKKKNACYFDTCSSAASKFIGDCN FCKGHFCSKHRLMENHACNGLTSCKEQLHQRNADKLEAEQTKAPKIQI SPAR_O01940 MTTKSSLKNDRKGVSPNALPGAEQANMGSSAMPDTNSTGPFSSV SSLDTPIIRKSTSPTGSQTKSIMNASGTSGAVVSNTPEPGLKRIPTVTFSDPKLGGPR PDVEQTPPNQVARQSSEKKATSVHVPAESTNQGRNLKDINTKVVKDGEASASSFSTPT SILSNVDMGNNISSLLAKKLSFGGGTDSILNSDNSSDSPRKEHPHFYVEDPLHTPSVR SRSNSTSPRPSVVVNTFNPINIEREGSISKTGEPNLLESVLEEAMSPNAVSNPLKREN IMTNMDPRLPQDDGKLHVLFGATGSLSVFKLKHMIRKLEEIYGRDKISIQVILTNSAT KFFAMKYMRKNKKQHNNMGTSFSSTNSNAGNLTNNKKNAASLEKFSIPKTPSNSAAPS QTNIKSEEETQMASTTGFPSTLSGSRTFSNSSNTASQHPQIELPAHIQFWTDQDEWDV WQQRTDPVLHIELRRWADILVVAPLTANTLAKIALGLCDNFLTSVIRAWNPTFPIFLA PSMGSGTFNSIMTKKHFRIIQEEMPWVTVFKPSEKVMGINGDIGLSGMMDANEIVGRI VNKLGGYPDVSAGKEEEEEDEDNDDEDDNKKNNTNENDEDDDDDDDDDDDDDDDDDDD DDDDDEDDEDDEDEEDDEDEEVEGKKNDDKIVLQRS SPAR_O01950 MAENQTAHVRALVLDATPLITQSYTHYQNYAQSFYTTPTVFQEI KDAQARKNLEIWQSLGTLKLVHPNETSISKVSTFAKLTGDYSVLSANDLHILALTYEL EIKLNNGDWRLRKKPGDALDAAKADDGTEGKQMSTEDNKKEGDSEKVPKKKNKRRGGK KQKAKREAREAENSSLAESKSDANLELDSKAEEHVEEAGIKDQICNNEKIKEPSDLNE EYEDADDDGDWITPDNLTEAMIKDSGEDTTGSLGVEASEEDCHVALTQPENQVALATG DFAVQNVALQMNLNLMNFMSGLKIKRIRNYMLRCHACFKIFPLPKDGKPKHFCASCGG QGTLLRCAVSVDSRTGKVTPHLKSNFQWNNRGNRYSVASPLSKNSQKRYGKKGHVHSK PQENVILREDQKEYEKVIKQEEWTRRHNEKILNNWIGGGSADNYISPFAITGLKQHNV RIGKGRYVNSSKRRS SPAR_O01960 MPVEKDLKTAYKTLYDDKEPLKALHLYDEILKDSPKNLTALIFK AACLEKLYFGFSDWHSDVTMQNAKELLDKALVTAEGRGDRSKIALVNFRYFVHFFNVK DYERAQSYFKKAKNLGYDDDTLPLWEIRLETKLSKRSKKQRDSTMKHIISSVESTEDK REKNPSHSPISSFKNETGTQEFPKFRVDWYQSSACVTISLFTVNLPESKEQVNIHISS KDRRTLLISYQVPKAGSEFQYNTKLTHEVDPKVVSLNIFQKKLEITLRKIDNMQWKAL EEGMSTEASRSSEMNIDSDSPTCPSLAETTSKERLTYPSSSKKKIDWSKLDIDEEADE EAGSADSFFQKLYAGADPDTKRAMMKSFIESNGTSLSTDWEDVSKGTVKTSPPAGMES KHW SPAR_O01970 METATSSPLPTQSRRNSENSGSTTFMPHMNPSLATPLTVSTMVN QSNSKEFMKLTPVRIRDFGSPLKNVSTNHHFLDSENGKGNTMDNMYKENFILISKELE KLLENLNVIYQNIGYSNTEIINKEKIIFTTISDSIKQFFEQADEELKRLSVENEIEQN ILNNILERINDPSGIKTIPDLYIRNAILLQKSKTVPQSPKKPLSLLSKKAALDTAKNF VLGSFLPRLHDYLKSLITLKHLVQSVKEDLPGLTEADSEAISAFPELDILTTYLSQIE NSRDDIGLSMKFITDNRKDILKGSAFKTINKESVKHMDEVIKIYEEEYERRFKSILTK KDSILSICEQLETPLATLIDENFEQNLKSYGEEKNSKSKLPNFHPVDRERMRKIDTTL KKLQAIHEERVDKKKLLMEQCKKLWIRLRISQEYTKTFMLNNSSLSTETLDRISEELM RLEVMKKKLIKKLISDSWDKIKELWHTLQYSEESRSKFITVFEEQRRNATTLQEDELL LETCENELKKLEDKLTLYKPILKLISEFESLQEDQEFLERSSKDSSRLLSRNSHKILL TEEKMRKRITRHFPRVINDLRTKLEEAAGLFDQPFLLKGRPLSEAIDIQQQEIEAKYP RCRVKMQRSKKGKSGTGKENKVIKTTSKAAQSSIRVPIGLDFNDINMTYKTPSKKTRQ GLPKSGLSRENSLARHMQGTTRLSSPKRRGTRLLAPTVISRNSKGNIERPTLNKYSSS DFSSSPMINHTHSEHAVKPRQLFPIPLNKVDTKGSHIPQLTKEKALELVKRSIGTIGK ENIRSPQRKSSLEDYAQKLSSPYKEPEHSIYNLSMSPEGKFQLNIQQKDLESGFDDTS MMEDENDKDFITWKNEQVSKLNAFSITDI SPAR_O01980 MTSDKHLFVLIHGLWGNYTHMESMRTILSATLKKENVRDDMIYF LPKQNAMFKTFDGIEIIGYRTLIEVCEFIRDYKDGRITKMSVMGYSQGGLVARFMIGK MLTEFKELFEDIEPQLFITMATPHLGVEFYNPTGIAYKSVLYSALRTLGSTILGKSGR EMFIANSSNDILVKLSQGEYLEALSLFKWRIAFANVKNDRTVAFYTAFITDCDPFIDF DNKLKYTFEEKIPGSGYKGILPKVVDLNALNINSHAPAKPTKTYKKWGRTILIILVAT FLIFPIALVMNGLGTAYSYIVTCKYRKMLSNGILHNEVRGRLGLTEQLKGYVTDAYGS IINSALDMDANYEASNTSLVNEEELPWKEFIQKYSNINDGVWKSKFKKLPFDENRKVI LGNLNRLKWIRVPIYIKAVNAHGVIVARRGLDENTAATGIACVEFTAQLLAYLMQKSN SPAR_O01990 MSQKLCTLKFTLSGKQGSLVIRDVQLWSNRPMASKSTPEWRGQF IQYVDLGKLPLWIRTKDMNTYRCYSTSATAQAYFKSKLRNANRGIVIELADKIDQRSR EPAYLIIFRENTELNCFQVDLTMKHEFDSQVSKMKQEIGKTRPSVSKEGSIDIIIQQS QQRKIGTKTKVYRNVQINDKRLQFNETLSKLILGGLRLRGIPNSTTEFQKLYKVTFDA AEFTHRDELRRISMGSGEEVSFESLQETVEALLKLFTKS SPAR_O02000 MPLPPSTLNQKSNRVYSVARVYKNACEGRPQEYWDYEQGVTIDW GKISNYEIINKIGRGKYSEVFSGRCIVNNQKCVIKVLKPVKMKKIYRELKILTNLTGG PNVVGLYDIVQDADSKIPALIFEEIKNVDFRTLYPTFKLPDIQYYFTQLLIALDYCHS MGIMHRDVKPQNVMIDPTERKLRLIDWGLAEFYHPGVDYNVRVASRYHKGPELLVNLN QYDYSLDLWSVGCMLAAIVFKKEPFFKGSSNPDQLVKIATVLGTKELLGYLGKYGLHL PSEYDNIMRDFTKKSWTHFITSETKLAVPEVVDLIDNLLRYDHQERLTAKEAMEHKFF KTKFE SPAR_O02010 MTSLDDTVLTKKNIALLDNATNYIRPAIDYFHFRWDCDSLDVST TWRLLLKMRKHKLLRLPSCSSENEFDYTIYMARLYHCMWRRWSIKHFNLDDCKINPLN INWNKEIDVTVLYGPDLVGIHEREQPASTDFHVRNIKKQGKQLSDTAKDESGSSPLDK DGVFSLNGKWSSQRSISFNDTVRRRDIDKRGRFRESCVLINDINQFQSYSIVWDENRH RYRRQALPDTYCYDHLYLNGNETPRKMSNDDITIPQNLHSITEGSYIYIK SPAR_O02020 MSHRKYEAPRHGHLGFLPRKRAASIRARVKAFPKDDRSKPVALT SFLGYKAGMTTIVRDLDRPGSKFHKREVVEAVTVVDTPPVVVVGVVGYVETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYSAKYAQDGAGIERELARIKKYASVVRV LVHTQIRKTPLAQKKAHLAEIQLNGGSVSEKVDWAREHFEKTVAVDSVFEQNEMIDAI AVTKGHGFEGVTHRWGTKKLPRKTHRGLRKVACIGAWHPAHVMWSVARAGQRGYHSRT SINHKVYRVGKGEDEANGATSFDRTKKTINPMGGFVHYGEIKNDFIMVKGCIPGNRKR IVTLRKSLYTNTSRKALEEVSLKWIDTASKFGKGRFQTPAEKHAFMGTLKKDL SPAR_O02030 MEHLSNEYSDSDIRYSFLSTLDHLPCELIRSLRLMQTIDLFKNE EDERDLEKASRDMLLVATYMNDLVDDQIYFLTQHRKELEMQKSVIKTFNSSVDNIKSK LMLEEPGVYKGPKLLLKINLKKAKSRERKESITSPKIGTTQSGRTEFNNQEEIYCFCR NVSYGPMVACDNPSCPFEWFHYGCVNLKQVPKGKWYCSEDCKEIAKQRSRLKRQKRRK SPAR_O02040 MFSNLSKRWAQRTLSKSFYSTATGVASKPGKLTQKLVTAGVAVA GITASTLLYADSLTAEAMTAAEHGLHAPAYAWSHNGPFETFDHASIRRGYQVYREVCA ACHSLDRVAWRTLVGVSHTNEEVRNMAEEFEYDDEPDEQGNPKKRPGKLSDYIPGPYP NEQAARAANQGALPPDLSLIVKARHGGCDYIFSLLTGYPDEPPAGVALPPGSNYNPYF PGGSIAMARVLFDDMVEYEDGTPATTSQMAKDVTTFLNWCAEPEHDDRKRLGLKTVII LSSLYLLSIWVKKFKWAGIKTRKFVFNPPKPRK SPAR_O02050 MDKSMIKKRGRPPITKDYPNPLQSPMAHSSMQVQKQGPHSFAKP LMKVGQSSPSPNKRRLSIDHHHNLAATTRKGRYRGVLLSTPTKKSGNNGSTPISTPSS NDSYNNTVFSETRKSFLQSSPPIMTSSPAFQKKNDYVFPSPDQFKLSLTITESGKAVI AGSLPFSPLSKSSHSLMSNNNKMILPNDKIHKNSKKSAPKFEKKRILSLLRQMKNEKD CDALPEVGPAKSSRSDIIDTELPTIIETSASPIGSARNGNAVLTHPPQSPPPSAQLKP PSTPKSSLEFRTGFTPNVALNSVSLNNTLVKSTNATSSGNNNNHNSNSVTNIADANTL LTLTNSPGVFLSPRNKMLPKSTTASSEQQQEFVFKFSSGDPLLLTDDADGNWPEMLFN ISNTPRRQKCFNTPPSWINFGSPGLFSPPRSSNVMVNGATTATTSDTGNVHRQLQAQL EAQVQVQSQTNSPTQRQQQQRQFQMPPPHINMNSSPPQINIASPPQQSMSRRSSIYFN KEKNTPGVANMLGNTKSENLQPPANLFNAAHGPSTPRNQEFQLPTLIECTPLIQQTMN GSLGTKYIPGTSIPNSTTPNLHGFIAENVKTSSSLDESLKHNPYSNKQDDARTALKRL IDDQ SPAR_O02060 MKGDRSRQNMALTKKAKLKKNDEPKKVLKAAATEKGEGSKRYSL WNFWISTLFLKLLLIPDYFSTDFDVHRNWLAITNKLPISEWYYEHTSQWTLDYPPFFA YFEWFLSQFVPKVVRDDGCLDIVEVGKFGLPTIVFQRLTVIFSEILLYVVLQVYINTT KLSERSQSFVVASSIVLSPGFLIIDHIHFQYNGFLFAILIGSIVAAKNKRYILCAFLY TTAICFKHIFLYLAPCYFVFLLRAYVLNVNNFQFKSYKDFLFLIRWANLLKLGSVVVG IFTICFLPFAHQMPQVLSRLFPFSRGLTHAYWAPNFWALYSFVDKILTTIMLRLPYVH TFATKFIKPPLIPQNIKEINERLAANNNGSKGLVEDVFFVILPQILPKLTFILTIFYQ VLAVLPLLFDPSFKRFVGSLTLCGLASFLFGWHVHEKAIMLVIVPFTFLVGFDRRLLV PFMLVASAGYVSLYPLLYKGQDFFIKTLYTYVWCIIYFAAFRKTTKISSSVERRIFFL DRLALTYIFSLLPMVTVLQILDEVKWRYSFLQKFDFLGLMIYSVYCSLGIISSWFALS WLYNFDELLWQ SPAR_O02070 MDYEDNLEAPVWDELNHEEDKTQSVISNSIEPVSQLSTHEKGKE EGRKDELETTASLVNKISLDIAPEWKGTGLSVADNPLLEEHDDSKADALINSLAPEQD PIADLTNSATQLIVTRESGDALFTGNVNSPLVFDDTIYDASTSPNTSRSISGRRFGKP RILFDSAKAQRNSKRNHSLKTKKTTASNNTTKTPFTDPLKKAEKENEFVEEPLDDRND RKESNKEKAAASVEKNILEQVDKPLYNLPKTGANTVSPIKVEENPKMFEKTKTGSKVL PTEKATAFKVEVKDPVKVGELTSIHVEYTVISESALLELKYAQVSRRYRDFRWLYRQL QSNHWGKIIPPPPEKQSVGSFKEDFIENRRFQMESMLKKIGQDPVLQKDRDFLLFLTS DDFGSESKRTAFLTGSGAINDSNDLSEIRISEIQLLGAEDAAEVLRNGGIDAESHKGF MNISFSSLPKYNETEEFFTEKKQKMDELEDNLKKLSKSLDMVDTSRNSLAASTEEFSS MIGSLSSLNISEANSELLNNFADVHKSIRSSLERSSLQETLTMGVMLDDYIRSLASVK AIFNQRAKLGYFLVVIENDMNKKHTQLGKLGQNIHSEKFKETKKEFLALERRYNLTKT QWQEVGDRIKDEFQNFSIDKIREFRNGMEISLEAAIESQKECIELWETFYQTNL SPAR_O02080 MGVRSAAKEMHERDHTSDSSSLVTSLMKSWRISSVTSSKKPSLY KMNTTESTSLPSGYASSANRDRRTSDGNFEAMAKQQASTRRTSNSYSPLRYVSPTLST ASNESPRPALLLRQHHQRHHHHQQPRHSSSGSVGNGSSNSTEPSKKGDRYFKDLDEDW SAVIDDYNMPIPILTNGGFGTPIAPTRTLSRRSTSSSINSTSITGPSAVRNSSSSFTY PQLPQLQKEQTDDSKKTQLEIENERDVQELNSIIQRISKFDNILKDKTIINQQDLRQI SWNGIPKIHRPVVWKLLIGYLPVNTRRQEGFLQRKRKEYRDGLKHTFSDQHSRDIPTW HQIEIDIPRTNPHIPLYQFKSVQNSLQRILYLWAIRHPASGYVQGINDLVTPFFETFL TEYLPPSQIDDVEIKDPSTYMTDEQVADLEADTFWCLTKLLEQITDNYIHGQPGILRQ VKNLSQLVKRIDADLYNHFQNEHVEFIQFAFRWMNCLLMREFQMGTVIRMWDTYLSET SQEVTSSYSISSNDIKAPVTPTEPRVASFVTPTKDFQSPTTALSNMTPNNAVEDSGKM RQSSLNEFHVFVCAAFLIKWSDQLMEMDFQETITFLQNPPTKDWTETDIEMLLSEAFI WQSLYKDATSHWL SPAR_O02090 MSFSTIFSKLLRYLEIPAKNRTAVNFLRNPDLQPIKSANQTWGF WSNLAYWGAVSFTAGTWMSGSAALSVGLSYPETIVSFLLGNVLTIIFTMANSYPGYDW KIGFTLAQRFVFGIYGSAFGIIIRILMSIVNYGSNAWLGGLSINMILDSWSHHYLHLP NTLSPKVAMTTKELIGFIIFHVLTALCYFMKPYHMNYILIWSCVATCFSMVGIVIYLT KNAHGVGELFISTHSTVTGSKRAWAWVYMISYWFGSISPGSTNQSDYSRFGSSNWAIW TGSVCALLIPATLVPIFGVISASTCDKLYGQQFWMPMDIFHYWLTNNYSAGARAGAFF CGLSFTMSQMASTISNCGFASGMDMAGLLPKYVDIKRGALFAACVSWACLPWNFYNSS STFLTVMSSFGVVMTPIIAVMICDNFLIRKRQYSITNAFILKGEYYFTKGVNWRAVVA WVCGMAPGLPGIAWEVNNNYFHDAGIVKFFYGDSFFSFLISFFVYWGLCIFFPFKITV KHDDKDYYGAFTDEEARKKGMVPYSEISEEEIRAYTLGECYTTGHEYQPESSDDQQPE LIKTSSENTNVFEIIHQKDTEKHSFATSEQAV SPAR_O02100 MPKRKIAPNKESSRRTVSHDDLTPQIQEFQNLMDLESQKVENIR QSYSRQNSLLAKDNSILKIKVNSLEKKISQLVQENVTLRSKTSISEAIYRERLNNQLQ IIENGIIQRFDEIFYMFENVRKNENLPSSNLKSLLKRTSSRSRSCSLSSPTYSKSYTG QSNYQNNPSHESSLNKDDGPDLEPKAKKRKSSRRQSMFISTSLEPENETVEKEPITTD ASVEVSAGLHEPTQVEETIDALNVEEENNDSVSNFTNSIIEYSIPEENPTEPDHSSSK LEIFNDSTNMLNIASSDPLPLPLPAPSATLPATIGDTAAVYSSSSSSTNSHPKTKIKH SMKPPRIELKKKVIDEVMPVSNMSSNSEISFTRTRRTRGKAVDYTLPSLRAKMRRPSE KLVDATTVIDIHDLQVSKRNRETSPKRKSLPHDSIPDEPQLREVVVSKDYGTPKETGT KDEVHDETSHLMTTANNNSSNSNQKNEKKLISNNNPPKSSPLLDITNKSENKKKSIRT KKLFKNAIVNNLSDENSTARRSKSSKGIIDNNTSNNNNYNKNRDSNDSNFSSVNNKSV SFRLNEDDLAIFDLFGNGKTVKHQPKTYRTKK SPAR_O02110 MTMDGKNKEEEQYLDLCKRIINEGEFRPDRTGTGTLSLFAPPQL RFSLHDDTFPLLTTKKVFTRGIILELLWFLAGDTDANLLSKQGVKIWDGNGSREYLDK MGFTDRKVGDLGPVYGFQWRHFGAKYKTCDDDYAGQGIDQLKQVIHKLKTNPYDRRII MSAWNPADFDKMALPPCHIFSQFYVSFPKEGDGSGKPRLSCLLYQRSCDMGLGVPFNI ASYALLTRMIAKVVDMEPGEFIHTLGDAHVYKDHIEALKEQITRDPRPFPKLKIRRDI KDIDDFKLEDFEIEDYNPHPRIQMKMSV SPAR_O02120 MPDLTPIFRKYVAVIDDARKEQSGIDDQVEGKQENSGNSDGKRE VVSDSFIKECAKLLKFLIELNKVIKQIEKNYLDDLNMSDVEKDEFDMECRLQIQQYFK KFEFLENYEMERHSLSLKKYQSKPHRWSNLLSNKKDGGKHLMHPQDVENGVHEFRLGI LRCLNLWIKYVSSKFTTIQQERLILENKMNFNSTPLPTLSNNGNDFSADAIDISVSQS APVETVQDEVKHYEETISKLTQEQLQVLETEHSELLNQKNEQLKKVETINKTILDIVN IQNELSNHLTVQSQNINLMLNNQDDIELNIKKGNKELRKAKRAAGRTAKMTTYGAIIM GIFILFLDYVG SPAR_O02130 MSLLEQLARKRVEKSKGLSNADQSQNTSKSASLLERLHKNRGTK DRNAEIRKKDLKSLLAKDKIKRSDCISDQHTISLSLKLSALKKSNSDLERQEKSVTPE SKENEFSTKGKSFGVKLNVEESWDVINEINRYCLLKDDPCVNQADDFALTNFIMNGGK SASSTEILLSPSKSSSLSLKRHYDELLGIFVPSTLPKKSRNVAIENFNRPSPDDIILS AQLNAFNEKLENLNIKSPLKTEKKESINLQTAPTESIDIHSFIATHPLNLTCLFLGAT NSGKSTLLGHLLYELNEISIPSMRELQKKSSNLDSIPSNSFKIILDNTKTERMNGFSM FKKIVQIKNNLLPPSSSLTLIDTPGNIQYFDKETVNSILTFDPEVYALVIDCNYDSWE KSLDGPNNQIYKILKVISYLNEISACKKHLIILLNKADLISWDKQRLEMIQSELTYVL TENFQWKEAQFQFIPCSGLLGSNLNNVKKITKSKYKSEFDAINDVPEWYEGPTFFSQL YFLMELNMNKIETTLEEPFIGLILQSSVSQPTAETHHVSLKVLIKSGYIQSGQTIEIH TQHEEIHYYGIITRMKKSKLILETNIKNNLTVGVKSDILEILVKIHNTEDLAKKEFHI HKDDLIIHSRKANTLLPNLPNTLKLLSLRLIKLSFQTRALNGRLHLGSELLLYHNLTY SAVKLVKIHGTNDTSINPNQSLIVEVEIMEPNFALKVIDSKYVTNNIFLTSIDHKVIA AGRIICQ SPAR_O02140 MGDYDSAKYWAKQGVRRGLQKTRYYCQICQRQCKDANGFQSHNK SPSHLRKISQVTAEDAKRYNDQFEKGFLQLLKQRHGEKWIDANKVYNEYVQDRDHIHM NATMHRSLTQFVRQLGRAGKVDVDMDIDDKSESVEGPLLIRIHPSSLLPASEDGLLQS QQEEQEIIAAELLKRQLRRAKRQIEEVNRSSQPEIRSEISGKSTSEPVRVTFHGNGRV NKKKKKVPPRKDGIKFR SPAR_O02150 MMSNGHVKFDADEGAASASAVTDRQDDMLVISKKNKEAHLSSDE ESDDDDAPQEEGLHSGKTEAESQIIQREEAIRLEQSQLRSKRRKQNELYSKQKKLVNG AEATDEIIAELPKELLENIDQKDEGSVHSPSSRHVTFDELDESDEDEDEETLAKVIKA KKRKTLKNLRKDSVKRGKFKIQLLSTTQDSKTLPPKKESSIIRSRDRWLNRKTLNKG SPAR_O02160 MKFWGVVLLASFLLIATFLIGLIPLYYIDKQKRSIIADQEGGDS VSDLTTNIDTQTIDDEVTSYRVKIAVLSQFGIGMLLGTSFMLVIPEGIKACVEHNGNV GVNLLIGFIGVYVLDRLVTLWISGKQTVYTHDAVKFQNWKDIINHPRQIWMNLIQNNV VFALFIHGLSDGIALGTTTNNDSLLIVVLIAIVIHKIPAVLSLTSLMVSRQNLLKWEV VCNVFLFASSTPIGYIVLSLLNLSHSPTMDWISGNLLLMSGGSLLYASFTAFVGGGSH DHDLSVEQEVVLPHDESIYVLTGVCIPLVISYCISEE SPAR_O02170 MSSPGDAGVAIDSTVLKAIELGTRLFKSGEYLQAKRIFTNALRV CDSYSQEQIVRIRNAYQLDTARPDKKQLYHPRYLKILDNICACYEKLNDLKSCLSVSQ RLLKLGPGNIKCYIRCTRTLIKLKDWKGAYKTCSRGLQLCDNDSPHLRQQKQFIKDNM VQKQDDKRKYIDPLEETKAVKRKKTSNVTQLRQPKKKIKDTSGKTDLVGNLPIEVLPI ILQRFTSRELVMLSLVCNKWRDKILYHLDCFQEFNLTAITFKNFVKFMDFLQQNFTRT YRKYNLSQLKITSRITSEELRIAQLLFSKMPKCINFERLILSMPTLTTAQFIKLMVRE SAGFFLELLELSLMITYRPDKQHEWEILQNCPLLKKIELIFVNSLVSISNRNNSIGSD SSFNMMTGDANMQTSAEDQDEQGIIQEKVIYNELEKITLICDKKKIKNFPLCRALSRG QFPLLQKLTVTGVTFPMNNQGIINFEWLLNFPNLKELWIEDNDNCELSRFLQLLKRSN VWRNLKKLTFRENKLYPIIDLDEDLPAANNDEMPSVLFYRENLQNLEMLDLMGTSMSG SALSRLCEQKYLDGEKLRSLNIGNCPNIQFPNNHVHTARMVLDVNSVLKRLSKLEEIN LSHLSSLNDSTMKSFIINVPFLENLKRLDISHNFEITGISIYEFLKKFQLDHDNDTGG QPLTYLNIDGCSQVSHITVNMIRAQNLVTRVDCVYERDVWKQFGINSYSYS SPAR_O02180 MSNTLPVTEFLLSKYYELSNTPATDSSSFFKWLYHKTLSRKQLL ISDLSSQKKHAISYDQWNDIALRLDDLTGLSEWKTINESSLYNYKLLQDLTLRMRHLR NTHDYHRLLYLIRTKWVRNLGNMNNVNLYRHSHTGTKQIIHDYLEESQAVLTALIHQS YMNDHYLLGILQQTRRNIGRTALVLSGGSTFGLFHIGVLAALFESDLMPRVISGSSAG AIVASIFCVHTTQEIPSLLTNILNMEFNIFNDDNSKSPNENLLIKISRFCQNGTWFNN QPLINTMLSFLGNLTFREAYNKTGKILNVTVSPASIYEQPKLLNNLTAPNVLIWSAVC ASCSLPGVFPSTPLFEKDPHTGKIKEWGATNLHLSNMKFMDGSVDNDMPISRLSEMFN VDHIIACQVNIHVFPLLKFSNTCVGGEIEKEITARFRNQVTKIFKFFSNETIHFLDIL KEFEFHPYLMTKLKHLFLQQYSGNVTILPDLSMVGQFHEVLKNPSQLFLLHQTTLGAR ATWPKLSMIQNNCGQEFALDKAITFLKEKIIISSSIKNPLQFYQPRFSEQIKSLSIMD ADLPGVDLEESSSNSLSIIKSPNKTAVRFPLQTLPSPSSAFNKRKVEFLSPSPSPSIS PQRSKSSSIQGTRQKANSLSFAIGASNLRLKKSPLKIPSRPPFKKRSSYYNQNMSTEM RRNRKKSGTISSYDVQTNSEDFPIPAIENGSFDNTLFNPSKFPMEAMSAATNDNFMNN SDIFQN SPAR_O02190 MSLRTPKRSRTNEEQEHEQERVQNQDTHINNQHQQRPEPTTLLS TPVRIKNGFGTPSPPSPPGITKSITKSRRRPSTTSLQGIFMSPVNKRRVGPTAHQRAL DHNDHGHESDSEDDENEEENENRNKYDGHVGMPLLPPTTPKSRRSEVFLSPSPRLRSP PTAARRSAGERPIREISHTLRTRLNYALVKLQNGWTNKTLPELETELAPAVQTSPRRY HNRFPDSADAGTSAHTAFLQALGGHPPREEATAVETLMLLSSPTKKQQHRPVVSASAG EPTDDTEPESDTEVETS SPAR_O02200 MKHNTFNKETKTCSASWPRASQSTLCATDRLELVYDVYTNAERQ RRSRTATSLNLVFLHGSGMSKVVWEYYLPRLVAADAESDYVIDKVVLIDQVNHGESGV RNRGKLGTNFNWIDGARDVLKIVTCEFGGNDSRPALNVAIGHSMGGFQALACDVLQPN LFHLLILIEPVVITRKASSTGRSGVPPDAPQIPENLYNSLRLKTCDHFANESEYVKYM RNGSFFTNAHGQILQNIIDFERIKGFDDDGSIRTKMEQAQNLLCYMNMQTFASFLISN VKFVKKRTIHIVGSHSNWCPPENQLFLQKTLQNYHLDVISGGSHLVNIEAPGLVVERI NHHIHEFVLTSPLQSSHIPQLTLEERMVKFSRAFDSFKNDALVETSKPKL SPAR_O02210 MNWLFLVSLIFFCGVSTHPALAMSSNKLLKLANKSPKRIIPLKD SSFENILAPPHENAYIVALFTATAPEIGCSLCLELESEYDTIVASWFDDHPDAKSSHT NTSIFFTKVNLEDPSKTIPKAFQFFQLNNVPRLFIFKPNSPSILDHSIINISTDTGPE RMKQIIQAIKQFSQVNDFSLHLPMDWTPIITSTIITFITVLLFKKQSKLMFSIISSRI VWATLSTFFIICMISAYMFNQIRNTQLAGVGPKGEVMYFLPNEFQHQFAIETQVMVLI YGTLAALVVVLVKGIPFLRSHLYPDTKKSYFIDAVLASFCALFIYVFFAALTTVFSIK SPAYPFPLLRLSAPFK SPAR_O02220 MAKEDTGATAPKKPETAQVANINGIDKLEPPKTKEEAAASKSVS SEKAAHASDESFKRSIHEASYVGWKQIGGWEDKDELTLDDELMDMSRETLLNNIIPDS LYGDWYHSVAIFFVGGVISFALGHYKFSMGSAFFVIVITSLLYRTSAKKYRGSIRELV QKEFTVQKVENDYESLEWLNTFLDKYWPILEPSVSQLIVQQANEQMATNEAIPQFITQ LWIDELTLGVKPPRVDLVKTFQNTASDVVVMDWGISFTPHDLCDMSAKQVRNYVNELA VVKAKIFGITIPVSVSDIAFKAHARVKFKLMTPFPHVETVNIQLLKVPDFDFVASLFG RSIFNWEILAIPGLMTLIQKMAKKYMGPILLPPFSLQLNIPQLLSGSNLSIGILEITV KNAKGLKRTSSILNESIDPYLSFEFNDVSIAKTRTVRDTLNPVWDETLYVLLNSFTDP LTISVYDKRAKLKDKVLGRIQYNLDLLHDKPTQRNLKAQFLRNSKPVGDLTFDLRFFP TLEEKKLPDGSVEELPDLNTGIAKVVVEEGSRFAEEEKKITAYVEVYLNAKLVLTTGK ATDTGTLKWNSDYEAVIADRRKTRYKFVVKDSKGEEIGSTIQTLNDLIDRSQVDKKLI PLKNQKGDIKVSTYWRPVRLEIGSNSVAYTPPIGAIRVFIEKATDLRNLEKFGTIDPY CKVLVNGLSKGRTDFKSQTLNPVWNQVIYVAVTSPNQRITLQCMDVETVNKDRSVGAF NVNVQDLFKKDENDKYEETVDEKAKVGRLVMPKKRPKGTITYYTSFYPALPVLTLEEI QDLDKVNKKKEALELRKSAIDEKKISKEDKAKFDQEWNEVKELEDMYSNRQKLDLPEL LQYNQGVLAVTVLNGELPDSGLYVQAFFDDNGHPRFVSPRIPSRIVKNGWSGDVIIKE LDKSITTFRVAKNKNFNRVEKCICQVELSTLELVKNCYYKPSILHLSGEGSAKLMLQI SWFPIETKKLPENDLITNSGDLTIMSRSAENLVASDLNGYSDPYLKFYINNEEGCAYK TKVVKKTLNPKWNDEGTIQINNRLNDVLRIKVMDWDSTSADDTIGTAEIPLKKVKVEG TTELDVPVEGLENAGQDGGMLHLAFSFKPRYTISVSKREKKVGDIASKGLGTGLKAGT TVIGGGVGAIGKIKKGVFGGLGSLTNHKKNHETGEEETKF SPAR_O02230 MVSPSGDLHLPISNEQCMPENNGSLGFEAPTPRQVLRVTLNLKY LIDKVVPIVYDPTDIVCDHSEILSPKVVKLAYEACGGNPKDRINKRKYQSVIIFSLLK VCEWYSILATMEVHNAKLYETRNLASQQLCKLLIEREETRDLQFLFMQLLLRRYVINE NDEDQEPLNALELATDMHCTTVIGSSGFQRCLKWIWRGWIVQNGLDPTTFIKDDSLAE VSLISHFNPVRLKAPIYQNYLQMIFSFLFLGLYTLVVNGKDSERVQSFDLLESIFYVF NTGFILDELTKLYYIGYAHLSFWNLFNDTTYLIITLAMGFRAMSVTPLNAKYSSEDWD KISYRVLSCAAPFVWSRLLLYLESQRFIGIMLVILKHMMKESIVFFFLLFLIMIGFTQ GFLGLDSADGKRDITGPILGNLTITVLGLGSFDVFEEFAPPYAAILYYGYYFIVSVIL LNILIALYSTAYQKVIDNADDEYMALMSQKTLRYIRAPDEDVYVSPLNLIEVIMTPIF RILPPKRAKDLSYTVMTIVYSPFLLLISVKETREARRIKYNRMKRLNDDANEYDTPWD LTDGYLDDDDGLFSDNQNSGMRATQLKNSRSLKLQRTAEQEDVHFKVPKKWYKKVKKC SPSFEQYDNDSTEDDVDEDKDEVKELTEKVENLTAVVTDLLEKLDIKDKKE SPAR_O02240 MNTSVTSIKLVLLGEAAVGKSSIVLRFVSNDFAENKEPTIGAAF LTQRVTINEHTVKFEIWDTAGQERFASLAPMYYRNAQAALVVYDVTKPQSFIKARHWV KELHEQASKDIIIALVGNKIDMLQEGGERKVAREEGEKLAEEKGLLFFETSAKTGENV NDVFLGIGEKIPLKRAEEQNNANDEHESNNQRVDLNAANNGTGANSACSC SPAR_O02250 MSPLTRTVAIRKTIKVLSKCQSGREYTQKFLQRAYTTSHTNNTY YSRTKLFISSHSKALNIALLSGSLLLTYSYYTPKKILSLDTINGIKDYSTNSSDNTNM PSTKSKETQTQNKQRSQNDQSVPILNDSKIEAKLHDREESHFVNRGTGIFRYDVAQLP SNHPIEDDHVEQIITIPIESEDGKSVEKDLYFFGIFDGHGGPFTSEKLSKDLVRYVAY QLGQVYDQNKTVFHSDPNQLIDSAISKGFLKLDNDLVIESFRKLFQDPNNVNIANTLP AISGSCALLSLYNSSNSILKVAVTGDSRALICGLDKEGNWTVKSLSTDQTGDNLDEVQ RIRKEHPGEPNVIRNGRILGSLQPSRAFGDYRYKIKEVDGKPLSDLPEVAKLYFRREP RDFKTPPYVTAEPVITSAKIGEDTKFMVMGSDGLFELLTNEEIASLVIRWMDKNISLA PVKAEPGRLPKVIDLSEDKEAQRPAFRYKDDKSRSPSGSNPEYLIEDKNVATHLIRNA LSAGGRKEYVSALVSIPSPMSRRYRDDLTVTVAFFGDSGTPSNISGANSIVMNPEATT KPKPRL SPAR_O02260 MPPKKGKQAQVAGKKKDNVDKTFGMKNKNRSTKVQRFIKQVQSQ SDPKKEEMRLKKLEEKKRREAEEAERRALFNPVADQRVRAGVDPKSMVCALFKLGNCN KGAKCKFSHDLNVGRRMEKKDLYQDTRSEKEKDTMDNWDEEKLRKVILSKHGNLKTTT DKVCKYFVEAVENGKYGWFWICPNGGDKCMYRHSLPEGFVLKTNEQKRLERESLEKQP KITLEEFIETERGKLDKTKLTPITIANFAQWKKDHIIAKINAEKKLTSKRKPTGREII LKMSAENKSFETDYTDLADDVTQGSAWDLTEFTDALKKADHQDDGGIKDYGDGSNPTF DIKKVSSATLA SPAR_O02270 MTHITLGQAIWASVRPIIKIYLIIGVGFGLCKMNILTVQATRSI SDIVLTVLLPCLSFNKIVANIEDNDIKDVGIICLTSVILFATGLGFAFIVRSVLPVPK RWRGGILAGGMFPNISDLPIAYLQSMDQGFIFTEEEGEKGVANVIIFLAMFLICVFNL GGFRLIENDFHYKGDDDEENTLIDPDTAQQPTQPIEGNSSSSSNQDILKEPNESTVPN SSQASYISEKNKKEKTELPVPKPTHAAAPAIDDRSSNSSAVVSIDSITHSLRTNHMDV QSVNELNDHTYRTRSQPIAYTIGSRTAHTRNNRRNSVTGSLRSVDMRELPAEGMSDLI REYSNVDQYGRRRKSSISSQGSLPVSQADGTISPNLTRTSTIQRVKTSNLTRIITSDA TVSKKDIETSGSSLPKWLQKFPLTKFFVFFLKNCLRPCSMAVILALIIAFIPWVKALF VTSSHTPKIKQAPDNAPALTFIMDFTSYVGAASVPFGLILLGATLGRLKIGKLYPGFW KSAVILVFLRQCIMPIFGVLWCDRLVKAGWLNWENDKMLLFVTAITWNLPTMTTLIYF TASFTPEDETEPVQMECTSFFLMLQYPLMVVSLPFLVSYFIKVQMKL SPAR_O02280 MYPKESQKCNTCSHKRSTRVFQFHSKARAIELRPMDFSIPPTLP LDLQSRLNELIQDYKDENLTRKGYETKRKQLLDKFEISQMRPYTPLRSPNSRKSKHLH RRNTSLASSITSLPNSIDRRHSIYRVTTINSTSANNTPRRRSKRYAASLQSSFPGSTD ENASVKDAVYNPMIPLLPRNLGAENAASGDSAMTDSLPLILRGRFEHYDGQTAMISIN SKGKETFITWDKLYLKAERVAHELNKSHLYKMDKILLWYNKNDVIEFTIALLGCFISG MAAVPVSFETYSLREILEIIKVTNSKFVLISNACHRQLDNLYSSSNHSKVKLVKNDVF QQIKFVKTDDLGMYTKAKKTSPTFDIPNISYIEFTRTPLGRLSGVVMKHNILINQFET MTKILNSRSMPHWKQKSQSVRKPFHKKIMATNSRFVILNSLDPTRSTGLIMGVLFNLF TGNLLISIDSSILQRPGGYENIIDKFRADILLNDQLQLKQVVINYLENPESAFSKKHK IDFSCIKSCLTSCTTIDTDVTEMVVHKWLKNLGCIDAPFCYSPMLTLLDFGGIFISIR DQLGNLENFPIHNSKLRLQNELFINREKLKLNEVECSITAMINSSSSFKDYLKLETFG FPIPDVTLCVVNPDTNTLVQDLTVGEIWISSNHITDEFYQMDKVNEFVFKAKLNYSEM FAWARYEMPTNESQAVTEQLDTILNICPANTYFMRTKLMGFVHNGKIYVLSLIEDMFL QNRLIRLPNWAHTSNLLYAKKGNQPPQSKINAEAESTKVTDMSSFGGETSPGYKRVVE SHYLQQITETVVRTVNTVFEVAAFELQHHKEEHFLVMVVESSLAKIEEESKNNEATDT ALMKFTETQKNKLEMKMNDLTDQIFRILWIFHKIQPMCILVVPRDTLPRRYCSLELAN STVEKKFLNNNLSAQFVKFQFDNVILDFLPHSAYYNESILSEHLSKLRKMALQEEYTM IEPEYRNGGPVKPKLALQCSGVDYRDESVDTRSHTKLTDFKSILEILEWRISHYGNET AFSDGTNTNLANSSASSDNNVHKKVSWASFGKIVAGFLKKIVGSKIPLKHGDAIIIMC ENSVEYVAMIMACLYCNLLVVPLPSVKEFTIEEDLKGLVNIIQSYKVKRLFVDAKMHS LLNDNNVVNKCFKKYKSLIPKITVFSKVKAKNALTVSMFKNVLKQKFGAKPGTRIGMT PCIVWIDAEYDVTSNIHVTMTHSSLLNASKIVKETLQLRNNSPLFSICSHTCGLGFMF SCLLGIYTGASTCLFSLTDVLTDPKEFLIGLQNLNVKDLYLKLETLYSLLDRASSLIE GFKNRKENVSSAKNNTSGSVREDVFKGVRNIMIPFPNRPRIYTIENILKRYSTISLSC TQISYVYQHHFNPLISLRSYLDIPPVDLYLDPFSLREGIIREVNPNDAAISGGTYIKV QDSGVVPVCTDVSVVNPETLLPCVDGEFGEIWCCSEANAFDYFVCNSSKNKLYKDPFI TEQFKSKMKSEMNNTLSYLRTGDLGFIKNVSCTNSQGEVINLNLLFVLGSIHESIEIL GLTHFVSDLERTVKDVHGDIGSCLIAKAGGLLVCLIRCKERHNSILGNLTTLVVSELL NKHGVILDLCAFVKTKGLGPKNSSMITEVWGKNRASIMQAWFDQKIQIEAQFGISYGE NISIYLLSDYERDNI SPAR_O02290 MGNSISKVLGKLFGSKEMKILMLGLDKAGKTTILYKLKLNKIKT STPTVGFNVETVTYKNVKFNMWDVGGQQRLRPLWRHYFPATTALIFVIDSSARNRMEE AKEELYSIIGEKEMENVVLLVWANKQDLKDAMKPQEVSDFLELEKNLKNQPWCVIGSN ALSGQGLVEGLSWISNNTNVPKK SPAR_O02300 MAASVPKIDGLKSLGNPLEDAKRAAAYRAVDENLKFDDHKIIGI GSGSTVVYVAERIGQYLHDTNFCEVTSKFICIPTGFQSRNLILDNKLQLGSIEQYPRI DIAFDGADEVDENLQLIKGGGACLFQEKLVSTSAKTFIIVADSRKKSPKHLGRNWKQG VPIEIVPSSYVRVKNDLLEQLHAKKVDIRQGGSAKAGPVVTDNNNFIIDADFGEISDP RKLHREIKLLVGVVETGLFIDNASKAYFGNSDGSVEVMEK SPAR_O02310 MSAPQAKILSQAPTELELQVAQAFVELENSSPELKAELRPLQFK SIREIDVAGGKKALAIFVPVPSLAGFHKVQTKLTRELEKKFQDRHVIFLAERRILPKP SRTSRQVQKRPRSRTLTAVHDKILEDLVFPTEIVGKRVRYLVGGNKIQKVLLDSKDVQ QIDYKLESFQAVYNKLTGKQIVFEIPSETH SPAR_O02320 MDLKRDWLRWKITIGSGPGSIVLDFPSFLVGCAFTTMMGPILQK FIGKLLAGLITVCKFLVIIGSIVFVIGVVSKKYTYDDFKVSIKRSGKSDELETEPRRA AKTATVPMEKDEGVGSYNYFEIPITKEAPTIPYINCDDTNSLRKPPKGASSVGLSNSN RYENFINMARHK SPAR_O02330 MSSSTSSVVSSPHVGKRSFSSTIKSFFTNSNKKGPFSNKIFGSS FPHANHVEESDVEDTLHLKKRKRVSGTSQHSDSLIQDNNSAPIIIYGTESTERPPVLP ILPIQRLRLLREKQRSRNMRELRLFQSTGLPSVTSSVILDSQDKTDGKDSYLRTSSTP SPIKKVSCTGQLPGESGENINVGLPILKSLKNRTSRKKFQNQSKGTVWSADFEYDLSE YNAIQKQNNNGNDINADGDQTTIGNRKNNKNNGLNGNLATCPNPTSEMEDLRSDINSN RLSNPQKNLLLNGPASTIAKTAAMQKNSVPNLESFDTPTLKKTTEPKKDKESIVLPTI GFDFIKDNETPSKKTSPTAASSAGAVFKSNLTMGEKDKSTKTVETPTLSFNFGHKANK PKVADNSVPSTTLFNFGRKPDTAPSANQPYTFGKTSEKSEKHTESEAPTLSFSKPAPF SDIPPKSTAPAFSFGKHKEKQGENGDKDNDDEEPRRKRRAPVNEDTNAKPLFDFGKNS SQKEAKNEKSEKVTSEKPSFVFGSSNKQTEHASPFAFGKKADVTGKTDSPTPFTFGKV AIVKESPAKPSETPATIVEKPTFTFRQSASENKNSEGSAKPTFPFSRPAEEQKSSSTS NSVAKPSFSFSSKSVDIQAPTDNKTLKSTFSFTEPAQKDSSVVSDPKKPSFTFAASKT TQQKPLFSFGKPDATKEPPGCKTSFGLTKPSPEETEKKATTQSFTFGGPATNHTTDTS IKPSFSFGATESTEPSTTTAVGSTGKLSNGFSFTKFNGNKEKSSSPNSLFGGSASSTP VPLLGKPTDVSSSTTSKSAFSFGTANAANASANSTSFSFKAPTTGNGTTTTANNTSGT NIAGGFDLGKPAQNIAGSNSNGAGSGFSFSSPGTAANGVASNQPSFNFGNNGAGGLNP FTSATPSANAGLFSKASSMGAQNINAPSAFNFTGNNSTTDGGSVFNMNNNSNANTVFA GSNTNQPHQSQNPSLNANNSFTPSTVPNINFAGFNGGNTITAVGTLKPSDIFGVNAAS GSNSNTINPSSIFGGGSGVPTPSFGQPQSAPNQMGMGMNNGMSMSSGVMANRKIARMR HSKR SPAR_O02340 MAKIMIPASKQPVYKKLGLLLVAVFTVYVFFHGAQYARGSAPTP KYSTVLSSHSGYKYSKVELPKYSGPREKATFVTLVRNRDLYSLAESIKSVEDRFNSKF NYDWVFLNDEEFTDEFKNVTSALVSGKTKYGLIPQEHWSFPDWIDEEKAAQVRKEMGE KRIIYGDSESYRHMCRFESGFFYKHPLMDDYDWYWRVEPDIKLHCDIDYDVFRFMKDN KKKYAFAISIKEYEATIPTLWATTRKFMELHRDLIHENNMLDFVSDDNGLSYNLCHFW SNFEIASLDLWRSPGYSAYFNYLDQAGGFFYERWGDAPVHSIGAALFLDRSEIHHFGD IGYYHVPFHSCPIDTSIRLANKCDCDPSKDFTWHSYSCTTKFYNLNMLQKPAGWQNHI G SPAR_O02350 MSSDTSLSESSLLKEESGSLTKSRPPIKSNPVRENIKSFVAGGV GGVCAVFTGHPFDLIKVRCQNGQANSTIHAVTNILKEARTQVRGTIFTNSVKGFYKGV IPPLLGVTPIFAVSFWGYDVGKKLVTFNNKNGGSDELTMGQMAAAGFISAIPTTLVTA PTERVKVVLQTSSKGSFIQAAKTIVREGGIASLFKGSLATLARDGPGSALYFASYEIS KNYLNSRQPRPDVGKDEPVNILNVCLAGGIAGMSMWLAVFPIDTIKTKLQASSTRQNM ISATKEIYLKRGGIKGFFPGLGPALLRSFPANAATFLGVEMTHSLFKKYGI SPAR_O02360 MQGNKSTIREYKIVVVGGGGVGKSALTIQFIQSYFVDEYDPTIE DSYRKQVVIDDKVSILDILDTAGQEEYSAMREQYMRTGEGFLLVYSVTSRNSFDELLS YYQQIQRVKDSDYIPVVVVGNKLDLENERQVSYEDGQRLANQLNAPFLETSAKQAINV DEAFYDLIRLVRDDGGKYNSMNRQPDNSADSNEMRNSGPTTSATADGDKKNNGTYVVD SSLTNAGTGSSSKSVTNHNVETTKRTDEKNYINGNNNNDGNNNYNSSKSNGNRSDNSR DNHNNAPNARSKQSTGAQKNSSANTKKESNGGCCIIC SPAR_O02370 MAKTPKANTPKVTSTSSAVLSDFQEAFKTSKRAYFAQIEKYPKL KLIDTFCFFLVLLGMIQCTFIILIRDNFPFNAFLSGFIICVGQFVLLMSLRLQLCDSF PGISKNRAFAEFIIASLILHFVCLHFIN SPAR_O02380 MNVCRLKEIVPLFPRSSFTDGVVSTGKSFRSWDTCMDNKACKII AIVGIVLASILVIWLVGGLLTCFRQGVTGIGQFVCWCCRCSNDRNRNDGMPVNEGFNR VNMGVAPPSTVIYQPIQQPESAYYRNDAKNDTFYDEVKTPSNEIYELEEDFDLEKQKE KTRRKQMKQRNKEGHSPSRVAPLVYDEDDSQPQYDARNSFIQNATNAGNNNAHTTSQS PIFDISDYGENYYYDNNNINSNLQGNTYNAPSSNHRSPYPTDNYQSYQGYKPNQSDRY Y SPAR_O02390 MSFFDVEAQSSKGNSQSEPQSSTNQKTKELSDLIETFAEQSRVL EKECTKIGSKRDSKELRYTIETELIPNCTSVKDNIESNILIHQNGKLSADFKNLKTKY QSLQQSYNQRKSLFPLKATISPGTSKERNDIHTQTEAVRQNPESSYISIKVNEQTPLL HGEGQHQLQLQEEQEQQQQGLSQEELDFQTIIHQERSQQIGRIHTAVQEVNAIFHQLG SLVKEQGEQVTTIDENISHLHDNMQNANKQLTRADQHQRDRNKCGKVTLIIIIVVCMV ILLAVLS SPAR_O02400 MASVPSLCDILIPLEKSSRSASDAESANTVLIQLRKGHHERIRS PYTIQKFYKFLKRAHCEENLEFFEKAHQFLQLKQNRTISEEKLLEVWNKSLYIKYIAV DSPKECNFSQDTREIFEKCYANNKVPADVDVLCAISHIMGLLMDGYHRFVSSVNERKY PTTYNANNGSAMEQDFKNESTVSFSSLGMEDISGDRNPYLKKPNSNGLSTIIQKTSTG TTNELQSGYASRPSESSSSLSIDSSNYRNTKAINVQKPQNAGILNSGKDFLQKLNFVK KRKSFKEPSGVIRSHYNNNIQNHLRRTKQPSTNITSSSSMKAEISLSSSPLPNKAIGQ NVKSVEKGFKKLNLHDIN SPAR_O02410 MVKHSFIALAEHASKLRRSIPPVKLTYKNMLRDPSVKYRAFAPP KMTKRIWPDKSIQRAPRWLSTDLRDGNQSLPDPMSVAQKKEYFHKLIKIGFKEIEVSF PSASQTDFDFTRYAVENAPDDVGIQCLVQSREHLIKRTVEALTGAKRATIHTYLATSD MFREIVFNMSREEAISKAVEATKLVRKLTKDDPSQQATRWSYEFSPECFSDTPGEFAV EICEAVKKAWEPTEENPIIFNLPATVEVASPNVYADQIEYFATHITEREKVCISTHCH NDRGCGVAATELGILAGADRVEGCLFGNGERTGNVDLVTVAMNMYTQGVSPNLDFSDL TSISEIVHRCNKIPIPPRAPYGGELVVSAFSGSHQDAIKKGFAIQNKKQAQGETQWRI PYLPLDPKDIGRDYEAVIRVNSQSGKGGAAWVIMRSLGLDVPRPMQVDFSNTLQKNAD ALGRELKSEEITKLFKETYNYNNNEHIYVTLLNYEVKKLNPERRALVGQVEINDKVVN IEGYGNGPISSLVDALSNLLNVKLSVQNYSEHSLGSGSATQAASFINLSYIKDNNHHA ASNMWGVGVSEDTGDASIKAVFATVNNIIHSGDVLLAD SPAR_O02420 MIIFVSEEPERRLAIVSNSYALVLKPVGKKPSDKPLCAIELLQK SDLKKYGFKRLTSHEIFGVIGLIEVNGLLFVGAITGKSKVAQPCPGETVNKIFAVDFF CLNDNSWDFIEIDSSGYPVLPETASTEYQEALPKHPCYELKKLLSNGSFYYSSDFDLT STLQHRGYGQHSLSTDTYEEEYMWNSFLMQEMITYRDHLDTNLKQILDDEGFLTTVIR GFAETFVSYVKKLKVALTIISKQSWKRAGTRFNARGVDDEANVANFVETEFIMYSSQY CYAFTQIRGSIPVFWEQGTSLINPRVQITRSFEATQPVFDKHIMKSVEKYGPVHVVNL LSTKSSEIELSKRYKEHLTHSKKLNFNKDVFLTEFDFHKETSQEGFSGVRKLIPLIVD SLLSSGYYSYDVREKKNISEQHGIFRTNCLDCLDRTNLAQQIISLAAFRTFLEDFRLI SSNSFIDDDDFVSKHNTLWADHGDQISQIYTGTNALKSSFSRKGKMSLAGALSDATKS VSRIYINNFMDKEKQQNIDTLLGRLPYQKAVQLYDPVNEYVSTKLQSMSDNFTSTSNI NLLIGSFNVNGATKKVDLSKWLFPIGEKFKPDIVVLGLQEVIELSAGSILNADYSKSS FWENLVGDCLNQYDDKYLLLRVEQMTSLLILFFVKADKAKYVKQVEGATKKTGFRGMA GNKGAVSIRFEYGATSFCFINSHLAAGATNVEERRSDYESIVRGITFTRTKMIPHHDS IFWLGDMNYRINLPNEDVRRELYNQEEGYIEKLLHFDQLTLGINSGSVFEGFKEPTLK FRPTYKYDPGTGTYDSSEKERTPSWTDRIIYKGDNLLPLSYSDAPMMISDHRPVYAAY RAKITFVDDKERLSLKKRLFTEYKQEHPEEPGSLISDLLNLDLDDKSISDGFKSSSES SLLDIDPMLAQPTASSIASSSPVSSASASLQPVRTQNNIQSRTPVKKPILRPPPPPAH KSVSAPAPSTSEEKSLTPKTSTTSLSSVANNIQESKPLAQNRRIPPPGFSRNILTPKS TSNLASPSSSKVDLDNGVAESTHSTQGARQQTPTSSFTVPRDVNGQSETLLCNENSIE PEEKAKLNHMTLDSWQPLTPK SPAR_O02430 MVVNTIYIARHGYRSNWLPEGPYPDPLTGIDSDVPLAEHGVQQA KELAHYLLSLDNQPEAAFTSPFYRCLQTTQPIAKLLEIPVYLERGIGEWYRPDRKPVI PVPAGYEILSKYFPGIISPEWDSTLIPSEKGETEQEMYMRFKTFWPLFIERVEKEYPN VECILLVTHAASKIALGMSLLGYDNPRMSLNENGDKIRSGSCSLDKYEILKKSYDTLD ETDDQNQTSLTYIPFNDRKWVLTMNGNTEFLSGGEEMNWSFDCVVEAGSDADIKRRQE TKKNSSPIPKGEDQIETETVYISVDIPSGNYKERTDIAKNAILQYSGLETDAPLFRIG NKLYEGSWERLVGTELAFPNAAHIHKKTAGLLSSAEDSETTNAGQSKGLSTASDADTQ KQEDVGVPDSTNKSEDHAGDNEEVQPEKIYRIKERIVLNNVRPM SPAR_O02440 MWKRKRAHPSNTMSVSSKLPLDIIGSICSKYDIILASTSPRRYE ILHDVMGIADLKTMVSTFEEDLDKTNYSTDPIGYVRDTSWHKAQNIIEILTDYENENL NEIGKPKLIICADTIIIDKSGRIYEKPKTKDIQRKFLMKFCYEDDEPVNVVTAVTLVK WYGKKNFELVPFRDETKVYFDNKIPLRILEEYVESGDGLEVGGGFKIQGQGAILIEKI EGDYYNVVGLPLNKTFKGLYAEANSI SPAR_O02450 MNFSSIFKSISNFQFPYTIEETAITETAFWQCFDGTRKADSLPV TVFKAKRSPESEALILNAVHKAKILKIPGLCSVLETFDSDPQSTFIVTERVVPFPWDN LSSLSQNKFGIELGISQLLVTLGFLNKFVLGTLSKDSIYINIKGEWVLFGLELCSSKE GLSAFEFSSKARTYYNMTGSQLPCEDSNTIDSMGLGLLVKDLMTPSSLPKDWTVNVNM ISDGRMTIESFRKRLENTETWRSNPLINFYQELKELHIKDPRGKLVVMSSLENLYLES REIFRNLTPGMIENFIIPELCEIIKILMIQSVASTASHTGMNFNASHKLVPFLAIVLD LTSETNTFPVGFNDLIAQSFKLPDRQVRFLLLIYLPKLIGPLSKSEISSRIYPHFIQG LTDSDATLRLQTLKTIPCIVSCLTERQLNNELLRFLAKTQVDSDIEIRTWTVIIISKI STILSTSVGNRSNILATAFTKSLKDPHVKPRLAALYGLEKSIELFDVNTIANKILTVI APGLLDKSSIVRNRAKILFEMYLDRLEKEALLIQTNDSTDDSEDMKEIDFDNYGCDEE DMNKEDSMLAAKFLNNLRLNSPSATIPNNITDSEVDSAQDGNGWDDFSDTDGCTVNST TESLDKLASHVITTNTQKFSGRPIKINKSWNDELNDNDWTQDESSPSKEPGDYSKPQA STLAKKIAPNSKLSIKKKKTTILAPRTIASSATAATKTSLSNKTARSKLASNVRASVI NEKHVDSWDDDGDAESWDTNW SPAR_O02460 MPPPTAQFLGSSQAGENESQNQSSGQAEEQNQEHGQGPTPILNQ IQPAPSQPQHQQPRNESISYYTNFNQPRYSTDASINSFLNISDNVPVTGTGGPNAGST YSNLPRLSTSSTHQPPDLSQIGRGFSIVNNLFPQQQQLQNQHRQQQQQQQQPQQQPPF KTPSFSTGLTGSSSQYQFLPRNDNTSQPPSKRNSVYLGPNDGADFEFFSMQQSQQPQF QPSSSRESNSMRPPLLIPTTTSKNQSNGINNSGNISTNADYESFFSAGANNGNSNQNP YFLSSRNNSLKFNPEDFDFQFKRRNSSVRGTLDHSNQNAFITESRLNSLSVNNKANSD PVVGNSNVTDSVNGKSNEIDNDVGNDGNNNKNGNNNNNNDNNDNSINSTSSTNIPNQE DHSLVSTNTTDNSRKDLKEIEQRLRKHLNDEDNYPNTMSRPLDKNPEVIEGSEGSNKH IDESGMQPNISKKRKKDDSVVYVKNEAPHVEPPITKDNSVSAEGAAMGSFSGKEPPMP DMGSVGDDATNLIGATKVDQLMLIIQARKKGFTEKVNTTQDGDLLFNQTMDILPPKNE LVGGVEKPKGTHNTRAIKKHECPYCHRLFSQATHLEVHVRSHIGYKPFVCDYCGKRFT QGGNLRTHERLHTGEKPYSCDICDKKFSRKGNLAAHLVTHQKLKPFVCKLENCNKTFT QLGNMKAHQNRFHKETLNALTAKLAEMNPSENIPLEERQLLEYFASIYKNSNRGIKGR GKGVGTKKSIISSPENHPASTILNPNASSNNVIANDPENAGNPEGNIDNNGNSNPASH TMISPTQKDMGTLQSQFIHNNFNNSVNSSNSSNQPIINYNYTTLPHSRLGSSGSNNTN TNNNNFSVGAAPGVLMAPAANNDFSFNLDQSNDNERSQQEQVRFKNINYKS SPAR_O02470 MKVTLLLKAQLSPVSYTTKKSFQRQLNRTPYTAFQYFFQLEVQK LHNVSKYEDIINHVRGNSNFKRFARNEWDSMSLTKKRLYYASFCQSMNIDIWNVSKVE LAKRLEIPIPVMSEYLLFRNKFKVKFDSHCSSLERKDRKSIPRPSITRKAATTDICSK NRSNASVSKIKPRKRLVAMKRISRSENIAESHSGEAQNYLYDYMKRFQQMCKECRYAW NEKVDYDQKLEIRKKLQLWRSKFEEMMDNEIQILQKNMDTMSKFGLRSESYLTAADHN TNTSPNNILPMAHLLKKK SPAR_O02480 MSSTHSNNVGHPQSTPQGPLTEQQRAQQQYQIFENSLPKVSQSV YQMLLNEMVPLAMGIERQLSGEVISSDSNVTPENGNISSMIKRLKIEEHHTVDIIRSH NLIHELYKAEEEEKERVLARLRNIGFQIGSKLSELLIFSNNPNLKFKEMDLLLIMKFI CRDVWKQIFGKQIDNLKTNHRGTFYLLDYDYRPIQSFSLDEDAKNEELKIIEPFLEIP VGIIRGVLSSLGYSSEEVICLASFIDRPTDRPKTAFPKGISFHVQVTMPQ SPAR_O02490 MKEVVVSETPKRIKGLEFSALSAADIVAQSEVEVSTRDLFDLEK DRAPKANGALDPKMGVSSSSLECATCHGNLASCHGHFGHLKLALPVFHIGYFKATIQI LQGICKNCSAILLSETDKRQFLHELRRPGVDNLRRMGILKKILDQCKKQRRCLHCGAL NGVVKKAAAGAGSAALKIIHDTFRWVGKKSAPEKDIWVGEWKEVLAHNPELERYVKRC MDDLNPLKTLNLFKQIKSADCELLGIDATVPSGRPETYIWRYLPAPPVCIRPSVMMQD SPASNEDDLTVKLTEIVWTSSLIKAGLDKGISINNMMEHWDYLQLTVAMYINSDSVNP AMLPGSSNGGGKVKPIRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLSIDEV AVPDRVAKVLTYPEKVTRYNRHKLQELIVNGPNVHPGANYLLKRNEDARRNLRYGDRM KLAKNLQIGDVVERHLEDGDVVLFNRQPSLHRLSILSHYAKIRPWRTFRLNECVCTPY NADFDGDEMNLHVPQTEEARAEAINLMGVKNNLLTPKSGEPIIAATQDFITGSYLISH KDSFYDRATLTQLLSMMSDGIEQFDIPPPAVMKPYYLWTGKQVFSLLIKPNHNSPVVI NLDAKNKVFVPPKSKSLPNEMSQNDGFVIIRGSQILSGVMDKSVLGDGKKHSVFYTIL RDYGPQEAASAMNRMAKLCARFLGNRGFSIGINDVTPADDLKQKKEELVEIAYRKCDE LITLFNKGELETQPGCNEEQTLEAKIGGLLSKVREEVGDVCINELDNWNAPLIMATCG SKGSTLNVSQMVAVVGQQIISGNRVPDGFQDRSLPHFPKNSKTPQSKGFVRNSFFSGL SPPEFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSCQYDNTVRTSANGIVQF TYGGDGLDPLEMEGNAQPVNFNRSWDHAYNITFNNQDKGLLPYAIMATANEILGPLEE RLVRYDNSGSLVKRGDLDKAEYVDQYDAERDFYHSLREYVNLKATALANLRKSRGMLG LLEPPTKELQDIDPDESVPNNVKTSVSQLYRISEKSVRKFLEIALFKYRKARLEPGTA IGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINASKVISTPIINAVLV NDNDERAARVVKGRVEKTLLSDVAFYVQDVYKDNLSFIQVRIDLGTIDKLQLELTIED IAVAITRASKLKIQASDVNIIGKDRIAINVFPEGYKAKSISTSTKEPSENDVFYRMQQ LRRALPDVVVKGLPDISRAVINIRDDGKRELLVEGYGLRDVMCTDGVIGSRTTTNHVL EVFSVLGIEAARYSIVREINYTMSNHGMSVDPRHIQLLGDVMTYKGEVLGITRFGLSK MRDSVLQLASFEKTTDHLFDAAFYMKKDAVEGVSECIILGQTMSIGTGSFKVVKGTNI SEKDLVPKPCLFESLSNEAVLKSN SPAR_O02500 MATLEELDAQTLPGDDELDQEILNLSTQELQTRAKLLDNEIRIF RSELQRLSHENNVMLEKIKDNKEKIKNNRQLPYLVANVVEVMDMNEIEDKENNESTTQ GGNVNLDNTAVGKAAVVKTSSRQTVFLPMVGLVDPDKLKPNDLVGVNKDSYLILDTLP SEFDSRVKAMEVDEKPTETYSDVGGLDKQIEELVEAIVLPMKRADKFKDMGIRAPKGA LMYGPPGTGKTLLARACAAQTNATFLKLAAPQLVQMYIGEGAKLVRDAFALAKEKAPT IIFIDELDAIGTKRFDSEKSGDREVQRTMLELLNQLDGFSSDDRVKVLAATNRVDVLD PALLRSGRLDRKIEFPLPSEDSRAQILQIHSRKMTTDDDINWQELARSTDEFNGAQLK AVTVEAGMIALRNGQSSVKHEDFVEGISEVQARKSKSVSFYA SPAR_O02510 MGQSSSINSGIEEGSSHSKKFTNGKDVLTYFNNKAQQQVTTPEL VSFKGNLQIENLNTPISHKTLCNSLHFPQNHAIIVGIVTNMLRVLSNFPLVNSSYEPI TGYGLLKCILLLNRARCAKFLKLKSYDQLKLLFISLSLQKTDKEELSEGNENDGRKEM NIKQIIAGFDDVDTEMLCIPADFMLQFLTWLLILTVKCPTTNSKLDNGETHDQWGNFK ISALNLLRTMNPDVVGDIESHSITFQQFSTAIRTVMPNLLKPLENLMEHFFYLQNDLV DHDTNLSSIQDSKIMTPALLAQLSTGLPKELFIQKLQSLYIGRKSGFSMRSLQAKVFK WMAPSILVVSGMRITNSEEYAAKKNPRYRHFLEEFPKLKESDQKMDASHFNKKKTTFA VYIDDPWKVTNKDYFGDLNTRIIEISPRQDIYKVNQKGTIYFNTIGGGIGIGDKQPLI KPASKRYIPGNVSLTFDSTLEFAVFRNTGYGGSLDPGLLSMERKEESSLFELHFLIQD VEVWGCGGEKELEEQIKQLAWEEAESKRRQQINLRSLGEDRALLEMAGLVGQHQGGGS M SPAR_O02520 MPLEDKFDALSVSQRASDHINNQLLEKYSHKIKTDELSFSRAKT SKDKANRATVENVLDPRTMRFLKSMVTRGVIADLNGCLSTGKEANVYHAFAGTGKAPV IDEETGQYEVLETDGPRAEYAIKIYKTSILVFKDRERYVDGEFRFRNSRSQHNPRKMI KIWAEKEFRNLKRIHQSGVIPAPKPIEVKNNVLVMEFLSRGNGFASPKLKDYPYKDRD EIFHYYHTMVAYMRLLYQVCRLVHADLSEYNTIIHDDKLYMIDVSQSVEPEHPMSLDF LRMDIKNVNLYFEKMGISIFPERVIFQFVISETLEKFKGDYSNVTALVEYIASNLPIK SSEQDEAEDEIFRSLHLVRSLGGLEERDFDRYTDGKFDLLKSLIAHDNERNFAASEQF EFDNADHECSSGSEEFSDDEEDGSSGREEEDEEGSEDREYYDDDEPKILKGKKHEDKD IKKLRKQEAKDAKREKRKTKVKKHIKKKLVKKTKSKK SPAR_O02530 MPATLHDSTKILSLNTGAQIPQVGLGTWQSKDNDAYKSVLTALK DGYRHIDTAAIYRNEDQVGQAIKDSGVPRGEIFVTTKLWCTQHHEPEKALDQSLERLG LDYVDLYLMHWPARLDPTYVKNGDILSVPTKEDGSRAVDITNWNFIKTWELMQELPKT GKTKAVGVSNFSINNLKDLLASQGNKLTPAANQVEIHPLLPQNELIDFCKSENIMVEA YSPLGSTDAPLLKEPTILEIAKKNNVQPGHVVISWHAQRGYVVLPKSVNPDRIKTNRK IFTLSNEDFEAINNISKEKGEKRVVHPNWSPFEVFK SPAR_O02540 MSWQAYTDNLIGTGKVDKAVIYSRAGDAVWATSGGLSLQPNEIG EIVQGFDNPAGLQSNGLHIQGQKFMLLRADDRSIYGRHDAEGVVCVRTKQTVIIAHYP PTVQAGEATKIVEQLADYLIGVQY SPAR_O02550 MSSESLQEQSQNEQITDNLDATANSISNEETAFSQDDDDRKVNG NDGTKEEEDAELDDLFGDDDDDDDDSDSKKSDIGNSNSDSDEDGDEESINHRSRHRES LGLDDDEAEEQAMYTRKFYGEDANDFSDQDETTHTFKEENVELVRHIIPSKANINETA SHNEIFYARVPNFLTIDPIPFDPPSFEAKVNERTSNSASREDQLDDRLIDENTVRWRY SRDKDQHVFKESNTQIVQWSDGTYSLKVGEECTDILVNDTSNTFLTVSHDQQELIQCY EGGEIKKTLMFIPTSTNSKIHQKLSKAVIRRNQRQNKGPGTYIVNMDPEVEKRELEKK QSQILRDRRRRQLKEKEKQESPDAAFETGFRKQNSPTAYGASRRNEYEEDDFLVDDDE EEEAGFDDDEDNEEEEEEEEEDADEENAARLRNLKREGAAMYKEEEEEEKDRNETKRR RVAVIEDDEDED SPAR_O02560 MSNEDNELQNVIDDHHSQLLNQDKNNLDKNDTIIEDCPLYETSV NQQSAPVDVDDGKHLLYPDIATSLPLKTSDRLLDDILCDTIFLNSTDPKVIEKGLQTT GIIRESMLSYSTFRSSIRSNSLGSLTDQVVFQTKNEYDSISCSRYNKIYVFQAVILNP SLTEQLISSFDDIVKIPIYHLKVTVKVRQELERLKKHVGVTQFHSLDHLHEYDRVDLS TFDPSDPNLMDYGIYVSDDTNKLILIEIFKPEFNSPEEHQSFTTDAIKNRYNVMCLKN DSLDKKDTPSQADCFYTLFKIFKGPLMRKSKLEPIKTIDSGNLALNTNLNPEWLTSKY GFQASSEIDEETNEPFTEYVPPDMVGYVNDLEIRKTRESYVRKCLQLIFWGQLSTSLL APSSPLKNTKSVKGLSSLQTSFSTSPWFHLLGESRARILLNSNEKSHSPLDAEPHFVN LSVSHYYTDRDIIRNYESLSSLDPENIGLYFDALTYIANRKGAYQLIAYCGKQDIIGQ EALENALLMFKIDPKECNISELNEATLLSIYKFETSNRSQLTSNHLTNLKNALRLLAK YTKSDKLKFYVDHEPYRALSQAYDTLSIDESVDEDIIKTAYSVKINDSPGLKLDCDRA LYTIAISKRSLDLFNFLTEECPQFLNYYGPEKIDYREALKLLQVNENASDETILKIFK QKWFDENVYEPDQFLILKAALTKISIERNSNLITNFLLTGTIDPNSLPPENWPTGINN IGNTCYLNSLLQYYFSIAPLRRYILEYQKTVENFNDHLSNSGHVRRIGGREISRGEVE RSIQFIYQLRNLFYAMVHSRERCVTPSKELAYLAFAPSNVEVEFEVEGNKLVNGTANS SDSREEATHDVPTTIENDPSLIDLEMEYSPNGDVDKDANNTEKVESNDFEVTERDDTT GLASPTRVAKISSDQLENALEMGRQQDVTECIGNVLFQIESGSEPIRYDEDNEQYDLI KQLFYGTTKQSIVPLSATDKVRTKVERFLSLLINIGDHPKDIYDAFDSYFKDEYLTME EYGDVKRTVAVTTFPTILQVQIQRVYYDRERLMPFKSIEPLPFKEVIYMDRYADTENT LLLAKKKETEEMKQKLKVMKSRQRELLSRDDSGLTRKDAFLESIKLLESNLVEKTPLK TEANNDVIKTLRNNVNNIDDELMSLYNNISSLEEKISHQFDDFKEYGYSLFSVFIHRG EASYGHYWIYIKDRNHNGVWRKYNDETISEVQEDEVFNFNEGNTATPYFLVYVKQGQE ADIEPLKRILE SPAR_O02570 MLSCVSFFKPVSRGFSVLSSLKMTEHTSAKHAEKPEHTPKCENL SDAQAAFLDRVIRVDQAGELGADYIYAGQYFVLAHRYPHLKPVLKHMWDQEVHHHNTF NNLQLKRRVRPSLLTPLWKVGAFAMGAGTALISPEAAMACTEAVETVIGSHYNGQLRN LANQFNLERTDGTKGPSEEIKSLTSTIKQFRDDELEHLDTAIKHDSYMAVPYTVITEG IKTICRVAIWSAERI SPAR_O02580 MDYKKFLLFGDSITEFAFNTRPIENDKDEYALGAALVNEYTRKM DILQRGFKGYNSRWSLKVLPEILDNESNIVMATIFFGANDACSAGPQSVPLPEFVDNI GQMISIMKSHHICPIIIGPGLVDREKWEKAKAEEIALGYFRTNENFTIYSDALAKLAN EEKTPFVDLNKAFREEGGDAWQHLLTDGLHFSGKGYEILHDELLKAIEIFYPQYHPKN MQYKLKDWRDVLDDGSNIMS SPAR_O02590 MVSTAPNDQFPSCVRCKDFITTGHAYELGCDRWHTHCFTCYKCE KPLSCESDFLVLGTGALICFDCSDSCKNCGKKIDDLAIILSSSNEAYCSDCFKCCKCG ENIADLRYAKTKRGLFCLNCHEKLLAKRKYYEEKKRRLKKNLPSLPTPVIDSDHIDGA SATAAVPEKTSSRPASPVNEMPLGSELLKDIETNSSDIVPHFITGYNDSDDNSGSSKF GSNVSIDIIGPEENSTEHAKDDVKEEVEAHSANFSLEVAIDSTPSCKGPPSRSKSLLN KTPLRNSSGQYIAKSPSSYRQGIIVNDSLEESNQFEPPHNSSRSASELLSSVLHSPVS VNMKNPKGPNTDTFNTSLISQMDPSLPLKGLNNIVEEPNPLQTPAVEIVKTEKSVSDL AGVQQEQAEKPSYAGNSGKGRKISRSLSRRSKDLMTNLKSKATNKQDSNVKLSPASKS TFRRSQDLIRDVDSHPGFGTPNSNSTSLDTLMKNQKSLNYKRFTDDGILKVVSEKGDA PDEQKNFGFKSPSPIGHLLQSPATPSNVSMYRTPPLDSSLTFDRLNGSSYSNQNYSLP SWQNTPKIQLENSSNFEEQKETVYGNSESRNDPSLDKEIVTAELHLKQLKINLKELES QREELMKEIGEMKSMKETLRRHIEAYNTEKNKLYLDSNEFSGNPPTINEISSSESPPP VKHVATTSSVARSSVKPKFWKFFSSAKPQTEQSIQSVNSNNMNSTVKSAPVLLSAPST NSNSGRLEISPPVLQNPNEFSDVRLVPIESDGNMGQNKDVEEYSDGSNLYGSSLVARC NYENNEIPMVLSVCIDFIESDEENMRSEGIYRKSGSQLVIEEIERHFSSWKVQTEMPN ILTEQDLNAVTGVLKRYLRKLPDPIFTFQVYEPLMNLVKSKKMMENLPFVGGKLSLEA KNSDTYMSSRSALKSILEDLPKEHYRVLRALSEHIEKITQYSHWNRMTLYNLALVFAP GLIRDFSGEKDIIDMKERNYIVAFIFGNYKDILT SPAR_O02600 MDSRTVGILGGGQLGRMIVEAANRLNIKTVILDAENSPAKQITN SNDHVNGSFSNPLDIEKLAEKCDVLTIEIEHVDVPTLKNLQVKHPKLKIYPSPETIGL IQDKYVQKEHLIKNGIAVTKSVPVEQANETSLLNVGNDLGFPFVLKSRTLAYDGRGNF VVKNKEMISEALQVLKDRPLYAEKWAPFTKELAVMIVRSVDGLVFSYPIVETIHKDNI CDLCYAPARVPDSVQLKAKLLAENAIKSFPGCGIFGVEMFYLETGELLINEIAPRPHN SGHYTIDACVTSQFEAHLRSILDLPMPKNFTSFSTITTNAIMLNVLGDKHTKDKELET CERALATPGSSVYLYGKESRPNRKVGHINIITSSMDECEQRLNYITGRTDIPIKISVA QKLDLEAMVKPLVGIIMGSDSDLPVMSAACAVLKDFGVPFEVTIVSAHRTPHRMSAYA ISASKRGIKTIIAGAGGAAHLPGMVAAMTPLPVIGVPVKGSCLDGVDSLHSIVQMPRG VPVATVAINNSTNAALLAVRLLGAYDSSYTTKMEQFLLKQEEEVLVKAQKLETIGYEA YLENK SPAR_O02610 MLRREPNSSSSSNRWIENGSFPFEKPNVSYIVSAEFDNKLGPIV KHQYPKSIPGFSQSPYEECNGNTSVSMNLASLMIPSSIERNPGKQDVTVFTLYYNKFT QNYQLFPVPKHPRFSFNLHHREHSDSSVSNSIYYDAENYQDARNNRYTIVLENDEFES QEFQNNRKAIDNEPLFFINVANTVLDTTNDRGAVIKSIAIGTPLKTFFAFKNIIVLVL DLYMKAPTQDAATDVLLDCFRMLNSIDLSLINDIHSKSSIQDVLHSIHDESIITKVFF DPNSTLKKLFCIDGFDIKDKYGNNVTFHDQFIQYHFTRFQPKTLPPFLLKIPLQFDMI KREPIYIENDYNELVLKLLDIFIPYLLKAGPKLNAWKLVINSTKLSKEDLCAFILSLA NITATYASDPQSYFKGNTALIFPYMDISLVDSLRANVTSDTDSIGCFAIIGTANPIFR YQLDIWDYYYDVDEGVFYENNRPEKEKLDTEAEQKTEPNPLKKIFNRPHFSTNVVNES QVNLGQKLFSLLIDEYHDYDTIMSVLRRLNVLQLENLLDTLKRREISPDIALKDEYIM FYKDLFIFPEFFDYFTLHSIELLSNLDNCLFSLGNTCQLLSTEQTYSQLSQILEIVKE LFRMVSISRTNVEKFLNACLNYSPSKILPTAELQADNFSRWSFEREVHQGFDNFNSYM GIERDPHCVVLSAIDLFTQIYSFDILALFLTFIVKDSGQDLPSTKSLSRKRTYLTRMA QSSSLRQFLQLSTRPNIRILGSNGQGSKNFKYSEFTNASSVISPKLRASPLLERRASK ISYAITKLLYRLECHPLGRIFLKKYLHNQFREAYLESKRHFICENGDPDSRDSSNAPS TIVSSFIPLSSNGTKMSNDLKQISEQQESKLESTQRKADGA SPAR_O02620 MEDSKKKGLIEGAILDIINGSVAGACGKVIEFPFDTVKVRLQTQ ASNVFPTTWSCIKFTYQNEGIARGFFQGIASPLVGASLENATLFVSYNQCSKLLEKHT NVSPLGQILISGGVAGSCASLVLTPVELVKCKLQVANLQAASAKVKHTKVLPTIKAII SERGLAGLWQGQSGTFIRESFGGVAWFATYEIVKKSLKDRHAFDDPKRDENKIWELLV SGGSAGLAFNASIFPADTVKSVMQTEHISLTNAMKKIFGKFGLKGFYRGLGITLFRAV PANAAVFYIFETLSAL SPAR_O02630 MTKLQGLKRLKQIKAVVFDMDGTLCLPQPWMFPAMRNAIGLHDK AIDILNFIDTLPTEKEKKEAHDKIELVEAKAMKEMQPQPGLVDIMTYLTKNGISKNIC TRNVGAPVETFVTRFIPLELSRFDYIVTREFRPTKPQPDPLLHIASNLNIRPLEMIMV GDSFDDMKSGRSAGCLTVLLKNHVNGHLLLEHKELVDVSVEDLSEIIELIQNLNDKGL SPAR_O02640 MASAVPYDPYDDLDNNPFAEPQEEGSEPAATTTDGSSSMSEERV STEEAASVQDYETANNAQEGLGREEYATQSKTLSEQGGNQHPSQPTERVILPERSDEK KKYSLLAKITGLERFGSATGKKENPTIIFDCSTNLPTFRKQQYKNVKKSYEEFRQLFK YLNAAIQESFVPTLPPAYTTFGINSEEDRIKVTRNFQLWFNRTSQDPLIIRNEEVAFF IESDFNTYTPINKFKSLASGLKRKTLKQLAPPYDEVTELAEFRPLVKSIYVVSQNLQE KLLRVSRSRKMMVQEENAFGQDFVNLDEHNKLYKRYGKILTAVGDIDSIIATMDMASL YDGLEWVVRDAYVVKEALTNRHFIMRNLIQAQQNSKAKQEQARRFRSRRDINPMKIDE ALRQLKTATKNEQVLTLKLQRITSNMIIERKQWISWYEEWIRSSIKEFTLRKIEYERK KLTLLERVRSDIRKADENGGLSRLGRHAVSNNNIDTSQTLKGDSWTGESNRKSQIPIN KIAHTEFDDELFTEDDAYNSQDSDTTSLNARHAASLLGMCTK SPAR_O02650 MVAFTVDQMRSLMDKVTNVRNMSVIAHVDHGKSTLTDSLVQRAG IISAAKAGEARFTDTRKDEQERGITIKSTAISLYSEMSDEDVKEIKQKTDGNSFLINL IDSPGHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVVINKV DRALLELQVSKEDLYQTFARTVESVNVIVSTYADEVLGDVQVYPARGTVAFGSGLHGW AFTIRQFATRYAKKFGVDKSKMMDRLWGDSFFNPKTKKWTNKDTDAEGKPLERAFNMF ILDPIFRLFTAIMNFKKDEIPVLLEKLEIVLKGDEKDLEGKALLKVVMRKFLPAADAL LEMIVLHLPSPVTAQAYRAEQLYEGPADDASCIAIKNCDPKADLMLYVSKMVPTSDKG RFYAFGRVFAGTVKSGQKVRIQGPNYVPGKKDDLFIKAIQRVVLMMGRFVEPIDDCPA GNIIGLVGIDQFLLKTGTLTTSETAHNMKVMKFSVSPVVQVAVEVKNANDLPKLVEGL KRLSKSDPCVLTCMSESGEHIVAGTGELHLEICLQDLENDHAGVPLKISPPVVAYRET VESESSQTALSKSPNKHNRIYLKAEPIDEEVSLAIENGIINPRDDFKARARIMADDYG WDVTDARKIWCFGPDGNGPNLVIDQTKAVQYLHEIKDSVVAAFQWATKEGPIFGEEMR SVRVNILDVTLHADAIHRGGGQIIPTMRRATYAGFLLAEPKIQEPVFLVEIQCPEQAV GGIYSVLNKKRGQVVSEEQRPGTPLFTVKAYLPVNESFGFTGELRQATGGQAFPQMVF DHWSTLGSDPLDPTSKAGEIVLAARKRHGMKEEVPGWQEYYDKL SPAR_O02660 MFTMNLLSTPPPEEVPLQDSLYLMPSVEDKKDRDSFSNSQNEFD GRVFGVPLEESLNVAQEEVIIQESRKEIVFIPVVIAKSGHYLKENALDTTGIFRIAGS NKRVRELQTVFSKPPDFGRKFEGWNDFNAHDIATLLKRYLNSLSEPLVPLALYDIFRN PILENPKTNEHKEEIIKNYEDIYMLLPQQNRHLILYLAALLNLFARNEKKNLMPASNL AAIVQPSILSHPKHEMSPKEYEISRTVIEFLILHALDIIPNTDYANKDTKPHAGIVAK FKNITVPEMAIDSDEEDFLHPSIDDHMLPRSRAHSDSNNFTLHHHQALSSSPIDLHND GLSVPRSFKGRTLSAESLSPKLGKLLGNVGHSKDPTERVPRSEHKTKHKQHRQSWLRR LTSPSRTLP SPAR_O02670 MLRSTFFRNTSRRFLATVKQPSIGRYTGKPNPSTGKYTVSFIEG DGIGPEISKSVKKIFSAANVPIEWESCDVSPIFVNGLTTIPDPAVQSITKNLVALKGP LATPIGKGHRSLNLTLRKTFGLFANVRPAKSIEGFKTTYENVDLVLIRENTEGEYSGI EHIVCPGVVQSIKLITRDASERVIRYAFEYARAIGRPRVIVVHKSTIQRLADGLFVNV AKELSKEYPDITLETELIDNSVLKVVTNPSAYTNAVSVCPNLYGDILSDLNSGLSAGS LGLTPSANIGHKISIFEAVHGSAPDIAGQDKANPTALLLSSVMMLNHMGLTNHADQIQ NAVLSTISSGPENRTGDLAGTATTSSFTEAVIKRL SPAR_O02680 MRLHYRRKFKFLRRILFILCITSLYLSRDSLKLHAKNAFMNSNV GEYHGGMIDDIQILRCYHWYRQCGSLYAPRLHPSNTATRIKDKNSVLWSRVSKNITIE TLYSLQSGAFYNSYLYVHLKGFQSNPKNSIKELAIARDSALIPLQVLRDINKLVRSSD SSVFHNHVYRREKPTSSWWKLLFGISVETDNIAVFGEEWVYKGSGIWCKYVLNDDDND APITNLEIYLGSSFIESRPSWKEVIHEFHRNNIPSLPISITRKLDTKNHHHKSSNELL GPLGAPSKGNNVIRLLQVDADYKITSPHIQFSRGQRSFKILQMTDFHFKCTDNSMTII NEIKTVNFIDRVLASESPDLVVITGDLLDSHNTIDYQTCIMKLVQPMISNKIPYAISL GFSDESNLATQSQIKDFIRNLPYTFNNVASEEGHMAIEVSFKKKLSKDTLLEKDIDTK DEMSPSEALFFVFDAFNPVNDFLQDHNKLIGKIDFGLAFQYFPLSEYRPHGLFPIIGQ YNERSTLTVDTSRSRGQVSMTINGKHYKSFLDILSLWNIKSVSCGHEHNNDCCLQSKN EMWLCYGGSTGIGLPRIEGIYPTVRLFNLDDILDEITSWKRNSNLVDEVYDYQYIYKG KQ SPAR_O02690 MMDNQAVKSLLEMGIPHEVAVDALQRTGGNLEAAVNFIFSNELP EQAAVNGENDVNQPRISENKIEAGAKSYDVPNNGDQDIDMPDVSGVDVDYDDDEDITD ERSGSNSTNGCRPAARDYDHYAISETSIPPPSYSIVQHNEFKSTTEDPTVVLPLPLNS LIESYFGLFALLAAVYFPHVFLKPDFKDLNYRADWFKGSSFSEPRYRLAYCEAEDGST TSEVVLASGPSDELQPHLLWQLQRLISVVNTRMCERAFVSAKLFTSSLEPQLKSKLAD SEHLYEVLPAFIKSLAVDLEMCPGIRDRETRNLFISSALHTPNRNEPPIETFLSLFHF LPEEYDSNLYKMFNVLLYPEEEEQEEDIIRGSAGEQEEERYVEPENTLKEVAPVLTIL FNELETNTESVTLPNGVDIPLEFYPQLYTKRCKDQLMRHIISKRRQARTRSRSLLQEI SDLKSYQGKNISTILESTLAYLQTIPDDANNEAVKQIASLKDTLNSMRAAKMEEYKDL ASKLHGEWNLSHPETHIINTAKQLGLIENPYILTMAALSPYSYFIRSRNGLWSWVQSN TLGTEFKVKRCSSPSVVQEAIRHGTKYASETPLMFIYCEEGEIPTEEVVAEALKSNRG CLKFAEDDQNSLKTLRSQFFDGVGDIGQATNNIINGNDNDSDDDFDSDD SPAR_O02700 MSEEETVSAPAPASTPAPVGAGVGGGGGASAGIANASAEGGDGA EDVKRHGSKMLVGPRPPQNAIFIHKLYQILEDDSLHDLIWWTPSGSSFMIKPVERFSK ALATYFKHTNITSFVRQLNIYGFHKVSHDHNSNDANSGDDANTNDDNNANDDNSGNKN SSGDENSGAGAQEKEKSNPTKIWEFKHSSGIFKKGDIEGLKHIKRRASSRNNSSINSR KNSSNQNCDIDSGVRARPSSIQDPSTSSNSFGNFVPQIPGANNSIPEYFNNPHVTYEN ANHVPLECNNPEMQEQSRPPSFQDETLKHLKEINVDMVKIIESMQHFISLQHNFCSQS FTFKNVSKKKSENIVKDHQKQLKAFESDMLTFKQHVMSRAHRTIDSLYAFNATATAAA AAPAPVPTSTSTSAYAPKSQYEMMVPPGNQYVPQKSSSTTNIPSRFNTASVPPSQLFV QYQPQPQQHVTYAKQATHVPNFINQPIPIQQLPPQYADTFSTPQMMHNPFASKNNNKP GNTKRTNSVLMDPLTPAASVGVQGPLNYPIMNINPSIRDYKSVPQNMAPSPIYPINEP TTRLYSQPKMRSLGSTSSLPNDRRNSPLKLTPRPSLNEDSLYPKPRNSLKSSISGTSL SSSFTLVANNPAPIRYSQQGLLRSLNKAANCAPDSVTPLESSILTGPTPKNMDNLPAV SSNLINSPMNVEHSSSLSHAEPASQIELPQPSLPTASATKKTDETGDSKRKGSGVYSL LNQEESDTTSSDPKTENKAAPAFKKVKM SPAR_O02710 MSQEEADSSIVYEEPIDIPLEDDDDEDEMEEEENTVPLSSQADQ ENGENDSDNSVDNVIGSETPRSVTGLSADPRDIADEEDDDEEGEEEEEDENDVDNDDE NDIDNANGNDSELGNSGDKRAQPAVQASKRYKKYPKLDPTKAPPGKKVPLHLLEKRRL GRIKAAEEFAKTLKKIGIEKVETTTLPATGLFQPLMLINQKNYSSDYLKKDDQIFALR DRKFLRNNNTSQMSSTNTPDVIDLKNLPHSEVSIAPLNDEIDLNDPTATIVIHPGSNS IKIGFPKDEHPVVVPNCVAVPKKWLNLENSEHVENVSLQREQSEEFNNIKSEMEKNFR ERMRYYKRKVPGNAHEQVVSFNENSNPEIITEKNDPSPIEWIFDDSKLYYGSDALRCV DDKFVIRKPFKGGSFNVKSPYYKSLAELISDVTNLLEHALNSETLNVKPTKFSQYKVV LVIPDIFKKSHVETFIRVLLTELQFQAVAIIQESLATCYGAGISTSTCVVNIGATETR IACVDEGTVLEHSAITLDYGGDDITRLFALLLLQSDFPLQNWKIDSEHGWLLAEQLKK NFTTFQDADVAVQLYNFINRSPNQPTEKYEFKLFDEVMLAPLALFFPQIFKLIRTFSD KNSSLEFQLPESRDLFTNELNDWNSLSQFESKEGNLYCNLNDDLKILNKILEAHNIID QLQDKPENYGNTLKENFAPLEKAIIQSIANASITADVTRMNSFYSNILIVGGSSKIPA LDFILTDRINIWRPSLLSSASFPQFYKKLTKEIKDLEGHFVSAPDKTEEENKQVLQTQ IKKKVIEELEEQHQNIEHQNGNEHLFPISIIPPPRDMNPALIIWKGASVLAQVKLVEE LFITNSDWDVHGSRILQYKCIFTY SPAR_O02720 MLRSTVSKASLRICRHFHRESIPYDKTIKNLLLSKDTKVIFQGF TGKQGTFHASISQEYGTNVVGGTNPKKAGQTHLGQPVFASVKDAIKETGATASAIFVP PPIAAAAIKESIEAEIPLAVCITEGIPQHDMLYIAEMLQTQDKTRLVGPNCPGIINPA TNVRIGIQPPRIFQAGKIGIVSRSGTLTYEAVQQTTKTNLGQSLVIGMGGDAFPGTDF IDALKLFLEDETTEGIIMLGEIGGKAEIEAAQFLKEYNFSRSKPMPVASFIAGTVAGQ MKGVRMGHSGAIVEGSGTDAESKKQALRDVGVAVVESPGYLGQALLDQFAKFK SPAR_O02730 MSEECIENPERIEIDADLIETRNKMNLKELIHPNEEDNSTLLIL NQKIDIPRPLFYKIWKLHDLKVCADGAANRLYDYLNDDESIRTKYLPDYIIGDLDSLS EKVFNYYRENRVIIIKQTTQYSTDFTKCVNLISLHFNSPTFHSLISNKNNIQSNHGIE LEKGIHTLYNTMAESLAFSKVTPISLLALGGIGGRFDQTVHSITQLYTLSENASYFKL CYMTPTDLIFLIKKNGTFIEYDPQFRNTCIGNCGLLPIGEATVIKETRGLKWDVKNWP TSVVNGRVSSSNRFVGDKCCFIDTKDDIILNVEIFVDKLIDFL SPAR_O02740 MKRHVSLSDILTGNRKKVRRQDALQITIDEENDAECGTFEARTS NHDDSSVIFLNHSIVKPIEATSANPRSAKEFLMMKRTKEKCDNEDDDLIVISDKSSKR NTDDAEIAVSHEQEDDISIISTSRIKSSLLNERASKIKNLLKNETSDTSKRLNSISKL KEIEPPLPLHQSIYPVDGIELSDENVEIPLPLRILPPLNHIFLPIDYETLKDRSSTSC IPVRYRAPKLLGTNVKRNTTLTWPQLFKPLTLKQVLIEPKLKLRIKNWIQTSFHNLEK PTSRNRLLNRINSNKQQGSVDELANFIVPDFEEDESLRANFYKNGEANTNLSEFVPLM ILQGNAIGKKTLIQTVMREIAGDDNSYQIYEVNPNMNRSKKDLLDTLLDFTTTHYVKD SSKRKCDYGLVLFNDVDVLFKEHDKGFWSMINKLCEFSRRPLILTCKDLSLIPGELIT LTTEQNSLFHTKKISTSTVHAFLTKYLKSLEIEVCDDWLHDIIKQNNADIRKCLMCLQ FWCVSTEADLILSESRPPILTSTLGSSVKDISKLTDLLSLNDVIGQATRNRSMVRQEI DSTTMTPEKVHAFQDPNLDDEMKLQSDYVIDYKLHLNDPNRQPLLPFELNIYQHIQEQ LEARHSYGRELTQRLDNEYLVNRFKKMTESTINFLASRTPKYDHLQSTRRTRNSKKIS DILNQFKGIYNVNTPNENAEVHLLSATAQQIRAEINPFVFEIAKSDANVKNENKQIFE LHSENVSERRYKDLVYQLSQDGVLKNVWFKADPSIVVRKWEHLHSGVSRHK SPAR_O02750 MVAPTALKKATVPPASGEDSGSSRIIGINNTESIDEEDDDDVLL DDANDNTAKDEGDSEDGSQKMRESKTVVVDDQGKPRFTSASKTQGNKIKFESRKIMVP PHRMTPLRNSWTKIYPPLVEHLKLQVRMNLKTKSVELRTNPKFTTDPGALQKGADFIK AFTLGFDLDDSIALLRLDDLYIETFEVKDVKTLTGDHLSRAIGRIAGKDGKTKFAIEN ATRTRIVLADSKIHILGGFTHIRMARESVVSLILGSPPGKVYGNLRTVASRLKERY SPAR_O02760 MLVTRLQTPIIKRSLLPITSHLVKRCIRAYIAPNHGNIRSLIAP CKSSLPVRCLIAQRYMRSFPTNDKFTTKASNIETILLRKNNEREFKQSLLADAKNFQE RFKINLKWILIKNNRPFSLNEISIIASWLILSQILWLILSTTTFISFYLFVVNSIFSQ EYIYEKKIYERLLKFLLKDHKRSDQDLEISFSLEDKASTLVLSPDWESNSILIKRLNV KDEDLDLDLKFHHINLNVSLKNWLLGRGLITNVSIYGIRGYLNLSNFINLVNSFQGDQ KTENFLKTSNNIEITDSEIFLKQSLSAEEAPKLKFSIYNLSLPQLRLNHFISDILSAK TFSGSINNSLFNLFKRQQKLTAVIENNNKNRMATSKFDFTDNNQENYRTVTHQDDPNY ITTLRLNFIDINDLKFNDDGKFNWLKDGQVEILADIMLTNSNSHLSSESKYAVVDLKV TCKDLKTTFPQEPPVLSTGDSIVSLDELKPIITFINSYEGMANPILKDFTENERLKNS IIWSSPNVSINRQRKSYPLTTKVTSNSAKEIIKFHNQPNTDANEIVLRCKMVKNLSDL QLININQILDQITMELYVDLTKIVEDWEFKNKNDWMKQWGTTFASQLLLFGFGAMV SPAR_O02770 MSKISLKLGNKTLKKNVSKKTKKKTSSQKVNLFNWDNAEITSLP HKSQSKIKIQSIDKFDLDEKSSSEKKLVIKLSENADAKKNDAPLVEYVTEKEYSEVPI EEFGDALLRGMGWESDSEEDAKGDNAQNRSKDIPNASRIHPDGLGIGAKLNKAINVEE ASFMPVVKIDKMTGSKVDGDKKNKSR SPAR_O02780 MMSYQWWPYLVYAIGLMLGLGPSYIHPDEHFQCIEILAMQFTKA KGTIPWEFEPKFAARSYAPLLLIYGPLFTILESFSKVRNNPILILYLMRLQNYVMLLL CYHFVIPKLIRNDRKAVQSIKKGLLLTSYVTWTYQTHTFSNSIETLILMTTLTVMENM MNDKNIHTNNFKKSILLGHMLSLGVFNRVTFPAFIFLPCLILFWKFYQIHWKSFSLVL ISFLFSSGIFALIDTHIFNNGKGLVITPLNNLKYNLNVQNLQLHGLHPRYTHLLVNLP QILGPTLLLAILSGYKLDKLSTYSIVSGLLFLSLFQHQELRFLVPLLPLFVTNLNWTP FSSTLVNKKLFQGTWLFFNIIMAFIMGISHQGGIVQFLADFYHFNTEKMGVHIWWKTY SPPTWMYMSDNLTVSSLINTQDGVESIDESAFSVGTNHVIDLKGCDLPLLEETIRRFR LSGAITPLTLIAPNSMTSELKKLKRDETVKLTPKRHYLFHFDLDHFDFNDFTTFKPGL TVYSIELL SPAR_O02790 MSQKIGHSGLAFARLWHHVDVARDKRTLGRLASAIAITLIGKHK PVYHPSQDCGDYVVVTNCQKIRVTGKKFEQKTYWSHSGRPGQLKLQTMEKVVADKGFG EILKKAVSGMLPKNKLRKQRLDRLKVFDGSENPYKQNITAFANEQSSIPESLKESIFN QLK SPAR_O02800 MSDLANSEKYYDEDPYGFEDESAPITAEDSWAVISAFFREKGLV SQQLDSFNQFVDYTLQDIICEDSTLILEQLAQHTTESDNISRKYEISFGKIYVTKPMV NESDGVTHALYPQEARLRNLTYSSGLFVDVKKRTYEAVDVPGRELKYELIAEESEDDS ESGKVFIGRLPIMLRSKNCYLSEATESDLYKLKECPFDMGGYFIINGSEKVLIAQERS AGNIVQVFKKAAPSPISHVAEIRSALEKGSRFISTLQVKLYGREGSSARTIKATLPYI KQDIPIVIIFRALGIIPDGEILEHICYDVNDWQMLEMLKPCVEDGFVIQDRETALDFI GRRGTALGIKKEKRIQYAKDILQKEFLPHITQLEGFESRKAFFLGYMINRLLLCALDR KDQDDRDHFGKKRLDLAGPLLAQLFKTLFKKLTKDIFRYMQRTVEEAHDFNMKLAINA KTITSGLKYALATGNWGEQKKAMSSRAGVSQVLNRYTYSSTLSHLRRTNTPIGRDGKL AKPRQLHNTHWGLVCPAETPEGQACGLVKNLSLMSCISVGTDPMPIITFLSEWGMEPL EDYVPHQSPDATRVFVNGVWHGVHRNPARLMETLRTLRRKGDINPEVSMIRDIREKEL KIFTDAGRVYRPLFIVEDDESLGHKELKVRKGHIAKLMATEYQDIEGGFEDAEEYTWS SLLNEGLVEYIDAEEEESILIAMQPEDLEPAEANEANDLDVDPAKRIRVSHHATTFTH CEIHPSMILGVAASIIPFPDHNQSPRNTYQSAMGKQAMGVFLTNYNVRMDTMANILYY PQKPLGTTRAMEYLKFRELPAGQNAIVAIACYSGYNQEDSMIMNQSSIDRGLFRSLFF RSYMDQEKKYGMSITETFEKPQRTNTLRMKHGTYDKLDDDGLIAPGVRVSGEDVIIGK TTPISPDEEELGQRTAYHSKRDASTPLRSTENGIVDQVLVTTNQDGLKFVKVRVRTTK VPQIGDKFASRHGQKGTIGITYRREDMPFTAEGIVPDLIINPHAIPSRMTVAHLIECL LSKVAALSGNEGDASPFTDITVEGISKLLREHGYQSRGFEVMYNGHTGKKLMAQIFFG PTYYQRLRHMVDDKIHARARGPMQVLTRQPVEGRSRDGGLRFGEMERDCMIAHGAASF LKERLMEASDAFRVHICGICGLMTVIAKLNHNQFECKGCDNKIDIYQIHIPYAAKLLF QELMAMNITPRLYTDRSRDF SPAR_O02810 MFNLILWPLFLLTSVAIPLQLTLEVVYLTSSVDFSKASAAKTAT SLGQSPVVITIYKSLLKYWSLYEFIHFIYLYTPIDAFLNFLPFTSLLMSFGSICLTRE LIYDFIAFMESQSKLTGFLNKITEPNFNSYLLFSSIYNIWFADDTNDKFLFGKLTQIL ISVTKRYEFPRTYYLSQVSDFLQNLILTRLRPFVTDQPQDYRNKYQNSDRESTKNGTA HQNSSQQSSSFEQNFTSTEFPNDYDFMEDILEETAELD SPAR_O02820 MPEAKLNDNVNDVTSYSSASSSTENAADLHNYNGFDGHTEARIK KLARTLTAQSVQNSTQSAPNKDDAQSIFSSGVDGVNPIFSDPEAPGYDPKLDPNSDNF SSAAWVKNMAHLSAADPDFYKPYSLGCAWKNLSASGASADVAYQSTVVNIPYKLLKSG LRKFQSSNDDNTFQILKPMDGCLNPGELLVVLGRPGSGCTTLLKSISSNTHGFDLGAD TKISYSGYSGDDIKKHFRGEVVYNAEADVHLPHLTVFETLVTVARLKTPQNRIKGVDR ESYANHLAEVAMATYGLSHTRNTKVGNDIVRGVSGGERKRVSIAEVSICGSKFQCWDN ATRGLDSATALEFIRALKTQADISNTSATVAIYQCSQDAYDLFNKVCVLDDGYQIYYG PADKAKKYFEDMGYVCPSRQTTADFLTSVTSPSERTLNKDMLKRGIHIPQTPKEMNDY WIKSQNYKELMKEVDQRLSNDDEATREAIREAHIAKQSKRARPSSPYTVSYMMQVKYL LIRNMWRLRNNIGFTLFMVLGNASMALILGSMFYKVMKKGDTSTFYFRGAAMFFAILF NAFSSLLEIFSLYEARPITEKHRTYSLYHPSADAFASVLSEIPSKLTIAVCFNIIFYF LVDFRRNGGVFFFYLLINIVAVFSMSHLFRCVGSLTKTLSEAMVPASMLLLALSMYTG FAIPKKKILRWSKWIWYINPLAYLFESLLVNEFHGIKFPCAEYVPRGPAYANVTNTES VCTVVGAVPGQDYVLGDDFIKGTYQYYHKDKWRGFGIGMAYVVFFFFVYLFLCEYNEG AKQNGEILVFPRSIVKRMKKRGELTEKNANDPENVGERSDLSSDRKLIQESSEEESDT YGEVGLSKSEAIFHWRNLCYEVQIKAETRRILNNVDGWVKPGTLTALMGASGAGKTTL LDCLAERVTMGVITGDILVNGIPRDTSFPRSIGYCQQQDLHLKTATVRESLRFSAYLR QPAEVSIEEKNRYVEDVIKILEMEKYADAVVGVAGEGLNVEQRKRLTIGVELTAKPKL LVFLDEPTSGLDSQTAWSICQLMKKLANHGQAILCTIHQPSAILMQEFDRLLFMQRGG KTVYFGDLGEGCKTMINYFESHGAHECPADANPAEWMLEVVGAAPGSHANQDYHEVWR SSEEYRAVQSELDWMEKELPKKGSLTAAEDQHEFSQSVIFQTKLVSTRLFQQYWRSPD YLWSKFILTIFNQLFIGFTFFKAGTSLQGLQNQMLAVFMFTVIFNPILQQYLPSFVQQ RDLYEARERPSRTFSWISFIFAQIIVEVPWNILAGTIAYFIYYYPIGFYSNASAAGQL HERGALFWLFSCAFYVYVGSMGLLVISFNQVAESAANLASLLFTMSLSFCGVMTTPSA MPRFWIFMYRVSPLTYFIQALLAVGVANVDVKCADYELLQFTPPSGMTCGQYMEPYLQ LAKTGYLADEGATDTCSFCQISTTNDYLASVNSYYSERWRNYGIFICYIAFNYIAGIF FYWLARVPKKNGKLSKK SPAR_O02830 MANRLLIYGLILWVSIIGSFALDRNKTAQNAKIGLHDTTVITSA ATMNMRKDCASPLSTGSLKTHAFRQPSRVEIRHAEIQENGEKKERGALTQPATTRKPS DSSNSFLSFDEWKKVKSKEHSSSPERHPSRMREPVDPSCYKEKECIGEELEIDLGFLT NKHEWSEGEGNQKSSNEEKDISKVYKKQFNYASLDCAATIVKSNPEAIGATSTLIESK DKYLLNPCSALQQFVVIELCEDILVEEIDIANYEFFSSTFKKFRVSVSDRMPVVDNEW TILGEFEAENSRELQKFQIHNPQIWASYLKIEILSHYDDEFYCPISLIKAYGKTMMDE FKIDQLKAQEDKEQSIAAKNIDNLNEQNIQDGCNNIETHLEALNASTVSDIAGVLSCT SKLIPLKFDEFFKEVNASFCPPKQIISSYSSAVPVIPEESIFKNIMKRLSQLETNSSL TVSYIEEQSKLLSRSFEQLEKAHEAKFGHLVTIFNETMMSNLDLLNNFANQLKDQSLR ILEEQKLENDKFTNRHLLHLERLEKEVSFQRRIVYASFFAFVGLISYLLITRELYFED FEDGKNGTIERVDIVQQAIR SPAR_O02840 MSSRYRVEYHLKSHRKDEFIDWVKGLLASPFVLHAVSHEGDYND DLATTQRVRSQYADIFKDIEGLIKDKIEFDSRNISQDEIEDGASSQSLNILGQSRLNL LVPSIGTFFTELPLEQAFLWEDSQRAISARRMVAPSFNDIRHILNTAQIFHFKKQENL HNGKILRLVTFDGDVTLYEDGGSLVYTNPVIPYILKLLRCGINVGIVTAAGYDEAGTY ENRLKGLIVALHDSTDIPATRKQNLTIMGGESSYLFRYYEDPEEDNFGFRQIDKEEWL LLRMKAWSLEDVEKTLDFAERTLNRLRKRLNLPSEIAIIRKVRAVGIVPGERYDEASK RQVPVKLDREQLEEIVLTLQNTLESFAPSRRIQFSCFDGGSDVWCDVGGKDLGVRSLQ QFYNPEAPIKPSETLHVGDQFAPVGSANDFKARLAGCTLWIASPQETVNYLHRLLETD SPAR_O02850 MASVMSNNNSDNNASYMFTNPLSSTGGGLINEIKDAINEMEQLK VLELKQICKSLDLAITGKKAVLQDRIKQFLRKSCDIGHIDPWRPKAIKILIAKVKINS SLPKYSTLWETLKTGAFKHPVASGQLPVTALQGTVLRPLSQQQALAYSFTSPFYKPIV QIPDANKKLKQSAGRGCTKMKFKVSKSNHDLLKSNKSYKLYLFSGFSIPFIYETVGHE AIDFPYPCELVFNGTKLEDNVKGLKKQNGTGNPANLTPYLRSPIEMNQLDLHYLNIDK EYSISCFIVEVFSPELLLENILKRPKIIKQATIAYIKRTLNEQDDEDIITTSTVLTLQ CPISCTRMKYPAKTEQCKHIQCFDALWFLHSQSQVPTWQCPICQHSIKFDQLKISEFV NSIIQGCKEDVEQVEISVDGSWKPIHESSAVITDDVNQNHSVKSENQGTVKQEQDYDS RNAFDINLHDGSNHNEPEIISLDSSDDEVFVPVGKNFTTNENPHTEQLRADVFPSESE GSSDYNPNHTSTPKGSPTMDQNQYQDDFQMRSFLNQGMNTNINDTPTNNSSINSFVTA TNGDSRIFYNRGPSTPLLPTALQNLTNQTEAQRNLYGSNYNNTAHDHNILGIEGDLPP IPHVDANSEAETEVPTRVISAAQLPPYIHVSTSGHGDDGKIRKRRHSNVSIYIPKNPY ATLMKRRPQANHAIMNKTLAQPNDFNAPTQDNSEVVDLTSD SPAR_O02860 MAGLSFDNYQRNSFLTENSHTQPKATSTGTTIVGVKFNNGVVIA ADTRSTQGPIVADKNCAKLHRISPKIWCAGAGTAADTEAVTQLIGSNIELHSLYTSRE PRVVSALQMLKQHLFKYQGHIGAYLIVAGVDPTGSHLFSIHAHGSTDVGYYLSLGSGS LAAMAVLESHWKQDLTKDEAIKLASDAIQAGIWNDLGSGSNVDVCVMEIGKDAEYLRN YLTPNVRELKQKSYKFPRGTTAVLKESIVNICDIQEEQIDITA SPAR_O02870 MGKGAAKYGFKSGIFPTTRSILKNPTTKQTDIINKVKSPKPKGV LGIGYAKGVKHPKGSHRLSPKVTFIDVDNLIAQTVAEPQSIKSGNGPAQKIRLQKAEL RRGFLIEAFRKEEARLLHKHEFLQKRTKELEKAKELELEKLNKEKSSDLTIMTLDKMM SQPLLRDRSPEESELLKLKRNYNRSLLNFQAYKKKLNELLNLYHVANEFIVTESQLLK KIDKVFNDETQEFTDAYDVTSSFTQFGNRKSLLSGNSTLQTQINNAIMGSLTNEKFFD ISLVDSYLNKDLRQISDRIDSKLNFSSNEAGNGDNNNTTNL SPAR_O02880 MSNKVKSKAMVPPINCIFNYLQQQTPVTIWLFEQIGIRIRGKIV GFDEFMNVVIDEAVEIPVNSADGKEDVEKGTPLGKILLKGDNITLITSAD SPAR_O02890 MENLQVSDIETALQCISSTASQDDKNKALQFLEQFQRSTVAWSI CNEILSKQDPTNSFLELNIFAAQTLRNKVTYDLSQLENNLPQFKDSLLALLLSHNQKL IITQLNVALARLAIQFLEWQNPIFEIISLLNSSPSILLNFLRILPEETLDIASTPLTE VEFNSRIHELVDPIAEDVLKFLVSCIDLLQNTDETSNSSISLEQILRCLNSWSYEFPI EQLLTVQPLINLVFETISNANENDMDAFDSAIDCLCVILRESRDTTNEQLISALFQQL MLLQEKLLPTLIMDHPLNDEYDSDLLEGMTRLFVEAGEAWSVVISKNPDFFKPMVLVL LMLTCKNEDLDVVSYTFPFWFNFKQSLVLPRYQDSRKAYSDIFVKLINGIITHLQYPS GQFSSKEEEDKFKDFRYHMGDVLKDCTAVVGTSEALSQPLIRIKSAIENNNSWQVMEA PLFSLRTMAKEISLSENTILPEIIKIICNLPEQAKIRYASTLVLGRYTEWTAKHPELL EIQLQYIFNGFQLHEGSSDMQSIITASSHALMFFCSDCSKLLVGYIDQLINFFLNVQN SIDIESQFELCQGLSAVINNQPESKVSVIFEKLIGDNLQQIEALVPQWKANPTLFAPQ IADKVDLLYALFEELKPRYSYPQQGSEPLLPRIEFIWKALRTLLVDAGAMSDGIIVER VAKLLRRIFERFHVFCEPILPSVAEFLIQGYLTTGYGSYLWCSGSLIVIFGDDESFPI SQDLKDAVWKFALSQCETFILNFSKLDKLQLNDYHEAIIDFFSLITDLIMFYPGAFLN STELLSPVLNVALECVNKLDNYDAYICILRCLDDIISWGFKTPPISTVSIEIVPDEWR NQVINEVVISHGNQLILVLFIGLVTTFENTAHSDAISCIVKCLRILTEANNSDPTICV DWIYNVVEQLGQVTVNERDNLAKAIVEGLNSRDYRKVREGIRAFVGWYSRKNVNSRFE SPAR_O02900 MPLNEKYERPPQPPPAYDPNHRPPSSSENSAAANTNDGQTPYHF RQDQYYNLNSKTSGAPIGSFDEAFPTENDNKPRWNDWPFTILFLCTVGGFIAIAAITL RAWSQTYSSTGSGIYDGVDTGTLNTNAAILLVFVCIIALIFSVLGLTLCRIFPKQFIY CGMIINLVASLGTAIMYMSLRYWSAGIVFLVFTFMTAWCYWGMRSRIPLSVAVLKVVV DAMKKCPQIFFVSFIGAIVASAFGFLFSAVIVATYIKYDPNSSNGGCDVSGGSCSHSK LIGVLVVVFFCGYYISEVIRNVIHCVISGVFGSWYYMSKSDQGMPRWPAFGALKRAMT YSFGSICFGSLLVALIELLKQVLQMIRKDVTSNGGGQIAIQILFMVFDWIIGFLKWVA EYFNHYAYSFIALYGKPYLRAAKETWYMLREKGMDALINDNLINIALGLFAMFASYMT ALFTFLYLRFTSPQYNSNGAYNGALMAFSFVIALQICNIATEAIRSGTATFFVALGND PEVFHHSYPHRFDEIFRAYPDVLRKLSHQNV SPAR_O02910 MKRRSDALLGTFQATNVTPPSDNGNSTAGGANGSNSVTPTSTGG KKRNKLIKSCGFCRRRKLRCDQQKPMCSTCISRNLTTCQYADEFNKDIEKKATYGPYP NAELLKKVEDLENKIRILESEKNTNSSASSMYTSPNFPPLDTSVCETSTETSSPLPDG VINPYADRYYLQSKHSGRSVLYGPTSMRTQIANSNWGFIEKYKQLWTKVKAERNKWKQ NNQKTMCRELSLLDESNWEPDTLIKQICRFLPSYDKVLSILDDFFSDEACNEINMILD KAKVRRDFLDYFMPEKEVNANGDRSIVYILSNSKNNYYKAAVILLILCLKYFHANVPI PIEKLFTFLNGASTAKVFYVERAQMLILFYYHREIHSFGGDGSHLINLNESLFTTVTT IGLHLNIRETFKDQEIFMGSIESLENVWLMAILIDYSMSCNIGRPLLINKFYLDEKQD YCILNSQSKTYEGKLKRYLRMARPMLFALYDREKFPDLKAFSKQIINFVEEELGPLGH YTDENQSVEVPLRETRIISMAVGLLLSFYALVHSVLKARNIESKNNTFQLVLINFSII VNTTIRCYRIDKALHPEKFKTSYPHLPPHMALSMSLTAGLFSKTLVFFCSLSYFKLTL FENGICLSNDMEVGWSDLTRMTVPLDKDLSLGTAMRLYSTIFDRLFTAGDKELIRTMH RSPQFVVELAIERTYRTILGNVIEFRKLTEETWLAQIKQELDPQGDHSSPEPKTVSDK QQQQQQDVNLAVPTPTPSIIPMSPTPGENKNHTKSQSEIIQMLTDEFWTNYNSGWEEL VNQSEFSTLFDDYEDK SPAR_O02920 MGKNGDSNGIVRSETAREGRENQVYSPTTGARLVAGCICLTPDK KQVLMITSSAHKKRWIVPKGGVEKDEPNHETTAQRETWEEAGCIGKIVANLGTVEDMR PPKDWNKDIKQFENSRKDSEVAKHPPRTEFHFYELEIENLLDKFPECHKRHRKLYSYT EAKQNLIDAKRPELLEALNRSAIIKDDK SPAR_O02930 MIPAESNAVQAKLAKTLQRFQSKIKAGDYYEAHQTLRTIANRYV RSKSYEHAIELISQGALSFLKAKQGGSGTDLIFYLLEVYDLAEVKVDDASVARLVRLI AELDPSEPNLKDVITGMNNWSIKFSEYKFGDPYLHNTIGNKLLEGGFVYEAERYFMLG THDSMIKYVDLLWDWLCQVDDVEDSTVAEFFSRLVFNYLFICNISFAHESKDIFLKRF IEKFHPKYEKIDKNGYEILFFADYSDLNFLQLLLITCQTKDKSYFLNLKNHYLDFSQT YKSELEFLGQEYFNIVAPKQTNFLQDMMSGFLGGSK SPAR_O02940 MADRSAIQLIDEEKDFHQSALQYFQQCIGNRDVGLDYHVISVFG SQSSGKSTLLNVLFNTNFDTMDAQVKRQQTTKGIWLAHTKEVNTTIEVNSNRPDIFVL DVEGSDGSERGEDQDFERKAALFAIAVSEVLIVNMWEQQIGLYQGNNMALLKTVFEVN LSLFGKNDNDHKVLLLFVIRDHVGVTPLSSLSDSVTRELEKIWSELSKPAGCEDSSLY DFFDLKFVGLAHKLLQKDQFTQDVKQLGDSFVMKGTENYYFKPQYHHRLPLDGWTMYA ENCWDQIEHNKDLDLPTQQILVARFKTEEISNEALEEFISKYDESIAPLKGNLGTLTS QLVKLKEECLTKYDEQASRYAKNVYMEKREALSAKLNSHISGTIDEFLESLMEKLWKD LKLEVSSRDKATTSFVESVATGKSKIEKEFIESMEAFKKLGLLTSDEEITCKFSNDSE ERIKQLRDAELKAKISRIRKNLIPELKDHVIHLLSHPSKRVWDDIMDDFESTIKSNLF AYQVEKDKYDFKIGLSDGENAKIYKNIRILAWRTLDTTVHDYLKIDTIVSILRDRFED VFRYDAEGSPRLWKTEEEIDGAFRVGKEHALEVFEVLSLAVTSDSVEIIPDVQIAEEE NGEDNEIYRDNEGVFHSRRFAHILTELQKENVLDQFRRQINITVLDSKRSIITTRTHI PPWIYVLLAVLGWNEFVAVIRNPLFVTLTLILGATFFVIHKFGLWGPVVNVVQSAVGE TRTAIKDKLRKFVVEDHEVKESFEMKDFSKSEQKE SPAR_O02950 MTDEKQAFPEGSNHMTSNPFNGSVSHRISESIKEIAGSRPHGKY TVQDIDNIIASTSSHENIDQSGNSSGCIDHDEDGDIPMYDLNDENDVEMISEYLSNQR KLEAQSMAHSQKIDDSISLLNPPTLKTVFVVDTNFIISHLNTLETLRSLSSTYHHLIV IPTTVIQELDGLKRSPDVARGDNGTTNKEQGRTIGTLARWGNDWIYKNLANLDSGLIG QKLRQRLNPNCVKDDSILDCCLYFKEVLNCFVILLSNDKNLCTKALTEDILTVSFREN MDANLIAMKAYEENQLRFANPSNSAVNHDLNRSSYTYTSSIEKSPLSLGQVLQNVFEQ IKETVFFAIDHVLRKEYGEDIEFIDYSPENLITLEKASNYVYLFWVSVFSELFHHSKI KRNDWKNLPAVLTSEPMNLNDLRTFEQFWENVLHFLFSKFSNDEKQSLEKQIDQWKTS INAASI SPAR_O02960 MDNKTPVTLAKVIKVLGRTGSRGGVTQVRVEFLEDTSRTIVRNV KGPVRENDILVLMESEREARRLR SPAR_O02970 MSSVEELTQLFSQVGFEDNKVKEIVKNKKVSDSLYKLIKETPSD YQWNKSTRALVHNLASFVKGANLPRSELIVSGIINGDLKTSLQVNAAFKYVKANGDAS TKEGMNENSGVGIEITEDQVRNYVMQYIQENKEKILKERYKLVPGIFADVKNLKELKW ADPRSFKPIIDQEVLKLLGPKDERDLIKKKTKNNEKKKTNSTKKSSDTSTASGPKRTM FNEGFLGDLHKVGENPQAYPELMKKHLDVTDGRVRTRFPPEPNGYLHIGHSKAIMVNF GYAKYHNGTCYLRFDDTNPEKEAPEYFESIKRMVTWLGFKPWKITYSSDYFDELYGLA EVLIKNGKGYVCHCSAEEIKRGRGIKEDGTPGGERFACEHRDQSIELNLQEFRDMRDG KYKPGEAILRMKQDLNSPSPQMWDLIAYRVLNAPHPRTGTKWRIYPTYDFTHCLVDSM ENITHSLCTTEFYLSRESYEWLCDQVHVFRPAQREYGRLNITGTVLSKRKIAQLVDEE FVRGWDDPRLFTLEAIRRRGVPPGAILSFINTLGVTTSTTNIQVVRFESAVRKYLEDT TPRLMFVLDPIEVVVDNLSDDYEELATIPFRPGTPGFGERTVPFTNRFYIERSDFSEN VDDKEFFRLTPNQPVGLIKVSHTVSFKSLEKDEAGKIVRIHVNYDNKVEEGSKPKKPK TYIQWVPISSKYNSPLRVAETRVYNQLFKSENPSSHPEGFLKDINPESEVIYKESVME HNFGHVVKNSPWVVDSVKNSEFYVEEDKDSKEVCRFQAMRVGYFTLDKESTASKVILN RIVSLKDATSK SPAR_O02980 MALQKKLRAILTDEGVLIKSQSHHMFNKHGQLRSGDSLSLLSCL SCLDDGTLSSDGGSFDEDDSLELLPLNTTIPFNRILNAKYVNAGQKGPSNGKMFSDPF QTENLSSSSENDEIENHSLSNDKAPVNEPHLSSSKNRWDTKTSNLKATPDSSPDAPPS LGIKDNQQLIELTFAVPKGHDVIPQKLTLLIDHVSRKPRINASGERIPSGTVEEILEK SYENSRRNRSILVIINPHGGKGTAKTLFLTKARPILIESGCKIEIAYTKYARHAIDIA KDLDISKYDTIACASGDGIPYEVINGLYRRPDRVEAFNKLAVTQLPCGSGNAMSISCH WTNNPSYAALCLVKSIETRIDLMCCSQPSYMNEWPRLSFLSQTYGVIAESDINTEFIR WMGPIRFNLGVAFNIIQGKKYPCEVFVKYAAKSKKELKVHFLENKDRNKGCLTFEPNS DPNSSLDLPSKSNKNNNTKNELSPNFLNEDNFKLKYPMTEPVPSDWEKMDPELTDNLT IFYTGKMPYIAKDTKFFPAALPADGTIDLVITDARIPVTKMTPILLSLDKGSHVLEPE VIHSKILAYKIIPKVESGLFSVDGEKFPLEPLQVEIMPMLCKTLLRNGRYIDTEFESM SPAR_O02990 MSKRGSLQDSASPLEEASKKAQKRRKPIKSCAFCRKRKLRCDQQ KPVCSTCKMRGRSDCLYTEEFTHRIETKELFGSTPNIELLKRIEDLEKRLDDKGLVEE AATMNTSQFRNPYANFYYLQCKGSGRRIMYGPTSLRTHLSHDDNRFVNTYNQLWSKVK IERNRWKARHKWTMKPETKVLEGPSLENTDSDILQQVCDVLPSYEQTSKIITGFFDTE LETNEVSEVLDRTKIINDFTSSFLPSDELLPNGERRIEKLLPSTKKNYFKIGVILMIL CIHHFYENTPEEIEKFLIMLTGLSTAKVFFVERAQFLLLKYYHRELIWACGDDSHMIS LVDLLCSTAIMLGLHLNIREIYKNQENIVGSMESLENLWVWVILADFNVSLSIGRCSV ISSSYFQVDECENDERLSGNTNTCSSAVFFEQSNTSMDKLKKFLRLARPMLEQIYDKS AFPDLAENCKKLRTFVETEFHPISYYTNAELIPKVPLREIKVFAQVLNLLLTFYFLRY LIYKEKNVVLENDILQTILVSFSLVINTTTLCFKLDEKHFPEFFDPDCLHLPPFMALS LVYTNFLFPRASTGFCAFLYHKLTLFEKGYYLSSNIKDKEVTDWDLSTLNIPLDKAIN LLTAFKIHSDIFSKWSNDNNRQLRIMMGRSYTFVINIALESIYRAVLEKVIKYRTVVE NAWLQQLQVELNGSSPYSLTNNVNNVNTPIDPSLNDLDVTSGSALAANSSVLPPVEVP NNGENASHTNETGPIETELAEAISNEFWTAYNIGWEELMSQPDYKYLSDTQ SPAR_O03000 MGSQDLASLIGRFKYVRVLDSNPHTKVISLLGSIDGKDAVLTAE KTHFIFDETVRRPSQSGRSTPVFFHREIDEYSFLNGITDLKELTSNDIYYWGLSVLKQ HILHNPTAKVNLIWPASQFHIKGYDQQDLHVVRETPEMYRNIVVPFIQEMCTSERMKW VNNILYEGAEDDRVVYKEYSDRNKEDGFVILPDMKWDGINTDSLYLVAIVYRDDIKSL RDLNPKHRDWLIRLNKKIKTIIPQHYDYNVNPDELRVFIHYQPSYYHFHVHIVNIRHP GVGEERGSGMTILLEDVIEALGFLGPEGYMKKTLTYVIGENHDLWKKGFKEEVEKQLK HDGIATSPEKPLGSTPI SPAR_O03010 MSVQDTKALEFSMGHVRSSSVSLVAEATSNANSEDKLSKVQLYE DLCRYEDTLSKLVESVDRFKPNLDIAKDLIKIDEDLFNNVKLLAEYDNIDRSLQKIDK DSEELDSKTKKILEILNECHDELRALPMLEQVEFEKNTILQQRSKINSTTLLDYATKL SKFTKIPPTFDKGTVGPNNFVWPAEDALRRGMLAMASLHSKELTRIPGEEVEETEVPT VPPSQNGVQKEQIAEKEGTPKVDSFIFNGTANKDNEEAGNTKNKEEEDNNDDALDLDL DLFDPDDF SPAR_O03020 MYNPVDAVLTKIITNYGIDSFTLRYAICLLGSFPLNAILKRIPE KRVVLKCCFIISMSMFYLFGVLNLVSGFRTLFISTMFTYLISRFYRSKFMPHLNFMFV MGHLAINHIYAQFLNEQTQTTVDITSSQMVLAMKLTSFAWSYYDGSCTSESDFKDLTE HQKSRAVKGHPPLLKFLAYAFFYSTLLTGPSFDYADFDSWLNCEMFRDLPESKKPMRR RHPGERRQIPKNGKLALWKVVQGLAWMILSAFGMKHFPVKYVLDKDAFPQRSFIFRIH YLFLLGFIHRFKYYAAWTISEGSCILCGLGYNGYDPKTQKIRWDRVRNIDIWTVETAQ STREMLEAWNMNTNKWLKYSVYLRVTKKGKKPGFRSTLFTFLTSAFWHGTRPGYYLTF ATGALYQTCGKIYRRNFRPIFLREDGVTPLPSKIIYDFIGIYAIKLAFGYMVQPFIIL DLKPSLMVWGSVYFYVHIIVAFSFFLFRGPYAKQVTAFCKSRQPKEIFLRKQKKLEND ISASSPNLGDILKAKMEHEKGKTAEEDEMNLGIPPIELEKWDNAKKDWEDFRNDYKEW RNKNGLEIEEENLSKAFERFKQEFSNAASGSGERVRKMSFSGYSPKPISKKEQ SPAR_O03030 MLSRTVRAQGFFLRSSQLTVKRSFSVTFNMKNAQNRSPTGIVLM NMGGPSKVEETYDFLYQLFADNDLIPISAKYQKTIAKYIAKFRTPKIEKQYKEIGGGS PIRKWSEYQATEVCKILDKTCPETAPHKPYVAFRYAKPLTAETYKQMLKDGVKKAVAF SQYPHFSYSTTGSSINELWRQIKALDSERSISWSVIDRWPTNEGLIKAFSENIAKKLQ EFPQPVRDKVVLLFSAHSLPMDVVNTGDAYPAEVAATVYSIMQTLKFKNPYRLVWQSQ VGPKPWLGAQTADIAEFLGPKVDGLMFIPIAFTSDHIETLHEIDLGVIGESEYKDKFK RCESLNGNQTFIEGMADLVKSHLQSHQLYSNQLPLDFALGKSNDPVKDLSLVFGNHRS T SPAR_O03040 MPEDISYCNSFEDYYNNPHATSPYKDSFFKEMTPSKPNVRFGDD DVNIFDQRKKVNEINKNSTIKRTIPPSISTTITPNKSSLKSLRGKRALGSCFNHGTEL KSENETFKEVNDAVNRCYALCNIPTKHVSINSISDLAQTFETLAVGITHETNRKAECE RSKNAIDSLYYHEQLEKKELNEKSLQMAIDHLLKVTKQNLRQADDKNKLKETEALKSF IEEIEEVDDNKISINSLEQQLLEEKTANNILRRDYYKLQERGRRLCHEFQGLQDDYSK QMKQKEYEVQKLKNEIKVLLNMNDNLKADKTRYSQKEKQYFQKYTYIEKYMNHVKEEY NKKEDECNKLKFIIDKSVKKIEHLERSLQTQITAQNSFSTATIQEEGPKDAHLKDRYY KVKEFMEQKLQTSKINDPSCSEAEALDNVLGLIENTMKTLDKNSKCYPTTTKKCIKYV TDSSQLKENEHITN SPAR_O03050 MIIETATTLSPSKAKPSFPHNDLIKSMSENPINCPSRPPIRKLK SSLKISRPEPISRSKSEIFLTSPEKNVRFAIELTTVKRFDKNAEPSSISNENSPTLSP VDNNTTADDIQLFNNEDCWFNDSSLVTNLLKNEKKFRYMNSLNNMFKLDIYDSEDEDD IEEHINDQTGYGYTYDSLSTRRKSSENKSVDSSATSSIASQATNICDWKLHCTDLVPF KSAPPLFTKTLSPSDMQRQLTKYLNGQNVKLHSLTQLEDDSSKITGLVYVKNLSFEKY LEIKFTFNSWRDIHYVTASFNRTMNANVDEFKFTIDLNSLKYILLMKRIITMENNTSS CPLNVELCCRYDVNNETYYDNNNGKNYHLFITTFKKGRETKEKEKVSVVVEPAPQANA AVSSKDIKSRFVSSNLTFSRFLPQSRKFSEDTDYYNTSPLKHLYHNDTTTWVKPKRLN VVLDKIDNATPTSPPLANNTTRTDKITKNGKTAPTAPTASNSIDLPVLGSQHQSLYSG SSSYSSSSSSISSSLSFASSNNSSTNSSSASCSFPLTELDNFDYANLYEPNDTFTTAN LFNHSLNSLMPEISTPSFFGDFKNENTNNNDNNNNFATSLEDSYEDKQSVITDTTMDD NNKTSTINNSTDTLIKQPKENGTPKENKLSSNSTGSPSSSQNQTSTMLNDHSNVKSDL KYINYQSLLDSHRFYNHPSSPNLQSTPFSSAVPLSGISQTSDVFDYEDENSGSDQMAG DLDNNSFSPQFYLNDDNKSACLSDDALIDHHGNTNPFINTFSSSPPILSQEVGRWRL SPAR_O03060 MDLHKERTQQRINLDSSEGDKTNDLYLHIVQMFGCIEKTTVENA TELLILGDVEVKISSGSVLIEWTENSMISETIADSIVIMVLGLHASKKNVLSESRLKE RNHNAWKIQELQNLFQEQFGDSFSVDEGMEKKEDVKNGSVTIGKSKATIDFSTMKLID CNSNPLKGRVESILSIGQKLTTPLC SPAR_O03070 MSNRVRYDIDGHFFIIKLIDPKHLNSLTFEDFVYIALLLHKANE IDSVLFTVLQSSGKYFSSGGKFSAVNKLNEGESTNEVEKISKLVSAISSPNIFVANAF AVHKKVLVCCLNGPAIGLSASLVALCDIVYSQNDSVFLLFPFSNLGFVAEVGTSVTLT QKLGINSANEHMIFSTPVLFKELLGTIITKNYQLADTEIFNKKVLQDLKQSLDGLYPK SVLGMKELLHRQMKEKLIKAQAMETNGTLPFWASGEPFKRFQQLQKGNRRHRL SPAR_O03080 MGLLNSSDKEIIKRALPKTSNKIIDVTVARLYIAYPNKDEWQYT GLSGALALVDDLVGNTFFLKLVDISGHRGVIWDQELYVNFEYYQDRTFFHTFEMEECF AGLLFVDINEASHFLKRVQKRERYANRKTLLNKNAVALTKKVREEEKSQIVHGPRGES LIDNQRKRYNYEDAEAIPTTKHKAPPPPPPTTETFDSDQTSSFSDITSATASAPTTPA PALPPASPEVKKEEPHPKHSLPPLPNQFAPLPDPPQHNAPAQHNAASQSQTNPFPFPI PEASSTQSAANPFPFPVPQQQFNQAPLMGISQQNRPLPQLPNRNNRPVPPPPPMRATT EGSGARLPAPPPPPRRGPAPPPPPHRHVTSNTLNYANGNNLLPQATGRRGPAPPPPPR ASRPAPNVMMQQNPQQYKSNQPFGYQTNSNMSSPPPPPMTTFNTLTPQMTATTGESAA PLPQIAQTPTQTTGVPMAPPPPPAFLGQSQTSQSATPAPVLPVLQSATNAAPPPPPAF LTQQPQSGGPPPPPPAFLTQQPQSGGPPPPPPPQMPAVSTSGGGSFAETTGDAGRDAL LASIRGAGGIGALKKVDKSQLDKPSVLLQEARGDSVSPPAAAGNGGTAAGPPASLADA LAAALNKRKTKVGAHDDMDNGDDW SPAR_O03090 MNRRTRANFERAIIVVNLATPCWRYAKVHGSLARAGKVKSQTPK VEKTEKPKKPKGRAYKRLLYTRRFVNVTLVNGKRRMNPGPSVQ SPAR_O03100 MSLEREEPQHFGAGPAQMPTPVLQQAAKDLINFNDIGLGIGEIS HRSKDATKVIEDSKKHLIELLNIPDTHEVFYLQGGGTTGFSSVATNLAAAYVGKHGKI AAAGYLVTGSWSQKSFEEAKRLHVPAEVVFNAKDYNGGKFGIIPDESLWEDKIKGKNF SYIYLCENETVHGVEWPELPKCLVNDPNIEIVADLSSDILSREIDISQYGVIMAGAQK NIGLAGLTLYIIKKSILKNISGASDETLHELGVPITPIAFDYPTVVKNNSAYNTIPIF TLHVMDLVFQHILKKGGVKAQQAENEEKAKILYEALDSNPDFYTVPVDPKCRSRMNVV FTLKKDGLDDQFLKEAAARKLTGLKGHRSVGGFRASIYNALSVKAVQNLVDFIKEFAE KNA SPAR_O03110 MSAPAQNNAEVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIA TIGVEVHPLSFYTNFGEIKFDVWDTAGQEKFGGLRDGYYINAQCAIIMFDVTSRITYK NVPNWHRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNF EKPFLWLARKLAGNPQLEFVASPALAPPEVQVDEQLMHQYQQEMDQATALPLPDEDDA DL SPAR_O03120 MTLFFKVFDISKINNSSTDEDPRDKILCNEHHPFEKGHFKATIR AFSDSIKLGLKGSSGPKGTVSIFENENTPHDLTAEDFKLQPVCMAFSWFVIGLVIVCL LLCITLVLTSQYQGENENYATEAIPSSNINDEEKQLSLLDMA SPAR_O03130 MSALLPRFLTRTAIRAPGNPLRLSSVISRAFSLTATSYAAAFDR SKPHVNIGTIGHVDHGKTTLTAAITKTLAAKGGANFLDYAAIDKAPEERARGITISTA HVEYETAKRHYSHVDCPGHADYIKNMITGAAQMDGAIIVVAATDGQMPQTREHLLLAR QVGVQHIVVFVNKVDTIDDPEMLELVEMEMRELLNEYGFDGDNAPIIMGSALCALEGR QPEIGEQAIMKLLDAVDEYIPTPERDLNKPFLMPVEDIFSISGRGTVVTGRVERGNLK KGEELEIVGHNTTPLKTIVTGIEMFRKELDSAMAGDNAGVLLRGIRRDQLKRGMVLAK PGTVKAHTKILASLYILSKEEGGRHSGFGENYRPQMFIRTADVTVVMRFPKEVEDHSM QVMPGDNVEMECDLIHPTPLEVGQRFNIREGGRTVGTGLITRIIE SPAR_O03140 MNDMAKPLPTPPTVEIRKSRSNSPRKAQKTNTSPNKNRNNVKSV PESKGRTTNEHDNIEDEEFEFFHQFSREKVKGVIHVITAELKEKGPDVEFLMIPFRPE QTNDKLLTLLNQLFPLGNGQPVNEKRQLKIISKTDVWTLFQCLKYIWCRLPNSEIIGW KSYMEFKFREEDKEFPRKSFLEIMPQCLASPNHASIVYDFFDLIISISSNSRVNKMSA RKISKMCAIWAFSKQKPNSDVQDYDFESGAIESSAPNNSIQDGLDQWIPASDAMFHLL LAFLRSFVPQDLESAKLPRTLKSLLFNNQYPPRKSTAYTSETILTIPLVTLKTDVFSR KPWQLLERCNDLLDFTDHDAFEAREDYALLKSLFRKKNTVEGISRKMSQESRRLMKAM STKHSTFQPGWAPRKCIENVSHLKECIEVKRLDIDDYFIWTWLSSLSFEQTSEKKKIF GRSIILEFEFDGFKKWVVFQECDVTLDYNKKGQFKRKSSVHSSSAEKEQPPDFELADQ PLSKSPTLSQTYKKFQAEVPQQSTIQRDSAPDNQGIYHTVISKNALAKNKHNVNLHSF EHKISKWNPLNNLRKKGGSNSSSSSSFENKSKDAPIREEYHTGKNHKSKKEERVLSEF STLNPDEYQLPVIETDSSTFKIDIPELMYEHDDDEGEKGPAVLMNNELANPHIKAADS TIEELNGMVEEMMFSEPEDVKISITEAETFESLTKFDQYKPSNITDDDLQSSHSSAIH SLKLSTNTNDSCADSSKYTADRKLVEPRKVSEESKLNDDSSSYYSPNINNLPKSRMPS QPTYSNSDSKKVSTNESRLNVLQGAANPPQQVIPKPPRRAPGNSISPVQQKCYQNDRR DELSPASAPVPSSAYSPVRSPQFSTTSAGFKQNTLNMPVGYKEPAHVLANQQPMMYRD QHNYPPQQQKQRPFQNTIVPPELKFRNQRTEDLPISQHTVPVKQGVPNVPSNVPLYQQ MERMNPIYQHPVNNHKVTQLPHHKNATNAYGKGHADNVQMLDGKWGNHPPRMMPKGVR SNQYPQQHVNRYTPQAQPVVPAEYYNGPPPMQAPPMMSHMIPAQEPVHYTAGANRRSF PQSMKQNAYAVPVQPMGALNGEFYLPEAPQGNKLHGNINKRQERKKLYDNIRSGNFGI SPAR_O03150 MSQESSVLSESQEQLGNNPKIEDTSSASANPRDNSKPVLPWDYK DKAIEIKSFSGYKVNFTGWIRRDVREKRQNQAVDTTSDIKESDDKSTGKKEPADEDPE GKEFEEEREDRLES SPAR_O03160 MVSFRGLTALTLLFTKLVSCNPVSTKNRDSIQFIYKENDNVYSA IDGQTINEKVHGVNLGGWLVLEPYITPSLFEVFRTNPYNDDGIPVDEYHFCQKLGYEK AKERLYNHWSTFYKEEDFAKIASQGFNLVRIPIGYWAFTTLSHDPYVTAEQEYFLDRA IDWARKYGLKVWIDLHGAAGSQNGFDNSGLRDSYKFLDDENLSATMKALTYILSKYST DVYLDTVTGIELLNEPLGPVIDMERLKNLLLKPAYDYLRNKINSKQIIVIHDAFQPYN YWDSFLNDEKKEYGVIIDHHHYQVFSPVELTRKMDERIKIACQWGYGALSEKHWSVAG EFSAALTDCTKWLNGVGLGARYDGSWAKDNEKSHYINSCTNNENVALWPEERKQDTRK FIEAQLDAFEMTGGWIMWCYKTEDSIEWDVEKLIQHNLFPQPINDRKYPNQCR SPAR_O03170 MAAVPTIDLTLADSDNEDVFHSFSSSTSMDKVDIGKENGKVRMV GLELARSNEDTEQPPSHAFKTDVSKNESSNTILLKNKVITDNAFNNAKYSDEIHRQHD LKEEMMGSYNDEKETRESSSCAEMTKPFYENGHAPLSNNYKPKDDEKGMVQNEVGKDN AGDIFSSYFPKDYDGSEDDFEPNTCQDSSLDFQELKLKLNNKPLEERYGNPETGDSHL KSEENDRTKRVTTISHHDLPLEFTAYQESKTHSNSKGTISKILNVQQTPELASNLSPV ESALKNEASKVEGKMTVRLPGLQSNFAALEQEQSELFRHFGEQPVYIGDFDGKIKRKH SGDLEDSKILKRPVLPLKCLDHKSHDLRASEQRNSIIILSDEDEPDAGMNETESLLKV SEQNTADELRYSVPEVISLLDLPNIDLNSSVIKEASTNNSITISGIGAESSGTSALQE TAMIEQAAKSSYQQNISSLDTLKKNHQKLLKELNSKESELRNALNCSKTNSEILRRKL TRREKEVSDAEKHWQLLSTSMARGGRTISSTQQILIDEAENQLNRLKEKRQLTKTKLD SINMKMYNYNEQWKSFVHLKNIKLQKSLAALGRSGRDSEVSATVNKRKECLAEKEKLD QMLKEGTLSFSTYKQLTGEIQQRLNNLKFDDRHINDANRVSPIIRQPLAKRDLFVKSI DTAKNLLAKNTSRTEMTKKILYRHLDNLVSYKNIFEDGKSLIDVNKRHIAHESAQILF INGVKMPIVFETLQDYGIKFSNPAIVNPDRRAQYFKSIEVARDLISKSNRSEDAKRKI TRFLNIIEEFRKDIDTGFPPTPLKREGVGKAVVGLRQQGLKMERLYENLRRYKIPITS EELLQQSYLFPVNADQQPPSNWNIVENAENSISASNDLSMQDEFHISNMHAAEDQEQI RALLENVKQSESIIDGEALTPEDMTVNLLKHQRLGLHWLLQVESSPKKGGLLADDMGL GKTIQAIALMLANRSEEPKCKTNLIVAPVSVLRVWKGELETKVKKQARFNTYIFGGSG NGKVKHWRDLARYDAVLVSYQTLANEFKKHWPKKLDGEQNQLPAVPHIQALNKLKTSN EYYSPFYCNDSTFYRILLDEGQNIKNKNTRASKACCTINGIYRWILSGTPIQNSMDEL YSLIRFLRIPPYHKEQRFKLDIGRFFQRNKQYQYDNEDRKNALRKVRVLLNAIMLRRS KSDKIDGKPLLELPPKIVEVDESRLKGEELEFYTALESKNQALAKKLLNNSTRGSYSS VLTLLLRLRQACCHSELVVMGEKKAEGTKVANGKSFEDDWLRLYFKITHMNEEAQAQV ITSMDSMTCFWCMEQLEPEAMSVLTGCGHLICDTCIDPFIEESSMLPQAKKTKGGALA VPCKDCHRLTNEKDIVSHKLYDQVINQGFTENDLHAEYLSEMEKQKMQKKNVYIPNFE SLEPSTKIEQCIQVIQRVFDESATEKIIIFSQFTTFFEILEHFLKSKLEIPYLKYIGS MNAQRRSDVINEFYRDPEKRVLLISMKAGNSGLTLTCANHVVIVDPFWNPYVEEQAQD RCYRISQTKKVQVHKLFIKNSVEDRISELQKRKKEMVDSAMDPGKIKEVNSLGRRELG FLFGLNAL SPAR_O03180 MSFGAKVSKFLRFLEIPAKDRASVNFLRNPDLQPIKSANQTWGF WSNFAYWGVLSFNVGMWIGGSSALTVGLSYSETIGAFIIADILTIMFALANSCPGYDW KVGFTLAQRFVFGIYGSALGIIVRILMSIVYYGSNAWLGGLCVNMILDSWSHHYLHLP NTLSSKVAMTTKELIGFIIFHVLTAFCYFMKPYHMNYILIWSCVGTFFSMLGMVIYLT KNAHGVGDLFTSTKSTATGSAKAWAWVYTISYWYGSVSPGCTNQSDFSRFGSSNCAIW TGTIVALLIPATLIPVFGIIGASACEKLYGQTFWMPMDIFDNWLTTNYSAGARAGTFF CGLCFVMSQISYTISNCGFASGMDLAGLLPKYVDIKRGAIFAACVSWACLPWNFYNSS STFLTVMSSFGVVMTPIITVMICDNFLIRKRQYSVTNAFVLNGEYYYTKGVNWRAIVA WVCGMAPGLPGIAWEVNNDYFHNTGIINFFYGDSFFSFLISFFVYWGLCTFFPFKITV KHDDKDYYGAFTDEEARKKGMVPYSEISEEEIRAYTLGECYTTGHEYQPESSDDQQPE LIKTSSENTKVFEIVHQKDNEKESSTSSEQIA SPAR_O03190 MNSDSVNTDIDGAALIDREAEESWELLKREFNTLFSNLRTESKK EDNFTGNERETARKPIILQDRDDSNFRNQGIGATTTGTTRDRSFKPISDFIEKKKRSV KKIHQARLIFNTLEGKEVCSKILQHTLGLLSLLLMRTKVRLPIFSSKVRSVILQLSLF RYCLRFGNLAINLYQFFKTIHRLREMKDSHYKKRPISSYLKNFRFYDIIETFYCLTDE LILFHKLQSMSSGKNMLHTNTNRLMRCIKEQHYILWEVLNIHAMNKNIGQWQQLIRDE IYLSIINTNSNAIKDHELKYELLANNKVILELRKNNITLDFYKIILNLLSNLINIKGK RKEFNSELAYEIITVGSGVTELVKLWNQAKMTLTNEYTIAV SPAR_O03200 MSNAEASRVYEIIVESVVNEVREDFENAGIDEQTLQDLKNIWQK KLTETKVTTFSWDNQFNEGNINGVQNDLNFNLATPSVNSSEFNIKEENTGNEGLILPN INPNNNIPHSGETNINTNTAEANNNAATTSNTNTNGNTNADATSEPKIEVKPEIELTI DNANITTVENIDEESQKKDNGEEEEDVEKSRKEKEQIEQVKLQAKKEKRSALLDTDEV GSELDDSDDDYLISEGEEDGPDENLMLCLYDKVTRTKARWKCSLKDGVVTINRNDYTF QKAQVEAEWV SPAR_O03210 MDEIPTTPVRLILGQPQQREQNSENRSQERKPRSFQSESDSSFN SPGSSQFVVHPYEPLEKKRGYQQDLDRSIDYGRTPALNNKNNVNPLENIDINKMFDDD KSNSGSNHDNGDSNTNDKHVLALNYSPIRVEMSSSEKQNNKNANEDENNKESGHINKK LKLQLESVPDLKQNSTKDIANDKEEIMSSPMAIDMIETNISPNKFIINDGVERNDSFN INTDRLKLENDINEKQEEEDFIKSNSNNVANVDNDDGDKEHEENDITNSHINRLTPLY ETSVRESKFNEEGRNDYDDDNQLDIRHDNFQIVAKRNEELTDQIYHLNQKLNSLISKN ESLSFQYEKLSKNHQLLIDSTNEKLDKLNTERESDITKVEKFKKRIKELNTEIKVLNS NQKILQEKFDDSITEVNHLKSEHESTIDTLHQNEKTLNEKNLELENMKEELESNLDKL SEYQATLNDLNSCIAQLNDKIESTNIVLRSKENELDNLKLSLKEILSISKDFNDSELI AQINELISTKNSLQQRLDDLNNLNDNNLKVAQDKLIENESTLKLKEAEIKSLNSEMDE LKKQITSKDDEFKMWQSKYETVEDEAKIRNAEVTELNRDIEDLKESKLHLEVTITELE NKAHKLENECELEKEKFEKTSLELESLQLKNSNTQAEHIKELENLHENLISLQNELKI SSDRITTLTKENEILKEQNNNTNNSVTLSNNQKNKDDEQIKSLGKQIQDWKEKYEAKE KDTNKRLKLLAEDLYIQYSSKHEQKVKLLKKGYENKYQNKFDQLNLENKTLSEEIEQL NKRLTSEREEKQELLKLLENEKK SPAR_O03220 MYRRSVRVLSIGRNTRWVSSTIRRRTSATPPIGSNELTTDSSNA SVRVPVGNSTEVENAANRLTTASEKKLKGNRRRITEFKDALNLGPSFADFISGKASNM ILDPLEKARQNAEEAKKLPRWLKVPIPKGTNYHKLKGDVKELGLSTVCEEARCPNIGE CWGGKDKFKATATIMLLGDTCTRGCRFCSVKTNRTPSKPDPMEPENTAEAIKRWGLGY VVLTTVDRDDLIDGGANHLAETVRKIKQKAPKTLVETLSGDFRGDLKMVDIMAQCGLD VYAHNLETVESLTPHVRDRRATYRQSLSVLQRAKHTVPSLITKTSIMLGLGETDEQII QTLKDLRGIQCDVVTFGQYMRPTKRHMKVVEYVKPEKFDYWKERALEMGFLYCASGPL VRSSYKAGEAFIENVLNKRNMKQMHIGIS SPAR_O03230 MYPGSGRYTYNNAGANNGYQRPMAPPPNQQYGQQYGQQYGQQYG QQNDQQFSQQYAPPPGPPPRDYNRPVYPPPQFQQEQATAQLSNGYNNPNVNASNMCGP PQNMSLPPPQTQTIQGTDQPYQYSQCTGRRKALIIGINYIGSKNQLRGCINDAHNIFN FLTNGYGYSSDDIVILTDDQNDLVRVPTRANMIRAMQWLVKDAQPNDSLFLHYSGHGG QTEDLDGDEEDGMDDVIYPVDFETQGPIIDDEMHDIMVKPLQQGVRLTALFDSCHSGT VLDLPYTYSTKGVIKEPNIWKDVGQDGLQAAISYATGNRTALIGSLGSIFKTVKGGMG NNVDRERVRQIKFSPADVVMLSGSKDNQTSADAVEDGQNTGAMSHAFIKVMTLQPQQS YLSLLQNMRKELAGKYSQKPQLSSSHPIDVNLQFIM SPAR_O03240 MSSQQHKFKRPDVSVRDKKLDTLNVQLKKIDTEIGLIRKQIDQH QVNDTTQQERKKLQDKNKEIIKVQADLKTRRSNIHDSIKQLDAQIKRKNNQIEEKLGK KAKFSSTAEAKQRINEIEESIASGDLSLVQEKLLVKEMQSLNKLIKDLVNIEPIRKSV DADKAKISQLKEELNGLNPKDVSNQFEENQQKLNDIHSKTQGVYDKRQTLFNKRAALY KRRDELYSQIRQIRADFDNEFKSFRAKLDKERLKREEEQRLSKLLEQKDVDMGKLQEK LTHAKIPAFTYEIGAIENSLLVLDPTYVKPKKNVLPDLSGNALETKPARKVVADDLVL VTPKKDDFVNVAPSKSKKYKKKNQQKNSENEQPASIFNKVDGKFTLEPTLIATLAELD VTVPINSDDVKVTVEQLKKKHEELLSKQEDQTKQNIESVEKEIEKLNLDYANKEQQVK KELEEKRLKEQEESEKDKEN SPAR_O03250 MTSLTNAVFRRYLAVTPSAQQAVKTRIKKKLSSFDKIFSQQSNT RKKPWETLNEDKASWFKRKYAHVHAREQNPAADPYGRKKAHVEKLKEIKSQAKLDQKR HKSKFQNKNTVQKLVKDNPIFEYVYGTNSVYAALLNPGRRFHSRLLYYGSVPSKILQR VDELKIPTEPVDKHRLNLLTNYGVHNNIALETKPLQPAEIAYLGDMDTSSAALTVHEL GFNNEHIPHELLYGIKANSKNFPLGLYLDEVTDPHNIGAIIRSAYFLGVDFIVMSRKN CSPLTPVVSKTSSGALELLPIFYVDKPLEFFTKSQEMGGWTFITSHLADATAEKYTAG KTLSVRDLNGMCTELPVVLVVGNESQGVRTNLKMRSDFFVEIHFGGSENGNRAPEPIV DSLNVSVATALLIDNILTCK SPAR_O03260 MTEQKALVKRITNETKIQIAISLKGGPLALEHSIFPEKEEEAVA EQATQSQVINIHTGVGFLDHMIHALAKHSGWSLIVECIGDLHIDDHHTTEDCGIALGQ VFKEALGAVRGVKRFGSGFAPLDEALSRAVVDLSNRPYAVVELGLQREKVGDLSCEMI PHFLESFAEASRITLHVDCLRGKNDHHRSESAFKALAVAIREATSPNGTNDVPSTKGV LM SPAR_O03270 MAELSEQVQNLSINDNNENGYVPPHLRGKPRSARNNSSNYNNNN GGGYNGGRGGGSFFSNNRRGGGYGNGGFFGGNNGGSRSNGRSGGRWVDGKHVPAPRNE KAEIALFGVPEDPNFQSSGINFDNYDDIPVDASGKDVPEPITEFTSPPLEGLLLENIK LARFTKPTPVQKYSVPIVANGRDLMACAQTGSGKTGGFLFPVLSESFKTGPSPQPESQ GSFYQRKAYPTAVIMAPTRELATQIFDEAKKFTYRSWVKACVVYGGSPIGNQLREIER GCDLLVATPGRLNDLLERGKISLANVKYLVLDEADRMLDMGFEPQIRHIVEDCDMTPV GERQTLMFSATFPADIQHLARDFLSDYIFLSVGRVGSTSENITQKVLYVENQDKKSAL LDLLSASTDGLTLIFVETKRMADQLTDFLIMQNFRATAIHGDRTQSERERALAAFRSG AASLLVATAVAARGLDIPNVTHVINYDLPSDVDDYVHRIGRTGRAGNTGLATAFFNSE NSNIVKGLHEILTEANQEVPSFLKDAMMSAPGSRSNSRRGGFGRNNNRDYRKAGGASA GGWGASRSRDSSFRGGSGWGSDSKSSGWGNSGGSNNSSWW SPAR_O03280 MLKLSHAFRDVRQFSCSVIAKVKCASCSIKLQDQDPSKPGYYTQ PKKKPNSRLNPAIQDLKYLLFSQDIQLSKQAIQHDPDHNTKGSLPSRVICKRCSNALH HNDYNSEEFPESTLDDVLNYVPKNSNVMHIAPIVEFPLHLNPNIMKRNDLDTTLVLTK SDQLFKDKNAVSKKVPIFMKQFLKYTLRIDSNKTFAISALKKWNMSMFYNYFKNYTYL LGNPNVGKSTLINTLLQKYLGYKVKIDSTGQIKSPSEEVMREAFTNPKNFFKVQAAGV SHIPNLTRSVQAYQVGGKILFDLPGYSTSTTRLSLEKVIDDHWLQRLRKTDLFNRKHV KQKNYESMKGTPQGGCYTVGGIFYLVPPRGSINQIVKYIPGPSKKFRNMEKGIEVFNS CTSSSGAHPLSQYCGIKSVLCDKKQYKRYAIPPFIGSIEIILKDIGYILLRTTGKYEF KGLHEIWLPRGIEVGIREPLENLIESGYQRYIETNGKESSCPKDRPVISSLYEVESDE ADVLNAVKKSYLEKTEKDLSARRFVDDDPYDLVQNLEKKKNPYWYYQW SPAR_O03290 MGKVSKSTKKFQSKHLKHTLDQRRKEKIQKKRIQGRRGNKTDEE KANAAGTREQQQLKKAAKEEVFKDMSVESFFEKGIEIPKENKKIKKKAAKEESDENSS SEEEEDMGKSMAKLAEKDPEFYKYLEENDKDLLDFAGSNPLDEIDDEDEGAKGKENIA EKSEQFELEREKIALSLKLVRKWKKQLHDSPSLKLLRNIISAFKVAVNLNKEENIEDY KYAITDEKAFHELMFMVLKDVPQAIQKMAPYKIVKGSRTLPNGGNVSRMSSIVKSHAG SLLILLNDITNTETAALVLHSVNELMPYFLSYRRILKELIKSIVDIWSTTRELETQIA SFAFLINTTKEFKKSMLETTLKTTYSTFIKSCRKTNMRSMPLINFQKNSAAELFGIDE VLGYQIGFEYIRQLAIHLRNTMNATTKKSSKVNSAEAYKIVYNWQFCHSLDFWSRVLS FACQPEKENGSESPLRQLIYPLVQVTLGVIRLIPTPQFFPLRFYLIKSLIRLSQNSGV FIPIYPLLSEILTSTAFTKAPKKSPNLASFDFEHNIKCTQAYLNTKVYQEGLSEQFVD LLGDYFALYCKNIAFPELVTPVIISLRRYIKTSINVKFNKRLSTVVEKLNQNSTFIQD KRSDVEFGPTNKSEVSRFLSDVAWDKTPLGSYVAVQREVKEEKARLMRESMEEQDKER EMEEAKQLKGLESDGDNEDAEMSDA SPAR_O03300 MVGATKRRKTHIHKHVKDEAFDDLLKPVYKGKKLTDEINTAQDK WHLLPAFLKVKGLVKQHLDSFNYFVDTDLKKIIKANQLILSDVDPEFYLKYVDIRVGK KSSSSTKDYLTPPHECRLRDMTYSAPIYVDIEYTRGRNIIMHKDVEIGRMPIMLRSNK CILYDADESKMAKLNECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVEADEKKGIVQA SVTSSTHERKSKTYVITKNGKIYLKHNSIAEEIPIAVVLKACGILSDLEIMQLVCGND SSYQDIFAVNLEESSKLDIYTQQQALEYIGAKVKTMRRQKLTILQEGIEAIATTVIAH LTVEALDFREKALYIAMMTRRVVMAMYNPKMIDDRDYVGNKRLELAGQLISLLFEDLF KKFNNDFKLSIDKVLKKPNRAMEYDALLSINVHSNNITSGLNRAISTGNWSLKRFKME RAGVTHVLSRLSYISALGMMTRISSQFEKSRKVSGPRALQPSQFGMLCTADTPEGEAC GLVKNLALMTHITTDDEEEPIKKLCYVLGVEDITLIDSASLHLNYGVYLNGTLIGSIR FPTKFVTQFRHLRRTGKVSEFISIYSNSHQMAVHIATDGGRICRPLIIVSDGQSRVKD THLRQLLDGELDFDDFLKLGLVEYLDVNEENDSYIALYEKDIVPSMTHLEIEPFTILG AVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQFKRIDTLLYLMTYPQQPMVKTKT IELIDYDKLPAGQNATVAVMSYSGYDIEDALVLNKSSIDRGFGRCETRRKTTTVLKRY ANHTQDIIGGMRVDENGEPIWQHQSLGPDGLGEVGMKVQSGQIYINKSVPTNSADAPN PNNINVQTQYREAPVIYRGPEPSHIDQVMMSVSDNDQALIKVLLRQNRRPELGDKFSS RHGQKGVCGIIVKQEDMPFNDQGIVPDIIMNPHGFPSRMTVGKMIELISGKAGVLNGT LEYGTCFGGSKLEEMSKILVDQGFNYSGKDMLYSGITGECLQAYIFFGPIYYQKLKHM VLDKMHARARGPRAVLTRQPTEGRSRDGGLRLGEMERDCVIAYGASQLLLERLMISSD AFEVDVCDKCGLMGYSGWCTTCKSAENIIKMTIPYAAKLLFQELLSMNIAPRLRLEDI FQQ SPAR_O03310 MERIAQQYRSGKRDNNGNRMASSAISEKGHIQINQARTPGQMPV YRGETINLSNLPQNPIKPCKDLDDVNIRRNNSNRHSKILLVDVCAGPSTNSFPDNTNA KDITVLSLPLPSTLVKRSNYPFENLLKNYLGSDEKYVEFTKIIKEYDVFIFSDSFSKI SSCLKTTFCLIEKFKKFICHFFPSPYLEFFLFEGSLNDSKASSIEKNKKNCIMPKLDL NLDANLTSRSTLNLRINIPPPNDSNKIFLQSLKKDLIHYSPNSLQKFFQFNIPADLAS NDTILPNWLKFCSIKENEKVILKKLFDNFEILENFEMQRLEKCLKFKKMSSLHQKQQS QQQRVSQSTDNSKLYSLTSLQRQYKTSVKGKVQNNQKLKLTIPKNNTSSSPSPLSSDD TIMTPINDYELTEGIQSFTKNRYSNILPYEHSRVKLPHSPKLPAASEASTAETKTDRP YPVCPLDTKNHSCKINDYINANYLKLSQINPDFKYIATQAPLPSTMDDFWKVITLNHV KIIISLNSDDELNLRKWDIYWNNSSYSNHTIKLQNTWEDICNINGCVLRVFQVTKIAP KNDSIKQDCGSSHTGDLISTTMTTSKPFIVYQLQYKNWLDSCGVDINDIIKVHKIKNS LLFNPQSFIASLKKDVCKPDLVDDKNSDLHLDTANSSPLLVHCSAGCGRTGVFVTLDF LLSILSSTTNHSNKIDVWNMTQDLIFIIVNELRKQRISMVQNLTQYIACYEALLNYFA LQKQIKNEFPC SPAR_O03320 MSEPVIKSLLDTDMYKITMHAAVFTNFPDVTVTYKYTNRSSQLS FNKEAIDWLKEQFSYLGNLRFTEEEIEYLQKEIPYLPSSYIKYISSSNYKLNPEEQIA FTSEEIEGKPSHYKLKILVSGSWKDTILYEIPLLSLISEAYFRFVDTDWDYENQLEQA MKKAEALFDNGIKFSEFGTRRRRSLKTQDLIMQGIMKAVNANPSRNKPLLLGTSNILF AKKYGVKPIGTVAHEWVMGVASISEDYLHANKNSMDYWINTFGAKNAGLALTDTFGTD DFLKAFRPPYSDAYVGVRQDSGDPVEYTKKIAHHYHDVLKLPKFSKIVCYSDSLNVEK AITYSHAAKENGMLATFGIGTNFTNDFHKKSQPQVKSEPLNIVIKLLEANGNHAIKIS DNLGKNMGDPATVKRVKEELGYTERSWSGDNEAHRWT SPAR_O03330 MIVPVRCFSCGKVVGDKWESYLNLLQEDELDEGTALSRLGLKRY CCRRMILTHVDLIEKFLRYNPLEKRD SPAR_O03340 MSNSTPLMTIPKVANYSALIKINASPVRLFILRRRFATHPAILF GPPYIKSPLVHLHSRISTVHGTTNANTLHFVITRRSISNFPRIISKIIRLPIYVGGGM AAAGSYIAYKMEEANSFTRDKLDRIKDFGESMKEKFNKMFSGDESQNGGSGNDGTVPT ATLIAATSLDDDESKKQEDPSDDDDEDDDEDEENDAVDTTQDEMLNLTKQMIEIRTIL NKVDSSSAHLTLPSIVVIGSQSSGKSSVLESIVGKEFLPKGSNMVTRRPIELTLVNTP NSNNVTADFPSMRLYNIKDFKEVKRMLMELNMAVPTSEAVSEEPIQLTIKSSRVPDLS LVDLPGYIQVEAADQPIELKTKIRDLCEKYLTAPNIILAISAADVDLANSSALKASKA ADPKGLRTIGVITKLDLVDPEKARSILNNKKYPLSMGYVGVITKTPSSVNRKHLGLFG ETPSSLGGIFSKGQHGQNAGEENTNGLKQIMSHQFEKAYFKENKKYFANCQVSTKKLR EKLIKILEISMSNALEPTSTLIQQELDDTSYLFKVEFNDRHLTPKSYLLNNIDVLKLG IKEFQEKFHRNELKSILRAELDQKVLDVLATRYWKDDNLPDLSSSKLESDTDMLYWHK KLELASSGLTKMGIGRLSTMLTTNAILKELDNILESTQLKNHELIKDLVSNTAINVLN SKYYSTADQVENCIKPFKYEIDLEERDWSLARQHSINLIKEELRQCNSRYQAIKNAVG SKKLANVMGYLENESNLQKETLGMSKLLLERGSEAIFLDKRCKVLSFRLKMLKSKCHS TIEKDRCPEVFLSAVSDKLTSTAVLFLNVELLSDFFYNFPIELDRRLTLLGDEQVEMF AKEDPKISRHIELQKRKELLELALEKIDSILVFKKSYKGVSKNL SPAR_O03350 MAAHQMDSIAYANNVTQPYIQPQTLHDISAVEDEIQNKIEAARQ ESKQLHAQINKAKHKIQDASLFQMASKVVSLTKNKINLKPNIVLKGHNNKISDFRWSR DSKRILSASQDGFMLIWDSASGLKQNAIPLDSQWVLSCAISPSSNLVASAGLNNNCTI YRVSKENRVQQNVASIFKGHTCYISDIEFTDNAHILTASGDMTCALWDIPKAKRVREY SDHLGDVLALAIPEESNSENSSNTFASCGSDGYTYIWDNRSPSAVQSFYVNDSDINAL RFFKDGMSIVTGSDNGVINMYDLRSDCSIATFSLFRGYEERISTPTYMAANMEYNTAQ SPKTLKSTSSSYLDNQGVVSLDFSASGRLMYSCYTDIGCIVWDVLKAEIVGKLEGHGG RITGVRSSPDGLAVCTGSWDSTMKIWSPGYQ SPAR_O03360 MDRSIEVTFRVKTQQVIIPEQNIQENELPLRRWQMELLMLDAEG NEVEPTILSKCIYHLHPSFKQPRKRLNSLPFLIKETGWGEFNLKIECFFMDNAGKFSI EHDLTFEDDAYAVDYTVNVPYEFSHLNGELSKYFDVPWRVVSPEEEMSLRMADLPWVK SLALIDEDMMTDVVQMILNDPAVQKAIENHPRRERFFMFITQLPDELLTRIQAFLKFS NNRSTRQEMENLASDATSFPTRKEEPIQVHDKRSSFKPL SPAR_O03370 MLGLYLSSLFFAFFMAQVFATKYSITFTSDDYEEDQAGQNEPSP LVFHLDKNSLPPALLNQMEFSPYLVLADLPEGPRALDPQEHVDTVLASKSVIDFLLED PLAIVEHKKFSQIESILYEIMEDNIQGKKETDEVFEEAPKPKIYAYEEVLATNNSIIN NSQRPISTAILTSTIPYLSSTTDLAVSTGVTSVGIFPTIAPANITTIGGYENSSPFIM PSMGILTFLFGLYLLLYP SPAR_O03380 MFRQSIRPLVSNRLTFVRYNSSPAYTAAVSLLKGDLKKAMIAKD EMKKTAIRSMLSAIKNKEIALKGKSADEYSLYDMYSKLISQRKDSIDEFIANKRDDLV AKEQGEMEVIKKYMDQLPVSSELDIDQNVKKLLDALKTKAGEKKIQIKEIMGEIDWKS LPTEWKTSPTAIKNSIVKQFKEIFK SPAR_O03390 MGKNKKKTGKKAKSHPHVEDVDETVNEHEKIVNPVNEYAPSKIS TDPDTDSIMVNADDKQEDPSKGVDRQKVGDDPTTHTINSLEDKKADDEIKELKKEIER LNLELNDKKNQETPNENLKNELANVIKEKDEFKTQYDTLLSKISSMKSIFNKMKEAQK QLEEVQEQLTEYESQNLKLKKKLEATKTENSELQSTIVTLNTELENLEKEQESAEEIF LEYESRIEALQDEKQDIIENHNKELNTYRKEKDQLNVQVQELMIILENNKQDISDLRA ERDELRQALESHEKEKIVLKNSLNDLELKIEEVDNKRGEEAREKDQEIKALRSQLDME LESHNNDMKTLESLKNQLEVMKEDASMKEKYEEESKQHILQIGKLRHEAIILNEHLTK ALAMLKKSSDSESVDKELISNLLISFVSIPRADPRKFEVLELLSNFLNWDEDKKQQAG LISNNEQSRNSSAVSRTESFVSLWTNYLEKESEKD SPAR_O03400 MVNISDFFGKNKKSVRSSTSRPIGQAGASKPEVIDLDNESDQES NDKTPKKAPSSKVIDISETPEAEKKFPLPAKRKASSSVVKPPSSKKQKPPSKVSDSPS NITAQDILDKIPSLDLSSVHVKENVKFDFKSANSNADPNEIASEIGNFPEGKPNCLLG LTIVFTGILPTLERGASEALAKRYGARVTKSISSKTSVVVLGDEAGPKKLEKIKQLKI KAIDEEGFKQLIAGMPAEGGDGEAAEKARRKLEEQHHIATKEAELLVKKEKERAKKLA TSKISGGHPQKDNEVREEDKLWTVKYAPTNLQQVCGNKGSVSKLKNWLANWENSKKNG FKHAGKDGSGVFRAAMLYGPPGIGKTTAAHLVAQELGYDILEQNASDVRSKTLLNAGV KNALDNMSVVGYFKHNEEAQNLNGKHFVIIMDEVDGMSGGDRGGVGQLAQFCRKTSTP LILICNERNLPKMRPFDRVCLDIQFRRPDANSIKSRLMTIAIREKFKLDPNVIDRLIQ TTRGDIRQVINLLSTISTTTKNINHENINEISKAWEKNIALKPFDIAHKMLDGQIYSD IGSKNFTLNDKIALYFDDFDFTPLMIQENYLSTRPSVLKAGQSHLEAVAEAADCISLG DIVERKIRSSEQLWSLLPLHAVLSSVYPASKVAGHMAGRINFTTWLGQNSKSGKYYRL LQEVHYHTRLGTSTDKIGLRLDYLPTFRKRLLDPFLKQGADAITSVIGVMDDYYLTKE DWDSIMEFFVGPDVTTAVIKKIPTAVKSGFTRKYNSMTHPVAIYRTGSTIGGGAVGTS TSTPDFEDVVDADDNPVPADDEETQDSGTDLKKDKLIKQKTKPTKRKTANSKTGSSKK RKTKA SPAR_O03410 MSSSTHSHKRKNSHLFPQRKSSNSSMDKPFFPNNDNVTNTDSQI NENEHTIDESRPTDATIDVTDGPQTPFLQEQYSMRPKRESFQFNDIENQRPMHSFFSV NTFNRRWTEWSLPEKRSYVLIFTLIALLVLFLLVILIPTKFLSTKTTRNETSPGDSSL GKRSFSIENVLNGDFVIPEDTFHFIDPPQRLLGQDSDPGLFFTIKEIDGQTHFIAKQL FDETFEVNLGGNRFLYEGVEFIVSSVQINYSLDKLIFGTNLDPEFRHSSKGFYWIKDL NTGAIEPILPPVKSDDNYELGLSKLSYAHFSPSYNYIYFVYENNLFLQQVNSRFAKKV TEDGSKNIFNAKPDWIYEEEVLASDQAIWWAPDDSKAVFARFNDTNVDDIRLTRYTDM NKAYLSDTRIKYPKPGFQNPKFDLFLVNLQNGVIYSINTGGQEDSILYNGKWISPDAF RFEITDRNSKILDAKVYDIPSYQMLTVRSTNSNLFNGWIEKTKDILSIPPKPESKRMD YGYIDIHADSKGFNHLFYYPTIFAKDCIQLTKGNWEVTGNGIAGYEYETDTVFFTANE IGVMSQHLYSTRLTNSATDDTFQVLQNPSDKYDFYEFELSSSARYAISKKLGPDTPKK IVGPLTKVLNIAEMHDDSILPLTRDERFKEKMKGYDLPITSYKSMILNDGVEINYIEI KPANLDPKKKYPILVNIYGGPGSQTFTTKSSLAFEQAVVSGLDVIVLQIEPRGTGGKG WNFKSWAREKLGYWEPRDITEVTKKFIQRNSPHIDESKIAIWGWSYGGFTSLKTVELD NGDTFKYAMVVAPVTNWTLYDSIYTERYMNQPSENKEGYFEVSTIKNFKSFESLKRLF ILHGTSDDNVHIENTFRLVDQLNLLGLTNYDMHVFPDSDHSIRYHNAQRIVFQKLYYW LKDAFDGRFDNSKLLHL SPAR_O03420 MANQKQMRTQILITDIPSGKFASKWPTQLEKTLFKEQFPNLQSR LQYYTPLPFLNRIIIIFDNEDDTLQVFKFLQQLLAKENGGPMKLFVTESLLNNQHPRS RSTDDAISLQDNSSPLLEDHRSKPLLSINTDPGVTGIDSSSLNKGGSSLSPDKSSLES PTLLKLSMDSKPFSYQEPLPKLSRSSSSTSNLSLNGSSQTSLPSQLENKDKPTSDTKC LFASKPLRLTIDTSTRSSAASGTENDVNVTASNPPKSPSITVNEFFH SPAR_O03430 MKLLTFPGQGTSISISILKAIIRNKSKEFQTILSQNGKESNDLL QYIFQNPSSPGSIAVCSNLFYQLYQILSYPSDSQDHAQKNIPKIDMPNRKDNEQCYLL GHSLGELTCLSVNSLFSLKDLFDIANFRNELMVTSTEKYLVAHNINRSNKFEMWALSS PRATDLPQEVQKLLNSPNLLSSSQNTISVANANSVKQCVVTGLVDDLESLRTELNLRF PRLRITELTNPYNIPFHNSTVLRPVQEPLYDYIWDILKKNGTHTLMELNHPIIANLDG NISYYIHHALDRFVKCSSRTVQFTMCYDTINSGTPVEIDKSICFGPGNVIYNLIRRNC PQVETIEYTSLATIDAYHKTAEENEG SPAR_O03440 MSSDSNAKPLPFIYQFISGAVAGISELTVMYPLDVVKTRFQLEV TTPAAAAAGKQVEKYNGVIDCLKKIVKKEGFSRLYRGISSPMLMEAPKRATKFACNDQ YQKIFKNLFHTNETTQKISIAAGASAGMTEAAVIVPFELIKIRMQDVKSSYLGPMDCL KKTIKNEGILGLYKGIESTMWRNALWNGGYFGVIFQVRNSMPVAKTKGQKTRNDLIAG AIGGTVGTMLNTPFDVVKSRIQSVDAVSSAVKKYNWSLPSLLVIYREEGFKALYKGFV PKVCRLAPGGSLMLVVFTGMMNFFRDLKYGH SPAR_O03450 MSTEPLLPTHNGSTIGEAGSADQKFIVIRFSDVSVRDLQLNISN VSFMNINTHWLRRMCRELRPQQTQKRRLKFIRNGSILNTHSKIAEELTHYFGTAGSNS NAATGTSMAPEQNKYYIHCIIGTEELPEAELANEDLKDDATPSNDSMTTQAIGFDRLR SVGFTEQEIELLRQQFRATYGDLEEEEERLAQDGNRDDEGHDIRQLEEQWMESGSGTA QGNGAGNGNEDRFNSVPIANIKHNKDLLLGICVGFFFGVFGILLMKFDGLFNRRQKMA IFAGVIVNVMFCLVRGF SPAR_O03460 MSNTLFDDIFQVSEVDPGRYNKVCRIEAASTTQDQCKLTLDINV ELFPVVAQDSLTVTIASSLNLEDAPANDSSATRSWRPPQAGDRSLADDYDYVMYGTAY KFEEVSKDLIAVYYSFGGLLMRLEGNYRNLNNLKQENAYLLIRR SPAR_O03470 MFARLANPAHLKPLMGSHITRATRRLYHPKVIDHYTNPRNVGSM DKSLDNVGTGIVGAPACGDVIKLQIQVNDKSGIIENVKFKTFGCGSAIASSSYMTELV RGMSLDEAIKIKNTEIAKELSLPPVKLHCSMLAEDAIKAAIKDYKTKRNPSVLH SPAR_O03480 MSSKLKYTDIDVPLDWLYKGKRRNKTKSVSSARTSEATSTSVKK TVSSPSTPAVSANTTASSHRPLNEQNVNSELSNSKPAVSAGKVLQQGQVPARRARSHS VSYGILQKKNNDDTIDSPKISRIRTAQDQPVKETKSSTVAEPIVSKKGRSRSSSISAS LNERSKKSLFGSLFGRRPSTTPSPVVERPLSSQTDPKRSTELPPIDTKQSKISTPTST PTTASSKPSSSGGNKHFDGSLTSKLLSIPHNILDTSSANSNAHHHHQSHHSNIHEQDS PPSESPDLLPILEKETTQKQLQKLSKVNLKRVTIAVQEFNSDPPQQLPSRKPKRGDVL IPEDMISAPPLISLGITNSSDQTSFHSNNSPSYSKDSKEYKVALENFKKAAKEAEKHQ KDAYYVAERMAQEVANYKARQLKTSPLVVAGNSAADSMTDQESSSMDARASKLHIDKP INAGAHPFETRQNDNIKYSPHVEQTLDVAYTRCCHLREILPIPSTLRQVKGKTAPLQT LKFLNPKPTLVDILSFCDFIAITPIHNIIFDNVCLTHDMFKIVICSLVTSPVVEKLGL RNVVINEQSWKLLCKFLLQNKTLIKLDISQTKARTDLNDSNYRDQMDWELFCEVLRNK EGKPLEELLLNGLRFDKMSFNHFKNILLTFAQKNPKNSIRLGMANVEFSTQCFDFLFD WMSEYNVQGVDLAYNNLESLVKRMIKKLARLPYKHLEYFTLNSTNITSVDDMSCVLKY LSRLPSIKFLDLSNLPQLFPGILTSGYKYLPQFSQLKRIHFDFNDLSVKETTMLVSIL AKCETLSHVSLIGQSPMPDANKISASTDEPDKMRAEKKEQITFMRNTLWASLYAFVRD SHNLVSLDVDYDQVPDEIQSRIALCLMHNMKRIMDSSFKLDELTVQDDLIFDGSLITE TAEEVLKRLNDKSLLQNDVGKKYLLKKYFEKMEKVHHNVQHTIDSMFEKRKSGELPLQ EKENLLRLLLLEKNLSNILDIFASMPNIADVVPFSKADNSFPNIGDSSVGTNYNDGIR PSLKHLDSDRLINDASIPENDSSIRPHLMATDSGRIIDVTTGKALLFKSSSNTSLAGK RQEEEEGELHKWGVFVQHQSSRHNSGFPSSASSSRISSPSTPNGSIADGKKRESAQTS GSRPKILPKIPTGTELRDAIIKAKGIDSVDDLIKNVTSEKVGLESLYGDELNPRSPSN DSLQESQQKAPFQRPLIEDETVTKKYDKLLNDLSNVRHSKT SPAR_O03490 MIKLHEVPPEPIEPTSLPHDNGTNTPKGDGSPNGRKKIFGIPYP VSKSTCRRFLWNCQKISVLPMALYFPLHAANTLITPAISPDSAPDDVLMMVREILPSI TTKLLVAGITLHVSAGVLLRIVNNWNKPSRNKHRHLEISAKQDLSQDTIGLTGGISGY LFGLYRTFRIPPQVISGYILVPVLIYHLLIMKWVPNSISTEVDFASIKQLLSNKNRWW KWLGGLVPLAILLESSVYHIGSGLCRYFGVNKMTARKKWSTAINLLTLVGFISLVRLM REDSTKLGPNQFEGIFKRIRLLLHIR SPAR_O03500 MVKSKPNQGASGARRKPAPSLYQHISSFKPHFSTRVDDVLHFSK TVTWRSEIIPDKSKGTLTTSLLYSQGSDIYEIDTTLPLKTFYDDDDDNDDDKSNVKTK STAAPNPEYGDAFHDVEGKPLRPKWIYQGETVSKMQYLESSDDSTAIAMSKNGSLAWF RDDIKVPVHIVQEMMGPATRYSSIHSLTRPGSLAVSDFDVSTNMDTVVKSQSNGYEED SILKIIDNSDRPGDILRTVHVPGTTVAHSVRFFNNHLFASCSDDNILRFWDTRTADKP LYTLSEPQNGRLTSFDSSQVTENLFVTGFSTGVIKLWDARAVQLATTDLTHRQNGEEP IQNEIAKLFHSGGDSVVDILFSQTSATEFVTVGGTGNVYHWDMEYSFSRNDDDNEDEV QVAAPEELQGQCLKFFHTGGTRRSSNQFGKRNTVALHPVINDFVGTVDSDSLVTAYKP FLASDFIGRGYDN SPAR_O03510 MPKKVWKSTTPSTYEHISSLRPKFVSRVDNVLHQRKALTFSNVV VPDKENNTLTSSVIYSQGSDIYEIDFAVPLQEASSEPVKDYGDAFEATENTSLSPKFV YQGETVSKMAYLDKTGETTLLSMSKNGSLAWFKEGIKVPIHIVQELMGPATSYASIHS LTRPGDLPEKDFSLAISDFGISNDTETIVKSQSNGDEEDSILKIIDNAGKPGEILRTV HVPGTTVTHTVRFFDNHIFASCSDDNILRFWDTRTSDKPLWVLGEPKNGKLTSFDCSQ VSNNLFVTGFSTGIIKLWDARAAEAATTDLTYRQNGEDPIQNEIANFYHAGGDSVVDV QFSATSSSEFLTVGGTGNIYHWNTDYSLSKYNPEDTIAPPQDATEESQTKSLRFLHKG GSRRSPKQIGRRNTTAWHPVIENLVGTVDDDSLVSIYKPYTEESE SPAR_O03520 MASLFRPPESAKGNPNSPRLKLPLLRNNQLNESNIYSTSNGSST TACGHTPEPLTSSTSTLFSQTRLYPSDSSVTLNTMKKRPAPPSLPSLSTNPLYKNKTL PQLVPIADVPDSKQDLGLKQHVVAANELPGSYELTPSSMTSPFSHTNTSSPYLRNDLN NSVGSDFSNLISAYERSSSPIKSFCQLESPSESYIDLNSVRDVDQLDENGWKYANLKD RIETLGILGEGAGGSVSKCKLKNGSKIFALKVINTLNTDPEYQKQIFRELQFNRSFQS EYIVRYYGMFTDDENSSIYIAMEYMGGRSLDAIYKNLLKRGGRISEKVLGKIAEAVLR GLSYLHEKKVIHRDIKPQNILLNENGHVKLCDFGVSGEAVNSLATTFTGTSFYMAPER IQGQPYSVTSDVWSLGLTILEVANGKFPCSSEKMAANIAPFELLMWILTFTPELKDEP ESNIIWSPSFKSFIEYCLKKDSRERPSPRQMINHPWIKGQMKKKVNMEKFVKKCWED SPAR_O03530 MRAFSAATVRATTRKSFVPMAPRTPFMTSSFARSVTPMTRMRYY SDEAKKEEAKEGNENSTEEQSEIKKLENQLSAKTKEASELKDRLLRSVADFRNLQQVT KKDIQKAKDFALQKFAKDLLESVDNFGHALNAFKEEDLQKSKEISDLYTGVRMTRDVF ENTLRKHGIEKLDPLGEPFDPNKHEATFELPQPDKEPGTVFHVQQLGFTLNDRVIRPA KVGIVKSEEN SPAR_O03540 MASVPKRHTYGGNVVTDRNRHSLQRNNEILHPIRKDQRKHATFG PYIIGSTLGEGEFGKVKLGWTKPSSSNEVPKQVAIKLIRRDTIKKDADKEIKIYREIN ALKHLTHPNIIYLEEVLQNSKYIGIVLEFVSGGEFYKYIQRKRRLKESSACRLFAQLI SGVNYMHYKGLVHRDLKLENLLLDKHENLVITDFGFVNEFFEDNELMKTSCGSPCYAA PELVVSTKAYEARKADVWSCGIILYAMLAGYLPWDDDHENPTGDDIARLYKYITQTPL KFPEYITPIPRDLLRRILVPNPRRRVSLQAIERHVWLKPHEAFLSIQPNYWDEHLQRE RPQLSNKGDVGRHSTYSSPASSYSKSRDRNSLIIESTLEQSRMSPQPLASGSTSPKSS SDPRVVLNAAKKNMKESSITSERTSAPSKYTRDSKDNSQIEQIAARHSSRGNKHTSVA GLVTIPGSPTTARTRNSPSEPTEHLKGPSPPNFTQEEFHRVGNYHVPRSRPRPTSYYP GLSRNTPDNLVDIPVNKLGSSGRLADTKNIVVPLHSLEGKPSDIIHDATKATISNNSI ILLSEGPAAKTSPVDYHYAIGDLNHGDKPITEAIVKMNKDLTHKIVEHSFSQENVELE GTSMISVTKESNDSTDEERVDTQPENVGHSSNKSDASSDKENKKNYERKRFSFMSLYS SLNGSRSTVGSRNSKGNAPPISSRNPSGQSNKNTIKITQQQPRNLSDSSMLRVSNPDK KISDNRKRNNTSGYVGNENPGRSVRASVMVSTLREEDRSGWSNEGNNVEAQTSTARKV LNFFKRRSMRV SPAR_O03550 MTGGKIPVVGIVACLQPDMGIGFLGGLPWRLPNEMKYFRQVTSL TKDSSKKNAVIMGRKTWESIPPKFRPLPNRMNVIISRSFQDDFAHDKERSIVRSNSLA NALTNLENNFQEHLERIYVIGGGEVYNQIFSITDHWLITKINALDKNAIPAMDTFLDA KKLMEAFSEQCPAQLKEFLPPKVELPETDSDQRYSQEEKDYHFEFTLYNRK SPAR_O03560 MSQHASSSSWTSFLKSISSFNGDLSSLSAPPFILSPTSLTEFSQ YWAEHPALFLEPSFIDGENYKDHCLFDPNVESQEVARMLAVVRWFISTLRSQYCSRSE SMGSEKKPLNPFLGEVFVGKWQNDEHLEFGETVLLSEQVSHHPPMTAFSIFNEKNDVS LQGYNQIKTGFTKTLTLTVKPYGHVILKIKDETYLITTPPLHIEGILVASPFVELGGR SFIQSSNGMSCVIEFSGRGYFTGKKNSFKARIYRNPQEHSHKENALYLISGQWSGVST IIKKDSQVSHQFYNSSETAAEHLLVKPIEEQHPLESRKAWKDVAEAIRQGNISMIKKT KEELENKQRALREQELVKGVEWQRRWFKQVDYMNEDKSNDVEKASEDDFRKLASMLQL SVKNVPSGTLIGGKDDKKDVQTALHWRFDKDLWMREKEITI SPAR_O03570 MNEKAELILVPCHSIWKSSIQPSDRSFNLGQSAEYWHLAPFQYE GNDHLAFIKHGLTAIKLLLQTTHAATVIFSGSQTKKEAGAISEAQSYYFLFERLIRYV MSNNDIEVPNFDDDLCSLLKEIKNLLISQSVDIDEMFYGGSITTEEFSLDSFDNLVYS IYRFEEVNKKFPQKITIIGFAFKMSRFISCHAKAIDYPQSNITYVGIDPKPINYNQKQ LSEYYNDLVQMENKNALSLFSSDWYATKDRLLTKKRSRNPFKRTAPYAQNILFKGNGT WIEDDEKYFERNIKNKMPWSLPRK SPAR_O03580 MVGKTYRHAVTALNSLQSNYANIMAIRQTGDRKNTMALLEMHEW SRRIGYSASDFNKLNIVHITGTKGKGSTAAFTSSILRQYKEQLPRIGLYTSPHLKSVR ERIRINGKPISEEKFAKYFFEVWDRLDKTTSSLDKFPHMIPGSKPGYFKFLTLLSFHT FIQEDCKSCIYEVGVGGELDSTNIIEKPIVCGVTLLGIDHTFMLGDTIEEIAWNKGGI FKPGAPAFTVEKQPPEGLTVLKERAKERRTTLTEVSPFKQLQNVKLGIAGEFQKSNAS LAVILASEILHTSNILNEKIRCNSNALIPEKFIIGLQNTKWEGRCQVLERGKDAWYID GAHTKDSMVAASTWFRNTARLSKRKKILLFNQQSRDANALVNNLYSSVSPEITFDDVI FTTNVTWKSGSYSADLVSMNTSQEDVEKLKVQESLAKNWSKIDGNRAQTHVTANIEEA NELIETLYDEPVDIFVTGSLHLVGGLLVVFDRIDVK SPAR_O03590 MHKNYYSNTDVYTKHKDSEGLRKKALISRRSSFFSFFNDSSGSN GNELIGFRRFAKAYLFGGEAGSCGTDSYTPVRANVNKRRPKKEDRNVQQLWKRQHHSQ GCFFSIDGDSNKETEAPVNKFYENGEYINQDLVVKGKIYPEETGVADKKIAGCQNPGF LKTRSISINDIPRGTGISSVLSQVRGGSLERIVVYRYDTPERSLHKVDLFFLNYDGAQ SFMRYAKTNIFKVNGVQLKPEWIFLESTYENVMKEQSVNRIVEGEKLISRCLIVKKSS TKTTLNKSNLDKGQTLENIDIRELEKDFQNFGEVLEITPIVSRKLCISIFFYDISSAM RAMEEYEQKGSYLNNKYFKTWTIWYGKDITDQPCIDL SPAR_O03600 MSDSADLTVKRPLDAHVESSENAAKKLKVDQRTQIDCGIHEADV GITLFLSPGLPGFRGQIKQRYTDFLVNEIDQEGNVIHLTDRGFKMPKKPQRSKEEVNA EKESEAARRQEFNVEPELRRELVEIFGEEDVSKIESVFRMANKMETAKNFEDKSVRTK IHQLLRQAFNNELESVTTDTNTFKIARSNKNSRTNKQEKINQTRDANGVENWGYGPSK DFIHFTLHKENKDTMEAVNVITKLLRIPSRVIRYAGTKDRRAVTCQRVSISKIGLDRL NALNRTLKGMVIGNYNFSDTSLNLGDLKGNEFVVVIRDVTASNSEVLVEEIVSNGCKS LSENGFINYFGMQRFGTFSISTHTIGRELLLSNWKKAVELILSDQENVLPKSKEARKI WAETKDAALALKQMPRQCLAENALLYSLSNQRKEEDGTYSENAYYTAIMKIPRNLRTM YVHAYQSYVWNSIASKRIELHGLKLVVGDLVIDNEKSVPIAGIDDEDFDEDVREAQFI RAKAVTQEDIDSGKYTMEDVVLPSPGFDVLYPSNEELKQLYVDMLKADNMDPFDMRRK VRDFSLAGSYRTVIQKPKSLEYRIIHYEDPSQQLVNTDLDILNNSRAKESGQKYMKAK LDRYMPDKGGEKTAVVLKFQLGTSAYATMALRELMKLETSRRGDMCDVKENI SPAR_O03610 MSHDGEEEPGIAKKINSVDDIIIKCQCWVEKNDEERLAEILSIN TRKAPPRFYVHYVNYNKRLDEWITTDRINLDKEVLYPKLRATDDEDNKKQKKKKSTTA SATPQESLKDGIDGISRENTDVMDLDNLNVQGIKDENISHEDEIKKLRTSGSMTQNPH EVARVRNLNRIIMGKYEIEPWYFSPYPIELTDEDFIYIDDFTLQYFGSEKQYERYRKK CTLRHPPGNEIYRDDYVSFFEIDGRKQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYC MTRRDELGHHLVGYFSKEKESADGYNVACILTLPQYQRMGYGKLLIEFSYELSKKENK VGSPEKPLSDLGLLSYRAYWSDTLITLLVEHQKEITIDEISSMTSMTTTDILHTAKTL NILRYYKGQHIIFLNEDILDRYNRLKAKKRRTIDPNRLIWKPPVFTASQLRFAW SPAR_O03620 MSETVNGIRRRKSGEGSPTAGIAERHENKPLSNIDKRDQTFKPQ LESCCPLATPFERRLQTLAVAWHTSSFVLFSIFALFAMSTPALWVVVIPYMIYFFFDR SPATGDVVNRYSLRFRSLPIWRWYCDYFPISLIKTDDLQPTFTLSKNKTINEKIYKVR LWPTKYSINLKSNSTVDYRDQECTGPTYLFGYHPHGIGALGAFGAFGTEGCNYSRIFP GIPISLMTLVTQFHIPLYRDYLLALGISSVSRKNALRTLSKNQSICIVVGGARESLLS STNGTQLILNKRKGFIKLAIQTGNINLVPVFAFGEVDCYNVLSTKKDSTLGKMQLWFK ENFGFTIPIFYARGLFNYDFGLLPFRAPINVVVGRPIYVEKRITNPPDDVVNHFHDLY IAELKRLYYENREKYGVPDAELKIVG SPAR_O03630 MLDPRILPYYNPAVNRKIAVVTGGNTGIGWYTVLHLYLHGFVVY ICGRNSHKISKAIQEILAEAKKRCHEDDNGPGLGPSIQRLGSLHYIHLDLTDLKCVER ASLKILKLEDHIDVLINNAGIMAVPLEMTKDGFEVQLQTNYISHFLFTMRLLPLLRHC HGRVISLSSIGHHLEFMYWKLSKTWDYKPNMLFTWFRYAVSKTALIQCTKMLAIKYPD VLCLSVHPGLVMNTNLFSYWTRLPIVGIFFWLLFQVVGFFFGVSNEQGSLASLKCALD PNLSVEKDNGKYFTTGGKESKSSYVSNNVDEAASTWIWTVHQLRDRGFEI SPAR_O03640 MFHSVALFALFALTSSVSAIYSNNTAFTTTTLAPSYSLEPHETT ISYADDTTTFFVTSTVYSTNWFTSTSATITNAATSSASGSAAPEYTHEITSTSTITST LLLTLHDTTTLAPSSTAVSVSDEDSNNKDAKVRSIDQASTSNGCVPITKFVTVTNEPV TQYVTVTPNTTTQYVTVTGAPSVTTTSPGNVQWYNTTSITNSTSW SPAR_O03650 MSEYGPLGITNFITPYDLCILVLIHVHSSQDNGIAVPTEVFLRL ISPTRPSIEWNPLLKDNSNLRPSSVVPPPILPILENVIRMLLDDRDGNKIALTLMGYL EAINGLDSINRLMMDLEKNCLVNNYRSMKVRTTSTRRQMTRASFLGTFLSTCIRKYQF GDFEMRETIWINLQNFKTAFKQTPLWQQFKDNIHIQKVKNCLLANDEISVEDQQMVEF FQNFDDCNDGNSKTMNEEINGTLISIQHLQSIVNRQIVDWLNTTEFNSNGRKEGDITS YEEQRQLVFDMLDTLSLNDATKFPLIFILKYLEAIKENSYQIALDSLHNYFDYKSTGN SQNYFHISLLSLATFHSSFNECDAAINSFEEATRIARENKDMETLNLIMIWIINFIEV HPEYANRFYITVEQIIKYLKNSSDVEDANIFSSAYKFETLLSMVKESKTADVSSSLLK FMAITLQNVPSQNFDLFQSLVDYEMKFWKEQGYESISGVYEKFISQTSSSSLRNHDSS VINRDIKAAFKALEEDDFSKVKQYLLKSESLKLDYAQQINFKYLRVKYLIRTGDYDLS MRLINQYIKECCEEVADSNWRFKFEIESINVLLLCNVGIRGLPKIMQLIDKYKEIGNP LRCVILLLKLCEVLVQVGKGAEAECLVSCNLSTILEFPFIRKKTNELLKSISVEKDTD VQMT SPAR_O03660 MASLPGIDEHTTSEELITGDNEWHKLVIPKGSDWQIDLETEGKL IIKVNSGIAEIFGTELAVDDEYTFQSWKFPIYAVEETELVWKCPDLTTNTIIVKSNHT MKYIYNLHFMLEKIRMSNFEGPRVMIVGDSQTGKTSLSRTLCSYALKFNAYQPLFINL DPQQPIFTVPGCVSATPISDILDAQLPTWGQSLTSGATLLHNKQPMVKNFGLERINEN KDLYLECISQLGQVVCQRLHMDPQVRRSGCIVDTPSISQLDENLVELHHVIEKLNINI MLVLCSEADPLWEKVRKTFGPELGNNNIFFIPKLDGISTVDDVYKRSLQRTSIREYFY GSLDTALSPYAVGVDYEDVTIWKPSNVFDNEVGKVELFPVTITPSNLQHAIIAITFAE RRADQVTVIKSPILGFALITEVNEKRRKLRILLPVPGRLPIKAMILTSYRYLE SPAR_O03670 MLLFKLISPEAFVKLVASEKARRVIPVDATWYLPSWKLNNKEDF LTKPRIPNSIFFDIDAISDKESPYPHMFPTKQVFDDAMSNLGVQKDDILVVYDRVGNF SSPRCAWTLGVMGHPKVYLLNNFKKYEELKYPLDSSEVEAFSPYPKSHYESSKSFQDK EVVDYKEMFQLVKSGELANKFNAFDARTLGRFEGTEPEPRSDIPSGHIPGTQPLPYGY LLDPETKTYPEDGEAMRSTVEKALKDLHCTLDPRKPTICSCGTGVSGVIIKTALELAG VSNVRLYDGSWTEWALKSGPEWIAENRN SPAR_O03680 MPVTKSLSKLQKNFSKKGKNITVHPKGRKYEKLVRATMREDKIA AKKKLHQDKRVHELARVKFMQDVVNSDTFKEQPIFDHAHTREFIQSFIERDDVELDEL KRKRRSNRPPSNRQVLLQQRREQELKEFKAGFLCPDLSDAKNMEFLRNWNGTFGLLNT LRLIRINDKGEQVVGGNE SPAR_O03690 MGRDICTLDNVYANNLGMLSKLAHVTVPNLYQDAFFSALFAEDT LVAKNKKPSSKKDVRFTQLAYYSEIPVGGLVAKLVPKKQNELSLKGIQIEFLGVLPNY RNKSIGSKLLKFAEDKCSECHQHNVFVYLPAIDDLAKQWFIAHGFEQVGETVNNVIKD VNGSEQDAVLLKKHIS SPAR_O03700 MPTNYEYDEASETWPSFILTGILMVVGPMTLFQIYQIFFGSNTE DVNPGSNKGFNEEVFKKLNEEYTSDEIKQFRRKFDKNSSKKSKIWSKRNIIIIVGWIL VAILLQRINSNDAIKDAATKLFDPYEILGISTSASDRDIKSAYRKLSVKFHPDKLAKG LSPDEKSVMEETYVQITKAYESLTDELVRQNYLKYGHPDGPQSTSHGIALPRFLVDGS ASPLLVICYVALLGLILPYFVSRWWARTQSYTKKGIHNVTASNFVSNLVNYKPSEIVT TDLILHWLSFAHEFKQFFPDLQPADFEKLLQDHINRRDSGKLNNAKFRIVAKCHSLLH GLLDIACGFRNLDIALGAINTFKCIVQAVPLIPNCQILQLPNVDKEHFITENKDIHTL GKLFTLEDAKIGEVLGIKDQAKLNETLRVASHIPNLKIIKADFLVPGEDQVTPLSTPY ISLKVLVRSAKQPLLPTRLIPEDKLIEPQDFESQRDPFAMMGKQPLVPYSFAPFFPTK RRGSWCCLVSSQKDGKILQTPIIIEKLSYKNLNDDKDFFDKRIKLDLTKHEKFDINDW EIGTIKIPLGQPAPETVGDFFFRVIVKSTDYFTTDLDITMNMKVRDLPVVEKVEVYSE EDDEYSTDDDETESDDESDASDYTDIDTDTEAEDDESPE SPAR_O03710 MRAPPSPRKSRSGHFLYLYFRLRQFFSCQRLKRRWHVHKLHIHQ YNTRWNLSPLSETRIEDMINEPSSLCPGSSKKKPLLIARFSKGCQESPRVYVLQRNSL SRLKLSKRKYALRFYHNEFFGKDLKRKDGSIYGIQHQQCAETVRKIKKVTGKHADVKI IFHDKNTIRSDKLGIRNNRKWTRTGALEEEEEDSSSVYIRFCDDHSLRVKDYHSLHKH SKKTFSQKQSNLVVGKSELLEKLFEEEPLRQNKGVGKKPGTIKIQEFQNYPIVSFSRV I SPAR_O03720 MRGSYQPVPSTNLEHENTIPTASSSHTLLMNQHFDDSLPDSNNN SIDTSTTPPTEPPSYEFDIEDPRNDIHKRTHLQRVSIGFQEKILEPLMENIIHPFFQI SKFIQDKADYYLSKIGNPFILRRFFYIILMSFVAYYVLSSGYLLNEKASGSKGMFSQH DILFEYAKKSVDLAKFERDLEYISSMPHGSGTKGDSAITRYIQDSFDNNGLKLVREMG YLVYSNYPGNVSISYYDNENEKHDLKLSTENFNPLSSNGKLSKVSLIYAGKGTTNDLQ NLKDSKTIEDGKDYVLLLQYDKLVSQQVLLAEKFGAKAVIFISEPYGENIDVVQSKPV GLPQYSTGDASTLNRFGSVVEEKDLEFWRLAHIPTIPISRRQGQELLSRLSSGGITVD GGNNDHIHSGKMGDVLVDLDLQTNVREKHFVPNVVGKIEGREQSDKAIIIAASRNSIN FGTTYPNFGTAALLSIVQLFQEVKYKFGWKPLRNIYFISFGGSEFNYAGSSELVEQRL TPLKDEIYSLIDISQLGIPPSEKYENGKTGGKLSIETHPLLKKFFRNRNAHLNFDISV DNVHHYGDWTPFLANGIPVSVISSDSTRNRITPSETSEDKFELVEKMLESEQNQQTVK NLLLYLLHISMELIDDPLLHFDIINYVEDIDERLQRLEQAYPEKLNFTSVIKGLLFWK KIGNEWTSWTQGWENIVWSHGDGIEPSLLSINRWTWNKKLTNIGRRTCSPAGIPNRSF YKNILFGPTLIQEDRSKNGEDVDFWTFPGVMDAIYDDDWKRAQEQIDLIGKVLHQSAA LFVEETNDIGYK SPAR_O03730 MSKSRSSLQSGPLNSELLEEQKQEIYEAFSLFDMNNDGFLDYHE LKVAMKALGFELPKREILDLIDEYDSEGRHLMKYDDFYIVMGEKILKRDPLDEIKRAF QLFDDDHTGKISIKNLRRVAKELGETLTDEELRAMIEEFDLDGDGEINENEFIAICTD S SPAR_O03740 MSWRYALKSYVTSPETIDDGTVIYFDDKVSIIKDSFPKSECHLL ILPRSMQLSRSHPTKVIDAKFKNEFEPYVDSAISHVFRHFQEKFRVKKSHDDEDLDLG GDILEDENKFVKKFVRVGIHSVPSMANLHIHVISKDFHSVRLKNKKHYNSFNTGFFIS WDDLPMTGKKLGTDKDIETTYLKKHDLICCYCQENFSNKFSSLKKHLELEFNSYFQLK SPAR_O03750 MSEEQDPLLAGLGETPNDNRTQQSQGQQPEQPQETEGQREEEPS RLDPEQEAHNKALNQLKRKLLEHRRYDDQLKQRRQNIRDLEKLYDKTENDIKALQSIG QLIGEVMKELSEEKYIVKASSGPRYIVGVRNSVERSKLKKGVRVTLDITTLTIMRILP RETDPLVYNMTSFEQGEITFDGIGGLTEQIRELREVIELPLKNPEIFQRVGIKPPKGV LLYGPPGTGKTLLAKAVAATIGANFIFSPASGIVDKYIGESARIIREMFAYAKEHEPC IIFMDEVDAIGGRRFSEGTSADREIQRTLMELLTQMDGFDNLGQTKIIMATNRPDTLD PALLRPGRLDRKVEIPLPNEAGRLEIFKIHTAKVKKTGEFDFEAAVKMSDGFNGADIR NCATEAGFFAIRDDRDHINPDDLMKAVRKVAEVKKLEGTIEYQKL SPAR_O03760 MSIQAFIFCGKGSNLAPFTQPDFPFQTQNKDSTAATNGDKLNEL VNSTLDSTVINEFMQYSTRLPKALLPIGNRPMIEYVLDWCDQGDFKEINVVAPVDEIE LIESGLTSFLSLRKQQFELIFKALSNSNHSHHLQDPKKINFIPSKANSTGESLQKELL PRINGDFVILPCDFVTDIPPQILVDQFRNRDDNNLAMTIYYKNSLDSSIDKKQQQKQK QQQFFTVYSENEDSERQPILLDVYSQRDVTKTKYLQIRSHLLWNYPNLTVSTKLLNSF IYFCSFELCQLLKLGPQSMSRQASFKDPFAGNQQQQNPPTTDDDEDRNNDDDDDYKPP VASIQPTYFKKKNDLILDPINCNKSLSKVFRDLSRRSWQHSKPREPIGIFILPNETLF IRANNLNAYMDANRFVLKIKSQTMFTKNIQIQSAAIGADAIVDPKCQISAHSNVKMSV VGTQANIGSRCRIAGSLLFPGVHLGDEVILENCIIGPMAKIGSKCKLSNCYIEGHYVV EPKNNFKGETLANVYLDEDEEDELIYDDSVIAGASEIAEETDSDERSDEDSDDSEYTD EYEYEDDGLFER SPAR_O03770 MSLQHEKVTIAPLVLLSALDHYERTQTKENKRCVGVILGDANSS TIRVTNSFALPFEEDEKNPDVWFLDHNYIENMNEMCKKINAKEKLIGWYHSGPKLRAS DLKINELFKKYTQNNPLLLIVDVKQKGVGLPTDAYVAVEQVKDDGTSTEKTFLHLPCT IEAEEAEEIGVEHLLRDVRDQAAGGLSIRLTNQLKSLKGLQSKLKDVVGYLDKVINKE LPINHTILGKLQDVFNLLPNLGTPDEDEMNMEDHDRIKISNNLQKALTVKTNDELMII YISNLVRSIIAFDDLIENKIQNKKIQEQRVKDKQSKVSEDTENGDKETTAPLIQQKNK KN SPAR_O03780 MPFAQIVIGPPGSGKSTYCNGCSQFFNAIGRHSQVVNMDPANDA LPYPCAVDIRDFITLEEIMQEQQLGPNGGLMYAVESLDKSIDLFILQIKSLVEEEKAY LVFDCPGQVELFTHHSSLFSIFKKMEKELDMRFCVVNLIDCFYMTSPSQYISILLLAL RSMLMMDLPHINVFSKIDMLKSYGELPFRLDYYTEVQDLDYLEPYIEKEGSSVLGKKY SKLTETIKELVSDFNLVSFEVLSVDDKESMINLQGVIDKANGYIFGASEVGGDTVWAE ASREGALLANYDIQDRWIDNKEKYDKEEEEKRAALLKEQELQNKAVDVNEEDEWENAL KEWEEKQGTDFVR SPAR_O03790 MPRKFLGNRIEKNVDAIRPSSLTLTPDDLKYIPPIPQDFEDEDD KVLCNGNSENRLSKRFGGTLILRKRLESVPELFLHDFKKKPGPQLDIIKEKKFTGIRA PKGVANSESNILRLRGRKKVEPVSIQRKSLRRPTLPKPAVMQPVQHKKHPNHIIDRVF VSRPAPIVMPVQGLTPIDPVPLVQKQTQDYHRKNKYGKSDSEILFDEILSAYENVSVK NSTALNSEIDRIIDICTSKQIAKKSEAFQVPYVVCPDDTETLFSSVTPKLKPVNSNAL NDFISSPEYSTSGCSTYSDQWNSEEELPDVESTVWNTNKRSMRSSIMSESTNEEGYCT ALETLPSTLSVEDLDIHNKLPKVTQTSPCNTLLNKLSLRKLKKITLDPPKIMHGVNFD DDSDYGGDKDEEDTALDILREKIDCIEIESCSSSMYSE SPAR_O03800 MAPTQLDIKVKALKRLTKEEGYYQQELKDQEAHVAKLKEDKSVD PYDLKKQEEVLDDTKRLLPTLYEKIRQFKEDLEQFLKTYQGTEDVGDAKSAITSAQEL LASK SPAR_O03810 MYSRLKMIRRYTTPPVMKSDSIQLHSLVKTALFEALNSRYKSGA LQIVQDVNWNAKITPSNSPELLTATLNSNRSLPMTKFPKQDILEQVKLDTKVGQWRKF MTGWFRIGLYLLKSYKTGIQNTLKVFWDTRNEGRKFDIKNGALAKLVREIEMHEINIK LSSSSLPSRVSAKVPSRPFSINRKALVELIRRDQIWKLPVFFTLVFIFEEVSALIFTF FPRVCPYNCLTPGGYKKLSNLHIKNTTRPQENYGLGPLEFTKQGAIKYEAPYVVPIEN LYSFLTSFPQSMVSKWKLYIYKKLKLQKLLCNEIEKIYQYFFIDDWLLLQSILNTDVE ATKIGLSDRELVNCILERKLYRMGDDLNEMVNDTLGQKILLKRLFLYWSLRYNDTVSL NGKHTFSEKWGVNNISLLKYNSELVITNDI SPAR_O03820 MPNLLSRNPFHGHHNDHHHDRENSSNNPPQLIRSSKSFLNFIGR KQSNDSLRSEKSTDSMKSTTTTTNYTTTNLNNNTHSHSNATSISTNNYNNNYETNHHH NISHGLHDYTSPASPKQTHSMAELKRFFRPSVNKKLSMSQLRSKKHSTHSPPPSKSTS TVNLNNHYRAQHPHGFTDHYAHTQSAIPPSTDSILSLSNNINIYHDDCILAQKYGKLG KLLGSGAGGSVKVLVRPTDGATFAVKEFRPRKPNESVKEYAKKCTAEFCIGSTLHHPN VIETVDVFSDSKQNKYYEVMEYCPIDFFAVVMTGKMSRGEINCCLKQLTEGVKYLHSM GLAHRDLKLDNCVMTSQGILKLIDFGSAVVFRYPFEDGVTMAHGIVGSDPYLAPEVIT STKSYDPQCVDIWSIGIIYCCMMLKRFPWKAPRDSDDNFRLYCMPDDIEHDYVESARH HEELLKERKEKRQRFLNHSDCSAINQQQPAHESNLKTVQNQTPNTASIQDKNSNKPEI KEGNTEENKDEDSNNDKESTPDNGKEGTINIKAGKNEDISTLVSANAKKTDVHTNADC NNKADGNANSDCDNKADCNANGECSNEAECNAKVDANAKPDVAPQNNLQQQQQQQHHQ HQNQDKPHSIASDSKSSQQHRGPHHKKIIHGPYRLLRLLPHASRPIMSRILQVDPKKR ATLDDIFNDEWFASIAACTMDSKNKVIRAPGHHHTLVREENAHLETYKV SPAR_O03830 MNDWQQQLPLTGTQKNELDKSVLRYLNWNYKQTVRHEHVQNYES VRHAIVTLSTFLLQESVDRQEFISNNDTNNESIVDIDELLLPKKWNSIVRLQKKIIEL EQNTETLVSQIKDLNSQVSELAQFMPPTRNGTGAQNVLKWVPKNLPSCLINVESSVTS VKLHPNLPIVFVATDHGKLYAFDLFNYTIPLASLQSHTKAITSMDVLFTNFTKSSKKN YLVIVTASKDLQIHVFKWISDECKFQQLRSLLGHEHIVSAVKIWQKNNDIHIASCSRD QTVKVWDFHNGWSLKTFQPHSQWVRSIDVLGDYIISGSHDTTLRLTHWPSGNGLSVGT GHEFPIEKVKFIHFIEDPPNARFRTPSTAQYKNWGVQYCVSASRDRTVKIWEIPLPTL MAHRAPIPNPTDSNFRCVLTLKGHLSWVRDISIRGQYLFSCADDKSVRCWDLNTGQCL HTWEGLHTGFINCLDLDVDFDPNVNPRQIMVTGGLDCKSNVFMR SPAR_O03840 MSEKEEAIFRSAEMALVQFYIPQEISRDSAYTLGQLGLVQFRDL NSKVRAFQRTFVNEIRRLDNVERQYRYFYSLLKKHDIKLYEGDTDKYLDGSGELYVPP SGSVIDDYVQNASYLEERLIQMEDATDQIEVQKNDLEQYRFILQSGDEFFLKGDNTDG TSYMDEDMIDANGENIAAAIGASVNYVTGVISRDKVATLEQILWRVLRGNLFFKTVEI GQPVYDVKTREYKQKNAFIVFSHGDLIIKRIRKIAESLDANLYEVDSSSEGRSQQLAK VNKNLSDLYTVLKTTSTTLESELYAIAKELDSWFQDITREKAIFEILNKSNYDTNRKI LIAEGWIPRDELATLQARLGEMIARLGIDVPSIIQVLDTNHTPPTFHRTNKFTAGFQS ICDCYGIAQYREINAGLPTIVTFPFMFAIMFGDMGHGFLMTLAALSLVLNEKKINKMK RGEIFDMAFTGRYIILLMGVFSMYTGFLYNDIFSKTMTIFKSGWKWPDHWKKGESITA TSVGTYPIGLDWAWHGTENALLFSNSYKMKLSILMGFIHMTYSYFFSLANHLYFNSMI DIIGNFIPGLLFMQGIFGYLSVCIVYKWAVDWVKDGKPAPGLLNMLINMFLSPGTIDD ELYPHQAKVQVILLLMALVCIPWLLLVKPLHFKFTHKKKSHEPLPSSEADASSEDLEA QQLISAMDADDAEEEEVGSGSHGEEFGDIMIHQVIHTIEFCLNCVSHTASYLRLWALS LAHAQLSSVLWTMTIQIAFGFRGFLGVFMTVALFSMWFALTCAVLVLMEGTSAMLHSL RLHWVESMSKFFVGEGLPYEPFAFEYKDMEVAVASASSSASS SPAR_O03850 MASSVPGPIDLPESRYDLSTYWGRIRHCAEISDPTMLLTTEKDL AHAKEIISAYRHGELKETTPEFWRAKKQLDSTVHPDTGKTVLLPFRMSSNVLSNLVVT VGMLTPGLGTAGTVFWQWANQSLNVAVNSANANKSHPMSTSQLLTNYAAAVTASCGVA LGLNNLVPRLKNISPHSKLILGRLVPFAAVVSAGIVNVFLMRGNEIRKGISVFDSNGD EVGKSKKAAFMAVGETALSRVINATPTMVIPPLILVRLQRSVLKGKSLGVQTLANLGL ISVTMFSALPFALGIFPQRQAIHLNKLEPELHGKKDKDGKPIEKVYFNRGI SPAR_O03860 MTEDKSQVKIRFFTREKDESLHVQDTPMYAPISLKRYGLSEIVN HLLGSDKPVPFDFLIDGELLRTSLHDYLTRKGLSSEASLNVEYTRAVLPPSYLNSFSN EDWVSSLDVGDGSKHIVSGSYDGIVRTWNLSGNVQKQYSGHSGPIRAVKYISNTRLVS AGNDRTLRLWKTKNDDLKLTSQQQVQEDDDEANIEDGKTLAILEGHKAPVVSIDVSDN SRILSASYDNSIGFWSTIYKEMTVVDPLEDINNPNNKISTAARKRRKLTMKDGTIRRR APLSLLESHTAPVEQVIFDSTDNTVGYSVSQDHTIKTWDLVTARCIDTRTTSYSLLSI AQLSTLNLLACGSSARHITLHDPRVGASSKVTQQQLIGHKNFVSSLDTCPENEYILCS GSHDGTVKVWDVRSTSPMYTITREDSSVQKGVNDKVFAVKWAEKVGIISAGQDKKIQI NKGDNIFKN SPAR_O03870 MSSPVTKTESDSVPQTNIHQVPKALDKNITNSGNLDLSSSSTGS IMEDEKRSNSNADSNDTTNGEPVDPRDLDWDGPDDPDNPHNWSSWKKWYATMTSAFLC LVVTMGSSLYVSSVPELVERYHVNQTLALAGLTFYLLGLSTVIGAPLSEVFGRKPIYL FSLPVSMLFTMGVGLSNGHMRIILPLRFLSGVFASPALSVGSGTILDIFDVDQVSVAM TYFVLSPFLGPVLSPIMAGFATQAKGWRWSEWIQLIAGGMILPFIALMPETHKGIILR KRAKKRNITLKKFSREDQKEFLKTTVTVTILRPLKMLVVEPIVFVFSIYVAFIFAILF GFFEAYAVIYRGVYHMSAGVSGLPFIGIGVGLWIAAFFYLYIDRKYLFPKPPADTPPL AENERTSKRTTPYRGARDPNTGELLPIVPEKFLIACKFGSVALPIGLFWQAWTARPDV HWMAPVAAGVPFGFGLILIFFSVLMYFSTCYPPLTVASCLAANNLLRYVMSSVFPLFT IQMYTKMKIKWASTLFALVCVVMIPIPWVFEKWGSELRHKSQFGYAAMEKEAETEGDI DEVNTVNGELNLTRMATLRTMETDPSTREKAGERLSLRRTHTQPLPASFDREVGQHQQ NHNEPVSNSLYSAVKDNEDGYSYTEMATDASARMV SPAR_O03880 MLKGSLKGCLSMSKKVIVIAGTTGVGKSQLSIQLAQKFNGEIIN SDSMQVYKDIPIITNKHPLQEREGIPHHVMNHVDWSEEYYSHRFETECIKAIEDIHQR GKIPIVVGGTHYYLQTLFNKRVDTKSSELKLTKEQLDILQSSDPDVVYNTLVECDPDI ATKYHPNDYRRVQRMLEIYYKTGKKPSETFNEQQITLKFDTLFLWLYSKPEPLFQRLD HRVDVMLERGALQEIEQLYEYYSQNKFTPEQCENGVWQVIGFKEFLPWLSRKTDDNTI KLEECIERMKTRTRQYAKRQVKWIKKMLIPDIKGDIYLLDATDLSQWDITASQRAIAI SNDFIKNRPIEQERAPEALRELLSEGETKMKKLDDWTHFTCNVCRNTDGKNVVAIGEK YWNIHLGSRRHKANLKRSARQTDFEKWKISKKETVE SPAR_O03890 MSELLAIPLKRTLEVDFATELSKLIDTTSFQTASFFQSDILQVV AARNNAITPDISIGGLCELKEYYAILLQLEKKFPNNQIEFRWFQTLSQKSRGCSQYSL QWEKLTIIYNIGCMYSLLALNSNNDAAESLKTSCLYFQNAAGCFEYVLEQQVALGTTP VVDDATLNALTSLMLAQAQECFWFKAVQDKLKDSLIAKLSQQIVDFYSGAISNAQRGK LIRNDWINHLKAKKAYFSAVTYYRIALSFNEKKQFGNAVKALQMAMQFVNESKLSSQA KFKTVIESSLKEAQRDNDFIYLQQVPSELPATKPALMVKPSCTATLLPSIEKELFKDL IPIEVMDYCTAYNERQDEYIEQRVTKPLISLNKLLKESMSTIQIPQGLTTVSEAELSH YQASLNNLCINNKNVKTELDKIEQILNEEAFTDNQLRLKHGTLHWTLPESSTINTTYY EKLKKVRGYIDQGIAIDKQTYEIFQSIDKNLIGSEIRLPESSDPLTNKVKMIIQERNE YIDKTRRKSSEYRILPKIITSYKKNGTIDFEAIFVDHLKKFDEDLRYVNSTKQENNKL IEEVNLKEKHNSARSGIEQKKMVRIDPRELYIEDLRYSFKLLDEVKENLSAGTTFYEN LITSTSNLYNEVQEYDAARRMEKTKLDERLTFEGQ SPAR_O03900 MIKYTIDELFQLKPSVTLEVNFDAVEFRAIIEKVKQLQHLKEEE FNTHHVGHFGRRRSSHHHGRPKIKHNKPKVTTDSDGWCTFEAKKKGSGEEDEEETETT PISTAPVATIAQETLKVKPNNKNISSNRPADTRDIVADKPILGFNAFAALESEDEDDE A SPAR_O03910 MPSRKKVRVILLKNKTVPIDKYESQCRSKTFEPIFVPLIKHTHV IQDFKNVLDTIPGYLNTIEYIIITSQRTVESLNEAIIPTLTSEQKSALFSKTVYTVGP ATANFIRRSGFINVKGGEDAGNGSVLADIIIDDLGTDVKARPPSELLFLVGEIRRDII PKKLNSKGIKVREVVTYKTEELSDGFNRFVQALKPRNEGEISLNWVVVFSPQGTREIT QYLSDNGRVQGSHLRVASIGPTTKKYLDDNDIISDVVSPKPDPESLLDAIELYQRYK SPAR_O03920 MSTNTEIIKSSDLQSLIDDERRLVNEIKEFNKSIKPLEFESYQD YFLIKTFKKGISASGHVDIDSLRNKEYGIYYKKIKRNSTQDVGEPIPRNSSSSSSSTR SNSSIDISDTEYSGENTPATAGTSSRRRRTRSRAIQRENSLPASLPSISEDKVDNDDG TISELNGSELPFPIPISEVENIDIASDITERDGIRRRSSRISERDKRRSQYRLGSEED EEGGGHDMDEGETKIQDLYESLVPKILEPRRRSDWILPPKARYTPEKQMRTKPSFKSI KINELVGSKRIRSILSRFEGGVAGIRKRDWDSTQ SPAR_O03930 MSEKKKVLMLHGFVQSDKIFSAKTGGLRKNLMKLGYDLYYPCAP HSIDKKALFQSEAEKGRDAAKEFNTSATSNEVYGWFFRNPDSFTSFEIDQKVFHYLHN YVLENGPFDGVIGFSQGAGLGGYLVTDFNRILNLTDEQQPALKFFISFSGFKLEDKAY QEEYHRIIQVPSLHVRGELDAVVAESRMMALYDAWPDNKRALLVHPGAHFVPNSKPFV SQVCNWIQGTSNNEAQEQEDQSEAEQTQFDKPQLEDDLLDMIDSLGKL SPAR_O03940 MQNEPMFQVQVDESEDSEWNDILRAKGVIPERAPSPTAKLEEAL EEAIAKQHENRLEDKDLSDLEELEDDEDEDFLEAYKMKRLNEIRKLQERSKFGEVFHI NKPEYNKEVTLASQGKKYEGPQTDDDSEEDDNGVYVFVHLSLQSKLQSRILSHLFQSA ASKFREIKFVEIPANRAIENYPESNCPTLIVYYRGEVIKNMITLLELGGNNSKMKDFE DFMVKVGAVAEKDNRLIMNRDDEESREERKMHFAEKKSIRSGIRGKFNVGIGNNEDDN INDDDDGFFD SPAR_O03950 MTKEVPYYCDNDDNNIIRLFIIRHGQTEHNVKKILQGHKDTSIN PTGEEQAAKLGHYLRSRGIHFDKVVSSDLKRCRQTTALVLKHSKQEDVPTSYTPGLRE RYMGVIEGMQITEAEKYADKHGEGSFRNFGEKSEDFVVRLTGCVEEEVAEASNEGVKN LALVSHGGAIRMILQWLKYENHHAHKIIVFNTSVTVVDYVKDSKQFIVRRVGNTQHLG DGEFVVSDLRLR SPAR_O03960 MTLTFHGRKCGHVPSVTKLGNLYIREAMQQSRFGKICSDYDLTV DCSEDDVIENDRIILGYKRRLLMIENQMQHLLEDFSLDIQQIEPILVDLQRYYDKFLQ LLQKRNKSLHCKNFTHQSGPTSVNSHTSTDAKVNLSGKLMNFQLNSGQKFAEENILRI LQNSMDFKHYFQIDKGKKQKVLLLAVYQCLNGPARLHKVLNIDGIIHNNSIRTILGKQ VYSSKWMVFLYDVKLVLLAHRQDVPNLETSKMIVRYDDLFPCALYFKDHAAY SPAR_O03970 MWKAVLNAWNGTENQSENASNIQSYNFEDMKRIVEKHDSNVVLV DVREPSEYSIVHIPASINVPYRSHPDAFTLGPLEFEKQIGIPKPDSSKELIFYCASGK RGEEAQKVAYSHGYSNTSLYPGSMNDWIAHGGDKLDL SPAR_O03980 MFKHSTAILSRTISARSPKLILRTFTTKVPKIYTFEQVKNLVEH PNDKKLLVDVREPKEVKDYKMPTTINIPVNSAPGALGLPEKEFHKVFQFDKPPQDREL IFLCAKGVRAKTAEELARSYGYENTGIYPGSITEWLAKGGADVKPKK SPAR_O03990 MSYYFKNLKPDLNSDAEEDEENLLETIMTNRSKREIEEQESSDD ELKTLSFGSLKKAETLMDGEDSKDTKSVHDKPIATIYKEGSFDENNDSEDRSDEDPGF FEEDSEDESRHGQKVSKKKSKHAPVEQSSKKRVPRVRNIPGLEIPRNKRSNLYQDIRF DKSTGKALDSSVIRKRYQFLDEYREKEIEELQKLLQDRKFLSKIDHTDREEMEQRLKS MKSRLQSMKNKDLEREILKEYENDMNKNNSSRYHLKKSEKRKVVQKWKFDHMKTKQRE KVMERKRKKRLGKEFKQFEFHNRR SPAR_O04000 MLFFNTIRLLLGLFIMNRVKAQNFYDSDPHISELTPKSFDKAIH NTNYTSLVEFYAPWCGHCKKLSSTFRKAAKRLDGVVQVAAVNCDLNKNKALCAKYDVN GFPTLMVFRPPKLDLSKPMDNAKNSFSGHANEVYSGARTLAPIVDFSLSRVRSYVKKF VRIDTLGSLLKKSPRLSVVLFSRQDKISPVYKSIALDWLGKFDFYSISNKKLKKLTDI SPTYEETPEIFKYLQKVIPDQRKSDKSKLVVFDADNDKFWEYEGNSINKNGISKFFQD TFSISPNEGPFSRRSEYIDFLKTGKKPIKRNYSSSGNKHDEL SPAR_O04010 MEGAKASSPFAFYAFYQLYSHLNLGKTITLSLEDITKKLYPGFK IDYNEKTSLFITWKKKSNKHHKVDSDQENYILRGCIGTFAKMPIAHGIEKYSLIAALE DRRFSPIQKRELTDLKCSCNILGSFKTIFQGGNNPNGDIFNWELGKHGIELYFKHPKT GSTCSATFLPDVMTEQHWNKEDTFVNLIEKAGYWGNISEVMDNFETYFIEVIRYEGKK SSITFDEFKKQLKDIETGK SPAR_O04020 MNIPQRQFSNEEVNRCYLRWQHLRNEHGMNAPSVPEFIYLTKVL QFAAKQRQELQMQRQQQGIAVSQQSIVPNSSDQAELPNNTSSHISASASPHLAPNMQL NGNESFSTSAHQSPTMHTQMPLNSNGSNNMLPQRQSSVGSLNATNFSPTPGNNGEGAA EKPNNSNHNSFNVNTSELQAQNLQLQEHNIQDSNVMPSSQIDSPMPQQAQMQQAQFQA QRAQQAQHAQQAQHAQQAQHAQQAQQAQARPQQGRRLPMTMFTAEQSELLKAQITSLK CLVNRKPIPFEFQAVIQKSINHPPDFKRMLLSLSEFARRRQPTDQISQSKHNGGNDTQ QPSSNSQYNNTDAENVSGPTKHAPLDGRDKAIAPVPPAGPSNEHIAKDSPLDKNTQNV SGTPMAQTESKKEENETVPNAAGTASNNSKALTEQNKPPKPQKPVPLNVLQDQYKEAV KVVDMDDPDIMVDSFTMPNVCHGSIDYQTLLDNSDHAKFTIEPGVLPVGIDTHTATDI YQTLIALNLDTTVNDCLDKLLNDECTESTRENALYDYYALQLLPLQKAVRGHVLQFEW HQNSLLTNTHPNFLSKIRNINIQDALLTNQLYKNHELLKLERKKIEAAARLKSMNKSA INQYNRRQDKKNKRLKFGHRLIATHTNLERDEQKRAEKKAKERLQALKANDEEAYIKL LDQTKDTRITHLLRQTNAFLDSLTRAVKDQQKYTKEMIDSHIKEASEEVDDLSMVPKM KDEEYDDDDDNSNVDYYNVAHRIKEDIKKQPSILVGGTLKDYQIKGLQWMVSLFNNHL NGILADEMGLGKTIQTISLLTYLYEMKNIRGPYLVIVPLSTLSNWSSEFAKWAPTLRA ISFKGSPNERKAKQAKIKAGEFDVVLTTFEYIIKERALLSKVKWVHMIIDEGHRMKNA QSKLSLTLNTHYHADYRLILTGTPLQNNLPELWALLNFVLPKIFNSVKSFDEWFNTPF ANTGGQDKIELSEEETLLVIRRLHKVLRPFLLRRLKKDVEKELPDKVEKVVKCKMSAL QQIMYQQMLKYRRLFIGDQNNKKMVGLRGFNNQIMQLKKICNHPFVFEEVEDQINPTR ETNDDIWRVAGKFELLDRILPKLKATGHRVLIFFQMTQIMDIMEDFLRYINIKYLRLD GHTKSDERSELLRLFNAPNSEYLCFILSTRAGGLGLNLQTADTVIIFDTDWNPHQDLQ AQDRAHRIGQKNEVRILRLITTNSVEEVILERAYKKLDIDGKVIQAGKFDNKSTSEEQ EALLRSLLDAEEERRKKRESGVEEEEELKDSEINEILARNDEEMAILSKMDDDRSKKE EELGVKSRLLEKDELPDIYSRDIGAELKREESESAAVYNGRGARERKTATYNDNMSEE QWLRQFEVSDDEKNDKHARKQRTKKEDKSETVDEDTEVKGEFIDADNNDPRNDPNSAE YRTDADLAMNDDDFLSKKRKAGRPRGRPKKVKLEASENSEAPVLESSPVTGDNSPSES FMDIPKPRTAGKTSVKSARTSARGRGRGRGRGRGRGRGRGRPPKARNGLEYVRTPAAA TSPIDIREKVAKQALILYHFALNYENEAGRRLSDIFLAKPSKALYPDYYMIIKYPVAF DNINTHIEMLAYNSLKETLQDFHLIFSNARIYNTEGSVVYEDSLELEKMVTKKYREIM DDNSAIDFAKFDEQYGTKPLVLPPVVTSSVAESLTDEADSSMTEASI SPAR_O04030 MDIPSSDHIPHSQRSDRNRRMPRASFSSTATTSTAATLTSAMVL EQNNSEPYAGATFEAVPSSIVSFHHPHSFQSSNFPSPHSSGNLEQRGRRLTESEPLVL SSAEQSRSSSRNPSHFRFFTQEQISNAEGASTLENTDYDMAWDATPAYEQDRIYGTGP SSRRSSIRSFSRASSLSNAKSYGSFSKRGRSSSRAPQRLADNSGTEFVYHSATHSSSS LSRYTTRERIPIELESQTDEILEDESCTHSLESSNSRRSLSENNRGSLSGHDDVHNQH SEYLKPDYHEKFYPQYTPNLHYQRFYIAEEDLVIGIAAYQTSKFWYIIYNLCCLSTFG LVYLLTRWLPYLKVKFYGLKVPLAKAEWVVVENEFGEFVMQPIDRQWYNRPLSTVLPF ENYPNPSYEPNDINLSHHHANEINPNVPILITFEYRYIKFIYSPLDDLFKTNNNWIDP DWVDLGTVSNGLTKGVQEDRELAFGKNQINLRMKTTSEILFNEVLHPFYVFQVFSIIL WGIDEYYYYAACIFLISVLSIFDSLNEQKKVSRNLSEMSRFHCDVRVLRDKFWTTISS SELVPGDIFEVSDPNITVLPCDSILLSSDCIVNESMLTGESVPVSKFPATEETMYQLC DDFQSTQISSFVSKSFLYNGTSMIRARIAPGQTAALAMVVRTGFSTTKGSLVRSMVFP KPTGFKFYKDSFKYIGFMSLIAVFGFCVSCVQFIKLGLDKRTMILRALDIITIVVPPA LPATLTIGTNFALSRLKEKGIFCISPTRLNISGKIDVMCFDKTGTLTEDGLDVLGVQL SQATGVKGQRFGELLRDIRQVFPKFSLNDCSSPLDFKSRNFFMSLLTCHSLRSVDGNL LGDPLDFKMFQFTGWSFEEDFQKQAFHSLYEERHEDDVFPENSDIIPAVVHPDGDNPE NTFTDNDPHNFLGVVRSFEFLSELRRMSVIVKTNNEDVYWSFTKGAPEVISEICNKST LPADFEEVLRRYTHNGYRVIACAGKTLPKRTWLYSQKVSREEVESNLEFLGFIIFQNK LKKETPETLKSLQDANIRTIMCTGDNILTAISVGREAGLIQCSRVYIPSINDTPLHGE SVIVWRDVNEPDNILDTKTLKPVKVGNNSIESFHESDYTLAVSGDVFRLLFRDENEIP EEYLNEILLNSSIYARMSPDEKHELMIQLQKLDYTVGFCGDGANDCGALKAADVGISL SEAEASVAAPFTSKIFNISCVLNVIREGRAALVTSFACFQYMSLYSAIQFITITILYS RGSNLGDFQFLYIDLLLIVPIAVCMSWSKPYEKIDRKRPSANLVSPKILVPLLISVFL VFLFQLIPWLIVQKVSWYIKPIVGGDDAVQSSDNTVLFFVSNFQYILTAIVLSVGPPY REPMSKNFEFIVDIVASICVSLLLMTLNPKSYLGKMLQLTPISNNFTVFIIVWVIINY YAQLYIPPSIKGWLKKKKSSKKYKLLIQEQDKLRDV SPAR_O04040 MPLQLFGRDQIVVHYDNANMTNDEQHDQGVLGSWTRRAAATLRT ILNKRILRITLTHWLLLVIWVTILWKFTSHYRQLYAKSAIFATLCTNILLFGISDILA QSIACFYSYRVDPIPQILNDTFRHVQDSRDIENGGGYESDELSIFNDFTSEHSFYTDN DDYPELDRPLNTFKTDTFDFFRWACFMCWGFFISFFQAPWYKFLNFFYTEDPTVVQVF ERVLSDQLLYSPISLYCFFMFSNYVMEGGDGDTLGKKIQRLYISTLGCNYLVWPMVQF INFLIMPRDFQAPFSSSVGVVWNCFLSMRNASK SPAR_O04050 MSAEDYKNLPVTVEKPIPVVYDLGNLAAFDSNVLDKNDLDSSNA KREEKIKSLTRDNVQLLINQLLSLPMKTTTESAGGTSGQSSVMTLLQLPGTTTDLPRE KPLPKAKAMTKWEKFAAKKGIKPKERAGKMIYDEASGEWVPKWGYKGANKKLDDQWLV EVDDKVKGTENELIDPRTLNRAERKRLVKKNEKQQKRNMKNAL SPAR_O04060 MAELNDYGTMIDIVLSDMDLTTVTTKKVRRALKEVYAIDVESQG KAINKLIRKHLDLVIERPRFERSLQDLLRENTTLAMKLSKEMIVNKRSSEEEKKNDDE TKGTHIKRKKGAASKSPISTRKVILSKSLAGLLGDNQLTRTEVVRRIWAYIKEHGLQN PNNKKEILCDEKLELILGKSTDMFEMHKTLASHMTDPNKTSDPLPLIQELHKKEKLSI SDSEKSDAKGI SPAR_O04070 MNRTVSTLSSTVSDVSVEIPSIYNVINTELSTPDVYLYTLKLIL LDYINEPRFKDAALQSNRTETSRVLSDKTNHQQTQHGKRIVVNKQDDMSERDIVQATL RILKGKLAQISGNKSLAPNEMHWKSIVKMYYSMLDSSSADTFSKMSQMEEMVGYFTNI ASNELKKMTIKNSSEELFSEVAYFIDLVIDVLPDSCANIIKRLLDYKITLKKDKTTVK KKRAGAPAGVSQYRSISGSTINNKQPSFKVQDISHMNYFMQLFEIDETKLQQDVMAVK DNCTNPIFCGELRYLRKKIKKDNGVLTASDFSSDRDYNLWKNYELLEIANLMDRFEIG EKVTSHGNRLIPKDAKSVFVRLISLVLKKECSNAVNAINLSQEALFFFHKSARYWRIE YPSTISSLIYSAANLSILEDEELNVPITENLFSVIRNKYLCSEDNLDPSAWNTQDRYL WAANLFHTTDQSMRTINNLLTAIFSSTKPKFSPVLSFYYSSVVEDPVMKFYEAQSVAV KKYWIKVFKKTLFKISEDYFVSLLQDMLKASAIEIQSVQNLVETIIDAIKAIQKRYNK PLLDEISLPRQCAVFLCEVYGSDSLKLIKTAEKSTVKLTGESLGPIDALDMYDVLKEL RQIYMQVKPKGKFFFNLENYFIKYLTRLCDDICRNVQKVIKTSIESENWQPVNDQDHF SRSVLDIFKMINESTSMLEKFGWENEFQLAQMITVILKAFSDGMLSYSAQLMGLIHRD LQEGDEPSYSLESSDSRSSLSLNNVNLNHERSRSSRLLEDLKNVVKSTPKIVAPAPYQ FKKRTCVLLNDLDKTLFLLENFEEKADPSRLSSIIAQYHSSHNSDDNRKSFDDRNMKQ VYTLRIICAENIKGFSKTGLSNSYVSIRNITLQREVGTTKIVARSITPKWDEEFVFES PFGKSNDIMFTIWHHPHNRLKNLAEDDLCGKANMKFTPRKLKDDGFPIDFSLTLNPQG TLYCQISLESEKIDAVSSMGRIYRSFSRSRDRAINLIVNKFSDFIAFAFSRTTLKTVC GHHGTALASDEAVYDAILPLFDYLNANLNILASELSQRLLFMVMLRAWNLVLENADLL LLPALNSAKINILRSAKKSLWENTLSSTKTVSGYGRPLTQAEIEAIFKWLDALCVDFF HNKGEGPPLAELKNEYYQNILLIPAFYDKGVPELKGEVQRLIPVYEEYLKWFYLKKTP ITFTNKSIGTISRKKSLAANIVKEPKQQLERDAEVMNIILRILIAKGQHDYVHRILHD RKELVNTMKNRRAVSRAVNPTGKKGRN SPAR_O04080 MLLFPGLKPVLNASTVIVNPVRAVFPRLAFSTKRSFHNINRLNV ENEVNDIANKPKEASPSEQMYKPPEFSQFEGSYQKDYERIAKYTLIPLTMVPFYASFT GGVINPLLDASLSSVFLIYLQYGFTSCIIDYIPKEKYPRWHKLALYSLYGGSMLSLYG IYELETKDNGFVDLIRKLWNENDDHLYIFGRN SPAR_O04090 MGFVDFFETYMVGSRVQFKQLDISDWLSLTPRLLILFGYFYIHS FFTAINQFLQFVNTNSFCLRLHLLYDRFWSHVPIIGEYKIRLLSRALTYTKVKIIPTL DKALEVIEIWFQLHLVEMTFEKKKNIQIFISEGSDDLNFFKDNKFQTTLIICNHRSVN DYTLINYLFFKSCPTKCYTKWEFIQKLRKGEDLPEWPQLKFLGWGKMFNFPRLDLLKN IFLKDETLTLLPDKLRNILETQNNQAITIFPEVNIMSLELSIIQRKLHQDFPFVINFY NLLYPRFRNFTSLMAAFSSIKNIKRKKNHNNIIKEARHLLHREIDKLVHKSITIESSK VSDKITPPMIVDNSYLLKKKEEISSVKPKIVRINPYIYDFTVIYYRVKYTDSGHDHIN GDLKLHKGYQLEQISPTIFEMIQPEMESEKSIKDKDPIVVMVNIKKHQIQPLLAYNDE SLEKWLENRWIEKDRLIESLQKNIKIETK SPAR_O04100 MSDWDTNTIIGSRARAGGSGPRANVARSQGQINAARRQGLVVSV DKKYGSTNTKGDNEGQRLTKVDRETDIVKPKKLDPNVGRAISRARTDKKMSQKDLATK INEKPTVVNDYEAARAIPNQQVLSKLERALGVKLRGNNIGSPLGAPKKK SPAR_O04110 MITQNSIPEVKEDFIGYALNERRIRLPQFRDLGPADLITLAKYL PTSSNTNAINSTGGNSGAITHAPAADGSAASMVTNGDTSDAAITTNSTAASIYSNSRS ANDAAPMVAELHPLNKLKGEVGTFFYSMGVDTSGPTSIAIFLKEISEIITEKPQVWFG KKKTFNVARISFSTWNAFRRCDINVIVHIPGTIQNFIVDCNGESQNIEMRADHDLIWA ETFVSGVVRSIMLMKENAEEGELQNLVETLILNPFTAGKIDDVPEMFIDLFPIIYHKG PSLGAPYYIANVTNTNNYLVETLVEIVKLTRSVNRAEIMLKNLATENPEAIIILIKIF LVCDLEIDAIKLTYDMLSQGEKTINTNNHMGYRSELLCLQAQFLIDKRQDYALGQNIA QEAVNCSPSEFRPWYILSKVYVKLNDIENALLILNSCPMSPLKEKYVLKRVAPLHSNN SLHLPLPIDVVLDEVTSLNPQDVQKEHRSADPMLVNLAASNLKSTFQLAYRLLTEIVQ ITGWENLLKYRSNIFVMEDEYQNSSSSLSKGVNEQEEQPLRAKRLCERWLDNLFMLLY EDLKVYTLWQTEQLYMDAQNNNHNKLTFEWELFGLCARRLGHFPEAAKAFQNGLSQRF SSRCARKLLEYCINERQRVKIFINSPNSHDMVPEMVSSRIRELDNSIIDLCVKICCWN HRWYTEFSISLLDSLSVVIQDMGLTKVSNEISSRYPETVLKLVQENLLDFFKNVCTNG CYDA SPAR_O04120 MNSVQDFEKIQRERLPTLYEVLIQRTSQPVDLWTFYTFLSQFPY AINYLDFWVDLMAHTRLCKSYVELVRKSLINFPQEQEENGGTSTTTFDLVNALIEEGH LDLETPDKILENGSTDLPFSPKLNQLLGDWKRQSGISQKVLRSEDMALIVDEIMKRRS QQDGKPQITTKQLLHSAVGLCNTYLVSPEQSERYMSNIPTETRNRIIENIQVERRYDI EIFDDVKNLTYQFLEMDCFPKFLSRVALHNIHDEISDWRFHSVGATNEKSKRSRGQTH ISRSPFSNHTSISRIGLGLLWLGIGFWIGYVLIFLAYSRAIRVVTVVPFTLGCYCIVC GMYQVDIVYSWFGVTQRLLHRHKNTNSDEDDASTSPYHVPALLAVFGGKLGATAAFTV IFSCVPGRRV SPAR_O04130 MSAAQTKATFSIQNGPSFEGISFGANKSIAGETVFTTSLVGYPE SMTDPSYRGQILVFTQPLIGNYGVPSGEARDEYNLLKYFESPHIHVVGIVVAEYAYQY SHWTAVESLAQWCQREGVAAITGVDTRELVQYLREQGSSLGRITLADHDPIPYVNPMK TNLVAQVTTKKPFHVSALPGKAKANVALIDCGVKENIIRCLVKRGANVTVFPYDYRIQ DVASEFDGIFLSNGPGNPELCQATISNVRELLNNPAYDCIPIFGICLGHQLLALASGA STHKLKYGNRAHNIPAMDLTTGQCHITSQNHGYAVDPETLAKDLWKPYFVNLNDKSNE GMIHLQRPIFSTQFHPEAKGGPLDTAILFDKFFDNIETYQSKYQRRSSIPLKVTHSTD KSKLQSMNVTKLAKERVLF SPAR_O04140 MTTQQEVQLSDTKNNESQSSSEVLADTSDSNSNGNGDDDNIEQS EGLSGKEVYTVADRPPEYWAQRKKKFVLDVDPKYAKQKDKSDTYKRFKYLLSVTDLFR HFIGIKAKHDKNIQKLLKKLDSDANKLSKSQSSVSSSSRHHRKTEKEEDAELMADEEE EIVDEYQEDIFVSESPSFVKSGKLRDYQVQGLNWLISLHENKLSGILADEMGLGKTLQ TISFLGYLRYVKQIEGPFLIIVPKSTLDNWRREFLKWTPNVNVLVLHGDKDTRAGIVR NIILQARFDVLITSYEMVIREKNALKRLAWQYIVIDEAHRIKNEQSALSQIIRLFYSK NRLLITGTPLQNNLHELWALLNFLLPDIFGDSELFDEWFEQNNSEQDQEIVVQQLHSV LNPFLLRRVKADVEKSLLPKIETNVYVGMTEMQIQWYKSLLEKDIDAVNGAVGKREGK TRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIFNSGKMIILDKLLKRLKEKGSR VLIFSQMSRLLDILEDYCYFRDFEYCRIDGSTSHEERIEAIDEYNKPNSEKFVFLLTT RAGGLGINLVTADTVILFDSDWNPQADLQAMDRAHRIGQKKQVHVYRFVTENAIEEKV IERAAQKLRLDQLVIQQGTGKKTASLGNSKDDLLDMIQFGAKNMFEKKASKVTVDADI DEILKKGEQKTQELNAKYQSLGLDDLQKFNGIENQSAYEWNGKSFQKKSDDKIVEWIN PSRRERRREQTTYSVDDYYKEIIGGGSKSASKQTPQPKAPRAPKIIHGQDFQFFPKEL DALQEKEQLYFKKKVNYKVTSYDITGDTRNEGSDPEEEEGEYKNAANTEGRKAQEELK KRIEEEQEKINSAADFTEEDELQKQKLISKAFTNWNKRDFMAFINACAKYGRDDMENI KKSIDSKTPEEVEEYAKVFWERLKEINGWEKYLHNVELGEKKNEKLKFQETLLRQKIE QCRHPLHELIIQYPPNNARRTYNTLEDKFLLLAVNKYGLRADKLYEKLKQEIMMSELF TFDWFIKTRTVHELSKRVHTLLTLIVREYEQPDANKKKRSRTTATREDTPLSQNESTR ASTVPNLPSTIVSNQKDANDHADKRPKIDQEA SPAR_O04150 MSTERLETSEEPQAPLANMSETNSIKEDSESIVTVFDLASEIEK SLKDVQRQMKENDDEFSRSIQAIEDKLNKLSR SPAR_O04160 MIQNYLGRRCFNNHAIHTYVKQNAAVAHSTVFQGNLYEYTVMRE LSEKLRMTKIRKTGGAHDGGVDIKGNWPVDDIYWKTSSLIPSSEIANNTKRTNSQNGF VLKPLKYRIIDDTFEPLKVLVQCKAFTKSKLSPREFRELVGTFTSLVSHNQRNKTVCI MCSPHLLTKDTLKLINNISLPLIYLRVEMLKEKTDGDFDLINSGRLVNYYENSYASTL LQDCKIPEWLKLGVYKNSEFGSEK SPAR_O04170 MSSESLTPKDTIVPEEQTNQLRQPNLDEDSISYNLEGDDLESLE TIASYASTLVSAEVYTKKEVNKVTDIESQPHWGENTSCTHDSDKEEDSSEEVENFPEG GLKAWVVTFGCFLGLIACFGLLNSTGVIESHLQDNQLAGESVSTIGWLFSLFLFVCFA SCIISGTYFDRNGFRTIMIVGTVFHVAGLFATANSTKYWHFILSFAIVCGFGNGIVLS PLVSVPAHYFFKRRGTALAMATIGGSVGGVVFPIMLRSFFSMKSGTDSSYGFVWGIRT LGFLDLALLTLSIILVKERLPHVIESSKEGESRLKYILRVYILQCFDAKAFLDMKYLF CVLGTVFGELSINSALTYYGSYATSHGISANDAYTLIMIINVCGIPGRWVPGYLSDKF GRFNVAIATLLTLFIVMFVGWLPFGTNLTNMYVISALYGFCSGSVFSLLPVCCGQISK TEEFGKRYSTMYFVVAFGTLVGIPITGAIISNKTTADYQHYIIFCGLATFISAVCYIV SRAYCVGFKWVRF SPAR_O04180 MIQTQSTAIKRRNSVHKNLFDPSLYQIPEPPRGSFQNQKKEYNK EFGNHLFGQDLTSLKESFIQLFPSNIQGYLPEIDLRVTIICSIWYVTSSISSNLSKTI LRTFNHPIALTELQFLVSAILCVGFASIVNLFRLPRLKHTKFSKTLNNFPDGILPEYL DGNFRSSILRKFLVPSKLVLMTTFPMGIFQFIGHITSHKAVSMIPVSLVHSVKALSPI ITVGYYKFFERRYYNSMTYYTLLLLIFGVMTTCWSSHGNKRTSDNKSGSSLIGLLFAF ISMIIFVTQNIFAKNILTIRRKVGILPSSSTEDVTSKEEQPGLDKTRFSPLQVDKITI LFYCSCIGFLLTLLPFLTGELVHGSSVINDLTLKTVYLVVIHGIAHFFQAMLAFQLIG LLSSINYSVANIMKRIVVISVALFWETKVNFLQVFGVILTIAGLYGYDKWGLSKKDGR QA SPAR_O04190 MNKKESLSIEETNEIREKLGLKPIPVLQEENAGRKESLSIQETN ELRASLGLKLIPPQQNQNVSPPTIHTTAEVDILREKITKLQKANIPLRMLHLLEETGV NDDSSWLENLNAITALDESKASSRLLPRKGATKEDEDIDLHNVEVSYNIETLSAKKDT ILTLKESSIFDETDSVDVLENEKAAGESTEREGLRLRQMNKDRRQKKKILNVSSLDIE EEEKEEHSLANTHLIIGAEQGIAKAPKGMIAKLPTGKVKVNFDGANDILDEDGGDYKP LKIKKRRIKDPKSTKARKLKIAHKMDVVKLVDEDESFSWMNEEQPVTIMNPRSSSKNE LKAPEDLAIEIEKTRNEEKRRTENILKMRENSNNIIVDEKVTFLDTLDMGLPEGNTTA NKLKVDDEGEKNVGDVTGQHFKEASGNNKLTESVNTEPNNEGDIEDAPDFFSGLASAL GFLRKKSVLTPGNAGLKAEKGTNDSESLRKGVRNKEPTDTTSYTKDGLHGLKQSEASN SPNNISSNQRKRQSHYDPEIQLVYRDEKGNQLTTKEAYKKLSQKFHGTKSNKKKRAKM QSRIEARKNAFENDNLFEFDNS SPAR_O04200 MAYVLTETSAGYALLKASDKKIYKSSSLIQDLDSSDKVLKEFKI AAFSKFNSAANALEEANSIIEGKVSSQLEKLLEEIKKDKKSTLIVSETKLANAINKLG LNFNVVSDAVTLDIYRAIKEYLPELLPGMSDNDLSKMSLGLAHSIGRHKLKFSADKVD VMIIQAIALLDDLDKELNTYAMRCKEWYGWHFPELAKIVTDSVAYARIILTMGIRSKA SETDLSEILPEEIEERVKTAAEVSMGTEITQTDLDNINALAEQIVEFAAYREQLSNYL SARMKAIAPNLTQLVGELVGARLIAHSGSLISLAKSPASTIQILGAEKALFRALKTKH DTPKYGLLYHASLVGQATGKNKGKIARVLAAKAAVSLRYDALAEDRDDSGDIGLESRA KVENRLSQLEGRDLRTTPKVVREAKKVEMTEARAYNADADTAKAASDSESDSDDEEEE KKEKKEKKRKRDDDEDSKDSKKSKKDKKEKKEKKEKKEKKEKKEKKEKKSKKEKKEKK EKK SPAR_O04210 MGTDDAIALSNSTLEPRTEAKQRLLSKGHQVPAKETSPAKDETG SSDDDAHVPVTEIHLKSHEWFGDFITKHEIPRKVFHSSIGFITLYLYTQGINYRNVLW PLIYAFIILFILDLIRLNWPFFNMLYCRTVGALMRKKEIHTYNGVLWYILGLIFSFTF FSKDVTLISLFLLSWSDTAAATIGRKYGHLTPKLARNKSLAGSIAAFTVGIITCWVFY GYFVPAYNYVNKPGEIEWTPETSRLSLNMLSLFGGVVAALSEGIDLFNWDDNFTIPVL SSLFMNAVIKTFKK SPAR_O04220 MRIFASNEVIAKSRYWYFLQKLHKVKKASGEIVSINQINEAHPT KVKNFGVWVRYDSRSGTHNMYKEIRDVSRVAAVETLYQDMAARHRARFRSIHILKVAE IEKTADVKRQYVKQFLTKDLKFPLPHRVQKSTKTFSYKRPSTFY SPAR_O04230 MPTNLNIVKVTKPQEENKNFIHKNTDEPNEIEQSQTQEEVTENI AEIHIHGANDQAAKRGRLNLNTVDHVEAYPLIQETEEIAKKIAITRIILAQTKPRIDK VIISRPIQTVAPVINFLDKMANSTLNTVERVVPSLKTKTYKRLGEEIALPYTLSKKYG KQFKDTTARNGENYIYQPVHGRLVKFRKYYNEKLIDTKGKPLIRGHLDPVLLPVNNAF EKATVKYFPKGEKVPNDTFSCEFNRGLALDYNFMTRAVSAAGHQVVGIAKLPIAYGYH TNSVYNKNLDKQNDLRIKNVLKGTWDTITDLEHEIWASVTDGSLFRFFGTKSEGDNLP HLVQ SPAR_O04240 MDQIHPSDAFLLRTPKSKKNIGLVIPSTPSKKCKYSSNFMAEDI TPSKRFRLYQARFKTSSKNVKAQTLSVSIKKNQDEITNPFMTEGYNDYRNIVSPGLSF DNDCFSEHELVSPLSDISSINSTSPDVEKLDSLDPFGVDSFVWNCKPLVNKEALELHR MIHSSFPMSPLKSNSDMPLLLPKLKKRLSPVSRSTFKPTRHEPSHRLLKPKKSILTIP AKSLNLIVSSSRGSLNDATIFATEINSTLSNEENKLPAISSIWEKLTIPVNSSIKEKY KKLKEQIYGQTGNPDEDEDDEDNEEDNEEDNEEGDLPDAAVIRGYEFQSERRDELTQS NKLQNTKDYKKVQWAKFLEQ SPAR_O04250 MKLGSKEIRIISLLLLDTVFFVIEITTGYLSHSLALIADSFHML NDIISLVVALWAVNVAKNRNPDSTYTYGWKRAEILGALINAVFLIALCVSILIEALQR IIAPPVIENPKFVLYVGIAGLISNTVGLFLFHDNDQEHGHGHGHSHGGIFADDGIHMP SPHTHTHAHVDETGNATPMDSTDNIDEIMPNAVVNSFMNENTRLLTPENTSKTPSYST SSHTIASGGNDTEYNKGRRSLNMHGVFLHVLGDALGNIGVMLSAFFIWKTDYSWKYYT DPLVSLVITGIIFSSALPLSCKASKILLQATPSALSGDQVERDLLQIPGIIAIHDFHI WNLTESIFIASLHIQLDISPDQFTELAKTVRSKLHRYGIHSATLQPEFIDRGITSTER AGGSQDAHPQNDSLSLRPTTCGTGVSESTCLIDDAANCNTADCLEDH SPAR_O04260 MVAQYTVPVGKAANEHETAPRRNYQCSEKPLVRPPNTNCSTVYE FALECFQKNKNSNAMGWRDVKEIHEESKSVMKKVDGKETSVEKKWMYYELSHYHYNSF DQLTDIMHDIGRGLVKMGLKPNDDDKLHLYAATSHKWMKMFLGAQSQGIPVVTAYDTL GEKGLIHSLVQTGSKAIFTDNSLLPSLIKPVQAAQNVKFIIHFDSIDPEDKRQRGKIY QSAHDAINKIKEVRPDIKTFSFDDILKLGKDSRNEIDVHPPNKDDLCCIMYTSGSTGE PKGVVLKHSNVVAGVGGASLNVLKFVGNTDRVICFLPLAHIFELVFELLSFYWGACIG YANVKTLTSSSVRNCQGDLQEFKPTIMVGVAAVWETVRKGILNQIDNLPFLTKKIFWT TYNTKLNMQRLHIPGGGVLGNLIFKKIRTATGGQLRYLLNGGSPISRDAQEFISNLIC PMLIGYGLTETCASATILDPANFELGVAGDLTGCVTVKLVDVEELGYFAKNNQGEVWI TGANITPEYYKNEEETSQALTSDGWFKTGDIGEWEANGHLKIIDRKKNLVKTMNGEYI ALEKLESVYRSNEYVANICVYADQSKTKPVGIIVPNHAPLTKLAKKLGIMQQNDSSIN IENYLEDSKLVKAVYSDLLKTGKDQGLVGIELLAGIVFFDGEWTPQNGYVTSAQKLKR KDILNAVKDKVDAVYGSS SPAR_O04270 MNYSTDSANTVYVGNIDPRITKEQLYELFIQINPVLRIKYPKDK VLQTYQGYAFIEFYNKEDAQYVIKIMNNTVKLYDRLIKVRQATNSTGTSNLSSNTSKD IVLPIAKLFIKNLADSIESDQLAKIFNKFGKTIGEPKIFHLSNGKLKCAYIYFEDFEK ADLAIKSLNNQLVANNRIAVDYAFKEDGRGNAKYGDGVDRLLNKEALKHNMLK SPAR_O04280 MRLVSKRRIRFVVFILFGVLTVFIISRCIVHFQYNEEIKYYKKY FQQRKDGLHEIYNPLEIKQIPEKTIDELYATRLDKELKNNEVIEWSKFAYVNYVTNAD YLCNTLIIFNNLKQEFGTKAKLVLLISKDLLDSNTSSNVDYIKLLLDKIQAIDESQVV IKFIDNIVKPKDTTPWNESLTKLLVFNQTEFNRVIYLDNDAILRSNLDELFFLPDYIR FAAPLTYWFLSNSDLEKSYHETQHREKQPINLGSYTKVLTRRIAKGQMIYNHLPSLPH SLYLDSNNIAQDIISSTSSLSPLFDFQSSKKVGKLKFASNLMVISPSKEVFDEIVGVT LPKILNKKEKYDMDLINEEMYNLKKIIYEQFIFFRKVRKLFKPEVLVLPFARYGLLTG SLRNPQHYSMIYNDVLGYKTLDKNGDDIPAGLNGTVAYSKYIHFSDYPLAKPWNYPSM KEFECTVKEEKAKDPELEHQACDLWNSVYASYIQSREICFV SPAR_O04290 MPYRVATGYSEKSTNDELIWRRPIVKEELENGDNFLMDDAKSYD KVKNENAVSHLDTIVMPIIFTALGMFTRMYKIGRNNHVVWDEAHFGKFGSYYLRHEFY HDVHPPLGKMLVGLSGYLAGYNGSWDFPSGEVYPDYIDYVKMRLFQAMFSSLCVPLAY FTGRAIGFSRLSVWLFTILVIFENSYATLGKFILLDSMLLFFTVSSYFCLAKFHTMRK SSFSVKWWLWLCLTGLNLGCAISVKMVGLFIISVVGIYTISELWNLLSDKSVSWKVYV NHWLARIFGLIIIPVCVFLLCFKIHFDLLSNSGPGDSTMPSLFQASLNGTKVGKGPRD VALGSSIISIKNQALGGALLHSHVQPFPEGSGQQQVTVYGYSDANNEWFFQRIRGFEP WTDAENKTIEFVKGGEMYRLMHRVTGKNLHTHEVPAPISKSEYEVSAYGDVDLGDYKD NWIIEIVEQVGKEDPTLLHPLSTSFRIKNSILGCYLAQSGNHLPEWGFRQGEVVCLKH ASKRDKRTWWNIETHENERLPEEENFVYPKTSFFRNFMQLNSAMMATNNALVPNPEKF DGITSSAWQWPTLNVGVRLCEWSEKSVKYFLLGSPASVWPSSIAVCALIVHVIFLTLK WQRQHVVLSDPVERDVFFMAAFYPLLAWLLHYMPFVVMSRVVYAHHYLPTLYFALMIL SYYFDMFTKRWATRSTGKLLRLGAYIAYGSLVIAGFFYFSPFSFGMDGPVEDYAYLAW LPTWQIVEDIRNR SPAR_O04300 MAFSRLTSGHQPNNNGYSNSNKKGRILPLTLSVDIESPPCVLYG SATESSGAVLSGLFTVTVIDPYSSSEDKSLKITESNVSAATKSLKRKSTFGSALSSRL SSLSTSTSNISLSTSSTSTSHSPTPANLRIMAGYTKITITSVTLSLVQKIHFHKPFVA NVSSMQTCMNCKTKITNMKSWEIQRNTQDLSVGSHSYPFSYLIPGSVPCSSSLGSTAE TQVKYELIAVVTYMDPYRNSLSPGHSTPRKEGSSPKKQLLQLVMPIAVTRSIPRGPDK NSLRVFPPTELTAAAVLPNVVYPKSTFPLEMKLDGVSSGDRRWRMRKLSWRIEETTRV KAHACPVHKHELRQLEEQVKIKESEKGKKPRSHIKRYGELGPQIRVAVNSLENMPSQR LPGEPDREQVPSSSGPASTGNVGLDDENPVNEDEEDQPGSEFIHPSDDALRQELLMQQ QRARQQQLQQELKNNSSLFTEEVRIISKGEMKSGWKTDFDNNGKIELVTEIDCMGLNS GVSNPVMHASTLQTPTTSNKKSSINVACDIQDPNLGLYVSHILAVEIVVAEETLQYAN GQPIRKPNSKNKKETNSGTMNVHNPDQRLAELSPMFANRNTPKVRRMEPEDITPVNSN KSNHSINKEKAANGTNNSNIVSVPTGAARVLRMQFRLTITERSGLGISWDEEVPPIYQ DVKLLSPPCYELSIINRTKNKLYSTMSTPVRSENDFGGSSDDDNGNDDSQGVESGPNI QKVTITQNKLTIPPTAHHYHPASTSQRSLTTVQSPPLESVVSVQGSVPFRGQVLTPHS TRDIRIQNFSDFLDSNRITQ SPAR_O04310 MSNSQQIAQNARKAGNILKTISNEGRSDILYKIHDALKANAHAI EKANKMDLAVAKETGLADSLLKRLDLFKGDKFDVMLQGVRDVAELEDPVGKVKMAREL DDGLTLYQVTAPVGVLLVIFESRPEVIANITALSIKSGNAAILKGGKESVNTFREMSK IVNDTIAQFQSHTGVPVGSVQLIETRQDVSDLLDQDEYIDLVVPRGSNALVRKIKDTT KIPVLGHADGICSIYLDEEADLTKAKRISLDAKTNYPAGCNAMETLLINPKLSKWWEV LENLTSEGGVTIHATKDLKTAYFNKLNELGKLTKANQSKTVDADEEQDFDKEFLSLDL AAKFVTSTEAAIQHINTHSSRHTDAIVTENKANAEKFMKGVDSSGVYWNASTRFADGF RYGFGAEVGISTSKIHARGPVGLDGLVSYQYQIRGDGQVASDYLGAGGNKAFVHKDLD VKTVTL SPAR_O04320 MNLLIDRMENPGSRNCTLLPPSFPRGFCKGRRASSGDAVKVKEP ILQPQPQPVQTNTSIAHFSKSSSRLPVIAVNDNPVVPRPSTEVNLGSLLQKEREKEKE KQPVSHDRRHLHVAKNRAHGVRQHSLEMSSLPVLGSTKTGKFSDFLFEDDIDNRVSRH RRSYSGASSLDDPFRVSAKNDCNSNRARMFCLSRGRRGSMSVFQSCHTGLAFNQIQGS SSSQRRSSAGSFDYERKRLVNQFLQPSLGNSDPFDTLRESVVFEPSSTAGGIKSGNTH SQSQLSVNSSPSTSLFYHDLDGSAVNDSSSFLYSRSNVPTFLSSSAFSSTSSASSDSE DVDRRSLNGVYPSLGHLTNKRKARNSSSSSTVPGYDALGFKYSLDRQKSADSSTKLKS VLKVNNNKVSAVTPDSSSNSISKSNSNLNDNIDELNYYQNHISALLVKIENEMRRNLN DTIIKNENNVQKTIQKYDLLSGELTLLLDEMTTLRTTVVEQFLVKLRSDFDEDDDRAF INELKTSVEESVVQLQGLERRMEVCQERLSKQKSSLREMDSLIELKNVLNKSKNNTKS IYLYRYFIIDIIALVLMCGFIIYVKNLITRFFAH SPAR_O04330 MSFEVGTRCWYPHKELGWIGAEVTKNEFNDGKYHLELQLEDDEV VSVDTKDLNNDKDQSLPLLRNPPILEATEDLTSLSYLNEPAVLHAIKQRYSQLNIYTY SGIVLIATNPFDRVDQLYTQDMIQAYAGKRRGELEPHLFAIAEEAYRLMKNDKQNQTI VVSGESGAGKTVSAKYIMRYFASVEEENSATVQHQVEMSETEQKILATNPIMEAFGNA KTTRNDNSSRFGKYLEILFDKDTSIIGARIRTYLLERSRLVYQPPSERNYHIFYQLMA GLPDQTKEELHLTDASDYFYMNQGGDTKINGIDDAKEYKITVDALTLVGITKETQHQI FKILAALLHIGNIEIKKTRNDASLSADEPNLKLACELLGIDAYNFAKWITKRQIITRS EKIVSNLNYNQALVAKDSVAKFIYSALFDWLVENINTVLCNPAVNDQISSFIGVLDIY GFEHFEKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVKEEIEWSFIEFNDNQPCID LIENKLGILSLLDEESRLPAGSDESWTQKLYQTLDKSPTNKVFSKPRFGQTKFIVSHY ALDVAYDVEGFIEKNRDTVSDGHLEVLKASTNETLINILEGLEKAAKKLEEAKKLELE QAGSKKPGPIRTVNRKPTLGSMFKQSLIELMNTINSTNVHYIRCIKPNADKEAWQFDN LMVLSQLRACGVLETIRISCAGFPSRWTFEEFVLRYYILIPHEEWDLIFKKKETTEED IISVVKMILDATVKDKSKYQIGNTKIFFKAGMLAYLEKLRSNKMHNSIVTIQKKIRAK YYRKQYLQISQSINNLQSNVKGFVIRQRANHEMKVNCANLLQAAYRGHAIRASVFNVL KAIISLQTKIRKELKQRQLRQEHEYNAAVTIQSKVRTFEPRSRFLCTKRDTVVVQSLI RRRVAQRKLKQLKADAKSVNHLKEVSYKLENKVIELTQNLASKVKENKEMTERIKELQ VQVEESAKLQETLENMKKEHLIDIDNQKTKDMELQKTIEGNLQSTEQSLNDAQLELEG MVKQHDELKEESKKQLEELEQTKKTLVEYRTLNGDLQNEVKSLKEEIVRLQTAMSLGT VTTSVLPQTPLKDVMGGGASNFNNMMLENPNLSPNDLNLKSRSTPLPGNNHIDSLSVD RENGVNATQINEELYRLLEDTEILNQEITEGLLKGFEVPDAGVAIQLSKRDVVYPARI LIIVLSEMWRFGLTKQSESFLAQVLTTIQKVVTQLKGNDLIPSGVFWLANVRELYSFV VFALNSILTEETFKNGMTDEEYKEYVSLVTELKDDFEALSYNIYNIWLKKLQKQLQKK AINAVVISESLPGFSAGETSGFLNKIFANTEEYTMDDILTFFNSIYWCMKSFHIETEV FHAVVTTLLNYVDAICFNELIMKRNFLSWKRGLQLNYNVTRLEEWCKTHGLTDGTECL QHLIQTAKLLQVRKYTIEDIDILRGICYSLTPAQLQKLISQYQVADYESPIPQEILRY VADIVKKEAALSTSGNDSKGHEHSSSIFITPETGPFTDPFSLIKTRKFDQVEAYIPAW LSLPSTKRIVDLVAQQVVQDGH SPAR_O04340 MSSSVPYDPYVPPEESASSANPNSQNKTAALRQEIDDTVGIMRD NINKVAERGERLTSIEDKADNLAISAQGFKRGANRVRKQMWWKDLKMRMCLFLVVIIL LVVIIVPIVVHFS SPAR_O04350 MLQALSSSNPGLNEGNAASSAYSPSETQPYEGLDTAAQEEIREL ARTLTSQSSLLSQEKHLAGTGDPNTLAAASSSSSSKSIFTSDIKGVNPILLDVNDPDY DETLDPRSENFSSVRWVRNMAQVCENDLDFYKPFSLGCAWKDLSASGDSADISYQGTF GNMPIKYLKMGWRRISQRLFCRKHDKNEENDSTFQILKPMDGCINPGELLVVLGRPGA GCTTLLKSISVNTHGFKISPDTIITYNGFSSKEIKNHYRGEVVYNAESDIHIPHLTVF QTLYTVARLKTPRNRIKGVDRDTFAKHVTEVAMATYGLSHTADTKVGNDFVRGVSGGE RKRVSIAEVSICGSKFQCWDNATRGLDSATALEFIKALKTQASITKSAATVAIYQCSK DAYDLFDKVCVLYDGYQIFFGPSKQAKKYFQRMGYVCPERQTTADYLTSITSPSERIK DENMVKHGIEIPQTAYEMNQYWIQSEEYKQLQVQVSEHLDTDSSQQREQMKDAHIAKQ SKRARPSSPYTVSFFLQVKYILIRDFWRIKNDPSIQLFTVLSHAAMALILGSMFYKVM LSTTTTTFYYRGAAIFFAILFNAFSSLLEIFSLYETRPITEKHKTYSLYRPSADAFAS TFSDVPTKLATAITFNIPYYFLINLKRNAGAFFFYFLINIITVFAMSHLFRCIGSVSK TLPQAMVPASVLLLAFAMYTGFAIPRVQMLGWSKWISYINPLSYLFESLMINEFHDRN FPCAQYIPNGPNYANATGDEVICSALGAVPGNTYVSGDDFIQTNYGFRHKNKWRSIGI GLAYIIFFLFLYLFICEYNEGAKQNGEMLVFPHSVVKKMKKKGIVSGKRSKNKPTLSA PDAEKDIEMNNDSSVTDTRFLRDSDVAVMGNNNIAAKEQFSSPSSSASRSNSFSKSND IELSKSQAIFHWKNLCYDIPIKKGKRRILNNVDGWVKPGTLTALIGASGAGKTTLLDC LAERTTMGLITGDVFVDGRPRDESFPRSIGYCQQQDLHLKTATVRESLRFSAYLRQAD DVSIKEKDKYVEEVIEVLDMELYADAIVGVPGEGLNVEQRKRLTIGVELAAKPKLLVF LDEPTSGLDSQTAWSTCQLMKKLASRGQAILCTIHQPSALLMQEFDRLLFLQTGGQTV YFGELGKGCKTMINYFEAHGAHKCPSDANPAEWMLEIVGAAPGSHASQDYFTIWRDSE EYKEIRKELDWMERELPKRTEGSSNQEQKEFATSTLYQIKLVSYRLFHQYWRTPFYLW SKFFLAVVSELFIGFTFFKANTSLQGLQNQMLAIFMFTVVFNPILQQYLPLFVQQREL YEARERPSRTFSWKAFIVSQILVEIPWSLLAGTISFFVYYYPVGFYRNASYANQLHER GALFWLFACAFYVYISSMGILVISCIEIAENAANLASLLFIMSLSFCGVLATSDVLPR FWIFMYRVSPLTYLIDALLSVGLANAKVVCSNEELLRFVPPSGMTCLEYMEPYLQSAG TGYLVDESSETDCQFCQFSSTNDYLKTVSSSYSRRWMNYGIFSAYIVFDYCAAIFLYW LVRVPKKSKKLEK SPAR_O04360 MSFDWLNVPGLDLSSGDQAEKRPSNGLGPPSVSFDFGINTAAPH DSSFWDQGSRSHSDTTLSYRNNHPNTGANSATNVNSPQKGDPSHTEVRKLSGGDVYAE SPEDMQVPLSLSQNQLTHEEIRTYLRWYNYICLRTHGKLIRLNDVFRFLTNFNLSQKV KDRIVEIFRSCKNALNIGQFFAVLRLVSRAIIYGILPLRRMILEKAPVPKPRPILSSE NHEEVYEEVEDDDGSTKAGDQKVDFDSFASLLLTGKTTRKRVRRRIKNSNFKSKKVRF SEHITFQDPPNLNQESLNNNEARKQNTDGKGEDQDFSNDSPLDFTLPMDQLLKRLYKG RQNSGLVSSLPSEQQETEEEKKVLEDMKDSLSHFKQIQTVDSASLPISSAFLQNGNNL PTNNVNSAGIPQQMPLEPLKPTATGSANHLVREEYNQGLHPSDGAIQTGLQPLKPTAT GSANYLMRSHMEQPQSIKPSNTPETVVNPGGLQPLKPTATGSANYLMKQHLSPSVNNA VPSMFQPQFTNQSSSPQATGPPFLNSPNITLPQNNQQQPYQGVNSTESKIEPSSISPQ HTYSNNVRINNGNIISMPKVEISSALSPQNTLPQHQQSHLLSPQNTIPQHQRSHLISP QNTFTQNQPPILSPQNTYSNNQSTMISPQHTYANNQQQPQHLPPPPPPRAQQQQQQAA IVSPQHTYPNIQKQNNLVPTQTSYANSPSMQSPNFLSPQNAANSYFQSLLSTSPSPNP MPSNASTVNSNNAGNSIGSFQNTNPAMNNSQSRQTYSHQQQQPQQPQQSIYGGQLSQM QQHPGQLHLNNSNIQNQPNKPNYGMLGQQIHQQQQQQQQQQQFPFNTDVNRSNSSDIL GNLQSLQQQVDALQIQYSRRP SPAR_O04370 MTKLMVTSHCMLRMVRRRPLRVQFYTRWYSTKKNNAEAPRINPV GIQYLGESLQRQVFGNCSGRDEAGQSDKLMELSKKSLKDHGLWGKKTLITEPISFPLP PLQGRSLDEHFQKIGQFSSEPYKSFCEGKFTEMVARPAEWLRKPGWVKYVPGAAPVEV AYPDEELVVFDVETLYNIADYPTLATALSSTAWYLWCSPFICGGDDPTALIPMNTLTK EQVVIGHNVAYDRARVLEEYNFRDSKAFFLDTQSLHIASFGLCSRQRPMFMKNNKKKK EIEVESEVQSEISIEDYDDPWLNVSALNSLKDVAKFHCKINLDKADRDFFASTDKSTI IENFQKLVNYCATDVTATSQVFDKIFPVFLKKCPHPVSFAGLKSLSKCILPTKLEDWN DYLNSSESLYQQSKVEIESKIVQIIKDIVLLKDQPDMYLKDPWLSQLDWTTKPLRLTK KGVPAKCQKLPGFPEWYRQLFPSKDTIEPKITIKSRIIPILFKLSWENFPVIWSKESG WCFNVPHEQVETYRAKNYVLADSVSQEEEEIRMQNLGSQPTGVLLKVPHPNGPTSNCT NLLTKSYNHFFEKGVLKSESELAHQALQINSSGSYWMSARERIQSQFVVPSSKFPNEF HSLSARSNLNNGKTNDLAIIIPKIVPMGTITRRAVENTWLTASNAKANRIGSELKTQV KAPPGYCFVGADVDSEELWIASLVGDSIFNVHGGTAIGWMCLEGTKNEGTDLHTKTAQ ILGCSRNEAKIFNYGRIYGAGAKFASQLLKRFNPSLTDEETKKIANKLYENTKGKTKR SKLFKKFWYGGSESILFNKLESIAEQETPKTPVLGCGITYSLMKKNLRANSFLPSRIN WAIQSSGVDYLHLLCCSMEYIIKKYNLEARLCISIHDEIRFLVSEKDKYRAAMALQIS NIWTRAMFCQQMGINELPQNCAFFSQVDIDSVIRKEVNMDCITPSNKIAIPHGEALDI NQLLVKPNSKLGQPNLDIDSEVSQYTYNYREPVFEEYNRSYTPEFLKYFLAMQVQSDK RNVNQLEEEYLRECASKEYARDGNTTEYSLLDYIHDVGKGKRTKIRIMGSNFLDGTKN AKIDQRIRPPVNMPDYTSLHKIANDSAIPKEQLLKNKGKKENGVVLASENKKKLTRKK NTTPMERKYKRVYGGRKAFEAFYECANKPLDYTLETEKQFFNIPIDGIIDDVLSDKSG YKKRPTQAGTASPSPIRKTAKAVHSKKLPASKPDTTKRSLLGLERDITISRGY SPAR_O04380 MSSAITALTPNQVNDELNKMQAFIRKEAEEKAKEIQLKADQEYE IEKTNIVRNETNNIDGNFKSKLKKAMLSQQITKSTIANKMRLKVLSAREQSLDGIFEE TKEKLSGIANNRDEYKPILQSLIVEALLKLLEPKAIVKALERDADLIESMKDDIMREY GEKAQRAPLEEIVISKDYLNKDVISGGVVVSNASDKIEINNTLEERLKLLSEEALPAI RLELYGPSKTRKFFD SPAR_O04390 MNRRLLVRSISCFQPLSRITFGRPNTAFLRSYADTFATAKTNGT ILRKQLLSLKPISPSDSLFISCTVFNSKGNIISMSEKFPKWSFLTEHSLFPRDLRKID NSSIDIIPTIMCKPNCIVINLLHIKALIERDKVYVFDTTNPSAAAKLSVLMYDLESKL SSTKNNSQFYEHRALESIFINVMSALETDFKLHSQICIQILNDLENEVNRLKLRHLLI KSKDLTLFYQKTLLIRDLLDELLENDDDLANMYLTVKKSPKDNFSDLEMLIETYYTQC DEYVQQSESLIQDIKSTEEIVNIILDANRNSLMLLELKVTIYTLGFTVASVLPAFYGM NLKNFIEESEWGFTSVVMFSIVSALYITKKNFNSLRSVTKMTMYPNSPANSTAYLKTP ASISLSNKLRRRRNWWKSTKQRLGVLLYGTSYYNEANLSNNKINKGLSKLKKFNMEND IKNKQNRDMIWKWLIEDKKN SPAR_O04400 MTIGDKQKWTASNVRNTFLDYFKSKEHKFVKSSPVVPFDDPTLL FANAGMNQYKPIFLGTVDPASDFYTLKRAFNSQKCIRAGGKHNDLEDVGKDSYHHTFF EMLGNWSFGDYFKKEAITYSWTLLTEVYGIPKDRLYVTYFEGDEKLGLEPDTEARELW KNVGVPDDHILPGNAKDNFWEMGDQGPCGPCSEIHYDRIGGRNAASLVNMDDPDVLEV WNLVFIQFNREQDGSLKPLPAKHIDTGMGFERLVSVLQDVRSNYDTDVFTPLFERIQE ITSVRPYSGNFGENDKDGIDTAYRVLADHVRTLTFALADGGVPNNEGRGYVLRRILRR GARYARKYMNYPIGNFFSTLAPTLISQVQDIFPELAKDPAFLFEILDEEEASFAKTLD RGERLFEKYASAASKTESRTLDGKQVWRLYDTYGFPVDLTELMAEEQGLKIDGPGFEK AKQESYEASKRGGKKDQSDLIKLNVHELSELNDAKVPKTNDEFKYGSANVESTILKLH DGTKFVDEITEPGKKYGIILDKTCFYAEQGGQEYDTGKIVIDDVAEFNVENVQLYNGF VFHTGSLEEGKLSIGDKIIASFDELRRFPIKNNHTGTHILNFALKETLGNDVDQKGSL VAPEKLRFDFSHKKAVTNDELKKVEDICNEQIKENLQVFYKEIPLDLAKSIDGVRAVF GETYPDPVRVVSVGKPIEELLANPANEEWTKYSIEFCGGTHVNKTGDIKYFVILEESG IAKGIRRIVAVTGTEAFEAQRLAEQFAADLDAADKLPFSPIKEKKLKELGVKLGQLSI SVITKNELKQKFNKIEKAVKDEVKSRAKKENKQTLDEVKTFFETNESAPYLVKFIDIS PNAKAITEAINYMKSNDGVKDKSIYLLAGNDPEGRVAHGCYISNAALAKGIDGSELAK KVSSIIGGKAGGKGNVFQGMGDKPVAIKDAVDDLESLFKEKLSI SPAR_O04410 MRLLALISLLLYAPLRSLAHSLRYGIPESVQVWSILVHLLDDLD GQLLADLYPLVTGLDDEIDTQENLVALTSNILRERYGEEDVADLLELYADLYPMGMIE WSTSSNAEPEDIDESYFVLNGNRYEKPDDVFYLKSKDLITQQKIPDIDVIQPYDVVIG ANSKAPILILYSCPNINDPDFEEFNRNLFMEAMNEEGKIRFIWRPTCSLDGKSVEYPL DFPLEITLQNGSSMSSIPQLKKILSTVPNEISVEVDHDDQLYDLKPEELRELDLKVTS LISEFYQDKEDTIATLNFTKNIVNNFPLISKQLARASTVNKDIIASNEKLNSKGFDYN MLGLYINGQNWKITSLNPYNLLTALKTEHQSLLKITNLLQNLEPSKGVLDSKFLLNKF SQFSLGKLQNLQPIKLDLHTIPGFSESVIYFNDIETDPQYNELVNNVQAFFDKSKFGE LPEIRQNWSEIIFVIDFAHLEDSEVKEALGGLVRAVNVVSQGYPQRVGLLPFSSNSDR SVVNKIYELKNSTDDLTELKTFLETMLLADGLSANAKFSKHISVPDVFHLLDELQIDE TSIIINGEIYPFKKNAWNYLIAKVIKKDTEFIRKELSISSPKNKQTSVRDLLHYKSAS LRHNKYTPNYFADSLYSSVNNTVLESICPERIISYTKNEEYNLLHTITLVDDFSSIHA LKRLKNLLHISFVGVRMRIIHIGDISDTWLQLRGSFSQKDPIGPVNTLIDALKFKKGK SHTRTKISLNRLGLHKWLPDIPLFELGKGSFIALNGRFIHLDQNEVPETKHFEAIIKR EAVRTIDSVFALDLLFPGFSQERIDPDLIEMISSILTKLFYQGTHIYGNGIDYTTESS LPRMDLNQFFEPNNLTMFEDGKSAPIDLLLILDPLEERSQMILSLVEQFKSLKFVNTQ VVLMPTLELNVVPIRRIYVDNAEIVKSITSENSKSDPGVDIEMNVPNYFIVDNNHRLK KLLIELHVFSNKTVLSAGNIDGIGGVCLALADAAGNIIDKTTTMKTFGYGQFHIDKFL KGCYIKSCDSRYTVQSFSTDGHPDFIPSNLLDIVSYNPQEIAVKISEEPSHEKEHEEE GDDDTTINIFTILETGPEEEERYKQMILSILSKCPKTQKVNFFTLDQPFISNNLRKSC EYINSSSEMRGSVIFLDYEWPRWLRPQRFSLRRRDVSKFLFLDVILPQNVSKILYMIP TETPLDPFDMFQFQGLKRAPLGLFRMSGDGYWKEGYWEKMLRENNLEFYSTEPGFLVN LKRFRELDAGDKYRIHYQRISTDARSLVNIGQDLVNNLQLEVPIRSLKRSFKKELVIN NELLAKWKKSVNIFASSPTDEEVSGESDSSEYQDYDNAAPLHDEL SPAR_O04420 MTAPLWQNKNEKKHTVKRALSTDMTSNILSSTNASSNEENSRSS SAANVRSGTAANTLVNGSSTRKRLACTNCRNRRKKCDLGFPCGNCSRLELVCNVNDED LRKKRYTNKYVKSLESHIAQLETNLKNLVQKIYPDDEQMLNRMMVGDVLSALPDTSQV SINYTDQTPSLPIPATRGTFIIDNDKVSQPLPSFDQQTERSTLNSGLFNTQKQNFGES LDDQLLLRRSITPQGEKKKKPLVKGSLYPEGPVSYKRKQPVKSDSLLPASSLTAAADP STFSDGITVSNPTLVNGELKKRISDLKTTVIVRGLNDDNPNSINNDPRILKSLSNFYK WLYPGYFIFVHRESFLYGFFNHSKNNYEDSSYCSVELIYAMCAVGSRLTPDLQEYSEV YYQRSKKTLLQLVFDEQSTARITTVQALFCLAFYELGKGNNQLGWYFSGLAIRVGYDM GFQLDPKVWYVDDNNLQLTQSELEIRSRIYWGCYIADHFICLMLGRTSTLSVSNSTMP ESDELPEVNGTEEFRFIGRHVLQISLPLKNLIILSRLVQIFTSKIFIESEDIAQKLKY LNTFNSQVYNWRQSLPEFLQWSKTLIDNDDVSTDPTISYFWYCYYIVRLTFNKPFIED SQESETVVIEIIDDLKTLLDNFGKKFGNYTKGNLYQLYSCLLAINCLKKLKEVRSSEQ DSWNAQLEFFNHIFYTQLYPAYDLPKKLQEDTELETEQENQMLNQVGNINYTHDFSLS HEIDDLIRELFGVGTPQKL SPAR_O04430 MLDNMQFHSPAPEHPQLNAGINGNPASHKLGYKLHQQVQKLAVV RNNIEERLNSMESPHGQISDGNVVRALDASVDDYLIPSPPLSPKLRHCPIINQSQLIG VKSDHRELIMLTPVWEAGLNSQKYNHNTRNFLSQYSFFRDMKTTKRIPAKENRRLKMV KPVANLEVLPKRRRYDRKIKRRSRELYGDDGNGSENYDEESGQEIPVRSVTPIRQVKK SLHTISSPLASQGVVNNVPKYIPSMSWEKLPDYSPPLHTLPNGNNKVLKVEWKGSSMD LSQDPLRQRLHPAELVLAQILRLPCDLYLDSKRRFFLEKVHRFKKGLPFRRTDAQKAC RIDVNKASRLFAAFEKVGWLQDKQFEKYL SPAR_O04440 MPGQESGCVTKRLQNELLQLLSSTTESISAFPVDDDDLTYWVGY ITGPEETPYSDLRFKISLNFSQNYPFHPPKVKFLSPMWHPNVDKSGNICLDILKEKWS AVYNVETILLSLQSLLGEPNNRSPLNAVAAELWDTDMEEYRKKVLICYEEIDDC SPAR_O04450 MSQVKRANENREAVGFIKKHKKQVTNPIDEKDGTSNCIVRVPIA LYVSLAPMYLENPLQGIMKQHLNPLVMKYNNKVGGVVLGYEGLKILDADPLSKVDASE KLIKITPDTPFGFTWCHVNLYVWQPQVGDVLEGYIFIQSASHIGLLIHDAFNASIKKN NIPMDWTFVHNDMEEDADVVNADEDNGNNNNEENKDSNGGSNSLGKFSFGNRSLGHWV DSNGEPIDGKLRFTVRNVHTTGRVVSVDGTLISDADEEGNGYNSSRSQAESLPIVSNK KIVFDDEVSTENKESHRELDLPEVKEDNGSEIVYEENTSESDDDESSDSD SPAR_O04460 MDISKPVGSEITSVDFGILTAKEIRNLSAKQITNPTVLDNLGHP VSGGLYDLALGAFLRNLCSTCGLDEKFCPGHQGHIELPVPCYNPLFFNQLYIYLRASC LFCHHFRLKSVEVHRYACKLRLLQYGLIDESYKLDEITLGSLNSSIYTGDEAIEDNED EMDGEESKQSKDISSTLLSELKSKRSEFVDMAIAKALSDGRTTERGSFTATVNDERKK LVHEFHKKLLSRGKCDNCGMFSPKFRKDGFTKIFETALNEKQITNNRVKGFIRQDMIK KQKQAKKLDGSGDQSTNDEESFDVGRNPTARPRTGSTYILSTEVKNILDTVFRKEQRV LQYVFHSRPNLSRKLVKADSFFMDVIVVPPTRFRLPSKLGEEVHENSQNQLLSKVLTT SLLIRDLNDDLSKLQKDKVSLEDRRVIFSRLMNAFVTIQNDVNAFIDSTKAQGRTSGK VPIPGVKQALEKKEGLFRKHMMGKRVNYAARSVISPDPNIETNEIGVPPVFAVKLTYP EPVTAYNIAELRQAVINGPDKWPGATQIQNEDGSLVSLIGMSVEQRKALANQLLTPSS NVSTHTLNKKVYRHIKNRDVVLMNRQPTLHKASMMGHKVRVLPNEKTLRLHYANTGAY NADFDGDEMNMHFPQNENARAEALNLANTDSQYLTPTSGSPVRGLIQDHISAGVWLTS KDSFFTREQYQQYIYGCIRPEDGHTTRSKIVTLPPTIFKPYPLWTGKQIITTVLLNVT PPDMPGINLISKNKIKNEYWGKGSLENEVLFKDGALLCGILDKSQYGASKYGIVHSLH EVYGPEVAAKVLSVLGRLFTNYITATAFTCGMDDLRLTAEGNKWRTDILKTSVDTGRQ AAAEVTNLDKDTPADDLELLKRLQEILRDNNKSGILDAVTSSKVNAITSQVVSKCVPD GTMKKFPYNSMQAMALSGAKGSNVNVSQIMCLLGQQALEGRRVPVMVSGKTLPSFKPY ETDAMAGGYVKGRFYSGIKPQEYYFHCMAGREGLIDTAVKTSRSGYLQRCLTKQLEGV HVSYDNSIRDADGTLVQFMYGGDAIDITKESHMTQFEFCLENYYALLKKYNPSALIEH LDVESALKYSKKTLKYRKKHSKEPHYNQSIKYDPVLAKYNPAKYLGSVSENFQDKLES FLDKNTKLFKSADGVNEKKFRALMQLKYMRSLINPGEAVGIIASQSVGEPSTQMTLNT FHFAGHGAANVTLGIPRLREIVMTASAAIKTPQMTLPIWNDVSDEQADTFCKSISKVL LSEVIDRVIVTETTGTSNTAGGSAARSYVIHMRFFDNKEYSEEYDVSKEELQNVISNQ FIHLLEAAIVKEIKKQKRTTGPDVGVAVPRLQTDVTSSSSNSKRLEEDNDEEQSHKKT KQAVSYDEPDEDEIETMREAEKSSDEEGVDSDKESDSDSDEDEDVDMNEQINKNIVEA NNNMSKVQRDRQSAIISHHRFITKYNFDDESGKWCEFKLELAADTEKLLMVNIVEEIC RKSIIRQIPHIDRCVHPEPENGKRVLVTEGVNFQAMWDQEAFIDVDGITSNDVAAVLK TYGVEAARNTIVNEINNVFSRYAISVSFRHLDLIADMMTRQGTYLAFNRQGMETSTSS FMKMSYETTCQFLTKAVLDNEREQLDSPSARIVVGKLNNVGTGSFDVLAKVPNAA SPAR_O04470 MTILEELDDASIPQKLDNHIFFGSVHSLTHTDFLVENNVRFFIN VDISTELISHVYHEVRPNLADEIVIVNIDNNSQIPIDSDLVRSFHWHNTSLLQQLIHH LDFLGDINNNGEPLTPPPESHYRNAYVQFDHSTDSPSILDRLLYGKKSEYSRTNIFQV TNEAKFQVFNDLITIFKYSIAQSGNSNSNILVLSENGSSDENLISLLMSTVLKENPTF NVYQALQFVKSIAVIPDTVRDEKILWATGFINYQELIKKNEMYWGLGSQKGLRLTSLA SPISKIERKQRRRDDSNIIRSKLPQPRQNPFCSAERPKRARCD SPAR_O04480 MNSILDRNVRSSETTLIKPESEFDNWLSDENDGTSHINANKDSS SVLSASSSTWFEPLENIISSASSSSIGSPIEDQFISSNNEESALFPTDQFFSNPSSYS HSPEVSNSIKREEDDNALSLADFEATSLQLMPNMINTDNNDDNTQLKNEIELNDSFIK TGLDAKETKKRAPRKRLTPFQKQAHNKIEKRYRININTKIARLQQIIPWVASEQTAFE VGDSVKKQDEDGTETAAATPLPSGTATSTKLNKSMILEKAVDYILYLQNNERLYEMEV QRLKSEIDTLKQDQK SPAR_O04490 MGEYDEVVDLLDSDLEYSINRKTPDKENCFTQSSFNDSHLTGRT GGLNARSFLSTLSDDSLIEYVNQLSQTNKSNSNLTAGTPRFTTEHISCDDLHADLSCS EDSPIAHSVTEIQESGSNNEDVNKNTVYTRENYFQDKAQGQSLQDQMLRDQYKDQISS QSSKVFKNCVIYINGYTKPGRLQLHEMIVLHGGKFLHYLSSKKTVTHIVASNLPLKKR IEFANYKVVSPDWIIDSIKEARLVPWQNYSLTSKLDEQQKKLDNCKTVNSIPLPSKTT LHKRPTHVGWALLPVEQPSLADLNNLESKRIVACDDPNFLTSYFAHSRLHHLSAWKAN LKDKFLNEHINRYTKITNKDTYTIFHIDFDCFFATVAYLCRSACFSSCDFKRDPIVVC HGTKNSDIASCNYVARSYGIKNGMWVSQAEKMLPNGIKLTSLPYNFEQFQLKSEAFYS TLKGLNIFNLILPISIDEAVCARVISDDIHNTKTLNAKLCEEIRQEVFQGTDGCTVSI GCSDSLVLARLALKVAKPNGYNITFKSDLSEKFWCNFKLDDLPGVGRSILSRLESTFH SPHSLNDLRKRYTLDTLKASVGSKLGMKIHLALQGQDDEESLKILYDPKEVLQRKSLS IDINWGIRFGNITQVDLFIERGCQYLLKKLNEINKTTSQITLKLMRRCKDAPIEPPKY MGMGRCDSFSRSSRLGIPTNEFGIIATEMKSLYRTLGCPPKELRGLALQFNKLVDVGP DNNQLKLRLPFKTIVTNKAFESLPDDVKNDINNEFEKRIYMRKESGLDSSLLSSKKKG ITIPRPEAHDLPSTMEEQFMSELPTQVRAEVRHDLRIQKKIQRTKLGNLQEKIKRREE SLQNEKIHFMGHNSIFKPIKFQNLTRFKKICQLVKQWVAETLGDGGPHEKDVKLFVKY LIKLCDSNRVHLVLHLSNLISRELNLRASLNQDHSGFQTWERILLNDIIPLLNRNKHT YQTVRKLDMDFEV SPAR_O04500 MPESRLQTLANLRIGTPQQLRRTSIIGTIGPKTNSCESITALRK AGLNIIRLNFSHGSYEFHQSVIENAVKSEQQFPGRPLAIALDTKGPEIRTGRTLNDQD MYIPVDHQMVFTTDANFANTSNDKIMYIDYANLTKVIVPGRFIYVDDGILSFKVLQII DDSNLRVQAVNSGYISSRKGVNLPNTDVDLPPLSAKDIKDLQFGVRNGIHIVFASFIR TPEDVLTIRKALGSEGQDIKIISKIENQQGLDNFDDILKVTDGVMIARGDLGIEILAP EVLAIQKKLIAKCNLAGKPVICATQMLDSMTHNPRPTRAEVSDVGNAVLDGADCVMLS GETAKGDYPVDAVNIMAATALIAESTIAHLALYDDLRDATPKPTSTTETVAAAATAAI LEQDGKAIVVLSTTGNTARLLSKYRPSCPIILVTRHARTARIGHLYRGVFPFLYEPKR LDDWGEDVHRRLKFGVEMARSFGMVENGDTVVSIQGFRGGIGHSNTLRVSTVGEEF SPAR_O04510 MVNILPFHKNNRHSAGVVTCTDDVSGSGSGSGGDTKKDDNVVQV TESPSSGSRNNHRYDNEKDDAIRMEKISKNKSASSNGTIREDLIMDMDLEKSPSVDGD NEPHKLKQGLQSRHVQLIALGGAIGTGLLVGTSSTLHTCGPAGLFISYIIISAVIYPI MCALGEMVCFLPGDGSDSAGSTANLVTRYVDASLGFATGWNYFYCYVILVAAECTAAS GVVEYWTTAVPKGVWITIFLCVVVLLNFSAVKVYGESEFWFASIKILCIVGLIILSFI LFWGGGPNHDRLGFRYWQHPGAFAHHLTGGSLGNFTDIYTGIIKGAFAFILGPELVCM TSAECADQRRNIAKASRRFVWRLIFFYVLGTLAISVIVPYNDPTLVNALAQGKPGAGS SPFVIGIQNAGIKVLPHIINGCILTSAWSAANAFMFASTRSLLTMAQTGQAPKCLGRI NRWGVPYVAVAVSFLCSCLAYLNVSSSTADVFNWFSNISTISGFLGWMCGCIAYLRFR KAIFYNGLYDRMPFKTWGQPYTVWFSLIIVGIITITNGYAIFIPKYWRVSDFIAAYIT LPIFLVLWLGHKLYTRTWRQWWLPVSEIDVTTGLVAIEEKSREIEEMRLPPSSFKDKF LDALL SPAR_O04520 MYNIQALLSSIQSGVQTISPETHQQTIAAINKFQDDPALLDTIL PRCVPLLTNSFFCMSKRDQKLVAELFYNLDKISHSKVLKSLDTSIFRLNDILEYLQDQ ASPSSFSDVLCVYLNFSWLSVILLSPYTFKDKFSKTLRVSSRFENYPICIPPINKIKA VLYFKNFTHAFDQLPEPEQSNVPFLNQFLKLFIQSSQTANTYFSHENLRHLQQVALSS DAIKLLPKLFQISYNRGSHDILNAIIEFFQDHLNSNSTDTRFKLAHSFAKIIKFLHQT DPPSSIELIEYTIENTVSLLQASCDSIDSNELHTSLLIIAEVALAKILPIDLVNLVLT QIIPKTCHFQQSHFQIIKGHHIRDSTSFIIWSIIRSNNGNSLSSRVLQSLLSHLLINA FFDSELIIRYSSFAALQELLGRSNKSLALNQTDIASILQANWKDLPRSFEENSGLIHR LFNPENTLKTTVCVWNIFIDWSSNWNLLENLHLTTMKLNIDYNLVPLIKAKLYSTDLL QVILNKSGHSITQNCQILYLHLKLFENDVNCPKTSEICTDIYQNKINFQLTGQGKRQF NDNSPELFQIFVILKYWQLIGRNDFNQELFWKLVSIISPQKKLNLYNEFIPLVQRIIS QCVNLNYSRIAQLIKSGNELACRSICHLPDQERMCSLFFSQFPLLTPQSKSVLIDEVD HHWEDRISLLPSTSYQKFLSIIINCLDDYTTTQQGDVGRLVRIQALKAIQAHPGLLSG DGDTIRPKLTRLLAEPVPEIRRLSFQLLTSVAPQVTELSDSSILNYHHKKGLSKEFWK GYVVSAGAIHFTDSQLTSSIDSFIVYFRSLSPSQQLELCHDLIRIIPSARQIGELRKC DCNKDPLTGGMRFDTIKFTINCVKFWTRIMESGLVVLHHDFDFQGVFAKFYNLHLLEN VTLRVNVVKFLPFLAISCYYTMRETTDRNNLSNLVNSILKRLLVIVKREYTATKSKFM INQNIALQSMFQIFLELSATRQLQTLQAACQNHELADILESDITI SPAR_O04530 MKLVFKRCSSTHIGKLIKESLTTPEILPQLERKPSSHKRLPNNK RTNSITDKWLKDALTRKDKLNEDKLQNVNLRLNVVMTTLQKLRTTYNPALYFALLNRV GTGHIRWLNKSGRQIGAFPSGRLPLEFYHELSNMLYKVSLRPVNDKLALAKFSLQLLD RYYSIKAKSFTGKDRFRANTKFLRNCALLVIKSQSSYYLGIIQRLFAENSEGQLLANL CQLAFYVETCQWTSVVDILPSCVSDSVLRISKERERAIQLLELFRPGLIKSLEVMIAQ GMENEVCQTLKSLSKWNFHFEQHDSSNLVQLCQNHSCLKVIETMSRLSLITIGIGQYG LENLPSEVSLKQSMHILSKENFEPFKHDSFLQSLSFKLSDLPLNLGVWKQYIKEIDDQ LQAETKPLPLRAFFINTLLCHLSVRKNFDFMLSLVEHMVYERKLWQPLLLTENIVGNK ENSIFHCFFHGASQEISTKLTLLTLFNQLIKIDYQLNVNDFLSMLRVCKNYSDSDFFY FVFYNLLITHSHKFFLFDKFSNKFSWRLPIQIGDAISEWLSSLEIDIQENTDRVLQIT DDVSEWYVEGKPFNSKNQTIQPINIPKLRKIFGERKTLFHMDPEIFQKCKAKRDKEMR KEALFTANDAEYNFAADVSYAKRVENLFFCVESEQMQQK SPAR_O04540 MRPLISSNLATEDDIQAAAGIAPAHLEVNVGGYNTEQIIPIVKH ELVKVGRNDKECQLVLTNPSVSSVHCVFWCVFFDEDSIPMFYVKDCSLNGTYLNGLLL KRDKTYLLKHSDVIELSQGSEENDIKKTRLVFMINDDLQSSLDPKLLDQMGFLREIDQ WEITNRIVGNGTFGHVLITHNSKERKDDVCYHPENYAVKIIKLKPNKFDKEARILLRL DHPNIIKVYHTFCDRNNHLYIFQDLIPGGDLFSYLAKGDCLTSMSETESLLIVFQILQ ALNYLHDQDIVHRDLKLDNILLCTPEPCTRIVLADFGIAKDLNSNKERMHTVVGTPEY CAPEVGFRANRKAYQSFSRAATLEQRGYDSKCDLWSLGVITHIMLTGISPFYGDGSER SIIQNAKVGKLNFKLKQWDIVSDNAKSFVKDLLQTDVAKRLNSKQGLKHVWIAKHLSQ LERLYYKKILCNNEGPKLESINSEWKRKLPKSVIISQAIPKKKKVLE SPAR_O04550 MSEPNTPLHAQPNEQLDLNNLNDLDEKDIDDLNLDPNSDVDITA DSGDVVNSNIDNIIWQRTCNKKRRYHTPEFNDVYNETNNAINDVTMLDDVDDFQPRIN VSSPFSSTTKLSELLPNDHNGISHPRRLSMSQQSKFISYVDDQLLQIQRKFVQSRGLN IKNGYASLTPLLKDLKTLIDFIWYSIAHVPNSDYLLQSEQKRHCPDPRSSNDTRGYSS YFGQGSYLIKIADDLIDYVEKFTFKDMEDSEINDTLSKLFKLFFILDRIFVILIDDNN CKDVPETSSTSKKITGLNGTDIVRLKGIAERTRVRLPIFLESQGVHGYHYELSKIYEG FLDSANSF SPAR_O04560 MVATSSKRTLDPKEDYLSAEKTSTSSSNTIISELASQEKSSSNA TTLKLIALNIKTISDEDVGYIQNVERLSLRKNHLTSLPASFKRLSKLQYLDLHNNNFK EIPYVLTLCPQLEILDLSSNEIEAFPDEISSFWQDNIRVLSLKDNNVTSIRNLKSITK LNKLSILDLENNKLPKEELDQVQSYTPFHTGIPKEEYWAIAISRYLKDHPTPPGSEPK ISRAAKRMGFINTNLSNGAMNDNNIISLAPSANTTINAPTAMVSSNPTSVTTFNGTGN VESEPSGVVSGTELYNHTKYNDYFKRLSILPEESMSNEHQKISHSELVVSCRKLLFSF TECQQAIRKIASFCKEKAVAVNVVSLLYSVRSHTDNLVEVLQQTENEDESHDQALIKL CLTIITNFKQIITLLRKNFEIFFKEDDLCFIRMFYMTLMCAYMEMYNAWSFIKEDDQV ASSVNKAPKKQSFSRHDTSSSITNSGGPAVNTISTHCSGNIKLLPKTRSTRTPSASAL LSNSNILTGDTPISNSTTVPLLSPNPNSAHTHGPILGHQNATSNGSSQMNMNEGKTTS DNLPRQQLLQHNKSLSDSKKESVVHETKPHPVMTSSIINVSNSTNISNVNITPPPMNA SGAGNSTVNVVETNIDIQLYQTLSTVVKMVSVVYNQLTSEISKIAIASTMGKQILTDS LAPKIRDLTETCRQAMDLSKQLNERLNVLIPNDLNSEKYLTSLEKLKTWEIMNSFLKV IISILANTKIVMSDVPNLNELRPNLANLAKITKDVTVILDLSSYKAVSVSANSPE SPAR_O04570 MSKESGALYILLESAQHKIIPKPSTGIQMLSHNALRAFGRLKVT IPRRCITFSTSILQQNNVRLQETEDEHSENREKYVSPFERVQNLAADLKNELKAPGSD VNEVFNDFKDKIESLKQKLRNPSPMERSHLLANFSSDLLQELSYKSKNMTIDPYQVLN TLCQYKLARSQHFTIVLRYLLYNQSPQDVIALWVKYLETISENPVILLQNSSSRAHTQ NIAITTLAYLSLPENTVDIKILYKILQIDPKMGQILPFNMIRRMLNTEFSSLKGRDVI TKNLNDLYYQYTVQDSGHFLNQIENAPRWIDLRDLYSQYDKLEGEKNIEIITKFMDKF IDLDKPDQVVSIYNQYSKIFPNTTLLKDRLLNAVAHLRAKSSKEKLDRILAVWNSIIK PDDDIKNTSYAALVNALCDSGNFSHLKAFWEEELPKKHKKDTIVKEAFLLALCQTSPL SYDQIKGELGETIKTKKLLNKVLLLMLDDEKVSEEQFNTFYYNHYPSDGTLPPTLETL SIKMYANYKFQAEDTRPQFNLLQSISVNPTDYEKVEKITKTFISVCPTIDPIRQLYKQ LGTHLNARNYADFISAEFNKPGGTVAEAENLFTDFLAYQKTRKRNVDNTPLNALLLGF CEKLYKSKQSEYVPYIEKYYDLAKDSGIRVSNLAVSKILFNLATFARNTQQLADNETG FINQFLQDVGSNEDFRPNPKDIQILKDCDGITVPEKLL SPAR_O04580 MALANSRPLQIPTLENEILHNSNSPVFQLNSMGFTTRADTISNP GTDLIGNQPGMTLDDNSLSGSSLSSSQEIKTSKSRKDFGAPKKDNPLLEISKLIPVTG ERPKPDNRDSPLDDDVLHAVFLILWEMDPNQQGMTVKQLCDLLLQKHPDMSNLSTKLS NLISAKLNAYVKKIEKGEKTLIYALSREWSNSSPRRMLYIYRGILSPDYKEHAQAVTL QLKQQLETSGDVSDFNSNGKKKRESSSNQLANNESYSSSMTDMKNTSSNSSFSKNLNV GNLAFSLSPEFNIPYSTSPVSLNLSPSMNNNQQQLLTPNSVSKSKNNNKKRNYTDDNI TDSMSEPKKTKTNKAGKQTKSQPLSVLSTPKKVSSSTSLSTFVSSKNVSPDSSLSHNA SSSTYVTAAAATPRLSKLLPKNGFKKSSRSSSELAAIHKVISTQTPIESSSESSVYNS SGSSSPVNSAAASSSAESLSDINSSQDSGRESNPSSQESRNEVTNWMKIVRNGFLTHD IESPESITLDDLENIFN SPAR_O04590 MIKFTNRNLISGLRTATLAKSRHLTVKTAMTRKFSLSCRAQNKT TLTEEEKELLIEPRARDYVDVCIVGGGPAGLATAIKLKQLDNSSGTGQLRVVVLEKSS VLGGQTVSGAILEPGVWNELFPDENFGTGIPLPKELATLVTKEHLKFLKGNWAISVPE PSQMVNKGRNYIVSLNQVVGYLGEKAEEVGVEVYPGIAVSDLIYDKNNAVKGVITKDA GISKSGKPKETFERGMEFWARQTVLAEGCHGSLTKQALKKYDLRKGRQHQTYGLGIKE VWEVKPENFNKGFAAHTMGYPLTNDVYGGGFQYHFGDGLVTVGLVVGLDYKNPYVSPY KEFQKMKHHPYYSKVLEGGKCIAYAARALNEGGLQSVPKLNFPGGVLVGASAGFMNVP KIKGTHTAMKSGLLAAESIFESIKELPVLEEIEDEDIKTDIFAKEETINLESYESAFK KSSIYKELYEVRNIRPSFSGRLGGYGGMIYSGIDSLILKGKVPWTLKFDEKNDGEILE PAAEYKPIEYPKPDGIISFDILTSVSRTGTYHGDDEPCHLRVPGQDMVKYAERSFPVW KGVESRFCPAGVYEFVNDEKSSVGTRLQINSQNCIHCKTCDIKAPRQDITWEVPEGGD GPKYTLT SPAR_O04600 MPREFKSFGSTEKSLLSKGHGEPSFSEIYAEPENFLEIEVHNPK THIPNGMDSKGMFTDYEIICRTNLPSFHKRVSRVRRRYSDFEFFRKCLIKEISMLNHP KVVVPHLPGKILLSNRFSNEIIEERRQGLNTWMQSVAGHPLLQSGSKVLVRFIEAEKF VG SPAR_O04610 MTDRNFSPQQGQVPQGSLPEGPPHSNTMIQRGDMNMPRQHPQQH QLQEKEDEEREHTRMPVSEEEFRMVQELQAIQAGHDQTNLPPSSRESLEGEDNGNSDG ADGEVDEDDEEYDVFRNVGQGLVGHYKEIMIRYWQELINEIESTNEPGSEHQDDFKSH SLPFARIRKVMKTDEDVKMISAEAPIIFAKACEIFITELTMRAWCVAERNKRRTLQKA DIAEALQKSDMFDFLIDVVPRRPLP SPAR_O04620 MKHPYEEFPTGSKSPYNMSRGAHPGAVLLSPQSSAINNNNSGSN NSNNQGNSSVTANVLSPQSHSMSLNDMLDQQSFMLDTTGTRAQPLQQQQQQQQQQQQQ QQASLPSLNIQTISSTAAGSAIVSPMMQSPKAMQSTLSSTSMYLDSFQRSSNNILGLP SQGGSIPLPQSRQSQHQSQPQPQKNDPNIGTNFSQDINQLCSWISMLNSSQQNTVMDN ILSILNDDVLKYTKLKLDTLTSTPFISPPLPAIASPIPNRDDTQILNIDSVFSSSPIT NEPENADNLLYQNWSPQPHSIPITQPVYDNITDPSQRSKSAEPHVNSSPNLIPGQKQF NNGSSTKYKKLSSDNPNYLSHSLSTSHSFFQPKKRSNMGNEYSSHHHHSLHHPLHNTT SYFSSTPRPSGTESNKSNQNVFNNINTHPNAGATSATSTSTSSTGNTPLSSNSSMNPK SLTDPKLLKNIPMWLKSLRLHKYSDALSGTPWIELIYLDDETLEKKGVLALGARRKLL KAFGIVIDYKERDLIDRSAY SPAR_O04630 MSTLFLIGIHEIEKSQTIVRNEHYFDRVIELQDMDSLMITLYKD RVSPFPNAHNYETGVSLVLYDPSKFQLTVRQLDVLFKRFFPSFNISAIDHTQEENLQR LECVERENNICRNRITRINHWMYHHHDDTPEGINKNSYSTVNGNSVPTQACEANIYTL LLHLNDAKAQHLQKASVPRLIRNIEFMSFLSDPIEKISQDGSHYWNILSTWDFCALSL STQELIWCGFTLIKKLSKDAKVLIADNKLLLLLFTLESSYHQVNKFHNFRHAIDVMQA TWRLCTYLLKDDPVQTLLLCMAAIGHDVGHPGTNNQLLCNCESEVAQNFKNVSILENF HRELFQQLLSEHWPQLLSISKKKFNFISEAILATDMALHSQYEDRLMHEKPMKQITLI SLIIKAADISNVTRPLSISARWAYLITLEFNDCALLESFHKAHRPEQDCFGDSYKNVE SPKEDLESIQNILMNVTNPDDIIQDHPYIPNGQIFFINTFAEVFFNALSQKFSGLKFL SDNVRINKEYWMKHKKPQ SPAR_O04640 MATETFDDIKLEDIPVDDIDFSDLEEQYKVTEEFNFDQYIVVNG APVIPSSKVPVLKKALTSLFSKAGKVVNMEFPIDESTGKTKGFLFVECGSMNDAKKII KSFHGKRLDLKHRLFLYTMKDVERYNSDDFDTEFREPDMPTFVPSSSLKSWLMDDKVR DQFVLQDDVKTSVFWNSIFNEEDSLVEARENWSTNYVRFSPKGTYLFSYHQQGVTAWG GPNFDRLRRFYHPDVRNSSVSPNEKYLVTFSTESIVVEEDNEFSPFTKKNEGHQLCIW DIASGLLMATFPVIKSPYLKWPLVRWSYNDKYCARMVGDSLVVHDATKNFMPLEAKAL KPSGIRDFSFAPEGVKLQPFRNGDEPSVLLAYWTPETNNSACTATIAEVPRGRVLKTV NLVQVSNVTLHWQNQAEFLCFNVERHTKSGKTQFSNLQICRLTERDIPVEKVELKDSV FEFAWEPHGNRFVTISVHEVADMNYAIPANTVRFYAPEAKEKTAKNVIKRWSLVKEIP KTFANTISWSPAGRFVVVGALVGPNMRRSDLQFYDMDYPGEKNINDNNDVSASLKDVA HPTYSAATNITWDPSGRYVTAWSSSLKHKVEHGYKIFNIAGNLVKEDVIGGFKNFAWR PRPASILPNAERKKVKKNLREWSAQFEEQDAMEADTAMRDLILHQRELLKQWTEYREK IGQEMEKSLNFKIFDVQPEDASDDFTTVEEIVEEVLEETKEKVE SPAR_O04650 MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGTTSIGIKCND GVVFAVEKLITSKLLVPQKNVKIQVVDRHIGCVYSGLIPDGRHLVNRGREEAASFKKL YKTPIPIPAFADRLGQYVQAHTLYNSVRPFGVSTIFGGVDKNGAHLYMLEPSGSYWGY KGAATGKGRQSAKAELEKLVDHHSQGLTAREAVKQAARIIYLAHEDNKEKDFELEISW CSLSETNGLHKFVKGDLLQEAIDFAQKEINGDDDDDEDDSDNVMSSDDENAPVATNAN VTTDQEGDIHLE SPAR_O04660 MYFTDESSPTMSRIGKKRNRLSFVCQACRKAKTKCDQEKPRCGR CTKQNLFCIYDVARQAAPRNPNKDATIARLKKEIRYWRNKTVDLTQEKKDFYTALKRP TEELAAKRTCKSSQENSFPISLYKTHPRLIMTKVMKREINPLSEKYLIFQDTFLKTLI ASVLLSSSRNSMIPALNADISRSRTQPCVKNNVVKMREVLLKNSKYESQRKSINEFTD RLLQRKNPEEQTAVNKVISLLYSTRESSYLENTCPSENDYSDLLKGHINEIEKALPPK AIIEQYLSHFFEHIFHLIPFASKEMLEESIHTTVQYNELGEVRLSMGTTLIRNKMENL CILLLILRIAYISLTFIEDKIEDYSPYITKEMLEQYPIQSEVIFLAQQILASENWCAC ANENTISCLLYIWCAFVFSPTEGDFLLEQPSDVIINLVILIGTSIGLHRDPSDFPALN HPEVSDKRLLNLRRIQWLSIISMATLESSLKGRLLVSPLSMIDLFINVRDPNCIEIYK KRVKKDLTGSQSDEQLLEIHEIFFHRAQLALFLSDLNNITISYSGSVPMDTLETLRVK ANELLKNKFQLRSVDINIYDVEKTFQKLTFNSILNSISLSAQILGKLMMLRASIALML YFETLAMERSECLPFFYKYFFQCCADTISLIRFFLLYFNGSYEKVLSSLVCFITTKVI QLAAPTTMFTLLVIIMRAELAKNMLLVKCNECNARGDISDLPEIKEKIKSLDTIKDNF ERLLLEVYLLASQNLRFKYFYIFKMLTLFDVFIQRLRKGQLFSGLFVKVDKDSTTKKI ATMLELTLGINLDKSDHLIDRLKGKNLTVNFTLDQLHEIIKEFDKIKNIGTADSQNSL NPSKPNMKDNTPTIELLLNSSVENEAVPSYISSNEPTNVGSASTYNLARNINNQNNGE NMSPSTHPSENNPAAPNLNFTPINNNYSGGSSNNNKNDNVKLPSNFKNYYDPPMSSLD ISMDVPDIFGSLDFFDYDLLFQND SPAR_O04670 MLPINVFFLSWVFALLALFRQTHAISINSSTFQVSNSFTLLNNA SKLFSVPFDVSTKEYLKTSALLTCVRDSQFSASYFEAAFFPKNSTIFFDIEAQTIMSE NITIKAELIAYGLNVYTKVFDLCGIQDNLLCPLKPGNIELIGSYYVETAVASQIPSIA YNIPDLDAYIVVSAYSTTDKEFIKPLACVQVMLSNGRTVQTEYLSWNLVILTIFGIMF SVVYSLQGYTVTSTRLASYSISLVLYFQNLAILAMISVSFLPPIVAAWTQNFQWSTGI IKINFMQHLFDWYIVATSGSPTVVYHNKEVLSISVQKRSLNSKIISASSNLNGVESSQ RDNLLYTSNLRNANDYLSKILVLRGIKRVSYKAGIEISNFFLTGFSFFIVFIGMVILG FIIFKTSLKVIQRFKIKTTRYLHFHIHWSALLQGTLYRVVFIIYSEISLLALWEFTQK DSAATLVEAIVVFILMTVLLLSASVRIWRQMVKSEKIFGQPSYLLFSDSKFLNKFGFL YSQFKSTKVWWLMITSAYMLIRSILVGALQTHGKSQSIGVFLNEAIYLILLCWMQPYM DKTTNCFNISIHSLNLMNAFFFLFFSNLFQQPIAVSSFMGLIFFFLNAAFSLYLFIFI LVCFAMAIYYKHPDTRYKPIDDQRRSFLKNEMDDDAVYELVPELHEMKKAVLECNGIQ RPQINKIDLCKQNSNCEYLYM SPAR_O04680 MSYDKKADVTSLDEDLRQLRESKFSPEAVQHIKTWVFKSVLKET VPPEDLLECLKDGTVLCKLANILYEADTGEASHISWKNSKMPFVQMDQISQFLSFSRV YGVPEDELFQTIDLFEKKDPAIVFQTLKSLSRYANKKHPDRFPVLGPQLSTRKPRPPV RSKPKHLREGAGWSTFEYGYMKGASQATEGVVLGQRRDIV SPAR_O04690 MRINSELANKFSASTVHLEHITTALSCLTPFGSKDDVLIFIDAD GLSFVRENNHVIRIQLLLSRELFMSYSYRNETEDHMKLCVKINHILDSVSVMNRNSDD IVECTLSYDGHGSPFVLIFEDSFISERVEYSTYLIKDFDTNGLELDRERICFEAIIKG EALHSALKDLKEIGCKECYVYAKTEANDENVFALISKSQLGFSKIKLPSNRSILEKLQ VFDGDSTTVMDGSAVIGFFDFTSFDKIRKSTKIASKVLFRMDVHGVLSVNILSQTDDV IITDTTRPSNNRLSSVRQLQLPKDYPGIVIEVCMLEKESIDEVAQTEIELLMETNEFG NRGNFKKSITRKRYGTDGGNEASNDNLLQLNGKEIKLPSEENNNNNKKYEDEENRYKN PTNDIPLFF SPAR_O04700 MSDVEEVVEVQEETVVEQTAEVTIEDALKVVLRTALVHDGLARG LRESTKALTRGEALLVVLVSSVTEANIIKLVEGLANDPENKVPLIKVADAKQLGEWAG LGKIDREGNARKVVGASVVVVKNWGAETDELSMIMEHFSQQ SPAR_O04710 MLSPERRPSMAERRPSFFSFTQNPSPLVVPHLAGIEDPLPVTTP DKVDVLIAGTGMVESVLAAALAWQGSNVLHIDKNDYYGDTSATLTVEQIKRWVNEVNE GSVSCYKNAKLYVSTLIGNGKYSSRDFGIDLSPKILFAKSDLLSILIKSRVHQYLEFQ SLSNFHTYENDCFEKLTNTKQEIFTDQNLPLMTKRNLMKFIKFVLNWEAQTDIWQPYA ERTMSDFLVEKFKLEKPQVFELIFSIGLCYDLNVKVPEALQRIRRYLTSFDVYGPFPA LCSKYGGPGELSQGFCRSAAVGGATYKLNEKLVSFNPTTKVATFQDGSKVEVSEKVII SPTQAPKDSKHVPQQQYQVHRLTCIVENPCTEWFNEGESAAMVVFPPGSLKSGNKEVV QAFILGAGSEICPEGTIVWYLSTTEQGPRAEMDIDAALEAMEMALLRESSSGLENDDE IVQLTGNGHTIVNSVKLGQSFKEYVPRERLQFLFKLYYTQYTSTPPFGVVNSSFFDAN QDLEKKYIPGASDNGVIYTTMPSAEISYDEVVTAAKVLYEKIVGSDDDFFDLDFEDED EIQAGGVANAEQFESAIDDDDDVNMEGSGEFVGEMEI SPAR_O04720 MPLANTFGTHNLEAHPLHIQPAVHIKLSKEERSHYKEQHRSLKY ISNYVSVFDQAISDNIDSRIRKENEALLKKYYESRKPFTFTSFRQGSVISSSDSSTGF TERTKTYCFLNDFVSNCVNEVDPYTLKMTVRNRHTALNMDNLDDERKSKDDICDFEDN TDEECNGRSGGAFHYSSERLEILRSHSTRSYFKYYKKLLTVDLRDSDVLKRHNLWMPM ITRRFRFLLVSSAKPEDVRSTTPVPTFLQPDLDIFKNKTCPLFINGTDCVPRSYDTFS GSSVVASVFSEYKLPSLSYHCSVELNDQLFIAGGLMACHRYDEEAPDLNDFYVDGIKN LPPPLIPELVNNPSMIPNPHLYCFSLTSSRLTRPDISGYFPPPLVCTQGCKLTERHIF FYGGFEIKSETQVDDKGRYFIRKRAFLNNTGYILDTVTFNFSKIELVAPPYQFAIYNN FSPRFGHMQASVSNSNNMSNENIIANTKGKRSVSPYRRGSADHKIDDLVGSPESRDCL EVDPMPPVTNPRSTDSLPPKHCNAATHTCCSVNTIVIFGGYCQTGDDKYEAMNDMWKI DIPVISRGKRNYYKFADTVTATKIPIIDDPELWPSRRAFSACCVPDYFTKDVEPIETR LLRNLKNDFSIDLEIRPGNKPSQPLFPNIPHSRKEKKSGRDSMHISSSGNSTSEDTSS KSTRNTTSSPPTSPKHTPPLNAPKKCTPFGRTVAFHGGSDGCNVNSDMWWFDFDSETW TKVDLYAKTQDESDGLVPINLCMVGHSMTTVGHKAVFIGGLRQGDVDRIYRDETLPEE IISGVPLGSGVINVVDLNTQCLQGCKLIRNDGDTKESVIMDPHVGTPHQVLTVAGTIE LVKGTMTLIGGVVASRQDISSLYLRGAVLQFILPSMNLAN SPAR_O04730 MDRDISYQQNYNATGAAAASSRQLPTDNNADTNFLKVMSEFKYN FNSPLPTTTQFPTPYSSNQYQHTQDHFANTDAPNSSSNESSLVENSILPHHQQIQQQQ QQQQHQQPQQQQHLGSLVPPAVTRTDTSETLDDINVQPSSVLQFGNSLPSEFLVASPE QFKEFLLDSPSTSFNFFHKTPAKTPLRFVTDSSGAQQSTSENPNQQQNVFSNVDLNNL LKSNGKTPSSSSTGAFSRTPLSKIDMNLMFNQPLATSPSKRFSSLSLTPYGRKILSDV GTPYAKALISSNSALVDFQKARKDIAASATSIGLENANNILQRTPLRSNNKKLFIKTP QDTINSTSTLTKDNENRPDIYGSSPTTIQLNSSITKSISKLDNPRIPLLASRSDTILD SNVDDQLFDLGLTRLPLSPTPNCNSLHSTTVGASALQIPELPKMGSFRSDTGVNLTSI SNTVSSKSKSGNNNSKGRIKKNGKKPSKFQIIVANIDQFNQDTSSSSLTSSLNTNSSA GNSNSNVAKKRASKLKRSQSLLSDSRSKSQAKKNCNSKSNGNLFNSQ SPAR_O04740 MEMDMNEQEAELSSQLENLTINSPRKPRSNAHSNNGKVFKEYES NHDFQDSNFTSQVVEPTISDSVKKPPTMTVLNNYSTVHQKVPSGFSGTTATSHQEAQW KQYFPGIGSGGATNVGGGVGTANKVPESDLIVSDLVKDLSGVLETNTFKRHLDMKNKT TTMQAHENHDTISISHSKDFFNGEKVSSSFSDDSDSGPAAEAHDVFDGILKKQKSNYL VGSYPGNSNNININNGITKKDEVDTSNSFEFSSSSSMSSSQTQSGKNSKVLKKPPLNT ISPGQLGYQFNHTHGAWDPPLNQGLDVSSSHSLDNTSSNQSQFTTVVPTGDTHAKGKA PSILDKKAYEFVSSKPGDVGYHQKIIQEEENLANNDDTPLDTPKFNDLFTKSDNRAKV KGQMRTSRSISNSNLLETRKKLKTFPAERVEDITSISEVNTSFNETERQLISILTSKL SGSPSHDSDWEKILKVDLSKGKLKNMFGLQRLLPNLLLLNLNDNEMNTLEGIPLNVVQ LFCSNNKITSAHCSLVGFNDLECLDLSYNLLNTSLKFLSLCHHLQEVNLSYNSIQSLE GIGSSRIKKLNLANNEINGIIDFEQLILTNNSVVGGWLTIEVLDLSNNNIMGVRNINC LPHLKILNLNGNPLVSIVESSKIENPSLRALSIKNTGGALSKLQNYKLNDQFVFPYQN LKILKLEGFAQLSKWQKWPASLQILEINGGLASSLPRFSSLKSTNLYSLTITNVRDFT HLPVDLSKELPFLQELHLPGNNLQNAHKLTKTLPRGSVKFLDLRNNPITAPRRDRDST SLYYQQLFQIAGLCRQQCPALATLWLDDTPAPTATNL SPAR_O04750 MFSRSTLCLKTSASSIGRLQLRYFSHLPMTVPIKLPNGLEYEQP TGLFINNKFVPSKQNKTFEVVNPSTEEEICHIYEGREDDVEEAVQAADRAFSNGSWNG IDPINRGKALYRLAELIEQDKDVIASIETLDNGKAISSSRGDVELVINYLKSSAGFAD KIDGRMVDTGRTHFSYTKRQPLGVCGQIIPWNFPLLMWAWKIAPALVTGNTVVLKTAE STPLSALYVSKYIPQAGIPPGVINIVSGFGKIVGEAITNHPKIKKVAFTGSTATGRHI YQSAAAGLKKVTLELGGKSPNIVFADAELKKAVQNIILGIYYNSGEVCCAGSRVYVEE SIYDKFIEEFKAASESIKVGDPFDESTFQGAQTSQMQLNKILKYVDIGKSEGATLITG GERLGSKGYFIKPTVFGDVKEDMRIVKEEIFGPVVTVTKFKSTDEVINMANDSEYGLA AGIHTSNINTALKVADRVNAGTVWINTYNDFHHAVPFGGFNASGLGREMSVDALQNYL QVKAVRAKLDE SPAR_O04760 MSEPEFQQAYEEVVSSLEDSTLFEQHPKYRKVLPIVSVPERIIQ FRVTWENDKGEQEVAQGYRVQYNSAKGPYKGGLRFHPSVNLSILKFLGFEQIFKNSLT GLDMGGGKGGLCVDLKGRSNNEIRRICYAFMRELSRHIGQDTDVPAGDIGVGGREIGY LFGAYRSYKNSWEGVLTGKGLNWGGSLIRPEATGYGLVYYTQAMIDYATNGKESFEGK RVTISGSGNVAQYAALKVIELGGTVVSLSDSKGCIISETGITSEQIADISSAKVNFKS LEQIVSEYSTFSENKVQYIAGARPWTHVQKVDIALPCATQNEVSGEEAKAFVAQGVKF VAEGSNMGSTPEAIAVFETARSTAAGPKDAVWYGPPKAANLGGVAVSGLEMAQNSQRI TWTSERVDQELKRIMINCFNECIDSAKKYTKDGNALPSLVKGANIASFIKVSDAMFDQ GDVF SPAR_O04770 MNELNEKQQAPVQYECLEKMIQNGHARRMGSVEDLYVALNRQNL YRNFCTYGELNDYCTRDQLTLALREICLKNPTLLHIVLPTRWPNHGDYYRSSEYYSQP HPIHDYISVLQELKLDGVILNEQPEYSAVMKQILEEFKNSKGSYTAKIFKLTTTLSIP YFGPTGPNWRLICLPEEHTDKWKKFIFVSNHCMSDGRSSIHFFHDLRDELNNIKTPPK NLNYIFQYEEDYRLLRKLPEPIEKVIDFRPPYLFIPKSLLSGFIYNHLRFSSKGVCMR MDDMEKCDDVVTEIINISPSELQEIKAKIKSNIQGKCTITPFLHVCWFVSLHKWGKFF KPLNFEWLTDIFIPADCRPQLPEDEEIRQMYRYGANVGFVDFTPWISEFDMNDSKENF WPLIEHYHEVISGALREKKHLHGLGFNIQGLVQKYVNIDKAMCDRAIGKRRGGTLLSN VGLFNQLEEPDAKYSIRDLAFGQFQGSWHQAFSLGVCSTNVKGMNIVVASTKNVVGSQ ESLEELCSIYKALLLGP SPAR_O04780 MSTSSSVTQKNLDTNAGALKKEDEVLSEFDIQDERPKSLLWESA FVGVLCSAQLMTQAGLGQSLAPLHIIGNSFGTTNAGQLSWFASAYSLTVGTFILIAGR LGDIFGHKKFFVLGFFWYALWSLLAGFSVYSNQIFFDCCRAFQGMGPAFLLPNAIAIL GRTYKPGRRKNMVFSLFGASAPGGFVLGAVFSSMLGQLAWWPWAYWIMGIACFLLAVA GYFVIPHTPMPSRDAPSFKLLERVDFAGSVTGVVGLILFNFAWNQGPVVGWQTPYTYA LLIVGTFFLVIFAFIESRAAFPLLPFAALSRDTAFVLTCIAAGWASFGIWIFYTWQFM EDSRGQNSLLSSAQFSPVAISGFCAAVTTGFLLSHTPPSTVMLFAMTAFTVGTILIAT APVHQTYWAQTFVSIIVMPWGMDMSFPAATIMLSDSMPHEHQGLAASLVNTVVNYSIS IGLGIAGTIESRVNDGGAKPLKGYRCSWYMGIGLSGLGIFVAALYAWSTFMKSKKRVS EKQHFIE SPAR_O04790 MASPGSTALPHKRQRVRKACVPCRERKRKCNGKSPCEMCVAYGY VCHYIDGRGPSASPQVQQVVETPPDTESRPFVLPSIHRNQPQPIDTQNVTGQNIIDPT KSRYTIQHSAVAFPRCLGLELRSTNPPRLHSFAWHCGIRPEENPNSHVLLSDLVTKED YYRISKVYFSVVHPIFDVVNPEQLAKNVEKYWDGDVKTLEYGAVIAGVIALGSFFMGS LGHPREMDIVQYAKGILDDPTFSRIPTVEQVSAWVLRTIYLRATSRPHVAWLASCVTI HLSEAIGLHHEIDREDIAISSNVSPKRTAVISEHTRRLFWCAWSINTILSYDYGRSSV TLNRITCKPVEQTDGNYTVHLVALAHLIPQDSVNANATQLLQALAAVHESPNAHPFLS LTKSDICLSLYRRLRLLNHILDKSVVLQIIDIGNTALSAAYALVKLDQAWWNVLSTSF QYVCVLLAIDTAESLSHVATAMRTLDNITQVLGTHIAFEAQKTAKLLLEDSMKKKRQE IQQLEQATHQRSTLETTHLLDIDWDALLDPSDTLNFM SPAR_O04800 MHWVLLFGTILLFRLPGAGASPAKTKMYGKLPLVLTDACMGVLS EVTWEYSSDDLYASPACTYEPALQSMLYCIYESLNEKGYSNKTFEKTFAAIKEDCAYY TDSLQNMTNTDFYNMLNNGTKHIVQYAEGSANITYPIEIDSQVRENYYYSYHGFYANY DIGHTYGGIICAYFVGVMILASILHYMSYTPFKTALFKQRLVRYVRRYLTLPTIWGKH ASSFSHLKVFTGYLPTRFEGVIILGYLVLHTVFLAYGYQYDPYNLIFDSRREQVARYV ADRSGVLAFAHFPLIALFAGRNNFLEFISGVKYTSFIMFHKWLGRMMFLDAMIHGAAY TSYAVFYKDWEVSKEETYWQFGVAALCIAGVIVFFSLAMFRKFFYEAFLFLHIVLGAL FFYTCWEHVVELSGIEWIYAAIAIWIIDRLIRILRVSYFGFPKASLQLVGDDIIRVTV ERPARLWKAKPGQYVFVSFIHPLYFWQSHPFTVLDSIIKDGELIIILKEKKGVTRLVK KYVHRNGGKASMRLAIEGPYGSSSAVNYYDNVLLLTGGTGLPGPIAHAIKLGKTSAAA GKQSVKLVVAVRGFDVLEAYKPELMCLENMNVQLHIYNTMQVPPLTPNDSLDISQQDE KADGKGTVMATTLEKSPNPFEFDGAVFHHGRPNVEKLLHQAADLNGSLAVVCCGPPVF VDEVRDQTAKIVLEKPAKAIEYFEEYQSW SPAR_O04810 MKFSTIFGAATVVTAVSAAAVSSVMTTKTITATNGGNVYTKVVT DTADPIISYSTTRTVVVSNSDATYTKVVTEGPDTTSEKSTTKTLTLTNGSGSSTNLYT KTVTQAVESSTSSSSSSSSSSSSASSSGAAPAAFQGASVGALALGLISYLL SPAR_O04820 MKFSSAFVLSAVAATALAESITTTITATKNGHVYTKTVTQDATF VWGGEGSYASSTSAAESSAAETSAAETSAAETSATTTSAVTSAAETSSAAETSADEGS GSSITTTITATKNGHVYTKTVTQDATFVWTGEGSNTWSPSSTSTSSEAATSSASTTAT TTVETSSSATSSSTAQLSSYTGAADAITAGTGLMGAALAAVMLL SPAR_O04830 MLFARLILLLAYLAPGSLAKPASTKKRTQWDQIAIDACAKELES HKFDTDVKGRHASLCTYEPALGSWLHCAKDVLDSRKKNRKIFEKTFSKINQYCHDYHK DEDVSNEEYYRILANASLFIRPLDEVKENIRYPVTPDKASLDRWVWAYFGPLDNIDKG NVYGVTICLYWIGVLFIAAVYHFLNFSRLKQTVFKNKVSAFLRGHYVLPALVHNHAMS VGRWFFIGLVPTRLETLVLFGYVLLHGFLLSSYNFDHNELLSDRRSQVLIFLSDRAGI LAFAHFPLIVLFGGKNSTMTWLTGIRYTAFITYHKWLGRFMLIDCAIHAIGYTYHAYI ENYWKYVKYSDLWTSGRHAMIIVGVLVFFSFFFFRRHYYELFVITHIILAIGFFHACW RHCYKLGWGEWIMACALFWIGDRFLRLIKIAIFGMPWAKLKLCGESMIEVRVSKSSKW WKAKAGQYIYLYFLKPKIFWQSHPFTVMDSLVEDGELVVVITVKNGLTKKLQEYLLQS DGYTEMRVLAEGPYGQSTRTHLFESLLFIAGGAGVPGPLSMAIKAGRQVKSNDAYQTI KFVWSVRNLELLEVYHKEIMVLKELNVDTKICFTGERKDESSVEEGAAANMNTEGRLL TTSKSAEIITDFGRPNIDEAIEDAVSGAKSLLVTCCGSEGFVDKTRELTAKKVLENGD KWIEYVEEFQNW SPAR_O04840 MRGFSSQNLSDDDNYKIEKTQRKTIPERLHFNRERNMPIASIFG TRGYFVFSSEQSYDKFKQTNFNISVLDAEGVGVPLFHIIQSYNVLGRFTRSSPDFYVY KYILRRVQDPPLYSECKVICEDKVFRLCEVLCCEIYASQGFFETKYDFFFPSKTHPVK KHQVIRQSSMRDLYSTLDGMRFRWHVKFYSDHFRLMYLDESLSLSNSGQKERQEQNQR KMKAPDFIIGHYTRTFSDVLPRATSKCSNLIIGEQSRPDSLGITSVPELTEEFACQGA LIHYLLHIERERDKNRKRNINRMW SPAR_O04850 MKRTIVSSSNAYVHKKSKLDIEHDFEQYHSVNKKYYPRPITRTG ANQFNNKSRAKPMEIVEKLQKKQKQSFEGVSTVMHWFRNDLRLYDNVGLCQSVALFQQ LRQKNAKAKLYAVYVINEDDWRAHMDSGWKLMFIVGALKSLQQSLAELHIPLLLWEFN TPKSSLSNSKEFVEFFKEKCMNVSSGKGTIITANIEYQTDELYRDIRLLEGTDQRLQL NYYHDSCIVAPGLITTDKGTNYSVFTPWYKKWVLYVNKHKKGSSEICHQHIIEPLKYN ETFELKPFQYSLPDEFLQYIPKSKWCLPDVSEEAALSRLKDFLGTKSFKYNNEKDMLS LGGTSGLSVYITTGRISTRVIVNQAFQSCNGQMMSKALKDNSSTQNFIKEVAWRDFYR HCMCNWPYTSMGMPYRLDTLDIKWENDPVVFEKWCTGNTGIPIVDAIMRKLLYTGYIN NRSRMITASFLSKNLLIDWRWGERWFMKHLIDGDSSSNVGGWGFCSSTGIDAQPYFRV FNMDIQAKKYDPKMVFVKKWVPELICSENKRPENYPEPLVDLKHSRERALKVYKDAM SPAR_O04860 MSFLSIFTFFSVLVSVATAVRFDLTNVTCANLHGPHCGTYVMEV VGQNGTFLGQSTFVGADALTESAGDAWARYLGQETRFLPKLTTIASNDTKNFSPLIFT TNIKTCNPQSIGDAMVPFANTVTGEIEYNSWADTADNASLITGLANQLFNATDYGVQV ASCYPNFASVILSTPTVNIFGKDDTLPDYCTAIQLKAVCPPEAGFV SPAR_O04870 MSKGKILLVLYEGGKHAEEQEKLLGCIENELGIREFIEEQGYEL ITTTDKDPEPNSTVDRELKDAEIVITTPFFPAYISRNRIAEAPNLKLCITAGVGSDHV DLEAANERKITVTEVTGSNVVSVAEHVMATILVLIRNYNGGHQQAINGEWDIAGVAKN EYDLEDKVISTVGAGRIGYRVLERLVAFNPKKLLYYDYQELPAEAINRLNEASKLFNG KGDIVQRVEKLEDMVAQSDVVTINCPLHKDSKGLFNKELISHMKDGAYLVNTARGAIC VAEDVAEAVKSGKLAGYGGDVWDKQPAPKDHPWRTMDNKDHVGNAMTVHISGTSLDAQ KRYAQGVKNILNSYFSKNFDYRPQDVIVQNGLYATRAYGQKK SPAR_O04880 MRFHRQGVSATVGVLLIILFGFCWKLSGSYGIVSTALPHNDSAT KISNAPSIRWDNYHEFVRDIDFDNSTAIFNSIRAALRQSPSDIHPVGVSYFPAVIPKG TLMYHAGSKVPTTFEWLAMDHEFSYSFGLRSPSYGRKSLERRHGRFGNGTHGDRPKGP PPPDERGRSSQKMLTYRAARDLNKFLYLDGASAAKTDSGEMDTQLMLSNVIKKKLDLP DDGENERMVERLYAARICKWGKPLGLDGVIRVEVGFEVILCDFSADNVELVSMLDMVH PNQYLGLPSPTVISKEEGWPLDEDGNLVEDQLTDDQKAILEREDGWEKALSNFNAVKS FNWLRAGAAHDNGEHRIHIDYRYLVSGINRTYIAPDPNNRRLLDEGMTWEKQLEMVDE LEKALEVGFDATQSMDWQLAFDELVIKFAPLIKSVSNILNSDGDINESIAINATALTL NFCLRFEAASNNSDQFGSGKDFALYQYVSPYQDLKTDADFLIWSSAVSVVGEIVDVIY KVNDLLIPEVYSFMKDNTTSRDLQEHVETARSAVDGLIESLGWIELNYRCETQCNWDE VCYTPSWGPSPMGMTDPDSHNEGFGTHFDESRQRLVINNKLQCISINDLMVNHNH SPAR_O04890 MIFNPVISNHRLSHYIHVFCTFTTFCILGTETRQAITALSSYTP AFVTAPTVLWSNCSSCILMGIMQSLNAYTWMKDHQVLFLGVTTGYCGALSSFSSMLLE VFEHSTNLTNANIAYHTKLPNRAYGIMEFLSVLLVHLMVSMGSLIFGRQLGKEVIVAY GSSAFSKPYSPPSDTVTANAGDVDTQEMEKNILEFKFKTPAPFFRKFFDIVDKLACAL AFPLIILFVVLCAYYENYSRGKWTLPCLFGIFAGFLRYWLAEMFNKTNKKFPLGTFLA NIFATLLIGIFTMVQRGKKHSFTDVPIVDSLNSCHIVSALISGFCGTLSTISTFINEG YKLSFINMLIYYTISIATSYCLLVITLGSYAWTRGLTRPVC SPAR_O04900 MTPKRALISLTSYHGPFYKDGAKTGVFVVEILRSFETFEKHGFE VDFVSENGHFGWDEHYLPKSFIGGEDKMNFETKKSAFNKALARIKSSNEVDANEYKIL FVSAGHGALFDYPKAKNLQDIASKIYANGGVIAAICHGPLLFDGLTDIKIGRPLIEGK AITGFPLDGEIALGVDDILRSRNLTTVERVAKKNGAKYLAPIHPWDDYSITDGKLVTG VNANSSYSTTIRAINALYS SPAR_O04910 MVVPNAGGSLAIQEFKIAPVGAQGFAGAMRMGSEIYHYLKVLAK EQYEASAGNVGDEGGIAPDIGSADDALDMIATAINACGYEGRVKIGIDSALAVFFNDG KYDSNFKNPSFDPCKWLSAAQLAEYYRSLLKKYPLISFKTLMLKTIGLHGLLSLRLPM FRLSQMTSRAPIGLGSPVL SPAR_O04920 MVKLTSIAVGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYS TRLRPAISSALSKDGIYTAVPK SPAR_O04930 MSSELLLSDSKTRAEGPGKLCEAETIILPRDTSPSRCAYFFKRN TIIIPFTVIYIMAMIILICLASSAHSNGLIITFMFLSIIILPYMLGFFVYLNCAKYKL RCLDNDCKFKLLAEVITHKPNVDMSTWDRIAYEMNQFVHDHDICADKSFFYDGNSCYQ VFKKLVIAPRLASSNTNNVNADLEMRDNRITNNNDFGNSTLNMELGTYISKALSVYRD SVDKYWEDKYPEITV SPAR_P00010 MKNNEIEDEKSVALSSFGHLESQKIVLPQNVFRSQFTWMCYQIY KSLAFRIWLLLWLPLSVWWKMTTNWIYPFIVSLLVLFLGPIFLPVIHVLSRKRSLSKQ LTQFSKEIAKNTPGTHTHDWEVIAINLNSYFYEIKAWNTKYFFFNAADCQEAFRRTLL EPFSLKKDEAAKVKSFKDSVPYIEEALQVYFTEVEKQWKLFNTEKARSPVDLEDVQLP KEVHRFKLTWVLKRLFDPRFLPLFFFYFYDVCTSRHYDLISRFLSPVVWSLILVWLFR NMRNYFLSMKIEHKMQFLSTIINEQEGGANGWNEIAKKMNRYLFEKKVWTNEEFFFDG IDCEWFFSHFFYRLLSSKKPMRFASLNIELWPYIKEAQLSRSEEPLV SPAR_P00020 MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYS TRLRPAISSALSKDGIYTAIPK SPAR_P00030 MQFRRQGVPATVGLLLIVLLGFCWKLSRSYSVVPTALPHNESAS KIADAHSIRWDNYHEFVRDIDFDNSTAIFNSIRAALRQSPSDIHPVGVSYFPAVIPKG TLMYHAGSKAPTTFEWLAMDHEFSYSFGLRSPSYGRKSLERRHGRFGNGTHGDRPKGP PSPDERGRSSQKMLTYRAARDLNKFLYLDGASAAKTDSGEMDTQLMLSNVIKKKLNLP DDGEKERMAERLYAARICKWGKPLGLDGVIRVEVGFEVILCDFSADNVELVSMLDMIH PNQYLGLPPPTVISKEEGWPLDEDGNLVEGLLTDDQKVILEREDGWEQVLSNFNAVKS FNQLRAGAAHDNGEHRIHIDYRYLVSGINRTYIAPDPNNRRLLNDGMTWEKQLEMVDD LEKALEVGFDATQSMDWQLALDELVIKFAPLIKSVSNILNSDGDINESIAINATSLTL NFCLRFEAASNNSDQFGSGKDFALYQYVSPYHDLKTDADFLIWSSSVSVVREIVDVIY KVNDLLMPEVYSFMKDNTTSSDLQKHVETARSSVDGLIESLGWIELNYRCETQCNWDE VCYTPSWGPSPMGITDPDSHNEGFGTHFDEFRQRLVINNKLQCININDLMVNHNH SPAR_P00040 MSKGKILLVLYEGGRHAEEQEKLLGCIENELGIREFIEEQGYEL ITTTDKDPEPNSTVDRELKDAEIVITTPFFPAYISRNRIAEAPNLKLCITAGVGSDHV DLEAANERKITVTEVTGSNVVSVAEHVMATILVLIRNYNGGHQQAINGEWDIAGVAKN EYDLEDKVISTVGAGRIGYRVLERLVAFNPKKLLYYDYQELPAEAINRLNEASKLFNG KGDIVQRVEKLEDMVAQSDVVTINCPLHKDSKGLFNKELISHMKDGAYLVNTARGAIC VAEDVAEAVKSGKLAGYGGDVWDKQPAPKDHPWRTMDNKDQVGNAMTVHISGTSLDAQ KRYAQGVKNILNSYFSKNFDYRPQDVIVQNGLYATRAYGQKK SPAR_P00050 MDVLKRENESDKFTKIETESTTIPTGSGRPDSLVRRMKDSFKQS NLHVISEDLENSEQTEQEKIQWKLASQPYQKVLSQRHLTMIAIGGTLGTGLFIGLGYS LASGPAALLIGFLLVGTSMFCVVQSAAELSCQFPVSGSYATHVSRFIDESIGFTVATN YALAWLISFPSELIGCSLTISYWNQTVNPAVWVAIFYVFIMVLNLFGVRGFAETEFAL SIIKVIAIFIFIIIGIVLIAGGGPNSTGYIGTKYWHDPGAFAKPVFKNLCNTFVSAAF SFGGSELVLLTSTESKNISAISRAAKGTFWRIAIFYITTVVIIGCLVPYNDSRLLSGS TSEDISASPFVIALSNTGSMGQKVSNFMNVVILVAVVSVCNSCVYASSRLIQALGASG QLPSICSYMDRKGRPLVGIGISGAFGLLGFLVASKKEDEVFTWLFALCSISSFFTWFC ICMSQVRFRMALKAQGRSKDEIAYKSMLGIYGGILGCILNALLVAGEIYVSAAPVGSP SSAEAFFEYCLSIPIMIVVYVAHRIYRRDWKNWYIKKSDIDLDTGCSVENLELFKAQK EAEKQHIASKPFYYKIYRFWC SPAR_P00060 MARLPLKQLLADSPKKVLVLDGGQGTELENRGIKVANPVWSTIP FISESFWSDESSANRKIVKEMFNDFLNAGAEILMTTTYQTSYKSVSENTPIKTLSEYN NLLNRIVDFSRNCIGEDKYLIGCIGPWGAHICREFTGDYGAEPENIDFYQYFKPQLEN FNKNDKLDLIGFETIPNIHELKAILSWDESILSKPFYIGLSVHENGVLRDGTTMEEVA QVIKDLGDKINPNFSLLGINCVSFNQSPDILESLHQALPKMALLAYPNSGEVYDTEKK IWLPNSDKLNSWDTVVKRYISSGARIIGGCCRTSPKDIQEISAAVKKYT SPAR_P00070 MTAFRPLSSFEKKILTQSLNDQRNGTIFSSTYSKSLNRENDADW HSDEVTLGTNSSKDDSRLTLPLIATTLKRLIKSQPALFATVNEEWEFEPLEQLKTSDI VNLIEFETIKDKEVNCHWGVPPPYLLRHAFNKTRFVPGSNKPLWTLYVIDEALLVFHG HDVLFDIFSAANFHKLFLKELNEISTVKHSEDRVLFDINDVNLSELKFPKSIYDSAKL HLPAMTPQIFHKQTQSFFKSIYYNTLKRPFGYLTNQTSLTTSASATQLKKYNDILNAH TSLCGTTVFGIVNNQRFNYLKSIVNQEHICLRSFICGIAMICLKPLVKDFTGTIVFSI PINLRKHLGLGESLGLFFKELRVECPLSLIDDELSVNEFLANSNDNEDNDDEFNERLM ECQFNKVTKHVSGFIMAKLKSWEKNGFNDDDIRRMKYDNDDDFHIQNSKTKLIQINDV SDISLSMNNDDKSFNIVSTGFTSSINRPTLMSLSYTYCEEMGLNICIHYPDSYNLESF VECFESFIE SPAR_P00080 MSAWRKAGISYAAYLNVAAQAIRSSLKTELQTASVLSRSKTDAF YTQYKNGTAASEPTPITK SPAR_P00090 MLNGRLPLLRVGIYRNMLSRPRLPKLLSMRFRSLLTPSSSQLIP INRLCLRSPTIGKSLVLQSFRCNSSKTEPQASLPSASSVSKGTANSAHAKEQSKSDDY KDIIRLFMLAKRDWKLLLTAILLLTISCSIGMSIPKVIGIVLDTLKTSSGSEFFDLKI PIFSLPLYEFLSFFTVALLIGCAANFGRFILLRILSERVVARLRANVIKKTLHQDAEF FDNHKVGDLISRLGSDAYVVSRSMTQKVSDGVKALICGVVGVGMMCSLSPQLSILLLF FTPPVLFSASVFGKQIRNTSKDLQEATGQLTRVAEEQLSGIKTVQSFVAEGNELSRYN VAIRDIFQVGKTAAFTNAKFFTTTSLLGDLSFLTVLAYGSYLVLQSQLSIGDLTAFML YTEYTGNAVFGLSTFYSEIMQGAGAASRLFELTDRKPSISPTVGHRYKPDRGVIEFKD VSFSYPTRPSVQIFKNLNFKIAPGSSVCIVGPSGRGKSTIALLLLRYYNPTAGTIMID NQDISKLNCKSLRRHIGIVQQEPVLMSGTIRDNITYGLTYTPTKEEIRSVAKQCFCHN FITKFPNTYDTVIGPHGTLLSGGQKQRIAIARALIKKPTILILDEATSALDVESEGAI NYTFGQLMKSKSMTIVSIAHRLSTIRRSENVIVLGHDGSVVEMGKFKELYANPTSALS QLLNEKAAPGPSDQQPLIEKVNEKEDLNENKKHDPQNKDDNDDNDNKHDNDSNNESPE TEDKKSDDIEESVEHLLKDAAKEANPIKLTPQP SPAR_P00100 MFIYNVLKDLSSIAMDNDGSRSVTIGDDLQESFCERLQRIHDTL HLIKDCNSLNETTTSISETLLIQLYDDLENVTSAIPDLVNEKRLGQDDILMFMDWLLL KKYILYQFINDVHNIEEGFAHLLNLLEDEFSKDEQDSDRYNRFSPMFDVIEESTQIKS QLEPWLTNLKELLDTSLEFNEISKDHMDTLHKIINRNISHCLEIQEERFVSPIRHTPS FTLEQLVKLLGTHTEATEPKVPNFSAAEDILSRKFLNLKKNIPPIEKSLTDILPQRIV QFGHRNIKNITILQTILQKKYELIMKDYRFMNSEFRELKVELIDKRWNILFINLNHEL FYILDEIERLQSKLLTTKYSKDITITFERQLEKKSKTVSKTFNIIYRALEFSLLDAGV ASKTNELAQRWLNIKPTADKILIKSSASNKIATNKKKIPKPKSLGFGRPNSVIGTITQ DFQERVAIDDGDNKLSEVPNTTVVPKGRKLGKALLQKMNIKPATSPDSSNTINPFFDP ESPNRGKLVLSSVPPLPYDEPDKIRPHVYRDEDKKSPGSFIISKHENEALITETPLLA KNKSVLDVEKDKWRHYQSLPSKIPTYKDKSMKVAVENTPIAKIFHTPLTKITSSSSQV WVPSTRRRTQLRPPTPLSQLLTPRKGRSSRTPTY SPAR_P00110 MTESAIDDQRFNFTKELQRHSCRDQGKITQKDDVVLSASYNSFQ CSFNTDHKSVSSGSTVRRSIRSIFRRAAELPRVHMGPLTYSYGISELVDKKLRNDCDL STLCRVMQRGIRMIRMTRRRRKFYEFRLINNNGQIIWKEGSKYLELDSVKDIRIGDMA STYQEEVDPKRLRSDSKLWITIIYKVSNKLKALHVVALNELDFNAFLSCICGLVKLRR ELMESILLPDNSQFARIHWQITVSEKEEDEKKDTLSFADVKKLCDKFHIYVSTGQLLQ FFQSADINHNGLLNYFEFEKFIKILKNRKEVNMIWSKITKPPHSHLSFENFFEFLITE QHEQLDRETAWSYFIKYREPTQLTMGQDGFTKFLKEQPYLVDVKEELYLKPLNHYFIA SSHNTYLLGKQIAETPSVEGYIQVLQQGCRCVEIDIWDGENGPVVCHGFLTSAIPLKT VIRVIKKYAFITSPYPLIISLEINCNKDNQKLASLIMREVLGEQLYFAGTKTDKLPSP RELKHRILLKSKKTSEAVGGVSVSEPFPSSFSSSYESSNEQEFRMKDDSTNSSSTTNS SSMQRIKRIGLKKHVDIINDVSNISGIHGIKFRNFSLPESKTIAHCFSLNEHKVEYMI KDKYLKLSLDKHNRRYLMRVYPHALRYKSSNFNPIPFWKVGVQMVATNWQTNDIGQQL NLAMFQILDHQPDGSFKSGYVLKPKRLLPVVTKAKMIPLIYEHFEKGSDPVTVKIRIL STQLLPRLNDTSPSRNNTNSFVKIEFHTDDEPITPISIDKGLRISATEASTKSSQGNG FNPTWDAEVSITLKDTDLTFIKIMVVSEETPIASVCLKLSYLRMGYRHIPLFNMEGEQ YIFCTLFIHTQIL SPAR_P00120 MISPSRKRTILYSKNINQKPRAVVKGNELRSPSKRRSQIDTDYA LRRSPIKKVQIPKATQFMLYEETAEERDRTIHRHNNEVYSCKNSVCNENNPSQVKENL SPAKLHPDKTALIREGKRIALKDLSVEEFKGYIQDPLTDETIPLTLPLGNKKISLPSF ITPPRNSKISVFFTSKHQGQNPETLISRSTDDVCENKVVRKLSFRICEDE SPAR_P00130 MGKAAKKKYSGATSSKQVSAEKHLSSVFKFNTDLGQHILKNPLV AQGIVDKAQIRPSDVVLEVGPGTGNLTVRILEQAKNVVAVEMDPRMAAELTKRVRGTP VEKKLEIMLGDFMKTELPYFDICISNTPYQISSPLVFKLINQPRPPRVSILMFQREFA LRLLARPGDSLYCRLSANVQMWANVTHIMKVGKNNFRPPPQVESSVVRLEIKNPRPQV DYNEWDGLLRIVFVRKNRTISAGFKSTTVMDILEKNYKTFLAMNNEMVDDTKGSMHDV VKEKIDTVLKETDLSDKRAGKCDQNDFLRLLYAFHQVGIHFS SPAR_P00140 MKMPLKKMFTSASPRNSSSLDSDHDAYYSKQNPDNFPVKEQEIY NIDLEENNVSSRSSTSTSPSARDDSFVVPDGKDENTRLRKELKARHISMIAIGGSLGT GLLIGTGTALLTGGPVAMLIAYAFVGLLVFYTMACLGEMASYIPLDGFTSYASRYVDP ALGFAIGYTYLFKYFILPPNQLTAAALVIQYWISRDRVNPGVWITIFLVVIVAINVVG VKFFGEFEFWLSSFKVMVMLGLILLLFIIMLGGGPNHDRLGFRYWRDPGAFKEYSTAI TGGKGKFVSFIAVFVYSLFSYTGIELTGIVCSEAENPRKSVPKAIKLTVYRIIVFYLC TVFLLGMCVAYNDPRLLSTKGKSMSAAASPFVVAIQNSGIKVLPHIFNACVLVFVFSA CNSDLYVSSRNLYALAIDGKAPKIFAKTSRWGVPYNALILSVLFCCLAYMNVSSGSAK IFNYFVNVVSMFGILSWITILIVYIYFDKACRAQGIDKSKFAYVAPGQRYGAYFALFF CILIALIKNFTVFLGHKFDYKTFITGYIGLPVYVLSWAGYKLIYKTKVIKSTDVDLYT FKEIYDREEEEGRRKDQEKEERLKSNGKNMEWFYEKFLGNIF SPAR_P00150 MTLQRISKDYLKPNYGLILLIVSYFFNSSMVVSTKVLENDPLET SQSRINPLQILLVRMSITYCCTLVYMHWNKQSVPDIPWGPASCRKWLILRGIMGFFGV FGMYFSLMYLSISDAVLITFMSPTLTIFLSFLLLGEPFSKLEALGSLISFSGVVLIIR PTFLFGEQTQGQEASQDDIVETQNPKLRLIAIGVSLLGVCGLSSVYIIIRYIGNKAHA IMSVSYFSLVTTVVAALGVFLIPSMTLQLPHSWKQWGLFLNLGVSGFIHQILLTMGIQ RERAGRGSLMTYTQVIYAVFWDVVLFHHWPNIWTWCGMAVIVSSTIWVINMRASKQNV VATAELLSTSDFELDELEN SPAR_P00160 MAKKTKKDKEAKKARAELKNQKNQKKQEKKFHKNKNKSLDGDDD DESDQDLDEILSSFSKKQIELEHVDITSVEKPSCRTHPLMFANPQHNKHELFIFGGEF TDPETKLTHFYNDLYSYSIKNNSWKKYVSQNAPLPRSSAAVAVHPSGIALLHGGEFSS PKQSKFYHYSDTWLFDCAERKFTKLEFGGRDSSPSARSGHRIIAWKNYFILFGGFRDL GNGQTSYLNDLWCFDISNYKWSKLETNSKPDARSGHCFIPTDNSAILMGGYCKIIAKN NKNLMKGKILNDAWKLNLTPDPKKWQWEKLRNFKNQPSPRVGYSFNLWKQNKSVAFGG VYDLQETEESLESVFYNDLYMFHLELNKWSKLRIKPQRQTNNRNSPATSKRKSNKDQE KELQDLLNSILAKSNLNDDDDNNDDNTAIGPNSIDDDEDDEDDSDLENQDDITISNQL PHPRFNAATCVVGDSLFIYSGVWELGEKDYPINSFYSIDLNKLDGVKVYWEDLSAIEE AKRLGDRDSDEDEFEYEDEEDDEDDDEEEQDAALLEGDDDEESEGEDDKQAQMEIPDE RSWLPHPKPFETLRAFYLREGANFLTWSISNNRNLKGKQLKTKSFELCEDRWWERRDQ VTLEEERLEDTGGIIERDTTTKPSKRR SPAR_P00170 MFRITNSSCKAFVQSSYKLNIRRMNSSFRTETDAFGEINVPADK YWGAQTQRSFQNFKIGGARERMPLPLVHAFGVLKKSAAIVNESLGGLDPKISKAIQQA ADEVASGKLDDHFPLVVFQTGSGTQSNMNANEVISNRAIEILGGKIGSKQVHPNNHCN QSQSSNDTFPTVMHIAASSQIQNELIPELTNLKNALEAKSKEFDHIVKIGRTHLQDAT PLTLGQEFSGYVQQVENGIQRVAHSLKTLSFLAQGGTAVGTGLNTKPGFDVKIAEQIS KETGLKFQTAPNKFEALAAHDAIVECSGALNTLACSLFKIAQDIRYLGSGPRCGYHEL MLPENEPGSSIMPGKVNPTQNEALTQVCVQVMGNNAAITFAGSQGQFELNVFKPVMIA NLLNSIRLITDAAYSFRVHCVEGIKANEPRIHELLTKSLMLVTALNPKIGYDAASKVA KNAHKKGITLKESALELGVLTEKEFDEWVVPENMLGPK SPAR_P00180 MFASAGQHPQIVPKEEESILNYLLEVRSSLAKLKQNRTQYLNSK DVQTTYQHVLTKVRELDDIRKNSHETPAKSAATLIHNTELHNRVDSVLDDVFQLLSLC FLTVGLKNSAPATYASLSTVESLLEHLNESNVFTHHDLSPIKERLDEISKIVEQKNSS PMYDEDGNDDRLREIDNERKKNKIEEDLLLRAKLKHCKDEYDTLESKLEEIDPSLSTV MEKLFRIRRGLLSLVASAKKTISKPSVNTNSLLQEQNDLQRNNESLTDDKHLVSQEYV HEKLSALKNELSELESNRDDSGKFKSLESHQVAENGQSVLNGLLDDCHDLVNDLSHQK NGGLTLDPYLQPIYEQLIDIKTTLENLMITRRWTLRETDLFSYQKKLNEIDNKRINGK FPTKSQDSKGQSILLYLLRRCYAIIYKLLESSEPVSEALQPIHNQLSTVRRCLLELKR MGGVNNERELYPYQMKLASLDNLRTDGIFYDSDGNIPEGQGILNALLAECFDILHELK VEAEEKAQNSTSSDDSDDEDNGESIVDSNSNDSEPESEYQQE SPAR_P00190 MASAVYFCDHNGKPLLSRRYRDDIPLSAIDKFPILLSDLEEQSN LIPPCLNHNGLEYLFIQHNDLYVVAIVTSLSANAAAIFTFLHKLVEVLSDYLKTVEEE SIRDNFVIIYELLDEVMDYGIPQITETKMLKQYITQKSFKLVKSAKKKRNATRPPVAL TNSVSWRPEGITHKKNEAFLDIVESINMLMTQKGQVLRSEIIGDVKVNSKLSGMPDLK LGINDKGIFSKYLDDDTNIPPASATTPDNNTDTDKKPSITSSSTTSKRKVNIELEDLK FHQCVRLSKFENEKIITFIPPDGKFDLMNYRLSTTIKPLIWCDVNVQVHSNSRIEIHC KAKAQIKRKSTATNVEILIPVPDDADTPTFKYSHGSLKYVPEKSAILWKIRSFPGGKE YSMSAELGLPSISTNEDGNRTIPKNNAEILKGPVQIKFQIPYFTTSGIQVRYLKINEP KLQYKSYPWVRYITQSGDDYTIRLT SPAR_P00200 MTYSTVNINTPPPYLSLANNEKLPTVLSIAGTDPSGGAGIEADV KTITAHRCYAMTCITALNAQTPVKVYSINNTPKKVVSQILDANLQDMKCDVIKTGMLT AAAIEVLHEKLLHLGENRPKLVVDPVLVATSGSSLAGKDIASLITEKIAPFADILTPN IPECFKLLGEDREISKLQDIFEVAKDLAKITKCSNILVKGGHIPWNDEEGKYITDVLY LGAEQKLITFKGNFVNTTHTHGTGCTLASAIASNLARGYSLPQSVYGGIEYVQNAVAI GCDVTKETVKDNGPINHVYAVEIPLEKMLSDECFTASDAVHKKPVKSCLNKIPGGSFY KYLINHPKVKPHWDSYVNHDFVRKVADGSLEPKKFQFFIEQDYLYLINYARISCIAGS KSPCLEDLEKELVIVECVRNGLCQHERRLREEFGVKDPDYLQKIQRGPALRAYCRYFN DVSRRGNWQELVVALNPCLMGYVHALTKIKNKVTAAEGSVYREWCETYSSSWCHEAML EGEKLLNHILETYPPEQLDTLVTIYAEVCELEANFWTAALEHE SPAR_P00210 MASADSRPRMGLVIDTKPDYYPIELSNAELLSHFEMLQEYHQEI STNVIAQSCKFKPNPKLIDQQPEMNPVETRSNIITFLFELSVVTRVTNGIFFHSVRLY DRYCSKRIVLRDQAKLVVATCLWLAAKTWGGCNHIINNVVIPTGGRFYGPNPRARIPR LSELVHYCGDGQVFDESMFLQMERHILDTLNWNIYEPMINDYVLNVDENCLMQYELYE NQVTYDKQCSEKRQSQLSHDSDATVDERPYQNEEEEEEEDLKIKIKLINLKKFLIDVS AWQYDLLKYELFEVSHGIFSIINQFTNQDHGPFLMTPMTSESKNAEVLNTLMNGIVSI PNSLMEVYKTVSGVLPFINQVKEYQLDLQRKLQIASNLNISRKLTTSTPSCSFENSNT TCIPSPASSSQSHTPMRNMSSLSDNSVFSRNMEQSSPITPNMYQFSQQQSHSVCGSTV SVNSLVNTNNNQRIYERITGPNSNNAINDYIDLLNISESNKENQNPATAHYLNGGPPK TSFINHGMFPSPTGTINSGNSSSASSLISFGMGNTQIV SPAR_P00220 MNQEDNTGGGGIFGLFKWTKDALFGTDISPSMKYKDQEERRDRS RYAQDDTNYAMNFGNGSNRRSANLSRSNSWSGLDSTFQRKYELLPEFNKNGFDSIGNN NYYSKDRIRSLRSPAPVVPREPFRDEPTDTFGHRLHTKRRTINELSNSQIPFIPPQED DPLLSQLFQKSEVNELRSSPYKLSVKDIPGKFPSPLTKRDEIDNYGVRDEDVCQRNIE YKKAYFELFAQMDLNNRDLEDLCEDVREQREQFHKNEQTYKQAYEEMRAELVNELKKS KTLFENYYSLGQKYKSLKNVLDQTISHEAELATSRERLYQEEDLKNFEIQTLKQRLSD LELKYTNLQIEKDMQRDNYESEIHDLLLQLSLRNKNERKDTSAGSNIFSTVRYDRTPF HNGNNSYDSNSHSWDTDYLKNIDGFIER SPAR_P00230 MSTIQSPAPKSLQPTYSAASPGSTGTYMKPGLIGSPAVSNHTEP NNGNNDTVETQGPNQRIDLGAMIEELTSLLGKESWTKYAQIISLFILGKLSRKELCNE LELLFLPSAANLEKSNTNHHHSLVRLHNQLLLGIFANSLRENPLGRNGNESSWGFGNG SNNPNNKLKKTNKHNSQIEVYKKIVMSLPINDRNRLKMITKEAGKRGFIFCSVFQARL NNIPKIPIVTNPESLKRVKSNNLKTPLEWSQDIMNGFNVPLASESHSLPDTDSFYLRM VGIAREHGLVGTVDARCVELISLALDQYLKNIIEFTIDTVRYRRKKYSDYYDLNESGL YKSVSEMAADKHDAKIKQLNDDKDETDYVDEVKNNNSGKDDIGDISMSSITKAGEGVN EELHENRTISLTNEDIYDSLSIFPNLVEPSGSYYSLTNLGLVNDDELVDMKSNIDDLP DFLDEKPTFTPLDERNVGTRHELNWLIKGILTED SPAR_P00240 MVSQQSKHTFFSKNRILHNTDNVSSSKSRNLMDITNTTNTMNGS RPSSMKNPFALPPVKGSLSPLSRSGSLNINMSKIKDLKDRQDKIRFQRHSLRTQLIEC EREIKTIKFRDLSKSRFELYKKKSKQAKYLKQVKELTQILNSKDGERDDLIKKNKSAL ANLQTELDHNLILKTRESQELYNRRLIFWEKELQIMENVEPDHEITEEISHLKETLQE LNESWANLQKQNLERQVNHESQLEKDFIAFKETKSKSMENLTNKHRELLDQIATLQSE SEKLLKEIVDVDKQTECSEQNISEINENIKQLELANNPLASKSLQNTQDLEDLQNQME NLKEIASKQEKIYNDTYNTVEKELLRSRKLENSIIEQKGTMRCYAYVMEQNLPENLLF DYENGVITQSLSERVYKFNRVIPHLKVSEDKFFSQEYRVYNDMCLNQRKNFNLISLST TPHGSLRESLIKFLTEKDTIYQRQYVITLQFVFLSDDEFSQDMLLDYSHHDKDSIKLK FEKNSISLDSKLVIIENGIEDLPLNFSCDKHPNLPHSGMGIIKVQFFPRDSKNDGNDD PVPVDFYFIELNNLKSIEQFDKSIFKKESCETPIALVLKKLISDTKSFFLLNLNDSKN VSKLLTISEEVQTQLCKKKKKPT SPAR_P00250 MLKFAARAGHTARISNVAAHLLRTSPSLLTRTTTTRLLPFSTSS FLNHGHLKKPKPGEELKITFILKDGSQKTYEVCEGETILDIAQGHNLDMEGACGGSCA CSTCHVIVDPDYYDALPEPEDDENDMLDLAYGLTETSRLGCQIKMSKDIDGIRVALPQ MTRNVNNNDFS SPAR_P00260 MSSVESAPISRYEDEVFPLSFSNAAFEPPMLSHSPDRSSYADDF SQSYQQELLTSPLSYPIVDESECTYTKDKTNNNIITSAEDDCIFDMEFSGNAAGAVTA ATKESNNASSFAFASNDAFANVAQQNYRLWLSSV SPAR_P00270 MAVKTGIAIGLNKGKKVTQMTPAPKISYKKGAASNRTKFVRSLV REIAGLSPYERRLIDLIRNSGEKRARKVAKKRLGSFTRAKAKVEEMNNIIAASRRH SPAR_P00280 MSSDKSIEKNTDSTASEVHGGDNYSNNLISKGEEIKSMPSGQCE ETAMAPTEPFHSDEQSNDNQLDLNHEENEAPANISKEEPIEPSLNTEDTQITERNVEE QKQETKEPDPEIDLNEPLNFEKDVTNKVEASNGQDIEKKYEAVEDSEKIYPDTKDDTN SSLGNKELAENISGDDKLSSNKYPGNETGAMATTNGNGVAINSETSPERSPQNDKQFR DSDGTATEVDLNESIEQQAAIEYGPIRAENLSPENVRKVPPIPTQITDEMDDNSSEKE VPIICTSTSPPLPPRQNAAISTSPRLPPRGEQREQPPKTKNAVPPPLEEEMKSENFRK NFEETKRSSHHHVPFTGSKSAQLESTAEINLIASRYRKTSHHLNKEGEETRESLQEGQ SFLKSTYTSFLENLTEYNEVEDVNEEDREMFKIDWSFWTQVVNDFATVASNEPENLEA HVTNGIPPQIRGIIWQLMANSKSREMEDIYETLLDTECLHEATIRRDLRRTKFVAEDK MESLFKIIKVYSVYDPDVGYTQGMGFIAAPLLINCENEAESFGLMVGLMKNYGLRELF LPGMPGLMLMLYQFDRLLEEHSPSLYNRLIREGISSTMYATQWFLTFFAYKFPLEFVL RIFDIVFVEGIEVLLKFAVNLMLKNEETLVKLRFDQLLDFLKDELFNYYLMGNHDDVS VVQMELSGRDTFKGGEDSTLSYNVDLFVHDAMTGVYITPLTLRRYKGEYIEIHEKEQK KEDHYESLRIQNHQLQREAQKLEHDYSILNKENIGAANELIQNRLNMEMLLDEKNDLI NTINDIKNQIEEEIRKQNLPNPDASLPKADLREDLERTISRNNEVMRENGQLEERITE LQAEIDELISINKEQVSTASLLERDSKAKGRKGWTGFKKVFK SPAR_P00290 MKLLSSIEQACDICRLKKLKCSKEKPKCAKCLKNNWECRYSPKT KRSPLTRAHLTEVELRLERLEQLFLLIFPRENLDKILKMDSLQDIKVMLTRLLVQDNV NKDTVTSSLVPVENDMPLALGQNGISAKSSAEEDGDEDQRQLTVSIDSAAHHDDSTIP LNFMPRDALHGFDWSEEDDILDDLSLLKMDPNNNGFFGDGSLLCALRSIDYSPENYTN SNINRLPTAITDRYSLTSRSTTFRLLQSYLNNFHPYCPIVHSQTLMMLYNNQIEIASK DQWQILFNCILAIGAWCMDGESTDIDIFYYQNAKSHLTSKVFESGSITLVIALHLLSR YTQWRQKTNTSYNFHSFSIRMAISLGLNRDLPSSFSDSSILEQRRRIWWSVYIWEIEL ALLYGRSIQLTQNTIPFPSSVDDVQRTTTCPTIYHGTIETARLLQVFTRICELDKTNT AEKSPLSAKKCLMICNEIEEVFRQVPKFLQMDISTTALTNLLKEHPWLSFTRFQLKWR QLSLIIYVLREFFKNFTQQKSQLQDQNDRQSYEVKRCSIILNDAAQRTVMSVSSYMDN HTITPYFAWNCSYYLFNAVLVHIETLSSNPKSGADNGDTAQSLQQISAVLMLLKKLAT FKVQTCEKYIQVLEDVCAPYLSSSCAISSPHISYNHNNGSVIRNIVGPPPVSQYPTLQ EDNANNSSVKYVSPGSVGPSPMPLKSGASFSDLVKLLSNRPSSRNSPAAITRSTPPHR SLTPFSGQQQQLQSYVPLTPSALFGGVNSYQSGTNIADSSLSFSFTTNSSGTNLITTP ANSQALPQPTATSNLHGNIINNNEITAGRIDDDNSKPLSPSWTDQTAYNAFGTTTGMF NTTTMDDVYNYLFDDEDTPPNPKKEQNES SPAR_P00300 MDPFYNGNKRSSISFGSSQRQPYGKNNYLSGTNGPSSAVQDQGR GPSPFGVSGNTTNGGNSKRNSGCDLSATYYTSRSPMYSPLDFSPPVFSPNHSQLQQAR GYAANIPVVSNLMNPSMASVCDYQSHYPLYGLDWSADDYVCLGSYKEDSRNKLQVLHS NDLLSWESVVDADVVYPVSKIQWVPSQLHPRKLATCSDSLRIWNVNPEERQFQEQINL SLCKYNRQHPTNPAAADDMKVIGTFPPITSFDWNTVDANLIISSSIDTTCIVWDLQSS HYVKTQLIAHDSEVFDVRFLTKSTQLFASCGGDGSVRVFDLRSLAHSTIIYEPPASPV SGVTAGTTTPSSKGSDALLRLEPSPYDPNVLATFAADSNKIIILDMRNPESPILSLQG HGSSLNGIKWHPTKRNVLLSCGDDCQVLYWDLNSSFMEINGAGSKSLSVHTSSLEEPD GDTVMADGGAGPGLHEDPLNLNNNNKQVCKTLETPNMMYANKTQEINNIAWRPQRGDW FGCVSGKKFQNVRVF SPAR_P00310 MNWKSYVFPGGHPPAALTTGLVVFLTAIYLLSFVFSLREDLSLA PESLFKLQMSRLSLYPLIHLSLPHLLFNVLAIWAPLNLFEETHGTVYTGVFLNLSALF AGILYCLLGKLLYPEVSVAGASGWCFTLFAYYSFKESQIRPRTRIFRTDYSIPTVYTP IMILVAIAVVIPGSSFWGHFFGLCVGYAIGYKESWFHKITPPGWIITKIENLLDSLIR LIPWGIRYYRDGDIDRTKDYEPLMVTETPLPLHNDNSGTVLGTA SPAR_P00320 MHGPTPKAISRNVRSVKRPRRAPRPVVSTQAMNKLSNVTLSAEQ DKLRERILSFMRSNLSQYKSDWKHPAMFVIQGNAGTGKSVILNSLFNEIQKLSQFSPS SEDILHGTHNYLVVNHPEMLKLYIRISDSFKYISKSSLERPTSLINNLQKRKVMADVV IVDEAHLLATSKDAFKRFYGENHLKDLMSLCKVLVLVYDDKQALRMGSYWDEDSKNGA TLKDFYNEIPPKFRDWYILKQQFRVAAPQNVLNWIDQISVVGKIPSIESVLPRSNADG VDDKSKDFDFKIWDDCGAMYEAIKEKDRQYGQCRMLSTYDFPYRLDGKDYYVECGDNF KVRWDRYTPREVTPWSERSDTIDEVGSVYTIQGFDLNYAGVILGRSIGYDAANDCIKL KPELYDDRAGFTKKKNIHNADGVKQKIIMNSINVLLTRGVRGLYVYAYDPELRERLLR PSEK SPAR_P00330 MAGSTSSLVICAIGIYATFLTWALVQEPLATRTWPNSMGKFQFP NVIALIQASVAMVMGYLYLNWKKVEYPPKKMIQDHWKQLVLISFTQSSSGPLATTSLK YVDYLTYMLAKSCKMIPVLLVHLLLYRTPIPSQKKVVAVLVSLGVTIFTIGGNDGKKL KRSLNDNRSGNKLQGFGMLFSSLFLDGLTNATQDKLLKVNKAKEKGKQTLITGAHLMF TLNLFVVLWNILYFIVIDCKQWENAVSVLKMDPQVWGYLMLYSVCGAMGQCFIFYTLE QFGSLVLIMITVTRKMVSMILSIIVFGKSVRFQQWVGIFVVFGGITWEALNKKKANIP KVKSV SPAR_P00340 MVAYSPIIATYGNRAEQFLETDSDFAKYHAKLNKKLQHLRSRCH LVTKDTKKYSSKNKYGEINSEDYDHKTKLIGVLILLHAERDLALAETLKLRARQRGKL KKSEGKVLSTRLKKACKTADKLVNVTQNEHQWITRVQYLSFAKLTHAEYLINGKRFKR KDSAKISNNLALVFAALEHLKNLNLLSEEVVDNIVNKYQYSLKQYAGNLITTPEINNF IVERVQSDENKDDELVKLLLDNGFNMKKITTSTEDQKATTNINWRSFNAKIMDAEVAQ FLEQGLSIHPTQIPQYTQKLSKLEKALDRHEFYIANHDDQDDIDEMVENSSENNQIIL AYIKYNILLTSISRERDLFAHLWNQWLKLNTSIPSKLTKYKEMDRIVKNLAKYLSDIM ELPGVYSDDELLSQLDLCKLYFQLFLNTGCLSVLYQSKGRYMEALALYVDAYQRLEDK LSEIGTLDEVLLPATLLSLNSIRSLQKRIENGGNSVITLAEYEKGNHGDNPGKYDLTV IEKLDSKKVVPTDIQLKNLFPLKPKMLPVPSKPTLFDLAFNYITYDKQETPEPHLKDS VTETESASLTPISNEQMKGEPRKKRGFLGLFGR SPAR_P00350 MTAFSGSPSKSSNNNSFLSRYVENLGTDVAPPLRPLSSSKINSS SNLASPSHLKNKTSASNNSTALLSQKVESTISKQKPSLPNKLVGKYTVDLSNYSKIEL RYYEFLCRVSEVKIWIEAVIEEALPSEIELCVGDALRNGVFLAKLTQRINPDLATVIF PAGGKLQFKHTQNINAFFGLVEHVGVPDSFRFELQDLYNKKNIPQVFETLHILISMIN KKWPGKTPALTNVSGQISFTKEEIAACKKTWPRIRDFKSLGTNINAAPASPKESKEKR SGLIKDFNKFERPNIPAEEVLITPRKNITDANNYDSYHTSSPHYELPKMSTSDVIIEK RKFTPIEPSLLGPMPSLEYSPIKNKSLSYYSPTISKYLTYDTDFYTRRSRAREEDLNY YETFKYSPSHYSPMRRERMTEEQFLEKVVQLQNICRGVNTRFNLYIQKRLLSLFEQDI LKFQARLRGYKFRQLSPVYLPIRRAKIDVPHVVEIQSQIKGSRIRYQYDKLKFTLSRY SCTVELFQAYCRSKLLKTNVNTKLNDIEISYQPLIKLQSHMRASHVRKKVMSLNTKLK DERESIMSFSAIIRGNAVRSSEDAILTAMHEVHKENIANLQSLIRGKFTRSCLESLIY SLKEENCNIIQLSACMHGKALRHKIRSLFGPEDNLDGTVHDLQGLVRGILVRYTLDLV DDIVEYNNLAIFQAFSRGALVRQSLDQKSSFYKRNVRSIIMIQSWIRKSLQRSAYLEL LDCPNPSLWAVKKFVPLLNGRATIEEVQNELESCQASLDSENMKKERLLKSIRQQLNM NGVLEKYGLLKDRDHELGISDSRIPKSKYPKYEKLFYMLQVDPSYWKLLYSKEPDFVA KNVYMTFGTVNQRMNERERTYFTRFVCEMLQSAINEAPSIGSFLGNRSQFWQTILQDF LKREFPEFFSIIVPVLDYLTDPVVDFESDPYKIYQEIHGFSSPQHCSPVDDTSTKNKF IENLRCLWHTIEMVAEIYTRKVHTIPVEIRYLCTKIFCYAADKNTEEIDSLRAISSIL VNVFVSEYLVNRKYYGYKDSNIQNNNQKIETLIKSLATVFEMKNFDGFLDPLNQYANE IKPHIKDVLYNVLVDPEYEQEGDRLIYLDMVSPSPKLELLTEKVLEISSKFEEYLSEF PEADILHDILEKDLDSSSFPRSGRTTLELDASVYRFLVSDDKMRKIYDQVKRAFVYMM QIEDVDTNLYDLSTSTILPQDEPNFANLLKENPKIRDDPMIQKLKPLEYFTLKNITLK KIHELESTGTFCSSDNKLQNFLNDIANTIKNPNYAIDYVTQEIYITKETLTKISEMNH SLDIELSRLKKHIDHTIRDFQKARDFSPVHKSKFGNFKNAVKKVQGRERSELQGMKFK WSTKQLYERGVLKNIRGEKLAELTVKVFGSSGPKFPDIIFKISTSDGSKFGIQMIDKR KGPDKRYSDDVDSFSFKDLMKTQVEPKVETWKLFHSNIVVNNSQLLHLVVSFFYKRDS L SPAR_P00360 MDFATKIKELEGELSETNDYKTLQSKTTSLRSELNAVSHSLTSY EKERFSNNIENILKSVNAELSKSKGKKRMFSFKQKNLSTAVQERLVRTEPASTPGNTT AVRKHYILEKGDSAFENLELCTVASTGNYCGSVALSGSLYFRSITKSVINLQRVYFQT GSIFITDCTDSIIFLHFPSEGNIQIRLRGLENCKILIEKLSSSIDCKQVVIMENCLNC IFNASTREHLLIQDFSNPFQSDKTEDNFAFAFEDFDICERDTMQLFHAYF SPAR_P00370 MAGETFEFQAEITQLMSLIINTVYSNKEIFLRELISNASDALDK IRYKSLSDPKQLETEPDLFIRITPKPEQKVLEIRDSGIGMTKAELINNLGTIAKSGTK AFMEALSAGADVSMIGQFGVGFYSLFLVADRVQVISKSNDDEQYIWESNAGGSFTVTL DEVNERIGRGTVLRLFLKDDQLEYLEEKRIKEVIKRHSEFVAYPIQLVVTKEVEKEVP IPEEEKKDEEKKEEEKKDEDDKKPKLEEVDEDEEEKKPKTKKVKEEVQEIEELNKTKP LWTRNPSDITQEEYNAFYKSISNDWEDPLYVKHFSVEGQLEFRAILFIPKRAPFDLFE SKKKKNNIKLYVRRVFITDEAEDLIPEWLSFVKGVVDSEDLPLNLSREMLQQNKIMKV IRKNIVKKLIEAFNEIAEDSEQFEKFYSAFSKNIKLGVHEDTQNRVALAKLLRYNSTK SVDELTSLTDYVTRMPEHQKNIYYITGESLKAVEKSPFLDALKAKNFEVLFLTDPIDE YAFTQLKEFEGKTLVDITKDFELEETDEEKAEREKEIKEYEPLTKALKDILGDQVEKV VVSYKLLDAPAAIRTGQFGWSANMERIMKAQALRDSSMSSYMSSKKTFEISPKSPIIK ELKKRVDEGGAQDKTVKDLTNLLYETALLTSGFSLDEPTSFASRINRLISLGLNIDED EETEAAPEASAEAPVEEVPADTEMEEVD SPAR_P00380 MGLHTEPLEQEDQDTIILDARAGDLDSLKDIFSSLISPKLLSTC RESESDSTALHMAAANGHIETVRYILETVSSANSAEDLQAFINEVNKTGNTALHWASL NGKLDVVKLLCDEYEADPFIRNKFGHDAIFEAENSGKEEVETYFLKKYNVEPEDDEDA QTEGKDAVQITKGTEIEQVTKEATEALREETEKLNINKH SPAR_P00390 MSSDLAAELGFDPTLKKKKKTKKVIPDDFDAAVNGQENGSGDDL FAGLKKKKKKSKSVSADTEAEKEPTDDIAEALGELSLKKKKKKTKDSSVDAFEKELAK AGLDNVDAESKEATPSASSSIQQEVGLPYSELLSRFFNILRTNNPELAGDRSGPKFRI PPPVCLRDGKKTIFSNIQDIAEKLHRSPEHLIQYLFAELGTSGSVDGQKRLVIKGKFQ SKQMENVLRRYILEYVTCKTCKSINTELKREQSNRLFFMVCKSCGSTRSVSSIKTGFQ ATVGKRRRM SPAR_P00400 MSSIVLELFQNLCCCRGFSDATIRVNDKRYKIQRLLGEGGMSFV YLVQLSKSSLILENGIVTPELYALKKIICPGVESISNGMREIENYKRFQSPYVIQSID SQVMQEKDGSKTIYIILPYYSLGSLQDSINRRLLEGTFISEAECVRIMLGVTRGLLCL HDPASRQANTTSRVNVDAVSMTYSDETAMLLEDTPLEMDMLSSNSGGAIAYAHRDITP SNILFSSDGLPVIGDLGSCSQADITIGNRQQLSELQEWVNYNCTLPYTPPELLNLKLN QVLSCKVDIWSMGCTFYTLMFGISPFEREEQIHGASLTYAINTGKYSFPRNSRFSDGL LNVIKKCVQVDPIQRPTTSQLLNLLQDLDS SPAR_P00410 MSIQTSDPNETSDLKSLSLIAAHSHITGLGLDENLQPRPTSEGM VGQLQARRAAGVILKMVQNGTIAGRAVLVAGPPSTGKTALAMGVSQSLGKDVPFTAIA GSEIFSLELSKTEALTQAFRKSIGIKIKEETELIEGEVVEIQIDRSITGGHKQGKLTI KTTDMETIYELGNKMIDGLTKEKVLAGDVISIDKASGKITKLGRSFARSRDYDAMGAD TRFVQCPEGELQKRKTVVHTVSLHEIDVINSRTQGFLALFTGDTGEIRSEVRDQINTK VAEWKEEGKAEIVPGVLFIDEVHMLDIECFSFINRALEDEFAPIVMMATNRGVSKTRG TNYKSPHGLPLDLLDRSIIITTKSYNEQEIKTILSIRAQEEEVELASDALDLLTKTGV ETSLRYSSNLISVAQQIAMKRKNNTVEVEDVKRAYLLFLDSARSVKYVQENESQYIDD QGNVQISIAKSADPDAMDTTE SPAR_P00420 MSTQLASNIYAPLYAPFFGFAGCAAAMVLSCLGAAIGTAKSGIG IAGIGTFKPELIMKSLIPVVMSGILAIYGLVVAVLIAGNLSPTEDYTLFNGFMHLSCG LCVGFACLSSGYAIGMVGDVGVRKYMHQPRLFVGIVLILIFSEVLGLYGMIVALILNT RGSE SPAR_P00430 MSQGQSKKLDVTVEQLRSIYHQFHDILEEKTDLHLPKKDHDDDA VRREVQIQLQEFLLSAMTMASKSLEVVNADIVGKTVKQLIMESQEKYMEPFDLDLNEQ VRKMYQEWEDETVKVAQLRQTGPAKINEVYNNSKDEYLTQLDGRIGVLQARMAEQQSV DHNNNADEADDQIKWEHIKDDYGASLNELYETQQDLPQVRYNVEKVRRLMDYLEKE SPAR_P00440 MSYNNPYQLETPFEESYELDEGSSAIGAEGHDFVGFMNKISQIN RDLDKYDHTINQVDSLHKRLLTEVNEEQASHLRHSLDNFVAQATDLQFKLKNEIKSAQ RDGIHDTNKQAQAENSRQRFLKLIQDYRIVDSNYKEENKEQAKRQYMIIQPEATEDEV EAAISDVGGQQIFSQALLNANRRGEAKTALAEVQARHQELLKLEKSMAELTQLFNDME ELVIEQQENVDVIDKNVEDAQLDVEQGVGHTDKAVKSARKARKNKIRCWLIVIAIIVI VVVVVVVPAVVKTR SPAR_P00450 MKPEVEQDLAHILLTELLAYQFASPVRWIETQDVFLKDFNTERV VEIGPSPTLAGMAQRTLKNKYESYDAALSLQRQILCYSKDAREIYYTPDPSELAAKEE PAKEEAPAPTTAASAPAPAAAAPAPVAAAAPVAAAPEIADEPVKASLLLHVLVAHKLK KPLDSIPMSKTIKDLVGGKSTVQNEILGDLGKEFGTTPEKPEETPLEELAETFQDTFS GALGKQSSSLLSRLISSKMPGGFTITVARKYLQTRWGLPSGRQDGVLLVALSNEPAAR LGSEADAKAFLDSMAQKYASIVGVDLSSTASAAGAAGAGAGAGAAMIDAGALEEITKD HKVLARQQLQVLARYLKMDLDNGERKFLKEKDTVAELQAQLDYLNAELGEFFVNGVAT SFSRKKARTFDSSWNWAKQSLLSLYFEIIHGVLKNVDREVVSEAINIMNRSNDALIKF MEYHISNTDETKGENYQLVKTLGEQLIENCKQVLDVDPVYKDVAKPTGPKTAIDKNGN ITYSEEPREKVRKLSQYVQEMALGGPITKESQPTIEEDLTRVYKAISAQADKQDISSS TRVEFETLYSDLMKFLESSKEIDPSQTTQLAGMDVEDALDKDSTKEVASLPNKSTISK TVSSTIPRETIPFLHLRKKTPAGDWRYDRQLSSLFLDGLEKAAFNGVTFKDKYVLITG AGKGSIGAEVLQGLLQGGAKVVVTTSRFSKQVTDYYQSIYAKYGAKGSTLIVVPFNQG SKQDVEALIEFIYDTEKNGGLGWDLDAIIPFAAIPEQGIELENIDSKSEFAHRIMLTN ILRMMGCVKKQKSARGIETRPAQVILPMSPNHGTFGGDGMYSESKLSLETLFNRWHSE SWANQLTVCGAIIGWTRGTGLMSANNIIAEGIEKMGVRTFSQKEMAFNLLGLLTPDVV ELCQKSPVMADLNGGLQFVPELKEFTAKLRKELVETSEVRKAVSIETALEHKVVNGSS ADAAYAQVEVQPRANIQLDFPELKPYKQVKQIAPAELEGLLDLERVIVVTGFAEVGPW GSARTRWEMEAFGEFSLEGCVEMAWIMGFISYHNGNLKGRPYTGWVDSKTKEPVDDKD VKAKYESSILEHSGIRLIEAELFNGYNPEKKEMIQEVIVEEDLEPFEASKETAEQFKH QHGDKVDVFEIPETGEYSVKLLKGATLYIPKALRFDRLVAGQIPTGWSAKTYGISDDI ISQVDPITLFVLVSVVEAFIASGITDPYEMYKYVHVSEVGNCSGSGMGGVSALRGMFK DRFKDEPVQNDILQESFINTMSAWVNMLLISSSGPIKTPVGACATSVESVDIGVETIL AGKARICIVGGYDDFQEEGSFEFGNMKATSNTLEEFEHGRTPAEMSRPATTTRNGFME AQGAGIQIIMQADLALKMGVPIYGIVAMAATATDKIGRSVPAPGKGILTTAREHHSSV KYASPNLNMKYRKRQLVTREAQIKDWVETELEALKLEAEEIPSEDQNEFLLERTREIH NEAESQLRAAQQQWGNDFYKRDPRIAPLRGALATYGLTIDDLGVASFHGTSTKANDKN ESATINEMMKHLGRSEGNPVIGVFQKFLTGHPKGAAGAWMMNGALQILNSGIIPGNRN ADNVDKILEQFEYVLYPSKTLKTDGVRAVSITSFGFGQKGGQAIVVHPDYLYGAITED RYNEYVAKVSAREKSAYKFFHNGMIYNKLFVSKEHAPYTDELEEDVYLDPLARVSKDK KSGSLTFNSKNIQSKDSYINANTIETAKMIENMTKEKVSNGGVGVDVELITSINVEND TFIERNFTAQEIEYCNAQPSAQSSFAGTWSAKEAVFKSLGVRSLGGGAALKDIEIVRV NKNGPAVELHGNAKKVAEQAGITDVKVSISHDDLQAVAVAISTKK SPAR_P00460 MENTTNRNTAGVLTSNNRSFATNSVAASTPKRSKSARRKTFKCT GYDGCTMSFTRAEHLARHIRKHTGEKPFQCPACLKFFSRVDNLKQHRESVHAHKNHHA SSSHQRKPSSSSLSSSSSASSSSSATSSTSYSDPSRKINTVGVNMPMMAENEKAPQIT HSSPEFITSARGIPPISPRSIYNTQRQQQQQPPYYFPPSHPITDSYYQYPLPSNSTTV NYLPSIDVQYPLNVSPTSVAHPATEAMVSSFPPRSIPSVSFKYNDSADFPARTAMNNY NIRPNNINVNTNTNIINSNPDSFSPPFSMPAAGAEARPIVFPQHQQAFSRQPNGNNNN NMSLSKNDSEKGENFKNNNDDDNDNSNKKRSEALSESDISVNTNKKRLSVDYILT SPAR_P00470 MMPYNTPPNIQEPMNFAGSNAFSILPDTMSFQNFKYDRLQHQQQ QQQLQQQLQQNAPPLQQPQQQQQQQPISPPLFLAGTGTSANSNLNKNANASTVPPLLF SRSSQHYVVPDIDHSSIIYKNNICKSFKDDLFFCPRSLLSLEEQQACEKMDRLTAEQM SLYHQNTRPSSNPGSLSSSPPNSASSIFNSRPKFNPYTSQSFNPLESVQE SPAR_P00480 MSYTDNPPQTKRALSLDDLVNHDENEKVKLQKLSEAADAARPLA ENSEPDSNQISIGQDAPIDNYKESIGHDSHSQKPKSRKSSADDEETDTDDDVGTSGEI NFDSEMAFDYDKQHRNLSPNGLPLTNDGTDAKVKLEKPSDVSIPHNIKSDEEQRLPKQ GNDRDSANNYITQIPPQKQKQAEGKIAGNAMGSVVKEEEETNAAVNNIFEEKATLQSK KNNIKRDLEVLNEISASSKPSKYKNVPIWAQKWKPTIKALQSIDVKDLKIDPSFLNII PDDDLTKSVQDWVYATIYSIAPEQRSFIELEMKFGVIIDAKGPDRVNPPVSSQCVFTE LDAHLTPNIDASLFKELSKYIRGISEVTENTGKFSIIESQTKDSVYRVGLSTQRPRFL RMSTDIKTGRVGQFIEKRHVAQLLLYSPKDSYDVKISLNLELPVPDNDPPEKYKSQSP ISERTKDRVSYIHNDSCTRIDITKVENHNQSSKSRQSETTHEVELEINTPALLNAFDN ITNDSKEYASLIRTFLNNGTIIRRKLSSLSYEIFEGSKKVM SPAR_P00490 MRTLTFLIENKNTVCFTLLVAIALSLYLLVYLFSHTPRPPYPEE LKYTAIDENGLEISRALPNLSEHQDDEEIFLSVVIPSYNETGRILLMLADAINFLKGK YGSRWEIVIVDDGSTDNTTQYCLKICREQFKLNYKQFRVIKFSQNRGKGGAVRQGFLH IRGKYGLFADADGASKFSDVDKLIEAIRTIEASSTDVKTIKPAVAIGSRAHMVNTEAV IKRSMVRNCLMYGFHTLVFIFGIRSIKDTQCGFKLFNRAAILRIFPYLHTEGWIFDVE ILILAIRKRIQIKEIPISWHEVDGSKMALAIDSIKMAKDLVVIRMAYLLGIYRDNKKC SPAR_P00500 MPPKKFKDLNSFLDDQPKDPNLVASPFGGYFKNPTADAGNSNAS NKSSYQQQRNWKQGGNYQNASYQQGGYQSYNNNYNNYNNYSKYNGQSYQKTNYKQSAV TPNQSGTPTPSASTTSLTSLNEKLSNLELTPISQFLSKIPECQSITDCKNQIKLIIEE FGKEGNSTGEKIEEWKIVDVLSKFIKPKNPSLVRESAMLIISNIAQFFNGKPPQEAYL LPLFNVALDCVSDKENTVKRAAQHAIDSLLNCFPMEALTCIVLPTILDFLSSGAKWQA KMAALSVVDRIREDSANDLLELTFKDAVPVLTDVATDFKPELAKQGYKTLLDYVSILD NLDLSPRYKLIVDTLQDPSKVPESVKSLSSVTFVAEVTEPSLSLLVPILNRSLNLSSS SQEQLRQTVIVVENLTRLVNNRNEIESFIPLLLPGIQKVVDTASLPEVRELAEKALNV LREDDEADKENKFSGRMTLEEGRDFLLDHLKGIKADDSCFIKPYMNDETVVKYMSKIL TVDSNVNDWKRLEDFLTAVFGGSDSQREFVKQDFIHNLRALFYQEKERADEDEGIEIV NTDFSLAYGSRMLLNKTNLRLLKGHRYGLCGRNGAGKSTLMRAIANGQLDGFPDKNTL RTCFVEHKLQGEEGDLDLVSFIALDEELQSTSREEIANALESVGFDEERRAQTVGSLS GGWKMKLELARAMLQRADILLLDEPTNHLDVSNVKWLEEYLLEHTDITSLIVSHDSGF LDTVCTDIIHYENKKLAYYKGNLAAFVEQKPEAKSYYTLTDSNAQMRFPPPGILTGVK SNTRAVAKMTDVTFSYPGAQKPSLSHVSCSLSLSSRVACLGPNGAGKSTLIKLLTGEL VPNEGKVEKHPNLRIGYIAQHALQHVNEHKEKTANQYLQWRYQFGDDREVLLKESRKI SEDEKEMMTKEIDIDDGRGKRAIEAIVGRQKLKKSFQYEVKWKYWKPKYNSWVPKDVL VEHGFEKLVQKFDDHEASREGLGYRQLIPSVITKHFEDVGLDSEIANHTPLGSLSGGQ LVKVVIAGAMWNNPHLLVLDEPTNYLDRDSLGALAVAIRDWSGGVVMISHNNEFVGAL CPEQWIVENGKMVQKGTAQVDQSKFEDGGNADAVGLKASNLAKPSVDDDDSPANIKVK QRKKRLTRNEKKLQAERRRLRYIEWLSSPKGTPKPVDTDDEED SPAR_P00510 MSTFNAETADNLEDIEKQFAVVAVEQAETYWKLLTSVPGSKLRL TKFDDEIYENFMERFPEYKDVERVKKFTEEELKTKEAKERWRKFFSIFEKKIEDYNFG TLLRTDASAEYGQFSTCFVVRLQFYAFEIARNKHGLNDWIVGQK SPAR_P00520 MLRIGIGSIKQLGSSVPGYNNTSYHAAGRVIRTSNPYSIVISVN WRKHLHSSTLLNKEGQEESYNEQLVSKKILQDGRNSKRNDSEQIKERTTPSAKSLLQH THSHSHTHMHDNPLLSLNVQQIKKNPGVRITWIGLASNVGMAVGKFIGGITFHSQALL ADSVHALSDLVSDFLTLFSVQYASRKPTSEYPYGYGKVETVGSLAVSTILTMAGISIG WSSLCAIVGPIIPHVILESMAGLIGETHSHSQSLTQQATNVNAVWIAAGSILVKEWVF QATKKVAIQTNSNVLMANAWHHRVDSLTSLVALVAITSSYFFNIQSLDNLGGLVVSGL IIKTGGQGILTSLKELVDQSIPPTDPRYLEIESVIKDSISSLKTDLDLKQSLYVKDLT ILASGPNLRATTTLEVPVSHSGQEVGIRFLENAISTIRQDLRLKVPNVGKVDVEFVDV TSDSKSDLEQTHDIKSGSHSHSHFDSANNHIRKH SPAR_P00530 MSNLLNKFADKLHGNDHDERYEDDNDDQTRQQRHEKHQQRELRN QGSKADPYGEQNQGNFPQHQQPQSNLGGNTQLGGNDFQQQTTDYTAGTGGGGYTQTYR ETNTQGQLDDDEDDDFLASGQQQKQGRTRGAQSNRYQSSNMGSGRRDLSGSGNDQYDD DSGNQGVW SPAR_P00540 MREKRTIINALKNSAASHFIKKLTPDTSLSSIPEAINVVQQHNA ADPVRLKLFHTPRMVSQGAHFAFCLPTKKPHYKPLLLSQNALDEFGLVQDRDLEKILS GEKIYYSDDIFPYSTVYSGFQFGSFAAQLGDGRVVNLFDLKDNCNGQWQTFQLKGAGM TPFSRFADGKAVLRSSIREFIMSEALNSIGIPSTRAVQLTLLPGSKAQRRTQEPCAVV CRFAPSWIRLGNFNLFRWRHDLEGLIKLSDYCIEELFDEGAQFEGKPDFNIFKRDFFP DNETKIGEQVERDECDGSSMTEKDITTLSKYDKFFRHVVSLNANTVAHWQAYGFANGV LNTDNTSIMGLTIDYGPFAFLDKFEPSFTPNHDDAAKRYSFANQPSIIWWNLQQFAKD LACLLGPKARDLELLLKGELDSVDDALEKTMIERVQKLVELSANEYKYVFTTRYAQIM SKRLGVDLDLETCMNSTSAEDIEHAAEKSKEFCAVIVEPLLDILQATKIDYNNFFIHL QNYTGPFFIKDKTDPGTLFGAFDEKYLKMFFNAEQLQQMAENEKAFAAGENVFDANGE LRLLSEKLLEIRNWTQDYLTLVPPTETTARASIAKKANPLFVPRSWVLEEVVDDLMYN QRERLQDPSSNLDTSALKKLYLMSVNPYDRTKWDATSRPELETKWADLSHQEGTKFMK QASCSS SPAR_P00550 MQVLMTLWCLICTCLVVPVAAKKRTLTASSLVTCMENSQLSANS FDVSFSPDDRSLHYDLDMTTQIDSYIYAYVDVYAYGFKIITENFDVCSMGWKQFCPVH PGNIQIDSIEKIAEKYVKMIPGIAYQVPDIDAYVRLNIYNNVSENLACIQVFFSNGKT VSQIGVKWVTAVIAGIGLLTSAVLSTFGNSTAASHISANTMSLFLYFQSVAVVAMQHV DSVPPIAAAWSENLAWSMGLIRITFMQKIFRWYVDATGGSPSLYLTATTMSVLTQRGL DYLKKTSIYKRAENVLYGNANTLIFRGIKRMGYRMKIENTSIVCTGFTFFVLCGYFLA GFIMACKYGIELCIKCGWMRSDRFYQFRKNWRSVLKGSLLRYIYIGFTQLTILSFWEF TERDSAGVIVIACLFIVLSCGLMIWAAYRTIFFASKSVEMYNNPAALLYGDEYVLNKY GFFYTMFNAKHYWWNALLTTYIFVKALFVGFAQASGKTQALAIFIIDLAYFIAIVRYK PYLDRPTNIVNIFICTVTLVNSFLFMFFSDLFNQKYAVSAIMGWVFFIMNAAFSLILL LMILAFTTIILFSKNPDSRFKPAKDDRASFQKHAIPHEGPLNKSVANELMALGNVAKD HTENWEYELKSQEGKSEDNLFGIEYDDEKTGTNSDNAESSSKETSRPTFSEKVLRSLS IKRNKSKLGSFKRNIPDKAAQQDVSPDRESSSPNSKSYPGVSHTRQESEANNGLINAY EDEQFSLMEPSILEDAASSTQMHAMPVRDLSLSSVPNAQDVTKKANILDPNYL SPAR_P00560 MSKISSSQVREHVKELLKYSNETKKRNFLETVELQVGLKNYDPQ RDKRFSGSLKLPNCPRPNMSICIFGDAFDVDRAKSCGVDAMSVDDLKKLNKNKKLIKK LSKKYNAFIASEVLIKQVPRLLGPQLSKAGKFPTPVSHNDDLYGKVTDVRSTIKFQLK KVLCLAVAVGNVEMEEDVLVNQILMSVNFFVSLLKKNWQNVGSLVVKSSMGPAFRLY SPAR_P00570 MANDQDPNKSLINDVLARSMSEFYDDDDDNDSDMCRANDEGEDV FDLPLKVGVSQSRNFSEVNDVLDPLSSLHGPSKKVRFEKQKQQEQHQQIRNDFNTDLN LKSPSSKKMGVEQLIQSANEINDYLANNIDKVNSFNSELLSGSGKLPGRVKSDTATQG TGRLDSMSNFALSDTELDNDDDNYLLDPLANASSTTSTVEHHGYSLLDKALSASDKEK IYTNKVNSNSQIDTDNYSHESENTTNNETDENECSEVLDYTKFDSFPYPPSSASNQEP PDLKVLSIECEQENEKELRRISLLLDHYKSIPKIPDLSDDEALSKFRENIELILQLSK KISDNANTLAISPEDPQKFINFVMKNPPSLSFRDFIDRIQNKCMFGAVVYLGATYLLQ LVFLTRDEMDGPIKLKAKLQEDQTHRIIISTIRIATKLLEDFVHSQNYICKVFGISKR LLTRLEISFMASVNFDGLMITCEKLEKTLHILDDTRQALGNT SPAR_P00580 MAGWDIFGWFRDVLASLGLWNKHGKLLFLGLDNAGKTTLLHMLK NDRLATLQPTWHPTSEELAIGNIKFTTFDLGGHIQARRLWKDYFPEVNGIVFLVDAAD PERFDEARVELDALFNIAELKDVPFVILGNKIDAPNAVSEAELRSALGLLNTTGSQRI EGQRPVEVFMCSVVMRNGYLEAFQWLSQYI SPAR_P00590 MEQSNKQHRKAKEKNTAKKKLHTQGHNAKAFAVAAPGKMARTMQ RSSDVNERKLHVPMVDRTPDDDPPPFIIAVVGPPGTGKTTLIRSLVRRMTKSTLNDIQ GPITVVSGKHRRLTFLECPADDLNAMIDIAKIADLVLLLIDGNFGFEMETMEFLNIAQ HHGMPRVLGVATHLDLFKSQSTLRASKKRLKHRFWTEVYQGAKLFYLSGVINGRYPDR EILNLSRFISVMKFRPLKWRNEHPYMLADRFTDLTHPELIETQGQQIDRRVALYGYLH GTSLPSAPGTRVHIAGVGDFSIAQIEKLPDPCPTPFYQQKLDDFEREKMKEEAKASGE VTTASTTRRRKRLDDKDKLIYAPMSDVGGVLMDKDAVYIDIGKKNEEPSFVPGQERGE GEKLMTGLQSVEQSIAEKFDGVGLQLFSNGTELHEVAGDEAMDVEGGEQSIEGDEGKS KGRTSLRKPRIYGKSVQVEDADIDNLPSDEELYTNDDDMESSQPRMVEIDFNNTDEQG AEKLALETDSEFEESEDEFSWERTAANKLKKTENKKRTWNIGRLIYMDNISPAECIRR WRGEDDDSEHESDIEKDVDDDFFKKKDETAIKEDIKEHAVDLEKFVPYFDTFERLARK WKTVDTIKDRFLGAGILGSDKGKSSSNEDAEELYGDFEDLENGNDSERAEENSGKESE DENENEDMDEEEDDNSFTNFDAEEKKDLTMEQERELNAAKKEKLRAQFEIEEGENFKE DDENNEYDTWYELQKAKISKQLEINNIEYQEMTPEQRQRIEGFKAGSYVRIVFEKVPM EFVKNFNPKFPIVMGGLLPTEIKFGIVKARLRRHRWHKKILKTNDPLVLSLGWRRFQT LPVYTTTDSRTRTRMLKYTPEHTYCNAAFYGPLCSPNTPFCGVQIVANSDTGNGFRIA ATGIVEEIDVNIEIVKKLKLVGFPYKIFKNTAFIKDMFSSAMEVARFEGAQIKTVSGI RGEIKRALSKPEGHFRAAFEDKILMSDIVILRSWYPVHVKKFYNPVTSLLLKEKTEWK GLRLTGQIRAAMNLETPSNPDSAYQKIERVERHFNGLKVPKAVQKELPFKSQIHQMKP QKKKTYMAKRAVVLGGDEKKARSFVQKVLTISKAKDSKRKEQKAIQRRERLKKLAKME EEKSQRDKEKKKEYFSKNGKRTNMDGEDESRPRKTRR SPAR_P00600 MVLLNRKKIQRHEINRSADSTCEAAKVIKETGEHLIDYDSYSER LEFYRRNIFTCEISGRDALSYFKALKSEEQHRKKVNSLLPKELRKAIANFANFNPTRK VEYLVDNTFQRFSNRFFIGDTVCLKSMQKKELFTYKQKESNLLGPSSVEPNDTLFFVK DVFQYNEMTNSEVEETSAPRLSLYLITERLNQKPKGIALIVSQNEIKRPESHFSKFII ACFLNEILIKVSNKEHAPWRVKQEHIEKYNVNPKCPAKMVKYLPAEMGSFSSNLYTPL TIPLEKDVEPGDWEETSPSIMQKIDDEILGTFGHIFDTANFNDYNSLKGSIKDKELPF TGPSTPFESISYFDSSLEYKNIDRKWFEECNQFSTERLLVVYQFLSSFGPFIGLEHFN FDQFLTTIKCTCPEALVDEYVKINFVNVDHSKDSTTNERARNDMYNQVTMGNVSQHEK SSVLNVDESHPIPSNFTRNQEMRKFITNKSTDSLRYSISNGKPLKNEGVDFRSNEKGC KLYIEIVCSLMCLVANEKSDWNCNMMENWTGEKRKGEGNKTAADIITENFLNYGDTSW AKLLSNKDFKNGNWLICLLGIMQQNTLITTYSDIAECFTKKILPLSMNFDNLGEVLLR NFRKRLSIKDKIDVLWLLVDLVSNFSSYVKELVDGVPKLYNGIRLELDSARKEYNELK EQLKIFTKKYAQLHSYPPMTRHALDEYKDKVNGFKVKMAYLMEDVAFLEAKLIQNDIK RLEILGKDRNGNRYYWMDSNGSPSSISQKDEWHYNCCFLWVQGPSEPDVSFYLDVSID SLRKWELLAKVKGTVCATKEVFSVFRSADGSYYQIDQCENSMIIDSNGILMQSSIPIP IYRKIISETPEKLLLSSHQWAFFEDTEDVHMLVDRLDDLGENECQLKKALTSKMDRIE AAYRQQVKVKRRIECDKTFKRNRKLLKTNEFTLADLKRTETTCAPNGQNFSNMEKLAK KLSGVKNDPISEGIFKDVMRLGEGERALLRKHQSLLYPLDFHFEQLSTIDPNLIVEMK KERQEELLTKLLNHQRYKHISYTSGYGVSSQRAKKATHLDVQGMLEEIKHQIISRRRE REELLETKL SPAR_P00610 MMSINRFTSGRLPVLLRKSSFYYPRAYLHQTDVFKQNKEALQDS PEVLAKSSHLNSKPLDVSNKAPIKTAQNKIPLAHSKYESSKYELPKWKEALGELVIRA FRLDMDRVRAGPVAGSYYYKICKEQGLQYEDEPLSETAKYFYEDLKLPRTFSQWFQIT ILHEWMLFVRMRGMPFKYGRNYQQKLVDRTFSDIELRLFEEMKVNSGRIADQYLKDFN TQLRGAIFAYDEGFATDDATLATAVWRNLFGGRKNIDMVHLESVVRYIYSQLYVLSRL SDREFATGKFKFVSPGVKVEKLTPKQEEELKTKTVAKYEALDKDPKTLPSERSRLSYT N SPAR_P00620 MVFAKDEVDYSLYLVTDSTMLPPGTTLCSQVEAGLKNGVTLVQI REKDTETKNFIEEALEVQKLCKKYNVPLIINDRIDVAMAIDADGVHVGQQDMPIPMVR KLLGPSKILGWSVGKPSEVETLAKWGPDMVDYIGVGTIFPTLTKKNPKKSPMGPQGAI AVLDALEEFKATWCRTVGIGGLHPDNIQRVLCQCVSSNGKRSLDGISLVSDIMAAPNA CAATKRLRGLLDGNKYQFVEFKLNNAFPTTASIQSVISQVSSNRPLVQHITNKVHQNF GANVTLALGSSPIMSEIESEVSELASIPNSSLLLNTGSVAPIEMLKAAINAYNEVSRP ITFDPVGYSATETRLCLNNTLLTYGQFACIKGNCSEILSLAKLNKHKMKGVDSSSGKI DIDMLARATQIVAFQYRTVAVCTGEFDCVADGTFGGDYKLSSGTEGITAEDLPCVIIE DGPIPIMGDITASGCSLGSTIASFIGGLDSTGNLFDAVVGAVLLYKSAGKLASTRCQG SGSFHVQLIDALYQLFHENKPENWSASLKKFK SPAR_P00630 MKFTPSIVIDAPQYYVDHYNGKYNVDKCVILRDLQLETDSESMP SSLKHLTKPTHILDLTNNDLIMIPDLSSRDDIHTLLLARNNIVDIDGRLLPMNIQNLT LSNNSIRRFEDLQRLRSVPKTLKNLILLGNQVCHLANYREQVLRLVPHLETLDFQNVS TEERKNATMFSRQADGEMLGPVSASTKDNKSRDKTMEIMNLVVSKMTVERRNELKKQL AEATSLEEIARLEKLLSGGV SPAR_P00640 MSEENLRPSYDDQVNEDVYKRGAQSKLTKARKADFDNENDKKKD KKAGSGDKHVDKRPKSGPRLDENGNPLPKEPRLPKRKVAVMVGYCGTGYHGMQYNPPN PTIESTLFKAFVEAGAISKDNSNDLKKNGFMRAARTDKGVHAGGNLISLKMIIEDPDI KQKINEKLPEGIRVWDIERVNKAFDCRKMCSSRWYEYLLPTYSLIGPKPCSILYRDIE ESKAELPGVLDEDLESKEFWEEFKKDASEIFSAEEIDAILAYVPPARDEFDINEELYQ KVKKYKQLENAHRRRYRISAAKLAKFRASTNQYLGAHNFHNFTLGKDFKEPSAIRFMK DIKVSDPFVIGDAQTEWVSIKIHGQSFMLHQIRKMVSMATLITRCGCPVERISQAYGQ QKINIPKAPALGLLLEAPVFEGYNKRLEQFGYKAIDFSKYQDEVDKFKMKHIYDKIYK EEVDENVFNAFFSYIDSFNKVTGAQGEETAEKSGPAVQKSIFEFLTARGIPGLTDAPE SNKKIKQRKRMEEEEAESKKAEISSAAQSEEPEVQQEDVAN SPAR_P00650 MRQLTEEETKIVFEKLAGYIGRNISFLVDNKELPHVFRLQKDRV YYVPDHVAKLATSVARPNLMSLGICLGKFTKTGKFRLHITSLTILAKHAKYKIWIKPN GEMPFLYGNHVLKAHVGKMSDDIPEHAGVIVFAMNDVPLGFGVSAKSTSESRNMQPTG IVAFRQADIGEYLRDEDTLFT SPAR_P00660 MAKDNLTNLLSQLNIQLSQDEHSQVEHTCVKLLDSGCENPADVF RRCLVAIIQQDKYQKALHYLKKFKHIDERYGRKFALEKLYIFYKLNKPDEFNTLYNEV ITDDLDTVLQKDIGSLRGILHVRAQYCYKNGLYQEAFKIYQHLASHNETDQDNQIELA CNERVPLSVATDLMSRSPLVTPIDESSYDLLFNESFIMASIGKYDEAIELLEKALQEA TNEGYQNDINTIKLQLSFVLQMVGKTTKSKEILKSLLKELKADSPFYLICQNNLNAFV DFSKYNTNFNLLLRELNVERLNSFNLQTFTCEQWSNIQRNVLFLRLFNNAKIHSQNSI LSRTFDKYSKLVDNVTLESYKTQAKKLYHHTTKTILSGTDGSAIGILLLTIQLLIIEK EWENAIRISELFLNESWKSSFEKFNDSQAIVCYVLFELYKIKGRNNSKSVLLKKLDSV RAQLGGKIQEDIPFWKHVGFELLSMGNAKESKALLREVSSFSKGNEDELVDRVVLSHS LDIAQGIDLVRDIDVDELIRLGVKPLESSAKKNKNATVSKVQKKKVLELKKKRKIKRI EKFLQGRDASKLPDPERWLPLRDRSTYRPKKKQQQGAKQTQGGAMNKKSEQALDISKK GKPAANKKSKSKKKGRK SPAR_P00670 MQRNSLVNIKLNANSPSGKATTRPNTSKINKPWRISHSPQQRNP NSKIPSPVREKLNKLPVNNKKFLEMENSKIPSPVRKATSSKMTHENKKLPKFKSLSLD DFELGKKLGKGKFGKVYCVRHRSTGYICALKVMEKEEIIKYNLQRQFRREVEIQTSLN HPNLTKSYGYFHDEKRVYLLMEYLVNGEMYKLLRSHGPFNDILASDYIYQIANALDYM HKKNIIHRDIKPENILIGFNNVIKLTDFGWSIINPPESRRKTVCGTIDYLSPEMVESR EYDHTIDAWALGVLAFELLTGAPPFEEEMKDTTYKRIAALDIKIPSNVSQDAQDLILK LLKYDPKDRMRLGDVKMHPWILRNKPFWENKRL SPAR_P00680 MSSEALEALLQWGATFGVIVPEELKFEYTDLKGIICVCEKDIDN PSIKIPPEIVISRNLPMKFFKLSESTKNINGWLKLFFAKIKFDRDNDTIVDDVRVNEK FKPYLDALPFRLNSPLIWNPSELERLSSTNLGNSIHEKFAGIFKEWLELVSSSDLFDL ERVADDIQTFHKLDELTYETLYEQILKNTELQTPTIWYSFSAFLWSHLIFISRAFPEY VLNKSCPDNSIILLPIVDLLNHDYRSKVKWYPEDGWFCYEKIGIASQSRELSNNYGGK GNEELLSGYGFVLEDNIFDSVALKIKLPLDVVSNILETEPNLKLPLLSDYTTYAFENK DCGQQEKKVARSVTDYVDGVTYFININNEQSLEPLLDLFTYLSKTEEESLHDLRARFE GIQMLRNALHSKLKCVIGPPAADDSYAIDPYRLYCADIYTKGQKQILKEAVTRLRKLE KTMLSENKHQLLTMSKILKNDPAFVEAELPSLFSNEDDEEVIFESTYDLLILWVLLKT RSNSFPNKYEWVGRQYANFEHSAYISDDAKAFHTQYFEKQDDVDVDQVDHAIQFVVAN SFTRTSSTAAETIVVRK SPAR_P00690 MDGFRLAGAIVVGALIAAYLYFGGRFSIALVIIVGYGIYCNESS GGGQDGPEKLTLNTQRKNSCCSDKKTADGGKKTGGCCSGKKKSGGNEGGCCSSKGGEK KSGGGCCSSKSGKKGGCCSSKKKISNNENVAPEVEEAKNFPVTVDFTDVFKKPTKKRS STPKVFSKSTSSKSKVGKKLSVSKKIGPDGLIKSALTISNETLLSSQIYVLYSSLQGA ASKAAKSVYDKLTELDELTNKPKLLNLDDLSDLDDYFVDVPVENALYVLVLPSYDIDC PLDYFLQTLEENANDFRVDSYPLRRLVGYTVLGLGDSESWPEKFCYQAKRADHWISRL GGRRIFPLGKICMKTGGSAKINEWTSLLAETLKDDEPIIYEYDENADSEDEGEEDNGS DELGDVEDIGGKDGNDKFSGADEIKQMVAKDSPTYKNLTKQGYKVIGSHSGVKICRWT KNELRGKGSCYKKSLFNIASSRCMELTPSLACSSKCVFCWRHGTNPVSKNWRWEVDEP EYILENALKGHYSMIKQMRGVPGVIAERFAKAFEVRHCALSLVGEPILYPHINKFVQL LHQKGITSFLVCNAQHPEALRNIVKVTQLYVSIDAPTKTELKKVDRPLYKDFWERMVE CLEILKTVQNHQRTVFRLTLVKGFNMGDVSAYADLVQRGLPCFIEVKGATFSGSSDGN GNPLTMQNIPFYEECVKFVKAFTTELQRRGLDYDLAAEHAHSNCLLIADTKFKINGEW HTHIDFDKFFVLLNSGKDFTYMDYLEKTPEWALFGNGGFAPGNTRVYRKDKKKQNKET QETIKRETPLPAIPA SPAR_P00700 MVEIVGHRAFKGKYPENTLLAFEKAYAAGADVIETDLQMTSDGM VVVNHDSDTGRMWDKNLVISESTWEEVKQLRCKEDGSLAMMTLKEILTWAVCHPGAKL MLDIKFTNEKIIMVKTFAIMLEVKNDLKFWQDRITWGLWLLDWYDFGIETGVLKDFRV IVISLSLDIASQFVKRSLTLNDPHYKLFGISVHFVSSWTSQFRLKLLPLLMENNIKVY LWTVNKPVDFKYLCELPIHGAITDDPIKARKLCDGHAVVKMPTAGKKFVAPSLASVDG LRFHAFIKVYNILCTLLYSKWVHIKLCGWSIAYVIFLFLRTIHFL SPAR_P00710 MDLRVGRKFRIGRKIGSGSFGDIYHGTNLISGEEVAIKLESIRS RHPQLDYESRVYRYLSGGVGIPFIRWFGREGEYNAMVIDLLGPSLEDLFNYCHRRFSF KTVIMLALQMFCRIQYIHGRSFIHRDIKPDNFLMGVGRRGSTVHVIDFGLSKKYRDFN THRHIPYRENKSLTGTARYASVNTHLGIEQSRRDDLESLGYVLIYFCKGSLPWQGLKA TTKKQKYDRIMEKKLNVSVETLCSGLPLEFQEYMAYCKNLKFDEKPDYLFLARLFKDL SIKLEYHNDHLFDWTMLRYTKAMVEKQRDLLIEKGDSNANNNAANASNNADNKSETFN KIKLLAMKKFPTHFHYYKNEDKHNPSPEEIKQQTILNNNAASSLPEELLNALDKGMEN LRQQQPQQQVQSSQPQPQNQQLQQQQSGQRPNYYPEPLLQQQQRDSQEQQQQAPMVAT RATQYPPQINSNNFNTNQASVPPQMRSNSQQPPQDKPAGQSIWL SPAR_P00720 MEYVAEQTQPVGQTVQQQNANTYGQSVLQPHHDLQQRQQQQQQR QHQQMLTSQLPQKSLVSKGKYTLHDFQIMRTLGTGSFGRVHLVRSVHNGRYYAIKVLK KQQVVKMKQVEHTNDERRMLKLVEHPFLIRMWGTFQDARNIFMVMDYIEGGELFSLLR KSQRFPNPVAKFYAAEVILALEYLHAHNIIYRDLKPENILLDRNGHIKITDFGFAKEV QTVTWTLCGTPDYIAPEVITTKPYNKSVDWWSLGVLIYEMLAGYTPFYDTTPMKTYEK ILQGKVIYPPYFHPDVVDLLSKLITADLTRRIGNLQSGSRDIKAHPWFSEVVWERLLA KDIETPYEPPITSGIGDTSLFDQYPEEQLDYGIQGDDPYAEYFQDF SPAR_P00730 MTAKSMKSIISVPISVSKTAKMKLTASPDNLASMMSKDQNKLIH LDPVPSFKDRHEIKPWLQKIFYPQGIDIVIERSDTSKVTFKCRSVRSNVGLNPKSKGN SSRSHACPFRIRAAYSVRLQKWNVVVMNNIHAHELRFDLITKTDDYKKFKENLRQKND EKAIKTFDELEYKTSLNLPLVSPIISCDCGLTKEIEAFNNIFLPLSNPPLTSKKNLVK TNKNSVFKINSRHMDNSKHKPRLKKKLNPDLRTTGFLDNFRTQNSCVPMGNEESLMNL NEIDFTNMFCNDNPIQNSNTGMDFFPDLTPGPTSSFIFPSTPTEPFPPNKTALAASES TTSSPNFMETDVTNGDEIKLSKDTKSNAPTSDTDITTNLGKERNENFGILNYNYEALL HFNDEQFNELNSIDPALISKY SPAR_P00740 MGIPMQIYQDGERVSFSGRGDQNVFDERAGKYCYHTVNNDYPQL PNMIKEHIYQLTLNGVGEDGEDVENSSEDDDEYDEEKEVEDNFRSNCGLEFVRINNYF STHDLQNFESFRNFNRKYWIFYSNQAEDKKLLLYDFNGQHMIFVKQQFHGQLNLLLST AVICMDCNFDYNSNAVQILVGFQNGKLLKLSCDLNGNVNNHLLLKDPSISSHQNERYL SILNVWAGLLPHFVVSFSLKDGLLITSLDHQQTNGSFQSFQTNLDLPRDLHTTTNVKS VLNFPQFTLYRGNDMMFHCKNFLEPDASSLNKEIRLMLKMDEDVQKIDYLLKTNHILL ETNVRYLSIPTQNPVENSSATTPASNNNEVYPIFYKTQELHVHASRTGRQIANNGKYI FITEQHLYGTALSVYKYSTSLKRWLFVGYSDIRAKYGIMSVKDLFVGNCPSVNSPVVT ILTDDNNIQTILLK SPAR_P00750 MVKRSVGRKVALSLSNQLMTWKWKQQSWLLDRKLATINNDYFIS QWELLFLTNEVTEWKEMIAFLESQLCCTTRNFMGGETYNRKNFQNLIDDYNELLSENN LIISVLKSRPRLSSFPIYLGDEVCSHFKLAIAELDSLIIISFISLVFLWVAIEV SPAR_P00760 MNGTGGVVVGTQNPIRDYNHSTDEEYQRLRKLADEAYKKREQLS HESQTAYQRGDKKLAHELSEKSKAQLKIAEDFNMQAAEYVFVENNADSSSSEIDLHGL YVKEALFILQKRIKFAIEHNEPQLNVIVGKGLHSQNGIAKLKPSIEEFCAQHGISNHL EKGNSGVLVLELQGVQVPVDGSGVNVPANQYNAQPQPQYNNNGGKPQGQVQNYNNNGN DNKDSALTSILKIFCSCIQSLT SPAR_P00770 MRSLLSAHTSSKPTTSCGHSSCPTHLVVGVSQESSSTLSKVVLS VTVKNSSTNWLRP SPAR_P00780 MFGVKDAIFKIKRSLAGTDSSDSTAYTTASESSPQLKDSHNPFR NKTTSKRPIVEECSLPPVRLNGYLPSTKNKLLTPEMCDEIRTLMPTRIQLYTEWNLLY SLEQHGSSLHSLYSNVAPDSKEFRRVGYVLVIKDRKNGIFGAYSNEAFHPNEHRQYTG NGECFLWKLDKVPDVNISEKEGFEQEGKEERWRFSGYPYTGVNEFAIYCTSEFLSMGA GDGHYGLLCDDGLLHGVSNPCQTYGNEVLSKEGNKFSIVALEVWRVG SPAR_P00790 MTSLYAPGTEDIRQRLRPFGFFFEKSLKDLIKGIRSHNETPEKL DQFFKQILSECRDEVNSPDLNSKTNAVLKLTYLEMYGFDMAWCNFHILEVMSSNKLQQ KRVGYLAAAQSFYKDSDILMLATNLLKKDLKYDGNNDVVKVGIALSGLSTIITPSLAR DIADDLFTMLNSTRPYIRKKAITALFKVFLQYPEALRDNFDKFVSKLDDDDISVVSAA VSVICELSKKNPQPFIQLSPLLYEILVTIDNNWVIIRLLKLFTNLSQVEPKLRAKLLP KILELMESTVATSVIYESVNCIVKGNMLEEDDFETAMACLERLHTFCDSQDPNLRYIS CILFYKIGKINTDFISRFDKLIIRLLSDVDVSIRSKAIELVEGIVDEDNLKPVVQTLM KQFVDEDVVILQTGNMVYEKSKRIPIIIPENYKIKMVNVIISICSASNYSNVTDFEWY NAVIMDLAMLCQDISDKSLGLKIGEQFRNLMIKVPSMREVTIANIIKLISNDNINKQL PTILRECIWCLGEFSTLVENGDDLIKVMIGNASYYSHSVQEVLILALVKIFSNWCNNF HEDKRIEIKLALTELIEFFENLSYSSTFEVQERSVEVLEFLRLSLEALEEDPEGLPML LSEVLPSFFNAYELAPIARGTQSNLAVDENLDLETPFLTEEAADELLDEQKNDAFSDL VSDISIDKQVELEFVDDSDTSYEEKEKLDHFDNPFEVEREKERMSNPYYLGEEDEEST QKSKDLLDLNEDDGTEEKPETIRLNRPANSLNSPDLPTVENSGKKKKGKKNRVQVLSD EPVIKAASKKKDAFQKPHGSQSTKNFSKKDRINLRMHSQLENFDFSNFGESSSAVRES QQEGDLRKEDQLELNRLEANLRAKDRKDNLSDTEEVIVIKKKKKGKKSKSKDKLKTKT KSSSESNEFLRDQNTDI SPAR_P00800 MSFKATITDPGKQNIWFRAIYVLSTIQDDIKITVTTNELIAWSM NETDTTLCQVKFQKSFFEEYEFKPHEIVFGENGVQVIEDTYGNSHKLYSFRVNGRHLT TISRKPDGDGIKSFTIAVNNTSTCPESLANRLIVVIEMDSLIVKEYCPQFQPIKYDPI IINLKYKRRFLDVFGTAASDRNPQEPLDPKLLDVFTNTERELTSALFNEEVESDIRKR NQLTAADEINYICCNSTLLKNFLDNCNVNVTDEVKLEINVHRLSITAFTKAVYGKNND LLRNALSMSNTISTLDLEHYCLFTTIEDEKQNRRSHSKRKEHMKSITFKLKDFKNFIT IGPSWKSAQGGNDNISLWFCHPGDPILMQMQKPGVKLELVEVTDSNISDDLLAGKFIK AAISDSKEEARQKDNKEGCGSPLRSRTALKRENQPHSVTGTRNSPLKASSQAPDNGSA VTKTYRSNTARKLFVEEQSQSTNYGRDKLFEQAFSAHADINQEQSLDTNETHGVACLL NQANPLKRSVAKICDEAEGSTQQSTFAKRADTTVTWGKALPAADDEILCSNADRKEML KKEKLKHVQGLIKSKNDTNNHKKQDNKEEEDGLGLTQVEKPRGLFD SPAR_P00810 MNYNNFGNSNSDGHSRLPKPTYSGTLSDGYDESKTKRQKTESAF NGAYSPSLYLNSSHYENSWNTGYTPQLHPFTPHNQYFHPTPPSTQYNFSSPPDYTRNC IPPVNKNVPYSPAFNLSKRPSSYCESAQSLKDNNDYQRSINYEDIAISTAKERYLVVQ EKGQKDKLMNEISLVPSSENQTSVKPAKIPRKDIRLANFSTKDEHNVGLDEEEDDEEL ESEGLGKVVLVPGTSIALITDEDVKKWREERKKMWLLKISNNKRKHMQDMGIKEDELK NQPSIFKESRKEKQFIQSIQNQVQRGNPKIDLNLKLIQREFANENSQLLDFISELGDA GLLEYELSQEEKDVLFGTSEDNNKNNYKPNYRNKKANLNRSNFPRNK SPAR_P00820 MSAVKKDNTAPNPLKRENDHAKSRTHKVTEASSKLADNFHINKS NNTELSSEKPSIDSKYHIKKAVSPGRVRKRKTTTFPTKSRPKSKKKDASESKTEKENK GTFYQGAIFGSFLGAAVTTVLSNLAVKALQN SPAR_P00830 MDLNFKTKSVKINGQNHRILLQNENGPCALLALANVLLLSPNHT RYSCELIRLVNKGSEISLRELIEVLADIGLQVTDKPSTDINELLSLLPRLHEGLNINP QFDGSFENTKEMSLFRLFDVDLVHGWVIDTLTDKAVNEKLSHYSYESAQRILTQVADI NSGISKDENSDEILGDAMHLELFFNESPTQLTAFGLLHLRETLPHNTFSILFRNDHFC TLYKYKDQLYTLVTDFGYKNCKDIVWQSLDSVDGSGDAFFAGNFSTAKVDGQQLLTET ERNFGTENLLLGEIQQIENDKELAKQLQEQEQERVTKLETKRKNLSHKKKSGIHAPVK KDTVKRRNNLLKTKTSETEKSECIIM SPAR_P00840 MSDENSNTEVQDIPFPEQSIDSNSNENESMNNSSGDDQTEFDAP EEEREAEREEENEEQHELEDVNDEEEEDKVEEGEENDEEIGGVMNTEEHQQQRSGNND DDDDDDDDDEDDDDNDDGGDDDDDDDDDEGEEEEEDNDEEEDGDSNNSVGSDSAGEED EDEEEEDESDRTKDKQVEIRRDTLEKEQKDVDEAIKKITRDENENTQFLTNMENVNYD LLQKQVKYIMDSNMLNLPQFQHLPQEEKMSAILAMLNSNSDTALSTPTQDTVVTAAAT TSIAGGARNNDQRKPPLSDAQRRMRFPRADLSMPITEEEHDRYAAYLHGENKITEMHN IPPKSRLFIGNLPLKNVSKEDLFRIFSPYGHIMQINIKNAFGFIQFDNPQSVRDAIEC ESQEMNFGKKLILEVSSSNARPQFDHGDHGTNSSSTFISSAKRPFQTESGDMYNDDNG AGYKKSRRHTVSCNIFVKRTADRTYAIEVFNRFRDGTGLETDMIFLKPRMELGKLIND AAYNGVWGVVLVNKTHNVDVQTFYKGSQGETKFDEYISISADDAVAIFNNIKNNRNNS RPTDYRAMSHQQNMYGAPPLPVPNGPAVGPPAQTNYYQSYGIPPPQQQQQQPYAQSYG MPPPSHDQGYGAQPPIPMNQSYGRYQNSIPAPPPPQQQIPQGYGRYQAGPPPQPPSQT PMDQQQLLSAIQNLPPNVVSNLLSMAQQQQQQPHAQQQLVGLIQSMQGQAPQQQQQQL GGYASMNPSSPPPMSSNYNGQNISAKSSAPPVSHQPPPPPQQQQQQQPQQQQQPAGNN VQSLLDSLAKLQK SPAR_P00850 MRAVTRNKIVNNLYFSTFLIAFASVAIGSVLPCPAHSVDSDSPA VRQHKLQLAHEQELRRKGALRKEI SPAR_P00860 MAGTDDDYGKKSSKLGEKREASFEESIVLRVFGCFRQFFFHYLL LYGVELIDVIITTKMSMSRIWSSIVHFFSVQTLDSRIKPDLEFKRRQRLFINSSKEEN GSSSNAVAVTRNPVISSNSLPPPLWNTWEFKLYYLAFIIVVPLMVKAALATSSESNPN YYKFSGLLAHGWILGRKVDNSDAQYRFFRSNYFLLVVLILLQIVLKRIFVKFSKIPRI KFDFGCGLVFVCFMYGINSVKLLTHAFIFFTLAHSLKRKRLMAAFAIWSYGIFTLFIN QKMKNLPFNNIAIILSPMDQWYKGIVPRWDLFFNFTLLRLLSYSMDFLERWHEQLSPQ SSIDYEDRRPEFRKSLSGSTLQTIYESGKNTLEEKERLVAEHHIQDYNFVNFIAYITY SPLFLVGPIITFNDYLYQSENKLPSLTKKNITLYAVKVFSSLLLMEIILHYIYVGAIA RTKAWSKDTPLQLAMIALFNLNIMYLKLLIPWRLFRLWAMVDGIDAPENMLRCVDNNY STLGFWRAWHTSFNKWVIRYIYVPFGGSNNKILTSFAVFSFVAIWHDIQLRLLLWGWL TVLLLLGETYITNCFSRYRFRSWYRFVCGIGAAINICMMMVVNLYGFCLGGEGTRLLL KGIFNTLHGLEFTIAGIVSLFIAVQIMFEIREEEKRHGINLKC SPAR_P00870 MFSRLKFNNTMRWYRFYSTLDSHSVKFQSGSKFVKIKPVSNLRS SSSADFVSPPNSKLQSLIWHNPLQNVYITKKPWTPSTREAMVEFITHLHESYPEVNVI VQPDVAEEISQDFKSPLENDPNRPHILYTGPEQDIVNRTDLLVTLGGDGTILHGVSMF GNTQVPPVLAFALGTLGFLLPFDFKEHKKVFQEVISSRAKCLHRTRLECHLKKKDSNS SIVSHAMNDIFLHRGNSPHLTNLDIFIDGEFLTRTTADGVALATPTGSTAYSLSAGGS IVSPLVPAILMTPICPRSLSFRPLILPHSSHIRIKIGSKLNQKPINSVVKLSVDGIPQ QDLDVGDEIHVINEVGTIYIDGTQLPTTRKTQTDFNNLKKPKRSGIYCVAKTENDWIR GINELLGFNSSFRLTKRQSDND SPAR_P00880 MRFCSVFTAFSFAASSALAAPVNTTTEDEMAQIPAEAIIGYLDL EGDFDVAVLPFSNSTNNGLLFINTTIANIAAEEEGVTLNKREADAWHWLQLKPGQPMY KREAEAEANAEAWHWLQLKPGQPMYKREAEAEAEAEAWHWLQLKPGQPMY SPAR_P00890 MVTIVFDHPAEDFPDLKIAGEFTDWEGVPMKINTDSGKWEYEFD ESSTTKHNDKDKVHFKFIDQNGNWFADDEYPKEIDEHSNENNVATLSNNEDGGSANEE RRESDKAAHEANKDESELYYEGPATPTPSLKSSMKFASSKAANLQNGPASTEEAIRKE VKHEHAPLSEAPLGGNSKEANKEPSPTFSQQQEEKKKDEGLDNLSEGNDNTRVNEDTD ITDSQESEHEINGSDTENTDISEQEEIQKRDKPVDQSAKSLVKEGDTNTEDYESVLEK LLGALGRFFGSWFSWLTTKMSGTETA SPAR_P00900 MVVSYSNNNNNNNNNNNNNNNNNNSNNNINNNMVPPFPSSDDFA MYQQSNSSGPYQETFMTGPQNFGDAAYPMNGNFTILPSDFAREPNDSFFYENGGIFDY QRIQQQPTQFQMKQRNDSQQQRFSQEQNFGIDNEVVQNNHHFYEYERSSNEFSPFEDE NSNVLSDGMSPTVMATATAVTNANAPLPVNAQANNPLNFTSAPSRTVYLGNVPPNLSV KELLDHVRSGVVEDVKIIPEKMCAFISFVDESAALLFHSDAILKRLNIGDRDIKIGWG KPTRIDPIVAARISTDGATRNVYIGRMSIEGEESHLSEEQLRVDLEEYGEIDCIKIIR EKCIAFIHFASILNAIKVVTNLPIRNPYYQNKRIFYGKDRCAFITKTQQHNAAQFLGV QPGMEHMIEFSDREFISNALLQQSAAAAAIATSAGGPNNLGNRTVYLGSLPKDVKIEE ICNAVRGGLLQSIKLLNDRYVCFVTFIDPTAAAQFYAMSSLYGFTVQKKRCKVGWGKH SGPLPNALALAVSNGASRNVYVGNIDFVSDSLRDERIFTESNLRHIFQQYGEVEQINF LPEKNCCFINYTNISNAILALDKIKSNPYFKDLKINFGKDRCGNVPHQSR SPAR_P00910 MLLQTLRLTMPRVLSHIKSSPATITRAYTVPSLLAAAVPQPALV AVNRSLVFSRGFKVRTSVKKFCSDCYLVRRKGRVYIYCKSNKKHKQRQG SPAR_P00920 MKDVSHYGPALCTKFYNNYVLAGYGPFIHVYDYHSDTLVNKCRL FHYNKVHGINVSSEGKILAYGARSVTIVKLEDILKKESLVDFERINSDWVTGATFSFD NSQIYLLTCYNKVLICDLNCEVLLRKSLGGERSILYSGIIKVFGPHKVYVNAGTVMGG VIIWDLFSETKIHNLLGHEGSIFYVNLSNNGKYVASCSDDRSIRLWDLQTGKQLSIGW SHTARIWNLMFFDNDSKLISVSEDCTCRIWNISELGENITELSISSVYEVHLIKSIWG VDVKEDEMIAVTSGNDGRLKLIDLHQLKRHGDEEVSFSLEDIAEQCGVVFEKNESIKG FQWFSFGLVAITSLGKILQFSDVTKQWKVLLTDEKFISYPITNGIQTQNIAVFSNNKS DILLIKFSKDGADILQTEEFHLDELSKTNNCLVTEYNDDLFLLTLQSPNPHEKFLCLE ISFQNLKIKTKHCFNKPDNFSSSCLTFFQNHILVGSRFSTIVIYNLLDDNEEPLIIRR LSPGDTTTSIEFVESKGNSAVFSVTNRDGYYVFIELTKYNLKESPCQISYKVLHSNKM MKGFLEGAFFNSKGEYITYGFKSSLFYVYNETNCYELASEVCGGSHRLWNFGKITDGH ILMYIKASRFHLRKIYNSIVPETLENGIHGREIRDISICPTSSTKMNDNFKNGHIFCT ASEDTTIKLGYFNSRTGKVQNFWTQRKHVSGLQRCLFINHKLMISSSAREELFLWELN DKYNKRPYLTIRQTLPVSTNNPDLRIMDFDVKFVSQSGDFLLVTVYSDSTIKVWYYKE NQNKFELIMQGRYKTCCLFNVVFIALKEQLLVVVSPTDGYLVVYNITEYVPFSVDPAS GDLVDNGLNATISNLPAPVSLLQVHQSGIKSLDYVVDATKTSATILTGGDDNGLALSN LKLDDANTAMLKTSDFVAAAASSTITSGMLVNGGKEAITTSVDQVIRAWKITAGKLSL ADKKRTTVADTGSLDIISNDEDADSEKTLLIGGVGLSIWRK SPAR_P00930 MDSTTMVPKHSVIGNEDTEKADAIATSQPIDITSTSASSSTTTT AVLGDGVQEGSVKHEDVPTEDGEAEGEEEEGETRCICGELDTPDDSGFFIQCEQCSSW QHGYCVSITQDNAPDKYWCEQCRPELHQLFTTDTGEARSIYKPVQEKRRQSRRRARNA AASKPLSANEVEKSPKNTSNTDDNIDDIGDEEDEVEDEASGLGLAKDGNTRSSRRRRR NSVDDASTDQYSLDAGDSDKKLLDRKRATFMAREEKQYQRMLEKALKESRRTSHQEDP ESYENNGNIFEGDTDDHNGTTRLQTDVMLTEGKPDSITNIDLKDSLRPSKEQPMEKTK DVEKEASQEKESSMSSVQDTEKTDEPIPPLTSISSSEDDSRKASSRGSKRVSKPARKS NRTRRSNTSSDTNQGRRTADIGADKPVKPRLPPQRTSLNEMRRRVSAILEFISRTQWE LSEDQSDREEFVRFVENQHFVEKVDTIYNGYKESLSMMDDLTRELLLWEKKYSNNPSA I SPAR_P00940 MVHRGRTLKSDTDITSLNAPTVSHQSKPFRQFSTRSRAKSNASF KGLRRVLTHDGTLDSDYFNKHSISQKCKSSDALFRKRTISGLNMTALTRVRSNQGKRS ASFHSPVHNTLLSPKNSSHSNSGSAGFGLKPRRSKSTQSVLSLRDAQESNKSESTTDE EVECFSEDNNENGKVNNDKITAEHIVPVEKKIVEHVIQNKLQSPDPINEQEEDKSFNG EKENHRAVSLPLPHLSSDIYFGGSARSMECQNNGEASSSSTETKLNEKSIINEEEQSN STKEAHIDAISNNSKNLKASSMKAGDHTSQVPYNKEKKILDIGNTLSAHKSNRKPSNS NEQFDQEDHIDAPRSNSSRKSDSSFMSLRRQSSKQRKLLNEEEDFIKPGNISSGDAKD IEGHSLLENYAPDMILSQSTGVERRFENSSSIQNSLRNDIRESGEHINSGDTFDEVDD GKLRKSTKNVRRSQLGQNIPNSQSSFPAATNVSSKDNTVPQHNFSTSISSLTNNLRRA APESFHGSSRMNSIFHKKGNQNLLLRSNDASKNSAVATSPLSNEYSTSGTNVSGDTNR RSNGGAKFNSFAQFLKSDGIDAESRTQRKLWLQRENSIMDLSSQNDGSDSIFMAGNID AKREFERISHEYSNVKRFYNPLDEALLRVEPTITGNANNIRKKSHNDGQSIAHSNDTT DHKDEEDLLFNNYDKKFDDLYPHLASAKIQAVLSGIWKNESYLFNKDVNPINKNRTSS TSHSISHTASQNTRNLLRGPMGSSTTLHHQRVINSLQPTTRAVNRRMENVGYMHTQPQ QR SPAR_P00950 MRRSPSRSNNNFAVPNCSTNSNSSQQQLTTPSDDLNSNEPNDPD DSRSLPTIKKFNNKHSINNYNNNLASAAKNNNNKRTSNDNLLIPGENAHKQKIYTKDE NLKSLYLDIDVSVAKALTSSTTAPKLINTARTSSTTTATTSNNILTSPSYRESNYSSP SSYSFSSYYSSATSASSSTSSFLKSAGLSSRVKSPSSSVKVGSFGVPSSPTSGLPNPK SSEKPIFLRRYSHDTSSNEGLDIDVAIEKLLQVGESREITKTSKKKSFPFHSWEIQLI CYHAREIFLNQPTLLRLQAPIKIVGDVHGQFNDLLRILKLSGVPSDTNYLFLGDYVDR GKNSLETILLLLCYKIKYRDNFFMLRGNHESANVTKMYGFYDECKRRLSSKVWKMFVD VFNTLPLAAIIQDKIFCVHGGISPDLHDMKQIEKVARPTDIPESGLVTDLLWSDPDPQ ITDWSENDRGVSYTFSKRNVLDFCAKFKFDLILRGHMVVEDGYEFFARKKFVTIFSAP NYCGEFQNWGAVMSVTTGMMCSFELLKPRALKNKKKLSKTKA SPAR_P00960 MSLEEFDEVKYDHSTKRLDTPSRYLLRKARRNPNGLQELRESMK SSTIYVGNLSFYTSEEQIYELFSKCGTIKRIIMGLDRFKFTPCGFCFIIYSCPDEALN ALKYLSDTKLDEKTITIDLDPGFEDGRQFGRGKSGGQVSDELRFDFDASRGGFAIPFA ERVGVPHSRFDNTSSQSNTNNYIPPPDAMGTFRPGFDEEREDDNYIPQ SPAR_P00970 MNYNCEIQNRNSNSVDNQVSLPPIQVLFNSIEKRSMPELAFSNT EFSHDKLRSSTEEQNYSAPVLLPQHPITYPVLNSGTTTTATAKTSTSTMNTQFQHGSM KKSESVSDDTKPCYKSAPICEIINKEKDAGTQSKRPISDFVESKSRRKQNSGRRSNLP KETVQILNTWLLNHLNNPYPTQQEKRELLIKTGLTKIQLSNWFINVRRRKIFSDYYTL VNSIPNDNANNTTVERAQNVSAYHNTLSATNNTMYDATSTCSTDYELSKRFAHAPVTR RKKLIDRLEELKKLSNPDMN SPAR_P00980 MVNTRGYTAVPNIEGPTSNSQYDLNAQELEPNMGMPSEPPLYVE EMRMEEPQAPEAFSEKVQRFRMCFENNVVIPVKENVVDPLAQMISLVSEKFDLFLSKI GNVMVMRRIFYIVMMSIIAALIIASDRLPNGKARGSNGSFSDHDLLLQYARKSIDLSK IERDLEYISSMPHMSGTSGDVAIRHYIKESFDKNGIRLAGEEEFMAYSNYPGNASLHV YPKDDTEGFDIPLNEENFNPMSHNGHLRNTAVIYANKASLDDMASLQDQGLLDGDFIL LVHYGDYVFQQMLTAQEYGAKAVIFISEPHEDNKNVVQMKSVALPQYGTGDALTPEWE GSIRDPIDASEAKYLPKIPSIPISTNQGEKILATLSDTGVKFSNNLFSGSLNDCRLDL LVQTAIRERHPVHDIVGKIEGSEQAGRAIVIAAPRNSVSYGATYPSFGTVILLSLIQL YQEMVYKFDWKPLRNIYFISFGGSEFNEAGATELMEKRRAALKSEVYAMIDVGQIGIW DDSNDLDIQCHPLLADLFQKNTTSRKFNVKVDNVHQFGDWTPYLAQGIPVAIISSPRV MNREYPIDTVEDKFDVLKDILRDKKKGEILSDVMLYLVEKSLELIDDPFIPFSISNYV DFLSSTLKELQKECSNTVNFEEVFSGTTLWKNTKLQFEKWKSEWTDLMYGAGTYIEPT IIAINRWSWNYLLSQIGVAQCLEEGLLDRAFYKNVIFGPKLRLDKGDPLRSWTFPEIR DSIATKDWSSVQAQVNTLGTILQNSARYFLENKNLHGINTNEF SPAR_P00990 MGFNIAMLCDFFYPQLGGVEFHIYHLSQKLIDLGHSVIIITHAY KDRVGVRHLTNGLKVYHVPFFVIFRETTFPTVFSTFPIIRNILLREQIQIVHSHGSAS TFAHEGILHANTMGLRTVFTDHSLYGFNNLTSIWVNKLLTFTLTNIDRVICVSNTCKE NMIVRTELKPDIISVIPNAVVSEDFKPRDPTDGTKRRQGREKIVIVVIGRLFPNKGSD LLTRIIPKVCSSHEDVEFIVAGDGPKFIDFQQMIESHRLQKRVQLLGSVPHEKVSDVL CQGDIYLHASLTEAFGTILVEAASCNLLIVTTQVGGIPEVLPNEMTVYAEQTSVSDLV QATNKAINIIRSKTLDTSSFHDSVSKMYDWMDVAKRTVDIYTDISSTSSTDDKDWMKM VANLYKRDGIWAKHLYLLCGIVEYMLFFLLEWLYPRDEIDLAPKWPKKTISDKEKEAR ET SPAR_P01000 MRNNANVQVEAHMRDISLQDIVLVNEMKGRVKFIGETKFAKGIW YGIELDKPLGKNDGSVSGTRYFDIDVKKANLNGGYYGLFCRRDTLRFYKPTGDEHSSP NGNTAQETIKKLQVECESLTFELNRRKIENHELKIAAENLSISETDLLSKTSDLDKLV KKLKMENGNMKIHLENFNKMLDVPNDAMARDLDKDTLQERNCLLQGLLEQTKLSYDKA MKMQEDLLEENTQLLEENAVLSKKVSDLGLQLQQTNNTIGDLVLQIEAQSKSSNIVDR LTNDNILLTSNIKALNSELEELHVKEELEENLRITYEQLEQELRLQLSNLQSALENKK ELADTYIEENERLKATLESFEEKATHKSQSLELKVNTLQEELYQNKLLKNFYQIYEPF TQSHLQALSSQLQYLAEVIESENFGNLENIQIYTILKVLSSISYTLHIHSMENASDHL EETLQCFKVNIAPISMWLSEFLQRKFSSKQETAFSICHFLDENKYLDKDVTLILKILY PIFETTVPKLLAFFRASSNLGDDDTLCFIGSLYEMSFSFAARIDKFIVNKQVSIPDNS LLLTPSCNINSSSILMTFFSGPLFFPQEYKRICSLKKLKAFFEGVEVLLENIIISTEQ PKQPSISSASESNNKDSNFLNSLVSDHLNEENIRLKEVLLQKENVLTELETKIKIFMG RDSERKTLEQSVKTLQVELNNKIEENCGKSEMLNKLKEENANLLNRLKNMELSLYQIK DNSKLNKIYLDREKVDRVNLVSEIMELKETIKRRIKEHKQVRIDFSWLDEFPTMENKQ SSKGHLYRSLNTLEIEMVNFMSTSRILDMKLDRSLTEDELWHENDRSYIAYLKRKRKN IRIKSQNTFTYNK SPAR_P01010 MSGSYQHLSNVGSRVMKRLGNRPKNFLPHSEKFIKRSTPEFMKS DLKEVDEKTSFKSEREWKFLPGDRVVVMSGTSKGNIAVIKSFDKRTNAFILDENGPTK TVPVPKQFWLEGQTSHMITIPISILGKDLRLVADIDDEKAPGKTRTVAVRDVFFNGSY YDADYKKVMPYRCVKGQPDLVIPWPKPDSIDVQTDLATDPAIAREQTFWVDSVVRSPI PKKAIPSIRNPHSKYKRGTLTAKDIAKLVAPQMPLTEVRKSYLAEKKELAERQIPKLT EEDMEAIGVRVFEFLEKQKRE SPAR_P01020 MSYFPRTCAPLMRNVLAHNKGNIYLQISTQLHDTSIKIGFNGVR YISRNHGGKQQHINTAPIEFTPNFGYGDRAPNCNKKVGSTAIKTLRCTDDISNSSESD ISNDSSAQLPFNVKLVDPRVRKSKRPSHATSEGLDLKTLKKKIIMPYLQLTKPRLTIL VMLSAICSYALSPYPASVSELLCLTVGTTLCSGSANAINMGREPEFDRQMVRTQARPV VRGDVTPTQAFEFAALIGTLGVSILYFGVNPTVAILGASNIALYGWAYTSMKRKHIIN TWLGALVGTVPPLMGWAAASPLSHPGSWCLAGLLFAWQFPHFNTLSHNIRNEYKNAGY VMTAWKNPLLNARVSLRYSILMFPLCFGLSYFNITDWYYQIDSGLINAWLTFWAFKFY WQQRINYSSKTLKDNVKFNKGLSVANIYARKTFMASVLHLPAILILAIIHKKGRWDWI YPGEAKRPQERF SPAR_P01030 MPFVKDFEPVSLRDTNLFEPIKIGNTLLPHRVVMAPLTRMRATH PGNVPNKEWAAVYYGQRAQRPGTMIITEGTFISPQAGGYDNVPGIWSDEQVAEWKNIF RAIHDCRSYAWVQLWSLGWASFPDVLARDGLRYDCASDTVHINATLQEKANEVNNPEH SLTKDDIKQYVKDYIHTAKNSIAAGADGVEIHSANGYLLNQFLDPHSNKRTDEYGGTI ENRARFTLEVVDALIEAIGPERVGLRLSPYGTFNSMSGGAEPDIVAQYAYVLGELEKR AKAGNRLAFVHLVEPRVTNPLLDEGEGEYYEGTNDFAYSIWKGPIIRAGNYSLHPEVV REQVKDPRTLIGYGRFFISNPDLVSRLEEGLPLNKYDRSTFYTMSAEGYTDYPTYNEA VELGWDKN SPAR_P01040 MSFIKNLLFGGVKTSEDPTGLTGNGSSNTDNSSKANEPVVAGNF FPRTLSKFNGHDDEKIFIAIRGKVYDCTRGRQFYGPSGPYTNFAGHDASRGLALNSFD LDVIKDWDQPIDPLHDLTKEQIDALDEWQEHFENKYPCIGTLIPEPGVNV SPAR_P01050 MSGFHNVGNINMMAQQQMQQNRIKVSIRNWQNATMNDLINFISR NARVAIFDAHVEGPLVVGYVNSKAEAESLMKWNGVRFAGSNLKFELLDGNGASAGTSD TISFLRGVLLKRYDPQTKLLNLGALHSDPELIQKGVFSSISTQSKMFPAMMKLASTER SLVVESVNLADNQLKDISAISTLAQTFPNLKNLCLANNQIFRFRSLEIWKNKFKDLRE LLMTNNPITTDKLYRTEMLRLFPKLVVLDNVIVRDEQKLQSVYSLPMKIQQFFFENDA LGQSSTDFATNFLNLWDNNREQLLNLYTPQSQFSVSVDSTIPPSTVTDSDQTPAFGYY MSSSRNISKVSSEKSIQQRLSIGQEPINSIFKTLPRTKHHLQDQPNEYSMETISYPQI NGFVITLHGFFEEIGKPELEPNKKTGKNNYQKNRRYNHGYNSTSNNKLSKKSFDRTWV IVPMNNSVIIASDLLTVRAYSTGAWKTASIAIPQPPQQQSSVLPQVASMNPNITTPPQ PQSSVVPGGMNMPGAPQGPMIMAPTLQLPPDVQSRLNPVQLELLNKLHLETKLNAEYT FMLAEQSNWNYEVAVKGFQSSVNGIPREAFVQF SPAR_P01060 MTVLHTSLPLVRMNYSAFNMGMHCVRTVSLARSGGAKFVGRDAL NVFDSKIPDPVRIKAFKNAIYQFAMGKGKVNFSPMEINLITSLVRGCKGEDKKNVLKP LQTKVLFLNKLLLTQQLTDKDILEGMNLAAGPVNVTIPRDITPQEEKDKVELKRQKAE NMDLHPSRKVHIEELLHSLDLDTSNHEDVYKKISLYIQKNEESKTSVGASKQNHVDID IKSLKRYLQNIEKKAHQKNAINKQKRSQARTYEWNTESFSETIPLSAGNILFKRKPNH SWKRFQNGISIFLNTKRGKKKAENSNRVLQGNNILLHSLENNKDVTLSNNIDHSVFNI NFTDLFGVINASGYPPDRILNEINDIELKGWKCVGNLYDNNKIVVFQSSYPFLEDGEA SEKSFTNLKTFLISLTALLASFFAFYRYRLSQREESKG SPAR_P01070 MSGEWNDTTRSDVVSSSSKSDSEYFRIQLNNQDYYMSKPTLLDP SHGESLPLNQFSQVPTIRVFGALSTGHQVLCHVHGILPYMFIKYDGQISDASTLRHQR CAQVHKLLEGKIRASFKREKYDKQDSHSDRLGNLNFVADVSVIKGIPFYGYHVGWMLF YKISLLNPSCLNRVSELIRDGKIFGKRFDIYESHIPYLLQWTADFNLFGCSWINVDKC YFRSPVLNSMLEMDKMALNDELQLLLDRYCDLQYNVLNRRDFPRVGNGLIEIDILPQF IKNRDKLQHRDLHHDFLEKLGDTSDILVKPYVSSTKDMINELAMQRKALSLKEYEVPS ETKRHVDGHRWQSSEEFEAFYEKAQDKTSTFDGQIPNFENFIDKNQRFSAIKTPYEAL PRLWPSLPQTEINSTNIQNQKREEQIDASFTEYEMWSIENEQEGVQETNVKPQSYSCL PEGLESPKHTVIFLDHQTKPHNTINFSMDCTLTQNMAEKRKFRLSASTDKAPLLSRKR KKAITGGLRYGERAFVYEVPPFGYGDILDKLEAEGFPKMEYKDPFFSNPVDLETKPYA YAGKRFEISSTHVSKRLPVQFGEEIISVYNKPHFDMFSSWKYVLKPPRYDTVRKWYEE EPSIGRKNTESQISMHTRHSKFLYKFASDASVEQKRKKNGVHDSLTHLTLEIHSNTRG YKIPDPAIDEVSMIIWCVEEETFPLDLDIAYEGIMIVHKGSEDSTFATKIQYCIKDIP VMFYESEFDMFEALTDLVLFLDPDILSGFEIHSFSWGYIIERCQKIYQFDIVKELARV KCQFTTKSSDAWGYAHSSGIMITGRHMINIWRALRSDVNLTQYTFESAAFNLLHKRLP HFSFKSLTNMWNAKNNITELKTVICYWLSRAQINIQLLRKQDYIARNIEQARLIGIDF HSVYYRGSQFKVESFLIRICKSESFILLSPGKKDVRKQKALECVPLVMEPESTFYKSP LVVLDFQSLYPSIMIGYNYCYSTMIGRVREISLTDNELGVAKFSLPRNILTLLKNDVT IAPNGIVYAKTSVRKSTLSKMLTDILDVRVMIKKTMNEIGDDNTTLKRLLNNKQLALK LLANVTYGYTSASFSGRMPCSDLADSIVQTGRETLEKAIDIIEKDDTWNAKVVYGDTD SLFVYLPGKTANEAFSIGHAMAERVTQNNPKPIFLKFEKIYHPSILVSKKRYVGFSYQ SPAQALPIFDAKGIETVRRDGIPAQQKIVENCIRLLFQTKDLSKIKKYLQGEFSKIQV GKVSAQDFCFAKEVKLGAYKNEKTAPAGAVVVKRRINEDHRAEPQYKERIPYLVVKGK QGQLLRERCVSPEEFLENENLELDSEYYINKTLIPPLNRLFNLIGINVTTWAQEIVKS KKANTTSTKGENIVRVGTSVTCCNCGEEFTKIRSNQLCGDCLEKRSTTSSSFLIKKLK KQNEYETLKTVCRTCSYRYTSDAGIESDHIASRCNSYDCPIFYSRVKAERYLKGNQAV QIEEALISLNDW SPAR_P01080 MNSRNTVIYIKVKGKRPQGFLDPPKFEWDGAKERQLWAMVSNLN YSQDQIDWQNLSKILETPEFFLKKRTYKLFAKHLELLQLQLEKKRDLEKYSNVQVNEG MPDILHKYIPTLQNDNLLNVPATPLATERQDSEEVETEVTNEVLQHLQTSKILNIHKA KSDNEKKFDDSSAKDGVNKELGCNSSDDDLSSSLSVSKSALEEALMDRLQF SPAR_P01090 MGQHIKKLDSNVSEKLKSQVSSVSLASAVREIVQNSVDAHATTI DVVVDLPNLSFAVYDDGTGMTRNELNILATQNCTSKIRKMSDLVTMNTYGYRGDALYN ISNVSKLFICSKKKDYNSTWLRKFPSKSVMLSENTELPIDPIWKIRPWSRTESGTAVI VEDMLYNLPVRRRILKEEPPFKSFNALKEDMLQILVMHPMVSLNVQCTDKSKGHTEVL FRSKNITEGLTKHQKMSQVLRNVFGAIIPPDMLKKVSLKFNEYKIEGIISKIPVRLKD MQFIYINGRRYTDSMFHGYVDSLFQAQDFGNNNMSSLKTRSVGKPYRSHPLFILDVRC PQTIDDLLQDPSKRIIKPSHILTIEPLIVKTVRSFLTFQGYLSPDKPDSSLEIINCSQ KTATLPDSQNQISKCDRVLSSKMKIARINPYIGNSFVNKCNINKNTINYERIKNIRLD GQKSSLRNKLSSSPYNIDVMQDYDSIGKTITNFSISKSVLAKYEVINQVDKKFVLIRC PDQSIHKSPVLVLVDQHACDERIRLEDLFHNLLTEIITGTFVAQDLTDCCFEVDRTEA DLLKHYQREFKKWGICYEAIEGTLETSILKIKTLPEILASKYNGDKDYLRMVLMQHAH DLKDFKKLPMDLPHLKNRTSIDKLYWWKYSSCVPTVFHEILNSKACRSAVMFGDELTR QECIILISKLSRCHNPFQCAHGRPSMVPIAELKQREP SPAR_P01100 MIFKIFCSLLLVTSNFASALYVNETTSYTPYTKTLSPTYSISPQ ETTLTYSDETTTFYVTSTLYSTYWFTPSQPATITSTPTTSPATLTTSTNEYTTTYSDT DTTYTSTLTSTYIITLSAESLNEKAEQVSTSVTEIASTITESGSTYTSTLTSTLLVTV YNSQASDAMTTSTAGNAASNIDALEKLVSAEHQSQAVKITSADEDYCSASTVYVTVTS AAVTQLVTTTAEPVVKYITVTADASNVTGTANNGTNI SPAR_P01110 MYASGGKDTCQLLGPVSLFVQTLMGMTAVVVLLVKRNYEHPRRK MIVWGYDIGKQIIGSLGIHFLNLGISILKKRRKLLFPTTANGDDDEDQCDWYFLNLLL DTTVGIPILWLCLYIIEKVLKFLHFQNIESGNYFPSRTVRSRSRKPLFSAFVKQLLIF IVGLGVMKICVFLVLNYLEEWAYWFADLILGWSDSWPNFQVFLVMFVFPILLNCFQYF CVDNVIRLHSESLTLTNAENFETNTFLNNEIPDLSEASAEAPNKDNNISSYGSII SPAR_P01120 MDYEKILFGLQPILNASSVKDVPMNDVYLGSYLTVMDQLAISLR EPNNRDVIGKTGLLSNLVRVLEQTLDYCFHDTNILNHDKIAFFEISSEMIRCIANAIS DNDDNRQILLSSGGKKLLTYYIGGILQLNQISSDGTEDDLLDKLQMRSVVLLRNFCIG NIKFTEKLAPFIRGPLFVMLKTTQYTYLTSPEKVILGSDLLNDLLKFNYQNIQISDLF FLSQYIKKISSNVQHNELREIEDVAVEVCSNTETQKPTSQKGQEDVEKEEEEEEEEEE EEEEDDDEDDLNCELLLNLSTCLETIVAKDENINFTNEEQIVLGMQKNLLSSLGWLEP KTFNNKLIVMRRLLSCTGNISANLTNSNKREQSLCIETIKSSTSSYVLAAVLLILCNS VASKSDVIALLKLISLSELIKVGRSFQDPLQYQGLLDLLRKLLNIENAMWLDVKDLFI LFQIMKKCHEQAKYYNNLRSLLTNLLNKVLAVLPSSKLQDLINSDPTIISFIAEHGTL TSCIAMDKLLVSKKPNPKEVVTYLWDSIFTFQNLGQAEQLTVSDLFHITKTVGIYLKN STLTTDVNPIENTLFKNYAQKLILILETVLSFKENIDKGSESCFNNGKFIAGVILNIV KDTECLTPEENNLEALAKSFF SPAR_P01130 MSSGLVLENTARRDALIAIEKKYQKIWAEEHQFEIDAPSIEDEP ITMDSEELHRKYPKFMSSMAYPYMNGVMHAGHCFTLSKVEFSIGFERMNGKRALFPLG FHCTGMPILACADKLKREAELFGKNFDNVPAEEEETKEETPAEKDHEDVTKFKAKKSK AAAKKGRGKYQFEIMLQLGIPREEIIKFADAKYWLTYFPPLCESDCTSLGARIDWRRS FVTTDANPYYDAFIRWQMNKLKAAGKIKFGERYTIYSEKDGQACMDHDRQSGEGVTPQ EYIGVKIEALEFADDAAKIIDSSSDLDKSKKFYFVAATLRPETMYGQTCCFVSPTIEY GIFDTGDSYFITTERAFKNMSYQKLTPKRGFYKPVVTVPGKAFIGTKIHAPQSVYPEL RILPMETVIATKGTGVVTCVPSNSPDDYITTKDLLHKPEYYGIKPEWIDHEIVPIMRT EKYGDLTAKAIVEEKKIQSPKDKNLLAEAKKIAYKEDYYTGTMIYGPYKGEKVEQAKN KVKADMIAAGEAFVYNEPESQVMSRSGDDCIVSLEDQWYVDYGEESWKKQAIECLEGM QLFAPEVKNAFEGVLDWLKNWAVCRTYGLGTRLPWDEKYLVESLSDSTIYQSFYTIAH LLFKDYYGNEIGPLGISADQMTDEVFDYIFQHQDDVKNTDIPLPALQKLRREFEYFYP LDVSISGKDLIPNHLTFFIYTHVALFPKKFWPKGIRANGHLMLNNSKMSKSTGNFMTL EQTVEKFGADAARIAFADAGDTVEDANFDESNANAAILRLFNLKEWAEEISKESSNLR TGEITDFFDVAFEQEMNALVEKTYEQYALTNYKNALKYGLFDFQAARDYYREASGVMH RDLITRYIETQALLLAPIAPHFAEYIYREVLGKQISVQNAKFPRASKPVDKGVLAALD YLRNLQRSIREGEGQALKKKKGKSAEIDASKPVKLTLLISESFPEWQSQCVEIVRKLF AEHTLDDNKKIREHVEPKEMKRAMPFISLLKQRLTNEKPEDVFERELQFNEIDTVKAA AKNVEKAAQALKIAEFSAISFPYGAKTGKDIFTGEEVEIPPVTKIVENAVPGNPGVVF QNI SPAR_P01140 MLKLARPFVSPLSRHNVISSGIALTSRRFQSSFTFLNNQSLLSK NQMKSKRKKGSKKAAYHRQPPEQEHTAPLIKQSKPITKKEPNDIRDSHLRKKRRDFSS LPRVPSTSHLKQSDMSTNVLYSGYRPLFINPNDPKLKEDTGSTLYEFAMKLEDLNEPL SPWISSATGLEFFSEWENIPSELLKNLKPFHPPKEKLMNTDELVHVNAKRNTLVDSEI SETLQRKMDELSKRRGKGRKKSVVTLLQMKKKLEG SPAR_P01150 MIIRTPIRTKTKSFRGDQMDFKFPSNESLPRGTLEEYHLNNHHL LNDVFAAENGVSRDEDVNSQILSDYTSTSNTNTNSGYSSNGYYSFANISDNTTSSPRI VVNQNEAANRLTSSDSNKSDYFASHDSQGNDPIHYSSSSVVKNQLHSMEVIPEGNVTG SISTAFQTIPTADNVSYDIAPSSASSLPSKKSTSKSDILLSTQEAKAVTKSNSKKYIK AIEPKGNLVPKPKKKLNRVPTIKRIESSRSSNLRYSSSISSKSSSASCSLKRSKAVRC KGGLLYYFISLGIKIKKKLRKLRLVIRKKLFSYNDQKVASTTNSKKTRSKGKINSKLK KRGPNLTDSNTSSTPRQKRAQRYVSNLQRSISSKSLVPVLAPQKKTKPLTVDTKFEAK PPPSEDSKVGSNTPRSPLVSYTPSLRRTNSSIRRAASILTASATMTPVNNRNSFISVP DTVNHAVTRNSSTYSRSRLVRSKPSTALNAIARQPSIVVENKVIPLSMNRYSIKEEDE YVIDTSSMRELSPVNSVSSSDYDRDSSDSYSNYADAMETTEANSKNRAESGNEIQSLN AKNEDFSNEEGYNLMKHYLSTVIAQRIMLRVQIARMQNPKSNVVYMNRSAETNSTIYE DLVDSLLTEYEADGSFSQIFDGAKIRVEEDEEDDEDEEEDEEEDDDEEEEDKEEEKRK EEEGRNLEDEVSELAELSSMSKQRDLSITFKSPFAMLNSAYSSSIISLPTGAVKRSLT LPVGMKI SPAR_P01160 MGKTFIHASRIRHAARKKKRHSKFKTLIKLLDNNAYKIESSKPL KNGKLFKYWKNRRRLFSKIDSSSIYMTDELWFSVTPERIARFLANFVKACMPSAERIL DVFCGGGGNTIQFAMQFPYVYGVDYSIEHIYCTAKNAQSYGVDDRIWLKRGSWKKLVS KQKLSKIKYDCVFGSPPWGGPEYLKNDVYDLEQHLKPMGITKMLKSFLKLSPNVIMFL PRNSDLNQLSRATRKVLGPFAKCKVLYVKENGYMKGIFCMWGECFFNYEPASAENNQV EPSGNEEPSSENEGLSRGKKHEPTIVNRDNSVDIYDLNG SPAR_P01170 MIADSSALKKRTSTKRSTRIISLTLVLLGIVSFLVLTWNDSLEF YSNAAALNENSKNNKEESEKKFVYKLPNLLKTADNFLSKENELNFLKVKEEISHIQSE VEVDIPAPSSKVTSTFSPTSFHTGDILARITTTTISPQSSSLIMQKNCDHKRFDPKTN FLDIIRTSPAVLLIKSSQTDSIFLKNLLQREFEISPELAIVDLEKHSHGYELEKYIKQ NKLSIDPSAPLESIQSPYLFLNGISVINNGMVRDIIEPHSKGLLLSILKSEARGNLLV EKKDIPSNS SPAR_P01180 MIQKMSPSLRRPSTRSSSGSSNIPQSPSVRSTSSFSNLTRNSMR SVSNSGSQSVSASTTRSNSPLRSVSAKSDPFLHPGRIRVRRSDSINNSSRKNDTYTGS ITVTIRPKPRSIGPSHDNVGLKSPRYSQPRSNSQHGSNTFVRDPWFITNDKTIVHEEI GEFKFDHVFASHCTNLEVYERTSKPMIDKLLMGFNATIFAYGMTGSGKTFTMSGNEQE LGLIPLSVSYLFTNIMEQSMNGDKKFDVIISYLEIYNERIYDLLETGLEESGSRLGTP SRLYMGKSNNNGLGIELKIRDDSQYGVKVIGLTERRCKSSEELLRWISIGDKSRKIGE TDYNARSSRSHAIVLIRLTSTDVKNGTSRSSTLSLCDLAGSERATGQQERRKEGSFIN KSLLALGTVISKLSADKMNLMGSNIPATPGSGSSSSSGSTANNSSAPSNHIPYRDSKL TRLLQPALSGDSIVTTICTVDTRNDAAAETMNTLRFASRAKNVALHVSKKSIINNGNI DGDKDRTIELLRRQLEDQRRMISELKNRSNLGEPLTKSFNESNYKDTTAIGNGGDPNL ALMKAENRILKYKLENCEKLLDKDVVDLQDSEVMEIVEMLPFEVGTLLETKFQGLESQ IRQYRKYTQKLEDKIMALEKSGHTAAPLTGCDGNEVMELQKMLERKDKMIEALQSAKR LRDRALKPLVNAQQSPHPIVDSDKLN SPAR_P01190 MFSLKALLPLALLLVSINPAAAKVHKAKIYKHKLSDEMKEITFE QHLAHLGQKYLTQFEKANPEVVFSREHPFFTEGGHDVPLTNYLNAQYYTDITLGTPPQ NFKVILDTGSSNLWVPSNECGSLACFLHSKYDHEASSSYKANGTEFAIQYGTGSLEGY ISQDTLSIGDLTIPKQDFAEATSEPGLTFAFGKFDGILGLGYDTISVDKVVPPFYNAI QQDLLDEKKFAFYLGDTSKDTENGGEATFGGIDESKFKGDITWLPVRRKAYWEVKLEG IGLGDEYAELESHGAAIDTGTSLITLPSGLAEMINAEIGAKKGWTGQYTLDCNTRDSL PDLIFNFNGYNFTIGPYDYTLEVSGSCISAITPMDFPEPVGPLAIVGDAFLRKYYSIY DLGNNAVGLAKAI SPAR_P01200 MENITQPTQQSTQATQRFLIEKFSQEQIGENIVCRVICTTGQIP IRDLSADISQILKEKRSIKKVWTFGRNPACDYHLGNISRLSNKHFQILLGEDGNLLLN DISTNGTWLNGQKVEKNSNQLLSQGDEITVGVGVESDILSLVIFINDKFRQSLEQNKV DRKRSNLKNTSKIASPGLTSSTASAVMTRKTGIFKDFSIIDEVVGQGAFATVKKAIER TTGKTFAVKIISKRKVIGNMDGVTRELEVLQKLNHPRIVRLKGFYEDAESYYMVMEFV SGGDLMDFVAAHGAVGEDAGREISRQILTAVKYIHSMGISHRDLKPDNILIEQDDPVL VKITDFGLAKVQGNGSFMKTFCGTLAYVAPEVIRGKDTSASPDEYEERNEYSSLVDMW SMGCLVYVILTGHLPFSGSTQDQLYKQIGRGSYHEGPLKDFRISEEARDFIDSLLQVD PNNRLTAAKALDHPWIKMSPLGSQSYGDFSQISLSQSLSQQKILENMDDAQYEFVKAQ RKLQLEQQQLQEQEQEDQDGKIQGFKIPAHPPIRYTQPKSIEAETREQKVIHSNNITN VKSSKKKGNGRFLTLQPLPDSIIQESLEIQQGVNPFFIGRSEDCNCKIEDNRLSRVHC FIFKKRHAVGKSMYESPAQGLDDIWYCHTGTNVSYLNNSRMIQGTKFLLQDGDEIKII WDKNNKFVIGFKVEINDTTGLFNDGFGMLQEQRVVLKQTAEEKDLVKKLTQMMAAQRA NQPSVSSSSMTTKKPPISVASNNGNNSVLSDLIDPPNNANTGNILKRIHSVSLSQSQI DPSKKVKRAKLDQTLKGPENLQFS SPAR_P01210 MTEEKDFCVVASAIYKTIKNSALIQEKMLPEKRLLTSEDMKLWE ESQTRADFTKFIMDLAESVKGHENSQYKEPISEPVNSMMNLLSHIRDIVQKHPVIKDA DSSRFGKIEFRDFYDEVSQNSRALLRSEFPSLTDGQLEQLSIYLDESWGNKRRIDYGS GHELNFLCLLYGLYNYGVFNLSNDSTNLILKVFIEYLQIMRILETKYWLEPAGSHGVW GLDDYHFLPFLFGAFQLTTHKHLKPISIHNNDLVEMFAHRYLYFGCIAFINKVKSSAS LRWHSPMLDDISGVKTWSKVAEGMIKMYKVEVLSKLPIMQHFYFSEFLPCPEGVSPPR GHIHDGTDMDDECNFEGHVHSTWGDCCGIKLPSAIAATEMNKKHHKPIPFD SPAR_P01220 MGENDYKVESLGDIDEFYSRIRWNNQFSYMATLPSHLQRKMEGQ KSLLVRYDNYRKKSSPPSGEGEKAAPQYTPIDSSETSQALISKKDYDTHASTFVNEIF QPKVAEEFIVNRYEKLLSQKPEWHAPWKLSRVINGHLGWVRCVAIDPVDNEWFITGSN DTTMKVWDLATGRLKTTLAGHVMTVRDIAVSDRHPYLFSVSEDKTVKCWDLEKNQIIR DYYGHLSGVRTVSIHPTLDLIATAGRDSVIKLWDMRTRIPVITLVGHKGPINQVQCTP VDPQIVSSSSDATVRLWDVVAGKAMKVLTHHKRSVRATALHPKEFSVASACTNDIRSW GLAEGSLLTNFESEKTGIINTLSINQDDVLFAGGDNGVLSFYDYKSGHKYQSLATREM VGSLESERSVLCSTFDKTGLRLITGEADKSIKIWKQDEAATRESEPGLAWNPNLHAKR F SPAR_P01230 MVKPVGSSKVEQNNIKSIIGSSYNRLYSQFTSDELTEVGNYKIL KQIGEGSFGKVYLALHRPTHRKVCLKTSDKNDPNIVREVFYHRQFDFPYITKLYEVIV TESKVWMALEYCPGKELYDHLLSLRRISLLECGELFAQISGAVYYAHSMHCVHRDLKL ENILLDKNGNAKLTDFGFTRECMTKTTLETVCGTTVYMAPELIERKTYDGFKIDIWSL GVILYTLITGSLPFDDDDEAKTKWKIVNEEPKYDSKVIPDDAKDLISRLLAKSPGERP SLSQVLRHPFLQPYGSVVLDQTEKILCRQRSGGTQFKSKLERRLLKRLKQSGVDTQAI KQSILKRKCDSLSGLWLLLLAQGKKQENCKYPKRSRSVLSVKKVIESATHNDTNGICG DVLKPSIELSRATSLSKMLNKGSDIVTSMTPVSRKKSKDSVQVLNPTLSKSSSQRVYS HSIASSPRKSNNFLQKVSSFFKSKKNSNSNSNNSIHTGISDTLIASNRGAPSSGSFLK KNSNSLQKSRTDTIANSSRTNSKESLKKNVVVGAIVPRNANNISSENNKASGNAVGGL KAAPELLLNEHIRIEEPRLKRFKSSISSEISQTSTGNYDSESAENSRSISFDGKVSPP PIRTRPLSEISQISNDTYISEYSTDGNNSSFKISDTVKPSYIRKGSETTSQYSASSEK MTNGYGRKFVRRDLSIVSTASSTSERSSRTDSFYDITTATPIVSTDNRGSKNSNLKES VLPRFGAQRPWTGKRTYTTSRHGKNARRSSKRGLFKISSPNTDSIIQEVSSSEEEDHN VIYSKGKGLPTPVLQTKGLIENGLDENDEEGDDEYAIHTDGEFSIKPQFSNDVTDKQN HLPSVKAVATKRSLSEGSNWSSSYLDSDGNRQRISSLLVEDGGNPTAYVPDSRIDGKE TKRVL SPAR_P01240 MNEIKQLIWNGELNVLVSIDPSFLMKGSPREMAVLRIRVPRETY LINYMPFIWGKIQNFLSFDPLNDNEKYFWFEHNDMPIPWNYPVGVLFDGLVERSTNFI TSFEDQVKDVITILRIRLVMGDSLPPTIIPITASKTQAEKFWFHQWKQVCFILNGSSK GIMSLSVNESRKFWGSVITRNFQDFVEISNKISSSRPRHIPLIIQTFRASGASGISQP TIRLTGVNPTLKDVEGDILNAEEGINANNFMVICQGIEIPWHIVLCDLYYKFRSFDGF LYITLVPIKGSDKASPEL SPAR_P01250 MNFASANIGRKIAGVGVDIVYLPRFAHLLEKYSPFDPRSRSTFD KITQKFMHEKERFHLSNLLIEENRLSPRLQEYMAGIWALKECSLKALCCRVSKHDLPP AQVLYAGMLYKTQNDAGVPRLEFDKMFDKKYPKYQQLSKMYGSLFSTHEFLVSLSHDK DYLIAITNLVERE SPAR_P01260 MSTTLTATAKLKNLLLNLHTHCIGLHVSDVTPKVYFKLVIRHLL QISRSSAAHPKQRRRAQILLISLFVSGATLLSGVTYGTFKIILKCYKFYKYPWKRKNR RPLIRRTRSQMQLDSGARIMYIPEVELLDRRSPDDNKFMHAIDKKKRKRIFIPPKDND IYEHDKFLFKNVELERAKNSQLFYSKFLNQMNILSKILIPTVFDKNSFLLTAQIFFLV MRTWLSLFVAKLDGQIVKNIIAGRGKSFLWDLGCWFLIAVPASYTNSAIKLLQRKLSL NFRVNLTRYIHDMYLDKRLTFYKLIFDAKASNSVIKNIDNSITNDVAKFCDATCSVFA NIAKPVIDLIFFSVYLRDNLGTVGVAGIFVNYFITGFILRRYTPPLGKLASERSASDG DYYNYHLNMINNSEEIAFYQGTAVERTKVKELYDVLMEKMLLVDKVKFGYNMLEDYVL KYTWSGLGYVFASIPIVMSTLATGINSEERNMKEFIVNKRLMLSLADAGSRLMHSIKD ISQLTGYTNRIFTLLSVLHRVHSLNFNYGAVPSILSIRTEDVSRNANLLASTDSSQDA IRGTIQRNFNGIRLENIDVIIPSVRAGEGIKLINKLTFQIPLHIDPITSKSNSIQDLS KANDIKLPFLQGSGSSLLILGPNGCGKSSIQRIIAEIWPVYNKNGLLSIPSENNIFFI PQKPYFSRGGTLRDQIIYPMSSDEFFDRGFRDKELVQILVEVKLDYLLKRGVGLTYLD AIADWKDLLSGGEKQRVNFARIMFHKPLYVVLDEATNAISVDMEDYLFNLLKRYRFNF ISISQRPTLIKYHEMLLEIGENRDGKWQLQAVGTDEAITSIDNEIEELEKKLERVKDW ENERKKLREKLEII SPAR_P01270 MAPTNVTKKPSQYKQSSRKGKKAWRKNIDISDIEQYMEKKIDHE VTHGTSDITSLQNDALFHVDIEGDNVLKKKLIKRNQIKKVLKSKEILDAVRTNSKIAA LNHHKNGSHENSNKIQGVSKHELKKLMALAGRVHGESKIKNRVAKDGLVKTTAGDLWG EESNSKKQKVKLPSGIELDVEEKDQIPQELLKKSTTSWSVASVRPRTLDIEPVAVKEF TNIPHAGKSYNPNNKAWSELINKEYKDEKTREDERIALEKYKERIRHLMETLDDNEEE ESSSNEEEDGEENEDAQSSGSDNEVRLSINKPVKNKKKTKYQRNKAKRHEEKVKLQQE LKELRERVKGLEEVFNTEETEIVSAIESDNSNKVKKSKKNKKHKLGTKYSVIDERLEI KFSDELSDSLRKLKPEGNLLYDTVRKLQSSGKIESRVPVRKGRKYKQKITEKWTHKDF K SPAR_P01280 MSQYASSSSWTSFLKSIASFNGDLSSLSAPPFILSPISLTEFSQ YWAEHPELFLEPSFIDDDKYKEHCPIDPEVESPELARMLAVTKWFISTLKSQYCSRNE SLGSEKKPLNPFLGELFVGKWENKEHPEFGETVLLSEQVSHHPPVTAFSIFNDKNKVK LQGYNQIKASFTKSLMLTVKQFGHTMLDIKDESYLVTPPPLHIEGILVASPFVELEGK SYIQSSTGLLCVIEFSGRGYFSGKKNSFKARIYKDSKDSKDKEKALYTISGQWSGSSK IIKANKKDESRLFYDAARIPAEHLNVKPLEEQHPLESRKAWYDVAGAIKLGDFNLIAK TKTELEETQRELRKEEEAKGISWQRRWFKDFDYSVTPEEGALVPEKDDTFLKLASALN LSTKNAPSGTLVGDKEDRKEDLSSIHWRFQRELWDEEKEIVL SPAR_P01290 MLVKTVSRTIESESGFLRPTLDVIATVPADDHSKKIPISLVVGF KQGASLNSALSLACYYYAIPLAKDRHMSLKSAGNNVVGIPLLDTNDDRIRDMTRRLAT IISEKFNRPCYVTWSSLPRGDPSMLVTNHLYILKKCLDLLKTELGE SPAR_P01300 MAESHRLYVKGKHLSYQRSKRVNNPNVSLIKIEGVATPQDAQFY LGKRIAYVYRASKEVRGSKIRVMWGKVTRTHGNSGVVRATFRNNLPAKTFGASVRIFL YPSNI SPAR_P01310 MSYTNKRHTYYGGFANDLSDTFQYPQRTDEQRRKHVTFGPYILG STLGEGEFGKVKLGWPKNLSSSSNSTFDFPKQVAIKLIKRDSISSDYRKEVKIYREIN ALKHLSHPNIVKLEEVLQNSRYIGIVLEYACGGEFYKYIQKKRRLKEVNACRLFSQLI SGVHYIHSKGLVHRDLKLENLLLDKNENLVITDFGFVNEFCSRNELMKTSCGSPCYAA PELVTSAEPYEARKADVWSCGVILYAMLAGYLPWDDDPNNPEGSDIGRLYNYINSTPL KFPDYILPIPRDLLRRMLVSDPKKRINLKQIKKHEWLKPHFSFLSITPDEWDKLHNTQ SVFRFAKPRRRYGSRPQSSCSTSSIGSRSDKRDSLVIDSTLITFPAPPQESQNHIIAR PASIASDQRLSPIRRSNRHNRSNSAASVALQAVVNADREYALSHEQSLSPVQNVRQTT GNMATNLSPPPVISPGDIIIETTPIKRSTISRSNIVSNLEKESSAIIQTNKVQPNNMT SSQNHQYNKNKNQNSLQSSKNFYSTSSSSHTKPRPTSYHPGSYTTPSYSSNALPIYEI NEKAKNRTSAQTLNQRDTSPFDSTPYLALDTCITSSSSIESSPKLITHGQFSVAKPSV ELQSVSGDLIKYKRDADVVTRIYDEKYKQKRKSLRYSGIFSDISCDTVTEESDDLRLP ESPVQQHEGRESIEKTKVENPSEKGGKSSKNTIASAKKHVNIPAERSLNETESAKKRF SFLSLYSYDTSKSSLYSSMDSKRKPSPPSQRRPKKDDSYTNSRNSLTAASNISTSHKL TKDQSIPTMARDKCPSETKKAARSERSSIMVSEVSKASVDNKAIQCPEHSTAKRVLGF FKRRSMKI SPAR_P01320 MASMFRPPESNRSHQNTPKLTLPVNLVQNAKHANDGQHLNRSPY SSVNESPYSNNSTSATSATSSMASSSTLLYNRSSTSTKSITTIKNRPVPPPLPPLVLT QKQDGKEYRVVGDSQLYEKFSNLHVDTTYKEPLSGDLLSTKPSNIDTNFMRKDLGTPG GEDSYPSTLLSAYDLSSSGSNSTPLSANNMISCSALIQGKDVDRLDEEAWKFGHLKNE IITLGILGEGAGGCVAKCRLKKGKKVFALKTINTMNTDPEYQKQIFRELQFNKSFKSN YIVQYYGMFTDEQNSSIYIAMEYMGGKSLEATYKNLLKRGGRISERVIGKIAESVLRG LSYLHERKVIHRDIKPQNILLNEKGEVKLCDFGVSGEAVNSLAMTFTGTSFYMAPERI QGQPYSVTCDVWSLGLTLLEVAGGRFPFESEKITQNVAPIELLTMILTFSPQLKDEPE LDISWSKKFKSFIEYCLKKDARERPSPRQMLKHPWIVGQMKKKVNMERFVKKCWESEG DES SPAR_P01330 MSTLDIAEDNKIKNEEFKIWKKSIPSLYQHISSLKPIFGPEVDE SPSTLRSLVFTNDSSCDKSKGVLSVPLLYSQGSEIFEVDCIVPLGLHYKKIESNLEPL EQPDYTTESQKVEQTVLTPKWEFSGETIVKMIYVDNSEINVKVIALSTNGSLAWFREG VKLPVYTMMESPAPLNTASSGNQNDKPCVDFAISNDSKTLAVTKEKNLDGENATIKLV DNSGKIGEVLRTIPVPGIKNIQEIKFLNNQILATCSDDGIIRFWGNETGKEPLWTLSD SLDGKMCCFAVSPFVDTLFMTGTSGGALKVWDIRAVIASADAGADSNINQEHNKVNEL FKVHHFYSEQVSKIQFSALSPTEVVTVGGLGNVYHWNFEPVFAIYNEINEDFQGIISD EVEAESMAFYHTGGCRREIGENNKVNTVAYHRYIEDLVATVDSDGLITLYKPFTGKVS DGKRQLETAKN SPAR_P01340 MLTFECKQSSSEEQNAGSLKEDYFDLNNFLSVFPMSLPQWCPPH SSLKRNPNTGEDVYCICKKPDYGELMVGCDGCDDWFHFTCLHIPEQFKDLVFSFYCPY CQTGITGKNKDAIINGEMSLPKTLWKRKCRISDCYKPCLQDSKYCSEEHGRDFVNDIW SRLKMDEDRAVVKEMVEQTGHIDKFKKFGQLDFINNNAVVKTDDEKEIFDQIVVKDTT LKTLENDLQEIQEISLPSFKKKLELLEVYLGWLDNVYTEMRKLDDDAANGAEGGKEDI KGAKRKKKKNSSRSRARRNICGYCSTYERIPCPVEEFVKEIGNNEEATKIHEVCTKWK CNRHLDWVSTNQEQYLQQIDSLESMQERLQHLILARKKQLNIQYYEELIRRGL SPAR_P01350 MITNTEFDVPVDWLYKGKSRRKTNTKASRPSTSPASSSSKSTLK NGENSTSGNRTSNDKPRARSSSVSNAALSNIEKPDLERNNGNMSASDTDNIPLLTPIN SGNRSDSADIDNLDTVDAIDVIDNDDNRSGTQFVRKKRSTSISNAVVSSKLRLANPSI NATAAASVGKGKLPLISSPSNATIKRSNSTNGEKSKRSIFGSLFGKRSTSSSASTAKK PLPVVNTSTPANEPNDATKIGTSDQRAKELSSPMRGPAPTASKPPTPVSPLPSVLPSP ALTVKDLSTVSLKRVSFAVDKFESDPPQQLPSRTPKKGNILIPDDMVSEIPSISVGIS SSNQSAKSTNSNIKGPLYTKNSKEYILALENQKLALREAAKHQQEAHFAANRIAFEVA SFKTASDAGGKLTAKSSKDTITKQSEEVPPPNVETGQEFENNKLAESLSKAGIDKPIH MHEHYFKEPDQDNCQDGDSMENNEVTLDVIYTRCCHLREILPIPSTLRQVKDKTAPLQ ILKFLNPKPTLIDILSFCDFITIAPIHTIVFDNVALNQDMFRIIISALVNSTVLDKLS LRNVRIDQDGWKLLCKFLLLNKSLNKLDISQTKVKSDLAESLYRHNMDWDLFTDVLSQ RCHKPLEELLLNGIQFNKIPYSCFARLLTSFATQKNFPESGIRLGLAGATTSNISQDC LKFIFNWMSQYNVQGVDLAFNDLSTMVKSMVGKLSALSYNNLRYFILNSTNISTSYDL ALLLKYLSKLPNLIFLDLSNLPQCFPDILPYMYKYLPRFPNLKRIHLDSNNLTLKELA VVCNILIKCKSLSHVSMTNQNVENFYLMNGGDSPVQQTNTDGESDSSCVLDVKGLFAK NSFSSTLYAFARDSPNLIGLDFDYDLISEEIQSRIALCLMRNMRRTMDSTFQLDELDS QDDLLFDGSLVTMTAESVLEKLNLLSDKNIKVKKDTTKRYLLKKYIEKFHILHHNVQH TIDSMFEKRKSGELPLQEKENLVRLLLLEQNLCNILELFSHNPNLNDVLGSGRDDSKE SVDSYGEDSKLPALKHVESGYHVPEEKIQPENDVITARPHLMATDSGKTIDVFTGKPL VFKNSSSSTSAGCKKQEEEEGELHKWGFFVQQQRSLYPENESTRQTPLQSRHMPSNTQ IVDKSTSSSSVSAFNNETAATSLFSPANPKILPKIPSGAVLRSAIMKAKGIDSIDDLI QNVNSNNVELENIYGESIQNSASTLTSGVDSDVSAPNPDKESLETLPADSTDDPNCEV KVTATYDKLLNNLSMERSIRL SPAR_P01360 MLPIITRLARPAVMTARPVNAIGVLRASSITKRLYHPKVIEHYT HPRNVGSLDKKLPNVGTGLVGAPACGDVMRLQIKVNDSTGVIEDVKFKTFGCGSAIAS SSYMTELVQGMTLDDAAKIKNTEIAKELSLPPVKLHCSMLAEDAIKAAIKDYKSKRNT PTTLS SPAR_P01370 MTSIDNKPLPFIYQFTAGAIAGVSELLVMYPLDVVKTRMQLQVT TKSNPVVAAAKVAADHYTGVMDCLTKIVKKEGFSHLYKGITSPILMEAPKRAIKFSGN DTFQTFYKKVFPTPNGEMTQKIAICSGASAGAVEAFIVAPFELVKIRLQDVNSQFKTP IEVVKNSVVKGGVLSLFNGLEATIWRHVLWNAGYFGIIFQVRKLLPAAKTSTEKTRND LIAGAIGGTVGCLLNTPFDVVKSRIQRSSGPLRRYNWSLPSVLLVYREEGFKALYKGF APKVMRLAPGGGLLLVVFTNVMDFFREVKYGKKQ SPAR_P01380 MSANSGVKRASKAFKTCLFCKRSHVVCDKQRPCSRCVKRDIAHL CREDDIAVPNERPSQHESSPEDSDIIPGKYAIGAHGGNLFDHQNNSNDSVGSSGSRYG VMSSKLDSSLVNDTTSLLLPQQPVFVSENVGSEFSSLNEFLSMLENPLLTQTSLSSSS TSNVHLENGSQTTQSPLEYQNDNHRNASEISGQERGIPPIISDSFNNASKGDEQDQGK EESQILANANENSAPTPKEQFFLTAADPSTEMTPEDRLKLVINAKLEAGLLKPYNYAK GYARLQDYMDKYMNQSSKQRILKPLSTIRPAFRTIARSLKDVDLVLVEESFERMLLSY DRVFTSMSMPACLCRRTGEIYRANKEFASLVDCTVDDLRDGKLAIYELMTEESAVNFW EKYGSIAFDKGQKAVLTSCSLRTKDGIRKRPCCFSFTIRRDRYNIPICIVGNFIPLS SPAR_P01390 MIRISPIVRPTLPFFGTFLKSSSQLIPHTLALRRAVSKNVALRN YSVDSEQPKHTFDISKLTRNEIQQLRELKRARERKYKDRTVAFYFSSVAILFLGLAYA AVPLYRAICARTGFGGIPITDRRKFTDDKLIPVDTEKRIRISFTSEVSQILPWKFVPQ QREVYVLPGETALAFYKAKNYSDKDIIGMATYSIAPGEAAQYFNKIQCFCFEEQKLAA GEEIDMPVFFFIDPDFASDPAMRNIDDIILHYTFFRAHYGDGTAVSDSKKEPEMKPDE VAASLANAAILSPEVIDTRKDSQN SPAR_P01400 MAFQKDAKSSAYSSRFQTPFRRRREGKTDYYQRKRLVTQHKAKY NTPKYRLVVRFTNKDIICQIISSTITGDVVLAAAYSHELPRYGITHGLTNWAAAYATG LLIARRTLQKLGLDETYKGVEEVEGEYELTEAVEDGPRPFKVFLDIGLQRTTTGARVF GALKGASDGGLYVPHSENRFPGWDFETEEIDPELLRSYIFGGHVSQYMEELADDDEER FSELFKGYLADDIDADSLEDIYTSAHEAIRADPAFKPTEKKFTKEQYAAESKKYRQTK LSKEERAARVAAKIAALAGQQ SPAR_P01410 MRQQILMVAAQSVLCSTVFGERTNVGLSTEELGGDSILYFNEDP IVIEIDKKAIDEKALEQLANTRNVVLTDLPETLEFIDFNEYAKMKSKSDMLLEYINEY EFDDFERSSEGGLDEEEEDLIYDFNAQAENMGKLDANIYEIVKEKDVVNTYDKNITNA STTESTTTSRPFMTSHSYVASSTPYSNVSTLGEDYDNAGTFLTPTTVALAVLLTILLF IQAY SPAR_P01420 MVATVKRTIRIKTQQHILPEVPPVENFPVRQWSIEIVLLDDEGK EIPATIFDKVIYHLHPTFANPNRTFTDPPFRIEEQGWGGFPLDISVFLLEKAGERKIP HDLNFLQESYEVEHVIQVPLNKPLLTEELAKSGSTEETTANTSTIGKRRTTTSTTAEP KAKRAKTGSASTVKGSVDLEKLAFGLTKLNEDDLVGVVQMVTDNKTPEMNVTNNVEEG EFIIDLYSLPEGLLKSLWDYVKKNTE SPAR_P01430 MDTQLPNNNESMNRFNDIIQSLPARTRLTICSLCLLDNISTQLL RFLILNANSPNIIAVLTDQTAFLSSGETEIFQTLVKLFKQIRMIYHTRSPLLSVHDVA PGLWFPNSPPPLILRGHEAFIITAIRKANLLTFLLTSLNCLNYGFELLQSIFLDIFCP NTNTVGNNSLEQSGKFLKSQAILYLDLKTQAYIAGLKEFQDEANEISLEKKQELLDLI FPSNLADILVQRRTGDSGDITLLTPSEKDFVERCDRRRENLKIVQDFNSLTQSYEWAQ FIRELLDYCNKNMGLIIWGRKGRGKSPLYDFDVNEFDPQVLFSTGTRTLDFMDDQNQS NSASAFLSSARPSHYNAHTPSTDPSSKNPAITQSIVDAAVAASMTNSSSGPHSSHTNS NNGNNTGSIGLRKPKAKRTWSKEEEEALVEGLKEVGPSWSKILDLYGPGGKITENLKN RTQVQLKDKARNWKLQYLKSGKPLPDYLIKVTGNLEKIYKAKKKFSQSPNSSAIMEQN LSQHPSSAASTNEDTQTHQEDPHGQNNDNMPSNGLFGNSTSDNTGFDPHLEDGM SPAR_P01440 MAPKKPTTKTASKGKKPTTSKGKEKPTSKAAVKKTTVKKEEVSS KSYRELIVEGLTALKDRKGSSRPALKKFIKENYPIVGSASNFDLYFNNAIKKGVEAGD FEQPKGPAGALKLAKKKSPEVKKEKEVSPKPKQAATSVSTATSKAKAASTKLAPKKVV KKKSPTVATKKASSPSSLTYKEMILKSMPQLNDGKGSSRIVLKKYVKDTFSSKLKTSS NFDYLFNSAIKKCVENGELVQPKGPSGIIKLNKKKVKLST SPAR_P01450 MTQSLGIEQYKLSVVSGGKPTLNNFSSVTGNKNIARLSHDQRNY IIPFNNQIKVYSVETRQCIKTLKFANNSVLSEIFLQEEDNNESIVKILMGDITAPQQE DAHLITVFTKNGHVVVLNYKGKLVETPKHFKISLGNEKLSNVFHCEGSYRILTTFKDP NQKVHNSLQSYRLYGLTFDDIKGQFDVAHQAEWHNIILSNISSNGKLLAHMSKDVSTK DHEHKSISVVSLFDDSVNLSFPLSSILSSQTQSLSSNTRYVSSMAIDNMGQQLAVGFA SGVISIVNLADLQIRLLKWHIDSVLSLSFSHDGSYLLSGGWEKVMSLWQLETNSQQFL PRLNGIIIDCQVLGPQGNYYSLILQMTENNSNSDYQFLLLNASDLTSKLSINGPLPVF NSTIKHIQQPISAINTKNSNSITSLNHSKKKQSRKLIKSKRQDFTTNVEINPINKNLY FPHISAVQIFDFYKNEQVNYQYLTSGVNNSMGKVRFELNLQDPIITDLKFTKDGQWMI TYEIEYPPNDLLSSKDLTHILKFWTKNDNEANWTLKTKVINPHGISVPITKILPSPRS VNGSQGCLTADNNGGLKFWSFDSHESNWCLKKISLPNFNHFSNSVSLAWSQDGSLIFH GFDDKLQILDFDTFKKFESLENTKTVSEFTLDSEIQTVKLINDTNLIVATRTSLNAIN LLQGRVINSFDLYPFINGVYKNGHLDRLITCDEKTGNIALVINQQLTDIDGVPTTNYK SRIIIFDSDLSTKLGNFTHHEYISWIGWNYDTDFIFLDIESTLGVVGTTVNTQLSDEV NSEGILDGLVSNTTTTSAFNTDVFAEQLNKLSSRGKKSDARDKDANGNDEDEEDIALE FINGEKKDKLVNMNSFTSMFDNIQNVQMDTFFDRVMKVIT SPAR_P01460 MSSSLNELNLVQVLEQASNPQHIRSEVQKLAEQQLKQWETQAGF HYLLQSIYLNLSNSLQIRWLAVIQFKNGVDKYWRSTRINAIPKDEKASIRGRLFEMID EQNNQLCIQNAQAAARIARLDFPVEWPTLFEDLENLLNDEVIRKDSIKVYNILMHVNQ IVKVLGTARIGRCRPAMQSKVPLILPLIVRIYLQSFEEWTTSSNLNYENLSSLQVSYL SLKVLRRIICEGYERPQTDQSVCDFIKLSVSHFEMLISNHENFRKFDIYEKFIKCLGK LYFNLVSGSPANFILLPCSTQILITYTRLIFDKAPKVYRENSDVTGDFWEQTAIRGLL ILKRVINFIHKKGAITLKARSDKLTIDASINKINTEFLNENLITRLVDTLMEWYLRLR PTELENWFMDPEEWINEQMATSYEYQIRPCAENVFQDLINTFSELLVPYLLKKIENDA SKLSNSLDDFLRKDAIYSSFQLSASAVSEMVDFDRLLIQVFLPEATNTNISGDELKII RRRVALIINEWSTVKCSEESKSLCYKLFTNFLTNEDDKVVLLTTVQTVRTMVDDWNFN KDTFQPFLTENVHLLLRKILPSVSLTETRLYVLNTLSDIIIQTKPLISRDLLVEILQI IPNLWGIATNNASEAILANALLRLLRNLVSSLGSQSHLTWDIAIPVVALACDPSSMQY QLLSEDGYELWDMLLQNFSSHEQEFDEKFVELVPFLKYGIETHTEILPTLLEIIKSYA LILNPVDFFANNTFQDIFKQMSRYLLKLREDSFQLILEIWEILILSNESDYENLLLQK FYETGVLSALFDAIFLEEAPSSYLCSQIIQIIARISYVNPDALMTFLAAYHENLPTSN ENMHMPESVRKIVSKDQSYDFVVNKLLTGWIVCFRDIFDPKFKKVHILGISSLLRTGL VPILTEFSSIASLWIEMLEEINETNHGDCEKYHLNDIVTEQSIAFHPLTSEQLRYHEL CKNNDPVHNISLKDFISQSVEYLESHLGVERYQEFLKTINPNLLENLQMFLSIQPQEV HSS SPAR_P01470 MDYKNFGSSTSKKFQDDTLNRVRKEHEEALKKLREENFSSNTSE LGNRKPYKAQERMSPPLHRLSPADKSGDRKMKSPLDDKLRRQLREGNTRLPPPPFSSY GVPSNNRSNLDRIRRRTSSPVRTERFASQNVIDDQRLEIKYLERIVYDQGSVIDNLTS RITRLESFILDSVSDRGDKNFASLEHSHSFSGFPTNKTYGLQMGGPYENDIPYRRSSD NANKEGTRADRSSQIHIENESTEDILKILSSSFHN SPAR_P01480 MLLKNLHSLLQLPIFSSVADKGIEPNCPINIPLSCSNKTDINNS CCFEYPGGIFLQTQFWNYFPSKNGLNETELVNELGPLDSFTIHGLWPDNCHGGYQQFC NRSLQIDDVYYLLHDKTFNNNDTSLQIPGTRLLEYLDLYWKSNNGNHESLWIHEFNKH GTCISTIRPECYAEWEANSVDKKRAVYDYFRITYNLFKKLDTFATLKKNGIIPSVDNS YSLEQIKAALRKEFEGKQVFIGCDRHNTLNEVWYYHHLKGSLLSEMFVPMDSLAVRTN CRKDNIKFFPKGYVPPFRRRPNKGEGYRGVVRLSNINNGDQMQGFLIKNGHWMSQGTP ANYELIKSPYGNYYLRTTQGFCDVISSSSNELVCKFRNIKDAGQFDFDSTKGGDGYIG YSGKYSWGGNTYPRRRNQSPIFSINGEQGSKKYEFKLKFTKN SPAR_P01490 MSDYSLKHSVTQYLEEIPQQVQNRLYTSPATCLAIYRILPPLAK FFIMAMVFNENEVPLLDLDKWVNSNGKLQFQDAIKSMKSLHLLIPNKSSGTLMINLNP TFKISLRNALTGGEVQNSFGIVVEDNVVSLDLLDEYSANKWETILHFMVGTPLAKIPS EKVLNLLKHSKLMEEVNSTGEFKITNEGFQFLLQEINSQLWTLLLQYLKMIETSKMDL VDVLHFIFMLGALEVGKAYKIDALSETQRIMLQDMRDYGLVFQKHSNDSIFYPTKLAL MLTSDTKTIRSASNAMDSVLRQNREEPSVNEDGANGKSTTDITTSDDLNKAGLKNQDI PDGSLIVETNFKIYSYSNSPLQIAVLSLFVHLKARFVNMVLGQITRESIRRALTNGIT ADQIIAYLETHAHPQMRRLAEEKLEKKLELDPNCKEPLQVLPPTVVDQIRLWQLELDR VITYEGSLYSDFETSQEYNLLSQYAQDIGVLLWKDDKKKKFFISKEGNSQVLDFAKRK LKKKQ SPAR_P01500 MHDQEEWLDKDKTLVNEEENAGNNHSHAKKDFNNHRVGKSGIKE LKKHANQKEISIQNRELTKQLTILRQENNHLQQACKILSENKITENRKSIEKWRTICE MELSFILNSTLIKINRMGGYKDFLEKEMEAKKRRLEYQIDSGMEDQIYDIKESEEFKQ LSKVEQQEWESQMNEQLKELEKNKIAELEKLNKVLLDCEGKEFGMAELCIRLKLDYNL IFPQ SPAR_P01510 MKCQTCHLPLQLDPSLEGLSLTQRNLLLSHNSITAATNENTISN KGIEAHNNRAPQIPKERLRRLGEIQNIKDLNLIDDKLTTDSFVFLNHDEDDSANITSN SRNDQDYGNANGDGNKKVNSDAFDGNSTFRDHDEEEQEATDEDENQQIQLNSKTLSTQ VNAMTNVFNILSSQTNIDFPICQDCCNILINRLKSEYDDAIKERDTYAQFLSKLESQN KEISESIEEKQYSDNLSENENLKKEEQRLLEQLLRLEMTDNDLDGELIRLQEKRVQLE NEKLQKLSDQNLMDLNNIQFNKNLQSLKLQYELSLNQLDKLRKINIFNATFKISHSGP FATINGLRLGSIPEAVVPWKEINAALGQLILLLATINKNLKINLVDYELQPMGSFSKI KKRVVNSVEYNNSTTNTPSDWLILPVYYDENFNLGRIFRKETKFDKSLETTLEIISQI TQQLSTIASSLPSQTTPASQDGSSIDNRNDTENCTSILELPYIMNKDKINGLSVKLHG SGPNLEWTTAMKFLLTNVKWLLAFSSNLLSKSITLSPTVNHNDNTVNSN SPAR_P01520 MADLPQKVAKLSINSKENGDDGGKPSYVPPHLRSRGKQTFEGTI TKKEEKAPGGDFFRRTGRQAGDNGGFFGFSKERNGGASTNYNRGGSSGGYKSSGSRWV DGKHIPGPKNARLEAELFGVHEDPDYHSSGIKFDNYDDIPVDASGKDVPEPILEFSSP PLDALLMENIKLAGFNKPTPVQKYSIPIVTKGRDLMACAQTGSGKTGGFLFPLFTELF RSGPSPVPEKAQSFYSRKGYPSALVLAPTRELATQIFEEARKFTYRSWVRPCVVYGGA PIGNQMREVDRGCDLLVATPGRLNDLLERGKISLANIKYLVLDEADRMLDMGFEPQIR HIVEECDMPSVGNRQTLMFSATFPVDIQHLARDFLDNYIFLSVGRVGSTSENITQRIL YVDDMDKKSALLDLLSAEHKGLTLIFVETKRMADQLTDFLIMQNFKATAIHGDRTQAE RERALSAFKANIADILVATAVAARGLDIPNVTHVINYDLPSDIDDYVHRIGRTGRAGN TGVATSFFNSNNQNIVKGLIEILNEANQEVPTFLSDLSRQNSRGSRTRGGGGFFNSRN NGSRDYRKHGGNGSFGSTRPRNTGTSNWGSSGGGFRNDNEKSGFGNSNASWW SPAR_P01530 MTLAELLGRSRIAQVANNHKPLTYTGKKFHPTHQIIETKPSTLY RQEWGLKSAIPSKIKSRYLVYNDLDTLERITTFEPRGGTQWNRLRFQEMGVPIVSNIG RQNPFFKDISRPEDESDARLSLFKEMKGDADISPAAMEKRLKKITALIRSFQNEFKEW LVENHPDELKLNSNKLEDYVVKFLNKKLETKANNKFNTEIIGTGGLSYGLSGKLKNSP NGVIQRTVVPGRVLNVVKENNDNKWLAAIGGFVADVVFFQSPPNSFNSMGDFIRMKTF LFEILEASMEKNGSVSMHARLLEPQNDKTREFFNKRPIYKPLTARRARRPSVGNIQEA NNLLNIIKGN SPAR_P01540 MTADNNSVPDGAVSSYAKLVQNQTPEDILEEFPEIIPLQQRPNT RSSETSNDKSGETCFSGHDEEQIKLMNENCIVLDWDDNAIGAGTKKVCHLMENIEKGL LHRAFSVFIFNEQGELLLQQRATEKITFPDLWTNTCCSHPLCIDDELGLKGKLDDKIN GAITAAVRKLDHELGIPEDETKANGKFHFLNRIHYMAPSNEPWGEHEIDYILFYKINA KENLTVNPNVNEVRDFKWVSPNDLKTMFADPSYKFTPWFKIICENYLFNWWEQLDDLS EVENDRRIHRML SPAR_P01550 MSSKQSDPLERFYKQFQAFVQNNPNVISAARAAAQIPESAKAVV VLSPYSLQHVFPRDWVTKSYKKTIVERPERLLASSMGISAAITMYPSLFTLKSSHQRK GSLMAPHVFKVHGSSWPAELIELCQTADAKLLKGEIEVPDTWNSGDIYLSSKTIKALQ GTIGAIETGVDSIFKGPSAEHISNRAFVAIRPPGHHCHYATPSGFCLLNNAHVAIEYA YDTYNVTHIVVLDFDLHHGDGTQDICWKRAGFKPEEEPENSSYDDFGKKFAEFPKVGY FSMHDINSFPTESGFATKENIKNASTCIMNSHDLNIWNIHLSKWTTEEEFNVLYRTKY RTLFAKADEFFRSAKLEMNQQGKPFKGLVVISAGFDASEFEQTSMQRHSVNVPTSFYT TFTKDALKLAQMHCHGKVLSLMEGGYSDKAICSGVFAHLIGLQNQDWVKEWGSEQVVK EIVRGCKPAWKPYKTRRAKDVIRIWAEEVIRLGRAMIPEFDDIIFKDALSAAPSDSLL KATVGPASASTIAQRIIRSHRSNASPEEESHENKPRSMEKQEQKEVRGEAKVKQLSSN NRAAETQIPFLQQEFSSEDEDEEYVYDEELNKTFNRTVEDITIDDISRHLETLEIEKK GDDEPDQELKEKNWKNSHQRRLQSNGMYKIPSNTKPHRIRQPQNANAPTYDDSDISMI SHVSRKHTTRSGGRW SPAR_P01560 MTDNLTTTHGGSTTLELLAQYNDHRSKKDKSIEHIEKGTSSEKE KKPSYDEIFTENIKLKLQVQEHETEIESLKKVIDILQKNREASLEVVLEQVQNDSRDS YVAEQSFVLPPRSAERKAHIKSLNLPIPTLSPPLQQGSEVALETSVTPTVPQIDVTSN TSISRKHLQNMILSEEIEASSSFSSPKIVSRSVSSPTQIHSEQLASPAASVTYTTSRI TIKSPNKGPKSPLQERLRSPQNPNRMTAVINNHLHSPLKASASNNLDELTESKNQQLP NNSIQKNDRAYSSVTSSAYTTGTPSSIVKSPSPLLEVKEGENKTLGFSPASKEKLDDF TQLLDSSFGEEDLVNNDVKDPVSIKSKMNESLPPPPAPPTFFSPTSNGNMKNATPLSS HLASPVILNKKDDSFGSKCAKNLKKPPLTSSLPNSSTKLSTVNQNASLSPNPPAESSS KQKQSVEAASIHSTNTTNTFGSTPQGSLKTFRRPHASSVSTVKSAAQSLKSDIPLFVQ PEDFGTIQIEVLSTLYRDNEDDLSILIAIIDRKSGKEMFKFSKSIHKVRELDVYMKSH VPDLPLPTLPDRQLFQTLSPTKVDTRKNILNQYYTSIFSVPEFPQNVGLKIAQFISTD TVMTPPMMDDNVKDGSLLLRRPKTLTGNSTWRVRYGILRDDVLQLFDKNQLTETIKLR QSSIELIPNLPEDRFGTRNGFLITEHKKSGLSTSTKYYICTETSKERELWLSAFSDYI DPSQTSSLSNSRNANEVDSASHLSVGTHHSKFGNASTSSTETPSYVTDLTREYNSNNS ISNNNSYTANSDGIDSNSSSYSNFLSSSTTDEEKDSRRAKMRSLFPFKKLTGPASAMN HIGITMSNDSDSPTSPDSIIKSPSKKLMEVPTSSNSSPVPHSSTTIFGSSLETCLRLS SHKYQNVYDLPSVVYRCLEYLYKNRGIQEEGIFRLSGSSTVIKTLQERFDKEYDVDLC RYNENIEAKDDETSPSLYIGVNTVSGLLKLYLRNLPHLLFGDEQFLSFKRVVDENHNN PVQISLGFKELIESGLVPHANLSLMYALFELLVRINENSKFNKMNLRNLCIVFSPTLN IPISMLQPFITDFVCIFQGGDPVKEEEREKVDIHIPQV SPAR_P01570 MITSIDTVDVTYSVKPRILVPYKTQWEVASHLPEYRKMAERVEF YKYEMTTKDDFVAFLKTHRINGFWITEEFFSVLGNPSNYIEFFPSSLKAILVPWVGCD FIDGKLLRTKGIILCNIGPHAADHVTELTIFLAISCFRMTSFWEYCFKYVENGNVEQC KKYISSESYRIVTDRFHGQEMKFPFRTDSRASEKDGKVVDLTDKYTVGGKFMESPMNK KVLILGFGSIGQTIGANLQKVFNMSIEYYKRSGPVQKNLLGYSAKYHSDLDDPNTWKN ADLIILALPGTASTNDIINRESLAWCKDGVRIVNVGRGTCIDEDALLDALESGKVASC GLDVFKNEETGVKQELLRRWDVTALPHIGSTVADMIIKQTLITLVNVRDIFVEGGEGK YVLN SPAR_P01580 MSHFGTADIVSGSETPPYSGVSYQDAQDDNTHPHSSDAGAEKFS VGSGGKPHTESSRSDDEDSQAKTKMVDNITILKYLLDSLSGRDKLAKIIKYTLDILKL FIEKSKRNLTVLDPSVLAYYTKILKNLTLKVALRHPITVIKVLLLSLLGNFDRKIDFI SQQLSTFRYILRFGGTPFRVFSLLGKFNKTRKCNFQIDQIKKIWFNEASLREFLDLYY GIFDELDLLYKLKIWTNKSFYSFVSRQESFAWQYDILLSLKDHWLNLQSLQKRQLELE VQLKVQNNALLLSPILMHQAQNDDDSQSPIRKQLLCDLNVNNNAEMLIHRQLKAIKDE KTLVYLDIVRLSFDCMANTSDILNLKTPKGTYAVLSLGSGLTGLVKLWITAKRSLCSS KD SPAR_P01590 METGPHYNYYKNRELSIILAPFSGGQGKLGVEKGPKYMLKHGLQ TSIEELGWSTELEPSMDEAQFVGKLKMEKDSTTGGSSVMTNGIKAKRADLVGEATKLV YNSVSKVVQADRFPLTLGGDHSIAIGTVSAVLDKYPDAGLLWIDAHADINTIESTPSG NLHGCPVSFLMGLNKDVPHCPESLKWVPGNLSPKKIAYIGLRDVDAGEKKILKELGIA AFSMYHVDKYGINAVIEMAMKAVHPDTNGEGPIMCSYDVDGVDPLYIPATGTPVRGGL TLREGLFLVERLAESGNLIALDIVECNPDLAIHDIHVSNTISAGCAIARCALGETLL SPAR_P01600 MKFGKTFANHRIPEWSSQYVGYKSLKKMIKEITILQEDIYRAHN KNRYDESRPPTKMRDSSNSAQNYLDSPKIQKLLGSFFFAIDRDIEKVDTFYNSQFAEY KKRFERLLSSNQFNEIKSTLAVDANKEDAIAQTLLTKDTREMNMLLKGKSQVNRVPYH KDDLIEIQSILAELRKQFRNLKWYAELNKRAFGKILKKLDKKVGTHQQTSTMETRILP LQFADDSLITRDLSLLKTIWEQVTFRINSYEKVMRSTSPSANASDNSEFFRIICFFIE EDDSKGLIRELTNLYSELSLIPTRVMINVLNKAAMSKSLVCINAILKIIPSLNDSEDI NRRNFFHHHIIAIGKLIGKQEVSGRKRKSQPFKYTNGKGEIVTNLRALHTTLSAPVES DSIAEEEKSSACILSYILNELPVHLRPCLFQHDNYKRTPLHYSCQYGLSEITALIIKL MKEWNIWNEVPIDDVSTFGDAESLTPLHLCVLGAHPKTTEVLLQSFDPNVKLKSSSLL HLATEWNNYPLLNVLLSSKRFDMNHQDNELHETPLYLACRLNFFEAAVCLLYNGANLE IREKLFGWTAIFVAAAEGFTNIVKLLIANNANFDIEDEGGWTPMEHAVLRGHLHIADM VQIKDELVTHPHSQLNSGSEEKESSNEINTSELDDKNENGNGVNKGSLGKLAGPIKSY GHRFLDNNESLILITLGSNDTRNKSPSISLSQEALANVVGLETDCALSLVVSCTDSID RSSVILDLPLEDNVDAVDFKVPFKVDYSHTLYFDIVPTYGTRSLDPHNKISCQKNINN HVMGRGVSMLNKSYSSVGVNRSILNGSVTVPIIANHTLEILGTLKFEYIIITPFEHPE LPSERTETYWKSLVSTRVIGHRGLGKNNPNKSLQLGENTVESFIMAASLGASYVEFDV QLTKDNVPVVYHDFLVAETGVDIPMHELTLEQFLDLNNANKEHIQRGAGHPSRHINGE ETALQKYRGRSVDDSDVSTLRKAWDLHDVDPEGRSNNFHWSNNRMRLTKTFKKNNFKG NARGHSIASSFVTLKELFKKIPANVGFNIECKFPMLDEAEEEELGQIMMEMNHWVDTV LKVVFDHANGRDIIFSSFHPDICIMLSLKQPVIPILFLTEGGSEQMADLRASSLQNGI RFAKKWNLLGIVSAAAPILKAPRLVQVVKSNGLVCVTYGVDNNDPENASIQIEAGVDA VIVDSVLAIRRGLTKKI SPAR_P01610 MSFLKFTYRNSWRYYSQSTRHLHKISIRQLIIPTSIAFYLTHNS VSKQYRLIYNDSLKPDPKGDTFEMGLYVSSENELQEKLISFRSTKIIESPNKLIRCLR ILWFGFNDNVVEPVCTILRFLEISAIFLPLLLLYPISWFGHKFRVTDTNITETRGSLI WCQLLRKALELAGPSFIKLGQWAGSRTDIFSHALCHELGKLHSNVSAHSLSFTLKKLS QALKVDKIEDAFDEFNRTPIGVGSIAQVYVGELSQKYIDKYDNIQIGKDGNRWCAIKI LHPNVRSQIRRDLKIMKFFADTINWIPTMEWLSLPSEVNQFSILMNIQLDLRIEALNL ERFNENFKNSIQVKFPKPFLPLSNRDVMFEEHVYGLSMEKFLSTKKQIDDVELCKKVS DPFVDAFLQMLILDDFVHADLHPGNVIIRFVKTNKYGTNIISSELESFRITHALRRKI EENRDQDFVGELKSVLTNYTPQICFIDTGIITELNEKNRINFIALFNALARFDGYRAG ELMIERSRTPETAIDKEVFAFKVEKLVDKVKQRTFTLGTVSIGDLLDQMLSMVRSHHV RMESDFVSVVVAILLLEGIGRQLDPNLDLFESSLPILREFGFKREAKSLLKDASTLSM LKIWVGLEVRQLMHLSMKQIYDLVRTDQLCPNY SPAR_P01620 MKELSDRGKATKMVEENYSTGFRKPHGEKDQSVTKPISLDSRTG EVIVRKSTGKTKIRKGQTEEEYKQQLRHYFKVEEGPVRTKVGWMDEVDLLAEIRDGKY DISNKHQRQVLSGFCHRLFYRRKYEDCLNLSTYLLGLFEPFNVKNKMKRELEELEYMI EQCRGHTL SPAR_P01630 MIRSHGWTLLNRFYPVRSFTRYSKIDMTFEGNTQDISTSIEERM STVFGGRLKGEPPRSTSRVLSGGMKKIAGVQVPAKPQEPDNCCMSGCVNCVWEIYSED LRDWKHRRKEAAEKIAGTEERWPKDWNPPLGLLHMENVPMELREKKLEIDSRKAEQPH DLSTIKSLFPRRKGPLPKSVLAAKRKNIALRHKHEQEEGADQTVDELDADEGWEDIPV YVKAFAEFESKKRLQKIRRQEELKKRTALV SPAR_P01640 MSTPFGLDLGNNNSVLAVARNRGIDIVVNEVSNRSTPSVVGFGP KNRYLGETGKNKQTSNIKNTVANLKRIIGLDYNHPDFDQESKHFTSKLVELDDKKTGA EVRFAGEKHVFSATQLAAMFIDKVKDTVKQDTKANITDVCIAVSPWYTEEQRYNIADA ARIAGLNPVRIVNDVTAAGVSYGIFKTDLPEGEEKPRIVAFVDIGHSSYTCSIMAFKK GQLKVLGTACDKHFGGRDFDLAITEHFADEFKTKYKIDIRENPKAYNRILTAAEKLKK VLSANTNAPFSVESVMNDVDVSSQLSREELEELVKPLLERVTEPVTKALAQAKLTADE VDFVEIIGGTTRIPTLKQSISEAFGKPLSTTLNQDEAIAKGAAFICAIHSPTLRVRPF KFEDIHPYSVSYSWDKQVEDEDHMEVFPAGSTFPSTKLITLNRTGDFSMAASYTDITQ LPPNTQEHIANWEITGVQLPEGQDSVPVKLKLRCDPSGLHTIEEAYTIEDIEVEEPIP LPEDAPEDAEQEFKKVTKTVKKDDLTIVAHTFALDAKKLNELIEKENEMLAQDKLVAE TEDRKNTLEEYIYTLRGKLEEEYAPFASDAEKTKLQGMLNKAEEWLYDEGFDSIKAKY IAKYEELASLGNIIRGRYLAKEEEKKQAIRSKQEASQMAAMAEKLAAQRKAEAEKKEE KKDTEGDVDMD SPAR_P01650 MNPINSLAFDLHSVKLADANSDTTALSNSNTPTMNNAALLQRSS SIMDSIGVQRVPSPFVPASNVINEGGTIPINAYDAEITGSTLQIPANQVNNSAFSAAS NMHVSASSPSIANEPSSTLPNVASYLYNPTGLAPNVGNQAPPPGIESQWKYIDSNSNI QGPFGTNNMSQWYQGGYFTPTLQICRLATSPEPFGVNDRFIRLGELTTLVNNYQDPFV AFDFIVIRALNAVPLVTPTASEKQTVESRNLKPAANVHSDDFTYEEILDLKFEDGSYY HETQVWVPVNGRHITKVDHIPKISTYTAPISTTPTRPDQRAPLNEGKTPSHKEATSPD KQEASSNITNEEEPIVSVPTEQDEESRGAEENQNVLDEVQPKVEEEDGKDVLSNSKEL KSKDTLQMTSEEQKRFAKAELMAQKLLEEQQRQEEEKKRREEQRKLKKEKKLKQKQKK EEEKLKKKKKEEGKLEKEKQKELLNQILTGDADASSPAENTATSATTNLAPWANKQPE GTVYNQISSALEDLKKENSFKKEKKPNRTQLDREQALKLQKEILGSTQTQKAQTGSAW GIKPQQPVKIGIKEELMKDNSNINGQSKINKTNNGDVKLNSTFIEEQKKLWEQVQKKT KKFNRASSLDDFISRTPSPSSTLNSSNTINAWTTVSSKSTTNATSTTAAAVNQPKSYI SPDRLRSSGGLPATTKVKVNDKSKQIGSSTSIPTLKARQVKASRIPAYPGNASVSKRQ EFLKWCRSQLKLNTGVQTDNVLEMLFSLPPGSESKEIIADTIYSYSSTMDGRRFATDF IKKRSECEEKINDPLSWSEVLAMPEGSSEDWEFQVVGKKKGKRF SPAR_P01660 MLVRSRVCLQTIARGLVDFPEAYAIRKKFLFRKDTSTIKQLKGL PSGQKIVLNGWIEQKPKRIGKNLIFGLLRDCNGDIIQLVDSKSLLKGFTLEDVIQAEG VLSLKRKLSNEDADEYEVQLEDIIVLNASNKKPAQMQDFKLSAIYPPEFRYLQLRNHK YQEFLKKRSSISKEIRNSFNNLNFTEVETPMLFKATPEGAREFLVPTRIKRSDGKPSF YALDQSPQQYKQLLMAGGINKYYQMARCFRDEDLRADRQPEFTQVDMEMAFADSEDVM KVIEKTVSEVWSKFSKKQGLLTLDGNGTLVPAKKEDGTVSVFRMTYEQAMSLYGIDKP DLRAPDLKIINLGEFNAFSHLNKKFPVFEVMILRNAFSNMKEYKERWSFLTNNNNYNY RVPIVLPIENDEQAQTSWFENFHAIATFENPHLITKFLKLKKGDIVCGCTREPNHSIF ENPTPLGRLRQLVLQSEHGKNLYHNVNKDVASWIVDFPLFSPVIIENKPGQKEKFAYP EYEKDRLCSTHHPFTMVKLNDYEKLEETPEKCLGQHYDLVVNGVELGGGSTRVHDPRL QDYIFENILKIDNAYELFGHLLNAFDMGTPPHAGFAIGFDRMCAMICGTESIRDVIAF PKSITGADLVVKSPSVVPERVLEPYNIKYSNSKK SPAR_P01670 MNFVTLHVQMRLLLHRRLALPRESKLFRPQTSLSSFKRHVSQKP RPIQKCSRKYARILLLSVLVPYTGYAFYVSLATVKQIDLRNEMCQRLEDKSNEVTYKG SLLKYSPLEVLGRFENPFEEYRIQTVFEFFANRVFELFERNRGGIPPDVHQMNKLMPI HKPTWGPDLVNVDPAEETAWPLECKVLEESHIPTAVEENEGSECPVYNTWLGQSCNYT VYNGLRILTDPLFSDYLIHKTLGPKRITQMPSQITEVPKPDIILVSHNHPDHLDSESL EYWSGEDSPLWIVPKGMKSYMTSNGCDNVLELSWWETLQVKKNNEIYHISATPAMHWS GRSLLDTNKSLWCSFLLTHHGNPILFHAGDTGYVKDLFVRIKERFGKGCKLALLPCGQ YCPEWHQKPRHINPQEVLKIMKDLEARNVLGVHWGTFVLSGEYFLEPKEKLEMLAEWG GFKDRCYCPELGKTECFK SPAR_P01680 MSFRKRGEVLNDRGNGLRGPLLRGPPRTSSMPLRAPNRRVPGNV SLSDTTAKLTKLNFVDEPKTIIGLDSTHVGIRPSPATSQPTTSTGSADLDSILGHMGL PLGNSLLVEEQGTTEFHSILGKLFAAQGIVHNRISDSSADKTRNGDTHVIVLSLNQMF AKELPGIYKGSRKQMKKNLISEEESKVTVQNLNQTQGSTPSRYKDLKIAWKYKLADEK RLGSPDRDDIQQNPEYKDYNHQFEITTRLMPAPIASELTFIAPTQPISTILSQMEQII KRNDKKLIRIVIPSLLHPAMYPPKMFESSEIIGLLHGVRSLVKKYYERVVLFASISID IITPSLLILLRNMFDSVIDLEPFNQEMSQFLERVYKSQPGKIQHGLVHILKLPVFTDR GEMRVLKSEWAFRNGRKKFEIEQWGIPVDDAEGSAASEQSHSQSHSDNSNEISHNVPA KKTKISLDY SPAR_P01690 MKVLQFNQDATCCVVAASSHQISIYNCDPFGKCFEIDTKNSKKK NSNNNDTASNSESRNNEESILISNGSRGRTDVEEEEDNEDNALVSGNILKEGEFVIEM LFSTSLIAIADRGQGLNKGKKLKIVNTKRKSTICEIVFPHEIVDVVMNRKRMCVLLES DQIFIYDISCMKPLETIDLWEDHYKRSQANSSLNASNTGTLEGDSANLNRAASNLLAN ATQKSVNGSNPSVRSRRNSLRSKIRPRMVLSNDDRSILCFTAYSSPKKNKPNSEALYD VVIYDTLNVTPINYLNSVHKGNVACLAVSHDGKLLATASDKGTIIRVFHTGVDSDYMS SRSLFKEFRRGTRLCNLYQLAFDKSMTMIGCVGDTDTIHLFKLDDMSNSLTGDTSNNG HWNEEEDILASNSNPSMGTPKETPPSKPRLANYFSKKIKSSIPNQNLSRNFAYITVNE SNRSCLGFPDEFPNQVYVASDDGTFSIYSIPSRPGECVLTKNNKFT SPAR_P01700 MLKRRPNALIAVSRTKLSSITAVAYYHNRLPNQQQRPTPTSPRK EIKSLEDLANLDSLEGVDTELIRDLINERTTELNIKKELDMLKKFSQEEESGHEIPLK RFIRPLWMFILMGSSVYLLLHFSWWKLEHEERENQLKKEVEILEGQLNELIGQDKTYN SVGGKYSTTHTKPWYRRWFW SPAR_P01710 MPPLPQNYAQQQPSNWDKFKMGLMMGTTVGVCTGILFGGFAIAT QGPGPDGVVRTLGKYIAGSAGTFGLFMSIGSIIRSDSELGPMPNPNLNLQQQARLEMW KLRARYGIHKD SPAR_P01720 MLELRSCSYLVNSSKRHMPLVTYYRLNAIKWPKSRFYSHTASPS KVQGTLDSRNGNILDELKQRGLVCQVSQPEGVLRTKLNSDDKIKLYCGVDPTAQSLHL GNLVPLMVLLHFYAQGHDIVTVIGGATGKVGDPSGRKIERDVMENDIRQNNIISISQQ LQRFFKNGLEYYRNRCALTEDVPSGKYTPKNNFNWWKDIKMLDFLADFGRHIRVQSML ARDSVSSRLQTKNSLGFNEFTYQILQAYDFYHLYKEENVTIQVGGNDQWGNITAGIDL INRTQPIQKKGLPFGVTVPLLTTATGEKFGKSAGNAVFIDPSINTAYDVYQFFYNTLD ADVPKFLKIFTFLDSSEIKTIAESHAKSPNLRHGQSLLAKEVTDMLYGVGSGSDSEAL SNVIFGRYDGTLSATKLIDLCKKARILQHADREDNLIKLICKLVDCSVSEARRKLSQG SVYLHHSRTKVNENISNLAPFLIDDRVLILRIGKQKCFIIEMR SPAR_P01730 MRPRNLGFLVLGFTYSVLLISLATFYWLRDNESLLHYWCVLLLC PATLWLWALISWCDSEMFASSKDE SPAR_P01740 MGKVSEKSDIDFDSIAKMLLIKYKDLILSKFKKTVPIENIKFQN LIRSNQFAQGVLGQSQHLCSVYENPSWHSIVLEALDLDLIYKNVDKEFAKDGHADGES VYSDYLVKELLRYFKQDFFKWCNKPDCHHCGQNTSENMTSLGNQGPDAEESKFNCGTV EVYKCNKCGNVTRFPRYNDPIKLLETRKGRCGEWCNLFTLILKSFGLDVRYVWNREDH VWCEYYSTYLNRWIHVDSCEQSFDQPYIYSVNWNKKMSYCIAFGKNGVVDVSKRYILQ NELPRDQIKEEDLKFLCQFITKRLRSLLNDDEIYQLACRDEQEQIELIMGKAQEREVE NISAVSKTSNHGRESGSADWKAQRGEDGK SPAR_P01750 MFRSGYYPTFTLSHWGYNGTVKHVLGEKGTKSLAFRDSKRQIPL HEFVTKHVPTLKDGANFRLNSMLFTGYLQTLYLSAGDFSKKFQVFYGREIVKFSDGGV CTADWVMPEWEETYSLDAKQANFNEKQFSNDEKATHPEGWPRLHPRTRYLSPEELEKC HSKGYSYPLVVVLHGLAGGSHEPLIRALSEDLSRVGDGKFQVVVLNARGCSRSKVTTR RIFTALHTGDVREFLDNQKALFPQRKIYAVGTSFGAAMLTNYLGEEGGNCPLNAAVAL SNPWDFVHTWDKLAHDWWSNHVFSKTLTQFLTRTVKVNMNELQVPNDFEVSHKPTIEK PVFYTYTKENLQKAEKFTDILEFDSLFTAPSMGLPDGLTYYRKASSINRLPNIKIPTL IINATDDPVTGENVIPYKQARENPCVLLCETDLGGHLAYLDNENNSWLTRQAAKFLCS FDELVL SPAR_P01760 MSAVDPGSNTGASINGGSATAIATLLRNHKELKQRQGLFQAKQT DFFRYKRFVRALHSEEYVGKSERQPEIYPTIPSNKIEDQLKSREIFIQLIKAQMVIPV KKLHSQECKEHGLKPSKDFPHLVVSNKAQLEADEYFVWNYNPRTYMDYLIVIGVVSII LALVCYPLWPRSMRRGSYYVSLGAFGILAGFFAVAILRLILYVLSLIVYRDVGGFWIF PNLFEDCGVLESFKPLYGFGEKDTYSYKKKLKRTKKKQAKRESNKKKAINEKAEQN SPAR_P01770 MQLSWKDIPTVAPANDLLDIVLNRTQRKTPTVIRPGFKITRIRA FYMRKVKYTGEGFVEKFEDILKGFPNINDVHPFHRDLMDTLYEKNHYKISLAAISRAK SLVEQVARDYVRLLKFGQSLFQCKQLKRAALGRMATIVKKLRDPLAYLEQVRQHIGRL PSIDPNTRTLLICGYPNVGKSSFLRCITKSDVDVQPYAFTTKSLYVGHFDYKYLRFQA IDTPGILDRPTEEMNNIEMQSIYAIAHLRSCVLYFMDLSEQCGFTIEAQVKLFHSIKP LFANKSVMVVINKTDIIRPEDLDEERAQLLESVKEVPGVEIMTSSCQLEENVMEVRNK ACEKLLASRIENKLKSQSRINNVLNKIHVAQPQARDDIKRTPFIPESVKNLKKYDPED PNRRKLARDIEAENGGAGVFNVNLKDKYLLEDDDWKNDIMPEILDGKNVYDFLDPEIA AKLQALEEEEEKLENEGFYNSDDEEEIYDGFEASEVDDVKEKAAWIRNRQKTMIAEAR NRKSLKNKAIMPRSKLTKSFGRMEEHMSTLGHDMSALQDKQKRAARKNRYVERGSDVV FGDQDALTASTDNGVKLRQTDRLLDGVADGSMRSKADRMAKMERRERNRHAKQGESDR HNAVSLSKHLFSGKRGVGKTDFR SPAR_P01780 MVANWVLAVTRQFDPFMFVMVMGVGISSNILYNFPYPARWLRIC SYIMFAITCLIFIAVQALQLLHLIIYIKEKSFREYFNDFFRNMKHNLFWGTYPMGLVT IINFLGALSKEYTTKSPTNARNLMIFVYVLWWYDLAVSLVIAWGISFLIWHDYYSLEG IGNYPSYNIRMASENMKSVLLLDIIPLVVVASSCGTFTMSEIFGHAFNRNIQLITLVI CALTWLHAIIFVFILIAIYFWSLYINKIPPMTQVFTLFLLLGPMGQGSFGVLLLTDNI KKYVSKYYQTDNVTREQEILTIAVPWCFKVLGIISAMALLAMGYFFTVISVISILSYY NKKEIESETGKVKRVYTFHKGFWGMTFPMGTMSLGNEELYVQYDQYVPLYAFRVLGTI YGGICICWSILCLLCTLHEYSKKILHAARKSSLFSESNTEKTTVSPYNSIESVEESNS ALDFTRLA SPAR_P01790 MLSATKQSFRTLQIRTMSTNIKHYDYLVIGGGSGGVASARRAAS YGAKTLLVEAKALGGTCVNVGCVPKKVMWYASDLATRVSHAKEYGLYQNLPLDKKHLT FNWPEFKQKRDAYVHRLNGIYQKNLDKEKVDVVFGWARFNKDGNVEVQKRDNTTEVYS ANHILVATGGKAIFPESIPGFELGTDSDGFFRLEEQPKKVVVVGAGYIGIELAGVFHG LGSETHLVIRGETVLRKFDECIQSTITDHYINEGINVHKLSKIVKVEKNEETNKLKIH MNDSKSIDDVDELIWTIGRKSHLGMGSENVGIKLNSHDQIIADEYQNTNVSNIYSLGD VVGKVELTPVAIAAGRKLSNRLFGPEKFRNDKLDYENVPSVIFSHPEAGSIGISEKEA IEKYGKENIKVYNSKFTAMYYAMLSEKSPTRYKIVCVGPDEKVVGLHIVGDSSAEILQ GFGVAIKMGATKADFDNCVAIHPTSAEELVTMR SPAR_P01800 MGRRKIEIQRISDDRNRAVTFIKRKAGLFKKAHELSVLCQVDIA VIILGSNNTFYEFSSVDTNDLIYHYQNDKNLLHEVKDPSDYGDFHKNASVNINQELLR SSITNKPSKSSVAGMNHTENDDDENNEEEEDGHVNFGRDPNTRLNTKASDRNPSNTQL KLLSPTALISKMDGSDHNKRHPENALPPLQRLKRLKPDPLQINRNSQQQQHIPRPYHS NMYNLNQPSSSSSSPSTMDFPKLPSFQNSSFTARPPPISISPNKFSKPFTNATSRTPK QEQKINGNSSNTNDNSTYTQSPPNSLEDSIQQTVKARRKLSARPVLRVRIPNNNFSSN SAIPSEPSSASSTSANSNTMGSSQIMKENKASRSSKISPLSASASGPLTLQKGNNGRV VIKLPNANGPNGSSNNNGNNNNNPNHPYPFVSGSSPLFSATQPYIATPLQPSNIPGGP FQQNASSFLAQRQTQQYQQMSFKKQSQTVPLSTTLTGRPPSTFSGPETNNGPPTGSLP SKFVHDLMSNSPNVSSISMFPDWSMGPNSAKPGNTNSSGTFPPVQPGVHNSNDGNSSN NSNDNNNDNSNGKNNGNSNNSYYSNNEDAPVNGAAIPEHTSGDTNNQSNSSTYDAAAA AYNGNTGLTPYINTAQTPLGTKFFNFSTDISGEKNSSKI SPAR_P01810 MVLAKQVRLGNSGLKISPIVIGCMSYGSKKWAEWVMEDKAQVFK ILKHCYDNGLRTFDTADFYSNGLSERIIKEFLEHYNIKRETVVIMTKIFLPVDETLEL HHNFTLNEFEELELSNQWGLSRKHIIAGVENSVERLGTYIDLLQIHRLDHETPMKEIM KAMNDVVEAGHVRYIGASSMLATEFAELQFIADKYGWFQFISSQSYYNLLNREDEREL IPFAKRHNIGLLPWSPNARGILTRPLNQSTDRIKSDPTFKSLQLDTLDEGQREIVNRV ERLSKDKNVSMAMISIAWTLHKGCHPIVGLTSTSRVDEAIAALQVTLTEEEIKFLEDP YKPQRLRY SPAR_P01820 MLFSWPYPEAPIEGYWGKPTSLIDWCEENYVVSPYIAEWSNTIT NSIFLMTAFYSTYSAWRNKLETRYILIGMGFSLVGIGSWLFHMTLQYRYQLLDELPML YATIIPSWGIFAETQEILIKDEKKRKESSFRIQMVISFIMCGIVTLLTWIYVVVQKPA IFQVLYGILTLLVVVLSGWLTYYHVHDSFAKKNLFITMVMGMVPFVIGFICWQLDIHL CSFWIYIRRTYLALPLGVLLELHAWWHLLTGTGVYIFVVYLQYLRILTHGNPDDFLFI WRWRFFPELVRKGLPIGTSYSMEYLGPIVNAQADDGSKKNN SPAR_P01830 MARHGKGPKTNKKKLAPEKERFIQCCADITLELTDSLTSGTTRE INLNGLITKYSKKYKLKQQPRLTDIINSIPDQYKKYLLPKLKAKPVRTASGIAVVAVM CKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPYEQARGR VEQLKQLGHSIDKVEYVLMGGTFMSLPKEYREDFIVKLHNALSGFNGNDIDEAILYSQ QSLTKCVGITIETRPDYCTQTHLDDMLKYGCTRLEIGVQSLYEDVARDTNRGHTVRSV CETFAVSKDAGYKVVSHMMPDLPNVGMERDIEQFKEYFENPDFRTDGLKIYPTLVIRG TGLYELWKTGRYKSYSANALVDLVARILALVPPWTRIYRVQRDIPMPLVTSGVDNGNL RELALARMKDLGTTCRDVRTREVGIQEVHHKVQPDQVELIRRDYYANGGWETFLSYED PKKDILIGLLRLRKASKKYTYRKEFTSQRTSIVRELHVYGSVVPLHSRDPRKFQHQGF GTLLMEEAERIAKEEHGSEKISVISGVGVRNYYGKLGYELDGPYMSKKI SPAR_P01840 MTPEAKKRKNQKKKLKQRQKKAAEKAASHSEEPLESPESTISIS FNNGSANQAESDMTSKSDDLPVSSSTNISPANDAQLEISDTQKLRNELLDESDQHDIT ADSGDLPKNSMVECDSFITQTNSTVSQEDKKNAPDLSSSESSLDVVAAELHDNNEHAQ KAAVSAVKEDSSSEKEGENYDSVIISSSNDDTHPRYNHSLSSDVSLLSPEMPSSGTPT YNISLETTGNEIQDDKDCNDKDISLNTSDEPSGELSNEEDEGLKLETNVSTEERKQDI ADQDSTNKLFALSTEPSENLTRSFDNDTSKLFQDDEGNQKLPWEEDAKEDFHNENIND TKKPAENPKERGEVYARNEVSNKSDNFIINADKRSQSEEISEDIFQEHNKGGVEGQKY CTEKNIENESQELAGERDHKLLSSAEVDIIEPRKVIPDETENLFAQSSEELGEVLPWE SGNKITDLASEGQEKHEDLFVASENNEKLPWEVSEGALSSGKTENSMQDSTEKITEQK FSFLEEDDDLLDDDDSFLASSEEEDTTPNTDNTTNLTSKPVEERKASRYEPIIDQKTG MRQEQVQFTNTAGIVTPQQIHGLAKSVLNTPSQQVSAPNMVSPKPPVVKDSRSIFKIN EEKKKSDAYDFPLEIISESSQKGHAKPVAVPTQRFGSANSFSSLEKPIPQSRKGSNNS SRPPVIPLGTQMPRSSRTNSAVSQSPVNYAFPNPYKVQQLQQPSVQPGVLLPNTNIPP PALKVETATSVPPIRARGISNASVGSASSLGARRPTQYGLNNGPPPVSPYGQAAINLP TANKYAPVSPTIQQKQYPSVSQSLGTPAANTPNFVKTHRGHTSSISSYTPNQNEHASR YAPNNQQSYQVPHAPQPVAPITGNPNYPNYSNQPRNSYAAPMMPQAQTAVSVQPPTNI QASAGILPLVTLHAPTNLQPHANNIMPANLPPLANLPLPQNILPESITHQAKSSVAPP QRENNEMQIDNEALLHRQFPIFHWSPASKVVYAVPSAPAQSHYMISSNNVQEIKVTPI DQMIKPNDMLKCFPGPLSSAKLKKKDLIKWMETTIKAISTNDPSADMTIWQLLKIKLT DKVSWEDISKLLYNADELLMYLSQPFPNADMVPNAYRLEVNCQMRVLAFLQTGNHDEA LRLALNKRDYAIALLIGSLMGKDRWSEVIQEYLYEEFTGGPNDQKVLAHFLLLIFQVF VGNSKMAIKNFYANKETSQWASENWKSIVAAVLINIPENNEDPLSIPPVVLEFLIEFG IFLTKRGLTAAASTLFIIGNVPLSNEPVITDSDVIFESIGNMNTFESVLWDEIYEYVF SNDSKFKGFSSILPQKVYHASLLQEQGLTSLGTKYTDYLGSSIRKLPKKDALTMNLTR ELSEVVSRLSESNTGWLAKPKLSSVWGQLDKSFNKYIGGDDIDALSKKDDKKKVFDGF TPGSSANSSTVDLTQTFTPFQAQVTSQSYVDTTALLHNAHNAPSHSVLHSKPSHVSKG LVEANLPYTHRIGDSLQGSPQRIHNTQHATVEPQMASLRRVRTDQHTSEKALKSQQIL DKKSTAYTPQFGQNHSIPMEKSNSNVPPLFADFAAPPKLGTVPSNYVSSPDLVRRESI ISTGSDFLPPPKIGVSAKTNSSQGSLMYSPSVEALPIGPVVPPSHDKEYNDSGNKYPQ KNTLEHDCHMSENNSNTDQNTLKDSANVTDGTMDIEEPGFNDGKNPVTMEANHHPAPP VNPPQTVGSDVQPILESNEDVQSNDALETENSLLSNGNGENGEEQPENISKPTPSAYL PPSDGVLLENKSLTQDENSIPEIVPSTHLPSAEKVSIGNKPISQKQDVPGNVDMKVLK PVEQHMSPPTPRSTDATKMHYSPYMPQAAAASANGDMPTILKTSPAIFARSPQTHAST PSPYFPLANKTNETSPFALSESMSQSQSNGDASENRFSPIKKAEVVEKDTFEPTIRKA ATNQYRAFKPLESDVDKYNDVIEDESDDDNVSSDEAKKRKEENMNVNMKNESKSSNKD MDDKSNGWFGWLKKDTSDKKVYKAKLGHKNTLYYDEKLKRWVNKDATEEEKQKIIETS APPPPPIVKRKDGGPKTKPRSGPINNSMPPINATSVIPNNPITGEPLPIKTSPSSAGP HPDNSPSPSSPIPRASGINLTGKKANGLDDLLSLAGGPKPASTRRKKKTARGYVNVMD NIQ SPAR_P01850 MKPYLVDLKLKDTEKLDWKKGLSSYLKKSYGSSQWRTFYDEKAT SDLDHLRNNANGELAPSSLSEQNLKYYSFLEHLYLRLGSKGSRLKMDFTWYDAEYSSA QKGLKYTQHTLAFEKSCTLFNIAVIYTQIAREKIDEDYKDSIANLTKAFSCFEYLSEN FLNSPSVDLQSENTRFLANICHAEAQELFLLKLLNDQILPKQYTLISKLARATCNLYQ KCHDFMKETDDNLAIYGECKWKTTVTCKLYFYKSLSAYYHGLHLEEENSVGEAIAFID FAVQQLISSFPFKTWLLEFIDFDGFKETLEKKQKELIKDNDYIYHESVPAVVQIDTIK ALDAIKSPTWEKILEPYMETVANKCNSLYKGIIPLDVYEKESIYSEEKATLLRKEVEE TETANLEYSSFIEFTNLPRLLNDLEKQFSNGNISSNTDTQGQLMRDQIQTWCKFIQTS EFRNIEEQMNEIVSKRKQILEILSTLPNDQKENVTKLKSSLVAASNSDEKLFACVKPH IVEINLLKDNGKIWKKFDEFNRNTPPQPSLLDIDDAKNDKILDLLKQVKGHAEDLRTL KEERSRNLSELRNEISNDDITKLLIINKGKSDVELKNLFETELEKFEPLSTRIEATVY KQSSMIDEIKANLDEIFHLSNFKDKSSGEELSKDRKIFFDRLQEAVKSFSIFASDLPK GIEFYNSLFDMSRDLAERVRVAKQAEDSTTNSPAPPLPPLDFKASVIGVPPSLPQKSS AFQSLPRQGLNLESHFQNLKVGAASGLPQGPGIPPRTYEASQYAAIPTMTGPPIPPKQ SQEELYDLKRREAVENEERELQENPTSFYNRPSVFDENMYSKYSG SPAR_P01860 MKSLDKKSGQQTIPDPELEEEEEEAHQDWSQVASLVSKNAALSL PKRGEKDYEPDGTDLQELLLYEASKAMFDTISDSIRGNTVKSEVRGYYVPRKHQAFLP KPKGSFMQTMGRADSTGEYWLDFHEFVYLAERGTILPYYRLEAASNESSNHEIEILLS MEDLYSLFLSQQEMDQYFVFAHLKRLGFILKLCDQEAPVKTSFYPVRRQRSNLQTITW RLFSLFKIQEISLFSGFFYCKWNFFFRRYTKSPQLYQGLNRLVRSVAVPKNKKELLNA KFDGEFQKVKDIPATFKVWKPHANFKKRDPGLPDFQVFVYNKNDSLQHFPTYKELRSI FASLDYKFEFLNEVEDDLDWDTNSYVEGIPRREYNHNRCARSQTDKCKSSLKSLTKTS SQKNTVQSSTKKKSRVCPPHIQQNRRLKAGYRSFILAIMDNGLITFVKMSEADFGSEN VWYTPNTQRKLDRKWKKH SPAR_P01870 MTSRVSRLDRQVILIETGSTQVVRNMAADQMGDLAKQHPEDILS LLSRVYPFLLVKKWETRVTAARAVGGIVTHAPSWDPNEYDLIGGANEGSPLNNAQVKL EHEMEIKLEEATQNDRLNLLQEDQHLNCLSDWKLNEILKSGKVLLASSMNDYNVLGRA DDNIRKQAKTDDIKQETPMLNASDKANENKSNANKKSARMLAMARRKKKMSAKNTPKH PVDITESSVSKTLLNEKNMTNSTAASLATSPASNQLNPKLEITEQADESKLMIESTVR PLLEQHEIVAGLVWQFQGIYELLLDNLMSENWEIRHGAALGLRELVKKHAHGVSRVKG KTREENNLRNSKSLEDLASRLLTVFALDRFGDYVYDTVVAPVRESVAQTLAALLIHLD STLSIKIFNCLEQLVLQDPLQTGLPNKIWEATHGGLLGIRYFVSIKTDFLFSHGLLEN VVRIVLYGLNQSDDDVQSVAASILTPITGEFVKLNSSTIEILVTTIWSLLARLDDDIS SSVGSIMDLLAKLCDHQEVLDILKNKALEHPSEWSFKSLVPKLYPFLRHSISSVRKAV LNLLIAFLSIKDDSTKNWLNGKVFRLVFQNIILEQNPELLQLSFNVYAALLEHYKVKH TEKTLDHVFSKHLQPILHLLNTPVGEKGKNYAMESQYILKPSQHYQLHPEKKRSISET TTDSDIPIPKNNEHINIDAPMIAGDITLLGLDVILNTRIMGAKAFALTLSMFQDSTLQ SFFANVLVRCLELPFSTPRMLAGIIVSQFCSSWLQKHPKGEKLPSFVSEIFSPVMNKQ LLNRDEFPVFRELVPSLKALRTQCQSLLATFVDVGMLPQYKLPNVAIVVQGETEAGPH AFGVETAEKVYGEYYDKMFKSMNNSYKLLAKKPLEDSKHRVLMAINSAKESAKLRTGS ILANYASSILLFDGLPPKLNPIIRSLMDSVKEERNEKLQTMAGESVVHLIQQLLESDK INVSGKIVKNLCGFLCVDTSEVPDFSVNAEYKEKILTLIKESNSIAAQDDINLAKMSE EAQLKRKGGLITLKTLFEVLGPSILQELPQLKLILFDSLFSHEDVETSKIDNEQGQKI VDSFGVLRALFPFMSASLRSGEVFTRFPVLLTFLRSSLSVFRYSAARTFADLAKISSV EVMAYTIREILPLMNSAGSLSDRQGSTELIYHLSLSMETDVLPYVIFLIVPLLGRMSD SNEDVRNLATTTFASIIKLVPLEAGIADPKGLPEELVASRERERDFIQQMMDPSKAKP FKLPIAIKATLRKYQQDGVNWLAFLNKYHLHGILCDDMGLGKTLQTICIIASDQYLRK EDYEKTHSVESRALPSLIICPPSLTGHWENEFDQYAPFLKVVVYAGGPTVRSSLRPQL EGADIIVTSYDVARNDLAVLNKTEYNYCVLDEGHIIKNSQSKLAKAVKEITANHRLIL TGTPIQNNVLELWSLFDFLMPGFLGTEKMFQERFAKPIAASRNSKTSSKEQEAGVLAL EALHKQVLPFMLRRLKEDVLSDLPPKIIQDYYCELGDLQKQLYMDFAKKQKNVVEKDI ENPEIADGKQHIFQALQYMRKLCNHPALVLSPNHPQLAQVQDYLKQTGLDLHDIINAP KLSALRTLLFECGIGEEDIDKKASQDQSFPIQNVISQHRALIFCQLKDMLDMVENDLF KKYMPSVTYMRLDGSVDPRDRQKVVRKFNEDPSIDCLLLTTKVGGLGLNLTGADTVIF VEHDWNPMNDLQAMDRAHRIGQKKVVNVYRIITKGTLEEKIMGLQKFKMNIASTVVNQ QNSGLASMDTHQLLDLFDPDNVTSQDKDEKNNSDSQTAKGMEDIANETGLTGKAKEAL GELKELWDPSQYEEEYNLDTFIKTLR SPAR_P01880 MPRAPRTYSKTYSTPKRPYESSRLDAELKLAGEFGLKNKKEIYR ISFQLSKIRRAARDLLTRDEKDPKRLFEGNALIRRLVRVGVLSEDKKKLDYVLALKVE DFLERRLQTQVYKLGLAKSVHHARVLITQRHIAVGKQIVNIPSFMVRLDSEKHIDFAP TSPFGGARPGRVARRNAARKAEASGEATEEADEE SPAR_P01890 MGKSHGYRSRTRYMFQRDFRKHGAVHMSTYLKIYKVGDIVDIKA NGSIQKGMPHKFYQGKTGVVYNVTKSSVGVIINKMVGNRYLEKRLNLRVEHIKHSKCR QEFLERVKANAAKRAEAKAQGVAVQLKRQPAQPRESRIVSTEGNVPQTLAPVPYETFI SPAR_P01900 MSMSLGVRGLALRSVSKTLFSQGVRRPSMVIGARYMSSTPEKQT DPKAKANSIINAIPGNNILTKTGVLGTSAAAVIYAISNELYVINDESILLLTFLGFTG LVAKYLAPAYKDFADARMKKVSDVLNASRNKHVEAVKDRIDSVSQLQNVAETTKVLFD VSKETVELESEAFELKQKVELAHEAKAVLDSWVRYEASLRQLEQRQLAKSVIAKVQSE LGNPKFQDKVLQQSISEIEQLLSKLK SPAR_P01910 MSAVQKPNQKFIVYIFMKDLQKKSSVRRQITNEDDERYGDDSLH ELPRTIPNVNPYIRNCGFRPSYSSQIPSTRSLFNNYYNRSSANTVGNDTIDTDSVSYN GIGKFRRNSVDIPLQTHNRLEVRPIVDRQDYLWREINALADVKRQAQATELYDQFPHG FENKLMQLRQAHSKLLQVLRDRNAKIEEEQRREVAVATAAAMMTRTPSPTGKSVGDEA TSNNMHSSSAVRNPNGPTVDPEEGKYIQELVDTIRELQ SPAR_P01920 MNKRSPWKRLLWLEQEYPDNYTDPSFIELRARQKAESTKKSDRK LSEAARSQIRLDFISFYQTLLNTSFIYITFTYIYYYGFDPIPPTIFLSFITLIISRTK VDPLLSSFMNVKSSLIITFAMLTLSPVLKSLSKTTASDSIWTLSFWLTLWYVFVISST RSKHKPSNLSTNILVALVAVLSSRLSTTIDVFCFLLICIQLNIILPTYLSVTNKVVPI ISNVIVYSFLNVALGWIYMLLIFFVSVFYITVLPKWFIYWKINYHKRDNDLLSTWDAR TPILD SPAR_P01930 MNFLTQAMSETLQGSNNRIKRNFRTQSVPSTSYNNGKESYGPNT NQLNVLLSQLEQQTSVDSTSTSSNFYSIAQYILQSYFKVNVDSLDSLKLVDLIVDQTY PDSLTLRKLNEGATGQPYDYFNTVSRDPDISKCPIFALAIFFVIRWSHPNPPISIENF TTVPLLDSNFISLNSNPLLYIQNQNPNSNSSVKVLRSQTFEPSKELIDLVFPWLSYLK QDMLLIDRTNYKLYSLCELFEFMGRVAIQDLRYLSQHPLLLPNIVTFISKFIPELFQN EEFKGIGSIKNLNNDTLNNVTGIENQFLNPTAEEVSQKVDSYFMELSKKLTTENIRLS QEITQLKSDMNSVGNVCNQILQLQRQLLSGNQATGSKSENSVSSTGGGILILDKNSIN SNVLSNLVQSIDPNNSKPNGQPQVQQRGSKGQSQGQGQTINSPALAPINMFPSLSNSI QPMLGTLAPQPQDIVQKRKLPLPGSIASAATGSPFSPSPVGESPYNKRFKLDDKPTPS QTALDSLLSKSIPSPRLPLSTLANTAVTESFRSPQQFQHSPDFVVGGSSSSTTDNNSK KVNEDSPSSPSKLAERPRLPNNDSTTSMPESPTEVAGDDVAREKAPVSSKSEPNDNSP ELKVLEKNSNNGNSPATDARKPVPISAIHNSTEAANPTGTVTKIAPSFPQSSSKFEMI NKKGTKTGPNEAIKYKLSRENKTIWDLYAEWYIGLNGKSSIKKLIENYGWRRWKVSED SHFFPTRRIIMDYIETECDRGIKLGRFTNPQQPREDIRKILVGDLEKFRINNGLTLNS LSLYFRNLTKNNKEICIFENFKNWNVRSMTEEEKLKYCKRQHNTPS SPAR_P01940 MAHEKFSVPENFTLAQSLQLLYSVVRNQYKNLADLIINGKGNKD SVSYGKIHKNLDSLLVYVNEGLRKIEKTYTLKKGLGNLVVDHPELRSVIEDFQILGQD IRIARRKAETLMTEGNDSPSLSSSSSVLGLGTGNGLRFPKLWKMGSKRDKLKEADEKE ARINKQADNIRRARKLEEEKKLGAKRQYERDLELQREKLIELKVKEKVEFEVAQKLEE ERIKREEEERKHRDQAEKKRISTLKHDRKTNYKSRASLDNFSSNNKSSGKIDNSLIKR RSLDIVRTPDERIRAPVRKSMEAAEIGMAAQLAWSQYQNGANHSKASNNGSHSNELQI RYKPTQPLKKRYDYKKPTVNRPIIKSPTLNRQSSKSSRNLPTNTKLKASKANNNNKVS RRNEHNLEPTSPILVSATATPAESKTTRSRSGTPDKESSVSPSTDYRKEDILKSVQGV DPNACEQIFNEILVTDEKVYWDDIAGLRNAKNSLKEAVVYPFLRPDLFKGLREPVRGM LLFGPPGTGKTMIAKAVATESNSTFFSVSASSLLSKYLGESEKLIRALFYMAKKLSPS IIFIDEIDSMLTARSDNENESSRRIKTELLIQWSSLSAATAQSEDRNNMLDSRVLVLG ATNLPWAIDDAARRRFSRKLYIPLPDYETRLYHLKRLMAKQKNSLQDLDYELITEMTE GFSGSDLTSLAKEAAMEPIRDLGDKLMFADFDKIRGIDIKDFQNSLLTIKKSVSPESL QKYEEWSSKFGSTGA SPAR_P01950 MSWIKNATNFPTSLIKKLSCGLLLAVSLYAIAPSLSVLVFRDSE QRIRKYTTVGLINRGNDCFITSSLQGLAGIPRFVEYLRQIKSILQELESKPSRNAKGD NLTAGNFPSRSGLENSPDSLAPLHESLTSLIFDLVSVKGSRTSISPKIVINTLESIFK SRMSSNQNDAHEFTLILLQTLQEERSKLVDYTKNMCDLNMPNFPFEGETSKFLVCLKC KGSSKRSYQQTFIRELSVPQQTSEKLFNILANDETEIIEDYSCLICQIRAILSHEEYR NFKDCTPDEMLILDTLRDYATKAPINKDLPFEIEQYVKRYSKNNLHVSNIKGKVIKKD IIVQLPDILIVHLSRSTFNGITYSRNPCNVKFGERIELIEYTSSEGGTITENRQIKYN LKSVVKHTGSHSRGHYVCYRHKTDIRLGTEDEYSLQSGSVIANEEVNTRNHDQNTAHK LSRKSRCKKVENALQYPYWQISDTTIKESTTSTVLNQQKYAYMLYYERK SPAR_P01960 MSSQFARSNVNSNHIRKRNHSPDPIGIDNYKRKRLIIDLENLSL DDKGPKNGHADDCNLIHNNIAFTDAIDDKVLKEIIKCSTGKRGDNASFYDKIWERLRE KRLQIIKWVDYKEIAYLSWWRWFHDQLASKYTYDGGADTDIEMLAVDTDVDMDA SPAR_P01970 MARQLFTPPITNPRFDPNQSIRESYKNTTSSIQFQQVPHEDQND NERSSCDGDENSTTSERLENNKSPILTKQEIDDALNSVSNLPPELSKLIDIFIDDLKQ PKYVRPLSVLQLSSLFQSFYIKFDKASFQHVSSVSNNGNYYSSGGSSSFLAAKETLSS GLSGIFGRSRSSSGNSLMRPRRSSSLFSNESVSNSNNATQMLSPEEIKKQLRINELNN MKIEKYMELCERDVFKKILIVGTSVSSPNKMKAFKPHQLQTFKVGNLFRNSVEFTEYN KLLNEKILCLSKLSTVNKINLIKFLSLNNGIDPEPKFEEIKDILYEFTYHSISPCEKI KALLKLHEIMTYSQEMSNDDYLSLLIYYIITIVPRDIFLNAEFIRLFRYKKKLVETES FALTNLEAALVFVEGLTKDDFSNELQDKLTENESKILESSISSRVSLPSKTTMMHKNN GNSGSNIGDIVTPTIQRPDVTRSNSYDGFRTVFDSSLKNIIGKIRSYTPPHPNTVSNN NLHISNNLNIPRSSSQLSMELSNRDTTEMSRDGSRSTSSSSRSSASLEHGNREFTGDL TVTASINGTDKKESKKSWKKYKGYKFEDLTICELRDLFEIYQKMMQ SPAR_P01980 MEAQIDELINNDPVWSSQNESLISKPYNHILLKPGKNFRLNLIL QINRVMDLPKDQLAIVSQIVELLHNSSLLIDDIEDNAPLRRGQTTSHLIFGVPSTINT ANYMYFRAMQLVSQLTTKAPLYHELITIFNEELINLHRGQGLDIYWRDFLPEIVPTQE MYLNMVMNKTGGLFRLTLRLMEALSPSSHHGHSLVPFINLLGIIYQIRDDYLNLKDFQ MSNEKGFAEDITEGKLSFPIVHALNFTKIEGQTEQHNEILRILLLRTSDKDIKLKLIQ LLEFHTNSLAYTKNFINQLVNMIKNDDENKYLPDLASHSNTATNLHDELLYIIDHLSE L SPAR_P01990 MHMQLRKRKRVDYTGRNPTSEPPSTTTTTTTTIIPSIVVPKKRK LATPNMINSTVPSTTTAPSTSNIIIPKPLQRPKFHNSTSSSPPDDDFEKISVLEVQKN LSNLIKRQQSLFYKDIHKPTLVGMKNFEMLRLPNDLKLLQNIVNLLNSFEQLNSDSRK RPASTASSKVFSQTHSDKLKKLSIEKKPLLSHPNHNGTSHHNDIIHEIANLHSINLID LLNLEMYNSNFHTNNTVLQTTANSLTVNSIIKKLDKPILKERNNSLIWPHKSRLKAKR NQPSQGQSLINNTDITLYNDV SPAR_P02000 MQQDIVNDNQEEAQEWKWEQIKDIIESGDLALLKRSRQMTEKYH EHKKRTAGLDMNQYVLQKLGWSPNGPQYEDPAAKAFSASTLYAVRANDFPYNFEPGVV HLVLWSKIALPVHSPDKAVRSAACARINAFLQAQPLLRSLVTSGHVAWFVNYPELQSV ARIFHDHVLLFFPRECYSAEQVKITIDGILSHGFEPLALR SPAR_P02010 MTYPVISLKPSYNSVIRGCPGLPDTLPRIECQLRVRSNDSLPFK LVKIETVLKTIEIYFNKNLYSSNNNSFTPFNRLSDSPNCHSDTSNQNTTIHYKKNIVL SHPTRDSGDFNDELIGIDIPLTIGLPDDIKETNYNPKFGKTQTFLDCTVFYAEAGVGS SNKKRNFLHPVNVERYTYLPSPSYFRPINRSNITSPDQKFLINYSIENPCVSMDSDAL KLSISIRLNPFPSNAIASSPNDLDVSTPTLFSTKKKFKSKLKLKSITTQILEYLEILK NQSEFSSTQTTNILQTSVRQIDQIISMNSLIFQFSLKIFTKERFSQSFESSDSSCPET KLLINKIGDIPLQYHSSITTIGQHFNVSHSLSIRFKFNKSLKNFEINHPLIISFWSAN QLPLIENLILQERQTAKFAKKFYKNFGRIKNASNSNNGSNCLEYPSLPPIIYNFNDSE TNNMFNILYSQKDASRTDPSKLRRVPVIQ SPAR_P02020 MPRQDIKLKQNQDISQLFHDEIPLFDNSITSKDKEVIETLSEIY SIIITLDHVEKAYLKDSINDTQYTNTVDKLLKQFKVYLNSQNKDEINKHFQSIEAFSD KYNITASNAITRLERGIPITAEHAISTATLTPSGDNEQGSSSDKKFNAKYVAEATGNF ITVMDALKLNYNAKDQLHPLLAELLISINRVTRDDFENRSKLIDWIVRINKLSIGDTL TETQIRELLFDLDLAYKSFYALLD SPAR_P02030 MSSNIEPQTTAKCILYTTKGNIAVELWAKECPETCKRFLTMLSD GAFINGEFKELKPMQWLMFSAGSTDDSHTVTQERNPRIRFTKDGLLGWDRQRNTWFIT VQADSKHVLNDCNVFGKIVGKSIYTFREILGGEIEVSSRDDEVKRFMYPAVLKDVEIT IPFFEDIFRSKRKLEDNGEKEQQQTKKLIRSAKVRMVYEDEEEDDDYDDDDDFQKLEP RKRMILPAWIKDESRSEKIKSGISLDQPQEAPMTEQMELHDNVDRSTIEKPEGQENIK EEVIDKRERETLEMLSKFQQRVKNKNILK SPAR_P02040 MLSVLRNSVRLNSRALKIAPSVVSTLTSVQVSKRLLTSSPSFLQ KETKDDKPKSILTDDMLFKAGVDVDEKGQGKNGEKAGEEGEDQNEPSSKAEKSRRKRQ TSTDIKREKYANWFYIFSLSALTGTAIYMARDWEPQESVELKKDIDNGYTLSLMYKRF KARFNSMFTYFQDPPFPDLLPPPPPPPYQRPLTLVITLEDFLVHSEWSQKYGWRTAKR PGADYFLGYLSQYYEIVLFSSNYMMYSEKIAEKLDPIHAFVSYNLFKEHCVYKDGVHI KDLSKLNRDLSKVIIIDTDPNSYKLQPENAIPMDPWNGEADDKLVRLIPFLEYLATQQ TKDVRPILNSFEDKKNLATEFDHRVKKLKDKFYGDNKSGGNWAMKALGIGNTLGGSTT KFPLDLIHEEGQKNYLMFMKMIEEEKEKIRIQQEQMSGQTFTLKDYVEGNLPSPEEQM KIQLEKQKEVDALFEEEKKKKKNAESK SPAR_P02050 MTKLQFDTAEPVRITLPNGLTYEQPTGLFINNKFMKAQDGKTYP VEDPSTETTICEVSSATTEDVEYAIECADRAFHDTEWATQDPRERGRLLSKLADELES QIDLASSIEALDNGKTLALARGDVTIAINCLRDAAAYADKINGRTINTGDGYMNFTTL EPIGVCGQIIPWNFPIMMLTWKIAPALAMGNVCILKPAAVTPLNALYFASLCKKVGIP AGVVNIVPGPGRTVGAALTNDPRIRKLAFTGSTEVGKSVAVDSSESNLKKITLELGGK SAHLVFDDADIKKTLPNLVNGIFKNAGQICSSGSRIYVQEGIYDELLAAFKAYLETEI KVGNPFDKANFQGAITNRQQFDTIMNYIDIGKKEGAKILTGGEKVGDKGYFIRPTVFY DVKEDMRIVKEEIFGPVVTVAKFKTLEEGVEMANSSEFGLGSGIETESLSTGLKVAKM LKAGTVWINTYNDFDSRVPFGGVKQSGYGREMGEEVYHAYTEVKAVRIKL SPAR_P02060 MRAFSRILPFCHQKSYNSILPPTIRLFGSSFSSYDFSRQTPKVD PANTAAMLLQKNLIQRNNMLYGYGSGTIRCTLLDSTGRAKSPLVEIKREDLVSKHGLL PRDLRKIEKSRKNDLVPSLLVRENSILISLLTVKALIKPDMVIIFDSTGSGITLNSEA HKDFINDMKLRLKNQETSELNSDPLPYEFRALETIFISALSNLTSEMKVLLTICKGVL QDLEFSITRDKLRFLLGQNKKLSSFNKKAVLVRDMLDDLLEQDDVLCDMYLTDKKAGK IRVQDDHTEIEMLLETYHNYVDEIVQKSESAISDVKTTEEIINIILDSNRNELMLLGI RYAIGMLSLGGALFLGSIYGMNLESFIEESNYAYLTVTILGLISAVWLYAKGVRHLHK LQRMTLLSKIKTESIHEPLKK SPAR_P02070 MFLPKFNPIRSFSPILRTKTLLRLQTRMFLSTEIRKAIEDAIES APVVLFMKGTPEFPKCGFSRATIGLLGNQGVDPAKFAAYNVLEDPELREGIKEFSEWP TIPQLYVNKEFIGGCDVITSMARSGELADLLEEAQALIPEEEVKDN SPAR_P02080 MSSTDEHIEKDISSRSNHDDDYANSVQSYAASEGQVDNEDLAAT SQLSRHLSNILSNEEGIERLESMARVISRKTKKEMDSFEINDLDFDLRSLLHYLRSRQ LEQGIEPGDSGIAFKNLTAVGVDASAAYGPSVGEMFRDISNIPAHLMSKFTKKSDVPL RNIIQNCTGVVESGEMLFVVGRPGAGCSTFLKCLSGETSELVDVQGEFSYDGLDQSEM MSKYKGYVIYCPELDFHFPKITVKETIDFALKCKTPRVRIDKMTRKQYVDNIRDMWCT VFGLRHTYATKVGNDFVRGVSGGERKRVSLVEAQAMNASIYSWDNATRGLDASTALEF AQAIRTATNMVNNSAIVAIYQAGENIYELFDKTTVLYNGRQIYFGPADKAVGYFQRMG WVKPNRMTSAEFLTSVTVDFENRTLDIKPGYEDKVPKSSAEFEEYWLNSEDYQELLRS YDDYQSRHPVNETRDRLDVAKKQRLQQGQRENSQYVVNYWTQVYYCMIRGFQRVKGDS TYTKVYLSSFLIKALIIGSMFHRIDDKSQSTTAGAYSRGGMLFYVLLFASVTSLAEIG NSFSSRPVIVKHKSYSMYHLSAESLQEIITEFPTKFVAIVVLCLITYWIPFMKYEAGA FFQYILYLLTVQQCTSFIFKFVATMSKSGVDAHAVGGLWVLMLCVYAGFVLPIGEMHH WIRWIHFINPLTYAFESLVSTEFHHREMLCSALVPSGPGYEGISIANQVCDAPGAVKG NLYVSGDSYILHQYHFAYKHAWRNWGVNIVWTFGYIVFNVILSEYLKPVEGGGDLLLF KRGHMPELGTENADAKTASREEMMEALNGPNVDLEKVIAEKDVFTWNHLDYTIPYDGA TRKLLSDVFGYVKPGKMTALMGESGAGKTTLLNVLAQRINMGVITGDMLVNAKPLPAS FNRSCGYVAQADNHMAELSVRESLRFAAELRQQSSVPLEEKYEYVEKIITLLGMQNYA EALVGKTGRGLNVEQRKKLSIGVELVAKPSLLLFLDEPTSGLDSQSAWSIVQFMRALA DSGQSILCTIHQPSATLFEQFDRLLLLKKGGKMVYFGDIGPNSGTLLKYFERQSGMKC GVSENPAEYILNCIGAGATASVNSDWHDLWLASPECAAAREEVEELHRTLPGRAVNDD PELATRFAASYMTQIKCVLRRTALQFWRSPVYIRAKFFECVACALFVGLSYVGVNHSV GGAVEAFSSIFMLLLIALAMINQLHVFAYDSRELYEVREAASNTFHWSVLLLCHAAVE NFWSTLCQFMCFICYYWPAQFSGRASHAGFFFFFYVLIFPLYFVTYGLCILYMSPDVP SASMINSNLFAAMLLFCGILQPREKMPAFWRRLMYNVSPFTYVVQALVTPLVHNKKVV CNPHEYNIMDPPSGQTCGEFLSTYMDNNTGYLVNPTATQNCQYCPYTVQDQVVEKYNV KWDHRWRNFGFMWAYICFNIAAMLVCYYVVRVKVWSLKSVLNFKKWFNGPRKERHEKD TNIFQTVPGDESKITKK SPAR_P02090 MRKELKYLICFNILLLLSIIYYTFDLLTLCIDDTFKDAILEEDL NPPSDAPPKPQLIPKIIHQTYKTEDIPEHWKEGRQKCLDLHPDYKYILWTDEMAYEFI KEEYPWFLDTFENYKYPIERADAIRYFILSHYGGVYIDLDDGCERKLDPLLAFPAFLR KTSPLGVSNDVMGSVPRHPFFLKALKSLKHYDKYWFIPYMTIMGSTGPLFLSVIWKQY KRWGIPTNGTIRILQPAYYKMHTYSFFSITKGSSWHLDDAKLMKALENHILSCVVTGF IFGFFILYGEFTFYCWLCSKNFSNLTKNWKLNSLKVKIVTILNSLGLKLKLSKSTSDA ASATLLARQQKRLRKDSNTNIVLLKSSRKNDVYDLEKNDSSKYTQGNNSS SPAR_P02100 MSGYTGNNYSRYSSTSPRQRGSYHQARRSRGGAAGSYYRGANAS YGARYNGDYEQLPQEGDLRQSGAYYRNGYTDTRPYYSANSRHYQAQPPRYNSNSNSYH IPHRGSSQDVNGRTTSGSQDDSDEKRLKSRYQNMQADYPRQQPMSVGSSISRSGSSGN SSTSSSNGLPPPPSVSSVTNNRPYHSSAYPYPGSHNYNNYHHRETPPPPPSNGYHGKG YPAHISEKRSNSNGSGSTVAKKKRIVDMKDSPFIYLTDFDKNIKKTNNIESECEKARD VFKESDSIDSALEELNLKVNSNELELRLLNNQCDKHALNIQLTQEKLDSLLLMQ SPAR_P02110 MDAFENMSVSNHPGSNARRSSQSAGEMFAPQIQDFQNIPRSFNN NNATVNLSKNYNAANQLPFSSHQQKIIMEHLLITKNNSQQQKDYSHVPCKFFKMGNCQ AGSSCPFSHSPDIISSANNLPCKYFAKGNCKFGNKCVNAHVLPNGFKMNSREPIEITP PSQNNYLSHARSASFSTYMSPPLSAQTEFSNSASNANYFSSQYLMSSPQKSPEALNTE FFSPPSSSSSYINYNYNNSNLNAYSPVSSSSSNIWQEQGQTTLSNPSVNQNLRHRTGP AIQEESDNEIEELLIHNFNSRYCHE SPAR_P02120 MHVLLSKKIARFLLISFVFVLALMLTLNHPTTKQISEQYFTPYL PKSLQPIAKISAEEQRRIQQEQEEAELKQSLEETAIRNATNNAIKEKIKSYGGNETTL GFMVPSYINHRGSPPRACFVSLITEKDSMTQILQSIDEVQAKFNKNFAYPWVFISQGE LDEMKKEMIYQAMTDSMNGDSELIDITFTEVPADEWVYPEWVDENRAADSLIALANIP DGDSRAARYQARYFAGFFWRHPALNEFDWYWRVDPGIKLYCDIDYDLFRWMQDEGKVF GFTLSMSEAKEANEKIWDVTKKFAKDFPKFISENNFKSFITKKDSEDFNNCEFKSNFE IGNLNFYRSPAYRKFFEYIDNEGGIFYWKWTDSMIHTIGLSMLLPKDKVHFFENIGFH YDLYNSCPLNDDIWNQYNCDCDQGNDFTFRSGSCGGHYFDIMKKDKPEGWDRLP SPAR_P02130 MSGVIQKRKTKIINVLQNPELMRLIEDPSNLGISLQFTISSLLK SNKCTPMPKLSTYSLTGGGFKDWCA SPAR_P02140 MESQFILDHNLLMKDRYSNQKPVAKLLKNKLVGDMKTTLKRLIY NENTKQYKNNNSNNGYNWRNLGSQYFILYLPLFTQELIWCKLNENYFHVVLPPLLNNN SLHDNHSNYINKDWLLALLELTSNLDQNFKFEYMKLRLYILRDDLINNGLDLLKNLNW VGGKLIKNEDRESLLNSSDLATDSISHLLGDENFVILEFEC SPAR_P02150 MFHLVKGLYNTWNKKEQYSILILGLDNAGKTTFLETLKKEYSLA FKALEKIQPTVGQNVATIPVDNKQILKFWDVGGQESLRSMWCEYYSLCHGIIFIVDSS DRERLDECSTTLQSVVMDEEIEGVPILMLANKQDRQDRMEVQDIKEVFNKIAEHISAR DSRVLPISALTGEGVKDAIEWMIIRLERNKKSRPPIYK SPAR_P02160 MSLSLVSYRLRKNPWVNIFLPVLAIFLIYIIFFQRDQSLLGLNG QSISQHKWAHEKENTFYFPFTKKYKMPKYSYKKKSGWLFNDHVEDIIPEGHIAHYDLN KLRSTPEAAVNKEHILILTPMQTFHQQYWDNLLQLNYPRELIELGFITPRTATGDLAL KKLENAIKKVQTDKKTQRFSKITILRQNSQSFDKLMEKERHALDVQKERRAAMALARN ELLFSTIGPHTSWVLWLDADIIETPVSLIQDMTKHNKAVLAANIYQRFYDQEKKQPSI RPYDFNNWQESDIGLEIASQMGDDEIIVEGYAEIATYRPLMAHYYDANGASGEEMALD GVGGGCTLVKAEVHRDGAMFPNFPFYHLIETEGFAKMAKRLNYDVFGLPNYLVYHIEE ENH SPAR_P02170 MAVSPRLRTTGEDTSMAKSAEDPIGDVEISTKSASGNSDGNNKN SPDGSSVGQEPELEHIPEENDSADKEADHEDCEAATAKKRKIQPLKNPKKSLKRGRVP APLNLSDSNTNTHACSSKEGSLASSNSAHFPSVINQSVKSAPAQVTQHSKFQPRVQYL GKASSRQSIQVNNNSNNYGKVHMPSAGVMTAMNPYMPMNRYIMSPYYNPYGIPPPHML NKPIMTPYGSYPYPMGPRTSIPFAMQGGNTRPYEENEYGGSSYRNKRVNDSYDSPLSG TASTGKTRRSEEGSRNSSPGSSANASPTQQRTDLRPTSDMIPTEEYHFERDALLSANT KARSASTSTSTSTSISTNRDRSSWHEAEANEEDEEGTDLAIEDGAVPTPTFTTFQRAS QPQQQSPSLLQGEIRLSSHIFAFEFPLSSNNVDKKMFMSICNKVWNESKELTKKSSSH HKTGK SPAR_P02180 MSQGTLYANFRIRTWVPRGLVKALKLDVKVVEPDTAIEQFARDF PLKKVPAFVGPKGYKLTEAMAINYYLVKLSQDEKMKAQLLGADDDLNAQAQIIRWQSL ANSDLCIQIANTIVPLKGGAPYNKKSFDSAMDAVGKIVDIFEDRLKNYTYLVTESISL ADLVAASIFTRYFESLFGTEWRAQHPAIVRWFNTVRASSFLKDEYKDFKFADKPLSPP QKKKEKKAPTAAANPSKKKEEAKPAATETETSSKKPKHPLELLGKSTFVLDDWKRKYS NEDTRPVALPWFWEHYSPEEYSLWKVTYKYNDELTLTFMSNNLVGGFFNRLSASTKYM FGCLVVYGENNNNGIVGAVMVRGQDYVPAFDVAPDWESYDYAKLDATNDDDKEFINNM WAWDKPVSVNGEAKEIVDGKVLK SPAR_P02190 MTEETITIDSISNGILNNLLTTLIQDIVARETTQQQLLKTRYPD LRSYYYDPNGSLDINGLQKQQESSQYIHCENCGRDVSANRLAAHLQRCLSRGARR SPAR_P02200 MSQDHVTLVSKDDKEYEISRSAAMVSPTLKAMIEGLFKESKGRI ELKQFDSDILAKVVEYLNYSLKYSGISEDDDEIPEFEIPTEMSLELLLAADYLGI SPAR_P02210 MKNPSFDWERLKDVFYRSRAIGELKWPTQYEDFKCALSLTVIAV EIQDFIQVYNYFGQLLGKINLQRIHEDIIKFEFDKDEKLTLVTKNCVKIVKSWSPLTI ESIPLQDSIIDTIWDYHNGIMLLTKSRDIYKLNGNEWELLYENKDKKYNLLTKNHWSC NDDTIILLDVDHVYQVSTSNGALLKLIADSSWHKVKISSKGFICLYNMKYNKLQIFKD PVRILMEHNLDSTPDDICWCGNDTVACSFEDEIKLYGPDGLYVTFWYPFTVTNLRAEV DGLKVITTEKIYFLSRVQPQTSNIFRIGSTEPGAMLVDSFSLLEDHAPKAIEILKNFV LEKGVLDCIAAAIDEFEPKLQKMLLNAASYGKASLQYKSFDASIFVDACNTIKLLNCF RSFGIFLTVEEYKGITLKGVLDRLLTYHRYYECIQICKLANEQFLLGYVFTEWAKAKI KGSPDIEDDELLEIFKSRLSVIDVTGTVQMVAIANVAYLEGRFQLSRDLALLEKDEEA KIEQLYNLDDDSIALKECIKVQNSSLTISLLIALSKKLTNSQLTKLLIIDMFNNPLYL YYMRMDKAYLYDFYRQTDRFIDLAHVLLQQGKEQQSLHSFLPQIKDLYSKAQNSESAS NVVEQLQRQEKLWVYQESLGKRFGISFTNMTLDQTLSKLIETGQDKQVKEIVKKFKIN ERKLYHLKCRTLVQAKRFDELLQFARSRKSPIGYMPFYTYLKSRGHINKATPYVNMIP GLSYQEKKKLYMDCKSFRDAIQLAGKEKDIPGLKEIYNIIPSNEPELKALANETMSKI SPAR_P02220 MEETIENVEVSTSNVSKQNDDGLDMKTLFVRSIPQDVTDEQLAD FFSNFAPIKHAVVVKDTNKRSRGFGFVSFAVEDDTKEALAKARKTKFNGHTLRVDVAK RRDRSKKTSEGVEKSTPESSEKITGQKNENENDADDEDSMLKGKPKLIIRNMPWSCRD PAKLKKIFGRYGTVVEATIPRKRDGKLCGFAFVTMKKISNCRIALESTKDLKIDGRKV AVDFAVQKNRWEDYKKAQPEVKDKDNNESENEEEEENDDEGEDGDQEEDRQIDEDSKK KEPTRTAQNKREDFSVFVRNVPYDATEESLAAHFSKFGSVKYALPVIDKSTGLAKGTA FVAFKDQYTYNECIKNAPAAGSTSLLIGDDVMPEYVYEGRVLSITPTLVREDAGRMAE KNAAKRKEALGKAPGEKDRRNLYLLNEGRVVEGSKMADLLTNTDMEIREKSYKLRVEQ LKKNPSLHLSMTRLAIRNLPRAMNDKALKALARKAVVEFATEVKNKERHPLSKEEIIR STKEKYKFMGPDEIEAQKKKDKKSGIVKQAKVIMEVKGSTAGRSRGYGFVEFRDHKNA LMGLRWLNCHAVTTDEILEGLNDDEKKQVDNDLGKGRRLCVEFAIENSNVVKRRREQL KQARTKRARPDNEDAEDVGESENKKPKKEEGTTAINSDDKKMGDDIKRIIGFKRKRKH TKK SPAR_P02230 MYNGKDRAQNSYQPMYQRPMQVQGQQQSQSFVGKKNTIGSVHGK APMLMANNDVFTIGPYKARKDRMRVSVLEKYEVIGYIAAGTYGKVYKAKRQIGSGISS GINSANGSNMNGINAKIPQFDSAQPKSNSSMDLQATTNTLRRNLLKDEGITPGRIRTT RGDASPQYNSQKQTPTKKPLTAFYAIKKFKTEKDGVEQLHYTGISQSACREMALCREL HNKHLTTLVEIFLERKCVHMVYEYAEHDLLQIIHFHSHPEKRMIPPRMVRSIMWQLLD GVSYLHQNWVLHRDLKPANIMVTIDGCVKIGDLGLARKFHNMLQTLYTGDKVVVTIWY RAPELLLGARHYTPAVDLWSVGCIFAELIGLQPIFKGEEAKLDSKKTVPFQVNQLQRI LEVLGTPDQKVWPYLEKYPEYDQITKFPKYRDNLATWYHSAGGRDKHALSLLYHLLNY DPIKRIDAFNALEHNYFTESDIPVSENVFEGLTYKYPARRIHTNDNDIMNLGSRTKSN TQASGITAGAAANALGGLGVNRRILAAAAAAAAAVSGNNASDEPSRKKNRR SPAR_P02240 MTVVKFFFRPFQLQTSSRFIFNRPLELVLKRPVQLTMLMGTRLG GPTQIRSISGNVDPVKRREDRLRRIFSKSRLLTRLNKNPKFSHYFNRLSEAGTVPTLT SFFILHELTAILPLFLLWWLLYNLDLSDDFKLPSFLNGLMESCHAAMEKFVGKRYQEC LNKNKLILSGTIAYVTVKLLYPVRIFISIWGAPYFGKWLLLPFQKLKHLIKK SPAR_P02250 MKCARLQPQHIFSIINKRYLAKHAYQKTLNLPKTKFPSRSNLDI TLRELIPKSSQLVYKEQLSDFFKEFSELNTTDEKLKFIKEKLFILHDGPPYANGDLHL GHALNKILKDIINRYQLSQGRYIFYKPGWDCHGLPIEIKALKDLSVQQIESISPLKIR SMALKHAQKAIKKQRETFKHFAILTDWETPYLTMNKDYEINQLNIFKEMFEKGLIKRQ NKPVYWGTETRTALAEGELEYNENHKSIAAYVKFPLEKKSEIDLCKKLGITNNLPIYC LIWTSTPWTLFSNRAICFNQDFSYSLLRVNNELMVVETDSIDELGLPIDSFKTIKQFQ GTQMHGLCYQNLLIDDNVSRPLLNGAHVTSGTGTGLVHTAPGHGQDDYLIGMQNGLEI YSPVDHQGRYELNELPQSVRTIMRDEKDPTQGRQVLDVETAKIILRKLSDLNLLYKSH EYTHSYPYDWRSKKPVIIRATPQWFADLHDVKNLALESIERVKFCPKRGYPRLSSFIK SRNEWCISRQRSWGIPILSFYKESEPDSILMNSETLGHAIEKIKQKGIDSWFNNKDND MKEWLPEKYHEVAHEYCRSQDTMDVWFDSGSSWSVIKDFYEKSLRLTKLPSPLYQVCL EGSDQHRGWFQSSLLTKVASSNVPVPPYEEVITHGFTLDENGLKMSKSVGNTISAEAI IRGDGKLGLPALGVDGLRYLIAQSNFTTDIVAGPTVMKHVGEALKKIRLTFRYLLSNL QKSQDFNLLPVEQLRRVDQYTLYKINELLKTTREYYQNYNFSKVLITLQYHLNNELSA FYFDISKDTLYSDQISSLTRRQVQTTLFHILNAYRAILAPILPIVVQEVWNYVPEGWL QGKEHINISPIRGKWPLFHTNTDTITSFEEFELKILRQFQKEFRSWSQKEGVTKTTQS HVTVFTKHHLPFSSGELCDILQSSAVDILQIDSDHNSLPTIELGSGIYVQILIERSKK HDCPRCWKASSAEEDKLCDRCKEAVDHLVS SPAR_P02260 MLSEEYNLGSANNMTSAELSLRHQKMVLKKFMVAQVTKGIMQRY ASLLVSMSSDDSSTITGTNHLKTAKFLEIILHRAKSSHLQFKKVCCIVIKFLDCCLKE TNYMKFLKFNLHKLIVAAFILSVPNVVGDDRDRITTRNETYHFYSQITGLSLEEVINC CSIVRPVLIRRSRQQRRQMLSRRDQHSYFPRGTFMNSHSPASPFFSANRSADDLHVHT NAYPLRNHSDGEDHHRRWEHGEAHSMEADAGTYRHTTFIPDTPNVLHSRSLIECGIEP AQASDSSEWSGQSNGYVLGTELQEFNNIGKKLVQDSFRIV SPAR_P02270 MKPAQDMNVDEVFLKQAAEAIAVTSSSPTHTDPIIRELLHRIRQ SSPLSAVIPAPEHVLNAGEPENMAVDLIRAPEIQTKRTGGSNHSKEGVQLYSCAKCQL KFSRSSDLRRHEKVHSLVLPHICSNCGKGFARKDALKRHSNTLTCQRNRKKLSEGSDV DVDELIKDAIKNGTGLL SPAR_P02280 MPIDQEKLAKLQKLSANNKVGGTRRKLNKKAGSSAGANKDDTKL QSQLAKLHAVTIDNVAEANFFKDDGKVMHFNKVGVQVAAQHNTSVFYGLPQEKNLQDL FPGIISQLGPEAIQALSQLAAQMEKHEAKAPADAEKKDEAIPELVEGQTFDADVE SPAR_P02290 MSSTGAKQYNEKPSKEFLDASEGDNPANNSAAASSSSSSTSTSA SSSAAAVPRKAAAASAADDSDSDEDIDQLIDELQSNYGESDESGEEEVRTDGAHAGQR VVPEKDLSTDPAYGLTSDEVARRRKKYGLNQMAEENESLIIKFLMFFVGPIQFVMEAA AILAAGLSDWVDFGVICALLLLNASVGFIQEFQAGSIVDELKKTLANTATVIRDGQLI EIPANEVVPGEILQLESGTIAPADGRIVTEDCFLQIDQSAITGESLAAEKHYGDEVFS SSTVKTGEAFMVVTATGDNTFVGRAAALVGQASGVEGHFTEVLNGIGIILLVLVIATL LLVWTACFYRTVGIVSILRYTLGITIIGVPVGLPAVVTTTMAVGAAYLAKKQAIVQKL SAIESLAGVEILCSDKTGTLTKNKLSLHEPYTVEGVSPDDLMLTACLAASRKKKGLDA IDKAFLKSLVEYPKAKDALTKYKVLEFHPFDPVSKKVTAVVESPEGERIVCVKGAPLF VLKTVEEDHPIPEDVHENYENKVAELASRGFRALGVARKRGEGHWEILGVMPCMDPPR DDTAQTINEARNLGLRIKMLTGDAVGIAKETCRQLGLGSNIYNAERLGLGGGGDMPGS ELADFVENADGFAEVFPQHKYRVVEILQNRGYLVAMTGDGVNDAPSLKKADTGIAVEG ATDAARSAADIVFLAPGLSAIIDALKTSRQIFHRMYSYVVYRIALSLHLEIFLGLWIA ILNNSLDINLIVFIAIFADVATLAIAYDNAPYAPEPVKWNLPRLWGMSIILGIVLAIG SWITLTTMFLPNGGIIQNFGAMNGVMFLQISLTENWLIFVTRAAGPFWSSIPSWQLAG AVFAVDIIATMFTLFGWWSENWTDIVSVVRIWIWSIGIFCVLGGFYYIMSTSQAFDRL MNGKSLKEKKSTRSVEDFMAAMQRVSTQHEKSS SPAR_P02300 MTTRKTVDSRLLEWQNTCKHPVINLTPEKVDKLYQLKLKSGNKN IRSNKLLPISLSSLQKKMEKLFIKDENYSHTSSILDRKVPILRTYKDGGFFINGKGSM KLPDIESAIHKFLWKRYGKGLVYCYGCDPTGKKRHTEWFNVPVLELPSVLRIIDSYCF GGESCYT SPAR_P02310 MKKIIHKALVNFYEHVGLGKKFHPSHDIVLIIGGSSNKLGIELC KTFIKDYHTKVINVDTTDDINGIGAKSNEKLYTFVSCKDFSDIGCIEESMLSLQNLEI HPTVLINNMQEGIKSTLLKEDKFLKLDDESLCEFEKIVRYNLQSVILITKFCLSNLFP RVHVEAQEKGRGFYIVNISTILTLKPSKSGTHFITSKCGINSFHDGITSELKLKDNNF KVKTLLAYLPNFESEEHWKILSPNFSKHLVQCLLEGRYGDTILGSKRSIGDILLITGF KNSFT SPAR_P02320 MSSSSLRVLAIGNNPNILFYTSRFQLAKNIDLYHVNDSKSCQFE IETEYYGKDQFELENHFTSIEHLTEALNSKSSGAIFDIIIMSAQSLQELSSLASKLTS IIDSNTKIFLESSGFIQLEPFVKLSMDSPHVNVFSILTDLDIRQIGPNQFKHFRSTAN ENTIYLGESKSNTEKYQSGVITLLTTFEKLFAKLFSNIKINLCNFSSIEFLSQQWKLA ISRICFDPLLIMFEQENPSDLDQQIIAKPLISGLVTEIITVAKTMGARLNSSYDNENA LLSLWKDSYQSTNKPPALVYHFIHQTTPLNIDILLLQTILLADDFGIKTPYLEFLYSV LSQFERLNNGKSKWFIRSDEKTQVLQSLQKSQKNELTLQNQISTLQSQIGKLRQELVM QAKQHELETNELKEKHQVTLKAQAQAQAQIQTQTQASDEVITPTEAIDQSNTNEYKAT GTPNLRDIEDMALYSVNYGDSPVKSPPAAVSSQPQINSPLSSHSQTFAENNGSNDKLL QERELQLRRKELELQERELEFQKRALQQQRFTNNNNSVPRKPSFPQLQQSANIRSNSR GMHGTNGPIPQPASAGNFVDPISSNMAAYDPQQPPSLPLQQPQQSVQVQPYHSHSIKP TSRKNRNSNMPNIGNPSSINMSDFGRPQNNSSQTRLSSMPTHSIVNQNRLRSQQSKNK LNMPHAAKPNNAFNQVPPSNLNNHVPTQRQFSSSTMIEVANNNNKVNNSSSNPDISTS SVVHNAMQFTNNTSSTVDINDPKNMAPPPTGSVSAPSTPTLSSSSQMADMASPTTDNG DNEEKTGGKKKRFGLFKKKNKSKR SPAR_P02330 MSSSSQFKQLEKLGNGTYATVYKGLNKTTGVYVALKEVKLDSEE GTPSTAIREISLMKELKHENIVRLYDVIHTENKLTLVFEFMDNDLKKYMDSRTVGNTP RGLELNLVKYFQWQLLQGLAFCHENKILHRDLKPQNLLINKRGQLKLGDFGLARAFGI PVNTFSSEVVTLWYRAPDVLMGSRTYSTSIDIWSCGCILAEMITGKPLFPGTNDEEQL KLIFDIMGTPNESLWSSVTKLPKYNPNIQQRPPRDLRQVLQPHTKEPLDGNLMDFLHG LLQLNPDMRLSAKQALHHPWFAEYYQHAS SPAR_P02340 MTGDGSAHNSKNNQNQHKDRFKFIVNDKSILGPQWLSLYQTDGK VTFAKSHFEQAMMNVIREPNINSTVILRADILKEINHASEAEPEPKFDESVLKKFEID NSNDGGEEDVKKINIEDLNIRSCETTGNLKLLPVHEFVRRIIPRNFYKDAIINQTCLI LNSKDPNFQETSLIIYTPHINSERDCPFYIPRTQSVGILLHQSVLSVHYIPFPEDKTA FTDESERVVRTAYRLLQTANKHSKGVMQGYEKRVNHDQVVNKVNFQNTYIVLKKKYSK FLVENWAESTDPKKHVFEDIAIAAFLIELWIKVYGPDFRSKMQFRDLGCGNGALCYIL LSESIKGLGIDARKRKSWSIYPPEVQSSLKEQVIIPSILLRPHPALKRQVPHLEHNGR FFPVKVTHEVIAPATVVYSSEDLLKSPQVNTAEFPLDTFIIGNHSDELTCWIPLLGHP YMVIPCCSHNFSGQRVRFNVRKRSSGSNEIKNQNNSKSTYSGLVDHVEYISSRVGWKV EKEMLRIPSTRNAAIIGVENGTLKHFPTQAVYDMIWEDGGAEGWIQNTMTLLKRNPRN H SPAR_P02350 MTLVKYSTIAFPLRSFRLFIFVKKALYHNEPHSIDPFHDKNWIV KRPKFLNLPKNEHSKLDIFQFNFNKSKSNNVYLRDPLFRDNLDKAMQIIYNEKLSSLD AKQVPIKNLAWLKLRDSIYQQLEDPKQQTKNYVPSISEIIHPSSPGNLISLLINCNKI GNSVWKSILKNGQSNNISTLDKFIHVLQQTFDHMYEQEILPMMTNTDDTDGAHNVDIT NPAEWFSEARKIRRHIIMHIGPTNSGKTYRALQKLKSVDRGYYAGPLRLLAREVYDRF QSEKVRCNLLTGEEVIRDLDDKGNPAGLTSGTVEMVPINQKFDVVVLDEIQMMSDADR GWAWTNALLGVVSKEVHLCGEKSVLPLVKSIVKMTGDKLTINEYERLGKLSVEDKPVK DGIKGLRKGDCVVAFSKKKILDLKLKIEKDTNLKVAVIYGSLPPETRVQQAALFNNGE YDIMVASDAIGMGLNLSIDRVVFTTNMKYNGEELMEMTSSQIKQIGGRAGRFKSKSTS GGVPQGLITSFESKVLKSVRKAIESPIEYLKTAVTWPTDEICAQLMTQFPPGTPTSDL LQTISDELERSSDNLFTLSDLKSKLKVIGLFEHMEDIPFFDKLKLSNAPVKDMPMVTK AFTKFCETIAKRHTRGLLSYRLPFNLLDYNCIPNESYSLEVYESLYNIITLYFWLSNR YPNYFIDMESAKDLKYFCEMIIFEKLDRLKKNPYAHKPFGSTRGQFPSSRGRLRT SPAR_P02360 MSQNVYIVSTARTPIGSFQGSLSSKTAVELGAVALKGALAKVPE LDVSKDFDEIIFGNVLSANLGQAPARQVALAAGLSNHIVASTVNKVCASAMKAIILGA QSIKCGNADVVVAGGCESMTNAPYYMPAARAGAKFGQSVLVDGVERDGLNDAYDGLAM GVHAEKCARDWDITREQQDNFAIESYQKSQQSQKEGKFDNEIVPVTIKGFRGKPDTQV TKDEEPARLHVEKLRSARTVFQRENGTVTAANASPINDGAAAVILVSEKILKEKNLKP LAIVKGWGEAAHQPADFTWAPSLAVPKALKHAGIEDISSVDYFEFNEAFSVVGLVNTK ILKLDPSKVNVYGGAVALGHPLGCSGARVVVTLLSILQQEGGKIGVAAICNGGGGASS IVIEKI SPAR_P02370 MTCTNGDPDKHLEMVSVKHLFQNSISRHESSAGKRFSKSLGRFF KQNAVSKTHRTETGNHNIELKKKDGVTLLPPVSESLLHKVNYWLETFFSPAKKMQIEE NKIVINEKEIRDSVSYYPDKNGGSVVFCCLPDLVLYYKPPIKVTGKQCPIKRSPWESM EIQYQKFMYPLERLERQFEEVPFRPWYFAMRLKELYRCCERSFTNAANRGKARLLRGK QRTKKSYHETVNLVSMKICTYPNAPSPR SPAR_P02380 MLSDCLLNNFRITAQIGSGAYGLVFHVVDILTSREYAVKTVFKS SSMDEFYNKNGLNNNSQVARTTLLQTQLYHFFKSFQKKLFLPSVDLDSILQLTENELN RLPHYREIAFQLKVQSHGNIVKIHQVLESSIATFIVMDYYDRDLFTSIVDDKHFVNDG VLIKKVFLQLCSALDHCHRLGIYHCDIKPENVLLDRNDNAYLCDFGLSTKSKYLAPNV CVGSSYYMAPERILYCLNTTTNGIHVDECCSSLPTDTGDIWSLGIILINLTCIRNPWL KAHQKEDNTFHHFANDNNVLKKILPVSDELFTVLTKILQLNPYTRVDVKTLMSEVSSL TSFTREGPLSQVPILSSEVYMTHIIRNENLYLDDLSHFAADREQQQEQKQEQEQEQEQ EQQQEEEEAEPESDIPSTYNSDGSMEKYEYTNNNNNNTFLSSSMDSTPYQSDIDDIGA SKDCKFQQDTLRNRLLCLQMNFSTLTDGPNEKWLPDY SPAR_P02390 MLQSGMSSSSILSQDITDDITPPAYSATLGSREQLVFKAYQNEP WLAGTALDLILDKKLIVVDRELLFQVLMVENITKSKLTQIDDIKTRLDPKKQKVDRLR SGPQGNGGKKYEVITQVDMEDDGNLTENNCANNNNNNNNGSAAKNKAVFKLTLQSKSG DVFFAINATPISWSSCTLGSKIVILPGTVFNRGVFILKDSQVIFLGGINRVWNENRDQ KFCDYLESKLQRDKQFVNGGSKKRKAND SPAR_P02400 MSIRDLYHARASPFISLEFFPPKTELGTSNLMERMHRMTALDPL FITVTWGAGGTTAEKTLALASLAQQTLNIPVCMHLTCTNTDKSIIDDALDRCHNAGIR NILALRGDPPIGEDWLDSQSNDSPFKYAVDLVRYIKQSYGDTFCVGVAAYPEGHCEGE AEGHEQDPLKDLVYLKEKVDAGADFVITQLFYDVEKFLAFETLFREQISQDLPLFPGL MPINSYLLFHRAAKLSHASIPPTILSRFPPEIQSDDNTVKSIGVDILIELIQEIYQRT SGRIKGFHFYTLNLEKAIAQIVSQSSVLSHIVNESSEEEGEDETGGEIRSIENIPIED ADGDIVLDDSNEDTVANRKRRRHSSLDSAKLIFNRAIVTEKGLRYNNENGSMPSKKAL ISISKGHGTLGRDATWDEFPNGRFGDSRSPAYGEIDGYGPSIKVSKNRALELWGTPKT IGDLKDIFIKYLEGSTDAIPWSDLGLSAETALIQEELIQLNYRGYLTLASQPATNATL SSDKIFGWGPAKGRLYQKAFVEMFIHRQQWETTLKPKLDHYGRRKFSYYAGDSSGSFE TNLDPHSSSVVTWGVFPNSPVKQTTIIEEESFKAWRDEAFSIWSEWGKLFPRNTPANI LLRQVHRDYCLVSIVHHDFKETDELWEMLLDQA SPAR_P02410 MSQLFYQGDSDDELQEELTRQTTQASQSSKNRDKDEVSESNHFS VVENEGNKLLDEDTVLYPLIPNESDDIETSKPNINDIRPVDIQLTLPLPFQQKVVENS LIAEDSLVIMGKGLGLLDIVANLLHVLATPTSINGQLKRALVLVLNAKPIDNVRIREA LEELSWFTNTEKGKPDATMESDDELFERPFNVVTADSLSVEKRRKLYISGGILSVTSR ILIVDLLSGIVHPNRVTGMLVLNADSLRHNSNESFILEIYRSKNTWGFIKAFSEAAET FVMEFSPLRTKMKELRLKNVLLWPRFRVEVSSCLNATNKTSHNKVIEVKVSLTNSMSQ IQFGLMECLKKCIAELSRKNPELALEWWNIENVLDINFIRSIDSVMVPNWHRISYESK QLVKDIRFLRRLLKMLVTSDAVDFFGEIQLSLDANKPSVSRKYSESPWLLVDEAQLVI SYAKKRIFYNNEYSLEENPKWEQLIHILDDIAHERINNSFQGPTLVACSDNLTCLELA KILNASNKKRGMRQVLLNKLKWYRKQREETKKLVKEVQSQDTFPENATLNVSSTFSKE QVTTKRRRTRGASQVAAVEKLRNAGANVDMEEVFEGRKLAEEIKKESDNLNDSQEENA GINDEENAANDSKIFEMQEQENEIVTDDEDAEVDNGELEYGDDLPQHITTHFNKDLWA EHCNEYGYVDRQDEVLISTFKSLNDNCSLQEMMPSYIIMFEPDLSFIRQIEVYKAIVK DLRPKVYFMYYGESIEEQSHLTAIKREKDAFTKLIRENANLSHHFETNEDLSHYKNLA ERKLKLSKLRKSKTRNAGGQQGFHNLTQDVVIVDTREFNASLPGLLYRYGIRVIPCML TVGDYVITPDICLERKSISDLIGSLQNNRLANQCKKMLKYYAYPTLLIEFDEGQSFSL EPFSERRNYKNKDISTVHPISSKLSQDEIQLKLAKLVLRFPTLKIIWSSSPLQTVNII LELKLGREQPDPSNAVILGTNKTRPHSNSTAKGLRDGDNEFKFQRLLNVPGVSKVDYF NLRKKIKSYNKLQKLSWNEINELINDEDLTDRIYYFLRTEKEEQEQEMTDENLELRDK ATDNNVFDDYGDDILEAPM SPAR_P02420 MLYNKEREASSISSSGRRTKFHFDRFVQMVLFIATNPDYCCSVA SIRDTGSRPDLKRADMLEQKIKSLNTALGSKLKEENRLGESLHNSTTPAPSSLSSLPI SSCGKKSSVGYRPKSRKKQTILPNGQPKECATCGDTWTSQWRSGPNGNVELCSRCGIA YRKKMEKKIRSQQSTENSIKNFVFNNK SPAR_P02430 MSVEVDKHRNTLQYHKKRPYSPLFSPISTYRYYPNHRSLNKPSE SRRSASFSGIHKKRTNTSRFNYLNDRRVLSMEEAVKDGSESVNRGGLIEGLRETLWNS GRYLWHTFVKNEPRNADTAEVDTSGSSDAGSRSSGSRSSNVSYRIREEYPTDIGKRKF DTSMWVSPKKRRKIESEDMGGLLSSPVSFPTSQESSHDRENSITFSRDPFGWNKWKTS AIGSNSENSTSDQNSFDRRQYGTAFIRKSKVAKQNINNTKLVSRAQSEEVTYLRQIFN GEYKVPKILKDERERQLRLMDLDRAKDTSLKKSIIDLTEKIKTILIENNNKNRLQTRN ENDDDLVFVKEKKISSLERKHKDYLNQKLKFDKSILEFERDFKRYNEILNERKKIQED LKKKKEQLVKKKLVPELNEKDDAQVQKALASRENAQLMNRDNIEITVRDFKTLAPRRW LNDTIIEFFMKYIEKSTPNTVAFNSFFYTNLSERGYQGVRRWMKRKKTQLDKLDKIFT PINLNQSHWALGIIDLKKKTIAYVDSLSNGPNAMSFAILTDLQKYVIEESKHTIGEDF DLIHLDCPQQPNGYDCGIYVCMNTLYGSADAPLDFDYRDAIRMRRFIAHLILIDALK SPAR_P02440 MLFGIKLANDVYPPWKDSYIDYERLKKLLKESVIHDGRSSVDSW SERNESDFVEALDKELEKVYTFQISKYNAVLRKLDDLEENTKSAEKIQKINSEQFKTT LEECLDEAQRLDNFDRLNFTGFIKIVKKHDKLHPNYPSVKSLLQVRLKELPFNNSEEY SPLLYRISYLYEFLRSNYDHPNTMSKSLASTSKLSHFSNLEDTSFKSYKFWVHDDNIM EVKARILRHLPALLYASVPNENDDFVDNLESDVRVQPEARLNLGSKSNSLSSDGNSNQ DVEMGKSKNVIFPQSYDPTITTLYFDNEFFDLYNNRLLKISAAPTLRLRWIGKLLDKP DIFLEKRTFTENTETGNSSFEEIRLQMKAKFINNFIFKNDPSYKNYLINQLRERGTQK EELEKLSRDFDNIQNFIVEEKLQPVLRATYNRTAFQIPGDQSIRVTIDSNIMYIREDS LDKNRPIRNPENWHRDDIDSNIPNPLRFLRPGEYSKFPYSVMEIKVINQDNSQTPKYE WIKDLTNSHLVNEVPKFSLYLQGVASLFGEDDKYINILPFWLPDLETDIRKNPQEAYE EEKKTLQRQKNIHDKLDNMRRLSKISLPDRTITERQEQRDQSTRHIVADLEDHESSDE EGTGLSKKSAVKKGKKFKTNAAFLKILAGKNISENGTDPYSDDTDSASSFQLPPGVKK PVHLLKNAGPVKVEAKVWLANERTFNRWLSVTTLLSVLTFSIYNSVQKAEFPQLADLL AYVYFFLTLFCGLWAYRTYLKRLTLIKGRSGKHLDAPLGPILVAVVLIVTLVVNFSVA FKEAARRERGLINVSSQPSLPHTLKPIQDFIFNLVGE SPAR_P02450 MDFTSDTTNSHDTSNSHLSLEDAVGPHQAGEVDANIDEDEKQQL SLLNDEELRGLKLQEEKEALLTRRNTLLQEIQTYQNILMKENAGKKPKNGDILQNDIT QDFLDLISISSSNPNLAINDRKRVESINGLTNLQKELVTKYDTLPLLNMNLRLSYLRD HTYPHLQVSVQSRDRMHNDGIEVLVVNYKFCRNTMNPFEIQYKMFYKFEDSTLLKWEI LRISTNVRLKAKQLLATRNFQKCLLSLYEFDKIKSRKTGIFQNLINLLKRKTKCYLMN NGDSLVIERVIREGGLTTLKLQINFIIVMPGERGKPRNCFLPMSKISITLWKGGERFN QMDLDEICYGLIKEYGVGTGLKEICNVCLFPDIYAR SPAR_P02460 MENIVAVYDLLVIGCGPGGFTAAMQASQTGLHTACVDQRASLGG TYFVNGAVPSKTLLDESYLYRLLQQREQIEERGVKLLSAKFDMRAAQSALKHNIEELG NVYKRELSKNNVTVYKGTAAFEDSHRVKIAQQGVDEFIVEAKYIVVATGSAVIECPGV VIDDDKIVSSDKALSLDYIPSRFTIMGGGTIGLEIACIFNNLGSRVTIVESQGEICQN MDNELASATKTLLQSQGIVFQLNTRVQFAKTDSAGQLSVTLIDKLSKKTHIHHCDVLM VSIGRRPLLKDLDISNIGLDERDFVENVDVQTQSLLKYPHIKPIGDVTLGPMLALKAE QQAIRAIQSIGCTESIETSSCGFPPNVLYCQPQIGWVGRTEEELAESRIPYQKGKAFF SQNVRYNTLLSQKENTTISSFIKVLIDSRNMKILGVHMINDDANELLSQASMAVSLGL TARCSIGNGE SPAR_P02470 MDFFNLNNNNNNNNTNNNNSTGNSNNTNNNNTNAGASSVDDFQN FFDPKSFDQNLDSNNNNNNNNDNNNSNTTASGSNFTSPTAVVNNAGTANVAGGKAANF IQNQSPQFSSPYDSNNSNTNLNSLSPQAILAKNSIIDSSNLPLQTQQQLYSGNNNNGT AIANDNVITPHFITNVQSISQNSSSSTPNTNSNPTPNANQQFLPFNNGSSNNNNLAPN QLISNYGASNLMDRSSSASNEFVPNANDSNNNNNNNNNNNMRNNSNNSTSNNNNNNAT AVPAASSVNSNNSTSNANTVFSERAAMFAALQQKQQQRFQALQQQQQQQQQQQPQPQP QQPQQQQQPQQPQQPQQPQQQQQPQQQQNPKFLQSQRQQQQRSILQSLNPALQEKIST ELNNKQYELFMKSLIENCKKRNMPLQSIPEIGNRKINLFYLYMLVQKFGGADQVTRNQ QWSLVAQRLQISDYQQLESIYFRILLPYERHMISQEGIKETQAKRIFLQQFLQELLKK VQQQQQAAALANPSNNINNASSALTPAAPGASAPATSAAGTPAGIVPVSTNTPKSLNN NININMNNNNIAQQQAKKPRKQRVKKKTKKELELERKERENFQKRQQKLLEDQQRQQK LLLETKLRQQYEIELKKLPKVYKRSMIRNYKPMVNRLKHYNGYDINYVSKIGEKIDSN KPIFLFAPELGAINLHALSMSLQSKNLGEINTALNTLLVTSADSNLKISLVKYPELLD SLAILGMNLLSNLSQNVAPYHRKTSDYYYEDVESNQYYVTQHDKMVDKIFEKVNNSGT LTPNDSSDEKVTILVDSLTGNQLPTPTPTETETDLGTERFINMQSTSSAVKQWDLLPE PIRFLPNQFPLKIHRTPYLNSLKKIKDEIDDPFTKINTRGAEDPKVLINDQLSTISMI LRNISFSDNNSRIMSRNFYLKRFISDLLWLVLVHPENFTCNRKILNFKKDLVIVLSNI SHLLEITSSIDCLLILILVISFGQRKLNPMASSSSFGSDFLTFNEFQLQWGKYQTFGV DILAKLFSLEKPNLNYFKSILLNNNISSNHYDRNSNNNHKDRKLLRRLLDLYNDNNKN NNNKHNLLNDVVSFLFSVIPLQQVLSQSADPSSLIDQFSPVISQSLTSILVIVQKILP LSNEVFAISENNSNSNNNNNSNKDSSFNFNKNLPFVWLGSEENIGSGLLKLSELILNI NNSASKNTLLQQQNYLKVLLPSINVSCVQLIRCLIEKSICFENCLNNDPDILKKIASI PNLFPTDLEIFQLFTNPSIDIQVINQYQLLYNLKNDILTNLK SPAR_P02480 MSVSTASAVRTASTEMSVGKIAAHMKSNPNAKVIFMVGAGISTS CGIPDFRSPGTGLYHNLARLKLPYPEAVFDVDFFQSDPLPFYTLAKELYPGSFKPSKF HHLLKLFQDRDVLRRVYTQNIDTLERQAGVKDDLIIEAHGSFAHCHCIGCGKVYPQQV FKSKLAEDPIKDFVKCDVCSELIKPAIVFFGEDLPDSFSETWLSDSEWLREKTTASGK QPQQPLVIVVGTSLAVYPFASLPEEIPRKVKRVLCNLETVGDFKTNKRSTDLIVNQYS DAFAEQLVEELGWQEDFEKILTAQGAVAENSKEQLLEIVHDLENLSLSQSEGENVNKK LQHFDDDENDEDEMNKFSSSQKAGKE SPAR_P02490 MLLERLHKRLHAGSSRRSQENKEKNCTPEDALPIQPEAQHRTEE PQPLLNCDYDDMIAFDRNLSTPVFTPVMTPINNISSNQAKSSETSYFPPYFNANRTRQ NSASSLASSVSDFPQNFKQHAFYNNNAQFTSFTPQFVGLLLEVYQNTCSDPTITPFDT TNPPSGILNRVAKAAIQQSELQQLDIGCDRNSWLLTLVRQRLLQEVRKDGYLSRNTSL TSLPPPPPPQFSEMLRVPSPFINADITDPIPLSNTNSDPNASSTTSMTNTLNWYSLQR SNALMKNRNGSSQYISDLQPQPILARTNSNNSTSNNNAFSLLTPTPTTDSAFNFNIAL LSRQRSNIMSSPLASTRLPTANVSAEESSILPNEPLKLKRDLLRLKR SPAR_P02500 MTCGLVRIRLARFGRKNSPVYNIVVANSHKARDAKPIEVLGTYV PAPSPMTKRELKKGVVPIKDVKLDFDRAKYWIGVGAQPSETVTKLLQKAGILNDAWIT GKNSNVNRKVVFERMETLE SPAR_P02510 MDQDQVAFLLELEDKLAKIRSQVTSKLENQKHVAIILTAVEENI VGQATNDVSKNIVNYIISFMSLLDQAVDPSTHEIKDLQLASSSTYLLDLIFHYSPKPL LRSKFSEILTKIAPCITAEKANAPLIRAAIGCLESLLIAQDAQAWNNTYDLNVTPKRG LQGILELSLDVRPKVRKRALDAVHAVLLNPPVAPTAEHVAAVFVADFCDKQLEGNLND LSNLSNKQLKAQKTKEDINSNVMRSLRLVTSVVSTGQWPSSQIEPLCDILLGVTKSSE QYLVSASFECFESMFKSMAETTASSGLAENKYLRVLDTIFALKPSNVDTLLTKSWIAV VIKGMSTYAAHQPLKALRKIPGVFHIMSTYLASETPEVYQAASQCLISILSESVKDDL LLYTPSVDEKTFKNVDEIISQIAKAFIDFLSIRYSHCSRDVLKILVAAFNKFRYRSNP HFLKSLKIVDTWRVNEEQFMDLRNEIELVIGASISAMGPEVILAEAPLNLDNPSPERP GRAWLLPLIRDYTKNSNLATFQNELAPYIKSFQSKFDKVPEESIQLRVFQTIVDQIWS TLPRFCELPMDLRESFTDEFASDLSSLLYSEVELRTTICHALRVLAESNVSYSESSHS DNILLLQRFPVSEAQKNIEYLSTKSNNLLAVLFNVYTQTTPNARSYILETIDQYLKIT SKEDLEKTFNNVCGLLKNSMSEESSGNANKEKKKPQLTATLLDLIICMITYLPVSSYS ALFSIFGLTVNSADALIQKRAYRIITKLSELGSGSTAVAQFISDIENVMVDNTSTVQT SAKAARLAAIKTIVELLPSDHLGFIVRTVAEVILSTKDVNEKSRETAFDTLICMGRKM NEPNGIIKLSQIPGYDPATPDQASSISEFFKIISAGLIGESQHMVSSSITGYACLVFE FKNEIDSGILMDIYDTIELYLTSNSREIVKSAIGFTKVCVLGLPEELMRPKVPELLLK LLRWSHEHTGHFKAKVKHIIERLIRRFGYDYIEANFPEEDRKLLTNIRKMRNRNKRKD EEATTGVKDGATTKGSRFMSAFDEAVYGSDNENDNGSDQEENVTGGKMKNGTKQFIVE SGENPLDLLDSQTLAHISSTRPKKFNKNQNRARFNDDAFNFDSEGKLVVKGQPKPSTN ADDPLSAVTSGINAYLEAVKSGPVRGQRNKLKFRKNGKNSDDFGDDDDERDSRAMRGR TDIGNKVGKHSKRGPKFKSRKKL SPAR_P02520 MTTNHDFYFALLRISILQLLKAQGFDRARPSLVDVMTDLYAKFL SLLASEISSIAQARCDQDDTIALQDITLALENLGIVKPTNVLDVYDENSELSSSRGME KFKDWCIYSTQLSDTRITALPTVELLQNEEKESDPLSAIPDYLNQLLQNKGAKQKLET KNRKTELIEDLINNNGLDDWIKLVVARQRINMIERASKKESQNVAALPHITGYKSSIL SHHHHTTITNEDRMPSAMTPRDEDALTGIQENPYVTSKLPIMRKENRLENIALSFEDE ELESPSEVEDPSQISQENNNGESFKESNKSVTESPHGDDRDISMFQFDSNVDTKWAEQ EDMDSTFQRRTSLDYGGYF SPAR_P02530 MMSSLSLYTVQAVLILDQQGERIYAKYYQPPHRTDDGHQLLFNS VKKQKEFEKQLYRKTHKQDSEILIFEDRLVLYKEYIDITIYLVASLEENEIVLQQGFS AIRGALDLILNSGLDKKNIQENYDMVLLAIDETIDNGVILETDSNTIASRVSKPPTNE PQMALDLDKGFLGAWGFAKSKFQERLQQGL SPAR_P02540 MKQRISALDLLLLARELKQDLEGYRLSNIYNIADSSKQFLLKFN KPDSKLNVVVDCGLRIYLTEFSRPIPPTPSGFVVKLRKHLKAKRLTALKQVDQDRILV LQFADGHFYLVLEFFSAGNVILLDENRRIMSLQRVVVEHENKVGQIYEMFDESLFTAD NESTNESIENRKAKYTSDLVSEWIKVAQTKYESDITVIKQLNIQKKEDSKKKKVKVPS IHKLLLSKVPHLSSDLLSKNLKVFNINPSESCLTLLEKTNTLAELLNSTQFEYNELLT TSDRKGYILAKRNENFNSEKDTADLEFIYDTFHPFKPYINGEDSDSSNIIEVEGRYNK TLDKFFSTIESSKYALRIQSQESQAQKKIDDARAENDRKIQALLNVQELNERKGHLII ENAPLIEEVKLAVQGLIDQQMDWNTIEKLIKSEQKRGNKIAQLLNLPLNLKENKISVK LDVSSNKEGNPSSDEDNESEGSTSESSSDSDSEDIGNSKERSTRNMKRKPNDMINVTI DLSLSAYANASEYFNIKKTSAEKQKKVEKNVGKAMKNIEVKIDQQLKRKLKDSHSVLK KIRTPYFFEKYNWFISSEGFLVMMGKSPAETDQIYSKYIEDDDIYMSNNFNTHVWIKN PERTEVPPNTLMQAGILCMSSSEAWSKKIASSPWWCFAKNVSKFDGSDNSILPEGAFR LKNEKDQNLLPPAQLVMGFGFLWKVKSGEKDEDDEESDENEEEEEQQQDDDSDDEING SLENDGSSDDNTKSNDSEYDNLEREAERESTVSSDIDSDSENAKGNNSNSSTQSIPEE QDVPVSLVDSMNSNVRGKRGKLKKIQKKYADQDETERLLRLEALGTLKGIEKQQQKKK EEIMKLELREDKKNKREKQRRLQALKFTNKEKAKVDYDKHKAELKASLDKDDVVVDII PVFAPWPALLKYKYKVKIQPGSAKKTKTLTEILHYFKNRPVDSSSTDKEMDWPQEHEM VKSLKEQDLVLLLCVDKLKITIAGQKSTKNGGSSSKKGKKNTKSSGMKRK SPAR_P02550 MGPKKYSETFYHPYKPYDIQLQLMETVYRVLSEGKKIAILESPT GTGKTLSLICATMTWLRTNKADIFTHMETSPKKNEDGSESLSDDEPDWVIETYRRSVL QEKVDLLNDYENHLKEINTTSRKQLKTICDLNKEHGRYRVIDPLKKRRKGVQHLDISL EEQDFIPHPYESDSERDDASKSARGGRISDKDHKLSELNLEITTLLDKIDGKIPKDPS NGDRFGVINQNPVKIYFASRTYSQLGQFTSQLRLPSFPSSFRDKVLHEKVKYLPLASK KQLCINPKVMKWKTLEAINDACADLRHSKEGCMFYQNTNEWRHCSDTLTLRDMIFSEI QDIEDLVPMGKSLGVCPYYVSREALPIAEVVTLPYQYLLSESTRSSLQINLEDSIVII DEAHNLIETINSIYSSQVSLEDLKDCHKGIVAYFNKFKSKLNPGNRVNLLKLNSLLMT LIQFIINNFKKIGQEIDPNDIFTGSNIDTLNIHKLLRYIKVSKIAYKIDTYNQALKEE ESLRNEKPIKEAHKKSVSSQPLLFKVSQFLSCLTNLTSEGQFFFEKSYSIKYMLLEPS KPFESILNQAKCVILAGGTMEPIADFLSNLLPEVPCEDITTFSCNHVIPKENLQTYIT NQPELEFTYEKRMSSSLVNNHLFQFFFDLSKAVPKKGGMVAFFPSYQYLAHVIQCWKQ NNRFATLNSVREIFYESKDGDDILSGYSDSVAEGKGSLLLAIVGGKLSEGINFQDDLC RAVVMVGLPFPNIFSGELIVKRKHLAAKIMKSGGTQEEASRATKDFMENICMKAVNQS VGRAIRHANDYANIYLLDVRYNRLNFRKKLSRWVQDSINAEHTTHQVISSTQAFFAMH SLNSH SPAR_P02560 MKLLRDLLVNRKEFEDWKNNLTWARDGTLYLTTFPDISIGQPMF TRDVNSNSKNLFHVKEFPLVFENKLDFELAQQNGLLNSQPVCYPRVCKPSPTDDWIAV LNNNGNVSVFKDNKILANLDSKGNLSSRIYHCFEWNPIKSSIVVGNEDGELQFFGIRK NVENVPEFFFESSIRLSDAGSKDWVTHLAWYDDVLVAALSNNSVFSITFSASSYEPVS RIVQDASRRKITDLKIVDHKVVLTCPGYVHKIDLKNYSISSLKTGSLENFYIVPLKHE QESTVLLISNKTSYKVFIGEELHVSADNIIAPYLEKKFKKWSTIWNEFNNYETTLVIH GISLSPDGYSIAIVYDMERVAFKYKIASEQSFYIMFAPLYHSWTISERAVGLAWYQTH HIYNKSLPRLPENFSTNKKLLNGDYPINLNFQSYLNALIKSEEMRTIMFLNMTTDKPS ISSFLEALYEYAMNKKSELTNSFDLACVLSIATILNKAAPNANGKLIMKSSLLEETFN LESFTTDREAVTSTANNTWRRCGVTLLPILTTHVKICPVSKQRVLDIKRDSLNDYGWF TRGLLERFNETSVYCGTTLEMI SPAR_P02570 MNLLWIIALVGQLVQLVQATATCAMYGNCGKKSVFGNELPCPVQ RSFEPPILSDEASKLLVEVCGEEWKEVRYACCTKDQVVALRDNLQKAQPLISSCPACL KNFNNLFCHFTCAADQGRFVNVTKVEKSQEDKDIVAELDVFMNSSWASEFYDSCKNIK FSATNGYAMDLIGGGAKNYSQFLKFLGDAKPMLGGSPFQINYKYDLPDEEKEWQEFND EVYGCDDAQYKCACSDCQESCPHLAPLKDDVCKVGSLPCFSLSVLIFYTICALFAVTW YYLCKRKENGAMIVEDDIVPESGSLDESETNVFESFNNKSNSFNDKLASLFSKVGKFS VENPYKILITTVFSIFAFSFIIFQYATLETDPINLWVSKNSEKYKEKEYFDNNFGPFY RTEQIFVVNETGPVLSYETLDWWFGVENFITEELQSSENIGYQDLCLRPTEDSTCVIE SFTQYFQGVLPNKDSWERELKTCGKFPVNCLPTFQQPLKTNLLFSDDDMLNAHAFVVT LLLTNHTLSANRWEEKLEKYLLDLKIPKGLRISFNTEISLEKELNNNNDVSTVAISYL MMFLYATWALRRKNGKTRLLLGISGLLIVLASIVCAAGFLTLFGLRSTLIIAEVIPFL ILAIGIDNIFLITHEYDRNCEQNPEYSTDQKIISAIGRMSPSILMSLLCQTGCFLIAA FVTMPAVHNFAIYSTVSVIFNGVLQLTAYVSILSLYEKRSNYKQITGNEDTKESSLKK FYFKILTQKRLIITIFSVWFFTSLVFLPEIEFGLDQTLAVPQDSYLVDYFKDVYRFLN VGPPVYMVVKNLDLSKRQNQQKICGKFTTCERDSLANVLEQERHRSTLTEPLANWLDD YLMFLNPQLDQCCRLKKGTDEVCPPSFPNRRCETCFQQGSWNYNMSGFPEGKDFMEYL SIWINAPSDPCPLGGRAPYSTSLVYNETGVSASVFRTAHHPLRSQKDFIQAYSDGVRI SNSFPELDMFAYSPFYIFFVQYQTLGPLTLKLIGSAIILIFSVSSVFLQNIRSSFLLA LVVTMIIVDIGALMALLGISLNAVSLVNLIICVGLAVEFCVHIVRSFTVVASDTKKDA NSRVLYSLNTIGESVIKGITLTKFIGVCVLAFAQSKIFDVFYFRMWFTLIIVAALHSL LFLPALLSLFGGESFKDDSIEVED SPAR_P02580 MNTLRCLAHVLSKGGRETPKLYQKVIFPDLFKDHIQIANVKKVN EREIDSLKLTSVNGEAQIMVRHGVKYEREQVKEYLSSLPTLTLSRKQIRDDYDEERAK QMYMISKQTKSSNKFQKLLTAKSQEFTRELLTLLIDCTPNEENSQPERFTRKFLKFSN QEVPPIPDFSKNPQLFENYVGILSHTKFNFRSSSKLNGIVRKILRHLLHPTNKTTLPL RSAQVYNDSIYFFSEHFDFASCREIFAQMKAEGAKPNTVTFNLLLRNVVKNSHIRKTK RPDDEVLFYLRSMRNHGVFADIITWTTCYNFLRDEVSRQLYIVQMGEHLGNFNVNFVY TVLRNGDYTAEDCLKVLATNSLPISRKMFYLCIERLLDEEQLQTASKLLDYGFQHLRS NFKLDSEAINHFMRVFATKGRSDLAFLCYNTCRKTYKVKPDSQTFEMLFKALVRNGNT KNFGAVLQYIKDLKFAEGFGLRTTYWSTKAESIFKFSNSTALSEKSIEKARKLLGNLI ASNGEFSWKIWKESDPSQKKILRFLGCIPITLRCTSATHDHQKSTSLPSNISQKKREY RNRIKAIATKAAFEKKKSYIKDNDVAFKKELVERMIVKEF SPAR_P02590 MHRTYSLRNQRAPTAAELQAPPPPPSSTKSKFFGKASIASSFRK NAAGNFGPELARKLSQLVKTEKGVLRAMEVVASERREAAKQLSLWGADNDDDVSDVTD KLGVLIYELGELQDQFIDKYDQYRVTLKSIRNIEASVQPSRDRKEKITDEIAHLKYKD PQSTKIPVLEQELVRAEAESLVAEAQLSNITREKLKAAYSYMFDSLRELSEKFALIAG YGKALLELLDDSPVTPGEARPAYDGYEASRQIIMDAESALESWTLDMAAVKPTLSFHQ TVDDVYEDEDGEEEEEEEEEEPEIQNGDAPGQVVEEEEVEWTTEVPVDDETHEVNHQN GQNGRSSGSENI SPAR_P02600 MERYDRQLRLWGAVGQDSLNRSRVCVIGPATPLLQEVIKNLVLA GISSLTWLKVKYAVPSGALFLAQLKKDLERLASKQLEYEENDLEKTLQQLQYDWARFS VVILTCIGDQTTILDLNEVRRQRGTNFPPVLNTFVSGFYGYMNLVLSETHFVLQAHPD SKKYDLRLQKPWPELINYVNTFDLSKMDIPTFSGIPYIVLLMKCIAKLGKGGNTGRIT IGQVKNALNQICFPLGNDVIYEPNYVEAKRYAYLACSQNDCCKELDDLLRNLEISDYG NDWHDTYNYEIFTLLLALKKIAEENGELSFQPLTGALPDMESTTENYIRLKTLYEVKA KLDKSCVEQILARGKKKVSQDVLNTFCSHYGEVRKILPPKSDLLGIFSTSNTLLDALV MVQFWEQSTVTSEPKDEFIGLRVDDNYPVMAFFGGAVAQEAIKLITHHYVPIDNLFLY NGIDNSSATYKI SPAR_P02610 MKQFGLAAFDELKDGKYNDVNKTILEKQSIELRDQLKVFQERLV EFAKRHNSELQASPEFRSKFMHMCSSIGIDPLSLFDRDKHLFTVNDFYYEICLKVIEI CRQTKDMNGGVISFQELEKVHFRKLNVGLDDLEKSIDMLKSLECFEIFQIRGKKFLRS VPNELTSDQTKILEICSILGYSSISLLKANLGWEALRSKSALDEMVANGLLWIDYQGG AEALYWDPSWITRQL SPAR_P02620 MSAEDFKPETWTSSANEALKVSIVGENAIQFSPLFTYPIYGDSE KIYGYKDLVIHLAFDSVAFKPYVNVKCSAKLKDNDVVDVEEKLLSFLPKDDVIVKDES KWVDCFAEEQKTHKLSDGFKKVSEYSLNGEEFVVYKANLIDDLARRMHRRVQIFPILF IEAANYIDESDPNWDIYWLFDKKTKKLIGFVTTYKYWHYLGAQSFDEDIDKKFRAKIS QFLIFPPYQNKGHGSCLYEAIVQSWLEDKSITEITVEDPNEAFDDLRDRNDVQRLRKL GYDTVLQEHSELSDEFLESSQKSFKLEERQFNRIVEMLLLLDNSPSFERRVKKRLFIK NYDALDQTDPEKAREALQNSFVLVKDDYRRIIESINTSKT SPAR_P02630 MVQGLLLGAYSCKRFFNSSAIIKSSALTLKETLENVIPQKRDAV KNLKASYGNTVVGPITISSVLGGMRGNQSMFWQGTSLDPEHGIRFQGLTIEECQERLP NTGVDDDNFLPESMLWLLMTGIVPTFEEATSLRKELAIRGRKLPHYTEKVLASLPRDM HPMTQLAIGLASMNKGSVFATNYQKGLIGKTEFWKDTLEDSLNLIASLPLLTGRIYSN ITNEGRPLGEYSEDVDWCTNICSLLGMTNEKNSSNTCNLNSQQSLDFINLMRLYTGIH VDHEGGNVSAHTTHLVGSALSDPYLSYSSGIMGLAGPLHGLAAQEVVRFLIEMNSNIS SIAREEEIKDYLWKILNSNRVIPGYGHAVLRKPDPRFTAMLEFAQKRPIEFKNDKNVL LMQKLAEVAPKVLLEHGKSKNPFPNVDSASGILFYHYGIRELLFFTVIFGCSRAMGPL TQLVWDRILGLPIERPKSLDLEGLKALTKASNVNNVI SPAR_P02640 MFLVKNLKYNRIKVCLPKKEFSSLRTASVQTNERPSPDKVLKDI AKYVHETPITSSLALDTARLCFLDTLGCGLAALKFKQARNIIKPIVPGTIVPNGTKVL GTSYVMDPVKGSFAIGTLIRWLDYNDCWLAAEWGHPSDNLGGILAVADHLSRLNKATR GKSGKQFLVKDVLDAMIKAHEIQGIIALENSFNKVGLDHVVLVKVATAAVVSKMLGLS QEQTIEVLSQAFVDGQSLRTYRHAPNTGSRKSWAAGDAVSRAVNLAYLVKNANVGTIP SVLTAKTWGFYDVLFKGKPLTFQQRSEYGSYVMENILFKISFPAEFHAQTAVEAAVKA YNVLGEQAKSFKDIKSIRIRTQEAAMRIIDKSGPLYNYADRDHCIQYMIAVPLITGNL TAADYSDEVASNHEIDNLRSKMYCIEDTQLSQDYHDPDKRSIGNALLIELNDGTQLDE IFVEYPVGHKFRREEGIPLLLDKFQRHLREHFVGSPDKVDVIMNESLKTNFLNMPIDS YMDLFTEE SPAR_P02650 MASNTSLLGRRRVSYSSTAPPRFKRSVDQRDIFSDNFDYDKDSS SRGRTYVTTSIPTSGACPTNNSRLECTNSTNNNDSVDDNGRVFETVPYYLPCFSWLPE YTFNKLWGDIIAGISLASFQIPLALSYTTSIAHVPPLCGLYSLAISPFVYGVLGSVPQ MIVGPESAISLVVGQAVESITLHKDNVSLIDISTVITFVSGAILLFSGISRFGFLGNV LSKALLRGFISSVGLVMIINSLISELKLDKFLVSLPQHYHTPFEKVLFLIDYAPAQYH MPTAVFSGCCLIILFATRLLKRKLMKYHKSAIFFPDILLVVIVTILISMKFDLKHRYG ITIIGDFSMDNLDELKNPLTHPRRKLIPDLFSASLIVAMLGFFESTTASKSLGTTYNL TVSSNRELVALGFMNIVISLFGALPSFGGYGRSKINALSGAQSVMSGVFVGVITLITM NLLLQFVHYIPNCVLSVITTIIGISLLEEVPGDIKFHLRCGGFNEIFVFAVTFCITIF YSIEAGICIGCVYSIINIIKHSAKSRIQILARIAGTSNFTNLDDYLMNMKRNPLDVEG TEEIEGCMIVRIPEPLTFTNSEDLKQRLDRIERYGSSKIHPGRRSFRSKDSIKYIIFD LGGMTSIDSSAAQVLDEIITSYKRRNVFIYLANVSINDKVRRRLLKAGVAPNVERAQQ STNENNTSNACIDAEEPYSQYFDSIDAALYEVEKMKTKGNNVLNNDSESFMSNTLFNS SLV SPAR_P02660 MFKSLTAVLPKAGKAKFLQKSHASTLAFIESSKDGSVSRSSLSL LAAAQKLSNPITAIITGSRAEKTAEALKSSYSCNNLEKLVIFEDTKLDTCLPEQLTPL LVNMLKGGDYSHFVVSNSSVGKSVLPRVGALLDVQPVCEVSVIKDPKTFVRPIYAGNI ISTVECAADKKLLSIRASAFAPIAEGNMDSVAIEKRTDIPPCDLDVTCVKTILTKSER PELTSAENVVTGGRALKDKETFDKLLSPLADVLHAAIGATRASVDNGLCDNSLQIGQT GKVVAPNLYIAVGVSGAVQHLAGMKDSKVIVAINNDPDAPIFNVADYGLQGDLYKIVP ELTEKLRK SPAR_P02670 MQLKDLGLHDYTLKNLMYENNCCKFYDAVDENNTSYVLKFVPSD MTSEGDTFPFVDCFEVKEGVFLVYSSNNFAKEGTDYFTYTGSSEMEVSIPSTASEAEK KRQFIETYNPKHLKRGAKEQQDVKSSTSNESAVANNLSGKKKQIWEHRVPTN SPAR_P02680 MIKNKTFKRTTTGPLRKLVLSSNKSLRRSFNRASSTKDFISSES NKVKEWWASSRFENVGRPYSATDVVKHRGSLPANTSIYPSSYQARKLFNLLEENFKGG TPLHTLGVIDPVQMSQLARCENIKIAYISGWACSSTLVGSTNEVSPDFGDYPYDTVPN QVERIFKAQQLHDRKAFLEASIKGSTPVDYLKPIIADADMGHGGPTTVMKVAKLFAEK GAAGIHLEDQMVGGKRCGHLSGAVLVPTATHLMRLISTRFQWDIMGTENLVIARTDSC NGKLLSSSSDPRDHEFIKGIIRGNVVPWSEKLIEMEDKKIPNSTIADTEREWYHENEL FTFEEALAKQFTASEFETYREKKEDLMANKLGREYLSLREMKLLAQEVAPLKKIMFDW DAPRTKEGYYMFNGCIEAAIRRSLVFAPYSDMIWLETKTPDLEQARLFSSKIHKQLPA TKLVYNLSPSFNWSAHGFDDKALKSFIWDLAKEGFTLQLVSLAGLHSDGVSFWELANS FQHDGMKAYVEKVQRREKETNCDIMTHQLWSGAEYVDSLMKVVQNGASSQTLSTSGES FTETQF SPAR_P02690 MAPLSLNLKDDKKYKGLTTVWLLSTLGNSIVRENNNFYSNKSSS TGNISASTVKKKDIVNISIPKTCDEIQNIENNLSLRYISNLLYGVTICYNKKTEYVLN DLNHLLVQLQKNDVYAFKAKNKSTRINGLNSNNSIIGNKNNNYTWEECVFFDDDPLYD ITKVPALEFLNSTLQNNVSFIEEAKSIRRQDYINELSNSNRFELHSDMTNSDVQNKLG PNARNSFSLDEIPIDVDFNLDLDDIVSHQGTPLGSHSSSQKDGNDFKFNYEGDELVLN FENDNENNSNEGEETTVRNEGPAANLKDYELGLEEQESEEENNDGQQKANTRSQRGHR ADVATQLSKVQFDAKTSYPNEVLKFNHENYSHLMEKNRSRKLTGQNFFNSNINTLVRS CAEEEFISTTWLNIFNDFSNLKTGEWDLKAQGFSSVERGRKRAHSLVSTQSSNSIRSN EYGRKSFRNNKNDNYSSDMENDNLLLNLEQINEDLEDEHYIEENSQGNILDFNLNLPP SSFGRSHTRNSTRSSGFNEDIVGALRRRVGPSEQNFVEEGDSSNNCFSGSSQQNLQHE EINFQDVILDYQTKKFYDYIKERSIVIGRTTRSNPPFKRKMLLVDIIPSRMGEAQTGP NLDNLESGVSRQIAASAFLSLLNLATKGMVRLNEYPVSDAATEDLKLRREDEIIVYA SPAR_P02700 MVLINGIKYACERCIRGHRVTTCNHTDQPLMMIKPKGRPSTTCD YCKQLRKNKNANPEGICTCGRLEKKKLAQKAKEEARAKAKEKQRKQCTCGTDEVCKYH AQKRHLRKSPSNSQKKGRSISRSQPMFERVLSSTSLDSNMLSGHGALSDTSSILTSTF LDSEPGAGKISKDYHHVPSLASISSLQSSQSLDQNFSVPQSPPLSSMSFNFLTGNITE TNQSHSNHQHSKSNNNWQDSSVSLPAKADSRFTMMEKNNSVGLDLLGHSKRISPISNS RVGEVSVPLEEYIPSDIDGVGRVTDKSSLVYDWPFDESIERNFSTTATAATDSTKFEI NDGSNRTKYNNNKGYSNTNNINGNVINNGNDNNSNSNIINSNNNDNNNNNSSRQEHQG NGLFDMFTDSSSISTLSRANLLLQEKIGSQENSVKQEHYSKNPQFRHQLTSRSRSFIH HPANEYLKNTFGNSHGNDIGKGVEVLSLTPSFMDIPEKERETERSPSSNYIADRPFTR KPRSSSIDVNHRYPPMASTTVATSPGALNNAVTSNLDDQLSLTSLNSQPSSIANMMMD PSNLAEQSSIHSVPQSINSPRMPKTGSRQDKNIHTKKEERYPLNSIQDLSQLENAPDE MNQMFSPPLKGMNRPDAMRENSSSSNFIIQGNSMISTPSARNELPDTSPMSSIQTASP PSQLLTDQGFADLDNFMSSL SPAR_P02710 MKPNNRTCDVITNKDESLPALLLPALSSYTCDDSLQIGQISTSG RYHSFGFPNSSLLPGKRLKIQSEQTSVPVSSVQYADHSYDNWQKESEKTKLPKLGCPT DYPEYYKTISSGETTDSAVVSSIATNRLKRKRQRDGPSCDSCRTKKIKCNATIIVFLQ DRNLISSISSNLHYALSQDDINQFRMKFFKKLPDVMDTYEVIKHLDKIVLFKACTSCS RRNQKSGKCLFSRGFTKSDMNVFSKINSKLKGKTIFEMTVADYIAAGFQTL SPAR_P02720 MSKVIKPPSQARTADFRTLERESRFINPPKDKSAYPLLQEAVQP HIGSFNALTEGPDGGLLNLGVKDIGEKVIFDGKPLNSENELSNSGYLGNKLSLSVEQV SIAKPMSNDGVSSAVERKVYPSESRQRLTSYRGKLLLKLKWSVNSGEENLFEVRDCGG LPVMLQSNRCHLNKMSPYELVQHKEESDEIGGYFIVNGIEKLIRMLIVQRRNHPMAII RPSFANRGASYSQYGIQIRSVRPDQTSQTNVLHYLNDGQVTFRFSWRKNEYLVPVVMI LKALCHTSDREIFDGIIGNDVKDSFLTDRLELLLRGFKKRYPQLQNRTQVLQYLGDKF RVVFQASPDQSDLEVGQEVLDRIVLVHLGKDGSQDKFRMLLFMIRKLYSLVAGECSPD NPDATQHQEVLLGGFLYGMILKEKIDEYLQSIIAQVRMDINRGMAINFKDKRYMSRVL MRVNENIGSKMQYFLSTGNLVSQSGLDLQQVSGYTVVAEKINFYRFISHFRMVHRGSF FAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHFAHKCRISTQQSDVSKIPSILY SLGVAPASHTFAAGPSLCCVQIDGKIIGWVSHDQGKIIADTLRYWKVEGKTHGLPIDL EIGYVPPSTRGQYPGLYLFGGHSRMLRPVRYLPLDKEDIVGPFEQVYMNIAVTPQEIQ NNVHTHVEFTPTNILSILANLTPFSDFNQSPRNMYQCQMGKQTMGTPGVALCHRSDNK LYRLQTGQTPIVKANLYDDYGMDNFPNGFNAVVAVISYTGYDMDDAMIINKSADERGF GYGTMYKTEKVDLALNRNRGDPITQHFGFGNDEWPKEWLEKLDEDGLPYIGTYVEEGD PICAYFDDTLNKTKIKTYHSSEPAYIEEVNLIGDESNKFQELQTVSIKYRIRRTPQIG DKFSSRHGQKGVCSRKWPTIDMPFSETGIQPDIIINPHAFPSRMTIGMFVESLAGKAG ALHGIAQDSTPWIFNEDDTPADYFGDQLAKAGYNYHGNEPMYSGATGEELRADIYVGV VYYQRLRHMVNDKFQVRSTGPVNSLTMQPVKGRKRHGGIRVGEMERDALIGHGTSFLL QDRLLNSSDYTQASVCRECGSILTTQQSVPRIGSISTVCCRRCSMRFEDAKKLLTKSE DGEKIFIDDSQIWEDGQGNKFVGGNETTTVAIPFVLKYLDSELSAMGIRLRYNVEPK SPAR_P02730 MRPAQLLLNTAKKSSGGYKIPVELTPLFLAVGVALCSGTYFTYK KLRTDETLRLTGNPELSSLDEVLAKDKD SPAR_P02740 MSEVLTILEQPNSIKDFLKQDSNIAFLAGGVAGAVSRTVVSPFE RVKILLQVQSSTNSYNQGIFSSIRQVYHEEGTKGLFRGNGLNCIRIFPYSAVQFVVYE ACKKDLFHVNGYDGQEQLTNAQRLFSGALCGGCSVVATYPLDLIKTRLSIQTANLSSL NRSKAKSISKPPGIWQLLSETYRLEGGLKGLYRGVWPTSLGVVPYVALNFAVYEQLRE IGINTSNAQPSWKSNLYKLTIGAVSGGVAQTITYPFDLLRRRFQVLAMGGNELGFKYT SVWDALVTIGKTEGVSGYYKGLSANLFKVVPSTAVSWLVYEVVCDSIRNW SPAR_P02750 MNFSLSRQSSEKQVSYTDKSKSPNIGMCTINYKSSLPLRVPAVD QLSPGRGIQFYGHNSHKIEQECYDSSKINLPPISSLLPNFENSTPAKVDSRVQFPPQQ VYQSMNVVPIVNEVYTPISMHTTSDQYPIYYTESQQQIPHSQPAHLTPSAPMMMPVMV PTVYKPLAPYDKESITIGSEPNFAAISMASHPNTAVELCHDRPKSVPPRYGVIPTIQE GNNGRTKSEPGATLNTSAAFSDWKNDSRISSTKLRKQCPVCGKICSRPSTLKTHYLIH TGDTPFKCTWEGCSKSFNVKSNMLRHLKSHERKRNKVLNTT SPAR_P02760 MWKTKTLESMLCSPMKCSSPNIGGSYAQSSKEISNTAKGDVHLP PCSSIMHAPLTPEINQATLPPPAYHYAPSSLHQTEDPVWRSSPNSVVFSPVIATPQPF PLTFIERQSCCPIYSTTTSSYTAQSVPPRMQHFQEENQRATSNEQYSLPNVHTGQNPG TLLSQTQTDLDLIQKQLRAVVKLRKQCPICGKVCSRPSTLRTHYLIHTGDTPFKCTWE HCNKSFNVKSNMLRHLRTHQKKIAKKKHQ SPAR_P02770 MATRTQFENSNEIGVFSKLTNTYCLVAVGGSENFYSAFEAELGD AIPIVHTTIAGTRIIGRMTAGNRRGLLVPTQTTDQELQHLRNCLPDSVKIQRVEERLS ALGNVICCNDYVALVHPDIDRETEELISDVLGVEVFRQTISGNILVGSYCSLSNQGGL VHPQTSVQDQEELSSLLQVPLVAGTVNRGSSVVGAGMVVNDYLAVTGLDTTAPELSVI ESIFRLQDAQPESISGNLRDTLIETYS SPAR_P02780 MSKATCSFDSCHSEVIAIKDDNIINLPEQVYSEFKLLENRTTRD IPPNESKFLVVSDVWDFDNVGVSREIPSSLLGDLGDKNEFVFEYGNSNWKIKKCLKYL ICADCDKGPIGIICQVQDQENDEERVLHLLSLRSLQIMG SPAR_P02790 MEQHLESIPMQGDTKKKGILSFFQNTTTIKSSKSVRKETNVITL DDPNENASETLMETVKHETETGTTKVFANKMNATPEKSNAEDSLSSYRTSPIESTGCD RDAYKQIPSGNLSSIGAKSRSSSPCSKRELSTLKKEQAKREKELKKQQREEEKHRKEL LRQEEKRKKEQKIEEDKQRRAELKKKKEEERRRKEEARLEARRRKEEEKLKKEEEIRL KEEAKERAQSRIGNFFKKLSDSDTPVVEKSDYEKFFLPFYAKDGVKVSNKWKLAKVEL EGTKRKIDDELLNNKDKIKSDELLSWLKSRKLPRGHKIKYKAVDVLQQMTLKEKTDGE LQTLLAQVPHKYIKFYENVRPPFIGTYSVDFALPASDPFSTKGTGFNYDYDSDVEWVN EEEEGEVDNLESGEEEEEEDDEDMPSEGEFDGFLDSEENGDLDGLPCAKRKFVGPLIP TICLKSNFENLSEENKRYLQQIKAEVIIEADGPIDPFKEPRTSPLPSKRSNSDLQAQN SSQSQSPEKRQKAVITNPKDLLRLFDGVQDSTFSLGTVAEIAQKNLPQYNKQTIKNTI KEYAIRGSGKGDLPRKWVIRDMQNWENLRANANMPTLSL SPAR_P02800 MSQQPSSPTREENNSSSPIVPNPDSVPPQLSSPALFYSSSSSQG DTYGRNNSQNLSQRDGNIRAAIGSSPLNFPPSSQRQNSDAFQSQSRQGRIRPSASASG RPRYHSDLRSDRALPTSSSSLGGNSQNRVHMRRNDIHTSDLSSPRRIIDFDTRSGANT LDTSSSSAPPSEASEPLRIIWGTNVSIQECTTNFRNFLMSFKYKFRKILDEREEFINN TTDEELYYIKQLNEMRELGTSNLNLDARNLLAYKQTEELYHQLLNYPQEVISIMDQTI KDCMVSLIVDNHLDYDLDEIETKFYKVRPYNVGSCKGMRELNPNDIDKLINLKGLVLR STPVIPDMKVAFFKCNVCDHTMAVEIDRGVIQEPARCERIDCNEPNSMSLIHNRCSFA DKQVIKLQETPDFVPDGQTPHSISLCVYDELVDSCRAGDRIEVTGTFRSIPIRANSRQ RVLKSLYKTYVDVVHVKKVSDKRLDVDTSTIEQELMQNKLDHNEVEEVRQITDQDLAK IREVAAREDLYSLLARSIAPSIYELEDVKKGILLQLFGGTNKTFTKGGRYRGDINILL CGDPSTSKSQILQYVHKITPRGVYTSGKGSSAVGLTAYITRDVDTKQLVLESGALVLS DGGVCCIDEFDKMSDSTRSVLHEVMEQQTISIAKAGIITTLNARSSILASANPIGSRY NPNLPVTENIDLPPPLLSRFDLVYLVLDKVDEKNDRELAKHLTNLYLEDKPEHVSQDD VLPVEFLTMYISYAKEHIHPIITEAAKTELVRAYVGMRKMGDDSRSDEKRITATTRQL ESMIRLAEAHAKMKLKNVVELEDVQEAVRLIRSAIKDYATDPKTGKIDMNLVQTGKSV IQRKLQEDLSREIMNVLKDQTSDSMSFNELMKQINEHSQDRVESSDIQEALSRLQQED KVIVLGEGIRRSVRLNNRV SPAR_P02810 MLSRIQNYASGLVSKANLLSSKALYYGKVGAEISKQIYLKEGLQ PPTVAQFKSVYSNLYKQSLNFALKPTEVLSCLKNIQKNELLKYGAYGVQLIGFYSVGE IIGRRKLVGYKHH SPAR_P02820 MEQINSNSRKKKQQLEVFKYFASVQKKVDKPISISNVILDMPTM NSGNIKAANGKANADNLTGELILTYDDFIELISSSKTIYSKFTDHSFNLNQIPKNVFG CIFFAIDEQNKGYLTLNDWFYFNNLLEYDNYHLIILYEFFRKFDVENLKAKQKKELGS SSFNLKAADDRIKSINYGNRFLSFDDLLLNLDQFKDTIRLLHESIDNNFVKDNKLLLD WDSFRFLKFYKCYHENEEYLSLNSLVTILQNDLKNEKIFIGFDRLAQMDSQGHRLALS KNQLIYLLRLFYSHRVSADIFSSLNLSNTELLKADNNSIPYNVFKDVFYLFQNFDLLN QIFHKYVTENKLTEHDIREQIVTKNDFMSVLNAQYNKVNNIVEFSPSQINLLFSIVAN SKENRRLRKRNKDRDNELLKDHHYDSDIDFFIHNEYLHGVSKSRKNLESFNDYYHDLS DGFDQDSNVKKASKASTGLFESVFGGQKDKATMRSDLTIEDFMKILNPNYLNDLVHQM ELQKNQNESLYINYYFYPIFDSLYNFSLGSIAGCIGATVVYPIDFIKTRMQAQRSLAQ YKNSIDCLLKIISREGIKGLYSGLGPQLIGVAPEKAIKLTVNDFMRNRLTDKNGRLSL LPEIISGASAGACQVIFTNPLEIVKIRLQVQSDYVGENIQQANETATQIIKKLGLKGL YNGVAACLMRDVPFSAIYFPTYAHLKKDLFDFDPNDKTKRNRLKTWELLTAGAIAGMP AAFLTTPFDVIKTRLQIDPRKGETKYNGIFHAIRTILKEESFRSFFKGGGARVLRSSP QFGFTLAAYELFKGFIPSPDNKIKSREGNKRFCIDDDADNEKNVVHSKDEFPQQKFYS DDRKHANYYYKSCQIAKTFIDLDNNFSRFDSSVYKNFQEHLRGISK SPAR_P02830 MHGKELAGRLRKRENDNDLSPNSSSSPAERFRCPHPECNKTFSR QEHLSRHKLNHWPKEIYVCSYVLPTTNAPCNKTFVRKDLLIRHEKRHSKVKNRLSRPS KEQISSSNKDLSNNPPYNPSEVPISTQNGTSTINLIKNSANPSSVTQESKFRSFLQQP QQLQQPQQIQQLQQLQFPQQLRAPLQQPMLQQPMHAQQASPTFPSYDPRIRNNGQNGN QFFNLIFDNRAAVNGFEIEAPNSNSDSNDQNMNINPAVQQQRYQDRNFANSSYQQPIQ PLTQDQQQEQYFQQQKLAHQQQQQQQQQQLPPQNPFGDQLTSSSSGANLSVMQDLFST NFLNSDPLQSFMQELSEAPQVSIEDTFSDKTTVPADEKPLQQDEGFQNPPVMFELQQD NVKIPKAQPKFNDNPSTSVKDNLSSQKLNINELKRRSSKDSGVGNNLSLNYKEQLRHS MKSVPSFFHPHPLTKYKISKEKCQEMFAFVPELRYVSIESIHKSLKSFWLNFHPQYGL LHKPSFHVDKQPAILNLALIMTGASFLGSEYREQISDPICGPLRWIIFSHADFQPPSK TYIIQSLLLVEGYEKTSTNRYLHERSFLHHGTTIQLLRRTPSLGGHPLMVKTGKTSGE NSIQDPQEVYKRWIDFEMLKRIAFYAFYMDTTHAVVFGYWNLFINSNQIQLTLPCPDQ VWESYDLSYETLMEHGYGSTKRDENNTFLSALMQLMKNVIRILRNNNIQRNKVNNDGI ESTPTDLESTTDWNIQSLFGKKILLAGIISILFQCQEEVNGDYFITNFRGGITDHLGL SWKDILSFAMNYWLHEVQKSCTDPKACRINSPSEETLKNGTIDEDNGDGACDDNLDLL SSDNPYNCKIPVIHISQIVLRILHHDYYIYAGAPWRMNVPIGRDEYDMISRRILQFAK DPYNGGVAVVYAFQFLFEMFIIKENNHPTVVKNYNVNSDPVITRPYAIALTSLLIWSC NFALHGCEVNVWDNTDASNEECLQQGDNSGNILGTSGNNESTIANNNLKEKNNYIPME SFEVYLLRMYRHLYVDSSLDVVGFQNEVWAKAGLLQHISNTHFLCGMMQFMRDIFKES YWDLGREFGKLFDNCLERSLGKTSPTCHNMFDV SPAR_P02840 MVDLEQEFALGGRCLAFHGPLMYEAKILKIWDPSSKTYTSIPND KPGVSSQTTKETQPQKLGDDESIPEEIINGKCFFIHYQGWKSSWDEWVGYDRIRAYNE ENIAMKKRLANEAKEVKKSLLEQQKKKKLSTNPGGSSNGGKRKGDSRSNASISKSASQ GSLTSSVSGRKSGRSSANSLHPGSSLRSSSDQNGHDDRRRSSSLSPNMLHHIAGYPTP KISLQIPIKLKSVLVDDWEYVTKDKKICRLPADVTVEQVLNKYKHEVSQELESPGSQS QLSEYCAGLKLYFDKCLGNMLLYRLERLQYDELLKKSVKDQMPLIPTKIYGAIHLLRL ISVLPELISSTSMDLQSCQLLIKQTEEFLVWLLMHMDEYFNDKDPNRSDDALYVNTSS QYEGVALGM SPAR_P02850 MNVSRILVSPTVTANVLRIFAPRLPQIGASLLVQKKWALRSKKF SRFYSQKVNDEMPSKKGVDSTGKAPDKSTTSSIDNAPPPPPPNTNDKTKQANVAVSHA MLATREQEANKDLTSPDAQAAFYKLLLQSNYPQYVVSRFETPGIASSPECMELYMEAL QRIGRHSEADAVRQNLLTASSAGAVNPSLASSSSNQSGYHGNFPSMYSPLYGSRKEPL HVVVSESTFTVISRWVKWLLVFGVLTYSFSEGFKYITENTTLLKSSEVADKSVDVAKT NVKFDDVCGCDEARAELEEIVDFLKDPTKYESLGGKLPKGVLLTGPPGTGKTLLARAT AGEAGVDFFFMSGSEFDEVYVGVGAKRIRDLFAQARSRAPAIIFIDELDAIGGKRNPK DQAYAKQTLNQLLVELDGFSQTSGIIIIGATNFPEALDKALTRPGRFDKVVNVDLPDV RGRADILKHHMKKITLADNVDPTIIARGTPGLSGAELANLVNQAAVYACQKNAVSVDM SHFEWAKDKILMGAERKTMVLTDAARKATAFHEAGHAIMAKYTNGATPLYKATILPRG RALGITFQLPEMDKVDITKRECQARLDVCMGGKIAEELIYGKDNTTSGCGSDLQSATG TARAMVTQYGMSDDVGPVNLSENWESWSNKIRDIADNEVIELLKGSEERARRLLTKKN VELHRLAQGLIEYETLDAHEIEQVCKGEKLDKLKTSTNTVVEGPDSDERKDVGDDKPK IPTMLNA SPAR_P02860 MTDVQVDENSTSNSTSAGMAAKGKETELKSTAESNKPPNYKRIS DDDLYRHSSQYRMWSYTRDQLQEKRIDTNARAIAYIEENLLKFRETHDLTEEEIKVLE AKAIPLTMEEELDLVNFYAKKVQVIAQHLNLPTEVVATAISFFRRFFLENSVMQIDPK SIVHTTIFLACKSENYFISVDSFAQKAKSTRESILKFEFKLLESLKFSLLNHHPYKPL HGFFLDIQNVLYGKVDLNYMGQIYDRCKKRITAALLTDVVYFYTPPQITLATLLIEDE ALVTRYLETKFPGSEDGQESVPGNGKEEAQDDPNTTEKSKEKNTENEKHPIDSSKLLG IIRECKSIIEESKPLGTEEAKKIAAKNYYCQNPSTLIQKLKRKMNGEDTSTAEKRQKL SPAR_P02870 MKRIRSLWFNTEASYSNLNDSPSLRNKNSSDNNSRFKNYHSFTK FDLINSILLLMMLFLLAIFVIALYFTRNSRLTHSHASRAVLFNPLGVILPSLGNHTLN YDPEARESSKKLYELLSDFNTAYYDDENMILGSNLFSKNTYSRQPYVANGYIGSRIPN TGFGYALDTLNFYTDAPGALNNGWPLRNHRFAGAFVSDFYCLQPKLNSTNFPELDDVG YSTVISSIPQWTNLQFSLVNDSKWFNPQNVTLDDVTNYSQNLSMKDGIVTTELDWLDS QIHVKSEVWAHRHIHPLGVVSLEVSLNTDHLPSDFDSLDVNIWDILDFNTSHRTVLHS TGTDEKNNAVFMIVQPDNVPSSNCAIYSTCTVKYENSTNSINSGESFEDKDISSNIYN IILTEDQPKIIVHKYVGIMSTEFNRNKEQQDNTNIDLAKMIALNSKGNYEQLLSSHKR AWYDLYNDAFIEIPSDSLLEMTARSSLFHLLANTRDYNVSSDRGLPVGVSGLSSDSYG GMVFWDADIWMEPALLPFFPNVAQNMNNYRNATHAQAKLNAERYGYPGAIYPWTSGKY ANCTSTGPCVDYEYHINVDVAMASFSIYLNGHEGIDDEYLRYTTWPIIKNAAQFFTAY VKYNSSLGLYETYNLTDPDEFANHINNGAFTNAGIKTLLKWATDIGNHLGEVVDPKWS EISKDIYIPRSSSNITLEYSGMNSSVEIKQADVTLMVYPLGYINDESILNNAIKDLYY YSERQSASGPAMTYPVFVAAAAGLLNHGSSSQSYLYKSVLPYLRAPFAQFSEQSDDNF LTNGLTQPAFPFLTANGGFLQSILFGLTGIRYSYEVDPDTKKINRLLRFNPIELPLLP GGIAIRNFKYMNQVLDIIIDDHNGTIVHKSGNVPIHIKIPNRSLIHDQDINFYNGSEM ERNSNLERRGVDLVGDPMRMDRYGTYYLLKPKQELTVQLFKPGLNAENNIAENKQITN LTAGVPGDVAFSALDGNNYTHWQPLDKIHRAKLLIDLGEYNEKEITKGMILWGQRPAR NISISILPHSEKVENLFANVTEIMQNSGNDQLLNETIGQLLDNAGIPVENVIDFDGMK PEDDESLDDVQALLHWKKEDLAKLIEQIPRLKFLKRKFVKILDNMAVSPSEPYYEASR NQSLIEILPSNRTTFTIDYGKLQVGDKGNTDWRKTRYIVVAVQGVYDDYDDDSKGATI KEIVLNE SPAR_P02880 MYVNIITRNLSCEQFRRISVESLLNKEESMTTLLVAPMNSGSTS KNMGPIFLKTDSYKRRQKVPITKTCNQCNEIKTSSQWREGPTGGSCLCNACGLFYRKL FLAFGKHAAKRYLEEIKNTGAKRRIPRSLYGVQRSS SPAR_P02890 MVGIYRILASFLPLLGLLFAFHDDDMIDTVTIIDTVFETVTITS TAPAPAATTSVSEKKLADTKLTLQVIQTMVSCFSVGENPANMISCGLGVVILMFSLII ELINKLENDGINEPQKLYDLIKPKYVELPSNYVNEKIRSTFEPLDLYLGVNMNTSGSR QNQNCLILKLGEESALPFPGLAQQVCYTKGSSNEFTDYKLSDIQGNLNGFRQGIANEV FKKISNIRKISGNFKSQLYQISEKITDENWDGSAIGFTAHGREKGPNKSQISVSFYRD N SPAR_P02900 MSEYASSIHSQMKQFDTKYSGNRILQQLENKTNLPKSYLVAGLG FAYLLMIFINVGGVGEILSNFAGFVLPAYLSLVALKTPTATDDTQLLTYWIVFSFLSV IEFWSKAILYLIPFYWFLKTVFLIYIALPQTGGARMIYQKIVAPLTDRYILKDVSKTE KDEIRASVNEASKATGASVH SPAR_P02910 MGSSLRSFIKDVRGAKTLADERAIITKQSAKIRTKLRDDHLPHD KRRVNIQKLLYLYILGEKTHFGQVESINLIASDDFVDKRLGYLAATLLLDESEDLLTL LTNMLNNDLHHPNKYAVSLALTSLGFLSSPELARDLYPDVENIIKNSRDPFLLKKALQ CAAKLIFKDVSLLEIFNIEDITKILSNHSICTHGVLLGVTKIIQSVLLIGLNRKKEEE EDGIDYSTDILSPLSLLLRDFFIRLENLNSKNIEPGYDVQGICDPFLQCEMIYTLKLY FQVGELLNSNQVLDYKDNFCDLLTRIATNTDSTKNSGQAILYETVKTIFSLDLNQPLR VLGVNISAKFLAGKDNNTKYVSLNTLLKVVPQEPTAVQRHRKFISHCLQDSDVSIRMR ALELSFAILDDSNLVESVNELMKFLAKQDEDSKDLIIYTIDHLIDTFDTRVVKDESWK LDVFFNILKLVGSFINYEKINDILIIINNTTQLSDKSEFLQKLLTISLNEKSAEMSEE NIGWQLILIWCIGEYGDLVLNGDNKSNSDTINESSVTNYLLNLQECYTTTNHKIINYI LTAALKLSIKFHDAKNIEKLRQLILSYTDSTDLSLQMKSNQYEMFFNQTILVKKIILE TMPKFEKIIKKQDNRKALSKNLISNEPADLLSNLLDEDSKAEAKASTGDNVEPIDILE EIFGEKNDINQLPKDRKKEESTNHLSAITTNSDPNLPSDATKIYDSSSLDVYASLLSA DSGLAHLDLYFQAKSLISDLKTFCAVPKAQKLTLGQLYPSSTIKASQICKQSLKISGS GKLKLRVKLDFYLNGSSSTTNEQFDHKFDETL SPAR_P02920 MQSTVPIAIASNGNKRDAGRNVSAGDEGDMLQRLVRNKEMIPPS LSPQKSSGFSGRRRSSSVRDALSAFFGTGNSPTSNTDDYSNLTNRNYTTASTAMCRGN SFPSDVVTNAYNITGSYQPGRHRNSIPYTTIDQLHTRQDTGLRRESDPVAAKKISSNN DIVKSFITHHSHNSTMFIGRVLSDYLADRGFIKQTPLYNKKNVLEISIATSAESVFLP TTKSDETEYLSLIHGSLDHAQTQPVRPTNDAENNLLPSSPTTDTLNENNDLSLFSLST QRTSPANLANTGNVMDTSNIDRTSPASNNNTTDTDSFFSNGNNNTVNNVGSPARNRHP NSHSRSLPTAWNSQMPSFSFALIFSLSKSTTLSDIKVELTSNVRIVWFNGLPPTKNAS EECYNIGSLDWTLSADNFNLFIPQGAKSLLDIVENHSNNRRLKVLQKLSMRKRRSFSN KAVLRENILKNLNTSNSTNKLNAGIYVFTIPIVLANRIPESLYYPSARVSYTLRLATR LKDEHIQLATSRPRSSSISSPQKPRSYSYSHSYEYSQIDDTIEGETYTNDKNSNGKIA FPSSWLKSAKGRLKRNNFNGGPENNGVSSTSGSTAQYDSEDIVYSEYPLHLVRTPPEI SITTANKPLYINKVWENCLSYEISFAQKYVPLNGQIPITIKVAPLVKNLSVKRIRVSC REKISYKSKDYKHDFDQLDPLASDPCNPYHMRYLVRKKKDRTLPLFEVASKCTSGPSI REEIVTNTVDDNLLAYTSSKENNKDIPFSESFTVKTKLKFPKYCEVDATKATNLPPYG IDLFDPMKDLTQSENTSNNGNVLGFLMGRPNRISKALHKIPQDKDHDEVDDQSGNTIT SLQTSSNVPIQHYTRLNEPRRGLYLDSMHFKNIQCSHKLEIVLRVSKTDSGTSKVVRH YEVIVDTPIYLISELCNTSNIDLPTYDMATTESSKVLPPTFEEATSVSASPRSSLSYY PDDISMQQLNLSRSTSLANGYLSTIHPKTTTVSDSSNGALIRDQQEQQARPLRTEDYA LQRGNEDNAYNNMDGLLSQDIFGRENASTLFKGDIVTMDFNNNIFTPRYNPRNFINND DNYNDDNNDNDTEGPGSIIHPGPEPPRYDEISS SPAR_P02930 MNRGAVDDGPKLREEKHFQDFYPDLNADTLLPFIVPLEETKDND TTNDISNLNNREEGNVKSIQTKELIFKGRVTTEPLILKKNEVEFQKCKITTNELKDRK ISYSVKSNESFISRYYHINKPRSRKGYKLQQIEFSELKTPYFTRFSSREAQNMTTSTN TKSALQKFASISPDLVNFKPQYDMDEQDELYLHYLNKRYFKDQMSHEVFEILMATLET EWFHIEKHIPSTNNLIARHNILRDCKNYELYGSDDGTGLSMDQACSVCLGTDSDNLNT IVFCDGCDIAVHQECYGIIFIPEGKWLCRRCMISKNNFFTCLMCPSHTGAFKQTDTGS WVHNICALWLPELYFSNLHYMEPIEGVQNVSASRWKLNCYICKKKMGACIQCFQRNCF TAYHVTCARRAGLYMSKGKCTIQELATNQFPQKNSIESFCHKHAPRGWQTSMEGINKA RKYFSLLSTLPAETPQNNGTNDKTDLKFKKTIWKTSNQTPVAPYIFTEVLQKVVDFFG LANSPASAFDICKYWSMKRELTGGTPLIACFENNSLGSLTEEQIQTRMAFADDQLKDL YRLKELTTLVKKRTLASNNLFRSRKKVFDIVRSPQKYFLKINVLDVFIKSEQFKALER LVTEPKLLAILEKCKNSNFDTVQNFKEEIMHFFGVLENLPSASRIIQAVSLKAKEQVT NLIEPIEHVDIKRLLSRDFIIADDNKIEERAWSGPVIMKEEDLSDAEELSAGEHRALK RILNNG SPAR_P02940 MFGSKRLKNVKDAFKSLKGQNSEAPIENSKPSPKSKNSKTSSKS RDARSSSSLKIPISSNNKNRIFSLAEENKYGMSSKPIAAAFDFTQNLLAIATVTGEIH IYGQQQVEVVIRLEDRSAIKEMRFVKGIYLMVINAKDTLYVLSLYSQKVLTTVFIPGK ITSIDTDASLDWMLIGLQSGSMIVYDIDRDQLSAFKLDNLQKSSFFPAARLSPIVSIQ WNPRDIGTVLISYEYVTLTYSLVENEIKQSFIYELPPFAPGGDFSKKTNEKRTPKVIQ SLYHPNSLHILTVHEDNSLVFWDANSGHMIMARTVFETEINVPQPDYVRDSSTSAATI SKVYWMCENNPEYSSLLISHKPISKGDNQSLTMIDLGYTPRYSITSYDGMKNYYANPK QMKIFPLPTNAPIVNTLPIPRQSPYFAGCHNPGLILLILDNGEIETMLYPSGIFTDKA SLFPQNLSWLRPIATTSMAASVPNKLWLGALSAAQNKDYLLKGGVRTKRQKRPAEYGT AFITGHSNGSVRICDASHGDIQDNASFEMNLSRTLNKANGLAVDKISFASETLELTVS IETGDVVLFKYEVNQFYSAEKRPESGDLEMNFRRFSLNNTNDVLVDVRDRAPTGVRQG FMPSTAVHSNKGKTSAITNSNIGFVGIAYAAGSVMLIDRRGPAIIYMENIREISGAQS ACVTCIEFIIMEYGDDGYSSILMVCGTDMGEVITYKILPASGGKFDVQFMDITNVTSK GPVHKIDAFSKETKSSCLATITKMQNLSKGLCIPGILLITGFNDIRLIALGKSKGTHK SFKYPLATTGLSYIPTIEKNDDRKILTVLITLEIDGHVKIFSIPDFKEQMSEHIPFPI AAKYITESSVLRNGDIAIRVSEFQASLFSTVKEQDTQAPVSDVLYINGIRIPYRPQVN SLQWARGTVYCTPAQLNELLGGANRPDSKYKESIIAEGTFSERPSENNQANNPEHQYT KPTRKGRNSSYGVLRNVSRAVETRWDAVEDRFNDYATAMGETMNEAVEQTGKDVMKGA LGF SPAR_P02950 MLSRTINRVVTSIKPLSIFRMSSSAAAPAPAVKTPKAPKAPKKG KLQVSLKTPKGTKDWADSDMIIREAIFSTLSGLFKKHGGVTIDTPVFELREILAGKYG EDSKLIYNLEDQGGELCSLRYDLTVPFARYVAMNNLQSIKRYHIAKVYRRDQPAMTKG RMREFYQCDFDVAGVFESMVPDSECLSILVEGLTSLGIKDFKIKLNHRKILDGIFQIS GVKDEDVRKISSAVDKLDKSPWEAVKREMTEEKGQSEETADKIGEYVKLNGSLKEIHT ILSEDAKITSNEMAKQGLDEIATLMKYAEAFNIDSFISFDLSLARGLDYYTGLIFEVV TSASAPPKNASELKKKAKSVEDASEFVGVGSIAAGGRYDNLVNMFSEASGKKSTQIPC VGISFGVERIFSLIKQRISSSTVIKPTATQVFVMAFGGGKDWTGYLPERMKVTKQLWD AGIEAEYVYKSKANPRKQFDAAEKAGCHIAVILGKEEYLEGKLRVKRLGQEFADDDGE LVSAADIVPIVQKKLSQIHEDGLNEVTRLIKGL SPAR_P02960 MTLNRKCVVIHNGSHRTVAGFSNVELPQCIIPSSYVKRTDEGGK AEFIFGTYSMIDAATEKRNGDEVYTLVDSQGLPYNWEALELQWRYLYDTQLKVSPEEL PLVITMPATNGKPDMGILERYYELAFDKLNVPVFQIVIEPLAIALSMGKSSAFVIDMG ASGCNVTPIIDGIVVKNAVVRSKFGGDFLDFQVHERLAPLIKEESDMENMADEQKRST DVWYEASTWIQQFKSTMLQVSEKDLIELERYYKEQADIYAKQQEQLKQMDQQLQYTAL TGSPNNPLVQKKNFLFKPLNKTLTLDLKDCYQFAEYLFKPQLISDKFSPEDGLGPLMA KSVKKAGASINSMKANTSTNPNGLGTSHINTNAGDNNNTASSSNISPEQVYSLLLTNV IITGSTSLIEGMEQRIIKELSIRFPQYKLTTFANQVMMDRKIQGWLGAVTMANLPSWN LGKWYSKEDYEMLKRDGKQSQPTNATN SPAR_P02970 MAETSIEKTQILQKYLELDQRGRIMAEYVWIDGTGNLRSKGRTL KKRITSIDQLPEWNFDGSSTNQAPGHDSDIYLKPVAYYPDPFRRGDNIVVLAACYNND GTPNKFNHRHEAAKLFAAHKDEEIWFGLEQEYTLFDMYDDVYGWPKGGYPAPQGPYYC GVGAGKVYARDMIEAHYRACLYAGLELSGINAEVMPSQWEFQVGPCTGIDMGDQLWMA RYFLHRVAEEFGIKISFHPKPLKGDWNGAGCHTNVSTKEMRQPGGMKYIEQAIEKLSK RHAEHIKLYGSDNDMRLTGRHETASMTAFSSGVANRGSSIRIPRSVAKEGYGYFEDRR PASNIDPYLVTGIMCETVCGAIDNADMTKEFERESS SPAR_P02980 MGATKILMDSTHFNEIRSIIRSRSVAWDALARSEELSEIDASTA KALESILVKKNIGDGLSSSNNAHSEFKVNGNTLIPLIHLLSTSDNEDCKKSVQNLIAE LLSSDKYGDDTVKFFQEDPKQLKQLFDVSLKGDFQTVLISGFNVVSLLVQNGLHNVKL VEKLLKNNNLINILQNIEQMDTCYVCIRLLQELAVISEYRDVIWLHEKKFMPTLFKIL QRATDSQLATRIVATNSNHLGIQLQYYSLLLIWLLTFNPVFASELVQKYLSDFLDLLK LVKITIKEKVSRLCISIILQCCSTRVKQHKKVIKQLLLLGNALPTVQSLSERKYSDEE LRQDISNLKEILENEYQELTSFDEYVAELDSKLLCWSPPHVDNGFWSDNIDEFKKDNY KIFRQLIELLQAKVRNGDVNAKQEKIIIQVALNDITHVVELLPESIDVLDKTGGKADI MELLNHSDSRVKYEALKATQAIIGYTFK SPAR_P02990 MVAFLELTSAVSQPFVIPSLSPVSQPSSRKNSDANVDDLNLAIA NAALLDASATSRSHSRKNSLSLL SPAR_P03000 MKQIVKRSHAIRIIAALGIIGLWMFFSSNELSISTPGLIKAESG TNEAQEAAAVKNDARLKEIEKQTIMPLMGDDKVKKEVGRASWKYFHTLLARFPDEPTP EEREKLSTFIGLYAELYPCGECSYHFVKLIEKHPVQTSSRTAAAMWGCHIHNKVNEYL KKEIYDCATILADYDCGCSDSDGKRVSLEKEAKQLG SPAR_P03010 MSKRNTPPLRSSGINTIQINAAREMHAQTVRARRMPIPTSGITA PSVQPTAAPSMPPRHICNNPNNPPCLHCGSVIIPSPRATLPLEDNPSISINDWTISSR KKPILNSQELDLWENEKLKGLTLPEMIFGNNYIRIENAKQDWSIEFNALDALKEVQLQ DSGIRVAYSNDWINSKRRQNSSNAAQRFTNDVNDDSLNIIHKYDWTYTTRYKGTENSP ESKFQLDNDQTLPLDKLAVHDKILFYDDMILFEDELADNGISILNVKIRVMNERLLLL SRFFLRVDDVLVRVYDTRLYVEFDENKVIRESKEFEGKYQDVLAKHRLSQSHDPKAAL RDSNWVAQNTPMIKRQCEVIQF SPAR_P03020 MSINICRDNHDPFYRYKMPPIQAKVEGRGNGIKTAVLNVADISH ALNRPAPYIVKYFGFELGAQTSISVDKDRYLVNGVHEPAKLQDVLDGFINKFVLCGSC KNPETEIIITKDNDLVRDCKACGKRTPMDLRHKLSSFILKNPPDSVSGSKKKKKAATA SANVRGGGLSISDIAQGKSQNAPSDGTGSSTPQHHDEDEDELSRQIKAAASTLEDIEV KDDEWAVDMSEEAIRARAKELEVNSELSQLDEYGEWILEQAGEDKENLPSDVELYKKA AELDILNDPKIGCVLAQCLFDEDIVNEVAEHNAFFTKILVTPEYEKNFLGGIERFLGL EHKDLIPLLPKILVQLYNNDIISEEEIMRFGTKSSKKFVPKEVSKKVRRAAKPFITWL ETAESDDDEDDE SPAR_P03030 MDNKRLYNGNLSNIPEVIDPGITIPIYEEDIRNDMCLNTNARSV RVSDKRDRSSSTSPQKIGSYRTRAGRFSDTITNLLPSISAKLHHSKKSTPVIVVPPTS STPDSLNSTTYAPRVSNDSFTVATPLSLQSTATRTRTRNNTASSQMTSSSSLTTDVGN ATSTNIWSANAETNTSSSPLFDYPLATSYFEPLTRFKSTDNYTLPQTAQLNSFLEKNG NPNIWSSTGNSTTDHLNTPIVNRQRSQSQSTTNRVYTDAPYYQQPAQNYQVQAPPRIP KSASISPVILDDVDPASINWITANQNVPLVNQISTLLPTNTISISDVFPLQPSQQHQQ NTINLTSTSLATLCSQYGKVLSARTLRGLNMALVEFSTVESAICALEALQGKELSKVG APSTVSFARVLPMYEQPPNANGINNTPKQPLLQEQLNHGVLNYQLQQPLQQAELQQQQ QPTSFNQPNLTYCNPTQNLSHLQISPSENEPYPFPLPPPSLADNEKDLLNTISSFKLE YDHLELNHLLQNAFKNKGVSDTNYFGPLPEHSSKSPKKKYVFDPPKLRELRKQFDSNS LSTIEMEQLAIVMLDQLPELSSDYLGNTVIQKLFENSSNIVRDIMLRRCNKYLTSMGV HKNGTWVCQKIIKMAKTPRQVNLVTSGVTDYCTPLFNDQFGNYVIQGILKFGFPWNSF IFESVLSQFWTIVQNRYGSRAVRACLEADSTITQCQLLTITSLIIVLSPYLATDTNGT LLITWLLDTCTLPNKNLILCDKLVNKNLVKLCCHKLGSLTILKILNLRGGEEELLSKN KIVHAIFDGPVSNDSILFQILDEGNYGPTFIYKVLTSRILENNLRDEVITKIRQLILN SNINLQSRQLLEEVGLSSAGISPKQSSKNHRKQHPQGFHSPGRARGVSVSSVRSSNSR QNSVIQMNNAGPTPTLNFNPAPMSEINSYFNSQQAMYSGNQNQNQNGNSNGFDELKSQ FDSFRIANGTNLSLPIVNLPNVNNNDNNHNSTSYLSQMNPLSRSVSHNNNNNNNNYNN NNNNDNNNNNNNNNNNNNNTANINSNNDTILSRYSSYGY SPAR_P03040 MPDLWDHSFVAKRTKKVGITGKYGVRYGSSLRRQVKKLEIQQHA RYDCSFCGKKTVKRGAAGIWTCSSCKKTVAGGAYTVSTAAAATVRSTIRRLREMVEA SPAR_P03050 MQNPYGHFTNDTTEDREPASQGGPFGQSLNNPLGYAGSFPSLTY NNNNFMASQQPSLPLPEPRLSWNNVNQVSNPLMVTPLPGLQKRMNKNIKKKLARVTKK VPNPSNAVSNNTVSSSNIVGHSTLGSASGWKVEIGGSDELEKRKRRAERFSQGPSAMT NSNDNLNEDFANLNAISSKSHQYDKKIHVVGRCQTLEKSYLRLTSEPNPDLIRPPNIL QKMYCLLMDKYQSKTASYTYLCDQFKSMRQDLRVQMIENSFTIKVYQTHARIALENGD LGEFNQCQNRIMALFENSTIPKKSYSEFICYSILYSMLTEDYPSISQLKLKLIDEGAS EILGDEHVKMIFELSDMKLVGNYHYFMKNYLKLHKFEKCLINSFLNLEKLIFLTIICK SYNQVNLDFIKSEFNFNSIDETINFLNEQNLMEFVLNKQISDSNGKSSNIKILNTKGC RVQLIQNFMKSKKIDIKGQK SPAR_P03060 MTSGTAEQWERIQQLEKEHVEVYRELLIALDKLYLIRKHKYPII LSSGQQRLLEIRHQLQINLEKTGLLIRLLEKPDNSNILFTKLQNLLDESNSLDYELLQ SLGTQSSLHKQLIESKAERDELMSKLIEISSRFPEPSTPPDDNDATGKQVEIEKENET IQELMIALQIHSGYTNISYAI SPAR_P03070 MFPNRIIRTRAGLYRLYSTLKVPHVEINGINYKTDPKITNVTDS IIKLTGRSLHLKESHPVGILRDLIEKKLNSVDNTFKIFNNFKPVVTTMENFDSLGFPK DHPGRSKSDTYYINETHLLRTHTSAHELECFEKIRNDADNIKSGFLISADVYRRDEID KTHYPVFHQMEGATIWRRTKANEGAKEPTYIEKIREDIRQVEDQLNKENVKITVDDDT IPLKEYNPKQEYMSDLEVDLCSQHLKRSVELIVSEVFNKKISSMVKNKANNTPKELKV RWINAYFPWTAPSWEIEVWWQGEWLELCGCGLIRQDVLLRAGYKPSETIGWAFGLGLD RIAMLLFEIPDIRLLWSSDERFSKQFSKGLITSFKPYSKHPGSFRDVAFWLPKDKLDV HQVHENDLMEIIRNIAGDLVESVKLVDSFTHPKTGRKSMCYRINYQSMDRNLTNAEVN ALQDMVCSKLVKDYSVELR SPAR_P03080 MSSSKKIVILYGSETGNAHDFATILSHRLHRWHFSHTFCSIGEY DPQDILKCRYLFIICSTTGQGELPRNVNQLKGERPVTFWSFLKRKNLPSNLLNHIQTA MLGLGDSSYPKFNYGIRKLHQRIVTQLGANELFDRLEADDQAMAGSNKGTGLGVESVY FEYEKKVLSFLLSKYPSRKVDGQVIKREELDPEIYLEPESYLQISDKDISENANETNI KFQEDELVKTGRVNMNKRITADGHFQDVRQFKFTNVEKIQEDYKPGDTVAIYPCNTDE DVSKFLANQSHWSEVADKPLNFTNGVPNDLKDGGLVQPMTLRNFLKYHCDFMSIPRTS FFLKTWTFATDVTKMERGQEQLDDQREKLRQFATDQDMQDLYDYCNRPRRSILEVLED FLSIKLPWKYMLDYLPIIKPRYYSISSGPGDPSIELTVAVVKYKTILRKIRKGICTNY IASLKEGEQIRYKLQNNHIIKKEFLNKPMILVGPGVGLAPLLSVIKAEMSKDIRLFFG CRYKDKDYIHKDLLEDWSRKGEIALYSSFSRDVENSPGVKYVQDYLWRLGEEITNLVV NKDAIFFLCGSSGKMPIQVRLTFIEMLKKWGNFSGEETAKKYLKEMEKSDRYIQETW SPAR_P03090 MAGADEHSTAPKQQETTPLQTTVTIINAISGECITTNVDFFVSL DKFKQFIARKWKIPPDQLLILLPYGNKLKPSTFKELLINRSFTLNEFYIYDRRLFSLV NKPTPTDLSRPKESNLIYSPNSKDLTETLEYLIKNSHISLYQGSDNIMIKPMPSPLED ADVDLPRLSYHTVTSLLTTNLGWLSALEIDVHYFKSLIPDIIAQIMRIFDSLTVCSQY LKLYCFDVESLYNSNVQFLNQLVDNGMTSKWEKCFNDTLSKLTALEGDSLQKFINIES LLENEKSVKILNHSINGKLNKIKREIDENSNFRDTITLSIDQLRQIFTPNESKYELED KMAESFEVLVSEMRSRSRNVLDKEPEEFNSQEFLKSMNEMLEKDKKDSVKTLFTISQA LYSQTGELIDLKKNLQKHAVVILGNIAFTQMEILGIKRLLLNDCNKDLELYKKYEVEF AQVEDLPLIYGLYLIEKYRRLSWFQQIMSFISNFDQDFELFKQNELRTRNKWVKNFGS IATVFCEDLLSSSDFKRLNEYHSHSSLINENDEDGNENSVTNYHQDLVKVSQAIENYM AQIKETNVSEAIIDLLSKTLFETKRFHIIYSNFKTNNNKSSNGDSISSEESIVLKSDD VVKGYKTRIKKLESLLHELQYSDIGHWPQGILNTQLKPFRGSVPSLNKKKFLGASVLL EPSNNSELNNGSIVQANNHQVQELESNVDDLLHQIQLLKEENNQKSREISEMGKKISD SEVEKTAYRETLTNLNQELARLTNEEQSHRTEIFALNASFKKQLNDIICQDNEKIEKL TSDYDDVNKSRERLQADLDESNKKHEQEVNLLKADIERLDRQLVALEKSDAETKSSSM EKSEKFETIPPTEDPNRKNLVSVYTQALQDKMFDIISTNIFILENIGLLLTFDNNNNI QIRRVKGLKKGTAQSNILDESIQMQDIYDSSIIKSPVFQRLKDEYDLIKSFASGVDKD TQQSIFVENITQLYDNKLYEVAVIRRFKDIETLAKKLTKESKVKRTLLERFQREKVTL KNFQIGDLALFLPTRENVNSVGSMSSSTSSLSSSFSSVDLSTPPPLDAMSIQSSPSVI HSNVINQASTSGRDKNKLMRPWAAFTAFEESTRYFLKDEKGLTKGKEWFVGRIVTLEH FEADSPSNNPFRLPKGSVWFQVTAVVVSYQGI SPAR_P03100 MEIVYKPLDIRNEEQFASIKRLIDADLSEPYSIYVYRYFLNQWP ELTYIAVDNKSGTPNIPIGCIVCKMDPHRNVRLRGYIGMLAVESTYRGHGIAKKLVEI AIDKMQRAHCDEIMLETEVENLAALNLYEGMGFIRMKRMFRYYLNEGDAFKLILPLTE RSCTRSTFLMHGRMAT SPAR_P03110 MATPREPKKRTTRKKKDPNAPKRALSAYMFFANENRDIVRSENP DITFGQVGKKLGEKWKALTPEEKQPYEAKAQADKKRYESEKELYNATLA SPAR_P03120 MNRTLTDNTRAINVVPSLGAPQQRTIFAKERISIPGYYEIIQFL GKGAYGTVCSVKFKGRNPAAKIAVKKISNIFNKEILLKRAIRELKFMNFFKGHKNIVN LIDLEIVTSSPYDGLYCYQELIDYDLAKVIHSSVQLSEFHIKYFLYQILCGLKYIHSA DVIHRDLKPGNILCTLNGCLKICDFGLARGIHAGFFKCHSTVQPHITNYVATRWYRAP ELLLSNQPYNKSVDIWAVGCILAEFYARKPVFMGRDSMHQIFEIIKILGTPDKDLLIK FGTIKAWNLGKNSNNPVYKKIPWSNIFPFASHEAINLIDSLLHWDSTHRLNVEQAISH PFLDEVRKPDDEPVCLQGPFDFTYESELDSMSKLRDYLIEEVNGFKTELSSSSL SPAR_P03130 MDYLKPVQKGRRRGLSINSLSETQQSAMNSSLDHLQNDLNRINL QWNRILSDNTNPLELALAFLDDTSVGLGHRYEEFNQLRSQIGSHLQDVVNEHSQVFNT NVASYGKVVSSIMQAQEQTLNLKNCLKEANEKITTDKGSLQELNNNNLKYTRMIDVLV NIEELLQIPEKIEENIRKENFHQVQILLERGFILMNNKSLKTVEILKPINQQLELQEH LLFNNLIEEIHDIMYSKSSKTNFTRVTNNDIFKIISISHNGFTSLENYLYNIVNIDIM EHAKMINKNLEEFIHDQSLNKGDIMLQENYASQPSLVSSRNQENEGFNRIGFLLKTIN NINKLPVAFNIITERAKEEIHNIIVKTTESIRSKHPSLLKMATSLKNDNQFGLPVQDI LSIILRESFWEIFLKLLYAIQCHRAIFEMSNILQPTSSTKPAYKFNKIWSKLLDEIEL LLIRYINDPELLTSNNGSIKPINGVTNNAPNLPKRKNPKIFSLEYNIEDNSSVKDQAF ELKALLKDIFPGFSVSSNMDLDSIYVKDESFEQDEPLVPPSVFNMKVILDPFLLFTQS TSTIVPSALVENSISSLTFFGDYMNKNFLPRIQMTMDYLFTVEVESNNPYALELSDEN HNLFKTALDFQRLFYNLLNVFNTANTFREKISYCILDLLSHFYNYYLGLFNALIGTSD RNLSRKIITAWLQNSVLMDQEKRILNGDESLFHEESRELFKEIPNFYQVGKGLSKSDL FNNLTLDTILQFSASVIWILNWLPHLKKAINIDEINQEPMLDADRLRISWTFSESMDL NLSNPSSSANSLGNLKILLDDKASKKFDETVDGFKTLKFKLISILRFNIRALCIHDIG SFFQNTKIWNMDVGSIELDQNIASLISELRRTENKLKQQLSEKEKNSIFIGLDIVNNY ALIKGAKSIKVLNHNGIKKMLRNVNVLQHAYRNLSSEPSKINMNVTMNFYSLCGSSEA ELFEYIKDNELPHCSVEDLKTIVRLQFSEEMHRQLKRQSTSSTKGSIKPSNKRYTEAL EKLNRLEKEQSKEGAHSKIGKLKSKLKVVDTENEK SPAR_P03140 MDAISDPTFKHARSRKQVTEESPSLLTVIIEIAPKLWTTFDEEG NEKGSIIKVLEALIVFLNAHLAFNSANKVAVIAAYSQGIKYLYPESTSTIKASKSENK NRSDLKIINSDMYRRFRNVDETLVEEIYKLFELEKKQIKQNSQRSTLAGAMSAGLTYV NRISKESVTTSLKSRLLVLTCGSGSSKDEIFQYIPIMNCIFSATKMKCPIDVVKIGGS KESTFLQQTTDATNGVYLHVDSTQGLIQYLATAMFIDPSLRPIIVKPNHGSVDFRTSC YLTGRVVAIGFICSVCLCVLSIIPPGNKCPACDSQFDEHVIAKLKRKPVVPRLKAKKK VTKP SPAR_P03150 MKRGDSQSPDAIFGQSRAFALSDSSVNPDVIEYLKSVRQEALRT NAISVKNQMNLQKRARHKSSMYDDEDEGAIKRHVISPSLIRLQKNADIWVSWFNSVKA TVLTNAYEFTGYDDETLNLLLLFLKNYLKDMPSKSTTVEEIINVLNQYSFPDKTEQKE ENFEIDEEWAKNILVRLEKIKIGSVEDVKKAIIEGDKHELIGYNQWFQYIINNEPQHT TFHGKITSKQLWVLVRYMSNTWIKEIYKKGRHYRRLQDWLFYILVHTPERLTAEYTSI LRDLGKKCLELIQKKPIEAHENKITLPKEMTELNVEIPSTVENMTITELTVSVVAVNY GQRDLIE SPAR_P03160 MSEEFPTPQLIDDLEQHPQHDNARVVKDLLAGTAGGIAQVLVGQ PFDTTKVRLQTSNTPTTAMEVVRKLLANEGPRGFYKGTLTPLIGVGACVSLQFGVNEA MKRFFHHRNTSESSTLSLPQYYTCGVTGGIVNSFLASPIEHVRIRLQTQTGSGASAEF KGPLECIKKLRHNKALLRGLTPTILREGHGCGTYFLVYEALIANQMNKKRGLERKDIP AWKLCIFGAFSGTALWLMVYPLDVIKSVMQTDNLQKPKYGNSISSVAKTLYAKGGIGA FFKGFGPTMLRAAPANGATFATFELAMRLLG SPAR_P03170 MDFTKPETVLNLQNIRDELVRMEDSIIFKFIERSHFATCPSVYK ANHPGLEIPNFKGSFLDWALSNLEIAHSRIRRFESPDETPFFPDKIQKSFLPSINYPQ ILAPYAPEVNYNDKIKKVYIEKIIPLISKKDGDDRNNFGSVATRDIECLQSLSRRIHF GKFVAEAKFQSDIPLYTKLIKSKDVEGIMENITNSAVEEKILERLTKKAEVYGVDPTN KSGERRITPEYLVKIYKEIVIPITKEVEVEYLLRRLEE SPAR_P03180 MLHHKFVYPFISKWRLQCIGKCPPQITFIANYATMNDQSSNKKL PIRDEQWPQSTDPTPYDIFGIPKAGSGSPKLDKKSLKKKYHRYVKLYHPDHSDNIQIF GSEKVIDSNSKSPLLLTSSEKLHRFKIISQAYDILCDPKKKIVYDTTRQGWTTSYSPR SDINTENYQYAGSYGYHSNAQYEYWNAGTWEDANSVKKERIKENLNPWTVIGIICGLA ICIEGTALLTKIQESLSKAEFTHDESELHLIQSYTNYGLDTDKFSRLRRFLWFRTWGL YKSKEDLDREAKINEEMIRKLQTTK SPAR_P03190 MVTGKMASKWDQKGMDIAYEEAALGYKEGGVPIGGCLIDNKDGS VLGRGHNMRFQKGSATLHGEISTLENCGRLEGKVYKDTTLYTTLSPCDMCTGAIIMYG IPRCVVGENVNFKSEGEKYLQSRGHEVVVVDDERCRKIMKQFIDERPQDWFEDIGE SPAR_P03200 MTLNNVARPDLCVSYKKIAPPKGLYSATPSISGVVNQSMPMAAI FLRNKFIAWFSLIQSVHYYLNTDEDIVASYKENKSPSPMDQPPAIKLFMSLIGLCVCY MNLVFPQQIAQPSSSASKGETKTVIETSTEVETETAK SPAR_P03210 MNPKSSTPKIPRPKNAFILFRQHYHRILIDEWTAQGVEIPHNSN ISKIIGTKWKGLQPEDKAHWESLAKKEKLEHERKYPEYKYKPIRKSKKKQLLLKEIEQ QQQQQQQQQQQPQPQPQPQPQLQQPFNNNIILMKRAHSLSPSSSVSSSNSYQFQLNND FKRLPIPSVNSPNYMVSRSLSGLPLSHEKTARDLPQLSSQLNSIPYYSAPHDRSTRHH YLNVAQAQPRANSTPQLPLISSIINNNSQTPVTTTTTTTTTATSSPSKFSSSPNSSIL ENNRLNSINISNQYLPPPLLPSLQDFQLDQYQQLKQMGPTYIVKPLSHTRNNLLSTTT PTHHHIPHIPNQNIPLHQIMNSSNTEITAKTSLVSPK SPAR_P03220 MLDNKATKMDCKILVLGAGGLGCEILKNLTMLSFVKQVHIVDMD TIELTNLNRQFLFRDADIGKPKAQVAARYVNSRFPQLEVVAHVQDLTTLPPSFYEGFQ FIVSGLDAIEPRRFINETLVKLTWESNYEICIPFIDGGTEGLKGHVKTIIPGITACWE CSIDTLPSQQDTVPMCTIANNPRCIEHVVEYVSTIQYPELDIESTADMQLLLEKCYER AIQFSISTKELSTGFILGIIKSIIPSVSTTNAMVAATCCTQVVKIYNDLIDLENDNNF TLINCSEGCFMYSFKFERLPDCAVCSKDNSN SPAR_P03230 MQAKLMFTRLNFRFSPTTLRQFPLRRFPLRKAFYSDLVTKEPLI TPKIIINETPGLNLSISERASNRLAEIYRDSKENLRISVESGGCHGFQYNLTLEPASK LDVKNNVKDKEFSDDLDGDDDSQDIVYVLPEDKGRVIIDNKSLNILNNTTLTYTNELI GSSFKIINGRLKSSCGCGSSFDIEN SPAR_P03240 MSKLVISTSIFQSQVADLLPCNNHQKSQLTYSLLNAYDLLQRFD EVLAFPYIAKDDLLEFHSKPYISYLINGRFNKTLPQDANDPIVECKWGELSELADSWN EKNDHNPSQDLQRFSRREDLYNYYLSYSQALEKNNNSISESEAFTNDEPTDPYVVDSE TKEYNLEGDCPVFSYLPMHCQVIAGATLNLLDHLSPSHRLIGINWDGGRHHAFKQRAS GFCYINDVVLLIQRLRKMKLNKITYVDFDLHHGDGVEKAFQYSKQIQTISVHLYEPGF FPGTGSLDDSRKGKNVVNIPLKHGCDDDYLDLIASKIVNPLIERHDPEALIIECGGDG LLGDRFNEWQLTIRGLSRTIINIMKRHPRAHIFLLGGGGYNDLLMSRFYTFLTWCVTK QFSTLRCHDNNLAQDEPFDVCDSDDSEQLIREHELVEMYNEENYQYWIYEMEGSSRMK TLRNDNKVKDMVELMKFYGL SPAR_P03250 MAQEITHPTIVDGWFREISDTMWPGQAMTLKVEKVLHHEKSKYQ DVLIFKSTTYGNVLVLDNVIQATERDEFAYQEMIAHLALNSHPNPKKVLVIGGGDGGV LREVVKHESVEEAWLCDIDEAVIRLSKEYLPDMAASYSHPKVKTHIGDGFQFLRDYQN TFDVIITDSSDPEGPAETLFQKEYFELLNSALTEKGVITTQAESMWIHLPIIKDLKKA CSEVFPVAEYSFVTIPTYPTGTIGFMVCSKDKTCNVKKPLREISEEKEGELYRYYNKR IHEASFVLPTWAAKELN SPAR_P03260 MVEGDSYVETLDSMIELFKDYKPGSITLENITRLCQTLGLESFT EELSNELSRLSTASKIIVIDVDYNKKQDRIQDVKLVLASNFDNFDYFNQRNGEHEKSN ILLNSLTKYPDLKAFHNNLKFLYLLDAYSHIESDSTSHNNASSDKSLDSSNGSLNNQG KLDLFKYFTELSHYIRQYFQDNCCDFIVRTNLNDKFGIYILTQDRNGKEVPLAKVYLD ENKSDLQYRFYEYIYSQETKSWINESAENFSNGISLVMEIAANAKEDNCTDLIWFPED FISPELIIDKVTGSSSSSSSPPIIDLFSNNNYNSRIHLMNDFTTKLINIKKFDISNDN LDLISEILKWVQWSRIVLQNVFKLISTPNSNLNSPGLEPDHSAPFSTLAKDKISSTSK TEPIPRTNRHGSVVEASRRRRSSTNKSKRPSITEAMMLKEEGLQQFNLHEILSEPAIE EENGESIKAQSSTTEGGNDLGFTASVSNQENAENDIVMEDDSVLQGTSTDYGGEPVEG ADIEMKDVSSKPNQAESSVLQLIVSEDHITLDTISECNLYDDVKCWNRFIKKFQDIVS SPAR_P03270 MSQRKLQQDIDKLLKKVKEGIEDFDDIYEKFQSTDPSNSSHREK LESDLKREIKKLQKHRDQIKTWLSKEDVKDKQSVLMTNRRLIENGMERFKSVEKLMKT KQFSKEALTNPDIIKDPKELKKRDQVLFIHDCLDELQKQLEQYEAQENEEQTERHEFH IANLENILKKLQNNEMDPDPVEEFQDDIKYYVENNDDPDFIEYDTIYEDMGCEIQPSS SNNEAPKEANNQSSSLSSIRSSKKQERSPKKKAPQRDASIADRAVTPVAPAAESASQS ISSTPTPLLADTPLHTVKDDSIKFDNSIPGTPATNVSMKKKESENDSEQQLNFPPDRT DEIQKTIQQDIETNAAFQNPLFNDELKYWLDSKRYLMQPLQEMSPKMVSQLESSLLNC PDSLDADSPCLYTKPLSLPHPTSIFFPSEPIRFVYPYDVPLNLTNNENDTDDKFGKDG KAKSKKHDDIYSRTSLARIFMKFDLDTLFFIFYHYQGSYEQFLAARELFKNRNWLFNR VDRCWYYKEIEKLPPGMGKSEEESWRYFDYKKSWLARRCGNDFVYNEEDFEKL SPAR_P03280 MTIEKPKRSVAFICLGNFCRSPMAEAIFKHEVEKANLGSRFDKI DSFGTSNYHVGENPDHRTVSICKQHGVKINHKGKKIKTKHFDEYDYIIGMDESNINNL RKIQPEGSRAKVCLFGDWNTNDGTVQTIIEDPWYGDIQDFEYNFKQITYFSKQFLEKE L SPAR_P03290 MTQFTDIDKLAVSTIRILAVDTVSKANSGHPGAPLGMAPAAHVL WSQMRMNPTNPDWINRDRFVLSNGHAVALLYSMLHLTGYDLSIEDLKQFRQLGSRTPG HPEFELPGVEVTTGPLGQGISNAVGMAMAQANLAATYNKPDFTLSDNYTYVFLGDGCL QEGISSEASSLAGHLKLGNLIAIYDDNKITIDGATSISFDEDVAKRYEAYGWEVLYVE NGNEDLAGIAKAIAQAKLSKDRPTLIKMTTTIGYGSLHAGSHSVHGAPLKPDDVKQLK TKFGFNPEKSFVVPQEVYDHYQKTILKPGVEANNKWNKLFSEYQKKYPELGAELARRL SGQLPANWESKLPTYTTKDSAVATRKLSETVLEDVYNQLPELIGGSADLTPSNLTRWK EALDFQPPSSGSGNYSGRYIRYGIREHAMGAIMNGISAFGANYKPYGGTFLNFVSYAA GAVRLSALSGHPVIWVATHDSIGVGEDGPTHQPIETLAHFRSLPNIQVWRPADGNEVS AAYKNSLESKHTPSIIALSRQNLPQLEGSSIESASKGGYVLQDVANPDIILVATGSEV SLSVEAAKTLAAKNIKARVVSLPDFFTFDKQPLEYRLSVLPDNVPIMSVEVLATTCWG KYAHQSFGIDRFGASGKAPEVFKFFGFTPEGVAERAQKTIAFYKGDKLISPLKKAF SPAR_P03300 MVSMGYCYCALPGRIGEVLYSTNRLHSFSKNQIKMSSTSKASTS SSLSSTATSSTSAARGSDGCVVCESVAPSCPVCASGEYCVMTSLTCDKCPSTYCAKQS DSQLSSLSTSSSSSSSSNSNGKTSLIVGFTVGIVGGAMLIVLVALYFINKRYWKPKRQ RNKALKLEETSQNYGNEEDYFDDEDDDDEDDDDDDESTGDMRKEESHTLFNAPLVPPT LNVPGNRSSTSTTRTKASNILPIAYIPGVTSGLTADKLQSKLRSSSKRQNAPGDIRSH ITLGSSILDGLDEDDDEHNQGLDKDSGDNLITAIRAKPKLVQIAEEESDKEIQDLDVI GEQTEADDVADDLLNMAKNEASEDQNNDDDDEEGSFILDLEIPESIRESTQGSRTESP FEDKFEIHDER SPAR_P03310 MQMISGVLPTTLSPSELRSDDERTFQFDEEAEIPTHLTESEDLR RLVNETAQLGVRVDHIHDKTDQEIARLEKVIKEVTESDTLFRSCSGWFKTHKNFSDSE SSSNIQLKSLSQLHGCYDRDWRKRLNKWFKKNKSKLALLSTGDLEVRNDDTVYESEGE FMEQGKTPYFETDEFMNGLNIISPLTPDDFENDNTYVKIDETCQIHPTSECEKSSISP TFGNDIKKELVTDDTESIISGPPLQENKKTLLKYRHVRTSLDMLNSEKSTSKNNSGMF RIFHRSSPLGGKTQENVPRVWDTLKSNLGREIYLLQGRFKKWTAKHQNFKKNQLFKGE DPVAVPLPSSDPGTETQLGTKFCLMPGEGQPPVQQA SPAR_P03320 MLKRSSLIYLSCVLIITIPILLHIYNVPGLPHETNEHRASRKQK RALASPDKPKGGNDEELFCAVTNPVTGSYIDLSQLSSTPNKLREGQKQNSGTNKHESS KTKWSVRGWGYDTNFTLGICSSPVGEAESQQLSNLTGAFYVDQLNENSLVSIGDFSTE PALVGSPTAKKLTLKYENGSMCPNGKDKKATLLNFVCDKEIQSKAQISYIGNLHNCSY FFEVRSIYACPTSNKKNEVNVLGIFIGIFAIFFLVEFAGRRWIYAKLNRHLKNDDELN DISPALNEQPHWDLIEDGSHWSKFFNGIAKTTRRFTKSLMRSLVRGRSNRQGGIRLRS SPSASSSSLANREFFRDMEAQNEIIDSLDINSHTTESDHPTLAEHSV SPAR_P03330 MGKEKSHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNM ITGTSQADCAILIIAGGVGEFEAGISKDGQTREHALLAFTLGVRQLIVAVNKMDSVKW DESRFQEIVKETANFIKKVGYNPKTVPFVPISGWNGDNMIEATTNAPWYKGWEKETKA GVVKGKTLLEAIDAIEQPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVV TFAPAGVTTEVKSVEMHHEQLEQGVPGDNVGFNVKNVSVKEIRRGNVCGDSKNDPPKG CASFNATVIVLNHPGQISAGYSPVLDCHTAHIACRFDELLEKNDRRSGKKLEDHPKFL KSGDAALVKFVPSKPMCVEAFSEYPPLGRFAVRDMRQTVAVGVIKSVDKTEKAAKVTK AAQKAAKK SPAR_P03340 MALMSNSERDKLESTLRRRFFYTPSFEIYGGVSGLFDLGPPGCQ LKNNLIQLWREHFIMEENMLEVDGPMLTPYDVLKTSGHVDKFTDWMCRNPKTGEYYRA DHLIEQTLKKRLLNKDVDSQDFEKMENILATIDGFTGPELNRIMQEYKISDPVTDDVL DALTSFNLMFQTKIGASGQLKGFLRPETAQGQFLNFNKLLDINQGKIPFASASIGKSF RNEISPRSGLLRVREFLMAEIEYFVDPLNKSHAKFNEVLDEEISLLSRRLQESGKPPL PVRMTIGEAVKSGMVENETLGYFMARVHQFLLKAGINKNKFRFRQHLKNEMAHYATDC WDGEILTSYGWIECVGCADRAAFDLTVHSKKTGRSLTVRQKLDTPKERTEWVIEVNKK NFGSKFKQKAKVIDSVLSNFSQDELISRHKELNRSGKFTCRANGEIVELDSSLVTIKM KSTLQHIREYIPNVIEPSFGLGRIIYCIFDHCFQFRVGNESRSFFSFPLQIAPIKVFI TTISNNDSFPSIVKEISQILRKREIYFKIDDSNTSIGKKYARNDELGTPFGITIDFET IKDETVTLRERNSMKQVRGSISDVISAIDKMIHNPEEPEWDNSTLGLSPVNIYD SPAR_P03350 MASVLLPQLRTGWHVDQAIVTETKRLVIIRFGRKNDRQCMIMDE LLSSIAERVRNFAAIYLCDIDEVPDFDEMYELTDPMTVMFFYQNKHMMCDFGTGNNNK LNFIVDDKQEMIDILETIFRGARKNKGLVVSPYDYNHKRVS SPAR_P03360 MDENGSVKPGYGLKGLNSGNSRSKMDKDPIISKFHRAGLNDPAD EEDNDVDGNRNTSWITSMISDERHKVEGKFMVDDGEDLHLCKTTLNKCDALVKILTDI IKLEFVIHQSWYIRSLYKGVLIQFEVEISGGNRNNAGDSGGNDDNHNSNQDDSFYKDL SLKCIKKCEKSSLALESLSRDIDKIRDFIMSNTIEENRVDRLLQNSMTLLLECWIYSM KRLRRLRMKIAGIFVRSKLLLIDHELVTIWHFLQEQNEHETVNNENELKLAETIKSYR AFIKIFIQQLEDSEAGSPSSSLFEECLHVFLDIESMYNSLNLNWLLNENKALQERLMS SSSTSESEHAKSLPVIDETKEIEDISSFVNSIVDASMLTHDLTPINSSDSDNLSNGEI DRLDGRRLSSSTSDMSLMMQRTSLQKQLPTLLTAFNNARRLEQELQNACKVDDNKNST EDTDSSVRQNEHAMSSSVSSIISQNSTLASPSPPLSSSFISTTSSQSSSRMATLPLSS SSSLLETQSQTLKNNMSQWLNQSRSGLNGTKLIPTNHIGFHSNVLNTLYGIGGGPTSR SYKSTQPSSQNT SPAR_P03370 MSEKASEERPIRLAVLGGSSTGKTSLVSRLTVNIVHEVHYPTRN QTNWLFDFVPSSILARAILDEQAHERLCLRSPSSQTLEPIFPSPEVSKNVLLSPLVFQ ASTDNFQSVRSHNKSHSRRSLSLDKSDSPLYQTFSDEFHVKDVPKNKADKLDVINHFK LPLNYIPPTYAPIQIDIIDTPGFSPDNVVPFLEVSLFRNLGRSILHGLADEPRRPVST TSLLVASGASELNGKVDGYILVYSAVPELNHIGGPPEYGDDVSSTDTDEVSDGGFQLL KVIRNCILDAWTEFRNYEKRWEEGKEDDIYSLVYSLRHLWSKNSKEKSARIEQLRSYN TKLKSIELDPSSPDSPPPCIIVCSHVNHELASPMLIEMGRQLATNWKYGFVGIDSMND LNVDVAVSLLIKEISEKMKLLVSSFNGSSNSGNSASIYNSHLVNDKKKNNNTGLNTNM IKKIIK SPAR_P03380 MGGSSNEKSLKRTLTLSDFTLRYHKKGITALQVIKAPSVSNVPV LLSGDNHGYFVMWNLVTKRPITCTQIEGNPHIIAFRWVESTAVLCILSKDSMLRMFKL EESALRSIDLVKKPNQANKTEDPQWTQIYEMPINTLNFANFIIEAQVKPKKDNRDYRL ICCHTDDSESIDIYRIIEDSTFKLKRPFSNINFPKYLKKQDFLQIPKNSKFGIIMRFA RLNDVIFLGFENGFIVGFKTTFDESLHRDVAELVHVSNDHYPNPILNMCVSGDELYSC STDDFITKHKISVSLQPATKYCKENAFFIKCPSTLRVSEPARIYLPLKNISHIDKITD DYLLVSSWSGITIVLDMRTSEVVQTFMKSKNNLVVSDSSIGDLTNGSGSNTESSSKSH NYKVGAMTGLESFAVQSNGLKLGELRRIKALAECSWCLIGYDDGTIKLNKI SPAR_P03390 MMTRESIDKRAGRRGPNLNIVLTCPECKVYPPKIVERFSEGDVV CALCGLVLSDKLVDTRSEWRTFSNDDHNGDDPSRVGEASNPLLDGNNLSTRIGKGETT DMRFTKELNKAQGKNVMDKKDNEVQAAFAKITMLCDAAELPKIVKDCAKEAYKLCHDE KTLKGKSMESIMAASILIGCRRAEVARTFKEIQSLIHVKTKEFGKTLNIMKNILRGKS EDGFLKIDTDNMSGAQNLTYIPRFCSHLGLPMQVTTSAEYTAKKCKEIKEIAGKSPIT IAVVSIYLNILLFQIPITAAKVGQTLQVTEGTIKSGYKILYEHRDKLVDPQLIANGVV SLDSLPGVEKK SPAR_P03400 MVLADLGKRINSAVNNAISNTQDDFATSVDVMLKGIVTALLESD VNIALVSKLRNNIRSQLLSENRSEKSTTNAQTKKLIQKTVFDELCKLVTCEGSEEKAF VPKKRKTNIIMFVGLQGSGKTTSCTKLAVYYSKRGFKVGLVCADTFRAGAFDQLKQNA IRARIPFYGSYTETDPAKVAEEGINKFKKEKFDIIIVDTSGRHHQEEELFQEMIEISN VIKPNQTIMVLDASIGQAAEQQSKAFKESSDFGAIILTKMDGHARGGGAISAVAATNT PIIFIGTGEHIHDLEKFSPKSFISKLLGIGDIESLFEQLQTVSNKEDAKATMENIQKG KFTLLDFKKQMQTIMKMGPLSNIAQMIPGMSNMMNQVGEEETSQKMKKMVYVLDSMTR EELESDGRMFIEQPTRMVRVAKGSGTSVFEVEMILMQQQMMARMAQTATQQQPGAPGA NARMPGMPNMPGMPGMPGMPNMPGMPKVTPQMMQQAQQKLKQNPGLMQNMMNMFGGGG MGGGMGGGMPDMNEMMKMMQDPQMQQMAKQFGMG SPAR_P03410 MDSVWDDARIEDGTVEEPAGSSHAQEKLALIKSTLLKLDQDDKL ESGSWVQLVKLICDEDLEEEFTTFKELLKEVRNVNDKSITGVALIHYIIAFDRADYIE LLHDNPSGAKLDLNLVDDIVGYTPLMWSFSLQRRNCCLELFNAFDEINFNMTNKAGLT AWDMVPPYSPLSEFLEQNNMFRYRTEVKREIPQISQPKDTSLLLTNEDSTTKETFDNI DLQVAGLTLSPGANDNLFLDSDDKNMNHSQGAATLIDPTYTEDYHGTFDYDKLSADQY LEFSDFDIPQILNLLISLPQKEPHMTTYPAGLIYQCIRYADHKIKSKSLVESLINLSL TKILTSVSSNGAAGLVSTEASLQAGDIVLQSYWLSCLSFLYYYLCRDDSFFKRHPSVL QELINTIHSIIIELTSSIHCRLISLIDSTLLSYTTIQDVKQTLYKRDWNFFKKRKQAK LLLKEKNRKQLKDQQKKEHHRKSQDQENRKEEENQQDGNDSDDRVSTNDDNNSNASLF YDKEILRHLYPPSFEEQMKPSPLKIVQIFGALSYVLNLHQTHPIFQQQCLSISVNWFA TTLFNKILKDKKKRSLSRAHAIQIRLNLSTLESWIQNNDFCVPKPMLIDDFMWQRFPI TLIRDVGEIDLSDPILRNVATYKPVDENNKDWIYDASNSLFYYQPFHKIAQIHLEPVF QLLQWLQVATTLDSEESLTSTMSLLPRITPVQLLKSMEKYNYELNENKFNSKLKKFLN NKIKDNKMSKTDAYLQEHEIPYLVLPTIPEMTDLYSKGPDSHSFQPFLPGSIQDDVYE IHDANFKQRQNEPQISQNNSNRSDSSEDEDEAQDEAKGGSRESTEIGEAAESEGNAFN GGNDDYFKELNIPSSTAQRPTWSNNDDIEQNPW SPAR_P03420 MASLKVLLAVYLLGGFTFLPLVLFTFYKVHVLYSNLKSVSKKGL DHDAADEVDEKTRLLVHDIDPEFKAGKSEEQLGVKVFNKGWITVTKQYYYHSSEVAVI LKNSNNNKDSDTALQEQILQRTDLKKKQRFFAVLRHGNLFLYKDDSQNANLVHAISLQ NRFITIWPRYDELGKEELPDASLFTKRTCIAIFKNDLVSIDSKNHNVILPHFDPLTSA DSNNGDISTNDTTHEYQSQFHSSNQFFLYFDNNMDKEDWYYQLINASKNNNTSSTGLL NPSVSANAAHLKTKDMLQLIQDINSTENQLTTKWLNALLGRLFLSLQQTDTLNKFIHE KICKKLNKIKTPGFLDDLVVEKVDVGDSAPLFTSPELLELSPEGSTKIAIDVQYKGNL TIIIATKANINLGSHFKQREVSLQLSIKIKEFSGPLLFLIKPPPSNRVWYAFRTEPIM DFEIEPIVSSSKLSYNVVTNAIKSKFAEAVKESLVVPFMDDIVFYPTPNEIYRGGIWE EQDPEAVARAHTTAAASGMNNTSAREHLAAVQEGGTKTQSRIKKALRPERKKENLKDL VDVSGVTTKTTAQTTVTTATNDDVSSSENSTKSRKYFKNSIKKIGRWYKDNVGNSSDT EDMDDMDVQDKKNDDSADEKESDNPTLTSNPKMISNRRPVPRRPSQQPLNTLSPKLEE KKVKETEIFPAPPSASNINASKMFANKENRKFSVSSNDSQNSLKNGDPHAKNSKLESS QAFVKKTSPNRFNDGFFKQDLEFEEQREPKL SPAR_P03430 MQGVEPRDRLYHQPNTQTSYKNMEECPICLGDDQECEQFGRLEV CRHKFHLNCIREWHKYSIDLKCPICRIESNHLEVGEGQHAVSINLKMGFMIKNAIEYV DAEATNERNEDDAGEQDQEMEILSERFQDRLVMNTIKIIQCSICGDTDVSRLNLYCQD CEAIYHETCLRGLAYEVGDRNTWQECTDCRSNDLLQLRMGANRSQLASYDSRNCMIFA GVVRDKHSVKTQQMYDRIRNAKHKIQIHVRRALDRYPLPLLQFRDAYKHLNKQVSRKL YRLSDNKYLPAHYDYDSLARTGVHTELLLYCHVEE SPAR_P03440 MSRHQFDLIMCLKQPGVQTGLLCEKCDGKCPICDSYVRPKRKVR ICESCSFGKQAKNCIICNLNAGVNDAFYCWECCRLGKDKDGCPRILNLGSNRLDRHFE KKKKV SPAR_P03450 MNQSISSLIKLKFLQSHSNDKSSNKKGGNSILADTDKIREHESY RSPFIQLAGIQEHINNDDDKSNLKECEPTKKHSKLSRIRRKMGRLDLNFRSSSEKGSE DDDIVVVQQLGDGQDSEERSFKSEKNVDSIEKVPKHNLERTTLVNFGPDNIKRNSKHT SLIPVKSAMEKFPTSNRLSRDYRKSQEHSLFNGEKLTPTLPMVSRISTSSSVGSSTAA SRYFNPSKRTVVASSSSSSSSIKFNSLHAIPIDATPQIELAKQQDEISKRRFGRRRSR TVDVFDYINKNNTAMNKAPLSPPSFIGNIDEKNANSVMQDTMRSRGLLLPDDSNIISN DTNGVETHHSDHQILSRSRSQSTSFVQSKGGKRKSIEDESYHNKLGLPHASGPTSVYN NKSNANSTITGMSRRSSSIVNALSSFVNLRSSSLSSSKQQHLQQQQQLQQKLDVSLDD LPPVPAPEFSDSCKDFLVKLAPYGKFIGVILTEKEDEFKKNCLDYLLTNCFEFKNDPL DIALRKLLMFLELPKESQQIDRLIMAFSVAYHKAQKSYCKKKGIECSWSNADQVYFIA FSLLMLHTDYFNPNNKCKMTKHDFVDLVHNDKYSGGSEILIAVLTYFYENVTAKESPK FNYFMMSPMALDDSIFDKDAFDTNFALTLSSNSMYSPIEMIKRGSILSKEASLSPTLY PLANSISASGIAPSTTVSSGPPNTPGTINGTNLGPTNNNSNRPASNSISSYFSYNPSS SSSGNATLVQDDINIYSHIINDTLNEVNLSSEVSKYWNKNALKADLLRKEEHKYEKYY SIMNDTKGGYLRFHKSQLNKLNLPNFEILNDNSLSGCKNSDYKYCKILQMGGIMNLGM PSRKFSIVNSGKIHWKKEFAILTSFGLLICDKMDWINPQMMKDPKSGTTNYIIDFKSG FSFVPGSTIDVYNGLFADSERDSLGKSHFASLVLAYTEHHSTGSHTSNTAVTSGPARQ SEGVFETSSDEEEINTSFTDGTSSVSNSVSNSDSGTGSVSSSDNQLSSNDGNNKEDRS VKDEFPIFEDENADCLLYLHTCHRNFIWKCANTYERDNWIDSINLFSAYDGCYVEIGS IANTICNKRKLTVLQRMGRLRSIKSAKWEKLKTFESTLMLMGKCVPISTKTRTDMINR IRQLAVRMDWLIYEIKRNELFVSIIKEVTRKQAGKNILQDEKGEEEGRGKNDDSDGID DIEESFLFNEDSLQECVSDSGHDEYSNE SPAR_P03460 MISQNIPVLNPTEEHYLKRELLRCQLDHEIEALNDQFALRKFGY PFSPNDPTAPQPTSNNDSSPVLGGKGHFSVNYPMLSYVLQEFISTFPLLSTNLLVDEK FWQSKVQVFFEHFMSLGFSESYDREEASKRKKVSKKLSKVILLLFNSGVGSSQEQAYY NEDKFVLQSGQARKRSNIEKFAMPTKENLENLLTNESVFINGWDVNIISVFGKNSRRS TENVENARSPKSTPISSPKSHGIKSFASTSKWMKNAFSNTINSTINSMPESSASLFSK LSLGVSSTKSNRSRKHHYFLIKIKKQEDEAYHNGNEENGKSDCLIEYFYITRTYSDFK KLSHDLRSEFPGKKCPRLPHRNKKVTSMVTKTEVLRNSQSKSATRERIVNTFDTDLQS ASESDNSSFLHTTNELSATETVLTEKETETLRKNILDEIKEEEHIDEDEDEEDEEGEE SDFDEYKDASDSKVNTLVGEKMRTSLRQYLRSLCKDAEVSQSTSIRRFFLSGPNLDVK DLNPKITDDIRNRALIDVSNLENQIRFQQMALEKSLKLQDSMKDFKTSLLKDEKYLMR LLGEIKDNTKVEDLSPLLQDFVEWCKIYISSMIYQMFLGNDNSYELYTQVRRLHKLMP YTVMGQIMKFTNPIAIMRGMIELFMAQPFGGHSLLQTMFSTILTDDLKTQKVAIKELE SKIAEMDPGAPVVIKCLKDFVFNNTKDEYDAKLFTMDTVNAESESMNMPVPLIILMKS AAANLISDEVVAGLIESYSSWKLQREGMDTLTVTSEDYSGSYFAHVKDLLQLYIKEHD KQLMRQLWQDPELTQMLKAIVTMIYEPMVKIFKVARMDVALKNFEKFMSDLIKLVDDV INGQLGVSTQFNVVEEIHNLVTKHQDAFFEFIHDVYLNDSEGIFEGFITWITTIVKFL QKSKFGEPSERIDFNKLICRENIDIDVKLLKIQVNGVLNKKIGARKIYKKLLDLKVKQ GAKQNNKNAAGLLQKNWSDINSLVMPSSSGSFGLGDGELVDLDLDTADYDFLHKDNEV ELEKQYQDLLNLVVDESEIDKLRSRVFAQELKNYLEAQVAKR SPAR_P03470 MCLVKTTAHLLFYSFVFGGTTFYSYVASPIAFKVLEKDQFSALQ NKIFPYFFQMQAASPVVLALTAPIALTTGPLSSLVVASVSGLTNLFWLLPWTHRVKEQ RKDIAKKYTGDELEAKDAVLRKEFGKSHGLSLLFNLSNVCGMLAYGVCLSGGLLRKIP K SPAR_P03480 MVVKAIARNSIGRNGVGAFVFPCRKITLQYCNWGGSSEGMRKFL NSKRLNKWGQEFPWIQFEVLRKSGHPLLRAEYTNGREKVVCVRNLNIDNVENKLKLLK DSDGDILRRRTKNDNVESLNSSVRGIWSPLHAAKRHRI SPAR_P03490 MDRFNFIDKGILEIYKSEIDELVRKEYGNIKKEPMHPEVQGIIT KKNGPDKSVTALSKGLYTEYLEQCNNKKKRASDFDDDVDTLFLQEYRRKYPRIDTSRY VPNESSEINLLGMVDSYLKHQEIVLDTLLPRTISNQWMINNDHIQQTSTIVEEMSDQQ QKHINDLEIYREGLQRRYEPLFLQMRRQIEER SPAR_P03500 MSAKAQNPMRDLKIEKLVLNISVGESGDRLTRASKVLEQLSGQT PVQSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYQLRDRNFSATGNF GFGIDEHIDLGIKYDPSIGIFGMDFYVVMNRPGARVTRRKRCKGTVGNSHKTTKEDTV SWFKQKYDADVLDK SPAR_P03510 MQAIADSFSVPNRLVKELQYDDEQNLENDFVTGASQFQRLAPSL TVPPIASPQQFLRAHTDDSRNPDCKIKIAHGTTTLAFRFEGGIIVAVDSRATAGNWVA SQTVKKVIEINPFLLGTMAGGAADCQFWETWLGSQCRLHELREKERISVAAASKILSN LVYQYKGGGLSMGTMICGYTRKEGPTIYYVDSDGTRLKGDIFCVGSGQTFAYGVLDSN YKWDLSVEDALYLGKRSILAAAHRDAYSGGSVNLYHVTEDGWVYHGNHDVGELFWEVK EKEGSFNNVIG SPAR_P03520 MDREMAIIESSNHLGTSSPAIETQFTINSPLLKDEGTKESITDS SRNEVPIETKQSKSPDIVTEEEHPQSTKTDIENEVENPVTDGNGNLKLELPDNLDNAD FSKLLEFDAKNDEALFNSNELLSHTMDSVNNIDLPDDHSREVSSKENIDIEAVNPQED EKEKTQDNTAAMKTEEINTSEDTSIQKNGRTADELYTDVHKLSANKDAGALPELVDEK NSILHMRNNSITPIMFQQHELVGQPPQNTVTENNSTDAETTERKPSEPINESLPLPNE QPTIFAYARLDFQSFTFYVQTLHAIIGRRSENDFSHKVDVNLGPSKSISRRHAQIFYN FGTGRFELSIIGKNGAFVDDIFVEKGNTVPLRNKTKIQIGQIPFQFILPEQERNDDSK SPENADITESEISIKNPKKNEAKPKKKITAGTKPKKAQTKPAVKKEKKPPKIPKKVYT LEEIPVEYRTKPTVSYSAMLTTCIRKYSTAKGMSLSEIYAGIRDLFPYYKYCPDGWQS SVRHNLSLNKSFRKVSKEGKGWLWGLDEEYIAERERQKKKQSEIAVAKAQAAQLKLEQ QQHKLQQVPQRGKKDIVSQKSNVNARKQNISQTLAANRAASNRKNTASDNQRTMKYLQ EQLVILTRDRKGLSKQVIAAILTQALAMTINQVTQAAKNKGITGNPLTALMDKNPQHL NLILAAAVNAATAKVTKGEVKQLVNPETTAAAALAAKAQHSKPIRQPIVQTPHVPDRP PSQLSASASSHPTNYLHDKPPGSFDPSSLSRFFQPRQNARAAFSAAAASVPVTVSQNV DVQPKPKPAQDNDLESESGTSSSGESSSESGSESDSGSDDGSGSGSGDDSSTSSESES ESDSGSEVDEKNSKNNKSDSADIKHNESKDDIPPSDENGNNDKHEIPETDEEGHDSKR QKVSEDINQANVTVGENFEGVESGTSISEIKP SPAR_P03530 MKGQESNASWESSIIEGQLSKNLARYTLLLDKLSTLSQIDKLSE VIANDYTKQSKQLNTFVQQSQSSLNKQSRKLELQRTNLTTTLTQFHETVGTISCSNAR AKAIHDDIETVDQERALVNKTLQFVKDVRTLKNNISLAHSALETKDYLVAATAINEIR SLPDKNLIVSEFAKKVVPSSEIPEEPAILIKNWCKELTGLFREQFMKATRTQDIKELT LMFKMFPMIGQDVLGLDLYSKYVCDIIADESRKIMSNSMENSTKFQGFFSQVLLHLFK IVSTIINDHSKVIATCYGKKHMVHVMEKVEKEADLQASLILDIFMETRKIDRTIHDIN DWAHSQKSEDRNMGSNQSDNETDGETEKNSIISIHDLAVLIIEFSQILQNWSMYSRFY SVKWNEFSDLHPHVLQPPPPISDGKFALKLEQDKVFDEFQAFVLNHLHRSFKNSISLE ELPSLNDLITTVPFNDHDKNSYPVTSVLDDLILLVRKNLISVVNTGQFKLLSSFLNEL VKFFQNRFLVKFMQNKFKLLQSKLTPNISLKRYIPKGEEQSATSRSVSPPANKFSPLS RFTFRGAAASALTNIQSNLQAVVAEDEDSILSLHHYLIYLNTLYLNKVYVHRLLSIEI LEEDSQRILRDNFPFNNDAVQLQTLITNSEKLILEQTDKLSKWAVKYLFQNILQNRIR NLLGTVFVNSASSNSSMSNQNNVSRDYSAGANQRNYITSIEDFEDLSQINSFNSKWNQ LITPYRNILHNEAYVELLSIIVNYIVATLEQRIWSLEFNELGVTKLDRELSLFIGNIC GLNYNLREKFLKLTQIVLLLGLDDDNFDLATGDIKDDFNGAFDWVINSQERIKARNMK IDRRQ SPAR_P03540 MNSTPPTSPVTRISDGAFPSIRNNSKDFAYHQPQKHKSTFAYSH LVSPVEEPTSKFSEAFQTNYSTKGPVAVSEAHLKNDLDVLFSTPRFYSPENLALMFRL SNTVPSLEFLDEFLMGILLAPQMDFLSNPSYSLPSNKPVGQGSYSYVYSISSTTSSRS NNDSGFVLKFAKSRHKSKVILQEALTLAYLQYVSPSTNKSHIIPFYGLTYITKSHFRR LRSNECVPGLILPKCEMSLYHFNTSVSHKLSLIGKRKIWWKLLKQMLEALKSLKANCI IHGDIKTANILIKGTPILNEGHCDDFQFYLADFTSAFHINQSPTDLNTTAEYCAPELI DNSSDHVPTFETDLYAVGLCLLSFISQHEPYNELQALVSHGSSPGIGSSSIQQSQWLI NVLLKKDPINLNVLRGDLFQDWKSEVTLLSKILVERLSLEELITILENDYI SPAR_P03550 MSLIHPDTAKYPFKFEPFLRQEYSFSLDPDRPICEFYNSREGPK SCPRGPLCPKKHVLPIFQNKIVCRHWLRGLCKKNDQCEYLHEYNLRKMPECVFFSKNG YCTQSPDCQYLHIDPASKIPKCENYEMGFCPLGNTCPRRHIKKVFCQRYMTGFCPLGK DECDMEHPQFIIPDEGSKLRIKKDDEINTRKMDEEKERRLNAIINGEV SPAR_P03560 MVEVEEKSQEVEYVDPSVNRVPNYEVSEKAFLLTQSKLSTEQRK EAAKFVLAKVREEEMAPYYKYLCEEYLVENGESDLDHDEKSGSSNEWFKFDQQLYNDL CKKNESKIKELNEKIQKLEEDDEGELEQAQAWINLGEYYAQIGDKDNAEKTLGKSLSK AISTGAKIDVMLTIARLGFFYNDQLYVKEKLEAVNSMIEKGGDWERRNRYKTYYGIHC LAVRNFKEAAKLLVDSLATFTSVELTSYESIATYASVTGLFTLERTDLKSKVIDSPEL LSLISTTAALQSISSLTISLYASDYASYFPYLLETYANVLIPCKYLNKHADFFVREMR RKVYAQLLDSYKTLSLKSMASAFGVSVAFLDNDLGKFIPNKQLNCVIDRVNGIVETNR PDNKNAQYHLLVKQGDGLLTKLQKYGAAVRLTGSDRV SPAR_P03570 MDNFESAEENLTIGNKRVYELRKRNFQRNLVNNLSFLGYVLISL EYIKYDRTIWTLIARAIVQSLISSPFPSDAKLRRLATVGAENNTTAVATLPGGRSIRF PGMFRTEALYNPSNEAEQQDHGDAAIVSMKKQIRKFLFHGCLSLNMLFIILTILFPID FLEPLSGNEPVDDGPKNTPSPFSNSDGLLLGERRGGLFLQMIGERLPKSNFSGNFGLV MFEFSILIVQFTLFSLTCVVLADLDFEEPERLDPLKSDGYDGSVIVARIPLNKTLDAI LDDSNIDDNNENSSNSV SPAR_P03580 MSNIVGIEYNRVTNTTSTDFPGFSKDAENEWDVEKFREGFEVNI SSLDAREANFDLINIDTSIANAFRRIMISEVPSVAAEYVYFFNNTSVIQDEVLAHRIG LVPLKVDPDMLTWVDSNLPDDEKFTDENTIVLSLNVKCTRNPDAPKGSTDPKELYNNA HVYARDLKFEPQGRQSTTFANCPVVPADPDILLAKLRPGQEISLKAHCILGIGGDHAK FSPVSTASYRLLPQINILQQIKGESAKRFQKCFPPGVIGIDESSDEAYVKDARKDTVS REVLRYEEFADKVKLGRVRNHFIFNVESAGAMTPEEIFFKSVRILKNKAEYLKNCPIT Q SPAR_P03590 MFSRSDREVDDLTGNMSHLGFHDLNIPKPTSPKVQYMPARKSEN GRLPPEPSRPYKPCDSNDQNTSKTRISLNHSPKKLPKDFHERASQNKTQRVVNVCQLY FLDYYCDMFDYVISRRQRTKQVLRYLEQERSVKNVSNKVLNEEWGLYLQREHEVLRKR RLKPKHKDFQILTQVGQGGYGQVYLAKKKDSDEICALKILNKKLLFKLNETNHVLTER DILTTTRSDWLVKLLYAFQDSESLYLAMEFVPGGDFRTLLINTRILKSGHARFYISEM FCAVNSLHELGYTHRDLKPENFLVDAKGHIKLTDFGLAAGTVSNERIESMKMRLEEVK NLEFPAFTERSIEDRRKIYHKMKKTEINYANSMVGSPDYMALEVLEGKKYDFTVDYWS LGCMLFESLVGYTPFSGSSINETYENLRYWKKTLRRPRTEDGRAAFSDRTWDLIIRLI ADPINRVRSFEQVCKMPYFAEINFETLRTNSPPFIPQLDDETDAGYFDDFTNEEDMAK YADVFKRQNKLSAMVDDSAVDSKLVGFTFRHRDGKQGSSGILYNGSEHSDPFSTFY SPAR_P03600 MSRIIVKGLPVYLTDDNLKEHFTKRLRQKHSHQAVNGSGPDLIT DVKILRDRNGESRRFGFIGYRNEEDAFDAVEYFNGSFVNTSKIEVSMAKSFADPRVPQ PMKEKRREALKRFREKEERLLQEENRKKMKLDENKHRNIDDEIRKNKQLQEFMETMKP SSQVTSWEKVGIDKSIEDKEQKGGEEEPSVQGNSLLAHALALKGENSKEEAPNLIIDN ESDDEYSALNSNRDEDQGEAEEEEKMISLSNLTDADTGLVNDDTNNDEAENESRRNLA QDEKVSDLDWFKQRRVRIKESEAEEREKSLSYSTEQNESLDAKEEEQPEPIVQQKTDE ERAIEKINQTGRLFLRNILYTSKEEDFKKLFSPFGELEEVHVALDTRTGQSKGFAYVL FKEPKSAVNAYVELDKQIFQGRLLHILPGEEKKNHRLDEFDLKNMPLKKQKDLKRKAA ASRQTFSWNSLYMNQDAVLDSVAAKLGLEKSQLIDAESSSSAVKQALAEAHVIGDVRK YFESKGVDLTKFSQLKSTNQRDDKVILVKNFPFGTTREELGEMFLPYGKLERLLMPSA GTIAIVQFRDATSARAAFTKLSYKRFKDGIIYLEKGPKDCFTKPAEADDLINNTSARE EEKPVEIKPSSNDLMETNKDIDEESSAIHDEDVIDGPTVSVFIKNLNFSTTNQNLTDR FKAFTGFVVAQVKTKPDPKHQGKTLSMGFGFVEFRTKEQANAVIAAMDGTVIDGHKIQ LKLSHRQASQNGNKKAKSNKKSGKIIVKNLPFEATRKDVFELFNSFGQLKSVRVPKKF DKSARGFAFVEFLLPKEAENAMDQLHGVHLLGRRLVMQYAEEDAVDAEEEIARMTKKV RKQVATNEMAALRNRGGRKKLDMDDEENEGF SPAR_P03610 MSSNTTPEKVTAEHVLWYIPNKIGYVRVITAALSFFVMKNHPTA FTWLYTTSCLLDALDGTMARKYNQVSSLGAVLDMVTDRSSTAGLMCFLCVQYPQWCVF FQLMLGLDITSHYMHMYASLSAGKTSHKSVGEGESRLLHLYYTRRDVLFTICAFNELF YAGLYLQLFSNSATFGKWTTIISFPGYVFKQTANVVQLKRAALILADNDAKNANEKNK AN SPAR_P03620 MDVLLSLPQPELFKTMVIPSLANRNIIKSEAILSNLHSIFYVAI FYHIWFLFGKWILFPPLVKWKLDYDQKHGVKKDEKVTPERQAQHYKKKYTSLINQSSV HLISLLQSIVVLYYSLKFLLDPKASAEPYQTSHSRVFTENRDTQVICIFAIGYFVWDI YISTMYSTFPFVVHGIISTVVFCIGLKPYIQYYAPVFLMFELSNPSLNFRWFGIKFLP HKSKLCSLLLLLNNLMLMVIFFAARIAWGWFQIGKLCYDFYQVRNEPGFLVFDTIVIL AGNFVLDVLNVIWFSTMVSVAAKVLKKGESVDKVTENEQ SPAR_P03630 MSDYFTFPKRENGSASKQPGTPCSTRNSSRNLELPRNYRTTGGS SDELSSMYSGDSQYLMDMIPDSLTLKNEPISGNLSNLTQTNGPDDKENKEVKLDEYIL PKTDPRSPYYVNVPIPKKLPKNEGKTKAKQKVKDKADPSDLDVENIYETSAEFVREYP TDILIDRFHKWKKILKSLIAYFREAAYSQEQIARINYQLKNAVKFAFLTDLEDETNKL VDPSVPKLPNKKPQPVPLVAQKSDSKYEADVEQSQSVQAIPAEEVPSASSGFMTFGSG SIQDIQVILKKYHLSLGSQQYKISKEIVAYIIPKLTDLRKDLTAKMKEIKELHGDFKT NIGEHVKITSRLLNKYIASVKFLDEASASGNKQGEKLRPKHDPYLLKLQLDLQLKRQL LEENYLREAFLNLQSAALQLEKIVYSKIQSTLQRYSALIDSEARLMIKNLCHELQQGI LSRPPAVEWDNFVSHHPTCLMNLKSTDPPPLPRRLSDIVYPNMKSPLAKCIRVGYLLK KTESSKNFTKGYFVLTTNYLHEFKSSDFFLENKSPRAKNKSPIEQSDASHINKDGANA GSHPSSNGTQDLKVTKRRKGLSSSNLYPVTSLSLNDCSLKDSTDTSFVLQGYASYHLS EDASTTESSVTPNLACTPKSLTSNKNKHQRTPSALSMVSVPKFLKGSSGPKEQKRAKE NSNTNKNSICEKYVEWTFKISSASLEPTSEDSKIFKKWVQDVKALTSFNSTQERSNFI EEKVLKSRNHNNGKSSQSSKKSTFMTPVDSFVNLSEKVTPSSSVTTLNTRKRANRPRY IDIPKGVNTNAGAMSSVYRSKVNTPAIDENGNLAIVGESRNNGSQNAVSYASRTPCIS PYSPYSGGGVPYHRSTDDLTTPPSRKASAPGEVPQIAVSNHGDEAIIPASAYSDGSHK SSRASSVPSIHNRRDNSSSSPLMNLPGVSPSCLALEGNANGYFGIPLNCNSEARGGSE LSAFEMESPLFEENRTQNYNRSRKCSACYIPQQCGPMMEINDAGLSHDNEKGTSQPSL MLKKPLTSKGVELPYCNSKKASSAENVPLMSTVSNDKSLYSRQNRSTNNVPTTSASSS EATETYPIRLHRKNVSFGSLNRVMDNKNVSNNFPDKSRKPSATRIGESDEDRKEESD SPAR_P03640 MGLPKSLYRKLLIDCPTKVINDNCSQRVKDVSPLVTNFEKWSDK RRKLYFKDEEEMVVRYQLKNFNLRNNVYGRLLASPMRAEKISKLKSCRELLIPLKVVP SVDKDQYADKNKLKLVPTLDYSKPYKSSYILNSASIVRDNLAAATSWFPISVLQRSTP KSLVVDTSTFLTEYNTHLLEFIKARLSGMPNVGPSSRNRVLLTCDKGRTPPIEIQEIK DSDGLPIAQSVFNLGYFQAPTLEAIINKDTIAKGIYLDADNDKDLIGHLYSALLFQSG N SPAR_P03650 MSMLPWSQIWDVFKLLLGFMLFIISIQKITSLFVSWMLMLRHST VRKISFGYFFGTSIRRAFILTDFAQVYIGKISLRIGWKPGIVFHNVDLKLFEKNGHTT THSTKDSRSYFNPRDQTFTFVINRRVLSILKLVFSFSTFFHTLALTVPNGKEYKLNIG SITISHPHDDTIKLEAFLHDFTHPETKDTLNHTGFFMVCKIGKEDDKGSNCSKVILKN WKSSLKISDVCWHLPEKKEKTSNTESVDSLPTSDDAEMLTSYRKMLKPFHYPLKTLNI LDLKVENVKFIYKKKFTIRISSAQLYLESISILNNVSALELLPLNKPTWGDFELSLSA NAVVVDIDGNTAVRIPFGNVILTSDILLFLLDNIPLQKTKISSILNIINPSVFLTIHQ VLEILHLVDKFDNSETSSYTNATDRPLDILELDIDRLPSFNFELLMSNFISRLHISDE ENVTFKVFSTHALFSRNNMSMSPKRGQLMQMHPDWPFAKTALVSDQLSNYIKIVGTSL SYLRIPTEQDANPVSIPVCGFERLDTFLDEFSNSKLIVQSTLRHSYVSLENIEVLHTL SRAFDKIYSLISSRTKRNAAHKARDEKMGNLNEIKKNFNWSLKLRLKDISCSLLIAGF LPKNLDPVEAENFNLSNVTRGAKVVLTESILLADSQEKNFTIVDASVYRFMDDTTHKP APDVIVQFTNLLLSFNDLDEIHFSLPKIKFKMDVNIIWLWFYIRSIWIKFRPSSKLSR TSATSSKSVDVLDRLRVDIGKMIIELTLPHNTEVLLIFEQIGLSNTTKNLTIASLSAY VVSVYVKHIKAYVSLISINDFELNTQDLIRTKSAVINTSLIHFHAEYHFRFYMITDNI VTLYKSFKQIRLAFSNLSEFERLYPQQQLPKRISNLNVYCQDFLIDIEEDPFEQELGL ILKVGVLEQRERLKKLEEFREKLSTYEDMNVRLRSLYDTSRGQTFFPDFYVNDQEYEQ KAYLRLLENFSTSWIARYRKAKLSFYGMPYRVISREELGTKYHLFTRQKTSTVANLVV KELDFKLGSPSFPLDNYMDFLYQYGKKVPKNTKYTLLIILGLKIKSALWELRLRDYPI PAISFPDTFTTGDVVFAEKMPAPCALHTVYVPFVSSAQKSPYNDANTIYGSHIIRTLN SVKTYFNIRSMVTSSSSARITWGKSLQPGYESLMLWFDFLTKPLIDPSKKLGFWDKFR YLVHGKWIYEFSEKSEIHLNIKGSHDPYKITDDGAGLAFCWSGGTTIYVHNSTDPKEF LKIESQRFQLAVPDFAKVSKFDKVFMKLDGRVIWTLGLLFEQGDISKAGDEERFLPNR PHYEIQLMNPDGVADLDHHDTYKGFRTSFIHMSFGVYSSEHGSINSLYLAPYALTHFF KWWNLFHTYTSGPIRQGRLFTDLLQNKTKFGRSLFTIAYQLHLKRLMVTHIYRHITTQ YDLEKDRKITFTGLKGRFDSLKIDLHQKRVKLTHTNQKLNKSKPVWKFKMSRGEIDCA EADIRILSTLFDQEAVKEILSTGLDDILEDESSRPISPQDVEYLRESDWYDYEDYIDL NQVPLGSSLPLKLEAIPLLYSPRISYFRKINDDGYVLAYPFGTEESHNCLIGKNHPEL TQEKLATERKREIEEQLKLLHVTLGELQSNKSGGSVNENSERYARELKAEVAELNHRL HTVNTILSDLKISEAIPGENTDSDSSSSLSDSDANLGDVAPTPNRISLLRTNTVESFV SMRKASTMQVESTYDNRFMVHNIELKIDNKIRHHLLEYASSAFERKSMRFALTYKSVT ILKELLGNVLTGVRTSIEDYGSMLEDDLASNSEFIEHFEKLIRDVPSDDFDYVDNYLF RLISPQVQIKSDVEKNAAVILAARDIEMGIIDIVQVYGKSGKRIPVDVDTIVETRYSA VSKDIQLFTLFKKDLEGPEGRFFHKNGYGSDKESDIWPPWIPLEMCFDGSLLDKHVFL KRRSMFLTYVAPNPLFFSANDTSAFSYDSRFRIAFPGLILTSDCQQYCAVYAIAEDLL SFGSSLDEKVEKLSRILFTDEVRNNLENLDVSVVTALQDRIKELYYTRAYLKLHEPRL FLKSGQELTFDIQTSTLKLTLLMTAIKKTYDRMGSGNRVIQKRLRWQVGTDELIWELY DESKTPFVTIGLGPSTFIRSETSDGTNSNKVSISSLQCFNQQENPVYTELLAPFYENS SYNKNAPMVEIFWILGPSVGGISDLQDLIVSLQPLIFKMDHKTSEKLMNYLFPKIEQT SIEPDSPELVPRSSTSSFFSSSPVLRHSLSNGSLSVYDAKDVDSWDLRSIQSKEGIKK YKGDHRKLSASLFVQPDYNINEMVKRSGTFFNVKSIIIRKTLMSVCYKGSHSLLTDVN NLIVRVPVLKYHNKLWSREEFFTALKRDVVRIVLQHLGNIIGNKFLPHKKENKKKTSM EIHRLLSPDSQSRNTSHILEVEGHNSFHNSTRSSDIRSINSDETYNENDGNEVKPFYP VTSEFSKNK SPAR_P03660 MSLEAIVFNRLEPEKVSVEVLDQLLLPYTTKYVPIYTIDDGYSV IKSMQVRGAPAIAIVGSLSVLTEIQLIKHNPTSNIATLYSLADWESTKRVLNKRLDFL LSSRPTAVNLSNSLAEIKNILKSSDNPEAFDGNLYSYVCKLIDEDLANNMEMGDNGAK YLIDMLQKDGFSDEFAVLTICNTGSLATSGYGTALGVIRSLWKDSLAKTNKTSSELDS EKLPKMGHVFPLETRPYNQGSRLTAYELVYEKIPSTLITDSSIAYRIRTSSIPIKAAF VGADRIVRNGDTANKIGTLQLAVICKQFGIKFFVVAPKTTIDNVTETGDDIIVEERNP EEFKVVTGTVVNPEDGSLILNDSGEPITGKVGIAPLEINVWNPAFDITPHELIDGIIT EEGVFTKDSNGEFELESLF SPAR_P03670 MSNQIENTENSQNTSSSRFLRNVQRLALNNVTNTTFQKSNVNNP ALTNFKSTINSAKKEGSRIPQFARESASRTTAAQEEKRILKDNGIQLPNNNASDDKEN QDPSSQQFGGLTSIKEGRAELPANVSLQKSSSAKEIIQHGSLKGVGSGPEIVHNSVEN EELHPARSQLQVRNTESENDSGKKRPISTIVEQELPKKFKVCDENGKEEYEWEDLDAE DVNDPFMVSEYVNDIFEYLHQLEVITLPKKEDLYQHRNIHQNRDILVNWLVKIHNKFG LLPETLYLAINIMDRFLGKELVQLDKLQLVGTSCLFIASKYEEVYSPSIKHFASETDG ACTEDEIKEGEKFILKTLKFNLNYPNPMNFLRRISKADDYDIQSRTLAKFLLEISLVD FRFIGILPSLCAAAAMFMSRKMLGKGKWDGNLIHYSGGYTKEELAPVCHMIMDYLVSP IVHDEFHRKYQSRRFMKASIISVQWALKVRKNGYDIMTLHE SPAR_P03680 MGGNQDHEQSIKRNTSIYNENERQFCNTNLKIPQDKKTISKNGG SSKQQVQDSKPRRALTDVPVNNNPLSQSKRIVTDSKAAKVRREENIRPIGSAVQKRQI YNDRTAAEKEGEEGNVALLANKKRRIDIEEVSEIVGWQDLDYVEKDDTAMVAEYSAEI FAFLYRRELETLPSHNYLLDKTSKYYLRPSMRAILVDWLVEVHEKFQCYPETLFLSIN LMDRFLAKNKVTMNKLQLLAVTSLFIAAKFEEVNLPKLAEYAYITDGAASKNDIKNAE MFMLTSLEFNIGWPNPLNFLRRISKADDYDPVNRNIGKFILEYAYCCHQFIHLPPSTI SAMAMYIARRITNRNRNELWNKTLQHYSGGIDPIQDEAFQSYCIDIVRDIASSKTHLD SLILKYKKPRYGSVYFQTLSWCTSEMHTNFQKLFNSRAK SPAR_P03690 MTYSTVSINTPPPYLTLACNEKLPTVMSIAGADSSGGAGIEADI KTITAHRCYAMTCITTLTAQTPAKVYGVQITPKEVVSQILEANLQDMKCNVIKTGMLT AAAIEVLHEKLLQLGENRPKLVVDPVLCATSGSSPTGKDVTSLITEKIAPFADILTPS IPDCFKLLGEDREVNKLQDVFEIAKDLSGITKCSNILVKGGHIPWDDGKGKYITDVLY LGAEQKFINFKGQFVNTTHTHGAGCTLASAIASNLARGYSLPQSIYGGIEYVKNTIAI GCDVTKKAVKGGPINHVYAVEIPLEKMLTDECFTASDTVPKKAIKGSLDRIPGGSFFN YLINHPKVKPHWDAYVNHEFVKKVADGTLERKKFRFFIEQDYLYLIDYVRVCCVAGSK SPGLKDLEKDLVVANCARDELNEHERRLREEFGVKDPDYFQKIERGPALRAYCRYLID ISRRGNWQEVVVAINPCLMGYVYAVDKVKDTVAVAEGTLYREWCDTCTSSFCYQAVLE GEKLMNRILETYPPEQLDSLVTIFARGCELEADFWTAALEYE SPAR_P03700 MSLREVTNYEVSFYIPLSYSNRTHKVCKLPNGILALLISDPTDT SSSCSLSVCTGSHNDPKDIPGLAHLCEHMILSAGSKKYPDPGLFHTLIAKNNGSQNAY TTGEQTTFYFELPNTQSNGEFAFESILDVFASFFKEPLFNPLLISKEIYAIQSEHEGN ISSTTKIFYHAARILANPNHPFSRFSTGNIHSLSSIPQLKKIKLKSSLNTYFGNNFFG ENITLCIRGPQSVNILTKLAISKFGDIKPKNGVKERNISIRTGSFQRSKLLKKTLDPS KNDYRNLETFKILNTVWEKKYKNTMCFQQVPECNSIFINSSKVPVMRFLFPVSDKYTR FTKKDIRIYSHLWCELFGDESPGSLSHYLVSKGWITGCFAFTSEFAIGDIGLILELEL TNSGWESIKRITTTILDRLLPSFYVKNIDYLIAFLKEQNLIDLVSFLYQSSEDLPMEE CSNLSGILQENLECLSATNIFKGFKSLIEIDDPNIEKYENTKANIQWWTGQAIKFQNF LKSFMNHDNMRLLLLGDVKSYKIFDKIENKSDMRTDFYYEFEYYTANVHLASNDKSYP NSSYEFNFPTSSLFLPDYVNDPLKLQQLFLECSLRSKFATLRPQIYSEPIKRMPQLVS KNLNYEMWILKEDPNFASDNKSVVSFEVLGLGIKPSPEATIHLEVLAQALFIITSSYL YPSLRIGYTYEIASSSKGNVTLRFTISGFPEGVFTIVKTFVDTLKQIATDPTFLSKDT LRKARILVRNKYENASSDNCVKLASIGLLIVLEEYVWTLEDRINALELTEMESFKEFC CLFWKNPKQLVLFMQGSLEYADVINHYLNNNFTQHLKIGSEGTKPTICLYPSPSTKDL DQGTNAFISYNGHQDDPNNSIVYFIQTARRDDIENLTLTFLTEYLFSLTLVPDLRNKK QIGYIVLGGLRVLTDTVGIHITVMSGSSAHNLETKINEYLSYLQLQVLNSFTEFDFRK TLLEPFLSLLKQNNINKFEGSAGPIDLLNEIVANVQNGDNYTLNNRQMKQHRKVRNKI AEKRLNFQEEYEMVDISFLQKLSLKKYLAFFESKISIYSTQRSKLSIMITSPMAEEEI ASRKMFLQLETFLKIKGFAIKNEDLKKIVENSKGNPMLLIKNLFTYFRKRNEVFKLGT VVLQEILKIIGMNFRQRYGSILGFSFHDGEGQDIEKFWNNDTNPIIPLQELPEPNVFR KFAF SPAR_P03710 MDGMSMASSMNMDGMSSTSKTVASSMASMSMDAMSSASKTIASS MASMSMDAMSSASKTIASSMSSMSMDAMSSVSKTIASTMASMSGMSMGSSSMSGMSMG SSSMSGMSMGSTSTSSASVQATSDSSMSSMSDMSGMSGMSGTSSSDNSSSSGMDMDMN MGMNYYLTPTYKNYPVLFHHLHANNSGKAFGIFLLFVVAAFVYKLLLFVSWCLEVHWF KKWDKKNKYCTLPSANAKDEVKRYDTENNFEIQGLPKLPNLLSDIFVPSLVDLFHDII RAFLVFTSTMIIYMLMLATMSFVLTYVFAVITGLALSEVFFNRCKIAMLKRWDIQREI QKTKNCPGFGNCQCGRHPEPTPDPIAVADTTSTSDQSTRLEKNNESKVAISGNNQKKT PTQEEGCNCATVSGKNQANIERDILESSKLQEQSGNMDQNLLPAEKFTHN SPAR_P03720 MLRYRSLPIKRVIPHPAPGIALISSRSMIMRSRVIPLLNPKFSR CNSSASENSKKELKTTNGSQESTTKVSPVKEKEKLPFKVKMQNALRHYWDGSKLLGLE IKISSKLLMKSAAGYPLTRRENLQLKRTTQDIVRLVPFAAFLIIPFAELLLPFALKLF PNLLPSTYESSKKRENKLENLRNTRKLMSEIIKNNKSHFKPNNISEEQKALFNRFYTH VRATGVPESRQQLIEVARLFTDDTVLDNVTRPYLIALAKYMNLQPFGTDVMLRYRIRY KMLELKKDDLSIYYEDAEQLSLSELKTACASRGIRSVDVEPSVLYSNLRLWLNMRLKD KIPSTLLIMATAYNYGNVQSKESLYDALCDVLIGIPDELYHEVKVNVVKEDEASAKQK LKQLREQEEIMKEEEQQEENAIVSVKDELSLDDQDKNIDAAAPDVKPQGTKFIEGTAA IKEK SPAR_P03730 MSVTNLKNVVHELDTGYGLMSLTWRAEPVPQSQAFEAMYRVVEL SRERGHKAFFNVGEFYGPDFINLSYVHDFFVKYPDLRKDVVISCKGGADNATLTPRGS HDDVVQSVKNSVSAIGGYIDIFEVARIDTSLCTKGEVYPYESFEALAEMISEGVIGGI SLSEVNEQQIRAIYKDWGKFLTCVEVELSLFSTDILQNGIARTCAELGLTIICYSPLG RGLLTGQLKSNADIPEGDFRKTLKRFSDESLKKNLTLVKFLQEEIVDKRPKNNSITLP QLALGWIKHWNKVPEYKGAKFIPIPSGSSTSKVNENFDEQKTKLTEQEFNAINKHLAT FHTVGDRYEMA SPAR_P03740 MLTLEAALTGAVASAMANIAVYPLDLSKTIIQSQVSSPSRELSN KDKVLLNKRYKNVIDCMISIFREKGFFGLYQGVTVTTVATFVQNFVYFFWYTFIRKSY MKHKLLGPQSLKSRGNSITPSTIEELALGVAAASISQLFTSPMAVVATRQQTVHSAES AKFTNVIKDIYRESNGDITAFWKGLRTGLALTINPSITYASFQRLKEVFFHDHSNDVG SLSAVQNFILGVLSKMISTLVTQPLIVAKTMLQSAGSRFTTFQEALLYLYKNEGLKSL WKGVLPQLTKGVIVQGLLFAFRGELTKSLKKLIFLYSSFFLKHNGQHKLTSI SPAR_P03750 MSQYIGKTISLISVTDNRYVGLLEDIDSEKGTVTLKEVRCFGTE GRKNWGPEEIYPNPAVYNSVKFNGSEVKDLSILDANINDIQPVVPQMMPPASQFPPQQ AQSSPPAQVQAQSQAPKPESNVPAAVAGYGVYTPTSTETATANMNDKSLSQDANVNSQ SRERGKNGENEQKYQRNKNRSTNRPPQSNRNFKVDIPNEDFDFQSNNAKFTKGDSNDV EKEQELESASNKQDESDEPFYNKKSSFFDTISTSTETNTNMRWQEEKMLNVDTFGQAS ARPRFHSRGRGRGRGNFRGNRGNRGRGGQRGNYQNRNNYQNNGAGFQDQNDSYSRSAN HFSQPPSNVEF SPAR_P03760 MTTIQPFEPIDLFKTNNVNLDILTENFPLEFYFEYMIIWPDLFF KSLEMTVDPAFKHNISGYMMAKTEGKTTEWHTHITAVTVAPRFRRISLASKLCNTLET MTDVMPHEVNFIDLFVKCNNQLAIKLYEKLGYSVYRRVVGYYNSTEDGYPDTLKKVDD NKDAFDMRKAMARDKNRSVRPDGRNHKCYPHDVRF SPAR_P03770 MGKGKPRGLNSARKLRVHRRNNRWAENNYKKRLLGTAFKSSPFG GSSHAKGIVLEKLGIESKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS SPAR_P03780 MSAAGQEQPRVMEATPEERSTSLQESTVDAGNEDIKQHQIMEPQ ENIEDASNVTKGSDNGGKDDEGVIDENSGVEAAERKRKHISTDLSDDDLEKEAHNEQS PQPMVENRISKGRDSSATPSSRQELEEKLDRILKKPKVRRTRRDEDDLEQYLDEKILR LKDEMNIAAQLDIDTLNKRIETGDTSLIAMQKVKLLPKVVSVLSKANLADTILDNNLL QSVRIWLEPLPDGSLPSFEIQKSLFAALNDLPVKTEHLKESGLGRVVIFYTKSKRVEA QLARLAEKLIAEWTRPIIGASDNYRDKRIMQLEFDSEKLRKKSVMDSAKNRKKKSKSG EDPTSRGSSVQTLYEQAAARRNRAAAPAQTTTDYKYAPVSNLSAVPTNARAVGVGSTL NNSEMYKRLTSRLSKKHK SPAR_P03790 MFGLPQQEVSEQEKRAHQEQTEKTLKQAAYVAAFLWVSPMIWHL VKKQWK SPAR_P03800 MGLPELNFLRKNCILVELKLFYQTLYPPKELYWSHRITSELSRF SDIKYARPTFAVNNGTFQRTRPKLDLILASSDIHKLATVLFSLRALVMNTKDEESAVT MTTGVRTNEGKSGDLEQKYSSLLNRWNGGIEANDSPFFQLQLNSNLLFSRRPIRYVST TEDKDVDISSEEFFTLQEEQHLRSEAVLVDEHSQTSVGIRDGQYGPNIIHFEPSVYYS YYSLPLSMKLWLNGLEDTETTMMEIREKSAENLDILLYGFKGFPNKYDKR SPAR_P03810 MVSVIDKLVFDFGGKTLVSLAPDNNTLCVANKNGLTKILKTNNP EEEPETLDSSKLVSSVRCYSSSYFLMTTMQGDALKYNIDSSQEELLARFALPLRDCCV IHSGKMAVFGGDDLELILLELDDDTHKKHAIKIDEQISQISYNSQMNILAVSMINGKV QIFSLTSTIPNKVYELNDYIVANSYDDTHRDKILSNMMDDIDKDGDNDLEGAVDSDEN NVTDPEFCVANRICTRVAWHPKGLHFALPCSDDTVKIFSIKGYSLQKTLSTNHSSKTH FIDLQFDPLRGTYIAAVDLNNKLTVWNWETSEIHYAKEFKRKITNFTWKIQTDSKTLD LVLGTWSGSITIVQNLAESFVSNIPDQSIAESSTKQGLFVDSDSDLENLEGNGDIDKS DKLFSDITQEANAEDVFTQTHDGPSASNEKRKYNFEDEEDFIDDDDGAGYISGKKPHN EHSYPRTHNTRSFPISSANTAKFRYMPFSPAGTPFGFTDRRYLTMNEVGYVSTVKNSE QYSITVSFFDVGRFREYHFEDLFGYDLCFLNEKGTLFGQSKTGQIQYRPHDSIHSNWT KIIPLQTGERITSVAATPVCVIVGSSLGYFRSFNQFGVPFTVEKTSPIVALTAQNYRV FSVHYSQFHGISYSLFELGPSNKRYYKREHPLPISLPNINSDMKKEANFDYYNFNPMG IKSLFFSSYGDPCIFGSDNTLLLLSKWRSPEESRWLPILDSNMEIWKMSGGKETTDIH VWPLALTYDTLSCILVKGKHIWPEFPLPLPSEMEIRMPVFVKSKLLEEMKAISNKKNE LGVDIEEDEGEDDKEIQVPISMAAEEEYLRSKVLSELLTDTLENDGEMYGNENEVLAA LNGAYDKALLRLFASACSDQNVEKALSLAHELKQDRALNAAVKISERAELSSLVKRIN DIREARYEQQLK SPAR_P03820 MSDVTQQKKRKRSREEVDRSRPTADEEITDPSSNEDEQSEVADE EDALESEEEFEGENPADKRRRLAKQYLENLKSEANDILTDDRSTEEKDSKHLKERTID EYNNFDAGDLDKDIIASRLKEDVAEQQGRVFRYFADKLLISEAKKSFTRVGENNLTCI SCFQPVLSKYTFEESSNGDKNKGRLFAYTVSKDLQLTKYDITNFSQRPKKLKYAKGGA KYIPTSKHEYENTTEGHYDEILTVAASPDGKYVVTGGKDRKLIVWSTESLSPVKVIPT KDRRGEVLSLAFRKNSDQLYASCADFKIRTYSINQFSQLEILYGHHDIVEDISTLAME RCVTVGARDRTAMLWKIPDETRLTFRGGDEPQKLLKRWMKENAKERENGEVEYPDESE APLFFCEGSIDVVSMVDDSHFITGSDNGNICLWSLAKKKPIFTERIAHGILPEPSLND ISGETDEELRKRQLQGKNLLQPFWITSLYAIPYSNVFISGSWSGSLKVWKIGDNLRSF ELLGELFGAKGVVTKIQAVESGKHGKEKFRILASVAKEHRLGRWIANVPGARNGIYSA VIDQTGF SPAR_P03830 MTRGDGHLWTETYDSSTVAFMILGAALVFFMVPGLGFLYSGLAR RKSALALIWVVIMATLVGMLQWYFWGYSLAFSKTATNNKFIGNLDSFGFRNVYGKISD DSTYPELIYAIFQMMFMCVALSIIAGATAERGKLFPHMVFLFVFATLVYCPITYWIWA PGGWAFQWGVLDWAGGGNIEILSAVAGFVYSYFLGRRKENLLINFRPHNVSMVTLGTC ILWFGWLLFNAASSLSPNMRSVYAFMNTCLSATTGGMTWCLLDYRSEKKWSTVGLCSG IICGLVAATPSSGCITLYGSLIQGIIAGVVCNFATKIKYYLKVDDSLDLLAEHGIAGV VGLIFNALFAADWVIGMDGTTKHKGGWLTHNWKQMYIQIAYIGASAGYCAVVTAIICF VLGKIPGVQLRVTEEAEALGLDEDQIGEFAYDYVEVRRDYYQWGVDTDALHTTCNGAN SASETNPTEDSQNSSLSSATVSGQNEKTSNHNLHHAKEA SPAR_P03840 MEKYTNWRDNGTGIAPFLPNTIRKPSRVMTACLLSILGVKTIIM LPLILIYLLTGQNNLLGLILKFLFNWKEEITVQGIKKRDMKKSKHYPQKGKLYICNCT SPLDAFSVVLLAQGPVSLLVPSNDIVYKVSITEFIKFILSGGLDIKLYGYEVAELSQL GNTVNFMFAEGTSCNGKSVLPFNITGRKLEQFIDPSVATMNPIMVKSKKFELQTIQIK TNKTAITTLPISNMEYLSRFLSKGVHVKCKINEPQVLSDNLEELRVALNGGDKYKLVS RKLDVESKRNFVKEYTSDQRKKRN SPAR_P03850 MSFRDVLERGDEFLEAYPRRNPLWRFLSYSTSLLTFGVSKLLLF TCYNVKLNGCEKLEAALECSKTENRGLMTVMNHMSMVDDPLVWATLPYKLFTSLDNIR WSLGAHNICFQNKFLANFFSLGQVLSTERFGVGPFQGSIDASIRLLSPDDTLDLEWTP HSEGPRSLKKIRETYSPPIIRSKPSWVHVYPEGFVLQLYPPFENSMRYFKWGITRMIL EATKPPIIVPIFATGFEKIASEAATDSMFRQILPRNFGSEVNVTIGDPLNDDLIGRYR KEWKHLVEKYYDPKNPNDLSDELKYGEEAQNLRSRLAAELRSHVAEIRNEVRKLPRED PRFKSPSWWKRFNTTEGKSDPDVKVIGENWAIRRMQKFLPSEPKRKDD SPAR_P03860 MESLPRTPTKNRPTQHPSTPSPKNDVMALKGYKRRNTTTPPPKH TLLKPQQTDVHRHSLASQSRISISPNRELLRNYKGSANLVYGNQKSNSGVTSFYKENV NELNRTQAILFEKKATLDLLKDELTETKEKINAVNLKFETLREEKIKIEQQLNLKNNE LISIKEEFLSKKQFMNEGHEIHLKQLAASNKKDLKQMENEYKTKIEKLKFMKIKQFEN ERASLLDKIEEVRNKITMNPSTLQEMLSDVEQKQRLEKEEWLTEYQTQWKNNMELNNK HIQDIENMKKEIENVLKPKLTEKKKLLTEKRNAYEAIKVKVKEKEEETTKLKDEVASK EKTNLKTLERIKELEEYIKDTELGMKELNEILIKEETVRRTLHNELQELRGNIRVYCR IRPALETLEDSDTSLINVNEFDDNRGIQSMEVTKIQNTAQVHEFKFDKIFDQQDTNAD VFKEVGQLVQSSLDGYNVCIFAYGQTGSGKTFTMLNPRDGIIPSTISHIFNWINKLKT KGWDYKVDCEFIEIYNENIIDLLRNDSNNKEDTNTGLKHEIRHDQETKTTMITNVTSC KLESEEMVDMILKRANKLRSTASTASNEHSSRSHSIFIIHLSGSNTKTGAQSYGTLNL VDLAGSERINISQVVGDRLRETQNINKSLSCLGDVIHALGQPDSTKRHIPFRNSKLTY LLQYSLTGDSKTLMFVNISPSSSHINETLNSLRFASKVNSTRMVCRK SPAR_P03870 MGSKHTVDTKNKKRTKKDADVGREKKGGSSLELNNNLEKESVSA EEEVEQDEQNSSSDESSEIIENEQSDTEGEEEEDDDFPRKKKSKNSKHDDGSAGFSTA VNAILSSHLKAYDRKDPIMARNKKVLKQSESEKLEYKARKALLAEKKKLLGKARKRDI IPISSGEDRSGNIRKVLERETVLRKIAQKGAVKLFNAILATQVKTEKEVTENLSGIKN KEEKKELITEVSKEKFLDLVKAAAGSDNE SPAR_P03880 MALPISEIKDIAKRLTAASDRKQYNSIIKLVNELVIPDNVTQLE EEETERNLRFLVMSLFQIFRKLFSRGDLTVPSSKKATLEKEQFVNWCRKVYEAFKTKL LAIISDIPFETSLGLDSLDVYLQLAELESTHFASKKGAPFFPNKTFRKLIIALWSSNM GEIEDVKNSGASENVIIIEFTEKYYTKFADIQYYFQSEFNQLLDDPAYQDLLLKNVGK WLALANHDKHCSNSDADLEIFVPNPPQAIENESKFKSNFEKNWLSLLNGQLSLQQYKS ILLILHKRIIPHFHTPTKLMDFLTDSYNLQSSNNNAGVIPILALNGLFELMKRFNLEY PNFYMKLYQIISPDLMHVKYRARFFRLMDVFLSSTHLSAHLVASFIKKLARLTLESPP SAIVTVIPFIYNLIRKHPNCMIMLHNPAFISNPFQTSDQVAHLKILKENYVDPFDVHE SDPESTHALDSSLWELASLMEHYHPNVATLAKIFAQPFKKLSYNMEDFLDWNYDSLLS AESSRKLKTLPTLEFETFTNVFDNEEGENGSSSQSKVYLSGVAW SPAR_P03890 MCGIFAAFKHEDVHRYKPKALQLSKRIRHRGPDWSGNAIKNSTI FVHERLAIVGVESGAQPITSSDGDYMLCVNGEIYNHIQLREECADYQFETLSDCEPII PVYLKHDIDAPKYLDGMFAWTLYDAKQDRIVAARDPIGITTLYMGRSSASPKTVYFAS ELKCLTDDCDTITAFPPGHVYDSKTDKITRYFTPDWLDEKRIPSTPIDYMAIRHSLEK AVRKRLMAEVPYGVLLSGGLDSSLIASIAARETVKATNDVEPSTYDSKARHLAGVDDD GKLHTAGWTSLHSFAIGLPNAPDLQAARKVAKFIGSIHHEHTFTLQEGLDALDDVIYH LETYDVTTIRASTPMFLLSRKIKAQGVKMVLSGEGSDEIFGGYLYFAQAPSAAEFHTE SVQRVKNLHLADCLRANKSTMAWGLEARVPFLDREFLQLCMNIDPNEKMIKPKEGRIE KYILRKAFDTTGEPDAKPYLPEEILWRQKEQFSDGVGYSWIDGLKDTAEAVISDEMFA SPKAEWGSDIPTTKEAFWYRLKFDALFPQKTVADTVMRWIPKADWGCAEDPSGRYAQI HDKHIE SPAR_P03900 MSTTFRKIKLIFKKNDSQYLQNYRAEMKSRNKNTIITRHDLLIA HEMKQRASLDRTNSISNLQSQGKRSSDSKESRKL SPAR_P03910 MTVREYTKSKLPCSILNITPAVTKSGEDAPLLVWIPGNPGLLYY YQEMLQHLHMKHPDWEILGISHAGMTLNASSNTPIFSLQDQVDHQVEVINNFSHENRK IIIMGHSVGAYILQKVCLSNKLVGSVQKVGLVTPTVMDIHTSEMGIKMTAALHYIPPL PQVVSLFSYIFFYWILSEGFSRFIIDKFMGCGSTGYQAVLSTRIFLTHRQFVRQSLGL ASQEMEEITTNWEFQDKFINYCEKNGISIWFLFSSDDHWVSDNTRSHLSNYYKDKVKQ ERLKIDVTDKMPHSFVVKHTEYAINAFF SPAR_P03920 MSGYFSGFSLNKITDSIATAAHKTQDTLNSALANANVNLNDPQT RLSIKSRTRFVQESLGTVSDISKLPPQYKFLEKKSDSLEKVCKRILLVSKTFEVEGYD YPPNLTESISDWWSLNKDGWFGSKKSESTTKKKGSNHDDTFLPRSFAQAISKAAVDCE CEFQNLEQNEKAELKKKKESNKTTQTTEAQDEDNDEEDEEDDEDLSSLIKVFDSWSTC YKNIDEGKAEMDSMMVKEFNKKLETLINQDFKKVHELRRKVEESRLKFDTMRYEVKAK EAELEAQKSGAAEAAHSKDVGAKDVSANTTTSFDETPSTGDEKPTSKDTEDGPKEEAN EHTADGATDTKENSKSNQNDESLIEESEENKLLEKLEDEFVSNTTVAVETMEEITDSS EILGLIKLFQNFQLVYFRQCVQEVEASLKVLSGLEN SPAR_P03930 MLALADNILRIINFLFLVISIGLISSLLNTQDRHSSRVNYCMFA CAYGIFTDSLYGVFANFIEPLAWPLVLFTLDFLNFVFTFTAGTVLAVGIRAHSCNNSS YVDSNKITQGSGTRCRQAQAAVAFLYFSCAIFLAKTLMSVFNMISNGAFGSGSFSKRR RTGQVGVPTISQV SPAR_P03940 MILLKRTKIRGLSSVSLQRRTHSRLVNPIRQQHQQIAKQRSSKI LKNVHFYDFRSLPKVPTTQYLEARELTRDILYSGYRPVMYPVKENPLFRDKKKKSLQM LLTMDETANAEAKTIDKSKHKNVLFGERGTGGIMSGGVNGTWKYNPTVPNELLPFNWW STSSMGMEYFPEWKNVPSYMMRKLKPFDKALQVRLTHKSKKKVD SPAR_P03950 MHGEWQEFKTPAGKKYYYNKKTKQSQWEKPNLKKNSNLESNAKA SQIERKPTFTLELINGWYLIICNDGTKLYFNNDSKVFKNDIGQESDGNCGALIESLDK EKLVLLIGVARGYAMREEDIDNIFESLNEEIDLFKRNQDGVERKSEISEEAGDVQHPL QESHTGLVSGYGSSSEEEDEEQIPNQDASIIDDLNHIDTDDIDERNLFFQLFHRYKLD KFSTWSLQSKKIENDPDFYKIRDDAVREGLFEEWCGEHSSNASAKESDSEDDSEDDSD VLEPTKYHYLAQIVANAGKIAPDTITQDIRKQQKALYKAYKIKEYVPSKREQDKFVSQ LLFYYKTFNLQQRREIFWDCLRDHERDFTGTIELLRQDKELISRWLALLNAPADSSSV EDVLLTIEHRCCVGPIVLTEPRYYVVGIMDKTTVWVRWLAAEGGPPGRFTPAGAGNSP INPE SPAR_P03960 MRSFVTNNDIPVGYVTPKFPSLYWPINNSKYNTAFLYYISDIWK FSLYWTLIFNGVFYVAAGSYASLTHRKKAGSIWIFVMYVIYGGVQGLTTGTVMGFLIG AIYRSGLFSMSTWVPLCCAVVQILFDVVLGYSMVGSVM SPAR_P03970 MSASLINRSLTNIRTELDFLKESNVITNDVFDQINKSLPVKWDP SSAPRNASTTSLEYVEALYQFDPQQDGDLGLKPGDKVQLLEKLSPEWYKGSCNGRTGI FPANYVKAAFSGSNGPSSLPPPPQYKAQELQQVPTQNSATSSYQQQPFPPPSTYYYQQ PQQQPQQAPPPQQQQQSSHSHLKSFGSKLGNAAIFGAGASIGSDIVNNIF SPAR_P03980 MIINRRILKSFEEISHSLEESLREVALDSQQRLIQDVREENEEL GRLQDQLQEIRSIVEKVCISIKTDNIDSYCSVPFDLLYNICKNIADPSSFEDGDLQYL VSQAIFEYIILLCYYSVTNECVQGLPAVYEAEQYYKTISDSIFKSFLYCIQTSASTVR LLSQTIWKDINKKNLSHQKWSLKALSVDLLEKVRPRINKFMVVRNFRFVGLPKKPIEI ASLVSDIPRGIVHERLDMITQSSKHYTIKLGRLIADFDQPPEENGTSSEVHLPNSERR LQSLQDFFGLAVSDSNLIDVVQCSAKFHKVHPLKRFTKPSILTRYWPPILLCLLYGPS SIMTLWDSRYLIQDFIRTNVVDFAKGLVLNWLWTPLKQVWSTVKHDEGSAISVTSQET LNSDMDSLTRMIVSFVVDNSDSTSNRPIDPILLSTKVEHGDLTEFMEIYETQLHHPIK NIATGGLVRSLLIQLQKTKVDGSMALNGIDKMLKSQQLVFGVVALSPALVILYSSIVA LKRFVRLGNVWSNEKRYRDQISISLNNVERVLNYSNQGADADEEHLNQGLLVIEVSNL YKLGSFLIPHSRRKEWFRDVEELVDTNLDSRAHINVVNRICHVYGRFLIH SPAR_P03990 MNRQESINSFNSDETSSLSDVESQQPQQYIPSENGSKSNMAPNQ LKLTRTETVKSLQDMGVSSKAPVPDVNAPQSSKNKIFPEEYTLETPTGLVPVATLHSI GRTSTAISRTRTRQIDGASSPSSNEDALESDNNEKGKEGDSSGANDEAPDLDPEIEFV TFVTGDPENPHNWPGWIRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAI LSCSLMVIGFSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGCLLACRF LCGVWSSSGLCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRM DLIFWVNMAFAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMS EMMRACLLRPLYFAVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGL MFIPIVIGALWALATTFYCENKYLKIVKERKPTPEDRLLGAKIGAPFAAIALWILGAT AYKHIIWVGPASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAF PLFTIQMYHKLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSIE SPAR_P04000 MHCVLARIFLWFFIAEVSVIQALVLPPLEDYDPLEPLMKRDMSM SQQNKLQFQGQLPPILNPADVTDDQRSLHTPGKIPTYVIDHCPLVHLYSEEKYWPSDI AEYVRNFRIKDRDGNSMPTHKNLTLHDLKADYYADLFGNQTETHIPSSEVFLTSLDDF DKDPKWLLGHPPEYGTGYNSKAPAVLIVVDKGNGWVDAFWFFFYPFNHGPFIMGQGPW GNHVGDWEHSLVRFYNGIPKYFWMSAHSSGTGYRYEAVEKFKKLRKRRDNDDGEDTIL ERPLIFSARGTHANYASSGQHAHDIPFFFMPLSDFTDRGPLWDPSLNFYSYTFDGKTV TPSTEREESLGLDWLHFQGGWGDQQLPARDPRQKWCLAQWKYIGGPRGPLFKKLDRLN LCGGVKKWNIWNGGCPARRLIKKAEGLDAEATDLMGDNCGILLYKIRPKWLRGILRFL MWRGILCSLMEFFTN SPAR_P04010 MAAACICQPNLLEINVSDGPLDMIRKKRKIQQPQPGPFLRENKR QPQFSVRRVNHCYIISLYKEITCQLITEIIKKKLSRIWGIVYIPSYELISDNHGNQHY VEQGVDEDRLSSEIMEKLNPNNIDLEATQIVFDDYHLESSRLTNGIIISSSSDRFLKE FSFNNTIDGKFKIRGTSMSADSFGKIYGVMWIEVPFNGNSSPNGSSISGFSTSQSQVE SNDIEQEIRAFNISRSSQESIIKKEVSKRLKGRGEICDHENYNKATN SPAR_P04020 MPLRNLTETHNFSSTNLDTDGTGDDRDRSALSPSPSFEQQNDNN TDNNAGLTNPFMGSDEEFNERDGESLSSSVHYQPQGSDSSLLHDNSRLDLSQNNGVSD YKGYYSKNNSRVVSTANDNTFLQPPHRAIASSPSLNSNLSKNDILSPPEFDRYPLVGS RVTSMTQLNHHGRSPTSSPGNESSASFSSNPFLGEQDFSPFGGYPASSFPLMIDEKEE DDYLHNPDPEEEARLDRRRFIDDFKYMDKRSASGLAGVLLLFLAAIFIFIVLPALTFT GAIDHESTVEEVTYLTLYQYPQLSAIRTSLVDPDTPDTAKTREAMDGSKWELVFSDEF NAEGRTFYDGDDPYWTAPDVHYDATKDLEWYSPDASTTVNGTLQLRMDAFKNHGLYYR SGMLQSWNKVCFTQGALEISANLPNYGRVSGLWPGLWTMGNLGRPGYLASTQGVWPYS YESCDAGITPNQSSPDGISYLPGQKLSICTCDGEDHPNQGVGRGAPEIDVLEGETDTK IGVGVASQSLQIAPFDIWYMPDYDFIEVYNFTTTTMNTYAGGPFQQAVSAVSTLNVTW YEFGEYGGYFQKYAIEYLNDDDDGYIRWFVGDTPTYTIHAKALHPDGNIGWRRISKEP MSVILNLGISNNWAYIDWQYIFFPVVMSIDYVRIYQPSNAVSVTCDPSDYPTYDYIQS HLNAFQNANLTTWEDAGYTFPKNILTGKCSSSKFKLSS SPAR_P04030 MPPASTSTTNDMITEEPASPHQIPRLTRRLTGFLPQEIKSIDTM IPLKSRSLWNKHQVKKFDKAEDFQDRFIDHVETTLARSLYNCDDMAAYEAASMSIRDN LVIDWNKTQQKFTTRDPKRVYYLSLEFLMGRALDNALINMKIEDPEDPAASKKEPREM IKGALDDLGFKLEDVLDQEPDAGLGNGGLGRLAACFVDSMATEGIPAWGYGLRYEYGI FAQKIIDGYQVETPDYWLNSGNPWEIERNEVQIPVTFYGYVDRPEGGKTTLSASQWIG GERVLAVAYDFPVPGFKTSNVNNLRLWQARPTTEFDFAKFNNGDYKNSVAQQQRAESI TAVLYPNDNFAQGKELRLKQQYFWCAASLHDILRRFKKSKRPWTEFPDQVAIQLNDTH PTLAIVELQRVLVDLEKLDWHEAWDIVTKTFAYTNHTVMQEALEKWPVGLFGHLLPRH LEIIYDINWFFLQDVAKKFPKDVDLLSRISIIEENSPERQIRMAFLAIVGSHKVNGVA ELHSELIKTTIFKDFVKFYGPSKFVNVTNGITPRRWLKQANPFLAKLISETLNDPAEE YLLDMAKLTQLGKYVEDKEFLKKWNQVKLNNKIRLVDLIKKENDGVDIINREYLDDTL FDIQVKRIHEYKRQQLNVFGIIYRYLAMKNMLKNGASIEEVAKKYPRKVSIFGGKSAP GYYMAKLIIKLVNSVADIVNNDESIEHLLKVVFVADYNVSKAEIIIPASDLSEHISTA GTEASGTSNMKFVMNGGLIIGTVDGANVEITREIGEDNVFLFGNLSENVEELRYNHQY HPQDLPSGLDSVLSFIESGQFSPENPNEFKPLVDSIKYHGDYYLVSDDFESYLATHEL VDQEFHNQRSEWLKKSILSVANVGFFSSDRCIEEYSDTIWNVEPVT SPAR_P04040 MSDNVPLAVPPKTEFNKYKIGKVKSTPAIQRDAKTNLTFIKLRK RSSEKVYGCTVFQNHYREDEKLGQGTFGEVYKGIHLETQRQVAMKKIIVSVEKDLFPI TAQREITILKRLNHKNIIKLIEMVYDHSPDITNAASSNLHKSFYMILPYMVADLSGVL HNPRINLETCDIKNMMLQILEGLNYIHCAKFMHRDIKTANILIDHNGVLKLADFGLAR LYYGCPPNLKYPGGAGSGAKYTSVVVTRWYRAPELVLGDKQYTTAVDIWGVGCVFAEF FEKKPILQGKTDIDQGHAIFKLLGTPAEEDWVMARYLPGAELTTTNYKPTLKERFGKY LSETGLDFLRHLLALDPYKRLTAMSAKHHPWFKEDPLPSEKITLPTEESHEADIKRYK EEMHQSLSQRVPTAPRGHIVEKDESPAVKNIGAIPKGPKKDDANFLAPTKNVVPKPPP PKIRDLHQTPRPYHVNNEYAKNAIAPPAAPAGVNRYGFNNSSRNNRFNGSSTAPNNSR NPVNRFHPETNGSSKYTKAPLPLGPQSRYQGNSSDSRYKSSPNDSRYHHPRYLNKPET SFNRPPQKYSRQEPNAQINRNYNPSNGPRNAAGDYHQGSRPAHPQFPVSSSQGQHQLV GKPIEKKNGSFKDERAKPDEPKEFQNSDIADLY SPAR_P04050 MTISEADLSPQVNLLPIKRPSSEGVEDSATILKKCTIDDEKRKD SDPDFSSLQRRLLQQLNGTLPTDEKIIFTYLQDCQQEIDRIIKQSVIQKESHSVILVG PRQSYKTYLLDYELSLLQQSYNEQFITIRLNGFIHSEQTAINGIATQLEQQLQKIHGS EEKIDDTSLEAISSGSLTEVFEKILLLLDSTTKTRNEDGGELDRGSITKITVVFIFDE IDAFAGPVRQTLLYNLFDMVEHARVPVCIFGCTTKLNILEYLEKRVKSRFSQRVIHMP QIQNLDSMIDAVRNLLTVRSEVSPWASQWNDTLEKELSDPRSNLNRHIRMNFETFRAL PTLKNSIIPLVATSKNFGSLCSAIKSCSFFDIYNKNQLSNNLTGRLQSLSDLELAILI SAARVALKAKDGSFNFNLAYAEYEKMIKAINSRIPTVAPTTNLGTGQSTFSIDNTIKL WLKKDVKNVWENLVQLDFFTEKSAVGIRDNATAAFYASNYQFQGTMIPFDLRSYQMQI ILQELRRIIPTSNMYYSWTQL SPAR_P04060 MAPPKKTVKKMDLTSFLNDDTFGSSWAEEDVDLNKITIPIETAN ANTIPLSELAHAKNNSNNMRSGGLGGSFGGRSRLDPALGGASSDRREEYPVPDAPPYR AVINNIPWDITPEGVQAWVEDGLVKPEAVEEVVLPKNLRDPTRLKGNAFVTLKEKADL VAVLKFNGTKLNERTVYVSVAAPRRMGGADVDWSSARGSNFQGDGREDAPDLDWGAAR GSNFKGPRREREEVDIDWTAARGSNFQNSSRPPRREREEPDIDWTAARGSNFQNSSRP PRREREEPDIDWSAARGSNFQNSSRPPRREREEPDIDWSAARGSNFQNSSRPPRRERE KEEPALDWGAARGAQFGKPQQVKNSHKDRSLSNKKTTEEQPKIQKSVYDVLRTEDDDE DEEAEKQNGDAKENKADAAVGKLQEKTAQLTVEDGDDWETVGKK SPAR_P04070 MLGLRTHGLDRYEHYVRHPSDFGKLELQDWLNHKSFRVSPNLLI DSNTTREWNEPELFYQNVETPETWIRPCREPKLEPSMMMLRYHDSNIGQMPQFCYPIT SPVNSKLVLKYILQERADLSNDFPQKYNTLMSSLFEVDKNPETLDDSDIEALDDIGMC SDSDDAKEPKIELQLLEEIQQKHFSLIVSNNGIFQAGRTSITYIRYDISDSIAIKPNN IATLILLTQPSGHLLSLLPLDDGKETYLLQYWNLGQKGQWNIIKHQNEKQFVLIHKEL GICKFFEFHLPFTFQLVNNLTLTDSMIMNGSFFPTNYSDLDPYFIIFITAMRYDRIVY FVIEWNNNEIKKKEVYQLTVFDGEKTNMTIPIGLNACLVETPLKFSLVSANQIMSGET EFHSFQLKALKGIKSFFPAPLLLTKLQELDPHIFGKFQYCTVISSSTGNICFCVTERS TITNGNLKIYELTRFKGLKSISPLPSNSINLDSKSSSYALIVISFSRTLELTLSLEDL KCLDTKGVIKPLKNITFKHTVDSSTEENSQILAFTSSKIYNTHTGSNINDTKNSQVWL TSPNAITQPCIDYKLRKTHQVIHLKQFQIFRHLRIWKCKNLDIALLHRLGIKQSKTES SLIFATDAVSNNRMFLLDLTMTTTIDNDDSVQGLINIEDLLCDTENETILLNFTKKSL IQVTRDTIYIDPIENDKKMLKISPGWEFENIAYNDGILIIWNTKLGHISFIKNIDAVD EPNVPVSHLTSSRGMCMFFKQLGTITSIDFEIKESTDDRTKYDIWILLPNRVIRTPFS SWINDSLDFSDEYDLNVQQALINGPYFCCLDYESFFEVCTYQNNCFKKGSRCTSRVNF QGKDIKLRSFGKNQCLAFSAFEIFVINLTPIHQSKELDFYKLKLPHLGNFNSIVEVCP DVDNNRLFVLYSDGLRVLELSYLTSNNGNFLLKSTRSKNKKFLYLDKINRMLVLNQDL REWECIRLSDGKAVSLDSQLLKDDSEEILEIKEIPIMTEENPLEKKTVLLISFTKSLK LVLLTAAKNKISNEIIDSYKFENSRLLDHLVVTPQGEIFILNYKVMGTDNEMSFHKLK VTKHCSDNKEGNNTNLQITLENRFTFKSWSTVKTFNVIGDNIIATTNLGQKLYLIKDF SLSSDESTRVYPLELYPDSKVQKIIPLNECCFVVAAHCGNRNDLDSRLIFYSLPTIKV GLNNETISLPDEYGNGRVDDIFEVDFPEGFQFGTMALYDVLHGEKHANRFSNGIGSDN DEAEAALRKRKNLLLFWRNHSTTPKPPLRRATTMVYEDHVSSRYFEDISSILGSTAMR TKRLSPYNAIALDKPIQDISYDPAVHTLYVLMTDQTIHKFGKDRLPYEDEYEPRWNSG YLVSRRSIIKSDLICEVGLWNLSDDIKNTI SPAR_P04080 MSQQVGNSIRRKLVIVGDGACGKTCLLIVFSKGQFPEVYVPTVF ENYVADVEVDGRRVELALWDTAGQEDYDRLRPLSYPDSNVVLICFSIDLPDSLENVQE KWIAEVLHFCQGVPIILVGCKVDLRNDPQTIEQLRQEGQQPVTSQEGQSVADQIGATG YYECSAKTGYGVREVFEAATRASLMGKSKTNGKAKKNTTEKKKKKCVLL SPAR_P04090 MGNFRFPIKTKLPPGFINARILRDNFKRQQFKENEVLVKSLKFI ARNLNLPTKLRLEAQLKLNTLPNYMRSTQIKNRCVDSGHARFVLSDFRLCRYQFRENA LNGNLPGVKKGIW SPAR_P04100 MKTYHLNNDIIVTQEQLDHWNEQLIKLETPQEIIAWSIVTFPHL FQTTAFGLTGLVTIDMLSKLSEKYHMPELLFIDTLHHFPQTLTLKDKIEKTYYQPKNQ TIHVYKPDGCESEADFASKYGDFLWEKDDDKYDYLAKVEPAHRAYKELRISAVFTGRR KSQGSARSQLSIIEIDELNGILKINPLINWTFGQVKQYIDANNVPYNELLDLGYRSIG DYHSTQPVKEGEDERAGRWKGKTKTECGIHEASRFAQFLKQDA SPAR_P04110 MNGNSNNKEQLQQELATTQDQVASIIESFVELGVSIYDFPGTPE ATKGMITNLQRNVDRLYKLNVRSNDPQSSLSKVDIPLEVVQYIEDGRNPDIYTREFVE AIRRSNQYQRGKMHGLKQLRDSLADKIVDEFPELKEPVEDIIKRTSPVNNVSNTH SPAR_P04120 MAKTKKKTDAVDSTSLPILELLSLKAPIFQSLSHPELPIIITGF GTGHIVSHRYDPTKLQSRLHRRRKIETATASKDARNVSKIKNGTCPWTRLDIDLETGD LKLIDAEKQDQQRQTENNEDLGVETLWKTKRHKGSVRAMCFDAKGDNIFSVGSDNILK KANTMTGKVVKKVNLSSLFNSEKNKNDKFTKLCASQTHPLILIGDESGNIHVINSENL ALTNSIRSIHFGDSINDILHFDKRSAYKFISLGQTTLAYFDVRDKDAKPNVAENEDGK ILVSDDQEDEVLCGCFVDPEVADTLLCGMGEGIVTVWKPNKNDLEDQMSRIKISKDES IDCIVPTLQDDNCVWCGCSNGNIYRVNAKLGKVIEVRNHSELDEVNFVDLDFEYRVVS GGLENIKIWELSNDDVEKNESLESDSEDSFSRSDEDISDDSSSDECETTLVGLSKEVL LNELDKDLNEDHNEEKESNSKSIKKRKIMKENSKKKDLYEHGIKKFDDL SPAR_P04130 MRPVVSTGKAWCCTVLSAFGVVILSVIAHLFNTSHESFVGSIND PEDGPAVAHTVYLAALVYLVFFVFCGFQVYLARRKPSIELR SPAR_P04140 MTRYERDPELVNFLSKVEDLDSKRYNNVSTSKPTREPLSPVKSH NPRECREADIITGENIEDRDNLAYRSAYNYEMTFSPKKTHYSLNELDLERITPKPDSK NRASRNEKKFVISEEDYLLLQKLKASQSCSDFNSNQNLPSFEEGPRMPSRGRPRQREK EIISIRYDFELPERADTPSTSSSPPPPLPTRRNHARINEDAAEEKPLLPTRPTKAGVT EPPPSRSKKPEVVVPERVKPAPPVPRSTKPASFLSSLEDNKLTKAKSHNSEVETPKKT IKNSHIDYLDSIQLKPTTLSPTIKNKSKPAPPSPPAKRIPRSESFIKSMLNSNLTTTS KPSLPEKPQKLWNANLAAQKSKPSIPPKRVELKVVLPELRPVETSPTKQKFEHSIDLP KLRSSSRNIKKKEKDNIPEGIKGIQNLKKTKQEKPIIPQKKPFLTDSSKDTTIKNDND VNKSDNELEALSLRNNLKKRPPKAPQRKISMPEALRKVELMKKSKTEPVLEPSNELSI NAKLDAIIASRNLRASNTLPEFNNINTNTATFDESAISRVGTTKETKPLVHPNKNRAR GPRRKPPTRL SPAR_P04150 MAWTSTLPAHLLNLIKNSKYVHVATCSKDCIPSVALMNYIYVPG EKLFGQTDNKNDYIIFVTPQDTQKFYNIKENPKVALLFHDWIIANNLSVGKESISGTP TPTPASHDEQRQSKLLNLLQELNQAELNQMSASIGGETETVNPESEESNYYKDLILKA NPDAKAFIFEENTAVVKVRIDNARVSNNENRTMFLSKGKS SPAR_P04160 MSTGDFLSKGIELVQKAIDLDTATQYEEAYTAYYNGLDYLMLAL KYEKNPKSKDLIRAKFTEYLNRAEQLKKHLENEEANSAKKSPSAGSGSTGNNKKISQE EGEDNGGEDNKKLRGALSSAILSEKPNVKWEDVAGLEGAKEALKEAVILPVKFPHLFK GNRKPTSGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESEKLVKQLF AMARENKPSIIFIDEVDALTGTRGEGESEASRRIKTELLVQMNGVGNDSQGVLVLGAT NIPWQLDSAIRRRFERRIYIPLPDLAARTTMFEINVGDTPCVLTKEDYRTLGAMTEGY SGSDIAVVVKDALMQPIRKIQSATHFKDVSTEDDDTRKLTPCSPGDDGAIEMSWTDIE ADELKEPDLTIKDFLKAIKSTRPTVNEDDLLKQEQFTRDFGQEGN SPAR_P04170 MGIQEKGLGTRKERKLAVVPRERSHVRYASQRTRSKNYKNISKE RAQQHAFGFNIAKIIAKIQAFVWRSPAEEKEESNIPLSKASQDCVPLQWQAKFAQLQQ QLHSTQKELQFVKEKCHLLQSVLDDANIDQRYLESRRDMKNIERDNLKPTENLPPSPV RAVNPLVTSSPIHMSPLQSRQRPLSSLQPPKGPNFYAKYPKLPQTNILRESPTEDSLS HAE SPAR_P04180 MYGSGNVLPVKIQPPLLRPLAYRVLSRKYGLSIKSDGLSALAEF VGTNIGANWRQGSATVKFLEQFAVVWKQQERGLFIDQNGVKEVIQEMKEREKVEWSHE HSTQHEENILRRTDDDGNNSDDEMPMAADSSLQNVSLSSPIPQPTNKNEYEQPFKPES SKILDWRDYFKVINASQQQRFSYNPHKMQFIFVPNKKQNELGSVAGFLPDMEDKVQMF LTRYYLTNDRVMRNENFQNSDMFNPLSSMVSLQNELSNTNQHQQQSNSMSITPIKNLL GRDAQNFLLLGLLNKNFKGNWSLEDPSGSVEIDISQTIPTQGHYYAPGFMVLVEGIYY SVGNKFHVTSMTLPPGERREVTLETIGNLDLLGIHGISNNNFIARLDKDLKIRLHLLE KELIDHKFVILGANLFLDDLKIMTALSKILQKLNDDPPTLLIWQGSFTSVPVFASMSS RNISSSTQYKNNFDALATLLSRFDNLTENTTMIFIPGPNDLWGSMVSLGASGTLPQEP IPSAFTKKINRVCKNIVWSSNPTRIAYLSQEIVIFRDDLSGRFKRHRLEFPFNESEDV NTDNDEMVTKDTDIVPIDELVKEPDQLPQKVQETRKLVKTILDQGHLSPFLDSLRPIS WDLDHTLTLCPIPSTMILCDTTSAQFDLTYNGCKVINPGSFIHNRRARYMEYVPSSKR TIQEEIYI SPAR_P04190 MSGPLTLFKEKHIRYIQSLDTRKHNFEYWLTEHLRLNGIYWGLT ALCVLDSPETFAKEEVIEFVLSCWDTKCGAFAPFPRHDAHLLTTLSAVQILATYDALD ILGEDRKIRLISFIRGNQLEDGSFQGDRFGEVDTRFVYTALSALSILGELTPEVVDPA VEFVLKCYNFDGGFGLCPNAESHAAQAFTCLGALAIANKLDVLSNDQLEEIGWWLCER QLPEGGLNGRPSKLPDVCYSWWVLSSLAIIGRLDWINYEKLTEFILECQDEKKGGISD RPENEVDVFHTVFGVAGLSLMGYDNLVPIDPIYCMPKSVTAKFKKYPYK SPAR_P04200 MSKGIALENLPVDLQHRGVTQNESTADILSQLPHERLQAVLEKI PEDDLEVRNLLLILKKPEVVENEDVQQRRMRLAEMLMADEIDPGSINNTEGINGEEED EEDDEEFFTPATSELIQARKFLIKYSLDRSRKRLQKEMERHQKFNTRQELLSRRTELR RMANLELAGSQLLSTKPISAVSLSPDDMVVATGSWAGDLRVLNSQTLQPLTQKLDSHV GKIGAIDWHPDSNNQMVSCGEDGLIKNFQYLYEEGELRLLGDLVGHERRVSDVKYHPS GKFIGSASHDMTWRLWDASTHQELLLQEGHAKGVFSLSFQCDGSLVCSGGMDSLSMLW DIRSGSKVMTLAGHSKPVYSVAWSTNGYQVATGGGDGLINVWDIRKRDEGQLNQILAH RNIVTQVQFSKDDGGKKFVSCGYDNLINVYSSDTWLKMGSLEGHTDKIISLDISSDSH FLVTGGWDRSIKLWN SPAR_P04210 MDLLRILDTKPIPTIVDATTLGISGNISGDYWLPTTMSLYQKEL TDQIVSLHYSDILRYFETSHYKEDVILESMRTMCLNGSLVATHPYLLIDHYMPKSLIT RDVPAHLAENSGKFSVLRDLINLVQEYETETAIVCRPGRTMDLLEALLLGNKVHIKRY DGHSIKSKQKPNDFSCTVHLFSSEGVSFSKYPIKSKARFDMLICLDTTVDTSQKDIQY LLQYKRERKGLERYAPIVRLVAINSIDHCTLFFGKKFDKNSRQYLENVTAAMVILRDR LGTLPPDLRPIYSQKLHYLVEWLENPTVPWPLPDIYPLKQYTSMDVERSLLTEVHFKK SDDQLEDAFANCSKKRGRHGANKTTSSTAVGIEDNDIPSFYSTKRLKNDYYTNPLKQD MTQLTGITTADNSSNVNYHLSSGIITHKLIQSMGEVYMDICVQKQELNDYSCLDNLQN DHLEFFSNEDGKIIKEYESILRTNNDNLNRSHELEVENNSKFSQIETLEKDIGVLKGN LIAQGETFSNLKDAFVKTENIQDEIEKEERISKSRDTEKKYMEQEIKRAVDALSENEE EVRKLGDKKRELENELKLKFKNSEISKKELNEKIDVLRKEINFENDLNKELLGQLSKT MDNLENLTIPRVRTQNGNTKKKSKTKNQAIYK SPAR_P04220 MAMKGEKLSEDEIALYDRQIRLWGMAAQANMRSAKVLLINLGAI GSEITKSIVLSGIGHLTILDGHKVTEEDLGSQFFIGSEDVGQWKIDVTKERIQDLNPR VELNFDKQALQEKDKGFFQQFDLVVATEMKADEAIKINKLTRKLNIPLYVAGSNGLFA YIFVDLIEFISEDEKLQSVRPTIAGPISNNRSIIEVTTRKDEEDEKKTYERIKTKNSY RPLDEVLSTATLKEKMTQRQLKRVTSILPLTLSLLQHELNQKSKTISFEQMKTDAAVW CEHLGVPATVINDEYTQQFIKQKGIEFAPVAAIIGGAVAQDVINILGKRLSPLNNFIV FDGITLDMPLFEF SPAR_P04230 MDFETNEDINGVRFTWNVFPSTRSDANSNVVPVGCLYTPLKEYD ELNVAPYNPVVCSGPHCKSILNPYCVIDPRNSSWSCPICNSRNHLPPQYTNLSQENMP LELQSTTIEYITNKPVTVPPIFFFVVDLTSETENLDSLKESIITSLSLLPPNALIGLI TYGNVVQLHDLSSETIDRCNVFRGDREYQLEALTEMLTGQKPTGPGGAPSHLPNAMNK VTPFSLNRFFLPLEQVEFKLNQLLENLSPDQWSVPAGHRPLRATGSALNIASLLLQGC YKNIPARIILFASGPGTVAPGLIVNSELKDPLRSHHDIDSDHAQHYKKACKFYNQIAQ RVAVNGHTVDIFAGCYDQIGMSEMKQLTDSTGGVLLLTDAFSTAIFKQSYLRLFAKDE EGYLKMAFNGNMAVKTSKDLKVQGLIGHASAVKRTDANNISESEIGIGATSTWKMASL SPYHSYAIFFEIANTAANSNPMMSAPGSADRPNLAYTQFITTYQHSSGTNRIRVTTVA NQLLPFGTPAIAASFDQEAAAVLMARIAVHKAETDDGADVIRWLDRTLIKLCQKYADY NKDDPQSFRLAPNFSLYPQFTYYLRRSQFLSVFNNSPDETAFYRHIFTREDTTNSLIM IQPTLTSFSMEDDPQPVLLDSISVKPNTILLLDTFFFILIYHGEQIAQWRKAGYQDDP QYADFKALLEEPKLEAAELLVDRFPLPRFIDTEAGGSQARFLLSKLNPSDNYQDMARG GSTIVLTDDVSLQNFMTHLQQVAVSGQA SPAR_P04240 MSESSDISAMQPVNPKPFLKGLVNHRVGVKLKFNNTEYRGTLVS TDNYFNLQLNEAEEFVAGVSHGTLGEIFIRCNNVLYIRELPN SPAR_P04250 MSIEYSVIVPAYHEKLNIKPLTTRLFAGMSPEMAKKTELIFVDD NSQDGSVEEVDSLAHKGYNVRIIVRTNERGLSSAVLKGFYEAKGQYLVCMDADLQHPP ETVPKLFESLHDHAFTLGTRYAPGVGIDKDWPMYRRVISSTARMMARPLTIASDPMSG FFGLQKKYLENCNPKDINSQGFKIALELLAKLPLPRDPRVAIGEVPFTFGVRTEGESK LSGKVIIQYLQQLKELYVFKFGANNLILFITFWSILFFYVCYQLYHLVF SPAR_P04260 MNRSLLLRLSDTGEPVTSCSYGKGVLTLPPIPLPKDAPKDQPLY TVKLLISAGSPVARDGLVWTNCPPDHNTPFKRDKFYKKIIHSSFHEDDCIDLNVYAPG SYCFYLSFRNDNEKLETTRKYYFIALPMLYINDQFLPLNSIALQSVVSKWLGSDWEPI LSKIAAKNYNMVHFTPLQERGESNSPYSIYDQLQFDQEHFKSPEDVKNLVEHIHRDLN MLSLTDIVFNHTANNSPWLVEHPEAGYNHITAPHLISAIELDQELLNFSSNLKSWGYP TELESIEDLFKIMDGIKVHVLGSLKLWEYYAVNVQTALQDIKAHWNDESNESYNFPED IKDISSDLVKLASFVKDNVTEANFGTLGERNSNKINVSKFIQLLKLISDTGSEDCESF LATAQNILNEVNLPLYREYDDDVSEILEQLFNRIKYLRLDDNGPKQGPVTVEVPLTEP YFTRFKGKDGINYALANNGWIWNGNPLVDFASQNSRAYLRREVIVWGDCVKLRYGRSP EDSPYLWERMSKYIEMNAKIFDGFRIDNCHSTPIHVGEYFLDLARKYNPNLYVVAELF SGSETLDCLFVERLGISSLIREAMQAWSEEELSRLVHKHGGRPIGSYKFVPMDDFSYP ADINLNEEHCFNDASDNSIRCVSEIMIPKILTATPPHALFMDCTHDNETPFEKRTVED TLPNAALVALCSSAIGSVYGYDEIFPHLLNLVTEKRHYDISTPTGSPSMGITKVKATL NSIRTSMGKKAYDIEDSEMHVHHQGQYITFHRMDVKSGKGWYLIARMKFSDNGDPNET LPPVVLNQSLCSLKFSYALERIGDEVPDDDKFIKGIPTKLKELEGFEISYDDDKKIST IKLPNEFPQGSIAIFETQQNGVDESLDHFIRSGALKATSNLTLESINSILYRSEPEEY DVSAGEGGAYIIPDFGKPVYCGLQGWVSVLRKIVFYNDLAHPLSANLRNGHWALDYTI SRLNYYSDEVGITEVQNWLRSRFDRVKKLPSYLVPSYFALIIGILYGCCRLKAIQLMS RNIGKSTLFVQSLSMTSIQMVSKMKSTSILPGENVPSMAAGLPHFSVNYMRCWGRDVF ISLRGILLTTGRFDEAKAHILAFAKTLKHGLIPNLLDAGRNPRYNARDAAWFFLQAVQ DYIHIVPDGEKILQEQITRRFPLDDTYIPVDDPRAFSYSSTLEEIVYEILSRHAKGIK FREANAGPNLDRVMSDEGFNVEIYVDWSTGLVHGGSQYNCGTWMDKMGESEKAGSVGI PGTPRDGAAIEINGLLKSALRFVIELSKKGLFKFTDVEKQDGDKISFTEWNQLLQDNF EKRYYIPEDPSEDAEYDVEAKLGVNRRGVYRDLYKSGKPYEDYQLRPNFAIAMTVAPE LFVPQHATKAITIADKVLRGPVGMRTLDPSDYNYRPYYNNGEDSEDFATSKGRNYHQG PEWVWLYGYFLRAFHHFHFKTSPRCQNAAKEKPSSYLYQQLYYRLKDNRKWISESVWA GLTELTNKDGELCNDSSPTQAWSSACLLDLFYDLWDAYEDDS SPAR_P04270 MVAEEDIEKEVLQLIDSFFLKATLLICSTESSRYQSSTENIFLF DDTWFEDHSELVGELPEIISKWSHYDGRKELPPLVVETYLDLRQLNSSHLVRLKDHEG HLWNVCKGTKKQEIVMERWLIELDNSSPTFKSHSEDETDVTELSKQLILLFRYLLTLI QLLPTTELYQLLIKSYNGPQNEGSSNPIATTDPLVSIRTRVLDGSKPILSKGRIGLSK PIINTYSNALNESNLPAHLDQKKITPVWTKFGLLRVSVSYRRDWKFEINNTNDELFST RHVAVPHNLQGPQNEPGQRGNNDQEVAKHQPQYQPQDQQQQQQQQQQQQQRQNQDQIR QQIQQQRQIPDRRSLSLSPCTRANSFEPQSWQKKAYPISRPVQPFKVGSVGSQSASRN PSNSSFFNQPPIHRPSMSSNYGPQTNIEGTSVGSTSKYSSSFGNIRRHSSVKTTENAE KVSKAVKSPLQPQESQEDLMDFVKLLEEKPDLTIKKTGGNNLPNINISDSLIRYQNLK PSNDLLSEDLSVSLSMDPNHTYHRGRSDSHSPLPSISPSMHYGSLNSRMSQGANASHL IARGGGNSSTSAFNSRRNSLDKNANKQGMSGLPPIFGGESTSYHHDNKVQKYNQLGEE DDDEDDHLLNQMGNSATKFKSSISPRSIDSISSSFIKSRIPIRQPYHYSQPTTAPFQA QAKFHKPANKLTDNGNRSNSNNNSHSVNDGVGSMHNEEDDQDDDLVFFMSDMNLSKEG SPAR_P04280 MGRVVLNNGGMPLAVFKQEGISISRSESSESLNSLTSTRSSSSN RPKTYFCDYDGCDKAFTRPSILTEHQLSVHQGLRAFQCDKCPKSFVKKSHLERHLYSH SDTKPFQCSYCGKGVTTRQQLKRHEVTHTKSFICPEEGCNLRFYKHPQLRAHILSVHL HKLTCPHCNKSFQRPYRLRNHISKHHDPEVENPYQCTFAGCCKEFRIWSQLQSHIKND HPKLKCPICNKPCVGENGLQMHMIIHDDSLVTKNWKCHICSDMSFSKKHDLLTHYESI HTEEDIPLELKYKISDIQPLGQDQGVELGNDKNLHEKDEGKISNRLRKRRKLTENNNL QFLQNEVNLEKQIESGEDGLSLLLNTVGRKHRCFYNNCYRTFKTKEKYEKHIDKHKIH ELKLKILKEKEENRGIVDKNQKEACIIGKETQPVGDK SPAR_P04290 MSDYEEAFNDGNENFEDFDVEHFSDEETYEEKPQFKDGETTDAN GKTIVTGGNGPEDFQQHEQIRRKTLKEKAIPKDQRATTPYMTKYERARILGTRALQIS MNAPVFVDLEGETDPLRIAMKELAEKKIPLVIRRYLPDGSFEDWSVEELIVDL SPAR_P04300 MDHSESLTFNQLTQDYINKLKDAFQMLDEDEDGLISRRDLTKIY ATLGKTLTDEEWSKMVPENDTTMAEIGKEGVSFPIFLSIMGKNLSQFPDREELEKSLK SIGREHDLNVPLNEVIDSLKEAGFENPEEEFAKLFKLFTTNQQATDEKTFRGKLFLDS ITD SPAR_P04310 MLDIKQLLKEAKRELTNRDYEETIEISEKVLKLDPDNYFAHIFL GKAFSSLPASNDVSSNLNLQRATTHYISATRSVPNNLLAWKGLFLLFKTTEVVPDTLS YDEYFDLCGQYADALLKQEQSQVELINDIKLLKKTHPDCQRAFYQHLKPGSLMAETIG RHLSTPQDALLNLIKILASIETTEIGKTLSQNRLKLKASDPDYQIKLNSFSWEIIKNS EIDQLYNQLVNILADDQLRSEIENQWLEYRIKVLKSMPLDVKKDFFTKVKEMVEDMVL VNHQSLLAWQKFFEWTDYEDLDNMDAALILKYFKKFPKDPLAMILYSWLSSKLSKYDI KSLESANQSPESQNKGTKETDIKDIDEGNEDKVKDHGEDESKNQNENENDAKEDEEED LDDIEIGLLEEEVVTVLTENIVKCKNNILAHRILCQYYLLTKEYEAALPYIKNGISLI AYNIKDLGVYLPLTKREFSLDLATVYTYVDAPKDHNAALRLYDNILSGDSDNIQAKMG KGIIFIERKNWKDAMTLLTQVHEQSPDNLEVLSELSWSKAHMDYTDDALAGLDTVVKG IKGMDLRSIDFRALNLWRQAKVYIMKHASIKDTKQENIKCAFKLLIQSIKILDTFAPG FSTLGDIYCHYYKDHLRAFKCYFKAFDLDAGDYTAAKYITETYASKPNWQAASSIASR LIKSEKAKAQLRSNNWPFRAVGIAHLEKQEESDSIEWFQSALRVDPNDVESWVGLGQA YHACGRIEASIKVFDKAIQLRPSHTYAQYFKAISLCDVGEYLESLEILEKVCQETATE ESFQIGLVEVLMRYSLDLYSQGFLLKSVAIANDTIERIKIIITELKCVNQQVWICLSQ VLRLFIWIESKVDTLPVESLVSIFESSELTGSEEIDSIDNIKIDTLLDSTTDDNVSIA CKFLILASKYSVSNQNFSDIAGTVRASYWYNIGISELTAFITLKEPQYRDAAIFAFKK SIQLQSNTSETWIGLGIATMDINFRVSQHCFIKATALEPKAADVWFNLAMLGLKKNDT EFAQQVLNKLQSLAPQDSSPWLGMALIFEEQGDVIESSKLFAHSFILSNGRSKAAQFL YAKNVLENHINNGDDERDIETVERLTAASIALEQFFKKSPDNSFALQCALLTLERLHH YENASELANRLIGILEKRFEKTQDEKELFTFAIIKGQFARIHLGLGNFELSIENADLS QGIISESRDEKSMKTKISNHICLGLSYFFLNDFDQTLNQFQELLSVSKDSKHLVILIA KVLYDVGESDTKEIALQELTEYITIKGADLLITLTIAAMSILEDKHEDLNIILDELKA LPLSKQIMDRHKDAPYLIEEITKRLYHNDSGKQVWQRSAYFFPNNLKVWERLNKNIQR KIASDGQNKVTAEEMSKLYCESKNLRSIQRGVFLCPWNVTALKALNECF SPAR_P04320 MDELLGEALNAENQAGEGVVESEKLVTPEDVMTISSLEQRTLNP DLFLYKELVKAHLGERAASVIGTLVALGRLSVRELVEKIDGMDVASVKTTLVSLTQLR CVKYLQETAISGKKTTYYYYNEEGIHILLYSGLIIDEIITQMRVGDEEEHKQLVAQIV QNVISLGSLTVEDYLSSVTSDSMKYTISSLFVQLCEMGYLIQISKLHYTPIEDLWQFL YDKHYKNIPRNSPLSDLKKRSQAKMNAKTDFAKIMNKPNELSQILTVDPKTSLRIVKP TVSLTINLDRFMKGRRSKQLINLAKTRVGSVTAQVYKIALRLTEQKTPRIRDPLTQTG LLQDLEEAKSFQDEYELVEEKTPGLTFNAIDLARHLPAELDLRGSLLTRKPSDNKKRS GSNAAAPLPNKKLKTEDGFVIPALPAAISKSLQESGDTQQEDEEEDELDADTEDPHSA SLINSHLKILASSNFPFLNETKPGVYYVPYSKLMPVLKSSVYEYIIASTLGPSAMRLS RCIRDNKLVSEKIINSTALMKEKDIRSTLASLIRYNSVEIQEVPRTADRSASRAVFLF RCKETHSYNFMRQNLEWNMANLLFKKERLKQENFTLLKKANRDDVKGKENELLLPSEL NQLKMVNERELNVFARLSRLLSLWEVFQMA SPAR_P04330 MLSAARLQIVQGSVRRLTVSARDAPTKVSTLAVKVHGGSRYANK DGVAHLLNRFNFQNTNTRSALKLVRESELLGGTFKSTLDREYITLKATFLKDDLPYYV NALADVLYKTAFKPHELTESVLPAARYDYAVAEQCPVKNAEEQLYAITFRRGLGNPLL YDGVERVSLQDIKDFADKVYTKENLEVSGENIVEADLKRFVDDSLLSTLPAGKSLVSK SEPKSFLGEENRVRFIGDSVAAIGIPVNKASLAQYEVLANYLTSALSDLSGLVSSAKL DKFTDGGLFTLFVRDQDSAVVSANIKKIVSDLKKGKDLSPAINYTKLKNAVQNETLSS PIDLKFDGVKDFKLGKFNYVAVGDVSHLPYLDEL SPAR_P04340 MSSNDSNDTEKQPTRLDPTGVDDAYIPPEQPETKHHRFKISRDT LRNHFIAAVGEFCGTFMFLWCAYVICNVANHDVALVAAPDGSHPGQLIMIAIGFGFSV MFSIWCFAGVSGGALNPAVSLSLCLARAISPTRCFVMWISQIVAGMAAGGAASAMTPG KVLFANSLGLGCSRTRGLFLEMFGTAILCLTVLMTAVEKRETNFMAALPIGISLFIAH VALTAYTGTGVNPARSLGAAVAARYFPHYHWIYWIGPLLGSILAWSVWQLLQILDYTT YVTAEKAASTKEKAQKKDPTSNSSPTNEV SPAR_P04350 MSNISEDNIIIRLVTENDKEGWQRLWKSYQKFYEVSFPNDLDDF NFSRFLDPNIKMWAAVAVESSSGKIIGMINFFCHMTTWDFKDKVYINDLYVDEGSRVK GTGGRLIEFVYDEADKLGTPSVYWCTDESNHRAQLLYTKIGYKAPKIMYKRKGY SPAR_P04360 MSETVKDKIIIDEKVSTKGTVDYVEGAEYSERLSNHSSDFSQWY TDEQILHFMKKLGYENRTLYDIPEDVAYILKKMPELTLEDSFEILKDSIIYYKDDENI PHDQYEEWKRLVYLDSKEGTDDYDSFDVRAFASAIKFHSPYQEVRAVVDPEDDPTIPV ETFRAYFLAIIWSIIGSGFNEFFSHRVVSISLDTPIIQMFLYICGKAWAKTVPCWTIT IRGRKYGINIDKPWTQKEQMFSTLLYAICQGAFYTHYNILTQKLFYHSAFSFGYQFLL SLSVQFIGFGFAGILRKFVVYPARALWPTVMPTIAINKALLGKEKHESGMSRYKFFFL AFFIMFIYNWFPTYIINILNTFNWMTWIKPNNINLANITGGVTGLGINPISSFDWNVI SFNSPLVYPFWSYLTQYLGCILAALIVIAVYYSNYMSCQYLPIFTNSLYTNTGDSFEV SEILDSDNKLDVKKYQSYSPPYYSAGNLVTYGAFICAYPLMITWSFIVHSKLLFNAFK DWALNLWALRKLKSWVTMFKSNYRILDDYDDPHSNAMKNYKEVPDWWYFAILIASLVV GIAVVEHYPTNTPVWGLFVSLGFNFVFLIPTTILQATTGYSFGLNLLIEMVMGYALPG NPIAIMILKAFGYNIDGQADNYVSNLKIAHYCKIPPMALFRGQCIIVLIQIFVNLGVL NWQISNIKDFCTPHQNAKFTCPDAVTYYNASVVWGAIGPKRIFNYIYPIFKWCWLIGA CIGIFFGVWKRWGKFYPRYFDPMLFVGGMLNMSPPYNLMYYTSGMIVSYISQYYMKRH HLNLWEKYNYVLSAGFSTGLVLSAIIIFFAVQYKDTAFNWWGNTVPYAGADGVGYPLK NITDTANGYFGYAPGHYP SPAR_P04370 MSIVRQSCDCCRVRRVKCDRNRPCNRCHQRNLKCTYLQPLRKRG PKSIGESSFERLAEMQMVSTNNNITTAPVVCKKVPKKLIDQCLRLYHDRLYVIWPMLC YDDLYKLLEEKYEDCSTYWFLVSLSAATLSDLHTEVEYKEGVFFTGEQLCTLCMLSRR FFDDLSNSDIFRIMTYYCLHRCYAQFAETRTSYRLSCEAIGLIKIAGFHREETYEFLP FDEQQLIRKVYYLLLMTERYYAVYIKCVTSLDTTISPPQPEIVTDSRLSLDSFLEVIR VFTVPGKYFYDALATNSVNDSYTEDSLKRIWNELHTTSLAIEPCSYGYIDYHFSRHWV RTLAWKLVLHKKGMRLNLFSNTIVTHIPVEIAKDMLRDTLLTPIDLYDVHGPGIPMKA LEIANALIDVVSKYDHNMKLEAWNVLCDVSKFVFSLKHCNHKMFQRFSTKCQSALIDL PIPRPLRLSDDSKDDADIIP SPAR_P04380 MKNTLSLTLCVISLLLTLFLAALDIVIVVTLYDTIGIKFHDFGN IGWLVTGYALSNAVFMLLWGRLAEILGTKECLMISVIIFEIGSLISALSNSMATLISG RIVAGFGGSGIESLAFVVGTSIVRENHRGIMITALAISYVIAEGVGPFIGGAFNEHLS WRWCFYINLPIGAFAFIILAFCNTSGEPHQKMWLPSKIKKIMNYNYGELLKVSFWKNT FEVLVFKLDMIGIILSSAGFTLLMLGLSFGGNNFPWNSSIIISFFTVGPILLLLFCVY DFHFLPLLGLHYDDKRTKPLLTWNIASNCGIFTSSITGFLSCFAYELQSAYLVQLYQL VFKKKPTLASIHLWELSIPAMLATMAIAYLNAKYGIIKPAIVFGVLCGIVGSGLFTLI NGELSQSIGYSILPGIAFGSIFQATLLSSQVQITSDDPDFQNKFIEVTAFNSFAKSLG FAFGGNMGAMIFTASLKNQMRSSHLNLPQFTSVETLLAYSTEHYGGPQSLLSKFINTA IHDVFYCALGCYGLSFFFGIFTSSKKTTISAKKQQ SPAR_P04390 MVNLANVLTNATAATLSAWSNTVPLETYFHFDEASGFGDYYLNV SVIWMNETLYETRIIPAIINVREWLDDMEANDPSPSVSNPYETSGYYAFSTVVPVLMG NMKVA A560_mgp10 MLDLLRLQLTTFIMNDVPTPYACYFQDSATPNQEGILELHDNIM FYLLVILGLVSWMLYTIVMTYSKNPIAYKYIKHGQTIEVIWTIFPAVILLIIAFPSFI LLYLCDEVISPAMTIKAIGYQWYWKYEYSDFINDSGETVEFESYVIPDELLEEGQLRL LDTDTSMVVPVDTHIRFVVTAADVIHDFAIPSLGIKVDATPGRLNQVSALIQREGVFY GACSELCGTGHANMPIKIEAVSLPKFLEWLNEQ A560_mgp09 MIKWTMINMNLLLMFLMIINNLIFKNTNNNNNYNNITKYNMNME LYSIQSPYIKNMNVIKRGYHTSLNNNLIIVQKNNNNKNNLEMDNFYKWLVGFTDGDGS FYIKLNNKKYLRFFYGFRMHIDDKVCLEKIRNMLNMPSNFEETTKTIMLVNSQKKWLF SNIMTIFDKYPCMTMKYYSYYKWKKAMIDNLNGMTYNNNDVLNIQNTINNYEVMPNLK IPYDKMNDYWILGFMEAEGSFEVIKTRNICSFNISQHQRSIETLKAIKSYILTNWKPI DNTPLMIKNQLLKDWDSSIKLTKPDKNGVIKLDFYRMDFLYYVIIPKFNNMNWYSIKY NSYMNWQSMVNIYMKGLHKINNKDIMNYIEHIKNLNKKGTNKINYNIELYNNIINNNK PLYNKNYTYRTNSIIHSKNNITGVGVFVYDLNNTLIMTFTGHVPASLHFNCSKHEIAK YIKNGNVFMNKYILKNILLD A560_mgp08 MVQRWLYSTNAKDIAVLYFMLAIFSGMAGTAMSLIIRLELAAPG SQYLQGNAQLFNVLVVGHAVLMIFFLVMPALIGGFGNYLLPLMIGATDTAFPRINNIA FWVLPMGLVCLVTSTLVESGAGTGWTVYPPLSSIQAHSGPSVDLAIFALHLTSISSLL GAINFIVTTLNMRTNGMTMHKLPLFVWSIFITAFLLLLSLPVLSAGITMLLLDRNFNT SFFEVAGGGDPILYEHLFWFFGHPEVYILIIPGFGIISHVVSTYSKKPVFGEISMVYA MASIGLLGFLVWSHHMYIVGLDADTRAYFTSATMIIAIPTGIKIFSWLATIYGGSIRL ATPMLYAIAFLFLFTMGGLTGVALANASLDVAFHDTYYVVGHFHYVLSMGAIFSLFAG YYYWSPQILGLNYNEKLAQIQFWLIFIGANVIFFPMHFLGINGMPRRIPDYPDAFAGW NYVASIGSFIATLSLFLFIYILYDQLVNGLNNKVNNKSVIYTKAPDFVESNTIFNLNT VKSSSIEFLLTSPPAVHSFNTPAVQS A560_mgp08 APFCLIYHCIEVLIDKHISVYSMNENFTVSFWFWFLVVTYMEFR YVNHMAYSVGANSTGTMACHKSAGVKQPVQGKNCSMARLTNSLQECLGFSLTPSHSGI VVHACVLEEEVHELTKYESLTLSKSWHSESCTSSNGKLRNMGLSERGNSGDNGVFMVP KFNLNKVRYFSTLSRLNVRKEDSLTYLTKMNTTDFSELNKLMENNYNNPENINTRILK LMSDIRLLLIAYNKIKSKKGNMSKGSNNITLDGINISYLNKLSKDINTNMFKFSPVRR VEIPKTSGGFRPLSVGNPREKIVQESMRMILEIIYNNSFSNYSHGFRPNLSCLTAIIH CKNYMQHCNWFIKVDLNKCFDTIPHNMLINVLNERIKDKGFIDLLYKLLRAGYVDKHN NYHHTTLGITQGSVVSPILCNIFLDKLDKYLENKFENEFNIGSMSNRSRNPIYNDLSS KIRRCKLLSDKLKLIRLRDHYQRNLGSDKSFKRAYFVRYADDIIIGVMGSHDDCKNIL NDMNNFLTENLGMSINMDKSIIKHSKEGVSFLGYDVKVTPWEMRPYRMIKKGDKFIRV RHHTSLVVNAPIRSIVMKLNKNGYCSPGMVGKPMGVGRLIHEEMKTILMHYLAVGRGI MNYYRLATNFTTLRGRITYILFYSCCLTLARKFKLNTVKKVILKFGKVLTDPNSKVSF GIDDFKIRHKMNKTDSNYTPDEILDRFKYMLPRSLSLFSGICQVCGSKQNLEVHHVKM LNNAANKIKNDYLLGRMIKMNRKQITICKTCHYKIHQGKYNGPGL A560_mgp08 MLMLLMMILTNNKVFMETLYYYLMFNFQLMSPFGVPVPGPAPET KDIKNLYESIMNNYINILNKYTININKDNINKLKFLDNYTEEEKGYYLSGLFEGDGNI YTRCFSITFSLEDVLLANYLCTYFKIGHITAKYNSNKELTAVKWNIMKKKEQEVFMNY INGKLLTYKRYDQYFKYNFNNRLNIKLLKPKEFDLTLNPWLTGFNDADGYFYTGFQKH KNSQWLKFHLELSQKDSYILDIIKKYFKTGGILKRDYKSGATAYIYKAQSSKAMKPFI EYFNNYQPLSTRRYKQYLLLNIAYLLKLNKLHMLTNSLLMLKELMLLQSVKNMSLEMK NELNNRVKIIINKTHYNNIE A560_mgp07 MPQLVPFYFMNQLTYGFLLMITLLILFSQFFLPMILRLYVSRLF ISKL A560_mgp06 MFNLLNTYITSPLDQFEIRTLFGLQSSFIDLSCLNLTTFSLYTI IVLLVIMSLYTLTNNNNKIIGSRWLISQEAIYDTIMNMTKGQIGGKNWGLYFPMIFTL FMFIFIANLISMIPYSFALSAHLVFIISLSIIIWLGNTILGLYKHGWVFFSLFVPAGT PLPLVPLLVIIETLSYFARAISLGLRLGSNILAGHLLMVILGGLTFNFMLINLFTLVF GFVPLAMILAIMILEFAIGIIQAYVWTILTASYLKDAVYLH A560_mgp05 MFVRYMIMYNVMRVNITRCSRAMFTTLYMITNKYTYTFMNKNYN SNLIVEYLLY A560_mgp04 MAFRKSNVYLSLVNSYTIDSPQPSSINYWWNMGSLLGLCLVIQI VTGIFMAMHYSSNIELAFSSVEHIMRDVHSGYILRYLHANGASFFFMVMFMHMAKGLY YGSYRSPRVTLWNVGVIIFILTIATAFLGYCCVYGQMSHWGATVITNLFSAIPFVGND IVSWLWGGFSVSNPTIQRFFALHYLVPFIIAAMVIMHLMALHIHGSSNPLGITGNLDR IPMHSYFIFKDLVTVFLFMLILALFVFYSPNTLGHPDNYIPGNPLVTPASIVPEWYLL PFYAILRSIPDKLLGVITMFAAILVLLVLPFTDRSVVRGNTFKVLSKFFFFIFVFNFV LLGQIGACHVEVPYVLMGQIATFIYFAYFLIIVPVISTIENVLFYIGRVNK A560_mgp04 NMYIALNMFYIMMMMNMMILMYNKYIINMINKKEMNNYIGPLNM DIMSIIYGSMLSNSYAKKIKGGTKIIFQQENMHNDYLYYLHSLLANLGYCNTNLPIIK TKLNKKGKIMKYLNFETWKYKSFNYIFMDWYKKNKLNMNYIKVLPKSLNMYLTPLALA IWIMDNNCKFNKKLMFFMNYFKYNDMLFLKNLLYYKYNINTTIYNNNNNNNLNNTQYI IYVSKESMPTLNKIVSPYIIPSMKYKLNNYLL A560_mgp04 NMEDPYYSNMMLNKSVLCWNIFIWMMNYYIIQLIIYNNNMIWNK NNMVKMFIMRRKLAVINMYMYMKLIIQRTYSYYMNNTIIYDKNHKLNTDNPIYAYIVG LFEGDGWITISKKGKYLLYELGIEMHIRDIQLLYKIKNILGIGKVSIKKLKMKDGTIK EMCKFNVRNKNHLKNIIIPIFDKYPMLTNKHYDYLYFKDNLLKNIKYYNDLDYYLRPI KSFNTTKDILNKNYFSSWLIGFFETQSCFSIYKPMNKKMKTASFEVSMNNNNMEVMLA IKSYLKITKNIYTDKFNNSRMTTKSINGIKNVVMFINNNPIKLLGYKKLQYLLFLKDL RTIIKYNNYFKMPNKY A560_mgp03 MQLVLAAKYIGAGISTIGLLGAGIGIAIVFAALINGVSRNPSIK DTVFPMAILGFALSEATGLFCLMVSFLLLFGV A560_mgp02 MKLRLLNMILSMMNKTNNNNNINNKKLLLKNMLLDMNNKRMNNM KTMLKNNNMNINNKLQHLNNMNNWNTQIYNYNKNMEIMNIMNDKLINKLLYKMMTLKL NNMNINKIIMSKTINQHSLNKLNIKFYYYYNNDINNMNNNNNNYYMNMMNKLMNIMNN NMNNSLCNILSYYYNKKVTIESIKLSYIYLNSDIFSKYISLNDMNKYNNGILTNYQRM LNNIMPKLNDHNISMNYINNINNINNNKYNNMINLLNNNNNNNNNNNNNNNNYIDNIN NIYNNMTIDNIPMDILMYKYLVGWSIKFKGRLNNNNGRTSTTNLLNGTFNNKKYLWSN INNNYKLNYIPSNHNLYNNSNINKNGKYNIKVKLNFI A560_mgp01 MTHLERSRHQQHPFHMVMPSPWPIVVSFALLSLALSTALTMHGY IGNMNMVYLALFVLLTSSILWFRDIVSEATYLGDHTMAVRKGINLGFLMFVLSEVLIF AGLFWAYFHSAMSPDVTLGACWPPVGIEAVQPTELPLLNTIILLSSGATVTYSHHALI AGNRNKALSGLLITFWLIVIFVTCQYIEYTNAAFTISDGVYGSVFYAGTGLHFLHMVM LAAMLGVNYWRMRNYHLTAGHHVGYETTIIYTHVLDVIWLFLYVVYYWWGV